-- dump date 20240507_091032 -- class Genbank::CDS -- table cds_translation -- id translation UV8b_00001 MATGHSRESNELEAQHHGYEHLAFKNTYLNRLFTRIALRTTGRF YARNGPCVPISKHRIVKAGDLVHLTEAATMQFVANHTSIPVPKIYCSFIWNNRAYIVM ESIQGEPLSNAWSRLSETARQSIFTQIRDYIDELRRIEPPGPQVQSSVGGSLRDLRIG HGYPRFGPFNSIREFHFWLREGMVDSSEAHKGINEEERQEMQKMITMQDKDRPMPVFT HGDLNPTNIIVRGEKIVGFVDWEFSGWFPDYWEYTTAYCGNVVTTDWQGLIHNFLDEF PDELAMEIIRHKWWGE UV8b_00002 MRRESERNQYLPLMTYIIADYAYRYHWGFLIGPKEEMSEAVRGR RCHVKNRPLQGWVYDDVKIENVRNSTQLLVRIVIAKVEDEKRLRSLLREVPIVQDSPD WRCRTWVANALRILKADSKALGTSMLDWRKIEMTAREYVAKKVAQGRFATSEDLMQPK PTWDLINNEEVVS UV8b_00003 MLRSDPPHIQSSAARLFMPTICRITLLAYYNGLLGSVDILPAQH DYDNDAESISPPHDNIRSLLQDLRARYPQSRIWRIEESRLCANDKDTSRAIKLLSTWQ ESPLKQITAVKYFELGINAIVTQKWDLMRDTFLRCLEISNWSPVMYYFIAAYASLELY RDAYYTTDTAQNAKATYLKNQAEEYLRKEPLVSGKQRLMARQLPLEIFA UV8b_00004 MLDVLLSIPLISYFLFPSTGASLSTSVNLFFFYVTWTSLAFSHN PLEIHLSGLLVLRVVFWLAPALIFLLFDIALPSLSVGFKHGGRSAMPPRAFRKLAGML GRALLNVLFLLAVEAAISMAYAAAFKRYIFRMSTALPFPWIILKHCLVILASREVLRY YLHRRVLHGDLPLAREHARYAHDRPSAPYSLQVFTDHPLALLVYRFVPIFLPAALIRT HILIYFLVVILTTVEDTLTMSGYTFIPGILMSGITQRTAIHYAGGGSSNYSGLGVMDW VHGTSKGREVLMEVKNSADKHDVQERAAKRVGKGSDIVKDGIDSLKKRARAGN UV8b_00005 MDFKFAASTEKALPPIPIRHGSRPSPDSEHHNCHQPQNPRRRSP QPSTCTSIPPASPDIISTLITSLGAIPQPTDSYFEPHSATFNFGLPSPSTPYTGSFGV DFGAYARPDSQESSQLPTSLNDLAASPPIVRTSKPTSGYSPLVGAKSLQARSPSCDSG AGFHSFIHSNNINSQPSSPGSVTSKNDDTRSIGNLSVERGSGATPGLKHRPSHDSWGK NSRNTNGLVYLSPKELLQERELDRKRLPRSAGSSFDSIGGGGRGVVGPSNMSNGIRSD PLPAETAISEEVASHIDDPRPNNQTMPGCPQSIPVRGSSLRKPRRQPRKRSGARRQRR ESVIPAGDVILETEEKSLESESSPSLPTMRWKHSRSGSDAPAQGLSFLLGPDERPTSP VPASPKPTRGAHDIAIISIDLDDDGAPFPAVSQCRQEERSVERNRHRLSGRLSPGPKE AFRLGRSNSRLKRLSGQLGPRCDSRASPGPSLPESSQSQNFFSTSHERPPSADPIDDA VESYLSSPRLSHKVRHPQTGRVISFSEVGDAKGSAVFCCVGMGLTRYITAFYDELALT LKLRLITPDRPGVGGSDPYTDGSTTPLSWPDDVYAICQALKITKFSILAHSAGAIYAL ATALRMPQHIRGRIHLLAPWIPPSQMNVFGGSQTLPPTNAIPTSQKILRALPTPLLKA ANSSFMTATSSSITSSLPKNPRRTKRKSNTHGSKDDTHATNRNVTPSQEQENIGSNKP ERQHSSDSEEGLASPKTSHMDQVPLQGANGPSGSTSVADAVGDPMVDRERRLTYDTRL THAIWELATTGANPAVDLLVCLERRHPIGFRYVDITRPVVIHHGSRDTRVPVENVKWL GKTMRRCEVRVLEGEGHGLMASATVMGSVLMEMSKEWDDWMRVTGADGRKDRERGRRG TLGRG UV8b_00006 MDGLAEFEKALAAEKAGREKAGRDGDQQRHSKDRHHRRHHDRHR HRRRHHDDEADADADRRSKRSRHHSRPDEDDPLSWQDVHRRRSQTDQPEASAAAPARD AWMTAPSALQVEHVHRAQPRTRTTPPEKPKRVIHSRELNRRLGSTDDDDGGRHHHSPG SPTMTTEHKADYVFGDAGSSWRMTKLRGVYSTAEMSGRPVDDVAVERYGSLREFDAAC EEKEEMGRRKIYGSGYKEKDVPTGDLHRARAQQPQEVAAASDEAEAAAADPPPRGGAA AVVPQAPADQTSLNRLRAQMMRAKMRNAPNAAQLEEEYNRASCGLAPASQEGAAAAVV LGAMHTRQLAGPRGEVRPVASRRGRERGLVEDNPDMTLDDMVREERRTKNQAGGEGLR LAQRIARDGGYANDLEYLDENADKLASRVHRSGEDLKNAAVAEFRRLGRALDACSLCH REDEGRPPAAPVVSLGTRVFLTLAPEPEIAPGGAVIVPIAHRTNLVECDDDEWEELRN FMKSLTRMYHDQGRAVVFYENAAFPARRLHAAMVAVPIPYADGALAPAYFSEAFLSAD DEWAQHRKVIDTAASARHMGRAAFRKSIPAEMPYFHAWFSLDGGLGHIVENAQRWPSG DMFAREVLGGIVGAEPHVIRKQGRWDRHDARVGAWKVSWTKFDWTRLLT UV8b_00007 MQSQFFGQLKAGDQFRAIEGVVHFFMANSLGGASESWISYVDAG IIEAIQRGGAIALGLPAATYAGKTGGANQWASFPSIQGGIGFANRNDHDRLWSVAEQA ATEYGARLADAKFKRSAREQSWFECTQLFRWIMRNREATYQGLKSTAIGPAAWAFINW MTDVTDVKPGKCLTEVAWFYAGVESGTGGLAEVARILPRLLQCFGAAA UV8b_00008 MRFTICLALGSVALALVAKPIPPQAVVDAVEAVDALAGSPRSSG RTELQPIPSTQELDHETKGGLESRVNIDDMPNYIAVPTQPDPDPVSAHGVWMAFRMQK VDIDGGSGKTYTVYVCTGIDFSNMSKEYASISVFTEGNEMILRDMKLFPRAKHFFPAP QGSFLTGTIHVQIGHPPPPRYPP UV8b_00009 MRPINALGLALLPIAAARKHSSCDCGTETLRGKHYEYDWLLTYN VCVYSYPRSAEYDFQTGRCEAVDLMSGDRFHGDCEYFGKNGYFPVSPSDNITLDENLP RLYHIHKDRKVGSRCR UV8b_00010 MNKEGLADRQPSASQTIPSPDPATHPPTQNSPLKADPTPYGQAY SERNPPTNIQAFHNERQKVLEASKRYLESDQTWIRKPRQDKHEYKQEENALAMDDTPK AGQTNDVPIGGEKSNVLFHPTPEPNFERVFDSNENPLMMVSYTVFGVIVFLDWLFIGG GLKGFVKSLFPAGGVAAAVYFILQTVGENVASHKIDEAEQKTEKLRYVPESVEWMNSL VETLWGTLQQEFFDSIAAQVNEAIKPYIPKSAPVSVRITSLGHGNQPARVLSMRSLPD SEFGELVPSHGTRTSLSAGEAKEREKAIEREEGGVFYNLEIAMAYHQSPLRSRKDHMH ADILVRLGPVPLPVFVQVKEFFAVIRVRLQMHPDLPFLKMATFALTEHPKINACVSIG APWAFDLLNLPIIDSVLNSQINAAMTDFVQPKSTSLDMTAYIGGSDEKEETEAIGVLV VKIHRARNLARQDTRGPGADPYLTIAFSQYEKPMYASRIIKGDRNPVWEESANIMIRT EHVKRQENVLLRLWDSDTTGSDDVSGICEFPLQELILHSSEMHKREDRLQGDTAGTDA EGVLEWEIGYFPREEFEKKPRPDGPGRRDHDGEQQKASEEMAVQNAQNTVPNPGFPTG ILGLTIHQCLNVEVENPHKQSLGYVKVLGQDWDGDDDAEAETVSIDYIPSLYVAADVN DKLAYRTRVKSISNSPVFNSSTETCIRDWRAARVTLSVWDTRKRSDDCLVGVVALKLP EVFHESSSVVKYYDLNGGAGKGRIRVGMIFRSVGVQLEKPLLGYDVGTFAITSPIFCI GHGINTRKLTLRTGGSRRVVKGGERSEGGYSWTLDKKQSLLPVHYRYMSPLVLEFAGI TEVAPGPLSKMANKNKHYAIIWLSSVIDDQTQQFTLPVFKTNNPTRLLQNAVSESDDA MKLEKVGEARFTGCFKPGLDESHEEFVGKGNGNASYDQWSSYRSWEAARKSGS UV8b_00011 MPPYSARNKVPTIQKLAEDLSQRLNLQKADASKATSGKESEKIA VAKAASRRKVVDPTTKNEVEIQDVDGSFENAIRRPKITIPRIEHLPKGQGEEYRRTLD DLAPPEADPERTHEHFQRSKKYRATYHPLPLADLKSSFQALEGAIHQTTAVIMFGIVA LNWIFLGGGWKGLLASFSTGAVVACGVHLWLRNIQESATAATWDAERQRATAAIESLV PESAEWINSLVDIAWKLINPEIFAAMADTLEDVMQASVPQALIQNVKVSSIGLGDEAF KILSLRSLPSVEEDAVQNEKRDSEFSQQEKDAKKEERVLEGEDDPNAKYYNLEASFAY HAIPAKGVIGKAKNLHLEVIFFLGVQGLVGVPLPIFVELNGIIGTVRLRFQLTPNPPF LKNLTFTFMGLPKIDASAIPLTSKGINVLNLPLISRFINSSIAAALDMYVAPKSLIMD LSKMLQGDSVKKETDALGLIYVRIKRAEGIAAQDRLGKSDPFITLAFSEFGKPVYCTR IIEQDLNPRWNEQTCVLVYQEQLMAGERLSVELWDSDVITSDDVVGKVHFDLRDLIKN YSNRIAERADTLESEKGETLPGKLYWDVGYFPRAEFKASMQSHGKDVSLPDSLQDRPE FQDDKGVVTTLHEVNVTTTPPDPSFPSGICTVLIHQVSNLEVHRQSGTFGSYEIWNPA QATGENTDEEADDLPSSYCTILQNDELAFKTRTKVKSSTAIFNAQTERFVRDWRTSVF TITCRNSVHREHDPILGAVVIKLSEVLKTASQKTAVYALDGGMGYGRILVSVLFRSVN LKLPKNLLGWDLGSVELLGDKVVVEGDVARALSNSRITVASDSGKRNIARSWIDSSGN GACTTEWSLGYFREGSKELQRHRVIVPVRHRYQSPLRLQFYSSASRKPIAYAVYWLAD LVDNTSTELTLPVYKTSQPKQLTQNYLRSDEPADQYGVEKIGQVKLTVRFKMGMDNSH YEWLKTNDDFESFESWRCTLAEGYRIRIVKRETPETVKQLLKSEETSCEDSKMSTSDD ASSKDEEDDDAADSEQLRFPRKAPGADAETDTPPSNPESSTSQAFAHELGQYASSDDS TYTDDDDPSIFVQEDLMDSEIEDEELKKRRQKQDGRESKAELHRKLRGSMNIKAVRQL KFVKDEAKVLGRKIKSRFSMKGREPEVETEISQGN UV8b_00012 MELSELLLIPARHLVLALVGLAILGLGASRIRKLLARRRFARQH GCRPPARFRTADPILGLDMIRQQLRRAKEHKILETSAQRYEACGTTHSMDVLRKRIIF TTDPDNIKTVLSLKFDDFILGDRLKNFGPLLGTGIFDTDGQRWHNSRALIRPNFTREQ VADLAAFEALIPDMLALIPRDGKTTVDLQEIFFRYTIDSATEFLFGQSVGSLKQDIIA SGELSFAEAFNYSQDDIRIRNILGGLSKFYRDPKAKMCNKYCRDFAQQLVEKAVEAVE AEDEKGRHGDGDGKRAKYVFSRELARRTSDKLCILDEVMNVLLAGRDTTASLLSNMFF MLAKKPAIWAKLQAEVSSLDGRLPSYQELRNLRYLKCCMNESLRIHPVVPMNSRQATR DTVLPTGGGPDGQSPVFVPEGTRVSYNVYAMHRRKDFYGPDADEFRPERWEDGKLQPR WEYLPFNGGPRICVGQQYALTEVSYVTVRLLQEFSALQSRDPGPWEEKLTLTLSSRNG TQVCLTPRSGSGSGSAP UV8b_00013 MSSAFELLSTLQVLIKLGSEIKTRVDSIPRAAEDLQLLDTELRL LVTLFQNPANKDIPLMSEFVIIPHVPESIADLCTKFAMTLDIDLAAGATMATKTGPHG KRFVKRMWALIYSTISAVILHNMATAQQKQMSRGEILKPAHVTQTNVYGNLLHLDLST GSGNIDQMVGSLIKECQNLRNRLQEAILFPDTSAIQDYQACNSEGASFWRDRFQKDQL NASALRYESLYVSWARFVHDVETCFVLKKIPTGILATGNIDAVHEQGSRYGLDQMGTR RPSTIRPLWLPALRSALDPLHKGYVKPRDFFSLIRDSSLCDTLRRLALQIAGYGILVE CERAPGDLPLPATIESPSDHVGWISAQIIAVPTSDELGIVSEREVMEASGDAFFTHFN DISQDFKVYVRYLQTGQIERKSLSKQLRPIGGICVGAALSIRHELESGQHAWSCDLHV TEFKACHGGDDDNNSSSSSLPEVDCALLGPSKVFVDPPKVGEKIQVEHDGFWYDSRVT VVDGDEIEYVDWDTLPKQGATDMPEAQDGEQDDEDGDVFSLSEELLRQFGKGTRRLWR PWQRSIKRYDVRPYRCFHIGDSVEAPVMYPDFRLHYHTLDDSHLYLPARIVDVQGDEY VVEFSPALSAHEWWPGRMPKGTKIDALPGSGVKVENPFDFNRVTLHTDRVRPFVAGPR PVLGIQSAKPAGWSSFQGVHLSNLEDLLERRLWNNDRDSQPTGGQAGGQRDWRMFDGE K UV8b_00014 MKSWLKQSGAVGLDGLELADFPATGRGVRTRKLFKQGQNILTIP CRTLWTVEHAHADAILGPALRSASPPLSVDDTLAIYILFVRSHDSGYDGLRSHVAALP ASYSSSIFFTEDQLKVCAGTSLYTATRQLQQRVEDDYRDLVVRVLGPYPDLFPLDRFT VKDYKWALCTVWSRAMDFVLPDGKSVRLLAPFADMLNHSSTAKQCHVYDATSGNLSVL AGKDYEAGDQVFINYGPSPNNRLLRLYGFVVPGNPYDSYDLVLTTHPIAPFFEQKQKL WALSGLGSTSTITLTLADPLPKDVLRYLRIQRLDETDVAVLALQQANATATKISHSNE VQVLRFLVESIGGLLESFGARLEKLEEQLAEGVYSPGGNAWAAAHVSVGEQRVLRMAR RRAEDLLAAMESGSGNGRGSLSAQGRCANCQEVSAQLMLCGRCKSVMYCGRTCQVAQH KEHKVPCRAAAAKNSF UV8b_00015 MKPFFLVSMALAGLFYATSVSAVSAVFKRDPNVKNLYLLAVDSF SDQESKDAFAKTIFALWRPNRPQLIGLNPYRVIISEYVSRLSNYLSKGLDEMAADALT TLSMSGNNSDHPIPKDQLDDFIHQAMMQLEENREAGTGKNTGPK UV8b_00016 MAALSLALAAPTRRGFDLNINNLLRLATNSFADKQIGEAFHNDV LVGSRPAPPRGASPSPLFVTINEYAKRLQNFITKGQDETAANTILKLANSSNDPNYPI DTGYLDSFLERVVAKVDGR UV8b_00017 MTARALGTYDGGIPEPYTYSCYGKQCPWPGSSCPSSSLPRLARN KPGCYMQCQPWTLLREPVTDTTGTSPIRHASQLQPAAGSWAYPLPPRAVVGDPRLWQH LRHQ UV8b_00018 MTETTRASIRASAARAWMKPRLDEAASTPYRPQARIYLIRWAST RLPLWLPSTRCGIKDYTDQGMDKKCFA UV8b_00019 MLSGLQNPRQAAAQLMTFGLILSSAFMMWKGLGVITDSPSPIVV VLSGSMEPAFQRGDLLLLWNRNVLQETAVGEVVVYNVKGKDIPIVHRIVRKFGSGDKA KLLTKGDNNNADDTDLYARGQDYLERQDIIGSVVGYFPFVGYVTILLAEHPWLKTVML GIMGLLVMFQRE UV8b_00020 MSGNQSQQPGLVQGHVQYVKGVAEGTVGDLTGSQPWKESGEQDK AAGLSAMREAGEKRDPSAQGYGKAEELAGKLTGCDGMKSEGAASRKKD UV8b_00021 MSSTPLLAGAGLPAPVIMQDHPIFLRASHSPWRCIPQNILVLLR GLLLTYLVATGVMAGHYKMTEESEAGALSNLFDFSIISSTMVFLYHLITFSWTFTHLY YPEPAEVEGGVERLIISAMSLPRNMASQRKQYYFNLFYTATTVFCFMNSTLYWFITRQ HEAGAASGLTAESVLSSKVIESAVNITAAGPLPDAPFSDLFGEGWLKPFILVNLNGVN SVVMIIEILINSIKRSLNIGSNVFGLMALSGLYLAWGSVGKSVTGAYPFFWMDEAQVG SKEAVSIYSVGFVFLAPIMYTLMQGFVGIRESLTRPRPDSLDS UV8b_00022 MFKRSFRSQDQNRIDKSARAKKPSSVRDPVKLSRLQRSLTEQDG VAQQPAAPSAIVTLTVGREGRLFAAHEDVLRQSPFFDAACRRSVPDSQSKRISLPDEE PEVFSAVLEYLYKGDYYPRLLHSRSRNSWHLEDGPRTPRTSPNPESAGGRAGGRAGTV GDATVFVCSLGADVLRDTVVYCAADRFGLEELKRLALRKQGLQAGIDVGTILRSAQYA YAHTPDSDSRLRAHYLALIIRCRKTFKRSGTMQAEMEAGGSKLYFDLFVAMCNHLDDV IDVTHCRTPKTA UV8b_00023 MAPLPPKTAARHRAVLLALFATLVVSSALVTYFMLLPFSQFAAQ PGSQAGYLRDAPGSTETKLFRLDVTGRDLVV UV8b_00024 MARLNRRLALLGLSALLPAALALPEGLGKRTAGQCGQSSCQAAL AALGSQARLDCSSFLATTVTPCAATSTPVVTVTVFETATGTAATDTALVTATVTGSVE TDVRTVLYTTTVATDDVPTTVATASVQDTVTVPATADVTDIVTVYQTATKAVYDFSGV KPVKRSDGDGDGDGPPRRVRRGCKACPYTRVATAVPSYASACADAAQYSSVCFCMGVT PTVVTLSPSASTTVVTATVTNSVPPVTVTDTAFVSVTDTTTVDATVDVPVTYTNVVPV TATFTQDPATLTLTTTQTVAATAVVTNTVPGPTQYCEMYLQIRGSSYDGRFIYNAVNQ ATNVISTSMKTPYRLDKNGKLHPASGPYAGYTLYSYGASSYVNVQTDENIAKSGYNPL TCKVNLDTLEIFCQAYSSPKTWVWSNGYTTADGPYLWLNTFVQTGSTQFRLFAVPVNC VT UV8b_00025 MRLRKPKSTATAVLLASAGLVERPAAPRSGCCISGRELSASPAS GSGSGVGSSAICDIACPGRRRRGLAPRDNAPDCWLYSDTNLNQREVEKCVTDVCKHSL DEARRNNRFSNYGCVGLYPNNKHNPLPYEKAPSGDLAVRGKCQCDNPAVNQFADIVFS AMAAIAQIACFIIMSAFKAVLDLGMAAFPQTGQVLSAGLEAATGAAKLYGYAYAESED PAGAFQWWLSPCGGTDLVPDELKKAFDILNSVTESVSSWRAPSGLTKGSFKRGDALNP LNRKPLPAGEHPGPPGVKDVKKLDEKPKDAPKDDKKDGGKDRDKNKSEAKDKKDDGKD KDKKDDGKDKDIKNGKDKDKKDDGKDKDIKNGKDKDKKDDGKDKEKKDDKMKPADDKQ KPDAEPKKNNGKDKAKDKDEHKDEHKDEDHDNGTDASVDDTQDQDAKEDTNDQSMDND ADQDADQEPQGEDADADADADADSQQADQPQEAPWDGVDPPAGESQKNDAGDSDSNSD SSTDDAPPAGPEDAVSAGENLFHGANDDDGEVQPDDPEADSGSVAEDAAAEDAAAEDA AAEDAAAEDAAAEDAASETDTRPAQTADEDKNAEPKDPQGRSEDDGP UV8b_00026 MDEKRTSRDLAQSTLDEHALQPAESGQGRRQSAALNLIENVLKR KSEADAAHDAEAFAQSHNMPEHAQLFGRAALVARDPERFELISQLSDEERAALVYERD HKWHGPFMLWYSIALCAIGAATQGWDQTGANGANLSFPKEFHIDKQGTDEWIVGLINS IIFLTAGLIGAFIVDPLNHYLGRRGEIFLTAACLTATPIGSAFAKSWQGLFAARFVMG IGIGAKNATVPIYSAEMAPARTRGALVMFWQLWVVVGIFLGFAANVIVKDVGDIAWRL QFGSAFIPSFILMAGIFFCPESPRWLMKHNRHDQGFKSMLRLRAHPIIAARDFYYSYV NYEEEKKIAGGSSYFSRLWDCFAVPRIRRANYGASTVMIAQQMCGINIISFYSSSIFE SVGYSATEALYASLGYGAIQVVSTIPTLFLIDTKGRRTLTMITFPLMCIFLLAAGLSL LPNGAYHIAPTDLNQNPAGDMRSRGARIGPVVLFVYLFTICYSLGEGPVAFQYSAEVF PTIQREQGMAWAVCINNTFAGILGLTFPRMKTVMTATGAFGFYAGLNLIAWFMIFCFV RETKQLTLEEIDQVFSVPTKKFIHYELTEWLPWFIKRYVFMQRIPRPPPIIEKAERPD AVKEA UV8b_00027 MRQVDPGDLPGPRQTRSGKIGHESLYPFISANPIRFSLARPLPA TWSDRLQPRDVGTSSTSTFRRSSREANRAANDAANDAANDAANHADKHAIPAVTALSL SCRRKYGPAESGPRRTGQGIERTTDALRSQPRPQGRRLI UV8b_00028 MFIRERSMHKCSVLRDGTVSLALHLAAVGVESRVAYAAGAGVVT GEFLDMDLAPLCPLAQNWLLCPAFFPQSQGDVKVVRDQRLAFMLAYHPPT UV8b_00029 MRALDGVDGGVDRVPLHSNFIYRWLNSIYNLENGRIFYRPRGRA YMRATKTVAKTSILSHRSYKPYVTGALKGYERQPCGRGVDGLGVEAILPRQSVDTCRR DAVLMQMLLETTYPRPAGILGSSLALPRLSLPLDERMCSLVLGYKIAVIGAGQRQ UV8b_00030 MLASLVRNPNNFRCHQAILWKTDGATVEPRWDGYTKLVVSCAEA ALATFMQVVETVREIPFLMRLLLPAATRRKDLDQGK UV8b_00031 MVNMSQQDPGQYSPVDAFFIGPKASNLPDFRANINTILDELLEA RLNYFPEDGKFISSEVRRSEEFQKVRDKFSRAVRKAAQLLGEHSAPFWSPRYEAHMCT DLTMPSLLGYFMTMLYNPNNVALEASPITTLVELKVGKQLCNLFGYNCEVTNSPDIPV GWGHITCDGTVANLESIWVARNLKFYPLAVAQAMRHGGLKFIAGKFKVEPCKLGSEEK LFSELTTWELLNLRPETVLGLPEKLNSEFGISGTYLQDTLQEYNIQTVGRRPLEDEFK IEKEGKYLIGTSRHYSWPKTAAIAGLGSGNIIGIPVDNAARVDIKVLEEKLLECVKSD TAVYAVVAVVGSTEEGAVDRLTEIIKLRNKFRDEHGLSFLVHADAAWGGYFATMLHRH DDKPVKCKLGHHDVSEPADDESPGRELVPALVLKESTEEDLLALGDADSITVDPHKAG YIPYPAGSLVYRDGRMRHLVTWSSPYLSQGSAENIGIYGVEGSKPGAAAMSTWFSNQT IGLNPRGYGRLLGEAAFTSGRISAYYATMDNDYFTCVPFNMLPAEEDGSKLFDSPEVL KQRQWIRSNILHKTNEQIIKSPQAMDMIRKLGSDSNINAFAINWKYRDQTLNKDLDEA NYLMKRVVDRLSITSANTDPTKIPMFLTSTKFAPEDYGECAQTFMQRLGLDKCQQSLF VLRNVVMSPFPTQMDFLQKLMTKFEQVVREEVEVLRERNTPGYEIEFLVQAPRKLEAA EVYLVFQTSFHSATRRQQLIVSATMDSTLTKYYNRLVENDASDAVFIRSTSQRDVQED ENSLRQGKTIKLQVAMYRGSYESDTQIGQVTLKSVIKSRPLNTANQDQVYPSDYVPFY LYGAGEQKHITHMLVRSPNIALCGSNVSFSAPLPEEVSKMLPKGLILTLSEIPEASKQ PLPVVNNLLPKNFFFGPRKSFQVDIWEDPNEAQSQGPGLLDDLTNKLYTGTMNLSEMV LVDAQGPNKDKFVRVTVDPDSWQKELDAIADVLSGS UV8b_00032 MLATGTPAFNPFLTCVIPRAYCDSTLMHSILATSAAHLSYKLGD GGNPVIVEAAMRKHYLEVVRDLQHDIASCHLRDGRCISQLLLILAFLCHYEVLACSPS AAFSMHLRALGRLFLNLHNDKQASGLLETDETLELALEVYLYLTLSNSILPPLVFDPA TMYSDEMMRLYRTLDQYTYTPGTIFAGCRSLFELIPEAKALHTWRSAEQAAGVVDPSP EFIEALEHLTLQINAWHLDQQGYTGNAKLLNRYLDKVQQTQQSMAAECMRHALHIYTM SSVAGSAAASAALRESTQYHVDVIFWLSWQLEDSPASSNTLWATVIMGTCLEDEERRT VLAEGLRNSRLKMRHLSLVRMALEKLWSDEDERAWGPQGLEFVMMKNGMEMFIL UV8b_00033 MLLPRLLALSAALAAASATPAGPDDRAVQAEGPSYLSAREVAEL KAAQKHRPGYFHQNVTLGTGADALVVPVIEGDYDVLLDGEKGVRARALAPRGACAKFS KLWGSCSVNYCWKDGSGVAQSAYITVKGATGKKGSGIPDSVYSSNTKVLNLDRYGNDG YNGWFARRHECHDGDTQMFTAHLIQGTVVGRAMVDKFRCDICDFDRLRCYSSFLANNL VALGSDSTAYCRSPEALN UV8b_00034 MPAAAAVTSANEQARHSNGVYGTVLRMSRAPSVGRYHFLVPEVA CARTQCTTSPLPPDPHVPVPARVDLPVILPPRFFSSIILLAWKGRAGVGGGTAAVAGK PRHGR UV8b_00035 MSPDAITDVDVVIIGAGISGLNAAYRLQTDGPPNTSYTVLEERA ALGGTWDLWRYPGIRSDSDIFTFSFAWNPWPGDATLASGQEIQDYMVDSAHRAGLHRH IRYRHKVTRADWDSALARWHVSAAADGVGGVGGVVVFRSRFVFLGTGYYDYETPLQSS IPGLDSFRGTVIRPQFWPASYDYTDRDMVIIGSGATAVSILPAVAERVRHVTMLQRSP TYYLSVSRRGRVSRALMSVLPAGLARRMNRYGFLLRDYLFIGACRRFPRLMRRLLEHL AVRQLPDDGTRWERHFSPRYNPWEQRLCAVMDNDLFKALRSGKASVVTGCIDAVTEHG IRLVTGETLPADVIVAATGLQLRFGGGIRFSVDGEPIDPVRRFVWKACMLQDVPNLVF SFGYENMAWTLGADCAAEVLLRLIRDLDEAGSQTAVPRLGADKAGMTPKPPFGLSSTY LRHMTRVFPWAGDGEWRPRSHYVADFWNAKWGPAKELTME UV8b_00036 MQTPFTLSADPDTDIWKKPPAHDAFNAPYLTHSKGTVDSFVRAV ITFEACYARQFDQAGILLKFSKPATGERKWIKSGVELFDNRARLSTVCTDNYSDWSVS DAPRGDEIRAGARRVTVSVERNVDETGQSLWVYHVEGGGKTPLREINWPYGTATAAHG WELQVAATVARPSKAGAGAGAGAGVGPLSATFVEFDVQWETTTTTTTTTTTTTTTTTT TTTTTTTAKLDDA UV8b_00037 MSSLLNPSRKPLGGGGITTQSRFSRPNAIRAMREREERRQAQTA GAQLQSASSSANRGGSVAVPARQQCPNKACPKPNVVDGTCRTCGRVADDSNIVAEVQF GETSSGAAMVQGSFIGADQAGVRSMGPAFRRVGGTEDREKSIREARGLMQGYAQQLNV SDSLVTAGTQVFKLASGANFVQGRTLASVAAVCLYAACRAEPPCKVMLIDLADLVQLN VFKLGRIFKKLNEVVPIGNDGLIPVYPEDLIWRFATKMEFHQDTAKVAEDAVRLVKRM SRDWMVMGRRPSGICGACLLMAARMHNFRRTIREVVYIVKVTNHTIQNRLQEFNVTES SQMSVEDFLKQDFLESSHDPPSFYRKSQEYKRMAGDKSRKRKRGATAEHANHDQGDDD GDDDGDGDGDGTRGAPAESRQRRLTEGGADLSKAPVIKFRRDADGFIIPPLPTQIPVD MPAIRGIGDNVDAQHDDEASADDLEKLAHEFGDAIDEELDQQEGQQEGSARKGKQSAK PQLPINEEWEEDEAELEGQIEEIFNDPLTYEHALAYSNAEQRARIHSHWALKQRPIKA VSMAADVTEDEFADDPEVINCLLSPDEARIKELIWVNQNKDWLRQNQEKLFRKKVEAE RPKQTRRRRKRARMGEGQTSPASSAAEAAINVAKDRAWSKRINYDAIRSIFDMPNVGG LGSEATSRKTSAAGSTFGGDDANEAEEAEESVAGDEEQEEDDDNGEYGEEGGLDGDGE EFGGGYDENDGDVEGDYGLEDD UV8b_00038 MPPDRVPDAQAGFQYWNDIAADENGMLGGLPSQPGFESISRIDL QGSRTFLARLGIGTKHDRNRVGSALDAGAGIGRISKGLLVKVADEVDIVEPIAKFTKA IRGHAGIRTISNVALQDWQPGTARYDIIWTQWCLGHLTDQQVVLYLRTCREALTPDGL VVVKENLSTSGSDDFDETDSSVTRQDSKWKSLFHRAGLAVVRTDEQRGFPETPGQRLL PVQMYALRPAEEPLAFE UV8b_00039 MGTSNCDYRGYMPGRREGAQVYSTQVLASPGRVISASNSHRRKS FVPPNEAVVGKTFIGRRYNANPQGFFTDIRAFCLNDEPRAPSGAAAVILRCAAAAVCS SDAPS UV8b_00040 MGVNSFHARSGSTAQLDTGDMHVCHICLKQFSRRDLRERHRRRC EKSIGKIIPTKKRSCERCISFKVKCDYEKPSCTRCLNRSVACEYKLEPRVKVPLNPAF SLGPNSAFSGSLDVFSTHNARQSAHFACAPHQPAASTAASSSLSSSSSSSTSSSSRST LTAPDMVFDLPCPTASSGDAAALDLAGQRYYAAPSTGDYYQHWAHDGSSSSSGGGGGG GGGGGGNGQGSQWDATTVWSDGSSSLLDPVMLDDPMMDSNWAAAANMPDLTMCMDTYD QGCVFYAPDFQQPSQGRSSKRSGKSASLSY UV8b_00041 MPPAGGAGRARTWTRAVDAPAGPGSQCLGSEFAPPWLLFFIIII ITTTTITTTTTTTIIIIIIAIALL UV8b_00042 MPGTRDVEAWTWYSFASLFTLCRFLSRTIRLGGGVQFFEPEDFV MVLAFGFYTNLIVWVTIQEKHLHTNILPPTGTEGMSAAEIDDRVYGSKITFLIEESMI MLQMLCKVCMCMLYLKLTSGLKQRQRLVKCLLCYVVSGWAITEVFFFGFWCRPFLNYF RVLDDNTPGCTTSQDHLIMSYVFNITSDLLMLMVPIPMLLTSQLPWKQKATICGIFGL GIFVMLASTLNRYYCFAHPDSILWIYWYVREASTAVIVTNVPHCYALPRKVFHLGAFG SLMSSMPRTRRARKGGGRGHGSGSGEEKHKHRAAAPGRAVGKGQSGFSESTENFTTPA ASLSKPASTLQIWQRSEYGIDANKGGDGVAEDTELPLWTGGMGTTTAMVEADRAAAGS RGSKRSNQGHEYGVGVALSTTP UV8b_00043 MVPTTPISASTAGDDVEKMRTLCHLGLRYDDRNGSTIGHADAKT DTIQQKNPVLRFLVNLESRIDRFAKFEAMGVERVPEDKRQPPQILNMVFFWFSVLFSP TMIQIGILGPIFGISVNTSIALTTFATLAGSTAPAFTATLSPLTGLRQVAVSRYSLGL WGSKLAAILNVVINVGYATIAAILGGQLLRAVSGGSLALVVGIVIIVVIAFVVSFFGY GIIHHYERYAWFFAFVLMCALYGQSAKYLSPTPELSRYSGIDLSGACLSYFSIVFGVC VSWCPIAGDYYVHYPAKTSKWLVFGLTYVGLVVPTIFVGILGNLFGGIVLTNKALSDV HETSGTGGLILAVMSPPDVWAKFACVFFALSFLGDTIANIYSSALCMQLLGKQFVAVP RFVWCTLLCLVTFALAFGGRNVLEEIINNLLSMLGYWTLAFAVILFVEHFYFRPKIGG YDLGAWQDAKRLPLGLAGTGSLLIGIGFSFLSMCQTWYIAPIAKKIGKSGGDLGDELT LVSVLISYPILRTLELRWVGR UV8b_00044 MAAGLAQAFHGTVIHSTSPSDLVIVEDALLVVTPAGQIASLQPG VSAERIPPTLASLGIPPACPVRTLARGQFLMPGFVDTHNHAPQWLHRGQGQGLHILEW LDQVAFPHESRFRDPAHARRVYGHVVGGMLRQGVTTASYYGSKHGEATKILADVCLER GQRALVGKCNMSRNAPAYYRDEDEDESLRVTRDCIDHIAGRDPEGALVRHVLTPRFAI CCEPGLLAGLGDLAREHPRMPIQTHFNESEQEKKATLGLFPAFDNEADLYESFGLLGD RSILAHCTIMTEYETRRLADLGCGVAHCPTANMTVGGGFMAAPIKDFLRRGIKVGLGT DSGGGYSSSMLNAMQHSLVASFARDYLDAGEAGTAAVSFEEVFYMATLGGAQVVGWDA DVGNFAAGKQFDAVVVDLQTERGGVNAPLEDDDSARTMLEKFVMTGDDRNIAEVYVRG RRVHG UV8b_00045 METVLVVGASGNIGISTILAARNTGRNVMAVVRNAAAQDKIVRH VGSRDGITFVQADVTQADGLKRVLEQVKTGRLPDFQHVYAAVGTLNCEKVSTMDIETF HQVMRLSCEANIYAYNATFQYLIEQGKPNSTWTIITGGLGDLGIGGAVSIAQGALFAF AGVGAYECMRTNVRFNEVYLALQVAVDDVAVKNKLVKASRFAQVYERILDRKDLKSAR VYVENERDIDHLRFEKKLPDSPLVKMALDGDLHL UV8b_00046 MAPTKTLTATVQEGYADPDSLRTAFTLAMSAMYKSEVPLYGTLV SIVSGVNQATLQSNLDPKVLSMRYGDATGASSRIDMERHGAIRLGTPHELRTIRRIFR IIGLHPVGYYDLAPAGLPMHATCFRPTTKEALRKNPFRVFTSVLRPELIRDADAKSLA MQLLCRRNIFTPQLIGLLDKADAQNGRLTLSQGDAFVSAAMDTFKWHATAAASHQAYT CLKAEHPILADVACFRSAHINHLTPRTLDIAAAQDAMETKGLAVKERIEGPPPRKCPI LLRQTSFLAIEEPINFRTTEAAQMVQGSHRARFGEIEERGAAVTPAGRRLYDALLSEA MDAAKLGGSVSADVLDGQVAAAFAKFPDAWEEMRRRDLAYFSYRVGEQQRPLSGGVYS LDELLAEGVVEAVPITYEDFLPLSAAGIFQSNLGKKAGRELDAQPDVDGFEAALGEAL CDADDLYRGLRDDSLGECARQLGVTIV UV8b_00047 MARRRTKKRTHVGAGNPDVASAGHASARDPKSMVIRIGAGQVGP SVSQLAADVRRVMEPGTASRLKERRANKLRDYAVMCGPLGVTHLLLLSRSESGNTNLR VAAAPRGPTMHFRVEKYSLCRDVQRVQRHPRGGGKEYLTPPLLVMNNFATPGADARSK VPKHLETLATTVFQSLFPPINPQQTPLKTIRRVLLLNREQPAQDGDDDDDGTFIVNFR HYAITTKSTTASRPLTRIKTAERLMAAKSSRQGRMPNLGRLEDVADFMTGGDDGGYAT DATSGSEPDTDAEIEVVESAPRRVVSAKARPHGRQPGPGDEPDTVERRAVRLVELGPR MRLRLTKVEEGLCSGKVLWHEYVRKSRDEVRELEQRWERRRQDKEARKKEQKANVERK RAEKEAKKKQGKADGQEQADDDDDDDDDEDDGENYSDVDFDEFDSEGLAGDAEFKANE TMEQAGDWEDERQEIGRE UV8b_00048 MPDEKRRKVAPGRRAHARTSPPPPADAAAPSEPEPEPEPEPEPE PSNDEESATLDAPSAEESSAVAPKTFKELGIVDSLCEACEALHYRHPTPIQQQSIPVA LAGRDIIGLAETGSGKTAAFALPILQALLDKPQPLFGLVLAPTRELAAQIGQSFEALG APISLRCAVIVGGLDMVPQAVALGKKPHIVVATPGRLVDHLEKTKGFSLRTLKYLVLD EADRLLDLDFGPAIDKLLKFIPRERRTYLFSATLSSKVESLQRASLRDPVRVSISSSK YQTVSTLLQHLLFIPLVRKDTYLIYLANEFAGKSIIVFTRTVWETQRVAILLRTLGFG AIPLHGQLSQSSRLGALNKFRSGTRDILVATDVAARGLDIPKVDVVLNYDLPQDSKTY IHRVGRTARAGKSGIALSFVTQYDIEIYQRIEAALGKKLGIYPTEKEEVMAFQARVEE AQRHARVEMKNLSETKGNGKNGGRAKKDGKRRRDDMDKEEG UV8b_00049 MPAFQAINLEPADDQDEQIDTTKELHVDEALKRFQAALKLHARG QKSRKAASAAYQELFESEIFCYREAKTDYDRAERHDAARLDTFAADNFSTALDVDAGG ADGVAASLSQALYMSYKNYGQFFLDQLKDRCESDPDWAKELRIRYHDHDSDKVLDNWT AALDQDPSDPELWRKTARFAGAMNSGRIKRYCLEAAIELDDDPAVAEVAPPSLAEGFA GQQLKEHLQLLDDDVSLSHPIIKPWLKREMPALLLRQLDPLPFLPDPSALLTPPSSCH DYGNKDEDEKKIDVAYLSAKGGESSPQAAKSWTELGVELMRCIQDTKGALQACRSILD AAHQDAKTGFQTEQESEPVTQPEPEPECENKPPNLKPDTDIKAEERVRQTDEDPPIVR RSTRQGSKANARAKVETRPDAPVNGLKESVMEVDQESQERCTSALIRKRSQSAAGLLE GADEGNVAEKRSKRARRRTEAALSEEAKDSYTSASVSFHIMPFHDCDRNLFELTKNIL ESIGVEDRATMFYWEELLESCDTNDRLSKLSHPGAKDLSHVLSNFDEDLANVLLNKNE QPTLGLSSFLEHTKSSSQDQDNISPLDEAEGLEDFSETVLDGAQWLTSDDIAFEWVRA MSQSYTVSKWPDTLKVAVVQMLNRADSVLYTRITEFLSIPTVSEVELNRLGDILPMLF ELHIDIYQRITNPSSAVDYATRVETKYRLDRWMETMSMYVELRELPQKDPLCVRFMWA SVMASSLTDNPVREHILLLWTSLRDFMVNQDVETINLPNNAVMPVISPAAADRELSKL TTMDFFLGLFQDEMNDPVSVIDTLEPVLNPTSVFLAEPAPSVPVGESGRDNDSQSTND SAEAESEGQSSKSEDGSTSSQELAINPKPISESATQGMKDLWKFLFNSSTELRLFLWS RLGDAYEAIGYPTKQFSCLLKSIEMMINDLEAEAYTKTPDESRKHLFMRTLKSLDELI IQALSMSLNEQTAFDIIDDEHLKSSSAAIARISAMLHVTTLCEDEGRIGIAALPTGNA NFMTLISKLRDMQVRTWCLLFTVFRAGLSQRKEACPETQLAKYLDGVHRVLGLRKFCK SSNKILLKVMRVELLKSKKFDDWIDYLEQVLYDLFGLKLGVGAWEVTDHACPTEKLEK RQAMQLVEKVMILANRTKMKDLLKSDLKATIDHMQQAIGQTKSTPQMIHNLRNFTEML KKPIHPLRLYQALSGNVSVDAVSVNMPETALARHGWFFLLGMIALTKFKGVDLNRRQT PGATDDLRIGATFLRLQLQFTADRWDAWFRLAECFDYELDEAVLWTADKMNKERSELV KYQRNAIHCYTLALSHSRHEQVGTNDGDPLHDLYHKFGLRLYSSSREPFAMEPFQHSD QERFFIEDMGAGTFKRILHDQMSEYKVWKLAARLFRMAMERNPRNWKNPYMLAKCYWK MYQTPSDRLDNTDRKAAITMTSLLDILKKSIKVSHSARRSRNSDPILEPHYKLVSILH KLVTRKDILPAKAASILAEQPFGVVVSSDDHFASFSEPEDWEEYIVKNLNKLREKDKS NWQHRIVMRHAKILFDESIIGMESDGFVEAKAAFALLKENMFTKTMVMNVWKCDAERP GRHHVYTEQYVRFMTNLMVIMSDRTNVELLLRRLRKRGADFYHFTDLWQYSCTAYVRL LRTAYKVPVTTDEAFKHMSNEEFEIMSHRITEWAGGEGQPNAAFECMKEAIEVKKLNG NLMKVAPIDDLINDCYTTIYKEVAGTLPGLEPGKIIEERNHAKEVAAQLEAAAQAESK TPKSLINLLNPPSGGDSAAGTATPMETDKAEVVPRAKRLAGVRRPEVLRKAEQAVMRA LEAPKSGANRVGSMSSTKRGSHTPARAGSKHSSDDDDDDDDDDDEPNGQGRRQTRHGA DEQMKETDGDENAVDYSRLDDKDEYGHGSIHDSADESDLSDVPEGYDEDVPPGLLFPN LGHSRVSSDDEADNEEIIEEEDQVGEEEEAEHAEREDYDSTANEIQVGHEEDMEDEVD VGDEGAEEERVTHEDTEMADADAEEEEDEDEDEEEDEDDEDGDEIEEDEDDEEVNEEV GEEVRVGVGEVEDGVEEEEAEEEEDEEEEEEEGEEEEGEEEEEGDEDEEDEDGVEGDA EEEGSEEGEEGEEGEEVHEEVEEDDDEEGEEGDEDEDNEPEEETGEEEGEEEADDEAG EEEQA UV8b_00050 MVAPAVPEVTEEVLHESVDARTESLVALRELGPPDLVHLLKQGV RNTGRQTGIYHHVTGVDASSSASLAAYINTLTYKDNGPNATSKIVEGLYCCYNAFSRL DMRVHVSIPGTVESYCVDERGEKRKASDELWLETYLCSVLRAYSYADDGSGEAIRKIM GVRRFNPITNTETEQRFLNAAEQLFFRGWQLGSDSVVQVPTNVSNHLTTGLLKYLETT GRYASGINLFEKLRTQSIEVSSLLSKVMFMGNEEVAGVKNLHQSLQETPMDYVMLDAQ AEFLLRKARKAGTPEQRDERLRMALGCADRSTIAAPSEFGTWARLAEVYVAMEDWENA LTTLNSCPMFTYQDKDTPVMPEPRDVHLPILPETRLDEIDSEPESRFSEQVDASLMGL RAAGYRGTFKQAYKILTEMTAKIGWDQLLKIRSTVFVMEDEYRTEKQEASQSAVSRRN PSTDGLRGTPVPATNGDDDSGDEEANQSAGRETNGEAADTNGEANSVERPPQTIDPKL IKAETENTVRNEENLSKLNTKRLCERWLDSLFMVLYEDLRVYTIWRTQMAQYRAQQMQ YKKSAEEWEILGALAERLQHVDEAVEAYRACLSIRFSPRSLAGVLRVFERSKNTRDTL GAVIRLVTWQYRWYSEFSPELLRTIRTLIEDEGAVKVRSIIQATSLPQNVLDLTHHYA ALCATFRSSGTDG UV8b_00051 MDESMASSGEASSPVAIVCVGMAGSGKTTFMQRINAHLHGKKQP PYVINLDPAVLNVPFDPNIDIRDSVNYEEVMRQYNLGPNGGILTSLNLFATKVDQIVH LLEKRAKPDPEQPDRRPIDRIIVDTPGQIEAFVWSASGTILLESLASSFPTVIAYVVD TPRTASTSTFMSNMLYACSILYKTKLPMILVFNKTDVKDASFAKEWMTDFEAFQDALR RDEDSDALGGVEGGGHGGSGYMGSLLNSMSLMLEEFYSHLSMVGVSSRLGTGVDDFFD AVEEKKREFVRDYLPELERRRRDRQDQRKKSREKELDKMMEGMSVGQKQQGRAGGAGD SDVDVASDGDDHDHDEDSEEEATKQGLQERYQAAMGENEDSVLADASFAKYLHKQR UV8b_00052 MPREEYQVPSASTARGNTRDDHSRSVMTYICGDCGSSVTLGKDA LVACPHCAGRVLYKERTKRMVQFEAR UV8b_00053 MAPHTVLVDTAADFAPAATPLAAKRNLLLAPPSVAAHEEKLRHV FAAFDRSSTDLQMLDRLSAGLVSLPAAAYDLVLVLRDADGSRGPELAQLLNRHLFTMV VPAIKAGGRLQFQDGQFGGAESKEAILAGLVARDGGFEKPQEEEVVVPLRLGKSKTTR PAAPAAPQFDFDDLDDDDIIDEHALLDEEDLKRRPQAPTNCQPQKRRRPCKDCTCGLA AKFEAEEKERRAQADEGLAAVKLDTNDLNELDFTVKGKTGSCNNCSLGDAFRCSTCPF IGLPAFKPGEEVRILNEVQL UV8b_00054 MNPDATTPPSFVVLSAPLVIAFLDIMPLSHGHLLVCPRAHRPKL TDATREESLQMGNHLRVLSAALARATGVQDWNVVQNNGAAAAQVVPHMHYHIIPRPEI RASGRLSESFTMFGRGQREELDDGEAESLAGRIRAEVACVLAEELQDEKGKINANL UV8b_00055 MALKHTSRTVALNTGDQMPAVGLGTWQSKPHEVQAAVEAALRAG YRHIDTAAAYGNEKEVGAGIRASGVPRRDIWLTTKLRNPDQTRVADALDQSLRDLGTD HVDLYLVHWPAATDPADGMKLVPGWTFVDTWREMQKLVRTGKARNIGVSNFAIANLEV LLGHESCEIVPAVNQIELHPCNPSPRLVAYNASKGIHSTGYSCLGSTDSPLYTNETLL GIAEAKGRTPQQVLLMWGLQKGWSVIPKSVNPERIEANFQLDGWELSGDEMRSIDGIE ERFKVCTDDWLPVKVFFGDDE UV8b_00056 MSPLVVHLRCETKPLERRSPLSPETAKALIEAGYVVRVEESPDR IYKADEFKAVGADIVPAGSWVKAPTSDIILGLKEIQADGTPLPHSYIHFAHVFKRQHG WAKELSRFSNAGGLLYDLEFLTDENGRRVAAFGYWAGYAGTALALLAWAHQLLRPGTA QGPVPVFDSASALVDLVRSAVNEALAANEGQFPRVIVIGALGRCGTGAVECCEAAGLP AESVLRWDMAETSKGGPFREVAESDIFVNCVYLGAHRVPPFVTFESLSGPGRRLRVIC DVSCDPNSENNPIPVYASYSSFQSPTIPASGHLDGPELRIIAIDYLPTLVAREASDEY SSLLLPALLTLDRRDDEGVWKRAERTYRARVAEIPEGTSPAS UV8b_00057 MSARKRKQDEVEEELVSLPEEDDGEEEDEYVSTGDEEEDDDAEE EEEEEEDDDDNGEEEVEVEEEAADEEGDAEGEGDGEDEEAADGQDGDEAESPSEAEEN GVQDDQPAAKKRKTAEGSAKEVTNGKTTNGDRSEAKAKPAAPAKEVVKAAEAPAAAAE PETATAGDGDEAAEGE UV8b_00058 MVERRETFASHAASGRSGNLAADKSYMPMTRDEASDAALGNDAD VSAPRHQSIPRMHGSSKIASPAEGPSPCASVLTRAISIKYTALCIGATGSYASLANTG YVEISYREPPRGSEPRGSAKDHGEVCYSGRAILLPWLGRQKKRSEMETRFGMGLARRD DGSRAVLLKIRASSEADNETQSFCLRRKRDLAKFDPWFRKPNTQMANAHHPSRMTLQP VHFGR UV8b_00059 MDPITSPQSGEDGPDLGQRTVRNRKCSLYASRVKPFTAESSMPT DTPQIPDVPSLPMPSLPMSPPRWDSLAHGPHLLNQSNPTAAPSTAPIVSPEVSPIQSI PILAGSVTIPTTPKPGSIITGTSEPRSESPSRSLKGKTSLADMRSAESSPVIENFSRP RKQSIRQNGPPEAMPGAETLKPPRLANLAAPGSRAYDLSNPLPQTPPWQRPPRRPSES SVKSTCVLASPLPHQAVDYGEPRSHRARNVTGPPALAPSRAPWLGGDELRCSFRSQLT ASTTPGTAVTERSSVLTKGSSVTSLENPDEPSLEDVMGMYEKGFADDEDDDNNDGNFE RHQSEPDMSTRPIPAVLEPEMRLSPTACDFPPDAEIRQSKMIFTSSAFTSSVAAISGG KADVDATEKRDSAKSLDSEPSAASSPVAPIDRGSQPTILTRSSPSPTPSPTATAASPA FASIAVEPEDPGSRDRYGFKKANQSVTREQYDSWNQEYSVYLARRRRKWVGYLKDCAL MTERPNRFPSPCAKTKRFVRKGIPPDWRGAAWFYYAGGPAILAKHSGLYEKLLHKQAK LDDIEAIERDLHRTFPDNIQFKPSTYVEPSPSSSRNSQSTMTRSSTDDNVPGPSAAAS NEPPIITSLRRVLHAFSVYNPRIGYCQSLNFLAGLLLLFVETEEQCFWLLNVITIIYL PGTHDMSLEGSKVDLGVLMTELRDSMPSVWEKIGGELENLPTGRPSTSKSVRKARPIL RRREQAPGLSTERLPPITLCMTAWFMSCYIGTLPIETTLRVWDVFFYEGSKTLFRIAL AIFKTGEHEIKAVHDPMEMFGVVQAIPRRMIDANALMEACFKRRNGFGHLTQGVIDER RQERRDRSRQELERKLCSEKTDLDGNPAEAEPGTVSRKGTLFGKKKKGVIGVRPAEV UV8b_00060 MSTKRITKEYAEVSQTPPDGYTVRLPNDSSIHTWHLTLAPPPST PYHPGRYGVLLTLPADYPFRPPAVRFVTRLYHPNVTNDALGHICLGILKPENWKPSTR IAAVLLALRDLLVEPQPDDPLEDAIAHEYKSDRAAWEKNVRAQVGKYAMAEPVFPAVA T UV8b_00061 MTADQPTPLRPLSPIELYSSARHHLGIYRSVTVTCRYHAPRPRR RIDPGLLYPALGRVVSAQPMLQVGILHEDTNRARFCQLAELDLAEHVSFATLDCQSLR QYNDQLDAQQGWHHDQVWPDLAHRQPWRIAVVEPGAAAAAAAAGGGGGEGAVPQDIVF SYHHSLLDGTSGRLFHEHLVEELNQELRTRQGAGAADAVADPAPPATVVALPRQAPKL PEPQESMIGFSFSPVFLAKVLWNELAPAMLRAAKVIPWHAKPMDLALPYVTLTKPLDV PWPVAARLVKACREHGTSVTGLTHALTLASLAARLPAQEATSFAASTPIGLRPLLPGR GANPGAKDLLRVLVTSHAHEFSAALTAEVRRAASSPALDRAIWKVARRVKGELVDRLA AFPRNDVVGLMKYNSDWLGFFKKKHGQPREVSWEVSNIGVLRNQGGGGGGRGESAAAA SPGFTVSRVYFTNGAMVTGAPVGLGVASAPGGCLTIALSWQEGVVSGELMKGLADDLA GYVDRFDKTGHFGPRDP UV8b_00062 MNIASSVWQKCWIMYGDSGWRCSTAKISLLVGPVVASAGTAFFH GNGIAELEDESGASQAEQLSSLPKLEADGKRSDSTVVIQEATAFRDAVK UV8b_00063 MDRDVGNDAAASRSNGRLPSTKLVVSHDGRKPLFPDNATTRGLR NGNASNQIRSATASVGTGHGPNAPPPGAGAPRGGLARRANSEPQGAASEAGHAGGKSP RAGTPGGSRIPRLELAASQGRRPISLAEAFKIAQEEEEEAERARQLGGSPSPAPRPWR ARPSGQPEDDEAKARPMPAAERLHSKGATRPSGQARRGVTHTLPSPDARARPGQAAAA AAVSSGSLSRRWGNALSLEERVNEWRQHSPAAAAAAAAPTPAATAAAHPPRAVPCPDA LGYSSEDGRLPDLVPGIEDMAFGSPNRRFGIASPSKDFTWQVEQDFTAGDLQVSDSPR IRAGNNSNKPFANRPSIFAKGAGIDSPARVIPQPEPVAKASRPGSSRGTGLGNGLLGH DGAAGLSDQPTARKYARLDELRQREAAVEKQMAISDRNTSRARNAKIDQLRQWEAKGL SKRALAAARLEEIKEQNAMARPPPPDGQAVCGRPSSRLADRGEHVPDTPVTIFKSYRS QQENLDPMQPKLPPLDANDANAEAKPGQPRSTNERDLLRRLARAASASGSPAPPPVPA VERQKRSDDDGHKAAAKPLPGGRLADNDRKSAPGFSSTTARDKDGQPPRPTVGFAGLR RANSAGSAKSKRSSMHSESDPTVRIEAEMKLFAPHENHSERGSVRAPSPQPGPEGEEE GQEGGGGEDEEEEEEEEEGQANGENDKAEDGDASDLAQATPRPQKHDFLSLPTPRVTG AYVETPVTTKTGEIKGEEEEEGEEEGEGEQEQEVKPFKEKLREQREREQVEKAVRPNG AVSPEPIQDVSADRDRPSSGRLADAAAAAAAAASATTATASTAAAKRPRSRSTSRKRQ PLKNSAKLPSVKDDLRELQRQHNIDDSTVDDDIEEILSGRKHPSPKLTQLLQELPARS AGDVDDAPLKALDDEVKQEPAAAEDKDVSETESVLFSKMSRTLRAGLSNIRVAKIGIE RLQDQVAQTEAKAPGLEEDRPTEAPKAAARGAKQKQHQHQHQHRHRHHDKTAPDADAD AAASPPAYLVLPVPRLYRTAPRAGLTLWGLLLAVLSAWYAAEWAMCARYCRPTTCGSA PCVYSYDDPAFGYALPVKLDQWTTGGAGRGLAHRAAEQVQDWVADLDDALRGRSLQDV AVERLTAEERLQHRRRLRKRGLLRPPARAPGGADQQAKWDAWRRSRLAREQRAREARA TWAPDEAIGGDERVW UV8b_00064 MAAASSAAQMPFIKNLASSDRRLRSQSLAALETFLSARTSLADH EALRLWTGLFYALWMTDRPRPQLALAAQLAALPARLRADCVPPFLGAFWPVLSRQWAG VDALRVDKFLALARRVLGAHVRFAREQGWRGDGVARVAGVLAGSLDEGVAPGLRLHVL DVWVDEMEREGALLADGGGRAFAEAFAEAVRRAAEGSAVRSVRARARESLADGRLPWG KKEEEEDGGDEDEDEWSGIED UV8b_00065 MPSLLPAAAQALLARNEAGKDAGQEIIDLLKNPFVNTKIVETSV YSALATSLGFTAFITVCFSFIRPYHQAIYAPKSKHADEKHAPPPIGKAPWAWVSPLLN TKEVALMHQIGMDATVFLRFIRMLRNMFLVLALVGIAILVPVNISNFVLVPTNGQPDR TGWILRITPRNVWGTPIWSVVVVGYLFNLIVMGFLWWNYRKVLHLRRLYFESDDYQRS LHARTLMLFDIPRQGCSDEGIARIIDSVVPNSSFARTVVARNVKDLPDLIEEHGKAVR KLEKVLAKYLRDPRNLPAARPTCKPSKKDRSFGTYPKGQKLDAIDYFTQRIKALETEI KEVRARVDRRSTMPYGFASYSEIAEAHSIAYACRKKKPHGATVTLAPKPIDIIWPNMP LSSATRSRRRWVNNFWIAVLTLLWVAPNAMMAIFLVNLSNLGKVWKGFQTQLERNTTF WGIVQGIASPALTSLVYLVLPMIFRRLSIKAGDQTKTGRERHVLSKLYAFFVFNNLIV FSFFSILWAFVSSVIRSTSEPGSNGKKKDAWDAIVEAKLATSIVVSFCNNSIFWVTYL LQRQLGAAVDLAQLWPLIAAFFQKKFSSPTPRELIELTAPPPFEYANYYTYFLFYTTV ALCFCTIQPLVLLATALYFCIDCYLKKYLILYRFVTKTESGGLFWRVVFNRMILASVL ANGVVLLITWAQGDGRHFQFYASCPLPLLMMAFKVYCRRTFDDKIRFYNIRQMRQQQQ QQHRHPEAGAGAGAGMGMGMGGDGGHQSRNDKLASRFGHPALYKPLITPMVHQKAQNM LPSVYRGRLTDGRDNDGSDLVTVSGYSDMYALDSMKGGGKVGKPARAVPGFEYVSDAQ MDFEYYKNRAEFSEEHGGHELYGWEAETYRGGTPASFDDRYGGSGATLSRPASPMLSG RASPTPYGAAVPESQPSRLGMADMTVPDMGYTSYRSPNAAGYAASRDPIPRGQTPMYS QDNGSSCGLVQHAAGVPMDTGPPGYRSGEVLPVDQGGRATPTRTYAPTPQRIVSSPLV AHSRAGAGGGAGAGPGPSIGALGGGPQGYSGLAQADDTQAYVESDPTQYNYFRAGSGN KASRTAGQGYR UV8b_00066 MKAPLVILAALAGLAFAAEPEFAQFPECARPCLSSAYKTIGCGV HDTPCGCKAENQKKIRDHATKCVIDACGISKALKTKSIGEKACKDFKN UV8b_00067 MADTSAPALEKVGFSGPNASRRPFGAATSEDRPSLPACPPASAQ VTEEDEGKSGDKPVDKPVGKPVETNAEPPVSEGKPDGSGLGGLAHPPKPVQAVSAAET HVNGSTPAAAPVEPVQEPAREPVAKTGTEESESESSPAAEASLDGQEGKPAAPAEEPE PARELKSTEETSPATGVPVADGATDTHKPNAKPETPVTHAGPEAESVPATAPAPAASA ASTSKTAGLNGPVAGGKRKLDDTAEPATAEPRNQDGAAPDAIPNGKKPKIDDDDDASA QPPANANANKTPAQEQTENSSSSSKAANAKKKKEPVIGRTARKTRSQGPAELPSV UV8b_00068 MAPAKTSSNKYSVILPTYNERRNLPIITWLLNRTFTESNLDWEL IIVDDGSPDGTQRVASQLVEAYSPHVVLKPRAGKLGLGTAYVHGLQFTTGNFVIIMDA DFSHHPKFIPQMVAVQQAGGFDIVTGTRYAGDGGVFGWDLRRKLVSRGANLFADTVLR PGVSDLTGSFRLYRKSVLEKVITSTESKGYTFQMEMMVRAKAMGCSVAEVPISFVDRL YGESKLGGDEIVEYAKGVFSLWMKV UV8b_00069 MSDPHKAPPKGHAEKKPSVSRSSRPRSSVKGPLDEDDPLSSSAS PDPQRLSTSSQTRQSITSSTIPQIAESRPKDFSFLLRPEIYHPLTPLNVPAAFRNSPK QPDSSAPLESLLAKGHFRAAAIAAVQQLTGSGGAGGAVESTDSQRIFDLLYTRLVCLT LIDATSLAAQEVKALEDLSNARIYVDDRTGEHLVPWDLRVLNVRLQALGFGDPRRAVM SYYDLAREARSHAAKAAAAQRDDSASELWKTRLHELGVKVAGALIDMDDLSGAAHHLD TLKDGGDGKIALSKALLWLHLGDVDKAKGCARQCGTDIGAAVTERIILALCHMADDEY EAALETWQGLKGDLPGDEMVGVNAAVCLLYLGRMQEGRDVLEALVESGFSSRTLLFNL STMYELCTEKHRGLKTELAERAAGMEESATGWEKTNADFKL UV8b_00070 MVGLRGPAVAALLALLALPALPTTNASLSTAGITGLANRLFDNQ GDAFELVLTEKHAAWSRWNPPVNDNYTVKAGKDGKILVEGTTLNALARGLRHYASEVL QIDRFLFVDTYKKSPTNLPVPPEPLEGASVVPWRYNLNTVTFSYSFAWYQWQDWEKLL DWAALRGVNLQLAWVGYEKIFLDSFQHLGLTQDEILPFFSGPVFQAWNRFGNIQGSWG RKGDLPVSFIEDQFQLQKKIVARMVELGITPVLPAFPGFVPGAVRRVRPGAKLTPSPN WFSPAPDKYSRDLFLDPLDPTYAELQKLFVSRQMQAFGNVTNFYTLDQFNELSPASRD TGYLSGISRRTYAGLTAANPAAVWLLQGWLFYSSRDFWTQPRIDAYLGGVGDKQGMLV LDLYSEVHPQWQRTNSYSGKPWIWCQLHDFGGNMALEGRVQNLTRAPIDALRRSKSLV GFGLTPEGYEGNEIVYDMLLDQAWSPNPINTRRYFRRWTSKRYALGSSLAPPELHRAW EILRANVYSNSRADIPQVPVATYQLRPALSGIANRTGHFPHPTALHYNPTVLQKVWQL MLKAARAQPALWDVPAFRLDLVDVSRQMLSNEFDSCYRDLMEAYQCSISSSTTGQLRP RVSAPPPPTCHVQAAGRKLLDILSTLDRLLGSHEHFTLQRWLSAAASLGSRFGNEDLF TFNARSQVTVWQVDATSLNDYAAKAWGGLVGSYYKARWAIFVDALVEAGDDGRPVDQR GLNEKLRAFEARWQAGGAVAQAAEGGGLGMVMQGLQKDWPQVFPRASLVEGCL UV8b_00071 MGGGLEAELPPRAAEDAAAASTESSGPLTTIDDEEKARDIANND LRGPKKQTYSGLVLLWLSFQATGIIYGDIGTSPLYVFSSTFSAQPSWADLVGALSIII WSLTLIVTVKYCFIVLAADDDGQGGTFALYSLLARYTNITRRGPRDPSSSSSAAGAAA GAAALKRHDTNDLKLGGRSLRGFLERSPASQLALQFVGVLGVSMVMADGVLTPAQSVL GAIQGLRVVRPDLGAPAIVGVSCAILVALFLVQPLGTAGIGTAFAPVVAVWLLFNLAA GAYNLAAHDWTVLGAFGPHHAFLYLARNGADGWRSLGGLLLAFTGVEALFADLGAFSK RAVQISWLALAYPCLLLAYAGQAAAISADATQTAFQNPFFATLPPGSFYLGMVIAVLA AVVASQAMITSTFQLLTQIMRLSYFPHIKVVHTSRRFHDQVYMPMANWLLMIGTIIIT AVYNNTTSLGNAYGVCVITVTFITTCMVAIVAILVWRLPAYVVLPVWLVFAALDGAFL SSVFGKVPDGAWFTLLLAFILASIFTLWRFGKETQWAAEAKDPLSPQSLLDLDVGPGH HHHHQQAALKPAYGGIPLSTTPGLGVFFDKTGDPSSLPTCFAEFVKKFATRPAVVIFF HMRPLPRPWVAPEERYVVTRMAGLAGCYSIALRHGYTDHALHPDVARDLVGQVELAVS RGPATAASDAELRVLRAAAGTQVVYVLGKEVIKIRPPRSKASIGGFLRYALLWVFLWI RENSRTKLADLDIDANKLIEVGFVKEI UV8b_00072 MADDGADDGADDGADDGAVNERGIHHHHHHHHHRHQAALPGRTA ASALPHFHDDGVTTAVFPAPCLPCSLCVLPPSGLGFKSPCVGVAMPAAHLGRARRDAT H UV8b_00073 MQHHALFGYPTPPASPAYDHVKCGNSSQPEAYSTRHVASRHVPL APEQRLGRLLEGKLQLTDILGTGAYGVVYSAADVKTGVRYAVKCLSKFNADGTPLERR QVAYQQREIRLHYLASGHPNVVSMLKIVDDADSIYVILEYCPEGDLFLNITELGQYVG KDELARSVFLQILDAVEHCHKLGIYHRDLKPENILVTDNGNTVKLADFGLAIADERSE DYGCGSTFYMSPECLDASTRKPYYMCAPNDVWSLGVILVNLTCGRNPWKQASFQDSTY RAYAQCRDFLKTILPLTDELNDILGRIFDPNPDLRITLADLRRLIMACPQFTIPAPSA AQLVPDSVGGEEAIVDDYEYDSPLSPASTNSDEGSLTSSGSTLDDLEDLDDDDDDDDD DDFAQEEQQQQQQQQQQQQQLQLQLQQQRQHQQQQRHDAPAPVCDPAAYGHEQRREDG MFQRHAMSPPFGAQAGALPLPLPLPLHHVPPLPPAACQQAPVPMQAPVPVQVPCQPKS FFLWEVVRYVQQVPMMQHPVAFQQVPFIPSFQGCY UV8b_00074 MYMYMYMYKIEDISVYASALPLPLPQHRIVFLDPLIRLSRLAIL SFPFPLPPPLVAILVYCHIYSVAVLSRFERRSNKTTLLYTCPWLGTALLFAPG UV8b_00075 MSMRSFAVMKASGVLGAWPGVWPGVWPGVWPGAGWCWLVLAWCW PGVGLVLAWCWPDVGLMLA UV8b_00076 MNANQNQAALEATTAVGIAAVEMLGLAAENLGLEVDRHKRRVDL YDDFQPGQRNGHAAHRPHAGKSLHFRDEAAERRDGPTTNGKHPDNATKQPEPEPEPDY QEPPQIDEDAEIERRRRRREELLAKSSSATPLLLHAVGAATEKVARGASPASTQPDTS QKSSFEGETPRTPRSDIASPRSPGPSPGGFDILNDKDLMNTHSRVQADGEDGPSAANY DPTADMREDERRDELRHGNSILHGGRMAETIEAREDEPQIDATKNLDKEDADDFDMFA EDIDVDKYASKHTKAVEVADADAQVAQAEVKGGILEGDDKDGYYKVRIGEVVNGRYQI QATLGRGMFSGVVRAVDITTKRVVAIKMMRNNDALRKGGYTEIAILQKLNDADPENRK HIVKFERHFEYRGHLCMAFENLSMNLREVLRKFGNNVGINLGATRTYAHQIFVALAHM RRCSIVHADLKPDNILVNEQRNVLKICDLGTAIDRSDAATAHNQITPYLVSRFYRAPE IILGMPYDYGVDVWSIGCTLYELYTGKILFTGDSNNQMLKAIMETRGRLTPKLFRRGQ LAAAHFDEQGQFISVERDKVLGKTALRTLAVVKPTRDLRTRLNAASTGMNDAEAKHLN HFIDLLEQCLALNPDKRITPSEALKHPFFASRSAASHARR UV8b_00077 MGGLDKGGGKKKPLKQKAKERVEDDDDTKAHKEKLRQAEKERKE MAAKAGGKGPLNTGAQGIKKSGKK UV8b_00078 MSEPPSHRRRRLSDPIHKVTSTVKMLERKSEAKLLLLWDELPAW RRDNIFITSGYRPIRASYTHAFQSLFYLHNESVNIWSHLLGAVAALSSAMYVYYVVQP RYEQATSEDVIVFSCFFGGATLCLGMSATFHALIDHSREVAKWGNKLDYMGIVALIVG SYVPALYYGFFCHPKLMVMYLNLICLLGVGCAIVSWVERFRSPRWRPYRAAMFIGLGL SGVVPVIHGTTIYGYSALEDRMSITCIIAHGAMYIFGAVLYAVRWPERSFPGAFDIWG SSHQIFHVFVLLAAATHFYGMAKAFDNHHLNGPQCAFE UV8b_00079 MRTRTSNRAKKFTPQKYDFESSSDAEAPSRDAASSQRPNPNPKP APRPRRRAASAAAASSSSSSAFEAADSGPDGNSPDGNSPAAEAAPDDDTAAAAAAPAR RAARVKKARPAAKRPPSGYVQVESVTTDTHMKGYVGPYDRSMRGQTLISTWYGPDADD VRLAQRLLDRWIGWTVLPPKWLPVEQGLSDTAPWAAAADALEQEARLSHRWWARLASD AAARTRYRVLGDAERKPYEQPHLGIRLLMGPSGAQREEVLFPGDAFALSQAWMRYGDD ETRDKIPTGWILDAGGIVTGMDWARKADGPQLLALAVIPHGDQENYNYEVEHQKPDFQ RCGTVQVWAFRGGAVRQVPRPSPEKPVLRKTVCLHHGRARRVRWNPVSNHLAVLCGRG RVCVVEVDEQGDDDGSFVEHATASLMLDNEDSIKATCFAWATFNRLVVGYSDGSIALW SLHPSCMLSRHAVHHNLVVDLATAYPSQPYLVASTPVGGTPKLVDLSRPTHERTEVQT NAIAWQPNMLAYSGHVQGFYAVYPSANALNTTVGFMHQRFFPIARRIFVGESYNSSLA VGKTHPFLLIGTSDGCLWSMNPLVDLFQSRREQKDRLRLFQHEHRPKHLFPGDSPASS RGASRVMHGFAIEKGRSVKGEVKAPAGKNSRKTKKPDAVDAGEENDDDEAGGLTDPTR GIVYEPLSRITAVEWNPNQGFGWWAAAAMASGLVRVMDLGLDNVR UV8b_00080 MFSRSEEEPEEDQRARRHAQEPSLQVARQPSKSFFQAVLPVFAC GAGLFSDGYINNVIGSVNTLLKLQYKEVYTGSNAARYVADIAFAGTVVGQLLFGFTCD YWSRTNSLMASTVILIVFTALATGSYYKGDPVGMFNMLTAWRFFVGIGIGGEYPAGSV GCAESTGELKSGTRNRWFILFTNTMIDWGFVVGAFVPYVVAAAAHSQHYETIWRTSLG IGVVFPLMLLWMRLRLKEPEEFAKESMRNNTPYLLVLRFYWFRLLCVSLIWFLYDFST YAFGIYSSDILQGIYGKQAALTTVFGWNTVINMFYLPGTIAGAFVSDWVGPKYTLIGG VLLQALVGYVMAGVYGSIKTHIAGFAVIYGVFLSLGELGPGNNIGLLASKTCATGVRG RYYGIASAVGKVGAFVGTWVFPYIQAAGGNDVESAQYPFWVASSLCVLSAAVAWLFIP NIQQDTIAHEDARFRAFLESRGWDTAQLGLGKTDSEVGRSGHHEHDEHGPDKSGK UV8b_00081 MRFSSFTRGHRGSGIGGTAATILGILELLISLHAEEATAEQPAP ASSQAYDLGHLGRYPVQHFKSFEHIAPRPNMIRQDDRCSRSLLTFLSPHGSAEQAIQP QATILDHNGRLIWTSGWDKKQIYNLMAQEYKGRKYITFWAGKTVVGGHGAGSYYMLDE TYNMTRRIQAKNGLKGDFHEFRITPEGTALITVYDVQEADLSGFGKERGPIRDSVFQE IDIETGQLVFEWRASKHVSIADTHRDIGEEGEPNGKPFDWFHISSVDKDAQGNYLISS AHLHSIFCISGQNGEVIWTLGGKGNNFKDLSGGKATNFAFQHDARWDNNYSELTLFDN SREAHPRGVRLRVDTDVMGVELITEYASPTHAPAASPGSLQNLPNGNVLVGYGSSGSF AEFTRDGKVLCETHFGPQSRSGTSAIRSYRVLKFAWHSAPETQPDLTTSQDDASVWRA YASWHGSTEVSDWVLQGSSDPAVGKWKTLASKAKDGFEADFLLEADHSKYIRVVAMDS RGNALSTSEPAETRMDIQMHKVDGSRAAFEYRNARARAWLAGLATGWGIATLALVFRH ALEAWFTRRRRMVGHAVAKGEKEDARGPDAPPLPC UV8b_00082 MDGAESVLQSVFTRLNATKAATLADTSVFAADDTEKLKNHSPKG PSENPDHSEMNEDKKQELPAQQESALLGMGRKGDQIVGANDVSTNNSRRVTGKYIEQQ AESANLNCAVPLKIPPDNPQSTSTPAGTRRTKRSYRALQEEATAFLTGWNPSKSHLSS RMTRNRRETRPESKDLDCRDLNQQPAKRGKPISFGVTTWDMVPDSRLEIVIPLKQNLR RDTYEYIHNCVIDGKETLSIANHRVRQSQRPRRQGNGGRGRATKREPPTVRASRVKEA PTKAVSPKQPVRQGRPSKRAKGGGKYLVNESRRSLRTAVQRSRASRKENIASPLRKAS QRAADGSVTSRGRGRRQ UV8b_00083 MGDASFGLIPKIRAFYEVHGCEKESTGWVSEPPKELSSFAAREH DGVAIKVFKARVEDKLAAYGSTTPKYFAVQVQNPALVDALKRILREKMGRHLDAEHRL EFFEPFTDLWFCRDEIANLRTMEQERTRLMPYLQLLLNVMNDMFSTLSYRYKFIHATG FVDFHTAWTLFPRGCQVYTHEETSELVCKIRSVEYQPTNGVCYLVAEIEYLTFSGTGF VWVSATLRMAEFKGNMPITEMLFFPLQLHPQKDAVFSRLVARGKKMLNLQGVECRCYD GIALVSPGVSPGGKGVPLKFRVSGPIIVDVLGYMRHNCNKGPRDDLNPRARSYVENAD ADQGPLTRRAAQQLETTDTADHAGLSLGGFGHVDGAIPYKQEAHDTMMRHVTEAQALE NKVAMLAMGSDLVYVTGFVEGYSLERKLWMFFQVEDIQPIEWNTAAYDDLVYDAEEKY RVLSLVQNHKWIRPRCSLASSLGIRSSTASPPLADDFIAGKGQGLVVLLSGSPGTGKT LLVEAVTHRARRPIYHLQAEDFGTDVNVLATRVKNIFEWATWWNAVILLEDADHFMTS GGTEGTVGNELATIFLKELEYFGGIIFMTTKLEKPMDFPLKSRVNVHLMFPALGRGAR EQLWRRFIGRQSESEPVVEGWPAHGTAAFGEENWKFADDKDFFRLSLWELNGREIFNA NRLASCWCSIEGQAAVSLQMMERAIKITNPQATRAAAEDDYEVCCNMGDFLGHGPLPS ISRFSV UV8b_00084 MHINYRNTGRSSHFFLSASPSQQESSLLAWSFPISGRTGRNARI GDDLLAQGSCWRGGAKFCRGSGCYVSFIDGYCRKLGMSQLKKRSPASRRSLYKLGMSL TIGTRDGHLTICYLAWFALSPQCGIIPLSSIDGARVAAGISGLCQALDGRWRNVSSSP MLRRCSTM UV8b_00085 MGASTAVAKQTSFQTDGKQQKRGQRRRYTKTKFGCRTCKIRKVR CDETWPLCNNCRRTGRQCDGVAQTAAKSKNDVTFIKPLTLSHFESLQPAESYAEEFES VDHVCSRLVHCIAKEFGRPCWKPIIFHTSIEEPSLSSASTAFCALCGQYRGTKSAMAS LDASMPAIKPKVRDAIFDYEKRIQKQREQTTSVCDRSMNVVVIRLVICVFFELLMNNL QTALSHLQHISSILACNKYAVDRDLALALTRLELQAANLLTFGQQFSTSRSLGDTSPA PNRSFIELESEVTYLVGTVFSFLRNKADQYRYGSPGSILPDILIEASTLESQLHHFSG RIDQMKSLSSSSAAISPIEEAHLRMRTLTGVILVATSLYAEESIYDRFMRDFLIIVDC AATLLGNIPVTNVVEDPDLTLEPGIFYPLYLTACKCRASNVRRRAISLLYEVSKLGGA RDAYLYAQVGQRVMQLEEACSDEEQPTDSKEVAVAVAVAVEGFRRQDATSSSARNRYQ RLCDTVSPRRKTRTLYIPYSFATGLSHSPRI UV8b_00086 MSRYAHGSDVTCNGSAPNETLTARERLNRSTIHPSAGTTWLTNV NTPSELELQHALHGPLLRGDSLYAGVASLTANAAHRASSFASIPASASTGASSGREPR FAFPGPEARLAATASGCSSFGQLSLPGCGPATPAFSLLQANSTSTRDDNLVQAYNLAD RFNVRHASGPSKQRSLNDLLSCIAPAPIPRPSSIPARHPTHLQPLTPLPSALLNESPI DLQLQKVHFYPGPLAPPLAGARALCSSPSSPDFPQLAPPTLPPGTSASTSASTSTSTS TSTSTSASTSASTSASTSKSTSTSSNGQPPRFVPMLAVTRPDKTGRDFTPLPLHSTQI ASLKFSPRYHGMHTENNASVESLAPDQNCALWLTNLPSDITHAELLSAIRNMGRIYAT YINYPDYVTHNTSAAKVVFFTPEAAQKLLSHVTPATPMVIRGYQVKVALNRIKYARNS TEQGESRVLIITGNKAFVNERSLTAYFEERFAFQIDCAQTLIEHGDRAVVEYKFGSYR CQAQMGMKALLLDRPAGLEMVEYGKDPCEVGSEMTSFGVAGERIQGKGLAMLRG UV8b_00087 MARLRHLRHWTIHRAWQLFRRQQHLALAKERQRMHAGMFNACEE LRRTAGPQGRQEGYLYRVAMEKKGVWGTDAIPIEYARFQTDSPARKPWNHEWKR UV8b_00088 MASSNSQNVIRRKLVIIGDGACGKTSLLSVFTLGYFPTIPTVFE NYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYSKAHVILVGFSVDMPDSLDNVKSK WIEEATRLCAGVPIILVGLKKDLREDPVAIEEMRKKSQRFLTPHDGEVAAREVGAKRY LECSSLSGEGVDDVFEAATRAALLTFEKSEGSGCCVIL UV8b_00089 MPATNQKPATPSPASPRNTAKYTNKDGSKYITVPKTAASDSSQP STPTIATHPISPPPPNALAADATVPSVNRKKQKRRQKALAKAAAAEHAENGQADPASG RVSQSEVLPADHEAVASEDDDEDDALNGGSESPKTNGYPVPAARSKKNKKKKKKNAAD ARNSAGSPAISTSLAQVSGHGRPRPSGMSKDKIWSTSNHEERERIKEFWLGLGEDERK SLVKVEKDAVLKKMKEQQKHTCSCTVCGRKRTAIEEELEGLYDAYYLELEQFANQGEA PPMLPPPPSRDFPPRPSRRLPSAYALRQPSRGRIVEHVGDENEEDELEEVYSEDDGED EEYSDEEPPEHFQGPYDRDVTDFLTFGNSLQVKGGILTVADDLLKNDGKRFIEMMEQL AERRMAREEDAREHFSRGYGHPNGAYSIPHNHPPPDEDDYEDDEEEEEDYEDSQDEEY EDEEDQMTEEQRMEEGRRMFQIFAARMFEQRVLSAYKEKVAKERQDKLLEELEEENRQ VDQQKAKKAKNAQKKKDKAAQKKQALAEERARKEAEKAAEEAARLEAEQRRIAEQKQK AEEKRKQKEAQKRAEEEARLKRETERQRRAHEQREKQAELERKAREAKERDKKLKEEQ RQREKDARDQREREAQERKEKQERDKREKEARAARAQRESQEAAQRARDDKANQKPSA AAVPPTPHSQPIQIPKRGQGHTVPLSTALSTALPTVLPQHPPNPASFASPKMPMATPI IPKAPTPMRTRTSSQQQDSGPGSSAASQCTSLASQLPSPYSGTPVQVSPGPAGQDRKP GSGVSLLASQLGQAASPQSVQPKLPSHTPPFQQMPPMAMQPPPGLVHHRSPGFPSPIA PDAMFPMGFRPVPSMMIPPPGIHGPAGRGFPPGPVPPPGFSHSTTDPFPVTQGFPLAK DSPAPSHARQASAGFSENQQHLGVPSQPIGRPAPIGRPGSLSHGQPHMEDEDAQHLGS RVLVDDDEPLPMDASLGGLRNQPPGPRGSFAASPFMDAGYPIGQNPWGPPAASAQQHF HGPGFANSTWGSPTVLPGFSLASPAPALGTVRTSSQPRHVAVRLMLCQACKDLSGSGN ADANGYIDLGTIKAQIDAYSGDTSITEHDLLDLCDTEGNHSNGGGTFDLKPSSSGHGK HMIRWMPDPRDGSGQNYRAVGAPGEIGSPLTNHASLRGF UV8b_00090 MRSLPLSALLLAATPAALSPGDRACLSSHARQLAELAHCGDRTS LRLCLSNLPGPRHHSDLQACYADAGCPASQAAQEAVRAVSRCRDRDGEGDADAYAYAE DELRRRRAAGVTPRPALNHDHRLAARETGGASLKSGSDCFRFGTTTHASCVTSTVDGK PKANSCTPQPVTTSDCLSGWICALDPQHQDICMKAQNALDTGGVVVAVIFGLAIVVGV AYVTFACCQERAHHKKAAAKAEAVALARAATKKQRSQEARAPLMQQVDDAHDNGPNPF QEPGHR UV8b_00091 MPLVVPGVTTSSNSETEKWQNKLVGKKLSDGEHDETNFCVKDLP EEHRVIAPGQLVTRDLKENRLNIHLADDGTVTHVERG UV8b_00092 MFKKDISPAPKQKLKSSVQRSLRQSLLATYPLLTPHIDEILPKK ASLSSMKLPDRNTLYVVDAEPLFYQQDTAALLPHLRLVHKFPHGFPTIRIDRGAIRFV LSGATLMAPGLTSPGGRLPAEGAAARLDEGAEMDQRADADGRWSRELQRGEPVVIVAE GKEEACAVGLLLTGTEEVKAKGKGPVVGDAHFLGDGLWNLALD UV8b_00093 MGGRAFASRDKPLFTPRMPPPVYQHVKARCHDILRQRYMCVASP IEAPGKQDHGDVDILVAWPWQQGLDTARQLEHIAASLGATDVIAGGASTFNLAIPWPP GLPGGGDDDDDETDPPRHVQIDVRICATLEELQWILFKHAHGDLWSIVGSTIRPYGLT VDDAALWLRIPEVEAFDKARASVFLTSEPAEVLRFLGLPLGEHWDGPFASPRDMFAYA ARCRVFRVPPPGPPDRDSLQPTDRKRMNKRPAFRAWIDDFIPECRRRQLFLREPPPPP PPSRAEIAREAMALFRVEAEFVARRKEMLLQRQRQVVLRDLVKGAIPQPDHNKDGGGG RAAAAAAAAAAALFRGCQIRALNKIITQGDTGYGVVPDEELRDAEGFFVMDRVRGFIE RRKDEVGRAAWEKHQQQYAEMRARKKE UV8b_00094 MHLLNATFQQLIDHSNPGLGSFSQLYWYNTEFYAGPGSPIIVSA PQEAHDTSGLVLDTALPGRFGKANSAAVISLQHRYYGDSAPFQDLTLPNLRYLSVNNS VQDLVYFARNVQLPFDKGGASGPGRAPWVLAGCSYAGSLAYWVQRFAPGTFWAYYSSC APVQAISDFWQYFQPIQEALPANCSRDLRKAVEHIDGVLSNGTEEAQAALKTDFGFDG TVRNDVFGDWIAQPLLRWQDTELHDNGVVNPVYRFCDYLENVVPANEDGSVPGPEGVG TDKALAGYMKWSRSRDGPSSRNDTPAPADSQVAVNNSYNRQWLWLLCNEPLEWAQVSG PQDAFRLVSKFFNLDYRRQQCRDRFPGRVGLDAGRTVERFNEWTGGWDAVGNTTRLMW VNGQHDPWRSASVSSDYRPGGPLRSTEEAPVWVLPGAAHCNDFNMANAEASAEGGKVM GEVLETMRRWVGEFYRQRSMEADWESG UV8b_00095 MAAVAVAVTLPEPFASIPREPLLFGPSPIQHLPRMSEALGGTAN IYAKREDCNSGLAFGGNKTRKLEYLASEALSQGCDTLVSVGGVQSNHTRQVAAVAAHL GLRAATVQEHWVPAPGPGYDRVGNIQLSRLMGAEVRLDPSPFGIEHKPTLAELRAGIE ARGGRPYYIPAGASDHPLGGLGFARWAFEVEAQERHMGLFFDTVVVCAVTGSTLAGMV AGFKLAQTLGSRPRRVVGIDASGRPAQTADQVLRIARRTAARIGLSEGDVAPADVVLL DEYNAGVYGLPDGGTLEAIRFGARTEAFITDPVYEGKSLAGLMGEIRKGAIVGNVLYA HLGGQLALNAYPDVDG UV8b_00096 MASITILYPTGPAFDLEYYIQTHMPLAAASWKQLGLRGWEVVQF VEGPYQIQCILRFDSLAAWEAASTGPVFENLVADIPRFTAAQPLIISGESRASDKF UV8b_00097 MTVQFCSDCGNLLPSSQDRQVKCDCCAKLCKNTLLGSVSFSSTS DFPSELRNKRIINMPKQLSAQDTWSRTDVKCRECGAEEVQYTALQLRSADEGTTMFYS CPKCSARWNENN UV8b_00098 MKHILLPVALLAARATVPAPEPGDSAQGCAKGLYLISARGTGEA AGIGAAGTIIGRQIKEQIKDSKVVALDYPASFSDPAYALSVANGTRSLADLITEHVKS CPDDKIALMGYSQGAQLTLDTLCGSDEKGFAKTEPISPADIDNHVVAVVLFGDPTHIA NITYDRGTSTRNGIFPRSNSDSCLRYSKIIASWCDTGDVYCDSGNDTATHGQYLPRYG TEIAKFLVEKYQDSNTGASLNASASQPATAAATSSSSSPSGTAVGTSSPAATTTRAVS VANVLNIASSGLFVALPAALAAVFHMM UV8b_00099 MIAPPRVEWSYWAQFLEIQTLRHGGTTLAFGRGTRICRAVSVVA GGPSAPRHGLDGTMALDAALHRQQPLQSQASSEMDGTLRNAVSIRDPRKSPPAGRIP UV8b_00100 MEEKRDMTETPAARLTPPLPVLDRDDGPGGGTGSGDDSAEFMDK ATIERLGRQRPDLLPGCFAEAFFVFTVVMSMMIGEYFISGFNVVLPPIADALRIPASS RTWPAGVTNLTTAALLQPFSRLCNLYGGRVVFLSGHLWLLIWSLVCGFSQNATMLIVC RAMQGVGSAAFLPAGLAILGQTYRPGPRKNFVFAVYGAFACIGFYFGIFVGAVTAEYL DWRWYFWVGAILALIAGASGLASIPRHLDDVDENARMDWLGVVTIVPGLVLVVFAFTD GGHAPDGWKTPYVYVALILGILFLLAAVYVQVWVSRQPLLPAELFKAKYMKRLMSSLF LSYGVFGLFLFYASFYIETVMHVQPMLAAAWFTPLAVGGMILAITGGLVLHMIPNKML MVLSSLGFIMSVLLFALIPDPATSAKSTGFLYWAYIFPAMICGTIGIDITFNVTNIFI TTAVPRRHQAAAGGVINSLLYLGIAFWLGIAELAVSATVRRGGGHEHVGDREQYRIGF WTGLGLAVASLCLVLTVEMGSAEASMTADEKAAMEAREATSHEQAQQAQQQRR UV8b_00101 MTSASPEGPHPPPVYGVWSSRASTPTAPGIRDIWTAMDLPPRRP RLARPPRRKQPAAQHPVLQNRAPGPRRPSPSPPWPPTAPTSSEVTATRDTGYGTLTAA RHAADMDGVDLMFTGGEMGRLRIHKAGRNIHGREHHRLQAPQNHVQRPPSLAPTRLGG IDHPRLESCHEEE UV8b_00102 MLRSRQASKAALRVLGQTRSFTLTTSSPAVKTSKTVPSGQRSQA TAAAATATATATATATSSAPLVRAVPSSSFNAQDRSHVQPLSNQCKNEMDESFIGKTG GEIFHEMMLRHGVKHIFGYPGGAILPVFDAIYNSKHFEFILPRHEQGAGHMAEGYARA SGKPGVVLVTSGPGATNVITPCTDALSDGTPLVVFCGQVPTTAIGSDAFQEADVVGIS RACTKWNVMVKNVAELPRRINEAFEIATSGRPGPVLVDLPKDVTAGILRRAIPTDATL PSLPSAASRAAMEHSQRQLQASVRRVADLVNIAKQPVIYAGQGIIQSEGGPELLKELA DKCSIPVTTTLQGLGGFDELDDKSLHMLGMHGSAYANMAMQEADLILALGGRFDDRVT GNIAKFAPGAKAAAAQNRGGIVHFEIMPKNINKVVQATEAIEGDVGASIKLLLPEVQA RSMQDRKAWFDRIREWKKKWPLSHYERARGGLIKPQTLIEELSNLTADLKHKTYIATG VGQHQMWTAQHFRWRHPRTMITSGGLGTMGYGLPAAIGAKVARPDALVIDIDGDASFG MTLTELATASQFNIGVKIIVLNNEEQGMVTQWQNLFYEDRYAHTHQVNPDFMKLAESM RVQSRRVSDPADVVDSLKWLINTDGPALLEVVTDKKVPVLPMVPAGSGLHEFLVFDDE KDKQRRELMQERTCGLHGS UV8b_00103 MHLPSGVLSAPSSPKGRAITSFNSWAFCNLKSSSGSNPMGLFYI KRSTCAREIWVGTLFLNPDPPFHPSAIVVLPKRASVDPIIVSP UV8b_00104 MLPYPSQAQEDANVRPLTDEDQQQLLMLVQRYGIPSLLCALTGS PASSGDSTLSASTLLSSVSGPSLGWTGSDASHCRSDDASIHTQYTWPDECREIHAMHE PDGSGKTSERSWLDSPAAEPVPLPFSDYITSHPSPRMVAPTSKKYQCPMCFLDNSPVG FGRKSDFKKHLHNFHSANVVWICHTKGCHLSFSTERAYSTHAKEAHRTKALPNSTART DLCTQVIFSCGFSTCKERVFEAQSADEASATRDKHFEHIAKHFEEGQDVKDWEYRIQI QNLMRQPQVKPIWKTCIWPKEKRQQLYWRPRSSGDLKLMLECRHLGNDISNLVRLAFI LGTAPFTGPATPPPSEMDRYFQLPYRKSCSINTPGHDVANGDGHKSEDDASSSRTPKS RTSIATSVFKLPSRQGKRSSRPATSASGLRPNGSAAAAVAAVGVTSAPPASTPNGNMD TVMGEDMTTGPHPGTPYPIPNETVWPVDAPKFAPEASQVLPKQVNSQLDGHAMYAMKM EQSPHDSLYSMETYLYQQPQVGVPHGLYDYSMNASQTCLVRPATPVPHKRPASWSTVT NMESLRPSKKATPHGSPVPPQMMPTMMGM UV8b_00105 MLENQELGPRNESSSETKRSAELTSREQGSTAKLKSQARARLPP SQEVWKRQRQIASCEDELEHAVLGRKDDAPDCRAQPDDCESTKRLKELRVPLNA UV8b_00106 MSSTSSPSCMMTPESDVESPLAVSPTALNGHNNPRVLVRHLLER YGREEVNRLIEEELALSPSGLVSLPETAFSQQFLKSGGDAVSNHSATGLAHAAVGYPN YVPTPRRTPSNNHLSHRSRRQSTADRASSSAGSTTSQRLDYACGFCAEESIRKTCTRR NDLRRHIENFHNKNAMWFCQHPGCKMAYDWQSAYQTHLRNAHGRSHMNVDEAMVKVCP QTVFACGFENCTRVFEAPSEEDAPATLKEYSGHIVKHFEEGSNGGRWSYSTRVRNLLR QSHASALWDKAWPETEAPDTPGLQWDTQTSMAARKILEAGHLENLPFLIRFLIALGSA NGGGLSGLEGKLEPPVRERCPAPYRHRRSHSPRQQQQQQQQEEEDDPDREQQQQQQQH QHQQQRFGQFKMSVGAPMGSLDYEPYNGDVHPQQGPRSVDPFSSERSMQRGGGLGVYT DESAGPSLRPLTPPHLFYHAASPAMYHAQSPVMMPGAAAAAAMQAQQQHQHQHQHQHQ HPAPAAQFLGVAHEPDAVVHPASFHGLPVVAPPVATTGMSPGLDIDMSGDCSSSDAIS SARENWLGHYPQMALHHHHHAAHHTAAAAAAAATTPMPGDTYEMNPLSSDHGMGGRVR LASPGRSV UV8b_00107 MSGSVPVAKSLADIYPTAALAAEGSRWNSLLATFDKVYGHQAAF VARSPGRVNIIGEHVDYSLYSVLPMAITADVIMAVSTTPVAHGASTCTIKIANVDASK FAASEFDVALHSDVEIDAAKFEWTNYFKSGLRGALGLLRDKRGKDFEAVNMEIMVDGT VPVGGGLSSSAAVVTTSALAVLKANGEDSVDKRQLTELAIVSERAVGVNSGGMDQAAS VFSEQGSALFVSFSPQLEARPVKLPPTRPELCFLIAQSFVAANKHVTAPIHYNLRVAE VSFAAAYLNAVLNPPGTQLPEDAGPLGISLHGFHETYFYHADASAAAQSLTKEEELGK LIAVTKDTLTQEQGYTREEIAKVLDVTAQQLESRFMSRMPIRAERFMLRQRALHVYTE ALRVLKFLTLLERPLHTGASDTTRFNRELGSIMNDTQESCRALYENSCPENDDICRIA LAAGSYGSRQTGAGWGGCTVHLVSADKVEDVKAALQREYYSKKELTAEEREQAMVVSR PAGGSAVYMVKEHAGLRDVGKPA UV8b_00108 MTRAVKDLEAGSVYITISLPHPVDDDVEFRIRIRDRKPGPSGEI DIATYETHCTRDLAQEEFSWGLYFHRASRDGVRYSLKRQQGGSAGRRSALFRLDRQQV SSSPRLQCQVVGLVRVLRVPPILCEELTWYMDWLALQSHVTATRSFIWATSMYLRTWH HTVRMLNNPSLAYGTRFDVNLFLREALGFAYAHVDYAMGGQLPRPIIKSAFGTELGVL EEDSAAGKALQLRGGTHSHSQTWAQKASAWDAVA UV8b_00109 MTHDDARYMGAGCSSRSRMAAQPSPAQPRRPRLLSSNFPRVILA RASPAGTCPSCATKTPDDGHGGTPLLASPPLFVAPPPIVAAFPGERTKPTKPLVEL UV8b_00110 MHLLDPSKWPLPQSKPATLPDKRTFAPRRSYKQFLQQHSPWLTV DALLLALATVAPAALVPTALTNKINAAWLRPSRSSWLVGGSRTDVSKHVFFWLGLSID LSMFSRGVEQAGWARPTTTLGRGRFVYSGG UV8b_00111 MKRKGTAGLESLACLDQVFLIRALQRSTSSAHVHIAPNGFKSLI LVVDFTEQLSTQMGWMVGKEELWEEKSARHPVTLRTTVGVAMVRASLVYRRNADSKDF STAIGGCQEYAQNSTWFRAASGVKCRKTPADAVLQMVNLLILPH UV8b_00112 MAYDDTASTPQSQALQTANAKRPTDSLRRCFICLTDEDASDPPG TWVDPCPCTLEAHQDCMLSWVTDCERTDKPLKCPVCKSMIEMEGPWDPIVALNDAVHA RFSQYSPYVVAFGVSMSVQFSLEMYGALALWLFAGKETMMRFLIGPELVIDARKAGGL RFFRERLGSAVVMMNVAPTLLFSQLLPNLSNKIFLPSASLYGMYQMLHDETFFTWPPS PRLAITALPYVRSLYYNIWRELVQPYEAKLNRQLLGLPPAEPNNGGLEEAHGQRRQAQ QNGEGGFVGLLQGILDVLDPNDDDDGDDGDDDELLQGHHMEGRIELMAGDADAEDEGE DAEFMIELRIEEVGEDHHDGQDVFAGGNGPRAEVGGGETAAGEQAGGDGREALDGAGV PAPARMAAEGGFEDGDAHVAGGGAGVGQGEPDQPGQHEAPQAPPVRQMGLTGLLSTMS NAVVGALLLPGVSYAMGEALRLALPRSWTSSSCAPRTGVGGRPGLLQQQWGRSLVGGC LYIVLRDAVRVYAKSRTVAAMGKRRVKNVDRRRRDRGRGRGS UV8b_00113 MAAQLTSKQRLALAICDFLSTSAADGTLTAEDRDSVDVAVTCIA ESFKVDPADGDAVRAAVGSQTLLSIYSVYEKLKASTGAAAAAAGVPSASASASAAASA EPSDAQKQAAESLKSKGNAAMALRDYAAAVDLYTQALQLHPANAVFLSNRAAAHSAAK DHAAARVDAEAAVAADPSYTKAWSRLGLARFALGDAQGAMDAYAEGIQHEGSGGSEAM KKGYETAKRRVQEMRADQDQPAAGLRRSPASDPAGGVPDLSNLASMFGGGGGGAGGMP DLGSIMSNPMFASMAQNLMSNPDMMNNLMSNPRLREMANQFSGGGMPDLGSLMSDPTI AEMARNMMGGPGGARGAPGGNPNNPGQ UV8b_00114 MELAPDPDLTSPPLLHYLYPGFVFFCFLAASLFSTCTLQSRKSR KPLDQGFPARKAAIGLLAAFVLCYILQLGAVAVTALNAKTPPLEHAVVGNLSCLLVFG IQLSWLSHSADLVWYPYQGAWIVALAFELVQCTFLITYSWSRQLGVNSIAGLALILSR CAILVVLLLLTSVRNRVQSLRRQSDQDREPLLSHGTSVNETSYGSTSNTETASNEEAE YNWERREREARENMEKRLKEGGNWFAYAKGFMIFFPYVWPVHDRTLQLRAVAVCVCLL GSNVLHLLIPRQTAIIMDSLATRNSSNPWAAVAVFAGLRLAASDSGIELLRQWLWVPV QYYSHEAMTRAAYSHMIHLSADFHDSKSSSDMMVAISGGRAVSNAVESVLLLAVPMLI DMSVALIYLSATFGPYEGFITLATGTVFSIMAGRLVAESKMASRQRVNALYQEYHIRS SGLTGWQTVSAFNQIGYEDNRHADAVSNRWLRERKYILGWNVSIAFQTMVLTCGLLAS AFLAVYRIRSGHATPGQFAMLLMYWSQLTAPLQFFARLGKSMSDDFIDAERLLDIMKK KPTIENKKGARPLKFVAGNVKFDKVSFSYDGQKNVIDGISLDISAGETVAFVGATGAG KSTVLKLLHRFYDATGGSISIDDQDIREVDLYSLRDRIGIVPQHPILFDDTIMNNVRY GNITASDEEVFDACRAACIHDKINTFTNGYDTRVGERGVKLSGGELQRVAIARAILRR PDIVLLDEATSAVDTDTEQQIQLSFRRLCQGRTTFIVAHRLSTIMNADRIVVVENGQV VEQGSHNELIVANGRYADLWSKQVFLRPREKLDLMDFIDDRPVTADDLSSEQTATEHS KQGDMDSDSEGNTTDTYGERTADSTGTATTHRKEESSLNPAAPEFTPARLQKSRL UV8b_00115 MAPSAIAEYPAARDAVQVLEHVSDAIDDVNCIKYDTKSKFDTGK DKTQFRQYQDACERVKTFYREQHEKQTVAYNLAARNRFRSASRVRPEMTIWQAMEKLN TLVDESDPDTTLSQIEHLLQSAEAIRRDGKPRWMQLTGLIHDLGKLMLFFPELEAQGQ WDVVGDTFPVGCAFDKRIILPDTFAGNPDTKDPIYSSKFGIYAPGCGLDNVMLSWGHD EYLYHVVKDQSTLPDEALAMIRYHSFYPWHREGAYHELMCTKDHAMLQAVRAFNPYDL YSKSDDVPSVEELKPYYMELIDEFFPQRVVKW UV8b_00116 MEYVEHRVVQEAAREAASSDTPFTPLNFILLGVLLYTAYSTFSA SDTAPTIPRRPPPKVFRTYTPRTLLPFSGEDGGPIYFAVRGRVFDVSNGRSFYGPGGP YANFAGRDASRGLACHSFDADMLTEDLDGPLDALDDLGPSEMEALEGWEETFLGKYDL VGKLVSVADYSAAKGAEGAEGAQ UV8b_00117 MPSEKTPFYDPVPPTYDEALATEGRRDYRRAPSRSSIDDQDAQH TEQQSLLHQADSFAGPSRAPQGYQPPTVETDDESSLFGSDSGTDDDDEATHVRREMQE IEIEEPSRSLSSIWSKRIGFSLSLPKWKWKWRLSLPRLRIQLPSGRSESTSNENNNEN ETNAGTRPWQWQWPQLTSMTMIIVFARLFALLVIIGFVYFLFASGFFSGFDTPLTRGM RFDSEDLKHFVQSSIDPLRMRASVLHYSHYAHIAGTEGDYATAVDVQSMFGRARLDSV QLDEYYAYVNYPRKDGRTVQIMDKNGEKAIWTAKLDEEERGGETAGRQTYSFHGLSKS GDVKGPLIYANYGSREDFQKLKDSGIDTTGAVALVRYNGSQRDDGLRVKAAELAGFSG CLIYSDPSDDGFIKGNPAPKGRFMPEDGVRRGSVSLRGWVMGDVLTPGWESKKKNQRV NIEDASGLVKIPSLPLAWRDAKILLQHLKGHGQKVPEEWKGSAQEMDEWWTGDKASPI VRLQNEQDEVERQRIWNVYGRIEGMEQSSKSIIIGNHRDTFAFGATQPHSGTAVMIEL ARVFGSLLSRGWRPLRTIEFMSWDAAEFNTIGSTEYVEEFIDSRALRANAYAYINLDD AVSGTEFKATGSPILERALIRAMERVMDPNGNATIKQQWDARQAKLDGVGGGSDFVPF QHVAGTSSIDLQFAGNPVLYGSSYDNFNLVEQVIDPNFVYHGLMGQVIGLLVLDLADR AILPFDLVGYARRLGHWAHDLEAWIHEQKGAKEEASKIPFGELKDAIELVKSNAEEFE KWELEWDRAMVLSNGFEANNLGQQRLLYNDKMAAFEASLLDLEFGGGIPNRTQFKHVA FGPQKWSTFDVAYFPAIRDTVEVGDWELAKLITTKTAGILRQAATVLQLGAP UV8b_00118 MTFPSSIMFDRRASDSTRHNVTMTEIWVEYSIGLAILLCRIICR CLSVGSKWDGDDYCSVAAVFLWTVSKKKQNSMAWILDRLANSSPAKAMVTLVSYIARK TASIATRGHRLNTRMTDDEENIIVVSRKCVLVLWCGYVLLIWLLKACMLFLYQRLTLN LKQERLVKITAWICAVAFIAAIAVVLGHCSPIHKKWQITPYPGDACVLGTPIYYAIMA TNVPTDLLIAVIPIPLLLTVQLPWKKYATPACGVFIVIATILRCVLSLQHLRSPHDRT VWSIREAAIGIVAANMPIVGPWLARGVRRVPSLRSRQASAYGADGTKASPAGTHELSV LDKGAKEKSGRKGLGWTTMDGESNECMVEEADHQMHAGLSRERSRDTNASSSSARAVE GPPAQDIGISQAIY UV8b_00119 MAMREFPFRTFNSGIAFGCSVLSFADFCITTRQLFYELAKTPIS MDSSSVPWTNENAALLPWYVQELREVNEPARELFEKYAGLPAEEVIPHIQSVRDSALA IMPYPCIGAFAFLELSLMRLACYGEVKDRVKAGAKFLDLGCCFGQDLRKLVMDGASSE NVFGSDANASFIALGYKLFRDHGALQDRFIVADLLNPGEALKKLQGDIDIINAQLFFH LFDMDEQVRIIRSVLGLLRPTTNTLLVGSQVGSATAKLQRVPSLGNVFVHSPESWAML WEKMGAETGTKWQVRTDRGEPKRLDLIHAVHGEEAYLMTFEVRRIE UV8b_00120 MKSENEDEIIEILDDDQTASANDSRSNEGDFARGFRKRKVGTDD ISEKVQWTDDSDGAPVKPKKRRAKRKSAAKRGKNCHHHDGHAAHVDDIDLGELPDYLR ERRRRFDANKKLHHEAALMLPPDYTGIDLDNSSRLGGPLKERPEFDVGSGVKPSRPYK DIQLPQSGGLIPASIARYLRGYQVAGVRFLHQKFVYQEGGILGDDMGLGKTVQVAAFL AAAFGKTGDERDDRRMREVRLCPGRWYPRILLICPGSLIMNWKNELQRWGWWHVDVYH GSNKEDILGTARAGLLEIMITTYDTYKNNRSAVNMVTWDAVIADECHRLKDGYSETTK AMKEVNALCRLGLTGTAIQNNYEELFTLLDWTNPGHFGTKAEWMQTISKPLTVGQSHN ATVAQLSLARQTAQKLVQNLLPRYFLRRMKTLIADQLPKKTDRVVFCPLADSQREAYE AFLASVQISLLQGISETCKHGKRQGWCCRRTLPDGTPWQATVFPSMIVLQKLANHLAL LAPSTSDVDAKHQKELETVRLCMPKTWKPFYEQRDQIRNLVNPEFCGKWKVMKKLLKF WHQNGDKVLVFSHSVRLLRILQHLFTSTSYNVSYLDGSLSYEERQDVVDTFNSDPTQF VFLISTKAGGVGLNITSANKVVIVDPHWNPSYDLQAQDRAYRFGQTRDVDVFRLVSVG TVEEIVYARQVYKQQQANIGYTASSERRYFKGVQQDMDRKGEIFGLSNIFTYRSDFGL LRDIVNKTNIAEAKAGVHLVGVDMERAVKDEEASLGPAKKEDDGDVEDDGGMGQLATI LTAEDQQQLLEVKKARAPKSDAIQAILTSAGVEYSHDNSEVVGTSRVEEQLSRQAAMR CYADGDVEGQNALFADSQDDGDGDEVDDDETPLHGTYRPPEDVCLRQFCTMAREFGFV NATEFALVVESWSQEARRNCLDTFYRRREAAFKRAAEPVKAEADAERSTRPAVQPAVK AGNGATSADERDRKEPRGKDPEGIKEGRAKDEDAKDDGMKSELLDVGAKAGIEDGKAS TNHRHVAREKSETRGTGGDSRSDDGGPKRNSIFISDDDDDEL UV8b_00121 MPPLTTIAELLQSLLEPWAPHRGLGNVRLPRGRLALPPARLEPA AAASLSRRPVRKRAGGAGPATNSQGGRGRRRPAARQSRSRRIRRLGPRSRGRCAGPWD EFDLAAAPDTPSSAALPHVVGVLGQVSRRLGCDEHSAAEHGVGVGPFHVAIWRV UV8b_00122 MVRKLKYHEQKLLRKTDFISYKSDNGHRDKAVIRRYMIQKPEDY HKYNRLCGSLRQLAHRLSLLPPDNAVRRRHEDLVLDKLYDMGVLPSKSKLSAVEKSVT VSAFARRRLPVVMTRLRMAETVQAATKMIEQGHVRVGVETVTDPAYLVTRATEDFVTW SVGSKIKRNIMKYRDQLDDFELL UV8b_00123 MPPRLPCSALRSPAPPFRLPTARALSSTPAPASPPRDAPAPHAR SSSSGDLLSLIHDKPRRAGRLARPVARPGETASNLLSRLERETKHRRESLEALRNEKI STDYLKQMPRRWDAGDVYSPHDLSPAEMQKWRRRSARRSDVVDALGIRPLDMYKNFSL VQEFTTSSGQIIHSSGTSLRPVNQRKIAKMIRRVQGMGIYPTIHDHPEMIRENFFPPS KTHH UV8b_00124 MRANLARHGRRLLVHPKAATPACLPHANVARRRAVSRPPVRRGF ERTLFNGLFQKPPREIRQPEYEPGWMQVMVWRSRVLDNLRPPRRTELTDAWRKLMRSK LERRVPMNSTQALQCCRLLKYLSASRSGGDNQQQQQQQQQQQQQQHQPNESLSAADLA MARQVLLEIEPQERTKNHIDFAKELHAVWLSGNHPHEPPSAHELQWSYLVKSMCEYGA AEEALRMIKAEWENPVYRARLVERDCLLESVCRGLAREGRERELVDVANYAIEHGIPY DAGIQCVLVEFFASRDRVAETQHWLNQPISQKYSRVQVYRAVASLARRKNLQEWAVPL FLELGQSQPGPKHWDAILQSILLLGRDLPEVEAMMSHMVDHSGPLSATTSTMNGLLSV ALETRDTRLAENIIALGLEKGLVADGETHLILLELRLETGDLAGAKQAYEQVGYSEPW ATESGSGIFNNFRRLSNRFLTLLSRQVPPDFGLILGLIRAVEEEQMLLDPETLISCLS TRSTIASNNGKSCKTPLSHSASTLASARLALGGAYQLLQQLFQDTSLELRMKLMAAFF DRGRPDMAAHVFGHMRQHRNKSYHPTMETYIQYLEGVTQHPDPEGLLMIHNMLKMDTT TQPDTRLHTGLMLAYTACGKPSTALDFWYEITQSAEGPSYASLEAAREIWERIESMDL EVPPAVYNAYVGAIAGNGNEKEVRGLIANMASYVGSEPDFMTLAIAHNALPGQELQSS FRDWAKKSYRAAWAELDKVSRRLDEFGLCRIKIKRVMKT UV8b_00125 MSSTIAKEHSAQASDAKSRPGIQPVAILDSPLSKPVALVRPAVL LGLLALGFNDLVAEPVSTLRNALPVVALAQAAYAVVCLPIAGSSHTTTCKKLRPGEKR KVDANSANAISTAILALLLASLSTPIIHVLFVLFGAPFLDHVPHTLLCAAHFSLVGLF PIFYTRGVDGQALTTIAAAAAPLDETFGGLAGAVLGAWLGAVPIPLDWDREWQKWPVT ILVGMYAGSMLGSWMSGALLYGKQLGETSSKDE UV8b_00126 MPFTSSDICKIILAIILPPLGVFLERGCGADLLINIVLTIFGYI PGIIHALYIILKY UV8b_00127 MADSPLQFATFTSEIELPFYSALFASKLDYDKLDDSARNVLGLY EARVQEPEASCKMQILGNALTSQTAPLGIARGEGIIKNVNTLEDFKKMDKAAMIKTSG RQIWDAINDGTIYSVPSLLSSFVILSYADLKKYKFTYWFAFPALHSDPQWKRCGPSER FTADESTALVDRVGTWRYSVDSREHGFFLAKKVRDGQEVETEDMEGTAGKLSFRWQVA SLRCFEEGFFNAEPEEDCYVAFVDPSTYADGPGWPLRNLLVLIRQRFRLSRVKILCYR DTWARRHEARSLVLPIEVKRAQATEMTEMPKVTGWERSRNGKLQAQLANLGEYMDPVR LADSSVDLNLKLMKWRVAPDLDLDLIKKTKCLLLGAGTLGGYVSRNLLGWGVRKVTFV DYGRVSYSNPVRQPLFEFSDCADGGRPKAAAAAAMLKRIYPGVESEGHSLSVPMLGHA FTDEAKTKADLEKLEGLIEAHDAVFLLMDTRESRWLPTVIGKARGKIVMNAALGFDSY VVMRHGSETRDDGQTSSLGCYFCNDVVAPADSMKDQTLDQQCTVTRPGVAAMASALLV ELLTSLLQHPLGKDAPAPQPTAGSAPDRDPPHHPLGLVPHQIRGYVSTFQNIVVRGQP YDCCSACSPRILSAYRAEGWGFVKRALQEKEFVAELSGLAEVQRRAEELAGELDWEEE EDELAEGGELL UV8b_00128 MLIGICGGICSGKNTVAQYLVEHHGFKRLFLSAPKRDNRRIDDG DEEEDASSAHPSTSEPSEPPHDAASSAALNASAPIATNGHPSSSSDASSAPRPRAETD AFATPEDLLDHVTLRWQSRFVTTDIPTEAVLDVFLRRPFFLLLSVDAPLTVRWRRFQL RARHAGRQVMSLDDFVSRSDAHLYDPHGGFQPLISRATVRLLNTSSSLPHLYASLGKL DIPNPNRLRPGWDTYFMALASLAAQRSNCMKRRVGCVLVGKERRVISTGYNGTPRGIR NCADGGCPRCNDGNGSGVGLATCLCIHAEENALLEAGRERIRDGSVLYCDTCPCLTCS IKICQLGISEVVYTHEYSMDKEAALVFSQAGVTLRRFIPPPNGLVHLEKMELY UV8b_00129 MSFSNGGGTLALPSPTHAHHMDVTSAVRSLRRSISRSPSKFLSR TSSQSSEKLRQASPQSPCRRFGATPQRQHIAPSLPQTAPPAVTSAHATLTHHSSISTP VRPSVRLSLRSAKSAKSSSNLCRPVARVKASPKSPLKRGLSAAPDSGNPVQPSALPSP PTTTNQHGQENSPSPRSAPVSGDKPSRHSFHLDVSGSSQSAVLKALDTASSEPFMVST TGALKRSDATMNLDYPNQGSPVAKRRSLHGITGLGQSGDLNAFGSNTFSQGFDIHEDS APSEYELSGTTNDFATTRRDPLASPSPVSNLPRRSSSLRKSTLQQRYGDKGSWGRRSG ERQLAQMGGNNSTPVRSRPRLSTDHFVPPQPSRDSPFSAGSPLPNASIHPVENKGHQP HPLSKTLTTSNSGNSLTEEPTSYAPASKAAERSKSHPFSRSLPLASTRPTARSTVEHT KAVATPSRSHQLWIGAFNSTGLISKVNRNPEEEADKKIAPPDTPCKKHANPFATYPPP VGSAIKRKGNNRNSFAGVPSTPFHPLSSLAPDTFGQPTKGLSIFQRGSASQGSRRGSI LSLDGEDRRLFCDITDVGNPSDGDVPPTPTKVVALTPSLSNLSEQSLESPSANRTFVL PLSAVKPAAGRSRESTRSPVDGRRTPQTPRETLLPSDTSRLSMSQAPDGFNENNMPPP VTPTTARDFRSSTSIFVTPVNGRTSNVDVDSSLHSRFDKVEQVGKGEFSTVYRVTKLE HHNTFPFDSLTPSHSASRAPRKGQVFAVKKSRHSYQGPKDRESKLREVQILQALTHAE HVLQYVDSWEHNFHLYIQTEFCEEGTLDKFLGNVGRGGRLDDFRIFKILQDLCLGLKE IHQAGYMHLDMKPANILINFEGALKIGDFGLAQPCTSTEGVDVEGDREYMAPEMLKGK AGQSADVFSLGLITLEAAANVVLPDNGPTWIALRSGDLSDVPSLTWTPSLETQRDAAG NEIESAHSDELHGGRTHSAGNLFGSLKRSELHGPPKFMIQAFHPSSLDSIVRWMTAQE PADRPLIDQILELEGLRWVAERRTAPATVYEGNWGLAEMFPVSIAGDSDTEMTDV UV8b_00130 MAGTKLQEGQSGPPTLQSTHSYWHKNPSTVLQGHRTTSKLPPAA DVVVIGTGITGAFAARELMTGGRSVLHLEAREACWGATGRNGGHCQPMVYSSKPSVAR FELGTYHFLKAFVAKNNISCDWHTVGGVHALFDDKAFGLVEKVIQRLKLEHPDLAQHA ILVKGEKERGVLRIPAAVGAVYQPNAAKLWPYKLVAWVLEKLLQEYPASRYNLQTNTA VEHLQHTGDGGWVVHTPRGQVVAKHVLLASNAYTSYLLPQFTGIITPVRGQVAGLTPP TGDIPLEHTHVWVQGNGTDDYLIQRDDDGFLILGGERFSTAGAEEGIWDDGQVNDEIG AKLRSQLQHSVKLRGPDCAEEEELDAQYEWTGIMGYAKDHYPWVGRVPPSLGGTRDDG DDDDEDEEGARSHLWISAGYTGHGMPTAARCGIRVAEMILGREPTFDMPVEFLASEER ARRTKDAKTTIRRSLMDELNALLDD UV8b_00131 MASKMTPFLFRSCARASSRLARLPARHFSASSRRPSDTLMVHRN SRDNNPDIPFRFNQENEAVIAEILKRYPEQYKKAAVMPLLDLGQRQHGFTSISVMNEV ARLLEMPPMRVYEVASFYTMYNRDPVGKFFVQACTTTPCQLGGCGSDVIVQAIKDHLG IKQGQTTPDGLFTFIEVECLGACVNAPMIQINDDYYEDLTPETVVDLLKKLRASAGDA KAAALVPKPGPLSGRDTCENSKGQTNLLDEPWGAEKTRSDL UV8b_00132 MEPLNRLKRRSTDLLKQAQENLHTKVDMPNLSEIQGRLPSLPHF RQKKTRPANDVQATWERIDIPSLPRSSHTLNVVSGCAYVFGGEVEPRQPVDNDMHIIR LPFSSAGADYHKVRAKATALPEQSQQQHSPPALSESPTAHQAQSSLDDVALQETSSGK GKEIAKDEASGMGQVPGPRVGHATAVIGSRIFLFGGRGGPDMQPLQEAGRVWVYDTRS HTWSYLDPAPAVKGGAIVPHPAPRSYHCATATNRPHDTPHPAGFKKPQTWRQWALGDA AKTGIPQKPVVGYVAEEAVDQESDGYGTLLIHAGCLASGDRTGDLWAFDVRARTWTEL PAAPGPSRGGSSICISKSRLYRFGGYDGETEAGGQLDFLHLEVETFDDGHTKAEVAIH ARGGWQTIRQGDADASSTEIHAELHQEWPPPRSVASLQALTVGGGKEVLVLCMGERAP SADGHEGAGTLCNDVWTFQVPPLGMTAASLAAAVYQAVGRKTGEGKWQKLRTGPHDHE SGGEVPTPRGWLASAPMTDVESAVVIWGGLGADNKRIGDGWILRLGE UV8b_00133 MASSTTSAAVGGIVPAQLGFLAIFNPCLGSTDDTIDHQIVYYAS DALRQPGGKKKKRRTRGRPAEDAPPEERNERLRQIGLAQGMIKFSRDFADGAALDAVD TEKTRVITHELEPGWWILASINFAKIPLPPRLPVKSSAAVGDAAAAAAAAAAAAEQED RFEYSSRELKPASLLLRDLLRAHSIFLMHHDASLDALFIRTKRTRFVTVLGRYWDLFL STWNVMLHGNPLRSIFDGINVAASGELGVGVGEEERGSGEREVLEGLVGRIEGLVDLV VSKFGNEEGAQDLAGARHKREAQWLGAGEEPAAEDGAIFFGVGALSRKSVRDVTHWME DLYQWGEHAYGVISSPTSTRKARTRRANSPVGRATKPDQAAATPVAGEGAEAKTEAGK RETQDGKLDKMLGYMKLGYGSYWTIPGTGANTTTAAAATATAHPGASRAPAETKAKPS HDAAARSPPSAARSRPSHPPRRASTDAHGHYLIGLRGPIEEGRLGSGSSGSSGSSSSE SNSRTVLRTINVELQGEPCSRPEATAARDLGHPGGKLPPSQGAGSTPLGCTSLQGGTA EKVRVVVYVNRPFIFAFLFRLHTDSLAWDALYRSLHHQLSPLKRPLLSSTSYRPEPPA SNAPGTGASRHLSSSPPSIHSLVWDPSALTVHSSIPNIPEASASETWSRADAVNTHLH LLNIHAATRLAHADLERTHKTNRGWWIVWTRHLAERALLHAGAAPPHQSPPSPAASAT SSSSSSSGGGGGGGGTDETGQDGGTAAHEQGHPVGKEIFLVRRASDHAGLGGLSTGPG GGSAEGAGRLVQGIGVDTRRYVEELLSFL UV8b_00134 MKYSAIASAALLSVAAAQPHRGHHGRHHHAIRDDAASGDVVIKT EWVTQIEYVTELIDATTTVWIGPTESTAVSAALSSNPQGNFFEPQSSVAETSFTSAAP APPPASTASTSSSVYVAPPAPSSSSSSVYVAPPPPAPTTSSAYVAPPPPPASSSSSVY VAPPPPPPAPSSSSVYVAPPPPPSSSVVSSTAPAPVPVPSGSGSGSTGNSGSSGVSYK SGSGSGSGSGSGSGTNQGDITYYTIGLGACGQDDTGKDNSANIVALSHLLMGTQSNGN PMCGKTITIYGNGKSTTAIVADKCTGCDKLDIDVSEKVYKELYGSLTSGRMPITWSFN N UV8b_00135 MRYTVTSTTSMVLMLASLASLATATPPLAPFAGSCTELGQACRF DSHCCGNTVRHIGCVNGLCAWTQLPCKGANQQCVVNTRSPWNMCCGGNTCNPLTNRCE PKPVAQQVQAQAQAQTQAQRSRWYSNLFRRPQGNRRDLASGPEANIAARSDDDEAGYD E UV8b_00136 MGKHSQYGVILDAGSSGTRLYVYKWKHPVVARKNASAPALRSLP KLKLKKSKKIHPGLATFAHDAALVGREHLKLLMDVALNEVPRDKIAETPIYLLATAGV RLLPEHTQAFLLQNACSYLQTTKFRLPNCREQVRVITGETEGLYGWIATNYLLGGFDQ PKEHAHGKGHHTYGFLDMGGASAQIAFAPNTTEAERHANDLRLIRMRRLDGSPMEYKV FTATWLGFGANRARARYIQSLVESYGDLVDEIPDPCMPKGLRSLIAKSHVAESHKKGQ SLIGTGAFDECLKKTYPLLRKDAPCEDHPCLLNGQHVPAIDFEVNHFVGISEYWHATH GVFGKAQTAYDLATFQRKVMEFCNRDWATIEGDMVKRKKSIEQKVEDARNACFKASWL INMLYEGIGIPRLGIEPTNLAGVNTTNEGHDIAKQNFMGPFQPVDTIQGVEVSWTLGK MILYAAGQIMPDKHSLPVGFGSNVAPGIPSDFENAGSAPLSTTFDAVDDDSDSDSITF FTGHALSSILGVIIFAIIVLYFCHKPDRLRRILRAVPWRCRHPKRKSKPWLGLTRKVF GRWTAHYDRILEEGDADEYELDAAFDLVDGSDGGDGHGNGGPKVNVERGDDVNPPSVM DRHGLVLRTESRERLTTNLQMLNAGKRSRAGSPTRSKGPPMTPWQDSLRTT UV8b_00137 MSGPLFGIVPAGQPLITDPTSTPSPGSFLYRLPTAKPSSHVMVF LLPNTALPDGAAAAIYVARVGDVESAAQSGASPDFRFLGAIGPGKESALFKIGSDADD GIASELVVGVSVESADSISPRLQQLSAGKSPAASLSGSSQPSTIALAQRIIRNAFNFL SSFSGSAGPGGVEVVPLKAFEEWWRKFESRIRADPSFLERQAD UV8b_00138 MGFLGVYTAVYDYEPQADGELAIGEGDVLYVLDKGEDDGWWKAK KKAGAEDEEEPVGLIPNNYVEEARIVSYARAIYEYTRQTDEELSFPEEAILEVYDTSD PDWIFVGLDGEFGFVPSNYIDMQAATTARDSSAPSAPPALPVRPQSVVTPTKSPSGTA TSSSTPAGAVAAILQGRAQPSVVASSPSPPPQSPQSPQSTRKRAQTTEPESGQYGEGE YESRSPALPSRPHGSVDEKADSSSIPARAQAEEAYKTPGGFHMYNINEMVSVMGKRKK MPTTLGINLGTGTILIAPERADDEPPQEWTADRMIHYSREGKHVFMELVRPSKSIDFH AGAKDTAEEIVAALGELAGAVRAEGLREVILAGSRQTQRKGHILYDFMAQGDDEVTVA AGDEVFIMDDSKSEEWWQVRRLKNGKEGVVPSSYIEISATSTQASISSTLDSAKSTVE KNRVDEIRLTKEAIKAGKAPQQVGHGTPLPERGSSLLVREYGSNSSKQRGKRENGRGD VGNNQKPKSKPDSSKVRTWTDRSGSFSVEAQFLGLKDSKIHLHKMNGVKIAVPIAKMS REDLEYVENMTGISLEDDKPLADVKRAKSMEKRPPDVGASVSKAVKPEYDWFQFFLSC DVPVGLCERYAQAFTKDSMDESVLPDVNATILRTLGLREGDIIKVMRTLDAKFGRERG NQDSDSQPGGLFSGPGGALRNNTRKGRPAPAVQTSDVVDAATFARTGTTNSATGNTKA ASPPNGGSSASTTTQNGGFDDDAWDVKPISKAPGKEDSTAKNAAAAATTTQTTSVSQP SLSSGVTDTMKELSLLTEPLQPSKKELSATAKPDRRAEHGADVPLQHPPGASPSFFST LSSNAGQQHTQPDLAPPGSTSSPKVLARQRPAPPSISPTHGSLVPPPPQRPLSAPQSV HASVFTPPYLTAQVTGSTQGQVAPPGQSLNDIAQARLQQQYTAQLQHLQPAATGFLGL QPQHVAPFSIGVPSQQQFIHSANTGAQGHSPFADPNRPSLFAPLQVQQTGLQGSAQQP ISAISQGLPSAAHLNSFLPPAIEPERTGLSSLHSHQAGLNSASHPQLLLPQKTGPPPP VRFGISSEPTKLMPQQTGRRANLSQATPDNPFGF UV8b_00139 MARPWCDWLNLPTLPLHIHEVLLGALFYSFVFYPFSPAVSRLLA PKHYTKLSRKRRLNWDAHVVSMVQSLVINGLALWVLFVDGERAAMSWEERVWGYTGAT SMIQALAAGYFLWDLIVTGCNVDIFGPGTLAHAVAALLVFSLGFRPFVNYYGCIFILW ELSTPFLNIHWFMDKLDMTGSQAQLYNGFLLLFTFFSCRLVYGTYQSVKVFRDIWFAI NNHPSIEAQKVAEMGFVSETSTVPPWLGAVYLASNLTLNGLNMYWFIMMIKAVSKRFK PAQEPQTETKLDLGSTASGVSAIQGYAQRRKV UV8b_00140 MADLAVYLAEHDPNFRRARLPALYADFRPQKTVNPDGYDANVIA WQGALSLLSLNGLLSGHGSESSILILSVDASLRRVLESKEFGQPLALGDVIRQATSRR ELVPVGHFSDSASKTHSSKISSLTWGAAEWALKQLGIASGASSEDNLPSGRYVIMRNI ELARQALERHVADRITRFDRVFTTVHFKSIFAPQLVPGQRLSDQDIDVLLTYLARDKH LIDYNGVVVRIRNASDNSAISDDDVAVASIKELMSNLRHQADVLTKRVEDLHREAKDA LERKNRITAMAVIKSRRLAENCLAKRYTVLHQLEDVAARIEQASDHVEFLKVIKSSAL ALRNLNTQIGNPDHVHEVMDHIREQMSETDEVGAILAESVGEPIDDSEIDKELAALGN EARGNAQADFAAEQEEQEAKNVHQLHSESGKLPTPPSEIPASRELSVTPSIEAVVAEL SLGHS UV8b_00141 MLSDNVADSTDWLETSLAPLAAVEAALRCQVCKDFYNTPMITSC SHTFCSICIRRALSNDGKCPLCRAPEQELKLRSNWSLEETVEAFSRARPAALALGLKT TRTGEAPKRKAGDDETVCSSEPKRRRASARLRSGKTVIGSKQDSPKEAQHIQVVPASD DDDDEVSSEGSGDHLHDGRNVDDLVPCPSCQMKMKAWQVFQHLEACPGPKSQETRSSQ LETGSNSHQKLQLRQSRSIQRLPPLNYSMMKEQALRKKLNEIGISNQGPRILLEMRHK EWLAIWNANCDSARPKKRSQLLHDLDVWEKAQGYRQPNAARAALNAVAIKDTNFDGAA WAAKHGSSFKDLVATARKNKAPANEETKAPDKRPEQEGFDERAREEICDEANKSDARA FESAKDVNCQEFAGVPLAGHEDMNDEDLVQR UV8b_00142 MDEHIKFLSDRLLSQAIPVTYRGLSRALQIHVNTAKGNVATALF DFHRYQNARDADSIHATYLVCGLPAASARLDDGIETRSSLQGPEESSGGVKASKVILV EEQNLQEALMLYESVTSIHIYGLSPNRAEDSWIRSDSGQKTCGQRPNREKPNTAFGKY GTIGNPKVQHRDQKLKALSTTPPASIGVDPVIRQEKIKREIISGPSMSEKPPCITEKV ASAHSKKRAASNNIIRSFAKAAAASQTTETDPAKRQDDAAMTLSDDGEADDSDFLPRK PEPRSACHTQSRTEREEGLRRMMDEDDDDEDDDDNSDAVAGPLVDDDLSEGPKLELNS QPSTQEKRDSPEIILSTGNGRRRGRRRVIAKKRIVDDEGYMVTIQESTWESFSEDDSK ESASQKATPLPKPSSAASKPKKSSGKGAQGSIMSFFAKK UV8b_00143 MCITNPIIDPEDSVAVAARTAIHTGDTASLMQLLHDNPDLVKSY IGNRTEARSLLHMLTDHPGNFPNGPETAKLLIEAGADVDAPFLGRAHSETPLHFAASC DDVAVLDVLIDAGANINAGGGVIAETPLADARAFLQFKAAKRLIERGAEVTLQDAATL GLLGRIKGLYESATHQPSQEDTDFALWNAAHGGQLDVVKFVHDKGAGVNTVPPWENLT PLDAAKRTGANDVIEWLEQNEANGFADL UV8b_00144 MGESTSRQFAHHKMHKFSLYETASRYYVVGVDISEKRYRILKID RTAEGTEMIVTDDKISYSLKEINQLLDTIDDGNRGTGGIKLRGTTWGIIGFIKFTGPY YMLLITKKSTVAMIGGHYIYQIEGTDLIPLTLGRSKPDSRSPEEQRFLGILNSLDLTK SFYYSYSYDITRTLQHNIIRERSTLQKGLLPSPDDDPNTMFIWNSYLLEPALNSLAAA HDWCRPIIHGYVDQAALSIYGRTAHITVIARRSRHFAGARFLKRGANNLGFVANDVET EQIVSESLTTSFHSPGSRLYCSPQYTSYVQHRGSIPLYWTQDSTGVTPKPPIELNLVD PFYGAAAMHFNDLFQRYGAPIYVVNLIKSMERQPRESKLLQEYTDAIDYLNQFLPAGK RMIHKAWDMSRASKVRGGDVIGNLEVIAESVVTATGFFHNGDGRTSPMMAQNGVARTN CIDCLDRTNAAQFVIGKRALGHQLHALGILDDTRIGYDTDAVNLFTHMWHDHGDTVAV QYGGSQLVNTMETYRKINQWTSHSRDMIESFKRYYNNSFLDSQRQEAYNLFLGNYVYS KGQPLLWEMTTDYYLHHVHPKDLCAMDCSNYINWYTPSHLDRRVIPRLARLPDAVASH SIDVVDDYWLEYYRPATLSSFPKMFAYKMNSTIKYIPLRSAQDGRYDLSPFRVRNDLD VESDKRKRRESLISDNNFEPLRGDQADDDVTSVMTTTTATKGASLQQWLQPVQETASL RTDASRLHSLSDDEGPALGKSKPTALEKSNAAQWTFTKAVHDSLNPSVNSQEAEDYGR YIHHPQNLPLVVSSDAPANVDSSEYREYINGTIREQGIMIIPLDEDKDVYRELLKVNE NPLTVTEEDATKKRYKAYGKWLHGKSFFKQQPLD UV8b_00145 MDGLSLSEENRARLKILGYIHAVEPVSHTQTHKNQGREEIQSQI DIRKAVSKLATLSPEQSRHDAILEVLGDLLRQSQEMQSELGQTVIGSPLPGFLESDES GARQCLVEAITSVALQSQQTEGLIAEAGLASLLLLLRLSRVPLLSNDDLINIISYTDK RNSWTTGSAAGLAQKILASHISRNDITDFTTGPVLRDFVPKQLSSLHSCGPPPVRDID ASPPESQDIVKGHDRLGPVLKWAIENSEEAYVARHWHLFIPALVALAGNCRGSFKIMG LEAIILFINKCPATTIYATGVDRVLESVIMPMLLLVPPLIPEEESVVVLNLGYQAILS LATKNADIHCCSRRRLLDTVVRDGILASHLHALERTSTLKALLDNTAKVVVCLNVFSV KHLTDFLEVVDTVMCDPFSAEDPSVLVSASEALAALLLNCWPRIKKTAREEQIIRMLC ICWMNLKDYTSRQSLSFSSESNSRAAELSLIKTASMMCSVKKGSGALTDTRLRAVIDR EPRLGSLFL UV8b_00146 MIVVLPGQPPSKLQAVTTGFWDGQYYNAYISGSAFIILDESHHV IQTIYDDDNDRQLDAIVIDEISGKIATCSAEQVRIYQPLILREDSVKWAQQSVLDVSL SSPDAPCTLSWGSSEELLIASDSLALFATAGQPAKLWQKRLPNAAKSAILAPDSAYVA SIGQHDCLAKIWRRLSYASDEVQFDVTYLPHPDIVVSIRWRKPFQPGHTTNNVLYTSC LDGSIRVWVSTEAVEGCQWQLRGRIDMTESMGRPIGTQALLVVILDGRDLTAALERAV RDRMADDEKTDDVAIKNLVAIATRNPEICIKFDIDGNMLAWALENVGSSDPELFQVHN IARAKLSEIDALRDFVCNRSIPSGGHIETQNYCNMATGKLHVVLHSFDGKIGVFVSDV ADLLDPLVSDRRLTLQTVWTGHASSIEKIVRNFSGHAVVSRTGSGECILWKYSTHDVR NEGQAFSRNTVIPPVVGIQRICVLRKGQFAVFLCRNALMLWDCRSETASMLAKCSFDI PGSPLCLMVLPRPPSAGYEAAYIATITPSGLGIVWEVMLPNKTDKTPKTSTSGLSEFC RFRLDIPDALKYVLAVDPAGPALAVSGFLDIFARDVAISYTSTGRVEFWAARVDLGQR KVDWLSTACTETGLLEPALASGSMLKKAAVVNSTRSQLTIWDIGGSRLEFDKDYRTHH IIKDLDWTSTPDAQAILAVGFQHHVVLLSQMRFDYLNKGPAWAPIHEINIRELTSHPI GDSAWLSDGHLVIGAGNQLLIHDRHMEIGEPGTVIGAHATRCETRDLFEAVQRYNGPL SVFHPQFLSQCVLAGKGTLVRDILLALHKTLTYLIPGDPIDDYLGLRMTQFYASNGTL ANEMSRAKGSLTGAKSFDEDEKFSEETAASINDTLTRIRIPQLSGHEQIQLADIVECV ALVESHRRSMDENAARFMLFFRQHALRKGRTNEMELSWREINWAFHSNSQDILLNFAS RQNHGVMLWEQARECGVFMWLSDLTALRNHFEVIARNEYTKGERKDPVNCSLFYLALR KKTVLQGLWRMASWNKEQSATQKLLGHNFDDPKWRRTALKNAYALLSKRRFQYAAAFF LLAGQLEDAVEVCLRQLKDLQLAIAISRVYEGDGGPVLRKILQDEVLAVAAQEGNRWL ASWAFWMLGRKDMAVRALITPVFALLGTPCSPDLKSRSFLTDDPALVVLYAQLREKTL QTLRGASKITPKIEWEFVLHSAKLYDRMGCDLLGLDLVRNWEFQQPAAVGFGGEINPL RLLRRRSSLVVDDLSATRRRVSLEKLQEGVRVSQSGFAPPSVFVEPDATSLLDSFGL UV8b_00147 MAVLSAGPRALEGVSNEDLIYEQDVLRDLSSIKPWITYIDFKSR HGSLLEQNMVMARACAQLPRSYKLWIKYLSFRTAHVAKLNPATFASEYAKVNALFERA LILLHKMPRIWEMYLQFLSRQPKITFIRRAFDRALRALPITQHNRIWTLYLPFANAAA GNTSIKIWRRYMQVHPEEMEDFIELLVENGAYTDAVLCYMDLLNNVRFTSKHGKGHYE LWGEMIDLLVAHASAIESNYETGIDVEGIIKSGIRRFSDQRGKLWAGLATYWIRRGSF EKARDAFEEGITTVMTVRDFALIFESYTEFEESVIRALMESASSRATGSEDDDDVELE WEVRMMRFEHLMDRRPFLVNDVLLRQNPNLVSEWEKRVALWSDNNEEVIRTYAQAIAA INPKHAIGSFHKLWANYARFYERGGDIRNARIIMEKAVRVPFKSVAELADMWIEWAEL ELRNKNFDEAARIMAKAVQAPKRSSVDYFDESLSAQQRVHKSWKLWSFYVDLVESVST LPEVRKVYERILELRIATPQTIVNYANVLEENRYYEESFKVYERGLDLFSYPVAFELW NLYLTKAVDRKIGIERLRDLFEQAVEDCPPRFAKTIYLMYGNLEEERGLARHAMRIYE RATRAVSDEDRADMFNLYITKSASNFGLSSTRPIYERAITALSDSEVKEMCLKFADME KRLGEIDRARAIYGHASQFCDPRTNRDFWERWEQFEVQHGNEDTFKEMLRVKRSVQAQ YNTDVNFIATQALARGQQASAQPPPYTVTAPGLGKQAAAMPGFVAASDSLPSTVRQGD TTANPDAIDIEQ UV8b_00148 MSGATDREAVFPTRQSLGIMKAKLKGAETGHSLLKRKSEALTKR FREITKRIDEAKRKMGRVMQIAAFSLAEVTYAVGGDIGYQVQESAKSARFRIRTKQDN VSGVLLPAFESYLTEGNNDFGLTGLGKGGQQVQRCRETYARAVEALVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYINSELDELDREEFYRLKKVANKKQRDTAA ADAEMKARREGNDDREIIDSSNAEINEPADMLAGEEDDDVIF UV8b_00149 MEVMASLPPISTVYVQNLEERVKVQQLTKTLMTVFSQFGNIVDI IAKKNLKGKGQAFIVFERPEDALDAIDELDGFALFDKPMKLSLAKTRSDKTVEMNCSL EDFESHKIHRVAEKDKRLALLAAEGDRQSKKSSGQTADSRPSKLSKPSGLKSTGPAIP QVVPDEYLPPNKILFLQHIPDEYDAEALGLIFSRFEGFREIRFVPGRRGIAFVEYVEE QGAIAAKESTSGMKLGEALLKVTYQRQ UV8b_00150 MTTPAPLEDQARLLEDALVAVRQQTSLMRKCLDTPGKLMDALKC CSTLVSELRTSSLGPKQYYELYMAVFDALRYLSVHLRENHPVNHLADLYELVQYAGNI IPRLYLMITVGTAYMSIEDAPVKELMKDMMDMSRGVQYPIRGLFLRYYLSGQVRDFLP TTDSEGPEGNLGDSINFILTNFVEMNKLWVRLQHQGHSREREQRIRERRELQLLVGSN IVRLSQLVDLETYKTSILGPLLEQVVQCRDVLAQEYLLEVITQVFPDEFHLHTLDQFL AAVSRLNPHVNVKAIVIGLMDRLSEYADREGAKTKGPEQEKVEAAALAALLENVQLQK KFAPTAGVSSKPGSGSETDVAKLSAFDAYSATPGDESDTSTTDDAATIAESTAPSNAE SDTTAVNGQEGDGSHVRLYEIFFGQVKNLVEAQHLPIQDIFALLVSLCNLALNNYPDR LDYVDQIFVFAAQKTRDNINNADLHSPPAQQNLLALLQAPLSRYVSIFTALSLPTYVP LFKCQSYSIRRAIAGGIIRTLLKDCTKITKTEQLERVLEIMSVLIKEGLQAPQGYPGT SQRRSVETDESVEEQGWLARMVHLLQAEKNNTQFKLLQMTRKAYAEGDDRVRTTTPPL ITACIKLAKRFKLREHYDDDWETQSNSLYKFMHSALSDLYARVNGPGVAELALRLFCS AGQTADMNGFEEVAYEFYAQAFTVYEDAVSDSKAQFQAVCVIASSLHQTRNFGKENYD TLITKCAQHGSKLLRKPDQCRAVYLASHLWWATPIASNGETDETELYRDGKRVLECLQ RALRVADSCMETATSIELFVEILDRYVYYFDQQNESVTTKYLNGLIELIHSNLAGNQQ DSSAVETSKKHFYQTLENIRSRMYEGVVLSPS UV8b_00151 MENANGQASTDCTHLVLGDERIIALFTNDNSLKLYEPNPRNRLR SACKLILKDSSGNSVIPLYNVLWIEVSVGKLVVDYAAQPSKASIKLEKWTFDLSQRPE SPESPEPEHFVAAVLAQAYSGSQVRRRAYVLINPHSGPGAALRKWHINVQPLLEAARM KLDVVTLKRGGEAIELAEKVDIDRYDTIMACSGDGTPHEIFNGLARRPDASRALSRIA ISHIPCGSGNAMSCNLYGTHRAALAALAIIKGCVTALDLISITQGGRRSISFLSQSLG IVAESDLGTENLRWMGDSRFEVGVLMRIFRRRCYPCDLAVKAEVVNKEDIRVHYKEHT NRSRLKEMLPPEIHQDGNNLGLPALKYGTVQDQLPDEWDVIKCDKIGNFYCGNMAYMA PDANFFSAAVANDGCMDLVTINGDLSPITATKLLYSVDNGKFFDNAHVQYKKVSAYRI TPRDQKDGYISIDGERVPFEPFQAEVHQGLGRVISKRGIFEAEGPANWNTGDANRIIS V UV8b_00152 MGLPSKGDMRLLDFKVQEAFYTKIVDRYMAICSDAGQGVELLRR FSSLRILDSLNVQSCSGASGAVSDCTGAISGKFTEGTARSKNLPNILTALRKLREGVV AAKRMDVFAAQVYLFSIRTSILARDPESYHPAILHLLRVIQPRASLTPSEVDEVAGYL VLDAACRRRQLAEAVSLRHQYGLRDNYVKEALHAVIHDNYAAFRRIQRRIDGYKARLM EWADKDMRLHSLKCLGRTYHSVDLKFLETITESSWNDLRAKDGVGWELMEGKVIIRKV KNR UV8b_00153 MSGSASSAASQASSGSWSAFLKSIASFSGDLSSLTAPPFILSSQ SLTEFSSYWATHPPVFSAPAAESDSAKRAMLVLRWFLSTLKYQYASRSEKFGNEKKPL NPFLGELFLGTWTDEAGETTLISEQVSHHPPTTAYCIRNNKSGVQLEGYNAQKATFKS TIIVKQIGHAVLTIPVGSGDEPRAEHYLITLPSLHIEGLIFGCPFVELDGSSYITSSS GYTAKIDYSGKGWLSGKKNSVLATLYPTGKEKDVLYNVTGQWTKQLEIYQGAAKNNSP STLIATYDVAATPQTELRLLALDKQHPLESRRAWAKVAGAIQVGDMDTVSIEKGKIER AQRNLRNKERSENRSWERRYFSAETGEDEVLKALGHVVGLPLDGDQDKTGGLWRFDPA KAAMAASQILNDEEVHTIERDVLGQ UV8b_00154 MGVTDVLSRKSGVIVGDDVLKLFAYAREKQFAVPAINVTSSSTV VASLEAARDAKSPIILQMSQGGAAYFAGKGLSNTEQAASIAGAVAGATYIRSIAPSYG IPVILHTDHCAKKLLPWLDGMLDADEAYFKTHGEPLFSSHMIDLSEEPVDWNVETTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNASLYTQPEDILNIYNILEPISPYFS IAAGFGNVHGVYKPGNVKLHPELLGKHQSHVKAAINVKEDKPVFFVFHGGSGSSKTEY LDAISHGVVKVNMDTDMQFAYMSGIRDYMLNKKDYLLTAVGNPDGEDKPNKKFFDPRV WVREGEKTMSARVSEALKDFNCANQL UV8b_00155 MPPKKAAVEEKIPLGRPGNNLKSGIVGLANVGKSTLFQAITKCD LGNPANFPYATIDPEEARVIVPDARFDWLCEKYKPKSRVPANLTVYDIAGLTRGSSTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHIEGDVNPTRDLDIISEELRLKDIEFVE KALENQKKKTRMGGQSLELKKAKAEQDIIEKILAWLQDGKDVRKGDWNPKEVEVINPL FLLTAKPVVYLVNLSEKDYVRKKNKHLPKIVEWVKEHATGDPIIPISVSYEERLTRFE TEEAAKEEQKAVGAESVLPKLVTQMRKTLQLGSFFTSGADEVRQWTIRNGTKAPQAAG VIHTDFEKTFIQAIVYNFEVLKELGDESEVKAKGKMMTKGKDYVVEDGDILLIKAGAA KG UV8b_00156 MSTPRQSTSQPTRGHRSTLSLQKTEVKIRIYDLLPPGRLSSILW TVGASLLHSSVVINDKEYAYGGHNKRCVTGVYWTKPKTELPGGTFRCEILHGFTLATQ PEIDSLLRTASEEFLGTSYNLLTRNCNHFTSHLCQKLTGNPGPSWLNRAATIGVALPC VVPREWIEPPEYETADGELLDEDDEIAHESSRMLGESRPQLLAEAGSSGGEWDSEEER RKGGNGKGKRVMQDTSGRNLPAAEQAPQ UV8b_00157 MDDLTQSMVSQALDMKANIDTSALTGKSFEPTRREDDKEPYHFH VYSHKHNTHITCTKPNREPIISMSCGNIGYRKARRGTFDSAYSLTKYVLERLIHTNWP REINRLELVLRGFGPGREAAVKVLMSPEGKIFRDKIVRVADSTRIKFGGTRSEKPRRL UV8b_00158 MIPFVRSAVPPENAFFRASNRIFHSHGRSPAYRRLASHPTSTSL LPVSRQTCAAVFNTSLLRLQSLPFEVRLTSNYIGQNRQLHLSRALYFEEKTKTEFAKS ETKPDEEHSKKSEEGESGEKSNEKEHSEKKEEMPPPPPHGDKTPWQVFMETMNTEFQA SKEWNESTKQIGAAAHQFSESESVRRAREAYEKSTGAISSTTSKAVKTTAGAIGKGAA WTWETPVMKGVRKAANVTGDAMDKATKPIRDTEAYRNVKNVIDDGSSSRYGGWVEKEE RRRKRAMMEQQNLDRGQVLEEDPNAGTNVTLHKDAAWKEAWRGFRDTNKFVQGLFTMK GRYEESENPLISTARSITDRIGGFFAENETAMVIKKFRSMDPNFQVEPFLQELREYIL PEVLDAYVKGDAETLKLWLSAAQFSVYEALTKQYLQAGMKSDGRILDIRNVDILRARI LDPGEVPVFIITCRTQEVHVYRNAKSNELAAGMEDKVQLVTYAIGITRMAEDVNNPET RGWRLIEMQKSGREWH UV8b_00159 MESPKTSGSLSSLDVLFENPLFAGGIGLASLGAAAAFARKGAIM TLGTMRRRLLVNVEISKQDAAYPWVLAWLSQPREAPGFIASRLTRIHNLSVTTTSNTR SHGAGGAIDANFFLQPGYGRHIVKYGNSYIAVNREKHSTANMNTGEPHEVIQLTTLWA HRHAFEGIFTAAHRLAAKANEGKTVVYCARGMEWVSLGEPRKKRPLGSVILDKGVKES IVADVKDFLSRQQWYVDRGIPYRRGYLLYGAPGSGKSSFILALAGELDFGVAMVNLSE TGMTDDKLAYLLTKLPKQCLLLLEDADAAFVNRRQRDADGYSGASVTFSGLLNALDGV AAGEERIAFLTTNHIDRLDPALIRPGRVDMLLRIGEATRFQASQMWDRFYGDKDRDGS GRERFLLRLEDLGLFGSYPDGSSSKRHTSTAAIQGLFLFNKNDMLGAIEMAPELIPRN FETNVGACMLGRACIFPSISTDTLF UV8b_00160 MSQHSKYTANKIVRGPHSDSVLVDNFDDDVENAHCNVCVSHPAT SDDGSMDPDSGVKRGLQNRHLSMMALAGIIGPGLLVGAGGALNNGGPASLLIGFAVIG VIAFSVMQSLGEITTLYPGGGSFVSLAERTVDKSFSVAVGWNYFIIWATVLASEYNVI CSILTFWAPKVPLWGFFIILWFVFTGFQLLGVEAFGEAEFWLALLKILGLTVYFIFSI VYAAGGLVGQEEPIGFRYWHDPGAFNGNGFRGVATVFVFCSTFYAGVESVAVAATETR NPGVAVPQAIRQVFWRIIFVYMGSALFFGMTCPANADGLLNGGAKALQSPMTIAIQNA GWQAGVHLVNAFILVTCLSAINSSIYIGSRTILYMAQSGKAPRFIGWTNKQGVPVWAI LITNAVGSISMMNVSTGASRAYGYIVNLSGVSTFLVWGSISFIHIRFRRAWVAQDRSL GAIPFKSMFYPFIAYFGLAANVFLALVQGWTTLSPFDAGAFVDAYILLPLFGVMYLCG KLYWRGEDRLKRSCEMDLDSGRRADLDGKGVVSGDEARPSSSKVPLWEKLWSVL UV8b_00161 MHLTARRMSTFRSLVRPPAASPRYIGLALDPSFLHWFLVYTFKR IFPWAKSEALGAACFDLRAYFLFSKLSLHSASSPVDNTSTFPHHTVLY UV8b_00162 MSTLEADLSFDFTFLSPSTPPSPCQQDFVPDSLCNFDPSFLDLP HIRRHVRSSSKASSVYSVVSTVESTPDSVSTRLTTPPRVSPPIRHHGPALLPKIRSQD QNIDDCQLGQASISMKKHSRSRNTTPVRASSARSFRPGGHARSYTNPETLNMAYAHLS SFPTGGSSEENSSSMSNPAPLLSSPVNFSTLNWNNCSNSGIMTADHEVMTASRRASNR FSLDAPVIRKYGYPTYRQLPLMAASSTPPTMCTPTPPPDFPFPSYVARAQSPLSLARS PVPVATATPSTTLIAYLTQYNTAATLVRTISFPMRDSSVKNFWWDIRNIRSWNSFTAK SIFALPGVSALLTTPVSASVLPQPHMSARNPETEACLHDIYASYYLPKLNSALAVSST RPLQFFVPSKSANSIKDLLFIANAAGETSSATTIFGGKPLARVVGLVRCFDRFNTGMR VESNIKRVEYLRGLAALHQAMREHSCRYGFIMTEIELVLVRCGTESTPFFGDLEITSV QLSVIFSPKDIDGIGSSESALTACLALWGLCQLAGDDAPAGHAHWKTEIGAPAEGTRR KAKPRDPWMPQPQLAEKREAKRSRGWVWPEDAIGRKELGKRGVRYGGIQI UV8b_00163 MQPLNPFLAAFSKSSVVTQCTPVHHHILLVPLTDVLLTSRDTDT GASTGEVVASEEFLSSHVLRIPPPAKPLAGKDGPHNLREVRGKAKQFSTLNGRSVVIK DSVIYSNKGFKALSQAQMLSDAIWYPDVFEPRQWLLYFISRPLVGSWEEVQLPPVISI RESRNGLSVESGKSQNSDAAERAVPKKKDVKSFHDLLNHFPIIARQMQPGLEKLFVEF TTVFEKPLPPPPTVSSIPKPQPDGPITAAMRRARPHSFSARRDKANGRESLPVTEDFF AEDDEDVMRASLETAVTAAIDLFQNVDKQQLSLLGATTDLTGPLVEKLIERYVTENVN HLLFPKLSALKRPEDLELEAKIRQMEYIDISQLGIAIKGCCRAKHDLLIQLGHAIEEF KKITSAMSPAEMMDTLFSTIKVVSQLTGIPEGPSLDNKGEPAYEKIAMTVNADTLVSL LLYVVIRSKVRNLQARLSYIRNFIFIDDVDSGEMGYALSTFEAVLAYLVSDSAGLRRA SRRNKALWDATKKSDLTELKGIMEPDTSAVADGIEDLDANEDAEISAKTSRHPSFTWT LPNSPSRRPSLAVAMADRFSHGNGLSHVFPFQSNDDSGSDFSCVKKVKRVAMDIRSLS SGSEISFHSRTGSIATLESALEGDISVKRLAQTSNSLGESIPMMAIQSGNSSSFKYLM SLSGYYPLDMILQDMNNEDTTLLSAAIQLGKNDLIDILLERINGLATENKFKAYLAEQ DIWGRSVGHYLFHAPFLIPKIGRLIPWRQRDKNGQTPLFALCRSYDNENYFEMVETGL NVAKETQNDGQPLHLDDHVDAKGNTLLHIMNDHRLAMRILHSCNVDVNATNEKKFTAL MVASKYGRYDMVRCLFADPRVDITAKEMRGLTAVELAKDDDVRNRIDDLCLFSMPAGH DGRITGVVRAFFVEDGSVRLVLKSAAPTDHDSYTVTTSRRYLAEFEQLAHLLTQEHPA SWVPSILDMRSPFQIPVKPSRAILRDIQTKTDWFLRIMLSHPTLATHEMLWEFFLVPE LQLEAMEQRTKLKVETRIETIKEEYEPVQDVREVEKFVDHAREMVRSVSYSTKSVTRR ANGLGLATCDMYDSLVLLHRSVSFLRYLPMSHMDALEAYVRAMAPTQLNPQTTLHTSL QAIQSTIQAILTSLSRPATLIGHIRAAKREVDRNDGSVGRSSRWPLGLLDETRQRLQD GKEKRARKSREEAEYLAKELRYTQQTVAGELAGWQDMHDKMSRRAIREFARGMVIQER MRLEGMARALRKVRSKEREA UV8b_00164 MPQQLPPKEAAQFRQVIRSYEEKQYKRGLKTADLILKKNPKHGD TMAMKALILNGQSKTEEAFTLAKEALRADMKSHICWHVYGLLYRSCKNFEEAIKAYKF ALKLEPESAQIQRDLAILQVQMRDYDGYIQSRNAMLQARPQLRQSWTALAIANHLSGN LTEAENVLTTYEGTLKTTPPRHDIEHSEAVMYKNSLIAAQGDYERALEHLDTACKHNL DRLAVLENRADYLARLGRNNEAAKAYRVLLDRNSEHAVYYEKLAVALNIPENDFKARK ALYDQYAEKYPRCDAAKRIPLDFLSGDDFKAAATSYLTLMFNKGVPSTFANLKHLYSD SSKKGTLAAIADEYLKSQSNVTASKDRGEPAALYFLAQHYNHHLDRDLYKAMEYIDKA IERDPKSVDLHMTKARILKHRGDIGKAAEMMDAARRLDVKDRYINSKAAKYQLRNNEN DKALKTVGLFTRADTVGGPLADLLDMQCIWYLTEDGEAFARQRNIGLALKRFHAVASI FDVWQEDQFDFHTFSLRKGQIRAYIELIRWEDHVRDHPFYSRAAIDAINLYLDLSDKL SANGVNGAETENGDDALAKKKAAKKAKRELQRMERETAEKQARKDPNKGNQGGEAKKK DEDPLGLKLAATRDPLGEAMKFLNPLLRACPKSLNAQHTGFEVYIRRKKFVPALRCLN AALAIDSADLKTKRQVNKFMAGIKEASDLPYKVMEVLTTSFQEVL UV8b_00165 MTEALNEQLLGPDLASDVTAVAYLEYLAGQPVDSLRNFEPQNLS HALYLLLRSVQSLSKKSYEFIVDSATDHTSLEQLLPILRTRSNDLMHLVPRIDQEAER FSNGFSKVSDNIINKRKQALRQLHNSGRLVDLMGLPALLKSAIKSNAAANYSSALDIY AHAHRLASLYPTSSLVISVTNEATTALKQMAEDLMASLKTTNLKLASGLRTVGWLKRI IPVLVSETSMEQALPAIFLVCRLNALILTLDALHPLKELADKEGVRHTTYVSQMWSGG QHTERYLKRFIEVFREHSFSLVSVSKSVDASFANSSGLKDNVLYPFPPILACFPLHMV GLLLDTLQLYLPNIKDKTSRESIFTQLLYCAGSLGRLGVDFGMLLSVIGMNEWIGIVK RHRLLAGRLESVIGDYRGNQSF UV8b_00166 MSLSNEALYKLAREIEIQAVAAQQQISLARSQISAKQREQRLVR LTLGEIDSLSEGAVVYEGVGKMFALLSLPALRQKLEGQTNELDSDIKRLNQRLLYLET THKNSRDHIEQMLRTC UV8b_00167 MSCYSDDEDVDVRIRHHIYPPRPTRHIERPRSYYGTPVGPTYLL PEQHTTVVARARSRDRYRDRNISPASPALAPAHPVIINNRIYNDYSSDDEADYRRRPQ VVHYRRDSGSRSRSRSHLTRDEWEAELARRELERLRLENSKSNEERRIAKEARDKADL HRAKLELDEIRQREARAEDEKRIKRELELKRLKEEEQAAEENKRREKEAAEAVERYKK TEADRLAKEEIRKAQEEKEYKRRLQEDLLKSGLDEKAITAILDEKKVPEASESGQRQT YTRMARRHLSIETLRVFQVDFDIDTDPEYVLIKRWVPEWEQDQFWNHTKYVREKRRST LLIEEKSNRRLEPQFEWVRKKHDRKRSKSPGALIMYLAGAKPA UV8b_00168 MPSSTKESRKRKDSVVDSCSDNDFDGSMLEGVLSRSEDGSSESD DPAFDSDESMDDSASNEDKDTTGFYDKLPLSAKAGPKMGVDSRLSYRTVTDANGGERY EYAEIDPVYDSDDTDAQGQTNTIGNIPLSFYDSYPHIGYDINGKKIMRPATGEALDAL LDSIELPKGWTGLLDPETGKPLNLNHEELELLRRLQMGEVPEASYDPYPDMVPYFTGA EERMPLSAAPEPKRRFVPSKYEAKRVAQLVRAIKEGRILPYKPTEEMDEVQHKEKYYD IWTNEEYKEPHVMNIPAPKLAPPGYDLSYNPPPEFLPTAEERISWEETDPEDREKEYL PFQFDSLRKVPGYDQLVKERFERCLDLYLAPRVRKNRLNIDPNSLLPQLPRPEELKPF PTLCQTIFRGHVGRVRSVAFSPDGEWIASGGDDGCVRVWAVNGHQGWMVRLSADEAVN TIRWRPNKETFVLAAVTGEDLFFMVPPLCNDAVDKASRAVLDAGFGCASNSTHHASAG DSTARETSAKWSRPGSKLEDLGVLLKATVKSTIKSMQWHRRGDFFCTVSPTGQRSSVI IHTLSRHLSQIPFRRLHGLAQSAHFHPSRPLFFVTTQRMIRCYDLQRQELVKVLQPGA RWISSFDIHSGGDNLIVGSYDRRLLWHDLDLSDRPYKTMRFHSEAIRAVKYHRGLPLF ADASDDGSLQIFHGKVVNDLMENATIVPVKMLRGHSVINRLGVMDVDWHPRHPWCVSA GADGTCRLWT UV8b_00169 MKYIGLIITAGIAISGTVASSWFPGTKAVYNKWHQTELERWLSD HGIPYPKASDRKDLLMLVQNRWNDAIVEPYKLWETGELSSYLRERGHDVKTSAENSKE SLVSQVKANWYETEDAAQQAWVNAKDWILDTWSESQLKAFCDKHGIPVPQPHHRDTLL QKARLAYEAAAKKTGETAAYPGDWLYQTWSESELKAWLDKYGIPVPQPTTRDKLLAAV RRNSRLAYLKAKHEATSASTSAQAAYASLTDVIIDAWSDSKLKEFCDKNNIPVPQGTK ENELRALVRKHRADFLGDNIQAKATSALGAATSNARNEFAKATDSVSLAVEDAFNRAI ETWSESRLKSYLDARGIPVPHGSTTDSLRAIVRKNSHKAASGRQAWTFDDFSYGNLKA YIQKNGDDTAKKVAAKRDATREELAKAAASAYSSASSAGGDKFASATSYLASATASAQ NQAFETWTESELKAFLDSYGVPVPQGSKLEELKALARKHSTYFKYGTSSPTGTVFARL GAAAAQGWRWAIDQLRLGSEVATQEGRNVRKEL UV8b_00170 MSTLEELDDLDRRDNDDDKKRGTDSNDDKRKQIDGDEEMKDKDD GASDDILDEEILGLSTQDILTRRRLLENDSRIMKSELSRLSHEKAAMGEKIKDNMDKI ANNRQLPYLVGNVVELLDLDPTAESSEEGANIDLDATRVGKSAVIKTSTRQTIFLPLI GLVDSDKLKPGDLIGVNKDSYLILDSLPAEYDSRVKAMEVDEKPTEQYTDVGGLDKQI EELVEAIVWPMKEADRFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFLKLAG PQLVQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAVGTKRFDSEKSGDREVQRTML ELLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQILKIH SRKMKVDPGVNWGELARSTDEFGGAMLKAVCVEAGMIALRSGKNKIGHEHYVDAIAEV QAKKKDTVNFYA UV8b_00171 MHFMIMSNCWSSLRLLLPKVIRPEIQIAPDRLFSTTRSFEASRH AEIMACDTRFASRDAAMTSKLPSARPVETRKSQLIRSYTSLLRTTPLVLFFQHSNLTA IEWAAVRRELKKAVQAVPQSLGTDGSTGLDLAAKLQLQVMRTKMLSVALRITEFHKPD YSKDLPPAQWLGPVPLVHDLSEAAYKTIKDIAVPPNSAYAQLQPLMVGPVAALTLPCI STAHLAAALSILAPVAGVFPAPTRKKNPGFYDPICQNGLNKLVLVGGRIEGKVFDEQG VNWVGGIQGGLDGLRAQLVSLLQRAGLGVTTSLHAVSMNVWLALESRRAQLDEGDK UV8b_00172 MFPKILTKPVRNGTEMVRKTICGWAWTPAVSVAKSVVLSAFACV ESGTLLLVDEPGETRHVFGQKLFGGVGHDAAETSCLRRAGTIPRVEIVVKRDSFWLRL LLFADIGFSEAYMLGDFQCEDLTSFFQLFILNRERLNNGTTVASKISTSISYFVRSTN ALSSAHLNISAHYDISNDMFAAFLSQDMTYSCPIWQRETGREADCETLEEAQYRKLLR FIEGSHLKNSDHVLEIGTGWGSFAIEAARKTGCRVTTITLSKEQKEFAEKRIQAAGLQ DRIAVKLVDYRELPDTEKPYDKIVSIEMLEAVGQEYLATYFASIDRLLKKDGGIAMFQ CITMPEGRHEQYSKSVDFINQYIFPGGYLPSITQLMNHISRQSNGTLIIEKVENIGGH YAKTLRLWNQNFQYQFDDVIKPALLHNHPGMSKEAIQVFRRKWEYYFTYCEAGFMTKT LGDVIITVGREGALELMEGIPL UV8b_00173 MAGLSSNRKQRSKTTGLKRTAKEQLSQSVQQRKRKHRDPHLDLH QSRDEVNPKMMGGVHSSKVICETSQSTTPSLELWKASDGMSSETLAEAYGLGIKKTPT MLASHNDKVNHGLSSGIDIGKYVAIDCEMVGIGPGGHQSALARVSLVDFHGRQLYDSF VKQKEKVTDWRSSISGVSPRDMRFARQFEDVQNEVFSLINGRVLVGHDLRHDLDALKL THPPKDVRDTAKHQTFKKYSHGRKPALRILARELLGVEIQQGPHSSTEDARVTMLLFR KHKSQFDIDHANRYLSKTIPIKAKDLKKSNQKISSNKSCDKSGLSRREISAASSCLQS ATVT UV8b_00174 MAGGTPAGEPAVGSDTPRQKGIATSDTLKTGCIAWVEKEGQPRR AEILSIKETKSGKQFYCNFDNFNKRLDEWVPVARIDFSQDVEWANLDKDKPKDLKTKK AAPQTKKAQVPKKNQKRPSKRELSANSDVATPHPWTDFVESQIQTPSGGLDDSQTQTS ADATATPIAADEGEADDKYEDLKREENKFSREEEIEKLRTSGSMTQNPAEISRIRNIS KVQFGKKDLFPWYFSPYPEIFGQEDVIFICEFCLSYYGDETAFLRHRKKCTLQHPPGN EIYRDDYVSFFEIDGRRQRTWCRNLCLLSKMFLDHKTLYYDVDPFLFYVMASRTDKGC HIVGYFSKEKESADGYNVACILTLPQYQRKGYGRLLIQFSYELSRIEGKLGSPEKPLS DLGLLSYRQYWSENILDLLMGYHEREEKVTIEAISSALAMTTQDVEHTLQAMKMQVYH KSDHKIVIPESLIKLRDQQRVKQRRVLDPGRIQWKPPVFTAFSRTWVGPQVSRPCTYA MNVPHTYLVELQLLVRLTLRLSISGSGFRIGMICIMIVFKSTLGFFVATGTNLHGTLG NDRRPWGGWDCNREIVCNSVRSDHS UV8b_00175 MAQAGGSYNNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNMYQ ATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAKSF QNTRKWIDDVRAERGNDVIIVLVGNKTDLNEKREVTTQQGEDEANKNNLMFMETSAKL GHNVKNLFKRIAQALPGMEGTDAAAQASSQMIDVKTNVSQTSQEGCSC UV8b_00176 MPAIASRLSGSSKSPGQFKQPSRKGKRTWRKNVDLTEVEQGLEE LKEEIIRGGVIREKDSAELFTIDLKGDLEVSRKHAKHLKKGLKADEILAQRSSIPAIS IRKRHSDRTSDNTLPAKKPRADWVSHKDLARLKQVADGRQGIIVEAKDATYDIWDSGS AATTETQYDFLPLQEPTKQPKSLKQKPISLTANGKEVPAIPKPSGGYSYNPLSTDYEA RLAEESAKALESERQRIIAEESEREKQEAAARSAAEAEAAEERANLSEWEEDSEWEGF QSGAEGGETKKKRPQRKTQAQRNRIKRRKDEERLAKQKATMKAMEAQAERIKDIVEEV EEHEQKRALGIADITDAETEGNDDVLRRKQLGKFKLPERDLELVLPDELEESLRLLKP EGSLLKDRYRSMLVRGKMECRRHIPFKKLAKRKATEKWTHKDFVL UV8b_00177 MRQRMGQSASTAAAVLNHQRPLFASVRTSHVSHLRKPLSWNRFN VEFSRSGAFSPWSKPNTFLQHRFLSGKPLPQVNSWVLNFCYRAGAWLGISITVLGLGI VGFFLYDASTYKQDGQQSDINVSELALQPRRGGPNKLPIAEVFIDDDDCDAKRRIKDK PKLVILGGGWGGVALLKNLNPDDYHVTVISPANYFLFTPMLPSATVGTLEFRSLVEPI RRILNRVHGHFIRAKAEDVHFSEKLVEVSQLDNRGKEVRFYVPYDKLVIAVGSVTNPH GVKGLENAFFLKDINDARKIRNQVIRNLEVACLPTISDEERKRLLSFVVSGGGPTGVE FAAEIFDLLNEDLTRHFPRLLRNEISVHLIQSRSHILNTYDETVSKYAEERFARDQIE VLTNSRVKEVCHDKIIFTQKQEDGRLLTKELPAGFVLWSTGVSQTVLCQRLAQKLGSC QTNRHALETDTYLRLNGTPLGDVYAIGDCSTVQNNVADHIITFLRALAWKHGKDPETL QLGFKDWRQVAHDVKKRFPQSVSHLKRLDKLFAEFDKDQSGTLDFGELRELLNQIDSK LTSLPATAQRAHQQGYYLAQKLNKLAHLSDGLSANDIRDGDLDAAAYKAFEYHHLGSL AYIGNSAVFDLGEGWSIAGGLWAVYAWRSVYFAQSVSFRTRCLMAMDWAKRGLFGRDL MSFG UV8b_00178 MSEFESHVTVQKRAGYSPPWVDVSIIAIAGSSGSGKSTLSQAIV QKLNLPWVVILSMDSFYKTLTPEQSKLAFANEYDFDSPEAIDFDVLIDRVRDLKAGKR AEIPTYSFQEHQRLEKTETIYSPHVLILEGIFALHDPRVTDLIDIGIYCEADADTCLS RRMIRDVQERGRDIEGCNKQWFSFVKPNFEKYVKPQRKVADLIVPTDIKTRVALDIMA LFIENKLIEKSTHHREALSRLEATCRGQPLSKRVIRLDDTPQLKSMNTILQDINTSAE DFIFYFDRLAALIIEQALNNVQFIPVIIGTPQGHEYRGLKPKGEVSAVIVQRGGSAFK TALRKTIPDCRTGQLLIQSDFSTGEPELHYLDLPKDIDTHESVLLLDTQMASGGAALM AVQVLLDHGVCLDRIVLATYSAGRNGLHRLTTVFPEITVVVCNILEDQESRWVEKRYF LC UV8b_00179 MRGPAPAVQWGLPSPKLLTLTTGCRKSKAVYVMASTTSTATPNR HNFELRVREVKSPKSDINALLLDYLTMEGYPNAAARFSKEANLQPHQDSGSIRSRQNI QNHIHSGNIQAAIEELNELDPAILDEDKALHFLLLRLQLVELIRSCNATEDISPALKF ATEQLGPRAPTNPSFLEDLERTMALLLFPSDALEPRLAALLDPQLRLAAADSVNKAIL EKQSARREAAIRHLVKLRVWAENTAREKGVSLPSQLDIGLQGEEHDQQDRRQSNVEIT HEPMLSQITTVFQLCFLDLGDISEYSRQCSPDPALSDVYMFMVLIGPDDAFLRRRSRG NCFPKWSLVTALKCQTLQAAHYASIVTLNIPYNPVLKVRMS UV8b_00180 MSSLRAQNAWMLLKRAGAVRPAIHSSSQRFQSSLTTVTSSPVQS LPKQPDYDIPADKATSTFTPIPTRVQDGSEDILPAAVLSGAPMELQARTVRIYKESKP ATQSGDFRTERWRMDWDILPKGHRWENPLMGWQSSGDFMQGTHVNFKTKEDAVHFAEK QGYDYFVQEPNSRKFTPKAYANNFLYSPKKLKHVRTK UV8b_00181 MSFVTRRALSTLIPPKVASPKAIGGAPDALRMQRVVSFYEKLPR GPAPEAKAKGILGRYQAKYFGKKTSVQPIIHALVFLVGIGYAQNYYFHLRHHKNNVH UV8b_00182 MATESSGDNFADVSISSQLELLNQIDNPHIQQNVAATPNGSELD KKPVAHIEDQQAFADVHLSGGSDGETSRADWPRQRDSDKGHGQSASVAKKPATFKAVS VNKTFLASKASPTGNITKSADKPTPGSSTPPPGSSLSSSRPRLVAKTGGGAKDTVPRL SSSVNGSKPASAPDPAAVWNKNRPPEPKKFTDEELKKYGIHMASRLNVEDAQGQNKWA DIDEDDEDWAPETITWGDGTKTTLPHLDEHSVPSQESSALFDSVKGPVKPKSPVPSIT SRSSVPRSGGLGTGRGLVLKQASQEKPALISKTTASVAPAKSPWATLPPIDRASPGFV ETTQPAAALPRDTTTAKLPNQKRKEIAADDFSRSSWREGLTHSNKELYNSHSGRYEPV VDRRGSFRSESQTKHPALLQRPQPKDQDVETETFQQASRNPQENLFPRRRGSSSVSIG SGSFHQRMPRSNDLAGPSTQMGSVGMTSQANLAESPVSSEDGPSSNSSQPKELSSQRQ LTSVSPNTTISKPSQGGSSFDTCSHPPQHQNGELVDELEYQKKVMRERIELARKRRQE EEAQEEAARKERIQKKLEALGPPPEKKSDKKELSTRAEVTKPSQIRQRDRHVPIDAIS QKAEQEPTNEEQKAVSSVTNQPGGAQIHTKPHSSISTLPSVSTPFRRLSQGQESRRAD PWGGTGPRPEKFTWASALPPPSRNVWGSPDNDRGLGNGTFNPDLGRVTNNTASSAQSS KGPLPIGPPSTSTRAPSQSQPQASQNPSVNAQSSVYGPPGSELASKWVASVAENDKKL STARLADRVSHERHMMERGMAIEDSQPAIKDSWRPMHAPGDGTRHSVGTVDVQTHASA PWKAAKEGMPKSPRTIEDSTPSSTAGIIGSGAPPQSRPSRFFPAKDIRQGVGFRSIHS RPNSPTPPPPTMEGHPVYEGDIMHPNVSLPKPQPVVKLPPAMTSMHLHQTRPQNVWTT RAATKSSHRDNFDQGWNSDGQGNWQARFNKLLHGDKLPQSRDVGVDASSKGPLDHASH QAAATVSLPTPASTKVDTNDQSLISKPMAEECFEEQEMGSLPQIRLPHRTPEAAWQPA VAPAKSLPKRFLVQAALMEPFQFASDIHGRSCVINILLPGMKEVRTVSSNHSIPRGSR DSHGQRGALRHKPFASGPRTTKREGSGSHESESTPGRVGRGGRGGYRSSRGSDHGTRH HSSQSQKSAK UV8b_00183 MTPSSDAGYMMSDAPSLAAAQRRQVNFSSSSARPRGPPSENLGA ASEDEADGFADDQVPRSSRIPDAANIPRVEDRIGLLVQEHFEAFIESFTEDVSSSGAP TSSAVTTDKYYVAQIRAMKNLQLSTFYVDFKHLALWENGSLADGVMRQYYRFLPFLTA ALHNMIAKYEPQYFREHRQPTASSALSTSAASQLGSGSQSDPSHRKNEHQQTDKLFSI AFYNLPLVSRVRSLRAANIGQLLSISGTVTRTSEVRPELSSATFVCEACRAIVPNVEQ TFRYTEPTQCPNRTCLNRVAWQLDIRHSTFVDWQKVRIQENSSEIPTGSMPRTLDVIL RGEIVDRAKAGEKCIFSGALIVVPDVSQLGLPGLRPTAIRDDRGASRGADAGGSGVTG LKALGVRDLTYRLAFLACFVAPDTSSTGQPVASSASDVVNALTRSNAAEGAESVEEAQ AAVLASMNPSEIDDLRTMVHGDHIYARMVQSMAPMVYGHEVVKKGILLQLMSGVHKTT PEGMQLRGDINICIVGDPSTSKSQFLKYVCSFAPRAVYTSGKASSAAGLTAAVVKDEE TGEFTIEAGALMLADNGICAIDEFDKMDIGDQVAIHEAMEQQTISISKAGIQATLNAR TSVLAAANPVGGRYNRKATLRSNINMSAPIMSRFDLFFVILDECNEQIDRHLAEHIVG IHQLRDEAVEPEFSTEQLQRYIRFARTFRPQFTNKAKEVLVKKYQELRADDVQGGVGK NSYRITVRQLESMIRLSEAIAKVNCVEEIDEKMVCEAYDLLRQSIISVEHDDVEVMDE DETQEDGETLHRAADAASGIHSHNTPMAIDEEVSSHSAQPPRESDAAQKRRTITHDKY IKMVNLIVQRVSDDENGSGDGVNGEDLINWYLEQQEAELQDEGDFHAEKALANMVLKK MVKDNILMALRGEGLDGSATFSAADAIYVLHPNCAVEEF UV8b_00184 MSKFTRLYLRAPRPQSLFGLVGLQTGTELISLALVFNKVTGVYG LLAILTGYQLSLLQLSTYIYSISVLGLLVYLIPHIRRQSTFECLALAWLYCLDTILNG AYTAAFGLDWYFASTTSEGAKSNAVPLTAIVVGGFEGLRRETAVHGEVVPQETATSML LIIGLTLIRVYFTAIVMGFARQVLQKYMQLMILEGPGVDESEGPFAIDLPDGEGRRGR LGRLMVSFGRGYWMDIPGSEDWEGNVQRKSTCSGALSGQD UV8b_00185 MKPLSTLYNASNVSDHSGNKWRTGFSMVVLSWFCMLLGGAILPV SANDEPQLPLYNIPDACPDYASYASYPHEPLSSGPLSLPFQRPVKRCRTFNSVEIERV IKDVTSRMQDPDLARLFENAFPSTTDTTVRFHTKGRDTAFMGVDGSEDVMDDAWQGTH SFIITGDIVAEWLRDSTNQLRPYQALAKYDHAIFDLILGAINTQAEYVIESPYCNAFQ PPPISDLPVTSNGQDDLVHPVYEPSAVFECKYELDSLAHFLALANDFYDHTESTEFLN DRWFLAVNRVLEVLEQQSKSTFDPETHELLRNEYTFQRQTNIGTETLNLQGNGNPLNS GTGLVRSAFRPSDDATILGFLIPANAMMSVELQRSARILKAAKKNSLSDELDGWGKAI RSAVLEHGVIKHKTYGEVFAYEVDGYGSSILMDDANYPSLLALPLMGFCNTSDTIYQN TRKMILDSSGNPYYLRGKYFEGIGGPHIGLRNAWPMSLLIQAQTSDDDGEIKDCLKMV LRSSKLGLIHESVNVNYIRQYTRSWFAWANGVLATTVLDLAKRKPHLIFKPGTSAYEP UV8b_00186 MPAREILFKIPEKCHISTPNLLPCHIHHDGMVDPVEGLWKPHAT SDGPKVTYLRGRKLIGKELPLPDQYTGVLVRSEPAATSSNDTISTPNREKSATGVEFP SDEAVKNGFTRLRRFTRISCFSRVQDLSTTLHDACITSCSAILPRSDFNTVSGVQRRT HYEERVVDSNAELKLCVSFAPKDMEGILPTLLPLRKSHHLLICSAPSHFPC UV8b_00187 MKARHAYEKDGFVPSEVLLKVPYTIGRLSFSFRLVALVHSEVYA WTTAHIDLIPIIPLQIMDDVTNTKNIAIGLFNAAI UV8b_00188 MSFLFRQSQRPEDEKMDMDRRIRAPEEAVSRIAYLSSDVIVSVQ PSLSADSGFSSHLKRYVNQKKQGLVAASDDAVPEVQSIRHNSDPLLAVYKPVRDGKFV SVTASSTILLPAISHLYKLVNYPVVLHIALESRPSADYSQITSIRNSGWTFLQSETLQ EAQDMALTAHALAIRIGKGVIHFFAPETSESEMPIPVEDAVTVREVLDIESVRRFQAS SIPAVGIYADDGHVPVSSDHPETSLTRNGAKTAALQPPPSAGASKTTSVDSSIKSSEA STPAAPSSVATTVEFAPPRVTSDDIYTCLTRVWSHIKAATGRGYSAFEYTGPAQAEDC LLVFGSNTGNLAQAVDNATSDDVSDIGILTPRLYRPWIGSKLVEAIPKSVKRIAVLEQ IHRATTKWGPLLIDVLSSVHRGAGGVETIVGYQLGHITSDTAMQALRGIVQNLRSKNP VQNLEVGSKPAQEQSVAYNVRTPQLETAYSKILDQIFGKRAYIANALDSNHAGVPLSV SSSPEFGFGSLIARIEYRTKFITEVKDAASSGSFQSDEPKSWLTRWVLQAESSENNAE FADEILSSLEADGSALAQKLLTKGGLFRKESLWLIGSDAWAFDLGNSGVHHVLASGKN VNMLVIDSTPYSAKAAADSTRRKKDIGLYAMNFGNVYVASTAVYSSYTQVLQALLEAD KFNGPSVVLAYLPYFGETDSPLTVLQETKKAVDAGYWPLYRWNPENELRGEPSFSLDS ELAKQELKAFLARDNQLTQLMKKEPKLAANLAQDFGTEVRAQQKRKAKDAYKRLLEGL LGAPLTVLFASDNGNAASVAKRLANRGRARGLKTSVMPMEDYPLEDLPQEENIVFITS TAGQGEFPQNGLPFWEAIRGKTDLDLATTNFSVFGLGDSHYWPRKEDRIYYNKPAKDL DRVLSNLGGKRFVDIGLGDDQDPDGYQTGYQEWEPKIWRALGVDKIDGIPEEPAPITN EDIKIASNYLRGTILEGLQDTSTGAISASDQQLTKFHGTYMQDDRDVRDERKAQGLEP AYSFMIRCRLPGGVATPKQWVQMDDISSTLGNESMKLTTRQTFQFHGVVKGKLKPAMQ AINRALMTTIAACGDVNRNVMCSSLPTQSKYHEQVHSCSQRISDHLLPSTTAYHEIWL TDDNDKKVQVAGDAVQDFEPLYGPTYLPRKFKITIAIPPHNDTDVYAHDIGLIAIKGS DGNLEGFNVLAGGGMGATHNNKKTYPQTGRMMGFCKADEVHIACEKIMLVQRDHGDRK NRKHARLKYTIDDMGVDAFRRKVEELWGKAFDKDRPFEFKSNIDTFGWQKDETGLNHF TFFIENGRVEDTPEFRMKTGLREIAQIHKGEFRLTGNQHLILSSVKDEDVPAIKALMK KYKLDNIQFSGLRLSSSACVAFPTCGLAMAESERYLPVLISKLETCLEESGLRQDSIV MRMTGCPNGCARPWLAEVAFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILSIM KPLLKRYALEREQDEKFGDFCIRAGIIKPTTDGQNFHHDVAEAESDEE UV8b_00189 MDRPRTPSRPPEYTYPSYNDEHDIPTEQNAAAVRLLTSMEDPVN QESPRATRPSYQPSVISSNSRSASVLDDTPSMPPPDSSYIPFAGRETASPHRPWTPSS RAADFSRPPPSGLSYEPSELNGSPRPGTPSSRYGGSPRRPLPPAPLFSNPARDSQAFP DDATVSIPLDGSDDVFGTETDLSDSGPLPIHRDSFRSASQETLKEDGQDYDKIEHYGP APDGAQERRGVRAPQMSKKEVQLINGELVLECKIPTILYSFLPRRNEVEFTHMRYTAV TCDPDDFVDRGYKLRQSIGRTTRETELFICITMYNEDEFCFTRTMHAVMKNISHFCSR SRSRTWGENGWQKIVVCIISDGREKINPRTLDALAAMGCYQHGIAKNYVNNRAVQAHV YEYTTQVSLDSDLKFKGAEKGIVPCQLIFCLKEKNSRKLNSHRWFFNAFGRALNPNVC ILLDVGTRPGGNSLYHLWKAFDTDSNVAGACGEIKAMKGKLGSNLLNPLVASQNFEYK MSNILDKPLESVFGYITVLPGALSAYRYHALQNDETGHGPLSQYFKGETLHGQHADVF TANMYLAEDRILCWELVAKRGERWVLKYVKSCTGETDVPDAVPEFISQRRRWLNGAFF AAVYSLVQFRQILSTDHTIMRKILLYVEFVYQFIQLMFTYFSLANFYLTFYFVAGGLT DPTVDPFGHGIGSIFFHVLRYTCVLLIATQFILSLGNRPQGAKKLYLISMIIYSVIMF YTIFASFYIVIRQLASKNPDLAMGNNVFTNLIVSMTSTIGLYFVMSFLYLDPWHMFTS SVQYFLLLPSYICTLQVYAFCNTHDVTWGTKGDNVMKTDLGGAVGKGQTVELEMPSEQ LDIDSGYDEALRNLRDRLAVPTAPISEAQMQEDYYKSVRTYMVVIWMIANGILAMAVS EIYSNKAIGDNFYLRFILWSVAALAMFRALGSTTFAAVNVVNTVVEGRLRMNFELPSW VRGFGSKVSEKVSSVGSSLGSSLGGSFKG UV8b_00190 MRYRAVITLSCSLLSGVRSQSQNGPTNAPQVEPDVPSKAPLVGD YRGQYRPQVHFSAPKHFLNDPNGLFRDGNGTWHMYYQHNPTGNTATNQHWGHATSQDL YHWTNQPLALFPPRKNVYIYSGSAVVDTNNTSGFFPNQENGVVAIYTLAEYDADGNPG PQTQAIAYSRDGGFHFTPYANNPVIHSTSSQFRDPKVIRYHLHWVMVVAYSEELTIGI YTSPDLITWSHASNFTLPGLLGFQWECPNLVRMTYRDERGHRQHDMWTLLISINPGAP LGGSITEYYPGRFNGTHFEAVDSVARIADLGKDNYAGQFFYGTPDDEDPVFIAWASNW EYAESAPSDVENWLGAMTLPRRTYLTKTERVGWKWVNYPYNMRPIMGETLAAHERHAN GNVTVDFSHVTSNAVYWEANVTGIPKQGISKLAALKFKFVSPVTAEYIRGGYYLGGLS QFYLDRGGAKGFDNVFFTDKFSASSLGQNGSWSMSGVLDRSMLEVFLEGGIDSGTVTF FPTWPLTLMVLDMCDLPKGVHVSIRVNALKSSWAGMESRDGLVYGNQSTKNMASEDIN HLLRWV UV8b_00191 MAPPIILASSLHSIASRVDTKHPSLYSLSPRDLANPTHTQQVTL GIIAAYVVCIAILWNVPYLKLILWPFKMLVIAFHEFGHAITAILTGGKVESISLDPNE GGATLMTGGISAITLPAGYLGSSLIGALLTFCGFNIVASKGASFVLAVCFLLTIWWGK RDWLTMLTVLLAVCLLIACWFIAHAQALRFVVLFIGVMSSLYSVWDICDDLVVRKVNS SDASVFAERYGGSSQCWGIIWSIISVLIMAVGIVAGLAAFPQSFEQQELDSQHFIPT UV8b_00192 MSSDMSEHEMEMDEGDDLYEPEEPKVEQETNKNPVSKTEELEEG EEEDESGAMDEDEDDSDIDIITERKDGTTAAPPTQSKYSDIRNIPQRSTSGESPAKPA AAKRESESKSSNGALNVAAPSADQASAAASKSTIDINANPVYPSAGKPITQVNIDEDL PDNEKPWRKPGTDISDYFNYGFDEFTWALYAAKQESIRGEFGADSFASNNKKMMEDFH NMMMMGGMGMPGGGSGGGAAMPGMEGIPPEMQAMMQQMMASGMDPSQIDPSQMSAMFS GMQAGGAGPASQGNQGQNFGGGFGGNQGGYGYDQGMIAGGGGRGGFGGRGRRGRW UV8b_00193 MFGQSQATTSGGLFVQTQPQQAQTTAQVVPAVQINYDNLRPRTR FEELAKPVQDEIALIDKGIQRVIKMKDEIGEFMPQHRRDIEQLGRDVKFVESKFRTAQ AALNGDIQTVKVLQGMTKKNISDAQLSFKATDNLKLPTHYHQTGLFAGPAQSTDPDAN DASAAHANAQDLITYFNRVCDDVEKHKKRLDDYRAEIERDMPGVENGLYEQIRNLRDR NAASAGAVQDQLGQILSALREMGNAIIAQAGQIADTRERLSRLQVGILDSDAYATGMT G UV8b_00194 MSRGGRGGRGGGRGGARGGGRPNVPWDVGDEPDARPSELFPHYA VPTPRDLSGAEIDAVQHYLLLRHQIHSSPLYTSKKNSLDDPTCPKILYGQAQMNARYG VKNKASVDPFTAMPTYSQKFVREERALPDWTARPICRELFPAELLDTVEPEDGLGVRK KRRLELSRVSALPGAEEAFGMASLDGDPDETSAGAKNGRNLLEKLDAMRDDEGDEGAE LDDEEGLENEDEDEAYDDEDAGDYDAENYFDNGDDMGDDYGDGDDGEGTY UV8b_00195 MDNTPISGISELQAHLQQLAIDPALPPDAKLLDDVELQLTEDNI PPLLPTLLPPLANILKSTTQDPTPLLSLTIKLLSPLSFTQALGVAEPSSLLAALASPL AGANLLALAITSKAAASPSDASILSTLPEVVEALVRCWLASPDVGVGERAARVLGDVL ETDCEVPDNRLLDGSRPGRRVPAAEVAKRSLPGHGRLWRLVLGKGPILRLIHARCSAA SLPGNPEPVLSDRQVTISQGRLLRLLPRLSVLNIRALSQAVVSDVFALPVGAAVEGDV GQGLLQWAALGMVNRSDVLMHLTLIDFFETFVSIMKVSARSAEADVLIGKLVKSATRL DRELEAALRSLPDRTTEEEAEPLRAYIADLLD UV8b_00196 MSSLKFLVSSLDAIASSKDAQRNKQLAESTQKAQDAIKEQDGHP DPEVLFAPLQLATKSNNPQLTTAALDCIGKLISSSYFSLPSKDDTGPEPHQGAESISP LIDRAIDTICDCFQGETTVVEIQLQIVKSLLAAVLSDRIVVHGAGLLKAVRQVYNVFL LSRSTANQQVAQGTLTQMVGTVFERVKTRLHMKESRLQLSNLKHSASNVTFDPSETTN DIPVETDGNESPLPELEAGASERSNGGAKLTLKDLEHRKSFDDSTLGDGPTMVTRLKS AGKDDASTSSHLGPQGSAQEVMEALDAEDEVYVRDAYLVFRSFCNLSTKILPPDQLYD LRGQPMRSKLISLHLIHTLLNNNITVFTSPLCTIKNSKNNEPTSFLQAIKFYLCLSIT RNGATSVDRVFEVCSEIFWLMLKFMRASFKKEIEVFLNEIYLALLSRRNAPVSQKLYF VTILNRLCADPRALVETYLNYDCDQTVDNIFQTMIEDLSKFSTTPLTITTINEQVYEE SRSKISPASEWQLKGILPPPLTVAHIITSQDAEPDYPKEYALKRVSLEALVETLRSLV NWSASVRSDGGDMLRPDGEAKASVDELRPSFDPTLSDSASRLETPLPPSTPVVDDDPD HLEKEKARKTALTNAITQFNFKPKKGIKLLIRDGFIISDGPADIANFLLKEDRLDKAQ IGEYLGEGDQQNIDIMHAFVDAMDFTKKRFVDALRQFLQAFRLPGEAQKIDRFMLKFA ERYVMGNPNAFANADTAYVLAYSVIMLNTDQHSSKIAKRMSKEEFIKNNRGINDNADL PDEYLISIYDEIASNEIVLKSEREAAAAAGSPAAQPSGLAGIGQAFSNVGRDLQREAY VQQSEEISLRSEQLFKNLFKSQRRNAAKAGLKFIPATSFKHVHSMFDVTWMSFFSALS SQTQKSHNIEVNKLCLEGMKLATRIACFFELSTPREAFISALRNTTNLNNPQDIQAKN IEALKVILELGQSEGNLLRASWKDILMCISQLDRLQLITGGVDESAIPDVSQARFVPA SRTDSGDSRLATQPRQRTRQRAGTGPRGFSNEIALESRSDGFVRSVDRIFTNTANLSG ESIVHFAKALTEVSWAEIKASGSNDSPRTYSLQKIVEISYYNMKRVRFEWTNIWDVLG EHFNEVGCHNNMNIVFFALDSLRQLSMRFMEIEELAGFKFQKDFLKPFEHVLANSQNV TVKDLVLRCLIQMIQARGDNIRSGWRTMFGVFTVAARETHESIVNLAFENVNLVYRTK FGVVISQGAFTDLVVCLTEFSKNLKFQKKSLAALELLKSVIPAMLKTPECPLSHRYGS AHGVGERDKIAGKTMESAKKALANTSVEEGFWFPVLFAFHDVLMTGEDLEVRSNALEY FFAALIKYGGDFTSDFWDILWRQQLYPIFMVLRSKPELSNVLNHEELSVWLSTTMIQA LRNMITLFTHYFESLEYMLDRFLELLALCICQENDTISRIGSNCLQQLILKNVTKFTP DHWAKIVGAFCELFERTTAYQLFTATNMGSGSALSLPATNGFDFSGALSPPAETMSVG EKSLKVNRTDDGAATARDEPIVPPQTSPKPLGDDADTPGGGSGGGIAGQPLEEFKPTS SLQQQPVVVTAARRRFFNRIISRCVLQLLMIETVHELFGNDSVYTHIPSPELLRLMAL LKRSFQFARRFNEDKELRMKLWREGFMKQPPNLLKQESGSAATYVSILFRMFADNAPE RLESRPDIEAALVPLCKDIIQGYSALAEESQQRNIMAWRPVVVDVLEGFASFPDDVFR SHMRACYPLAINLLTKDLGQDLRAALLVVLRRVGEVGFGIEGMGKGDGGRRESVASEL APEDAGAGQDASAMKML UV8b_00197 MLSAAIRKRVLTPTYNALRTGFAPHVVRYYASFPDHQVVKMPAL SPTMQAGNIGAWQKKAGDSIAPGDVLVEIETDKAQMDFEFQEEGVIAKILKESGEKDV PVGSPIAILVEEGADVAAFEKFTLEDAGGNAQPAAQPKKEKQETKDDSPPAPEPSAEP EQYSSSQGKLETALDREPNAAPAAKRLARENNLSLDGVKGTGKAGKITEEDVKKLISS PAVATPGATYEDIPLSSMRKTIANRLQESVQKNPHFFVTSSLSVTKLLKLRQALNSSA DGKYKLSVNDFLIKAIATACKKVPAVNSSWRDGSIRQFNTVDVSVAVSTPTGLITPIV TGVDARGLESISGKVKELAKKARDNKLKPEEYQGGTISISNMGMNTAVDHFTAVINPP QAAILAVGTTKKVAVPVENEDGTTDVEWDDQITVTASFDHKVVDGAVGAEWIRELKKV IENPLELLL UV8b_00198 MPAGWNIKGTKSEPTAAPRATEMRVTARNPTSLITLKCSAPYQV KGRCTQATSVAYLASTECKSNEQPGCL UV8b_00199 MGSLARMAMYVSFCRGKHLGQMTAIQGGSAPPALGNNTGAQPAV RVPRPATLLAAFDRLRHGSARQPTTSSLPTKKRRRGAHAKRLFQQAHSQVGVAEARPL VTVVNDFRALRTDVTAHLGPDQGVPRALAQTRENALRKQRKPRVLWSTAFTETTE UV8b_00200 MMYQMDMRACRDRLGAARSKHGIHTASPRRRIRPGDCDSPWIRA SCQPPLWQTDVAEAAKSRGRQVWLRRHQHQPASPPASPT UV8b_00201 MMGVFVQERWWTVAGVRAGEQDRGRRGLLYPDEQRRAGGKRRRG SRLPLTKVTKVPASSPALAADWQRALPSRPANSLGLPRAVGIQSGAGVSALWRSRPAH RTPETLAKCPSHLQT UV8b_00202 MRSPQMLSLAVALAAVATANPLPEQEAPTGGCTKTIAVLPPFTW GPTSTVWTTTTTLTRPVDCGGCTSVATRDIEVGPGPVVFFTTTVTATGPSTTTVLACA TPTA UV8b_00203 MTANDENDGTAATRGSACRGTSTHASGGTCLENATQHQRKLLVS ARTALSISQSYVAEVEVLLEELSAGVETPHTTGLPTFLHNGKKNQSALQDLIRRLSAS VLSKDSLQRIERKLDVCSVEVSQASTHWDVLKRCHSLVAVNRTFQGSARASRDQEIAS MPNLSSRQKELAHRVMKQQAKVEAHVVRGGAEWIAVRFVQQDRLARQMADGGWGWGEH RSGDVVDEGEWGDVPLAKQVRRLVAATRVNRRGCPLPRLRIVLPNIGRDSPDVAVLLD QLGRLDPSVEVVIEDGQGSFLGNPPPAVDVAVRNLLGNELDGLTETLNVDLSILIDLV SDITHSRLEPRPWQAQSTRAQMADEMTGRCLMTQVLYPVLAGRALVCTREAAEHFHRV LSTVGTASERERGKLLVPLESAPGGTLARARFRELSAHALPDTVQIPVRVLADTWTQA SIEGRCEELGLPGVARYVASHGGFKRAKLSIFMYGWASGNVTLTSNKEIGGQIRTLVE RFRQSDDECGPAIWVVDVTRNLVGNEGHKPADGRHECTHTRRL UV8b_00204 MVISARSSLLSVHSPCCPSTLTNSSSIPCLPSHSLLKRRCIFVA LTLPSNPFRALYGFLLLTTSSPKIPTFFFFQTQLRLLEFSARTKPEIRTVKKRTHETK ATAKMKVSSTTLVLATALGVAAHPSGHAHRNLHRSMEKRTDYVMAKKPADVQVNANLD VSLGSAQPPAASSAGQSQSTTPDSPVSGVAKKFCTGSNKRATAAQIAYKGNVGTTLEH GCNLMMVDNAALYDYTATFENKSGKDQTCVVFLKIGPTGLINGFQKGNEIITFKLPAN SKKVLAAEANSQGGAACSPGDAVKTNQYGQWGATWLEFDFANESNGGHSGADASCLVP ASAGLPIQAMTVCGGDKPCSVINNGGTGTNAYLQNMEAFDGVGLNFNPGPVALNVTID GAAVFSFVRGSIFWDGQSLVDTLVRANHYLLGCLWGAVIYQLGAISRFVRVALTLRDE GA UV8b_00205 MSLGKRQGPAQPLVIAFVQPAMAMFSAIWPKPLPIWSRVSVIYN FRVRYQDDEPGSSKAAASTQSSTAIEEPDPSLNEQEGMKMDYKRVDLVWDRKQHVFKL QASTKEPEGPKYDAYLFHVRRSFDSKGKHRATFVDFKSKLLKECLEDVIGNTRGVNLV DHTPKIDPNILFLYLEDLRLHWKNLEELGPAGDSPREMKKNQLRIDNKRRQLNVLIKY LDEDYADVKKTVYPMLKAGIIDFDHLWALWKPGSLVYSPTYGCDEDPRVFKVEMAVRH ETIVRGVSYIIDGKYVDFDGKQFGYGIFADEIPAFQGTRKITSLPFYPLSFHRNETAL RQMLIERGKKFVSLSGAHFRAYSGVAYLKGEKEVAVKFHIQPSRVMVDPAVFRRINPN YFVSPVQSAKFDALWDGGSDEEDDMHCVVSANAHEGSETGPSIDGISKPWQAGIEAMK KAMKLQPLPTTASTEIQETSSTDSSGKEKAATVTKGEDCSRLSLEFTDDDYLLASAVV LGFSFSEKQWLEFSVSRIEDITWNEAAWDSLVLPEVTKDLLQALVTSRQQNATQTIDD VVQGKGKGLVTVLHGPPGTGKTLTAEGISELLHCPLYIASAGELGTNPRLLESDLQRI LEICHSWGAILLLDEADVFLEKRNMQDIHRNALVSVFLRQLEYFQGVLFLTTNRVETF DEAFQSRIHIALRYDGLDARAKRSIFKMFIDRVKAFGKLAVDEFTDDDLQTLARHELN GREIKNLIGSAQDLALSKGEALSMKHVHQVVDIHIKFGRDLRGGPGFEDAMRSYF UV8b_00206 MPNMRFRWPRFLGSNGDRASNRARVYDWSNSQPGSCPHGSHLTQ EKPSIVLSPFRHEQMRPAVPALEVTKVCLRLRHLIQECVPCEMEESRVTAPHSRIITQ KVIQAAKEAGSPDHRACVVYSLLVNQKWFRHEALVELWDSDLHKLRAQACGVIAKALI ETEEDTNYLLYEVLLRRYSYVVNGLATPPVNVIEKAVDLHAVRVIGSAGYQKCINYLW RGWLVQDENDPSVFVDYKDKDNPSFFAHMDPDRMRVPRNQNAAQLLLSLVYLILYTAA INSVNPSGELDGAEIALYLFTLGYVCDEITKVYKAGYHILGFWNAFNFVLYSLLSVSL VFRIIGLTASSGSDYREHYSKLSYNMLAFVAPMFWCRLMLYLDSFRFFGAMLVVLKVM MKESVIFFALLAIIVVGFLQAFIGLDLAEDNVAGDVQFIVESMIKAIMQSPEFQGFEA FGPPWGAILYYCFAFVVMIILLNILIALYNSAYEDIYDNADDEYLALFSQKTMQFVRA PDENVYIAPFNLIEIVVSALLEWWMPKHIYEIINDYIMAALYSPLLFVSAYFESRNAH RIRHNRSRGDEDDDVIEEWEQMEHELDMEAEGWSKTCDAVKPNMEDDPAVLEVRQLRL EINELKALLTDISRASEAKETMEGIEGNHDKGQSSAATSS UV8b_00207 MALIKTISEIPTITQLYQNMVLRETAYASSRSFLPSVSINARVS LARGDITKFELDAVVNAANSALRGGGGVDGAIHRAAGPELLSECRSLGGCPTGDAVLT AGYQLPAKYVIHTVGPIYDEEHAQQSAKLLKSCYEKSLQVAVANGIKTMAFSCISTGV YGYPSKEAAEIACKTVRNFLESNEDALERVVFVTFERKDMAAYTETLPMYFPPAEQ UV8b_00208 MADDATKNMAKLQLDDVTGEMVSKAELKKRQQKRAKKAMQEKAR LEKAAAGPVQNPAAPALKQEDVPIDPEAMFKQGFLHDVYQERPVKNVVTRFPPEPNGY LHIGHAKAIAVNFGFAKYHGGVCYLRFDDTNPEKEEEKYFTAIQDVISWLGFKPFKVT YSSDNFEKLYQLAEKLISQGKAYVCACNDTEIKLQRGGEKGANPRYRCEHADQTVDDN MTKFRDMRDGKYKPKEVFLRMKQDIADGNPQMWDLAAYRIKTDTPHHRTGWDWKIYPT YDFTHCLCDSFEGITHSLCTTEFILSRVSYEWLNKSLEVYEPMQREYGRLNLTGTVLS KRKILKLVEEKIVRDWDDPRLYTLIGIRRRGVPPGAILEFVNELGVTTNITTIMTHKF EQSVRKYLEKTVPRLMMVLDPVRLVIEDAEALDVELPFSPKDPKMGTHTIRFTPTIYI DRSDFREVDSKDYFRLAPGKTVGLLNAPYPVKATSFTRDEATGKVTEIRGVFDKETKK AKTYIQWVGAEGSRKVEARIHNALFKSEKPDDVEGGFLNDLNPDSEVIYPEALIESGF DEVKRRAPWPEAAGESELGKGGPESVRFQAMRVAYFAMDSDSTDEKIVLNRIVSLKED AGKKA UV8b_00209 MPSENAQSVKILDELFQKLTVSKEAADIKDSANQLASFINGRIE DQDVPNKTIDSLKKSLGNKKDAVAREQACVAIQAIAAHSEVAANVEPYLVVLLPSVLA AVGDKITAVKNAATAAVVAIAGAINGNACKAALPSIMESIRTAQKWPEKMAALDFIDV LIKTAPAQLAFRVPDLIPVVSEAMWDTKKEVKDRAYKTMEQICQLIVNKDIERFIPEL IKCIAKPENVPETVHLLGATTFVTEVQEPTLALMVPLLDRGLAERETAIKRKSAVIVD NMCKLVDDPNIVAPFLPKMMPGLQKNYDNLADPEAREKTKQALDTITRVGHVVDGKIP EVRNDGDLNIVLGKLKEILAPKYATYLDKMGPVAEYIAAMAGQLIDEKESENAVWVDN LKAYIAVITGIDNAEATVDALRKRASPGAAEEEATEPDEEEGEDLCNCTFSLAYGAKI LLNQTHLRLKRGQRYGLCGPNGSGKSTLMRAINNEQVEGFPKQSEVKTVFVEHDLDSA DTEMTTIDWTMKKLEEAGVKTTQADVEKQLVEFGFTPMMISGEISALSGGWKMKLALC RAVFETPDILLLDEPTNHLDVKNVKWLEEYLVNSPCTSIIVSHDSGFLDNVCQHIVHY ERFKLKRYRGNLAEFVKRVPSAKSYYELGASEMEFTFPEPGFLEGVKTKAKAILRATK MSFQYPGTSKPQISDITFQCSLGSRIAVIGPNGAGKSTLINVLTGELIPTQGEIYQHE NIRIAYIKQHAFAHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKVITEDDEKAMDKV FKIEGTQRRIIGINSRRKFKNSYEYECSCAIGENVGMKNERWTPMMSADNVWLPRNEL LASHQKMVAEVDMKEALASGQFRPLVRKEIEAHCANFGLDAELVSHSRMRGLSGGQRV KTVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKALKKFEGGVIIITHSAEFTKDLTE EVWAVMDGKMTPSGHNWVSGQGSGPRLKADDDDEEEKFDAMGNKIVSTKKKAKLTSSE LRKKKKERMARRKRGEEVFSDEDD UV8b_00210 MAARDEEKGAQVRRPPSPKVGELVVDGNPDGLHRKLDNRQIQLV AIGGSIGTALFVSIGTGLAKGGPASLLLAFSLYSLVVACVNNSVAEMTCLMPVSGGFI RLAGHWVDDALGFMAGWNFFLYEALLIPFEITAVNLVLSFWNEKITEPGPTAAICAAV ILCYALINVLAVRAYGEAEFWLSGGKVILLFMLFFYTFITMLGGNPKHDAYGFRYWRE PGAFAEYNGSTGDLGRFQGFLGALWSAAFAVVGPEYVSMVAAEAKRPRAYIKTAFKTI YWRFGLFFAGSALCVGIVVPYNYPDLVDILAGKTGNTQTAAASPYVMSMRMLGIDVLP HIVNALMLTSIFSAGNTYTYCATRSLYGLALEGRAPRFLRKTWSNGVPIYCFCVVMFF PLLSFLQVGNGSRKVVGWLVDLITAGGIIDYLVMNVTFLYFYRACEAQKVDRATLPYC GRFQPYGSYVALLVHGLVVVFYGYTAFTPWSVESFFRNYSMQILAPVLFFGWKVAKRT RTVKPRDADLVWERPLIDRYEADLRGPPVGFWTEMGRLVGMNRRAKANGADE UV8b_00211 MASTHCHDTTACQLSASSSHRDAATNLSILLESQAASRLFAAHV SELVASTSPPGPCPSASAAAEILSIPASEKLDVGLAALDIFLQANVTGPVVPAGKLSA VVSRFVKLWITASPAEGNESLALVRLHKACLVHLEVDGVSPYSRVPHLVLFSLARFVF QTALSADGAGVVEVPAHSKSDNNSNSARFSLSWMRLRVNIWHYKLLTQPSLGPSSNFT RSSQWSEVPSLAARILEDTSALRAQIASRQVWSEVEAWNQHDAAYFLVEAANNYILLG RHEQAREALKEATQVTGFKYALSGALGKRTRFQENSVSQLVVLARSGSTGQDPPVGPD AGQDGDGDGDGDGYGDNVEDAKPDALPLNDDTLLEEIDFAKNVASDAAKEETLPPSLS ELSPDHQPQLAPLDQIILLTEATLKDAFSPTDALTSQEILPYAVRVLADKSTNWQIYT QALLVRSRIEIHRSRTVERGVLQLQAVADQVLTDTCANPEDDAESRAADRATRGSRTS GPGPDIRVSAPDDEPHTPPAPGASPVPTSFLPAAKPTESAPAHTRLRYIDALSTPPRW HLESELAYAWAGVGSLTSALEIFKRLHLWAEVALCYASAAASNDDDEPGRGGAGGEDK AKAIIRWRLFHPTGRAAGDSADPDDQDVQDVVLCKPAQFRGPEREPAPPNAPRLWCIL GDLEDDAGHYERAWAVSRNRYARAQKSLGELHLARRDATRAREAYRLATAANRLSPEL WGRLGDICLGLGQFDEAAEAYTRSIGCANDTAGGEDARTWSNLGSAYWSLHCEEAATA KAKADDDDDDDDDEDAPLPGALLAKSLAAYKKGASIAHDNWRIWDNVLTLAARLQPPA TADMVLALTHILRIRKTEDAVDADVLAALVHDAVLCKEHTPPASGSSGSSGSDGIYEP PRGSPERLVKRLLEEEIVPLITRRPDLWSLVARLRAWQRDRAGAIDASERAWRAATDA DADADAAWAEAVRRTDELVSALQNWGPDAPSVGPKWRAKARSAVRSVMARGARESRED SPGWRSLEGLLQGLSQGG UV8b_00212 MFRSQKISDDPSRLFISGWDPSHVNGGNVRGWGKSNDNYVPQEP GACWDPNGDNTPLGLHSLSTEEKEAFSTEINSPLKPPSQNKEGHQGNVNGRKASVSQG SGSAFGVLSPSSATRPGTRRRETLDSNPFSGSLASPTATAGRFTREDSSPWAQRRSND LKDSEPDDADVDAGVREAASKLPFGNLMRSNVAGSANVSALWPSSNPTTPGGGGFGNF AMPPASALGDKRIGSGMPGGSGSRLAHLIPKDVGDSSSRADGDAPNSASQQSWRTRPR TDTDPFGEDELSGSAVLGGAQDTGSSGQLHTGRVGVLGTPIKGSAGDFGMSGLSLGAQ VGDNGPASPSETNPYRSPLAERHDHDETETGSGDAAALGIRHNEPPANFGSISRGFGA PNFDGSDRSQTSSVGAKAYPLGGLSGWPAPAGPSTGTPDRDRPNFGSAFGSSLFSPMG DLQSPGLGNLGNVFGPASAGLGRGSKLGSLFPPAMQAQMQGHDHEQGMHEPMQGHPLG ALGRGNLPGGPTRDAGSPMRPNRGVFEELFPSSDAGRSHPSFNPSDNQQQQAGSGIQQ PFTPVTGGLAFGGTRGGTEPPPSQVRQMVMPDRMRWVYLDPQGQVQGPFTGLEMNDWY KANFFTPDLRVKKVEDPEFEPLGQLIRRIGNSREPFLVPQIGIPHGPAPQGGPFNPST GGGVVPPLSGVFPSFGRTLTAEEQNNLERRKQEEQYMMAQQREYMMRQQVMPKFPIPG PGLQHHSSAHSLQSQPSFGSMTSPIGVPPQQQPIGATAAAQQGAFTEIPMTSRANAGN GELFRRNELPGLSAAERDVLVSLRGDRGDGGDGEQQGADPGLRSDHLPGTEPFSEDPE GFRERLKEFQELRAEHEAEQSVDFGGCDKEMEAAAATGTIGGMPSARRASKGNKSKKK VLLSEDITLSLTQQVQKAQAAAAAGTGTGTGTGTGIVTAHNEPGMPMPFPPPSSTTPL PAPTAQRARSNLPEQYSRSQNGSPDASQPPPLAPWAKEPGQEGQKGPSLKEIQEAEAR KAAKAEEAAAAVRKAAMEQEAALVREREKQAAAAATAALPATSTWGHGSPASVAGPWT KPVVAAKGTPPGPVSTAAANSKKTLAEIQREEEFRKQKARDVATQSGTPGNVSKSYAN LAGKPNPNALAGAPQSTSPVGAGWATVGAGGKVKGPTVPAGQGPRAPSSNNVKASGGA APVKAASKSGSSAGNAAAKPDVGNVAMDEFNKWTQRELSRGITSDLATFQATLDVLPL DTGIIADAVYASSTTMDGRHFAAEYVRRKKLAEKGIVEKQPSGEASKSPGGGGGGGWS EVAKRSGNTQPKESEGGNMQGAGFKVVPSRKKGKK UV8b_00213 MATSTPQQVTQELLARAHSPDSVNRIFNDKIQHRTLHLKPSSPP PAALSARAARRRARDQKKANSKLRPKPLSSRQRRRLGLYDIPRQGQKFDIYKPLHDLW QGYAREVLGSDIYRGGPEAAAKLASAELVGAQAQVVRSRCSGRVGIQGIIVRDRKFVF DMITRKRGLKVIPKEGTTFRIEVHPENNGPSANAKPFAFDVLGDQFMLRSADRANRKF KQHFLPNL UV8b_00214 MAPSNQEPVPPPDAGSSSAPDLQILGDEITLQPSGFVEPERGQE GKEEALMHQFASFRTEPLQFLREVSLYVSGQGWRAYDNVVGQPVFYSGFSENMKSMVL SAPLLQKRIAELADNRVNVEVQEGLINQDDREFGVKRAQRRSALENGLQQVAEKMTDD MICKMESKTFIRGAYYMTTQLLTRAYHQGIHVSSEEVLRLRKVADAAAKRKQSIIFLP SHRSHVDYVSLQLICYRLGLTLPIVVAGDNLNFPLVGSFLQHAGAMWIRRSFGDDALY STLVQSYIDTLLQEGYNFECFIEGGRSRTGKLLPPKFGILSFILDSILSGRVKDAIVC PVSTQYDKVIETEGYVTELLGVPKKKENLADFVSGGSSVLSLKLGRVDVRFHEPWSLR NFVDQQLSRVSSIPPGLDTDRQNPQVKAVREKILRTMGYKVLGDINAVSVVMPTALIG TVLLTLRGRGVGHQELVRRVEWLTARIRAKGGRVAHFGNAPTSDIINRGLEVLGKDLV GVVDGLAEPTFYAVDRFQLSFYRNMTIHLFIYEALVSAAMYTRVKLGGGSAIQDITYE ELKGQVLFLSSLFRGEFIFGSAGLATNLENTLRGLEADQIVRVDRDEKGNITTVGLSA EERKAGRENYDFYCFLIWPFIEASWLAAVSLMGLSPPLGTNGDIWIESAKAQDSAQLL GKTLYHQGDLSYFEAVNKETLKNSYARFQQEHIVHVVKSKDLKIPPRLQLDPEWRPLR DATTGALLAEGKLWDFTEKIASSRREGKNRRDGATVSVRVLRLTDQLGASLYAQATEA DKSGKNKVPSRLSQEDQEAHRSELRRKKKRIQKAHL UV8b_00215 MRRLAAARTPPAAAAAAAAAAAAAVAPARGLLSAARPSKPAERV ASTPIRILRTVDDVRKWRRPLLINHRSVGLVPTMGALHDGHLALVRAAARENHHVVVS IYVNPAQFGVAEDLASYPVSWDADLAALAALDGELADDGANLGRVAAVFAPSTADMYP SGFPGQAVDSKGCFVTVTPVGEVLEGASRPTFFRGVATVCTKLFNVVQPERVYFGQKD VQQTVVVRRMVADLLVPTEVVVCSTRREPDGLAMASRNVYLGARRRRVAGVLSAALRA AERAYRGGETRRAGILGAAHGVCDATLRAQLATAASERALFEIDYISLADPDTLHEVQ AVDADKGAVVSGAVRMLPVEEAQPGEDLGHSGGPAVRLIDNIILPPKPPHSQAERT UV8b_00216 MAANSDRRVPQDGEPASSSSSTLADDHVSEKAAGYPSSVRDGGK TKDKRSKEDEVSSTAAADVADDVEYPSGIKLGFIVVALVLGVFLLSLDMTIVATAIPK ITDEFRGLDEVGWYAAAFFMTAGSTQSTWGKIYKYFPLKLSFLIAIAIFELGSVICGA APSAEALIAGRAVAGVGAAGLGSGAYTIVAFSAPPRKRPAFTGFLGASCGFASVVGPL LGGVFTDHVSWRWCFYVNLPVGALSALVILIFFKTPRQAAPVQAPLLEKLRQMDPLGI VLMMGATVAYILAVQYGGVAHAWDSSVVVGLLVGFVAIVAAWAGLQWCQGERSMVPPR LISDRTNLVMSLVSFVLAGGYFAVIYYIPVYFQSLHGASPTMSGVRNLPLIIAVTAST IASGAFVSATGRYQPMLIGGGVVATVGAGLLYTLELDSPAGAWIGYQVVAGLGWGASF QTPMIAVQGSVSTKDLASATGMLLFFQAIGGAYIVSGAQAAFVNQMVGHVTKNAPRVD LRTLILTGATEIRHAFPTDQQPVVIDGYMSGIKVVFAMCIAATGIASLGSLATRWNKL QRDKTAAGGMA UV8b_00217 MHTTAPGLAQARTEGKMSGIPTPKFVLRGHGAAVHAASFVRQNA RLATGDAEGFVVLWDLVVMRATAVWRAHRNAILGVRGWGDDKVMTHGRDHRLVVWKIA EQDESHLSSALPLEGLAVHRPQPWMLHLLEVNTMNFCSFAACPSSSTEYSRFLGPVSE VLVAVPNTLASEAVDIYTLPSQKRTHTVQPGSANGMAMGLCLFHQHGLLTLLAAFENG YVSVHQLQPTGGWATAYRARAHSQPVLSVDVRPDLSCFYSAGADSVIAKHPLPVATPA ASPETPGPSSPAERVAEEAAAAGSQGASLLSQALLDEARGNRRTEPPDSCCREPRKVV NTRHAGQQSLQVRSDGGILATAGWDSKVRIYSCKTLKELAVLKWHKQGAYALAFSDVG AAEPRTAQAAGTGACVDQGRMSVMDRRSHRVKIAHWVAAGAKDGKVSLWDIY UV8b_00218 MTPPSRTAGDQPKQTVEPEVVKFSPQEEQALLEESNAIKAEANE LYSAGDFENALSRYEDAVATCPNYLHYERAVLHSNMAACHLQQEQWSDAIKIASKALD SLEQAEPGHAGVDADAGADAKDSVQEAGNEPPAQTESAPQDDGVEEEVVSSGASRAAP APALRGASSPSETRRSDILRIRSKALLRRARARCEAGGWQNLAGAEDDYRLLSDMPGL GPADARTVRRQLAALPPRTKAAREKEMSEMWGKLKTLGDGILKPFGLSTDNFQMVKDE KSGGYSMNFTSGDGSSKAS UV8b_00219 MAPSTDFPPVRACLFDMDGLLLDTEDLYTQSVNAVLARYGRPPL PWSVKSKLQGRPGPQANRIFAEWAKLPITMDEYTAQLHVFQRELFPRAKPLPGAAALL ANLPPGAKVHVALATSSHAANFRLKTAHLHGFFSAFPEHRRVLGDDARLQPGRGKPLP DIFLLALQTINDSLPAGEEPVTPEECLVFEDSIPGVEAGRRAGMRVVWVPHPKLKEEV AGREDEILAGRAGEAGDVDMHQVGEVGDGWAEELATLESFSYEKYGIAVPAKATAN UV8b_00220 MRFTAAAAFLASTLPLVARAAPAPAQNTPQTDYDAIIVGGGPAG LSALSGLARVRRKVLLIDSGVYRNAETRYMHDVLGFDGVKPDYFRWAARQQLSHYDTV TMTNGTVSRIQPQQNNAYFTVTSKDAAGKEQSLTAKKIILGTGIRDLIPDTPGLRENW AQGIFWCLWCDGHEHADQALGIIGPLTDVMGDVRNALTLNKDMVVLANGTDTAASRDA LDKANPGWQKFLQLHNIKVENRVIKSIEVLEKDNGTSSNARLPSQPEHYLFRVNFDSG EPLLRNAFLTSFPNEQYSTLGQDLGVQMVSGRLAVDSTKGLMTSVPGVYAVGDCNSDG VTNVPHALFSGKRAAVYLHAQLARETAYAETGEVARRSIHKEARWLWDFMNPQHDMLN GGRFNQYGQ UV8b_00221 MDDEAAESAAPHDGMSPRRYLATRLTTLKPPMLPVPNPWRLLRM LNGQQWAFFALSFFAWTWDAFDFFTVSLTVGDLAKDFGKTPTDITWGITLVLMFRSVG SILFGIASDRYGRRWPFIVNNLLFIVLELGTGFCQTYGQFLACRALFGVAMGGLYGNV AATALEDLPEEARGLMSGLLQQGYAFGYLLCTAFARGLVDTTSHGWRPLYWFAACPPV LFIAVRLVLPETRVFRERQRLRKEAGSAGRSASSVFLSEGKVALRRHWLLLTYLVLLM AGFNFMSHGSQDLYPTMLQNQLGFGKDGVTVTQVVANLGAMAGGSVVGFCSQSVGRRL SIVACCVVGGALLYPYGFVSSRAVIAAAFWEQFCVQGAWGVIPIHLMELSPGAFRTFV VGTAYQLGNLVSSASSTIEARLGERFPLSPTTAGGAHRYDYGKVIACFMACVYAYTLA LTLLGPEHLRRRFDVGHDADARAAVGDDAVARVAGGARADDKAETEEEEKGGFSVR UV8b_00222 MSSGGVVRSTALRAGGACVRCRKGKTKCVYENGRAPCKNCAKGM HECYLPSESMAHHHGQSPARHANPHRAARDNIPAAGPGASEGSRQAVVPSASARHVQV TPEKLTPELIGECERVVSKTFPACVAFHKPSFVQQLKNATLESSLVYGLLTCAARSSP ALIRRHGSPTQAAETYASKAVSLINQNLDHPNLADIQALCLIVIHEWGSRNAVRAYIY LGQAARMLQMYRILNTHHSSDQTDQFLRDESLRRTLWLVYILDCLLTSTPGRYSALAL QDTADVSLPCSDINFAFGNAVPVKTLPQHLGHAALSPSHSALSPSHSASGEVGEFGYI VLASTIWRDVVSMLTATTLHTFREEDCTELVAKIEGLRASLPMQFVDKPGQINLHMTM GSGYTFAMLHCLLHSATVFVHRRRLLQDVAAQNFDLESFRLSPRCHDLVDRVFTACHG TILLLNAVEAGADKDHPTCLPIVMLFSAFTASATVAYLSLKGLAPPNAAETASHIVRD GLKFMHEGTETWPLISTWLRHLTVMQRVLNNDAAAGGNSAALSTRHKSSGGGGINDEV SSNADTNPDVMDYDHQTAAAHGSSRRSVSGSARGDSEPPVAIPRRGVTTINGGSGGVS SASVTNSPPSGGAPTAVMQAADVKQQSPDAGSNGMAVMQDGQTTSQDMTAPELCQAFE RQLIDLDDLAAFMGGGV UV8b_00223 MDSVNTIDYHLRDLPTRSVTLFPTRAQVRRDIANVALKPGTNHV TICGLSPTVDEDSVKIEGAVALTISDVCVEARPNRDIFEQVYPDSDTDGSDDDDDDDD DDEEDDEPRRWREHAELRDAKEKLMALQDARRLADEAVASAESRLRLLDAHGKTLDRK RGVVIQDCLQTYKEQRSVAYADYMSGLKDLRQISDRIEDQLDDVYRLQKIDDKARAKA QREKDKARRVKEKDLFTKARRREERRKEKQRIRAERQKFWPKFCYAVKITLETDDVMT PAGSPTTSVSGDTRLSKPAGSDADADAAAAAAAAAPVWCSLVLSYVTSSAYWAPSYDL QLSTVDGAGTLSFDAELHNSTSEAWSNCKIVLSTSQATFSGLDDAIPTLNPWYLGLAH GAPPFGYGQSHEQIARSHDEMSSTNAYLAKHKQTWQQKPRSDMFGVPDQVGSLAAFQS HRRMEKKAKAQAFESSIAPPLPPPPVPASSSLSSSSLMAANAQRRSSSALFGSAAASA VAPGGFGAAGTEASVDRREMPRSVSRAKKMMTMTSEKEKEMGMIDEDADDRSEPESEA ADSVMEETGLTSTYELPGLKTLVPKSVATKQRVAHIRFANIAYSHTVVAKYKPVAYLK ARFKNTSRMTLFKGRAGLTLDGSFMGRTTLPRCSAGEAFTLSLGVDPAIKVTYPKPII QRATAGLFSKENTAVFTRNITLHNTRASSGKPTSLVVLDQTPLSQDEKLRIEVLIPQG VAFGAGEVRAGSPGLEGRDNKEWGTAKARLKKDGEVSWDVSLNPGKAVRLTLEYGIAF PTGTYPC UV8b_00224 MQNAGRWKLEAVESHGANLAAPQAMQMMRPAQASSDVKPSSRGA GAQGFKASRLPGFQSAFGSATGQLTAAAIPAPAARWQLAVASC UV8b_00225 MRIKAALAQAAALVHVGLAWDHHPQCRGILQAIQSGVAGCTGSD SVPSMEVAPSAACDVGSINSILRNGDQFCHQCAHVMVYVKPAEYEWEMGRLFYNSPPY AGAWESLETLGNAFDKLCFAAKDGVCMGCGYS UV8b_00226 MSKHVQAIVTTLTIVTISRNTRLVVSDKQTASSMASPPPSPDAD RRFRELYARPPDFKALSRLDPDFAAVAKGRELDFSDPKAVMQLTKTLLRLDFGLEMHL PDTRLCPPVPNRHNYILWLKDLLDTSSYSKPGRELTGLDIGTGASCIYPLLGCVQRPW SFIATDIDDESLRFARNNVERNGLSGRIRLVARSPGDPLIPLDELGIASIDFAMTNPP FYESEEQLLRSAQRKRRPPWTACTGAKTEMVTPGGEAAFVDAMFAESLRLRGRVQWYT AMLGFLSSLAGFVDKLRGAGVGNYAVTEFVQGAKTRRWGVAWSFAAMRPAGHAARGTR AALLSRNLLPAASEAEMEVPRAGAGAETEAAEDRARVVAALSARLAAAMAALDLLSWE WDDAALEGVGRAPDKVWARAWRRRTKRGRDGAPAQGGPAGAFGFAVWIRASAARASLG CRWLEGFDPAVFESFQGFLRRVVEGA UV8b_00227 MPDPIIPRFTPQNKATHERLSTNTVGLVALSDFRKRRAEVLEQQ EREAREAAISGTSTSAPDRSLTGSPDQAASDSASGPGAGPLKKKKKKKKKEKDKNQQR QQQGKKLLSFADEGEEGDGALASEEAKTTGPGGDAEAAKRRLKANASVGVVPRAVTKA ALLKEAAEREALRREFVAIQEAVKATEFAIPFIYYDGANIPGGTVRVSKGDFIWVFLD RSRKVAAERRPGEHGNQRRAWARVGVDDLMLVRDTVIIPHHYDFYFFIINKCVGPTGL RLFDHGSEAPLDKEGSGNEAGPSGINHLSTAASRAAAAQSVADIKTLEGAADEPARTK IVDRRWYERNKHIYPASTWQHFDPEKDYAGQIRKDTGGNTYFFSK UV8b_00228 MANVDTSLPPEPSGAAAAFAARHASEHDLKLYGGWFCPFVQRAW ITLHEKKIPHQYVEINPYQKDAGFLALNPRGLVPTLAVPVDGAGKARKPLYESSVICE YLDDAYSDQGRHGRPLLPSDAYRRARARLCIDHVNTRIVPAFYKFLQHRPEKPYSIDE ARQELHQHIRTFADEMDPAGPWFLGDHLSLVDVSLAPWAKRLWLLDHFKSGGLGIPSG NGDGTWQRWNRWYDAILNRQSVKETWSDDERYVVAYKRYADDTTNSLVGQATRQGQKL P UV8b_00229 MYIMNESETTHELTEFLQLLSLDDQAIDESEVNNTPVFTEAVRQ SALAGEAKDGSGLTQYGLLGADVPKLRGYKVVDGAPSQQAPFIYYNIKAPSSVFICGS QGSGKSHTLSCLLENFLIPSQANRLPEPLTGIVFHFDPFLSEDRGNPCEAAYLSSHPQ VKVRVLCPPTNVGPIKRAYKGLPNVDVQELRLGQGSLTTRRMLDLMAVSPGPDGSLPL YCQIVIRILRDLRIKQQLSGGGFNYGEFKQELSRQNLTPGQKGPLEQRLDTLESFMAK EDVEGRLRTVCANKTATTWMPKAGHLTIVDLSCPTVTAESACAMFNICLSLFLEQDTL VGRVVALDEAHKYMTDGGESQALTEALLSSIRLQRHLGTRLLIATQEPTISPKLLDLC SVTIVHRFSSPAWLETLKRHLAAATAGVILGTGGYREPVGALMDRIVALRQGEALLFS PSAIVDIGNGIMKHGTTQNGQNGCTNGAGSANGEHDVGTDQRVVHLGQGIIHIRVRKR ITQDGGKSVMAG UV8b_00230 MALAKTVATPGHGTQVSRLSMFRPRCGIASCPPPQATVSRALRP RAVLPPIPADNTLVQLTMESWSLDTWARPDTTLRRVVWSDPAASGLKTPSEKSPTHAS RPDLERAAMASLAAPHNLKMCLPSVSSVPVAAASSFDPTSRSASRPRLLLSPNDRGLQ TPRSGAASAECVLAPDQRLLLPELVRNVCDTTRTESVAEGKMPKRLASPLLKGKRLHQ HLQHSRTRARQIVYDLTTQREPDQRGFHYPDLPPLSTVVYLLSIARSTPSPDPLSRLL DLRAACRVLTLSHEISRVGQRKRP UV8b_00231 MGFKLMFSPKDISAKPAGRTPAPASCQLAKQALAPNQTWAAISG FPRVQQPSSPVEKAAGPPLPARSAHAALLEYEVGLERAGESSADPESDDIVMLLRGGK RRPGKVVARLCMPVFVDEQRAANTGG UV8b_00232 MLALASVMLLAGSALAAPAAVDARSTQPSCSDRATTVDQWSVKN FDFHASYTFSTPAHQNSQGYVYFTLENEALDFKTSCTGVSGQLQDFFYGQIVYNCTAP VGGNEASFTFSRPSNELKLTQTWTCADEGSRFWAQGAANLTLKCDDKTWTNPDWKMGQ IYSSRTVSCDLVDATVPITSKQAVA UV8b_00233 MHRVFGGMRATKADGGVAASASDSGSVSGCHDAENDRLEIAREN KRQIGIFSAALLIFNRIIGTGIFATPASILALCGSVGLSLVVWVMGMLIAAAGTAVYM ELGTGLPRNGGEKNYLEFVYRRPRFLMTSLYTGYVVLLGWAAGNSVMFGEYILHAAQV PVTRWNQRGLALACITAAFLIHGTALKWGIRLQNLLGVVKLLIIVLIILSPLVNLRRV RDNDSFSRPWEGTTGSAYGVVTALFNVIWSYAGYNNANYALSETKNPVRTLKFAAPLA IGSISALYMLANVAYFAGVSKQEMLASKRLVAASLFRNMFGASAERALSVFVALSAFG NVLGVIFSQGRLVQELGRGGILPFSRFFASNRPFNAPLAGLFEHWLVTAITVLAPPAG DAYNFVLNLISYPFSIINVFVAGALIHLYRNKASRNWNPPIRATLPVAVFYLLSNIYL VVAPFVPPEQGQSVYESLPYWIHCVVGFGIILAGGVYWLIWAVLLPKIGNYELVQETY VDDIDGWEGSYFRKQPLGKASHVQGSGASL UV8b_00234 MEQLGVHLFLALRIVASPCDLARHAPLVASTNAWAVAWAVALPR RGGKLDAVAQSLPGHNNMTLMLHQNSQHLLCASRPDTCLRQSNYQLGNGFRYACLPRR FSSSPLANKVFSLVKTLNPPMRHYLKDHSCPLDMANIYLGTYLARLFKTGPTLAQLLH SGKTLCTLQTIQATVDLSRASFYTIFRLSAHDA UV8b_00235 MRSSVISLLSLASLVAALPSRTAGPKPALKRSTFRKPDSQWSHV IHGADVKTAKGSRGQLENYALRANKVDPSSLGVDTVKQYSGYLDDNATDKHLFYWFFE SRNDPKNDPVFLWLTGGPGCSSMTGLFMELGPSHIDQNGQLVRNEYAWNSNASVIFLD QPVNTGFSYSNSPVSTTAAAAKDVYALLTLFFAKFPEYARQDFHISGESYAGHYIPIF ASEILSHKDRNINLKSALIGNGLTDALTQYAAYEPMACGKGGHPAVLDQQSCRSMRDA LPTCQRTIQACYKGNQDACATASDDCDGQLLGPYEQTGLNPYDIRKQCVGGGLCYSEL DWIQTWLNRDDVMQALGVEVDGFSTCNSQVNNAFRQAGDWFLPIHRSVPGILAQIPVL IYAGDCDFICNWLGNQAWTNALKWPGHDAFNSAQSVELTLGPSPAYGTIKHAKGFAFL RVYQAGHMVPYDQPASTLDFVNRWARGEWAKSNPAPAHPTEGRT UV8b_00236 MTKPESRSGLTFEQFLQEVSRRRKRTSPRIHKRQFEGEINNSAA VNLQLLPFHQACHAKHPGSRSIGGNCIKNAARRRAVNCRNPAHAQISVVYKPCAEGEV CNADMLFAYNFNARKVKWPLCVQAAKVAKKEARTDPNQGGEYAGEFYASRPEDPAQSD LDYHLNLDLTLYTGPSPSSYGRFRDTAGHTGSGSSWSCFSCPPGLVTIHAEKPSIAYG YVM UV8b_00237 MAVADDEKKRGSLRGPQDVPAEAPAWPKEQDAQLRDATPDLEET PRCPAHTTEKRLMMKIDLHVIPFLCIMYLLAFLDRVNIANARLFKLQEDLHMASEPSK FNTAIVIFFVPYVLFEIPSNILLKKFKPSTWLSLNMFLFGLTTMMQGLVQNYAGLLTT RFFLGLFETGMFPGAFYLIGMWYRRHEAQRRYSFFFNSTTLAGAFGGLLAAAIGKMSG LRGYSGWRWIFILEGGLTVLVSFFFYFLLPNFPEQVKWLDDDERQYVAAKLRLDQGKA GLERSITPRDVANVFKDYKVIVGGVMYFGLIVPAYGYAYFAPSIINSYGYDAIETQLR SVPPWVAAFGFSMMCAFVSDKLRHRMAFAVLAVAVAVAGFSILRAVHRNTDAQYAALF LAAMGAYTAMPVIVCWFNMNLGGHHRRAVGSAWQVGFGNLGGIVAAFVFEQQKGAKAD FNVGYSCCIAFCCLSVLACCVYGFGCWKANRDRSEGKHRAGHLSEEERLDLGDLNPSY RYLL UV8b_00238 MAPEATVRHARRTDAPAILELIRALALYEKEPHAVEATVESIQR TVAFAPCDSAGDDAAAPDTEPVTPQRPARCLLLISPAGEAVGMALYFYNYSTWRSKPG IYLEDLFVQPSERGKGYGKRLLVELAKQVVAMGGGRLDWVVLKWNEPSIRVYESIGAK AQDEWVGMRVEGASLEKLAHLLD UV8b_00239 MPAPVYHLPQHLTPLQIYRHLLREATYLPPSFRATVDSTIRKRF HGNRKDGPHTKARLKKAMSTLRTLRAANSGDKTAMEGLIMKGFGRTGSRRRELMVHFV KSGGPADSDALESLLDQADDDNNSNNNNNNNDNNNNKPPPGSSCSGHPSPESPSQSLK VKKTHSGKKNSFFEKWDQPKLLQFLNSQKQQQRDTKGTTSWLGSSVKTVNPNQFVPET NIWGKPPAEVLVRTKRAHWWRRSADKMMPPLGNGEWELLRRLSSGAQDGADWAVPRRR AYPMSNAPASWEWEQYATLPIGRVEQPKTLSRQRRTGHYDAGPYGGHARSTRLSSRWF RRVYNRTWQLTAKMEQHPATLQYAITWGSASCDLPDATEAQSCVFEGLDKQGNKADSE PVS UV8b_00240 MPSASQSSQQSFSMTQLPQTRHNANASFQQSYDGPIYSASYSGV DVYEMEVNNVAVMRRRHDSWLNATQILKVAGVDKGKRTKILEKEIQTGEHEKVQGGYG KYQGTWIMFDRGVEVCRQYGVEELLRPLLTHDMGQDGGVAGRGDFNTPTKEQAMAAQR KRLYNSSMEGRPNGMSGTFFKNISSTASHAVAAISKARFDSPAPRNRNGPTRAPSFSR QPSMQNGDEFPGNSQQSYTSDYGQQVDSAYSTQQAAATSAMTEHEPPRKRQRVAMTPV DSFAGGYGQSMDMYAANCPGSPTEPNESFMYTQSAAQDRSPAEDGSGPLPPLPYEMSP DVEMKRGMLMGLFMDASVPDASKHDMLRTFSPGELDMPIDPQSHTALHWAATLARMPL LRALITAGASPHRVNASGETALMRACLVTNSMEQGSFPDLLEVLGGTIESRDHKGRTV LHHIAVTSAVKGRNAASRYYLESLLEWVVRQGSAPSSQTNGNGPGSSQSTIPKMGIAR FMSEIVNAQDSSGDTALNIAARIGNRSIISQLLEVGADPNIGNRVGLRPLDFGIGGEN SEEKTSGAAPAEKNGATGSSQRTRESSDEIIASITHLLNETGTAFQQEMKAKQTSLDA MHATLRITSTQLGDARRNIEQLTEGVKKQQLSRQKVANLAHAREDEQLRLMYEQARSN QPEPSSSWETELSAMLEAAEESSAAGGGGFESEGMLPSTAVLKARIRALEGRRDVTRK MVSALKGRSRDVEVKYRRVVALCTGVQESDVDAVVDGLLKAVESERDELDIGRVRRFL GGVEGVVH UV8b_00241 MSARKLGGGRILGSGKGLAPPTPPTAPPSDSTVSLGSASPSPPV SGSLPDLGQDIGASISVGAQAKSNAANKTALFCPICNEEMVTLLQLNRHIDDSHQELP EAAQNEVKTWFDKQVLKAKRFQPLSLINQKLRGLEVFESNESLPIPTSATATAAAAAT AAGKSPAEAPIDPDDVITRSHWQRSTHFDACTDPACGKRLGPMNGSVNCRHCGKLFCE EHTMYQMKLSRTASHEPVRGYWARVCETCYKSRDGYNDHNGVSVDHMDFFSGIRRTKV ERQKLDVSRLEKRLTKLTKLLSRPPDKLPSHNGSILSPVSTLTGQLTTRKLLEQSVVT WQDDDKVTQCPLCQQEFVSLNITSTPANLSPEKTPPAGPADVLALAIRMCRECNHTIF SGRDFTASVLHKPPDQRAYDTLRQFERGICQLLPAFHRALQLLQPAALPSGETDLTKP PPTHAQIQEAGKIRKRLMDSFGKYGVAAKRLRDLETGSPTQQRLQQAVYAYSSSFLHA NMLPLKSLPQLLRRRSAASHPSRFLTIPAQSTSSLRHSELASDAGSQAASEASTVVSQ LETEEKDLRERLAVLEEQKFLVDEMMRSATSARRFEEAGALSANAFELDKEAQELKTK IDSVEQKWEHAHRSGLA UV8b_00242 MARKFPEVQPGGSLILAWQIKDKTVLVVGGGEVAAGRILNCLNA DANVTVVCPASGLNAEVGYRVAQNQVTHVDRVFEPSDLDGADMVLVAIDDPAASTAIW KLCKQYKIPANIADVPPECDFYFGSIHRDGPLQIMVSTNGRGPRLAASIRKFIASKLP RNAGNAIESIGLLRTKLRKVAPNAEDSPRRMRWMSKVSDTYKWDEMCNLTVEDMDNLL LFYPAEKVPAIDILLALRGGNDVKKLDVFDGSFGFSVGA UV8b_00243 MPPRPRQGRDNPGDLDENEDEAQPPFFNTTFSTHRVSPLYIGRQ ELTLARLAQLAHRLRDTLVGDVVRGIQIGLESSDTPSGQVGSLRSVKIRWFQAQNLLG GELTYTEQSGGGGIADSVMRQWNRLREGHKRGLWIEIAHENASYMALLLPGHPGAVRD RTASVGWAMQPDAQPLGRGADQNQFVHLPLLLLRMPQALKGVVGDWISTTFDCRVSKL NLGTRTLVTVWEDWIRIAGLPGKGPDFAISLAFNAPLPEKERSRTSESEEESDDDDDD DDDDGGGMSTEPGLRSMEITISPQDLRRFFRAGEQSGEPFSSSSSGPWENDARERRRL AGGNVDDGWAWRANQGPNEQPFTEALARYLDHHLALDLFHPSVRVVQISCGGFVLAQS RLKIVKVGSVSEELARAAWVFVTRLGERLRGEKLADIGF UV8b_00244 MPDTSLLGVKEKYSFLDDYSQGAHPQLLEALIASNHTQETGYGN DRYSAEAKRNIRAHLGCEDVGVFFVPSGTSANAISIAACLRPHEAVIAATSGHIVTRE TGAVEASGHKIITVPPVNGKLTTETITKALDDNWHFPHMAKPRLVYISNATEVGTVYS KGELTAIKRLCEAKGLLLFMDGARIGAALTSTKNDLTLSDVLELTDIFWIGGTKNGAL LGEAVVVKDPGLAQDFEFYVKQHGSLLAKGRIMGVAFSELFRGDLYFDLARRGNVAAE KLSRSIVGAGYALRAETETNQVFAILPVDLVQELQKDFVFYVWEKCGEDWAVVRLLTT WATDISQLEKFNQTVLSWSR UV8b_00245 MKVIVTGATGRAGREAVHCCLDNDRITKVIILTRTAVSGDIESH PKVDLVMHQDFTQYSDYLIQRLEGAEACIWAIGVRPEQAKSEKLLQRSVGVDLPIASA RAFCQKLSHKTPGGVKFRFVYCSLKHTDKSKKTLMFATDTRRMANDLEKGIAEIVDAH KSTFEAYALRPATFASADGPQQRRTSSSRKLVSGLGHGPMASIEPSRVGKAMVKVACD GWKETAIENDVILKLSN UV8b_00246 MPQHTRTALRPWSVRVDTPEDPLFARLEAAEPPARAHAHDTMSM SQQVSRPRPTGAFNESAYGASVSDDDWPEHALLRPASRASDRYAGEPCAPAPPRWRRY WPLGPLLALFGGRNRHRSRDAFPDRWSLDPEVQARPRRRSFIRRALAYLHQLLCSPTV TVYRADAGASHRHRKMPPYRWRDMPAARKTWRSWAPPGRSPLSQMMSQSANLTDATGK STS UV8b_00247 MAWLPARDAGIILALFVLFLVADFVFCMFLATMYNRAAFAAKAC RRRFQEWRERRAGGPRAQMDDGYSQSGHLEDGKAVPMPCVDGISLASEEGKGAWGDDK QILVPTACHVRE UV8b_00248 MAPRTSLLLSASALASLALARDVPPNVLGLYNSIRAQGQCKHVL ATGFHSIQGDSGKFDYCGDHVRDYGIVYLQGRHGQLVNMDVDCDGIQHGPADDGRCGS SGDTQSITSFQDVVQGYGTGQTDLDANAHPYVVFGNSGTRAGYPNFDPTAHGIEPLSV MAVVCDNKLIYGVWGDENGDDGPQAMVGEASISLATACFGKGINGDAGHDANDVLFIA FRGKDAVPGAKGARWNAQNYNDFENSIAGLGDRLIQRIGNTGGGGSAPPPSCSWPGHC KGAPCKTEDDCSDSLTCNNGKCS UV8b_00249 MPQTNTKKGLSSEPQVIYSSGRVAREAQEPPDVRILHYNDVYHV DSASAEPVGGLARFMTLCREHQEDEAFRNGPNVLTLFSGDAFNPSLESSVTKGRHMVP VLNEIKTDCACVGNHDFDFGVKQFQHLAEKCAFPWLIANVLDPALGEDVPIGNARRTH MLTTSNGVKVGLIGLGEREWLATINSLPPNLVYKSASATARELVPQLRAQGAEMVICL SHMREPNDNKLAEQTDGLIDVILGGHDHYYSHGLVKGTHVLRSGTDFKQLSYVEARRK PGGATGWDVDIWRRDVTSEVAEHGETAKLVAQLTSQLQKSLSRPIGWTAVPLDARFST VRTRESNMGNFVCDVMRRHHDADCCVMASGTIRGDQVYPPGVIRIKDVTTCLPFEDPV VCLRVKGQAIWDALENGVSTYPAQEGRFPQVSNIVFEFDPSRESGRRLNLLRIGGRPY DPQAEYVLATRGYMGRGKDGYTSLLVESEGGAAEEIVDEENGILISAMLRQYFMALRT VGQWKRISDHWVDVASEPLSPSHARRSGRMPSLPGTDDDDNDDAARPAAWKAFLRRRL GLHKEPLDDDDDDHFDPRHGRGRRVVDEEEPDEDAEMDLEILLMRKFWARWAAKAGVK ATVCEPLEDVDCLVDWTRVIAPAVEGRIRMVGVA UV8b_00250 MGYGATPSDERSHPLDSYNTMDLSDDDDAIIPKGALDPVYEAKA RILNRAICDIGMGWYQWQLFIVVGFGWASDNLWPIVTSLILKPVTHEFDVSRPPLLTL AQNIGLLSGAVFWGFGCDIFGRKWGFNLTLGLTAVWGMIAASSPNFAAAAIFNALWSF GVGGNLPVDSAVFLEFLPQSHQYLLTVLSIDWAIAQVIANLVAWPLLGNMTCSSKEQP CLRSDNMGWRYFVIAMGGLTLLMFLTRFVFFTIYESPKFLVGKGRDEDAVRVIQEVAR KNGKIINLTVRDLKACETEGYAVQADASTALKRHLDQVNPDKIKALFTTPRMALSTSV MMCIWALIGLGYPLYNAFLPYIQDSRGAQFDDGSTYITYRNSLIISVLGIPGALLGGF LVEIPRIGRKGTLSIFTSLTGVFLYCSTTALNSTALLGWNCAFNFCSNVMYSVLYGYT PEIFPTPQRGTGNALTATCNRVFGIMAPIVAMFANLQTAAPVYTSGALFIAAGVLTLI LPFESRGKAAL UV8b_00251 MRPFSLAAMAACLVNKVSARGSYVPEKPILRAKDVLERPYSDIL HHSWTAAEPALGARAVVLNTSDRRADGVKVNENGTLDYAAWDAATDAACTEVLMALPR SSSPSGSCACYNLPLLDTKTGWFEAELRLYRISEPRAAFADISLENVKVGLRYAGASV SSISTQQLVAVGRAHNQARVMAPRAVRDARPQLVHSYLLAGKIDKAKMSDNMTMAQLE KVLIPTLALTANNSAGTLVSTNLSLNEAAFLTGIFSRSVVVSDFSAAESAVAAQLDAL RTGTVAFVLPGVQVMIFPIGAVITSVWLLVGLAVYGLGTYERMAYADMYKRRLAAEAA RRTA UV8b_00252 MRSKIHRRCPPSDASKTKVMRRIEMPRSGHAIAAHPSRYPERNG SQFPGSLKGKRILLCTESFGPVNGVSRTTLMLVNHLRNNGAQVAVVAPHNHTNLNTFS PPPSPSVRPADKQPELRVTGYPLPFNPELSIVYPVRNSALYSRTFGDDAPPHLIYLAS PASLGFQVLLQLRQQPREEQIPVICNFQTDLSGYCSILFPPLLSHIAVFAFDAVQSYL FRHSSVKTIFYPSRFVQRYLLKNKVQPGKLELLTRGVDTDLFHPSRRSDKLRQQLAPN GQVIFVCVSRIAGEKGFDFLSQVAKTLDRRGLDFTLYIVGGNRNPDVERDVQELFRPL QDQGKVVFAGFKAGQDLATAYASGDVFLHCSVTETFGLVVLESMASGVPVVARDQGGP SDIVQHGKTGLLLPPHNLEAFVTKAAELASDAALAQRLGAHARAAACEATWEKINNKV ARRMADTIAEHERRDTGKPTSQPRAPLWNAQQLEPPVRGWLAMSDAVRDAVTRSIIDA RLAGGLGVILTFWAVTGCYVFFTECLMRARGLARTG UV8b_00253 MTITSETANDSAKTSGLNGSSKHGNGIAQGGFKVGTICCVGAGY VGGPTAAVIAFENPTIKVTVVDRDRVRIRRWNSRHPPIYEPGLHDIVRVARDGTRDFT FPNQDASESEAPPGQTLVASRPANLFFTTDVAKSIAEADVVLVAVNTPTKERGVGAGS ATDMTAFEAVTAVVAEHAREGAIIVEKSTVPCRTAQLVADTLSMHRPGVHFEILSNPE FLAAGTAVNDLLYPDRILIGSAPTPSGKRAAEALVDVYAAWVPRERILTTNVWSSELA KLVANSMLAQRISSINSISAVCEQTGADVDEVARAVGVDPRIGNKFLMAGIGFGGSCF KKDVLNLVYLADTIGLPEVGEYWRQVVKMNDYARDRFTNRVIKCLNNTLVGKKVAILG YAFKKNTSDTREAPALEMIRTLLEECPREIAVFDPCCNPLVIKEEIKVLLGPLDSGNN ISVYGNAYDACHGAKAVVIATEFDEFRNQPPPKAAPAPAPAPAQEARLKTIGGKPNPK SDPRPFKTAAPTANDVLALHKYLVQRPHEKSDDPLDRFNAEPCCSQDCPDCIQERESK KTGHATGMGSAEEYKPKERIDWVRIADAMAKPRWVFDGRGVIDSREMVKLGVRVESVG RQHRF UV8b_00254 MIVDEFSQDDFHDLTDNALQELERNAIQLTQARIKQAPSQEAPQ RLPSYGWEEEDDDLDDTEVTSDAGIPIGRPVVDNTLPQRRQPPTIRQRHGQPGSQARR PIPPLPNPKWNPIVDDSGTAGPRIPQHPRMSAAAAPRNAQFSASQQLPSQPPPQPTHF ARPPLPVNRFPASQASQASQASQASQGGPTANVISALQQRVRSLESELHAARGEASII RSNSLKAQQGYDAQIARLKKLSAEQLEKQNRIAEAAVAAEKSANTELQFLQRDMREVS DRARRKDASAAGDLTVTPKKANKSWGIADGFAGTDLAISPSRPQGRLRPSGSVAVNVG ERTPSRGKRKRPPADSPISALETLTEDAVVSQQDPRQAQDTCQAAVAPAAPAAPFEFL QLVLDHGSFHQQPPTFDTLSRFSFPSDPTATSFATMIFEKLPLMGKPHCPLQLLVDFC EHVIALWTRCVEEEFWEPVKYLVSLVSFTLDLDTTSVSPLIVPNLLPIAQTTIASLAD ARRRLPDGSLCDSDEYSFLEQHVNTTQLLGLLYASALSCWACPSPTDDGLEYTPARFW TLMSLDMVLLLLAPNQKPSDVVGMLELLATSATATSIGPIGPVGADAAPPDVAKAIIE RVSAKLTERPRADMTQKQRRCVRLAALRTLAAFSLSSLGAAELARHDRAIPRLVTCLS GAIDELYDQPIPACVVAPPSPPHASAALGRQWPDSSAPADLYLVISQSVLLVHKLATD AATCNMVDVGHKLSMFHGGSQRYLLALGRLAFAEEDLIMEAGIAGEVVEAAHELLEMA VTPDEGETISEAFGA UV8b_00255 MYLPYGRAGSSVLHTPHAAEPSQLHRHGSTIPIQPNFLPNRRAP ASPVQQQHLLTSAVQP UV8b_00256 MGRSRRGVRFPHNTGQSNGSEGRRSSFSDASEDGSGSPTRVRVK AQTQLASVQEKPPTPEEEYQKKKANFITRTFWTLCMLAAFFTALFMGHIYIIIIVTMI QIVSFKEVIAIANVPSRARALRSTKSLNWYWLATTMYFLYGESVIYYFKHIVLVDKVL LPLATHHRFISFILYVFGFVFFVASLQAGHYKFQFTNFAWTHMALYLIVVQAHFVMNN VFEGMIWFFLPAALVITNDIFAYICGIAFGRTQLIKLSPKKTVEGFVGAWITTVLFGM VLVNLMIRSKYFICPVNDLGATIFTGLDCDPNPVFIPRTYHMPDLFFLPQKLMNLSFT FAPVQIHAFILASFASLIAPFGGFFASGLKRSFKIKDFGDSIPGHGGMTDRMDCQFIM GFFAYMYYHTFIALHKVSVGSVLETAITSLSPEEQIELVRSMSRYLANQGVVSQKLVD CLETTMSSS UV8b_00257 MEQAIDQPDFLEVASGLRVAADHLERCRNLPAEDDGARMAHTLQ TMLERIDAMERNMNRRFDQVERRMDGFDRKQDDFDRKLTVSNKNLMARLQNSIVVHDN VALAPLYSVITGEVMERCPSTLADLERMSHQEVADLLRHSDEPVPRTIDQRRRQLRHA FGVRTKAD UV8b_00258 MDNDSAYGDDISDATTSLYSSVTHYEWKHNRRYHAYQAGAYCFP NDEREQDRLDMLHHTVTRLLGDQLFLAPIKPDGLSILDIGTGTGVWAMYMGDTYRGAS IIGNDLSPIQPCWVPNNVKFIVDDIELDWVEPDQYDFIHCRYMAASIKDWPRLMFQIY ESLRPGGWVEFQEFSSTVFCHDANGIEYMAVRHPNHAFVQLHLALKQACSATGRTFDP TPSLRKWTRETGFDHVRQSVFKVPVGSWSKDQRNKEIGSMMAVNYADGVEAMTAVLLR DVLHWPDEEVELLNARVRLAARARSPKTLLEYVVVTAQKPAQRSRVT UV8b_00259 MVSSPALRGSSPEPLTPRSKIRALLETVESSDGEGEEQSNSSSL GPTVRHVARLGPKSWNNDDSDESDIVARPRGKLVSRMQGAPRMNGQMAAETARERVKK MLERQDAQQDAERMSNGDGQDDDLPLAPRRLKRPAATEKAPGPVEQLSRSPSPGLFVS SPVRPSPGRAPAVRDDSENELPAPKSDRFKALVERKRRERLEREAAEAARVAEREARH EQLTSELEQLLPDDDDDDDDGGITDDEGGRRLSQKSRPARKASKKAIEEMNRETQRLA RNMQLAHEPKTRRKFSMASLFERFNYRPAGEPVQDDHMDSSSRHGTPQSDAGMNDADT PPSSPPMTKQTEEASTAHGIKITSSGSTGTATPATAAKQPSKRRVRVRLPAAVAVTTF DSGDELEITTTSKNKFDRIFDNIPRNKSAESRSLQALRALAQVRSAGSGTSRKADRFE MTAGELQVFLATKAREQARLERDRRLDILRGQGIAIQTAEEREKQEQEVEDLVAKARQ EAQKIMEQERDQVRREKKASGCVDPLAWDDSEDDEYRGSDDEGNAAPSEVDVSGSEDD ETAEDDEAGGGREVKGNSLFDEAAECSGSEVSEGEQDAARDDDEDEMEGQVVVTRQRR KRNTNAVLSDDEAGVEATPRPAEVATQTTPAAAGAASVVAPSSVLRSAKKTFIPGLPV QGPAGLGLTQIFAGTMDSQMGGSTTGGPTQSMMPDFDQFPDSNFSATADEPLEDVLLD SQNQNTYQTTQGVQLNLSQSQMYGLDTLAGHGPQTQISELMELSQDGGLQQQTPLRDR FAEPPLSTAETMIVEKQGDDGLSVQDSPLVRKGRLRRRMDMLTMQAAEPESSESIIPS TEGNAFRSMADAAKKERKLLGAKEFDRKKSKAKEMIEEQAEESEDEYAGLGGADGEES DSDSAGSVEEMIDDAAGNDRDEGKLAAFFADRERADDEQQVEKLFKDISKGMLRRKRG ADLDLSDSDDGGEARRRMKRRQFAKLQKALFADERVKKIAENPGNRAFLRTIEDRGSD DDDDDDDDEADFLGGLLVEAPAQPLPTSTTTIPDSQPQPQPQSQAAAPGGIATGPRPA AHLRRTKDGRKPPCIGQVRQTLSDLLDEGSSGSVVPATEAGGSDSEQDDDGPAADKEN QAPPPPPPPPLVIDRIELKRRAAACAATATATRMAFGAAQAPEPLAFKVPPLLRRATT NSSLLSAAAPGDSAPSAGGFGQEARIKKGAGKRSGIGGFARQNEQRAKARESERRRQE RKIKGAARRIGMVGGLLGRGSFDQHSGR UV8b_00260 MAPHAQDVSSGSANGAVYANADATASPKTFIVNSPNVTYTDAEI KSHYTYRTTSIETDASGNYVATPKETKYDFKVARQVPKVGMMLVGWGGNNGTTVTAGI IANRRNLAWETKEGPREANYYGSIVMASTMKLGIDAKTNKDINIPFRDVLPMVHPNDL VIGGWDISKMNLAQAMDRAQVLEPTLKSLVKKEMADLVPLPSIYYPDFIAANQEDRAD NVIQGTKACNAHVERLRQDIRDFKANNNLDKVIVMWTANTERYADMIPGVNDTADNLL EAIAHGHEEISPSTVFAVACILEKTPFINGSPQNTFVPGAIQLAERHGAFIGGDDFKS GQTKMKSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMV EANSVLYKKGEHPDHCVVIKYMPAVADNKRALDEYYAEIFMGGHQTISLFNICEDSLL ASPLIIDLVVIAEMMTRIQWKDSISENGYKNFHSVLSVLSYMLKAPLTPPGTPVVNAL AKQRAALTNIFRACVGLEPESDMTLEHKLL UV8b_00261 MIASRHLPRRKSCVINRGGSASSLLYILLDRPGTRPQLHPVYPR FRGALVSSKVVVKRHVMSCSRPVGLLAGSDRETRPVAFSTWKAIDALITMIDNHVWDD ATPLLVLPPTIRGLAPGKPSPITPHNARAAAPGSSAPNPRFRKNLSVDEFIAEASRGR KQTSLALHRRALIGGEVNNDFIAKAWIEHYNQVCRGAYSGDFRSVGGTCPGDLQRTGR LYCNSPRAPVKYHKTKNLQRCPENQVCDLFHGTNYRGNWVQWPICADQVLIDNQAVAG GYSGNYYASNPLGSGTVSYFIKMADDSRVEEFGPEGDFRDTAGHSGHARTWSCFNCPP GLVWIQSRVRAAAHGFVL UV8b_00262 MASSEPKPEDTHPPEDKKASALGEDDEFEDFPVDDWPEEQTEGA QASETKHLWEESWDDDDTTDDFSAQLKEELKKVEASKRK UV8b_00263 MSLASKLSITDVDVKGKRVLIRVDFNVPLDENKKITNNQRIVGA VPTIKYALDHGAKSVILMSHLGRPNGSPNPKYSLQPVVPELEHLLGKKVTFAPDCVGS EVEETVNKANDGDVVLLENLRFHLEEEGSSKDKDGNKTKADKAKVEDFRKGLTALGDV YINDAFGTAHRAHSSMVGVNLPQKAAGFLMKKELEYFAKVLESPQRPFLAILGGAKIS DKIQLIDNLLDKVNTLIIGGGMAFTFKKVLDDMSIGNSLFDEAGSKTVKQLMEKAKAK NVKVVLPVDFVTGDKFAKDAKVGYATDSKGIPDGEMGLDCGEKSSALFKEAIDEAKTI LWNGPAGVFEFDSFAKGTKAILDAAVDGCQKGGKIVIIGGGDTATVAAKYGVEDKLSH VSTGGGASLELLEGKELPGVAALSSK UV8b_00264 MSWESNQRRILRSVNGKYLFGRIPILHTVVFLIEMALVARLIAR FNSYYEERPLMTMMVTNAVLGGIADTVAQSVTAIRCRGAQKTIGLTKEDQFSIEIHEL GRKSADFERDFVPNHKALPPSFDLERLTRFMAYGFCVAPLQFKWFRFLERAFPMTKAS AFGPAMKRVVLDQLVYAPFGVGLFFVAMTVAEGGGRRAVTHKLRDMYVPTLKANYVVW PAVQIVNFRLMPVQFQLPFVSTIGIAWTAYLSLTNASG UV8b_00265 MLADIILYPWTTSLVLLALLSCALWAYDTVVIANKLRKSTGARA NVLSTCPLVVTYLFWQTAYYQMTNGLLDYLEYRFSKNKPGCPHAVEVSMLGRRVIITR DSEHIKAMLTSKFTHYGKGPQFHNIWSPFLGDSIFTTDGKQWQGSRALIRPMFIKDRV RDLDICHRWTNTLIEHIPNDGETVDMCDLFYRMTLDVTTDFLLGASAGSLDNPQGEFS RAFTKVQRAQMILTILAPFAPYLPKRHYYKSIQKLEEFVNPYIAATLELSNEELEKLS KSDRDFTFLHNIALYSKDPKVIRDQIMAVLLAGRDTTASTLSWTMYELCNYPKVWAKL RQQVLDIVGPTRKPTYEDLKSMRYLNHAIDETLRLYPAVPYNWRAAVTDTTLPGEEGQ PDIAANKEDTVLYSTLAMQRRRDLYPPVSEKFADPGIYSPDRWEHWTPKPWQYLPFNG GPRICIGQNFALTEMAFVLVRLLQRYERIEYRGDWSAQFHKAEVVGCPGQGVPMAFYR PA UV8b_00266 MSRNIRRKHPATTAKKASKRRGSDTSSSFDLSDDDGYSAVEEIS DSSDDDEEDVNAVEEQNIRIEAKPSDSPRPQPGSDDNNDVDDDDEEQEEVGEEDGDDD DDDDDDDDDDDDDDDDVFDPSLPVADADADESASWAGIVSEVDESQASDIFHDPSFAS DTPVERHVRFDVPSSDSDSTDTDDDGDHEDLFPDIFVSQTSLDPAFRREIEHDPEESS GSNSFWDYNGQYDHRDDDSDAEEVVRELSDDETPTATPKVPMIDLAPSNFDPATEETL ELDGYETDGDTTEEDIPEPPVRRKIRRPSMPMSDISDSDCPSPVKTQRGQPRVGRFNL DRSDKKPIAVLNPLTRKMMIFTPDRRRQLDLSPEQFNFPWPMEEQSSPILSNSANMML SAMFSSNTFGDFVNPQTMGPAEAFFPFPSETGTADESSAGSVQCDEDEAEKKLDISDF ITWDDDESSGEEGNNGTWEPSSTRLRAGAASSEMDVLSHLNSDTVGAFRRNQINQQLI LSSKATQDSLAFSGPYNYSAIRGLKSDRFDTAGVPLTPIRRHKKHVSDMIRSPLESVS AKRKASGEVGNGHKRHRSISDVNLLRI UV8b_00267 MEPPPTKPSSHNLFEVYLRLRPPPPGAAQTDRILNVEQQPDDDE SSHPSHITLNPPTDRRRAIEKFAFTKVFGDEATQLDVFHCTQIASLAEGVLAPQGGQG TDAVIATLGVTGSGKTHTILGSKSQRGLTQLALDVVFRSICPNMLDASSLPTVIDSLQ ACDPSESILLAAQSFLDSTFADAPGHSRAPSRAATPMAGDYSILSPAPRRNLARPSAL PQLPDISRVNISCDASAEYAVVVSMYEVHNDRIYDLLTPAAKSAATKEFRRRPLLFKS TELSPDRKVVAGLRKVVCTTFKDAMMVLEAGLLERRVAGTGSNSASSRSHGFFCFEVK KRTRSRRPGPWSGSKLTIVDLAGSERAREAKTQGATLVEAGKINESLMYLGQCLQTQS ESSTCSKPNVVPYRQCKLTELLFSNSFPSASSTGQPQAPRRNPQRGVMIVTADPRGDF NATSQILRYSALAREVTVPRIPSITATILAQAAAPPTSHPRPVSPAQQHRPRPFMPPG ATWSSSSSSSSSSHRNYTPPADMDGRATMEIAALEIARMSDEIDQLRAEVDEQLEARM TAQAHLLSMEDRMLDLEAAIRDDCAAEFEQRLTLELARFKASLALEQERSEEHWDRKV DILERGLGPGNQAGSEGDKENVLVEDLTQEVERLRRENAVLKRELAGRSPTKRKPLEE REDYSPPARTGTLTASSSKGEGVANLGRRLERMRVGTDGARTPSAAASAASSGGSPKK MRRLASAKRWEQPGDEPQ UV8b_00268 MSQTFFRQPRSLRGGTGTRPAGCPWRSSDPVPAPSAPFGALVEA ISTEDMLDDEADDEAEPSHYLGISGTELVASYNWLDEDRPKIIIPGKPPLWTPPETPS PLQQDAGVYYRDRNAACYPEHPMEPAVVSVMKMRHAPCPVDVFACGSTLGNLLRFSWG SRQSFRMLLEMVGGTVHLIRREESPRALIPDVRGYGYSFPEAYTTWEPEVRNSLSHQR IIRYAFGELYLMVRFEADGYIGSGRAPPLSCGDTDVLEHFEQWHVGERPRAQASASWG VLSAADAGAAVPQDCIFELKTRSVKKQGDEDTLGKQMPRLWVSQVPTFILAFHERGLF TDVRVTDAREKVRDWEAANQAALGRFLGLLKRIIGTAQSHRGDELEIVRQEGGSLEIR KRAPGAGGLFSGPVRGRWAAWLGEDGEDGEEEAAA UV8b_00269 MASSGDLFSGRGKKLYALFNNFLKGTSPVKNNQDAEHFLEATDM ICRHKTPGIYVESVVSSARGIEVLSGVVRSDLGPSFLAKVMKLLVKHLADPLVKNMNN GGFLQSLLVAILHPPAFWSALLKHHQASGFALDDAETFAWLCLEIVSTKCPELETPFG DVVALMDRKALLQSTLHPVRQVAYRIEKVLSLHSVAAVVNVDHGPGGRHDNDFSDFRA ISLFPTADEIRCKEIPFLQRFDDVFDGPRESRAADYLSWLFRLLREDMLAEMREDLAV AWGQKTARRKPFWLGGLSLLGFGEDSGRRHDPLVLRLKCRDGIVFPRLVGRQSRKKFL EDSKSFMRHKATGVICRGDDIVAFGSVVRNIDLLLTQPPTILIKLADVGAMQKTIDSL LKEATCDELRFFVVNTATFAYEPILQRLKEIIEIPLEDALLQPESATTRDEPPASLAP LLRKLERGLEEAKEVDLTSIAKVGKPVRISGAQLECLINGLQSRVGQIQGPPGTGKSF IGALILIIILNFTDYRVLVLSYTNHALDQFLEELMDFGVSTNDIVRLGSKSTPKTDAL RLDNQFKNKEYRFSIGVHAMIKKLKAQDADVKGKLAAVGAKLAAAGIDPEDLLAMLEL SGDDGPLFWTAFQVPIQEDGFRVVGKNNKPMEPGEILDIWIRGDTSPTVQALVDMMDP QCHRIWEMPVSSRSDLVAQWCSKLRRDQMDEYCDLARLATKIQQQIDSLFDETKRKVF RSKRVIGCTTTAAAMYQSILASAEPDLVLVEEAGEILEAHIVTALGPSVKKLVLIGDH KQLRPKVNSYDLTVEKGDGYNLNVSLFERLIQQGHAYTTLREQHRSHPDISHFTRRLA YEHLQDHPKTSERQKIRGLKGRVIFVHHEHQEDKLSDGGESARGESKKNLFEAKMVLK TVRYLGQQGYKSKNMVVLTPYLGQLSLLKSQLSTTHDPWLDDLDSNNLVQAGLMTEAT AQLNKEPLRLSTIDNYQGEESDIVIASLTRSNDSGDIGFMAARERLVVLVSRAREGIV LFGNMNTFIKSKKGGPLWLDFFQALKEKGCLFDGVPVYCPRHPNRTALLKSEADFDRH CPDGGCSQPCGTLLSCGKHTCTRKCHQVKDHSKVPCHVKIQKTCERGHKSNVICSATD EGCGQCAREDEKTRRRAAQDLELETLRHERQAAYARQLDKIDDDIDHCERVMAYESED ERQRKQIEDKKAKLKGLQETQKRRRVAESLKKEQLPAKQSMEGSAKDSSSRSPAQQEW EAIRSPGDLVNDALDKLMDLIGLESVKEEFLAVKSNIDTKIRQGVSLSEERLSCSLLG NPGTGKTTVARLWGEFLACTGVLPGNAFQETTGSKLANMGVSGCEKLLEDLKNNKGGV LFIDEAYQLSSGNNPQGKAVLDYLLAEVENLRGKVSFIVAGYREQMETFFAHNPGFPS RFPIEMRFNDYSDDELLQILQRQVERRFQGRMNVEGGPDGQYFRIAARRIGRGRGKEG FGNARAVENALAQMEKRQSKRLRQERLSGGTPDDNHLTKEDIIGPEPSATLSKCEAWQ ALNDMIGLDEVKQQVKILLDSLTTNYQRELRDEPLMTFSLNRVFIGSPGTGKTTVAKL YGQILALLGMLSNGEVVVKTPADFIGSVLGQSEALTKGILASTVGKVLVIDEAYGLRG GGDASGSSVADPYRTAVVDTIVAEVQNVPGEDRCVILLGYKDQMEEMFQNVNPGLSRR FAADSPFVFQDFDDDALLQVLNLKLKHGGFSATEQAKKVAIEILVRERNRPNFGNGGA VDNLLSKAKSGYQKRASAGKAEANVLEAIDFDADFDRSSATDIERLFAGDIGRGKIVA LLKGIQNRVRELRELNMDLTDEIPFNFLFRGPPGTGKTTTARKMGKVYYDMGFLSAAQ VVECSATDLIGQYVGQTGPKVQQLLNKALGKVLFIDEAYRLAGPGFAKEAVDELVDSV TKEKYKGKLIIILAGYVKDINHLLLVNPGMTSRFPETIDFEPLSAEDCLKLLTSLLSK KKLKIEGKGKKMDIACLESRAASFEKKVLESFRSLARVEGWASARDVEQLARNVFRKV DLTHEVLKVEEDQISQEMIMMLRERSQRERNVLSPGLDELLASHHAQNDWRPLSTSQT LTSIAAQPDVDSTSHDGSLNAVEPELNASADVMQGIRDAGVSDEVWEQLQRDRAEEQR QEEEYRKLKEAQKSATGANRERIVKQLIEEERRRRQEEAKKAKLMALGSCPAGYQWIR QSDGYRCAGGSHAMSDKEVSSLL UV8b_00270 MRLLQCRSTGGDPLLKSFSDDKAIPPYAILSHTWGADGDEVTFN DLRSGAAAHKPGHEKIRFCDAQARKHGLEYFWIDSCCINKEDDMELSKAINSMFRWYR NADRCYVYLSDVSTAKPQVNGDACEWKSAFRKSRWFKRGWTLQELLAPRKVDFFSRQC EFLGDSLLLRKLIHEITNIPEAALQGQFLSQFSFKERWSWIERRKTKLEEDQVYSVLG IFGVDMPTLYGEGKSRAYSRLEDEIEKLEKCTRDLHVTYPRRDKTRIEETKGGLVEES YRWILENPDFLHWRDDSQSRILWIKGDPGKGKTMLLCGIIDEMQNSKDKSSLLCYFFC QATDSRIRSAVAVLRGLLFMLIQQQPLLASHVRKRYNNVGKSLFEDANAWVALTEMFT DVLQDPSLNTTYLIVDALDECVTDLPKLLNFVAEQSSVTSRVKWLFSSRNRPEIEEEP ERADHKARLSLELNAESVSAAVLS UV8b_00271 MFPPGLDSLYKRMMEQISQSVYAKLCKQVLASITLVYRPITLEE LLVLFEPPKGMAANPESMREVVGHCGSFLVLREETVYFVHQSAKDFLLEKNMVKEEFP LNEDAVHSTIFTRSLDILSRTLQRDMYNLKDLGHPIEEAKPPEPDPLGASRYSCVYWV DHLCASVHQTSTMPIVGLENGGRLDKFLRNKYLYWLEALSLCNNVPKGIVSMAKLCFL IQERGVSHLTELARDAHRFIMYSKGAIESHPLQAFRVAAVAFSSDSTRLASASDDDTI KIWDAHSGNCLQTLTGHKDSVHAVAFSGDSTWLASALEDETVKIWDTRRWNCVQTLEG YNGSIDSVAFSCDSVQLASVSWENIIKIWDTSNWTCLKTLPHHSKPGFPLAFSGDFTR LASVSDEFTLKVWDTHSWTCLQTFEGRSDSVSIVFSPNSTQIVSASDDGIIKIWDVRD GTCLQTLTAHIDRVYAMAFSNDSIWLASASRDTTIKIWNLASASIDGTVKIWDVSSNV DLKSLEGHCLAVESVAFTHNSTWLASASEDRTIKIWETSNGKCLKTLEGHYRFVKLVI FSHNSAQLASMGSSSSKIWDTGSGACLHTLNHGPVAYVIAFSHDSTRLATVTDSTVQI WDTRNGELLRAFSDYSDGIFKSVAFSHDSARLATGCGVGVAGISTVTIWDARNGECLH RLDQDGNVNSVAFSRDSAKLASAGSTVKIWDADSGVCLETLTVNSYLRVMSFDSSGDR LNTTLGVISLCQSEASGETDVVRPKPTQFAGIGISTEDMWITYNGSKLLWIPPEYRSK CFDICENNLGIGVRSGKVWICTVDRD UV8b_00272 MSEFDDARCGIGEADCTGFCRLSCQGAGRSRNTGLDALGRQRHH GQSRSPAGRHQRESRVLAEVLPSEKASKVGYLQATLDPQTHGSVSRHRGPRGRRRAVV AMVGDGINDSPASLGINHDI UV8b_00273 MMLAQTLAVWLLSAQAIFAAYIQIKPCPDADSLLDHDLSARASL VPKTDDNGRRRLELEFAGGYNETRCRIVQQMNVSSSVSITSLSATSSFQGLTESVQCT KFIREGKPFFYPSLKFAYDVPTYPLSTYFLTAHLRGNGQQIACFNAYLTPDLGPIASP ILTWVPAAILMLIVLSSLWWEMYNLSHEENTGDEPDQIGPLFREPGRQHIVRVANCIS YLQFIFFSGALSLSFPGFFQPIVSRTSWSTLMLRVGVVDRTPWYFGVSDGLYAINGTF GGTPGLELMTQVMGGTLTLNAWFNTMALAAMILFLIASMVFLGQKLEWARNLFQARRS LIFRDDVDPGVKGMLWATLRLFCSYLLLPLVAWSTYQLTHSGAVPVFVVVAACSVVCI LVALIWWAIWQSSPRAMGYFLVDTVKSHQSLNRLSRIQGTYAVTIFVLLFVRGAVIGG LQSAGMVQLLSLLATEAVQIALYAYSYHESPFKSWSGIMPAVRLVVLSLQVAFLPGAA GFVAKSVLGYAILVFHALVLLLCFVSPAVYDIYCLVAPSSMRTGRHKWGRGDGRAPVY NLRQIMRRPTSFRNFTARGLAPLDTAYSSHQQQRGQAPATRDSSSLPSPTDAERSPYF RSPRSRSTELRNWAQSPASAHPSASTETRSDVGSEPPNAASPDAGPEPPKGAGRGASS EPSGPASHEAQGSDLSCLPLDPDPSVDYSFREADLYYVQPKTKMFGEDKTPQGNLVKL KLQKLGSLRRKLAAEKGRRSSGGEEET UV8b_00274 MLASVLSSFLAWASIAGASSAEQRSAGLATVVAPAGTVLGNVRN GVESFNGIPYALPPLGPLRMKPPVRRTQSLGVFDATGPAGACPQMVASTSSRGFLSGL LGKIANLPLVQIITRQSEDCLTITVVRPEGTTAGAKLPVLFWILGGGFESGSTSMYDG TSLVNYATSINQPFIFVAVQYRLAGYGFMPGKEIMAEGSGNAGLLDQRMGLEWVADNI AAFGGDAEKVTIWGESAGSISVLDQMILYNGNNTYKGSPLFRAAIMNSGSVTPLDPLD CPKGQAVFDQVAETGGCASAEDKLACLRALSSNDFLNAVTSVQGLLSYSSLALSYLPR PDGRTITQSPEKLIRAEQYAAVPMIVGNQEDEGTLFALFQPNVTTSEDLVDYLATYYF SGATKDQLTQLVDTYGHGLDAVIDGSPFNTGIWNEITPGFKRRAAVLGDLVFTLTRRI LLRSTAPLRPDVPSWSYLASYYRGLPVLGTPHASDILQVFMGLEDNYAARSIRTYYTN FVYNLDPNVGVTGKYPFWPRWSEGNKLLNIFRDKVTFIKDDFRQDSSDFMEKNVDSLR Y UV8b_00275 MSGTSVEAGGDGALKIEVLATGLISRPHNDSFGDRGAAPADAKP GAEDVDTNKGMLSSEVDAVDSVAAPTAARYALQCSLALEKNAANTPRVRHAPHTVETD CIKDGTAEDAARPNPRKRQVDEAFSPAGVAGTAGDLPPYMSRDAIEARRIILSCDLPP EQDVKWSGAPDTGHDPSEDSILREVGRSPWFMKKR UV8b_00276 MPITEVCNVRVLRLDHVAEHATVKFVTSPGNIVPLESIADTSID GSGCTLMPGMIDAKIDVGASLDAFDAYAACGITTIIDSSSSSDESRAMDMAAADDPGL PSYLATGSAIGPPDKLVSVKNYRGVQTVATADEAARLVESKIVSERAALVAIIVDQPG LSEDVIAAAVNETHRHGSLAIAYATQSGAYRTAVELGFDVLTPVAVDAALDADVMDKI VQRGIGVIPTLCFLEKAVPSWRRRGFACDFSVAVKTVRDLHAAGAKICTGSSANPSED TSVRFGRGLQEELRQLTRAGLSNAEVVRAATLEPALLFGLDDRGSITTGKRADLVLVE GDPLEDLDALSKIQCVWVQGIKVNEYTSRGNALAQRYGGLRESYKSLKHAFTILCTGS EQDAVSALKVIREAQKQESLASVLAPNPPGFHIPPPLMRQRAAWMTRVALDRNQPSLY SMMLTAQLGHHLLPLSNWTSVAAGDALLTHLFKLFFTWDTTLTRLFHRRLLTEIIVYR QVAERLYENQLDAQFCSELLVNSILAYATALFFEPIPTLNSEDFAREAHSLLDAHQGD VTLSLLQAVAIMSTYEQAFGDGKKLASLWSRYLIHLPMVNARFLQSLTPGHYVRHTKA QQAISLGLSGLYCFNIKTCVIAATNVPRGWAAQLLSTDGNEPSDLVQVVERLWTPYPI SNQPQISYAAEALVVEYTLVRLVAECLDIAYSNRTALMPDHSSAKNIYSRLRKWHELN AQRFQGQGSVIPSWIAILVLYHCGSIRLLEPFVSLPFIQFRDHMNAAVLCQMHSEAII LALTRSKDVLSARHDFWLSYACSLAVKHLLLRSEAAGPGEDYLWRGCELLYLAGQYIP EANRIMTDIGKIAARKGLTLSKRVWGLLQASTVSVRNTVIGNTSYVNLLAGNLGLSPA GRIVFKRGIETIE UV8b_00277 MRIPSPAAKRADGTAIWATPHDSYSSSVGVLGCKINTDRVAYWP QPVDCDGICVSVRYQDRQVYLLRVDQSGGAHDMSYDAWNYLYTGEPATEKPAAGGAVE MHYTPVDASHCAPLIHTEHHRLPLSAANSMNFLASCLERPASWVASNYVLFNIDDPIC TLGLDEQCSLDWPGANQPSCPHVLGEQTVLRDEPVYNIQYPSGKRVLASSGASGAPVP GSGGSGKDEENAAAAAAAAVARGLLRGRHGGRLLLGMVICALFAA UV8b_00278 MKASPWALTAAAVAAVASPLAALQPRTTALEALTDRYLFELTLP EFMAEREARNPESVNWNSDGCTDSPDNPFHFPFLPACRRHDFGYNNYRSQNRWAVSAK RRIDENFLMDLDFQCKSVWATKACKALARVYYLAVRKFGGKDAPPGKRELWPPAYAEA MAHYEIEVKRAQELGHLPILES UV8b_00279 MASLATPSDDGTLRHDSAASARQVLRQQQQNAPALSLPVTGSSL DGSDRRGLPCSNPHQQAWPTYCQDPANSLHSQLLSLVEWLGSVQGRGVLKCTFAYTVA SLATFVGPLSDFLGRPDGKHVVATITVYFHAARSAGSMIEAIFIAIAAIAYAETVSIL SMVASVLVGSKLGLVTLAHVLVVTIFIGGGFGFMGWVKQRMDNPLVNVGSTLASIAII AVVTKESAVVSNVFSNQKLTQVLKMLIMGITSAAAVNLLIWPVSARTQLRGSMVQASA ALGDVLASVSASFLRGDAGHDDPSDISRASLSYAQTQATLTRNLREAKFEHYFLGREK VYAVERTIVQAIETLAQSIGGLRSAAKTQQTLLNSSAANPSCGPSALFATFTDLIRPS MQGLTEVLSRLLHEPVFGGPPHYETRPNGFRPILTENLALFTDARVHALRNIYDLAAR KVFHDDSSSIKLEEMAAACGHYSFTLQSFAEEMQKYLDLLEDLRYVSDHSKRSWRWLL WWTETTREYSLSALPFESTESEALVKPIKKSLVLNGIPAPILEQRDTYKRQAAAKENR VLARLSQMALRLLQSMARDDILFGIKVGIGASLWAMIAFLDETRPWYNHYRGEWGLLS FMIVCSMTVGASNTTGWARFLGTFLGAAFSVINWNLSQGNAVALVVLGWFVSFFNFYL IVARGQAPLGRMTILAYNVSTLYAYSLSQRVDEDDEDEGGAHPLIMEIVKHRVISVMA GIVWGLIVCRLIWPISARRKFKEGVSVLFLQMGLIWRRGPLAILLRSDCSSSYLESGE QAALRRSADGLESLRNSAASEFELRGPFPLEAHGRIMQSANRILDSFFAMSLVTQPRG RLSSGERALLEFTSEERAALCDRVCHIFQVLASSITLEYPLTDVVPSIAALRDRLLSK VFRFRAEKAMAAAAAAGIHVSERDYALLYAYVLVTGQVADELRVAAAEVEGLFGALHD EHLL UV8b_00280 MVPPKDPESGYENTTWCNRDLIPIPPDRRTYGFVSYMAYWTVSG SNISAWTIGSTLLAFGLSPQQAIAAVVVGGIITGLLAVLCGWVGAKQNIGFTVSSRSS WGMRGSYFPVVLRVFVACIWFGMQAFWGGQATRVCLGAVIPGLAHMQNSFSADSHLET KDFIGLVIWICAFAPAILIRPERLQIPFAACFVLFSCTIFGLLIWGVSQAHGPGALFQ QPATAPNVGWAFMFSLTAIMGAWGGGTLGQSDWTRYASRKLAPVPSQLVASPATITVT AVIGIVVTSAAKDVIGGDVLWNPIYLLAAIQEYYGSSSAVRAGVFFAGLGLVASQLAI SLILNAMSCGMDMAGLWPKYINIRRGAAIMTVIGIATQPWQLLATATKFLQVMSGFSV FLAPLTGILLADYHAVRRRKLKVDDLYRGNDTSIYWFSRGVNWRAPVAFALGTWPMLP GLVATVNQYADPPWVGWIRLYNLSFLVGTSVSFAAFWALGLAFPPRGLGAESEFAAAP PYRPSSSAVRDSASDGTGRLDDAGEKSPVLVV UV8b_00281 MMASPNESIISAASSAINKWPRIDAAGIFLSQSKTLKPHKGNRQ YFPLVIPLLILPVQAEALARLQLQSATDEAGGSCDHWVQEASSHDIKRAMLLPRRRRF LRRPVARPPGSIHLDIAGMPSARLHIVMEQQQHSRSSTARRLQRGIHRP UV8b_00282 MEDKDHVSPSSPPWRRCLIQDVFQHAQAELLASAAEATRGPRPS STKAASSPAPSTRSRSSHGHASDTLVVSLDEQTLDESYSRYSRTLHMVLLDPSYHVFA SPRGRGALLYKVAQRTLVVVGDPMCCKGEMGAMLDELQRFRSARRLRLAFMGVSESFL EHAHEKRWASLRIGRERVLNPLANKVLDRRAGKRILSQNRQLLDPDRFALRLAMYAPG VAGIDAGVEAALDALYGDWCAAKLAAGGGKTPQAFITSYQLFSHRSKTAFLLARDRDG RLCGMAMLREVGAHAGFHIDPCIAAPDAPRGTTDLLVVTAMRLLRRAGLSYLSLGVEP ASEVGGGGGGDDGSRWRVGDMLANAAYRAVTRARDVQGKRAYYDKFHPDPALESSLYV VLPSLFAWHEVLAVMKVAHVQARRAGA UV8b_00283 MRHEASVGGADQGRPGDAYMGTWEHGNMGTWESLVAKPRDMFSQ IHVNTYVDVVTRAKGTPKDFSLVLNEDVAKAGIQFTLRDIMSRSQPDWAIGAYELEKK KAPSSGILPGRQDAFCGPGVEFRHCSRIFSTAPMRINSTSREDVVVVAAGTMGSSTNY TEGKTATHESVATKGLSSERGQGHSCPDKPGLDTIHNFMDYSYE UV8b_00284 MNPSSPAIDNVAWMQHVAPDRWTRPPGHRRLAPTWPAKPLHIAD LPSRWDFSAVRGHSPESVRTSISSSPRVPARLAIPSPPLTRDSRRQGQGPPAPDGKAS APPNSPGPGRETEQQAPAAWRFATYAKSLLCLHTLLLPVAAMLPGQNTHSK UV8b_00285 MWRHTFGVWCNKTWLDDESIMIGGGNQQLVPRDQAKKNKVRLTV VACPQLAAAAAAVRPAADEKPRSHALASPRFQNITRSNLFQSSWFLQARGAAFRNREN ARLFFHD UV8b_00286 MPTLRPLARHPAWIRPASCIWSADSFASVPYSQTSQSEPPTFIT QPTKALRSSRVSQASSSSSSSTTTLAPLSAGPDASEPRRETCPGCGDARRSSGACVPG WKPPSPVPSRRSDRPSADGGRPPHAGAGFRSEASAGPVAFDGGNGGGSAGTAAAPGKP KPGGVPRWRNSLADLKAAWPTGRAGKEEAGAFAARTELPHTLCVVIPGAELFEEDLMS LLSRR UV8b_00287 MHLLKAKLWLSTPWLLLGPALLRPVGAQTPSSLAAAMGSLPECA LQCFLKAVPQSSCGMTNQTCICEDAALGAAVAACVKAGCTIKQGLATMNITQTACHRP VRDKSGTYKAVSNTLAIISGAFVLQRLAYKVYARLGLGLDDWFALITLLVGIPSSVIN GHYLTVNGIGRDIWTLTPGQITDFNRYFYLDAIVYLAEVSLAKLAILFFYMRIFPSRG VKRVLWGTVAFDCAFGAAFVLVAIFQCNPVSYSWAMWDGERQGRCLNVNAITWSNAMI SIALDIWMLAIPLWQLRSLNLGWKKKAGVGAMFSVGAFVTIVSILRLKSFVAFSYSTD NPTWDFFEVGMWSDIEINVSMICACLPTFRLLLVRIFPILRETTHRYHTSERRTDRGM RSTVFSQRAEAGRAVDKSEIWYQKSFAVKYLETDELRLMSMRDRDTASAQSGAMSI UV8b_00288 MFPAPLILTAHAISRPRFDHGFRPGAADDGRQIMMRSNRGDFGF HGRIRPAKPVTRALLKVPRSIPADCRTETILGRLPPQAGRSCSRRAAR UV8b_00289 MCGVAGVLLGDPKAATAAVDLYESLFYLQHRGQDAAGISVCYGG RVYQCKANGMAQRVFSHIADGNALIENLPGWCGIAHLRYPTAGTSSAAEAQPFFINSP YGVSMSVNGNLINSDYLREFLDTEARRHVNSDSDSELLLNIFANSLHELGKPRANKDD IFTALRDVYAKCIGAFACTAMITGFGILGFRDANGIRPLCLGSRQSQTLGGAKDYFLA SESVALRQLGFTDVVDILPGQAVFIEKGGVAHFRQIVERRSYTPDIFEYVYFSRPDSL VDGISVHRSRQNMGAKLATKLKKTLGEGGIKEIDVVIPVPETSNTAAATLASCLGVEF SSAFVKNRYVYRTFILPGQDARKKGIRRKLSPIESEFKGKSVCIVDDSIVRGNTSREI VQMAREAGAARVIVVSCSPPISHPHIYGIDLADPTELVAYGRTADEIARHINADSVIF QDLDDLNAACVEAANGDGDGAVQDFEVGVFSGKYVTEIPEGYFEHLVSLRGKKGKGRP LNVNGGPVQAAGPGKAHAAESVSASREYPDDINIHNLAGGRPS UV8b_00290 MTLTLRFSDMAKGSSLSSPSRVILFALLGAFIYKAELPRVTRLL GIWRENRSTVIANANDLHVFPDTIYCEDIHHHEPSGLLFTACEATESLRYSWFPGLSH LNDPVAASESQGTIDVIDPQSMTAKKLKFTNFDGAFITHGIDVIDDPDQEKGKAVYIF AVNHVPSAAYVENENTKEPKARSRIEVFHHVIGSDTARHVRSVWDPLITTPNDILAVS PTAFFVTNDHYYREGLMRLVEDIFFGAKWTNTIYVEFSNSADGASRNGRGAVNASVAI SGVHNNNGLGHGPTPDQALVVSCVSGRLRVGDISAPKDGKGPKTISLRETLEFDSLVD NPSWFRDPYAKPGQDFSGIVVPGLTSAASLLKTHNDPNGQDGSVVWMVNRIGGKWQKR VLFEDDSRRLRAASAAVLVAIDPKQEQGKRKAWLFVTGFLSKNTIAVKIDL UV8b_00291 MMGDHVCSGKPAELSPPLDASDDFESLLGKRDYPLHGPTRPATG SADHSYLQSGQLTPVSQPSESRNGSPVYPARNASPRVTGEASEPRLAQEPTWDAPKPK SAALPNRSAGHHLHGGDTETDPLEKPASNEPLTNRPGSTCPGLFHSQRRPSDSNARLQ RTASPKHSARPSHQQDTRRLPSGDGNQDFAAPRNASSGSLGCPESFSSPPPRTAHPTS ASEYLPGPSGAGNGIGHSRKNSKGPDTSRRPPPRTSLLLRSKCKGTGSVDLAAEFGAA NPYHVSSDSASSGYSDFSMSSQTTSRTSPSRSQTHDSNHGGEREGARPSAAKSKPKHL RIDAAAAAPPRFAHQMVESPFSASPQDHLGASARSRRDAKSSGGRSSSPRYPEASPAG HEHAVERQGSRDALPAPTRGDCKACGIAITGKSISSADGRLSGKYHKACFVCSTCHEP FSSSVFYVLEDRPYCEHHYHKLNNSICGSCNRGIEGQFAEDETQVKHHVGCFRCLDCR VSLTDGYFEVGGSAYCERDAWKRIQSPRHAEQETHDQNRHPTPARGGSGPRVPNGLSA RPGPRPGQGVRPCPPPANGLPSGGRMTGGAHPRFRMNKRMTRLGNINS UV8b_00292 MLHPHARRTLISRPRPRSLLIIILAVVTTYSLVLNGSTHRLHIV PFNKAQQLSSASTGQQKELLPGENGGASDTDPGHHHDEPTGTRADWQIDVEDLVSWHD PDDHETQDDVLPGHETDGTPREPGDVARLQHEKDLRKMWRYAYKTTADLANSNLIYGN TLKQLVFKDDRTDEQKKQLREEPSTKLDLSDDQPVRFNPYPDYNGEDWNNAGHAPYVP CKGPTGGLVEDALVFRGRPARWPQPKFGSYHLFGLDPNLCWERDTRLGQYGLHEVKKE VEGSLQTVNWDTVNWGELQRHCLEQNAARFDMRMDKKNPYLNIYAETARPAGPDSNSK VKRRSAGVRRGEAGIKEPRTAVLLRSYTGMTYSDNDRQIIRALISELGLKTGGQYEVF LLVHSKNQSLPIFDDQELYQSVLKDNVPAELHGITVLWNDQQVWDLYPALTDESAKTV HSAQWLSVQKFSQDHPQFDYLWNWEMDFRYTGHHYDLLERLSTFAKRQPRKYLWERNG RHYIPEYHGDYDTTFREDVAKRHGNDTVWGPPVLPFINPVGPKPPVASHEDDDYEWGV GEEADFITVGPIFDPVDSQWIISSHVWGYGDENHNPKDVPRRTTIVTQSRISKRLLDV MHVENSRGNHVASEMTAQTVALLHGLKIVFAPHPVFMDRDWKGGFLNNWFNPGAHGES GGRGSPMGWGRERRFQGVTWYYRAEPPNRLYNNWMGWSDSGMGGPEWEKQHGRPCLPS VMLHPIKNSVPTKADHKTSFDLMFG UV8b_00293 MCPPPTTPAARRFLLPKRSSGSQTPGPQASQFQSTPRFGSSSAP RPAQGRAQGVEDVDEDSAGESRSDPATADEDEPATQLQQHVVDDTIEAGSAGDESQHS RGWCVRPPALAGDDIEAVSEGEPAAEEAGRSCCPPDGRGAKRRRVLSISPDSSCSAGG GDDGRARGGPASKRDDLWAPAEEDGLSAAADPSSLSSSSPAAAAPSSWPGDSPLLSKQ HQPVFVPAPRFKPAEEADEAVPDMPPLAFSPPPRGSAKYLPGGLAAHLQGWLSEVRGW EDDGRRRGGAASVAVEEVSPGRHMYLVGGRGVESREAGSYVLAGEGGKLPGLAGRAAV TAGSVVLLGEPVWEVDLEGRRWTVACNWSVVS UV8b_00294 MTQSSWAQQATDRFFGGRKSPSQMQCAEIAQSVCGASTVRPVDS PGSMSYTVICSGRPEPQQDLISTCHGDVEEADPPLSIYSIPYLRGSSCTEVLAFQVEM DPEEEAKHGVFVKHLARQLPHKPDIYSEAILLIFFKGSVKDILARLAEESPWSILSNS MLSRLIEYLPLLFSQDYPQVLTHGDFLVTNILVDENKFEIRYRRLVSGGGEEFWAVSG VEGEERRGRTQGQAEAAGRIGAILRLAFRRNADGSPSEEVLASERRTKQLRAWVGEQA ARLA UV8b_00295 MAPQVTSWEELLWVSEEVDEDTGDFQYTMFATVEDDVIYYGQLN QPKADILFQHTPDCLARIPDEEIFPRWPQRLTQTSSGTMAAVCDGKITGGGLGSSQAY TFRDSANSSALGE UV8b_00296 MLRLLTRPTVPLGCLRGCPLRRSRSSSSAAARKVSDPLRILFCG SDAFSCESLRALHREHVRNRALVEALHVMVLPGRRTGRGLKRLREVPCKDLARELGLP VHQRETFTKWDLPRGINLVVVVSFGLFVPGRILRCAKYGGLNVHPSLLPDLRGPAPVH HAVLRGDTHLGVSLQTLDDAAFDHGTVLAQTPWPGIPIPPDASFEQVLRSAAARGADM LVEGLRDGVHVPPHRDAGWRAAQLAGKPLDHAPKVTKADGQVAWSSWAGDQFVRRVRV LGSVWTELVSDTGAARRVLFLDARAVEAGGATAGGARGKVVCEEAATTAEAGGRVERD VVVDGEGVLVNVDGAAAWVRVTRVKVEGKPERPAAMALKSFIRG UV8b_00297 MAPSLLSLGASALVLAGNAAAVQWYLQDTYDSSNFFQKFTFVTD ADPNQGFVQYRSQRDAVNLGIASTAGGQVSLGVDSSSLLTSSSNGRSSVRVESNSKFN QNLIIARFTHLPENKCGTWPAFWTVGDNWPLDGEVDLLEGWNTNPYNKPALHMGDSAT YGSCTLDGVGQTGTLATSNCDNRYSNPPFQYENQGCVVNDQAGPWASANGGTFALEWT KDFIKVFTWPAGSAPTSIASDSPDSSTWGLPVVYLKASQCNIDRIFKNQRIILDIALC GNPVDRPGIWDQCQRLTGSTCADYVRNNPRDFSNVYFKVQDIRIFSQNSQTSSSTTST TSSVSASSASSSASSSASAGTSSSPSFSAQFRNSTATGSNTASTSAAAPTTSSSQPAG PTASGSGSSISILSGPVSSGSATPLTTSTVYATSTYTITSCAPTVTNCPVGKVTTVTI PLYTTVCPVSGKKDASATQPAGMFVEASASAPAPAPAPATTITTRVTRTYTVTSCAPT VTNCPLGKVTTEVYTTTYCPGEQTGASAGSMGGFTQTLLSPAASTGVSAGSMSGFTQT LLSPAASTGVPTGSAGGFTPAAVTTALYEANTAVPQPPVPSNLNSTSASTSAATSGSN CPGPICPISAASSLSKHGVSAFALLAAIAAMVL UV8b_00298 MATPTPMKHAPSQQGRTPSQFAAATPPVSTPFSNAAQAAFSPRG PKSSPQQVKKSPATSSLLGHSAAMGAFNFDSPSTAAAMGALGMGAGFDIALDNVGVGV GVGVGGLDAIGAALANEDDKLKRLDTILKILSASRGLVSEAGLERLAQQIGLELLSEE QRTPGGRKTRTLAIAGSAVALDIVLDNNIVQSVTLSYHGSAPSVSRHMDAAGRILLQD LTLAPGQSPLTKSLRSFASNFERLAGLDKLSIVPGLDCHEALAGIYASLERLYQWDMS KLRDEQAAKGKPDHYLSDMAMCSRHGRPVMHERGTVGLAIQYWKERRFAVPPADEPTL SAAKKDGDSGRDSDRDSDRVWSLLLGCAPLDGSGVPPVRVSDNWLSKDITKEDAAAGP AKTVLDWQEPENVSLPQSDDNKDAGMDLLQPDLSTTRVPRVMFTVTFDPPVVLPQNDW ARLYMYASVNPPNPHSDMGQQRGQPAWPPTYDSLLFPFPSGAKVDPSESRAICRRRRV RVFDRHHVARVKHHRNTLFVYKPIYSQTVHEMPFSHPRQLLDMLPLLRQHAFLAILLE NSFGSSTTPSDPPPAASDATTKDQLSAFVSGSGEPARAQAQETAADGPDDSANLDVIL WVHPVPHLQVVFPMGAATANVSLKILEGGVVDVVDENILHRVSARKDVTREKMGKVLE HMEDLCKWAEWIRSRL UV8b_00299 MSSAASLARQSLPQRTIRVLVSPMPLTFAERRSVLQVLEQYGPI ETFKMAPDLYANFVSVTQKAATASQLVASSPLVYHIPIPRIDTNVLLADLEDTQGIRR FDRQQPSVTTPPAESAATERAFSSPDESAPPQGQKQFKLHIYPAPDYSHKFAMSNSPL QESWPGAYLKDKSFMAAALKQSLPRNMPSKGLAHWLVNMGNNYSCKSDRLQLKSWLPS KMKESKRTDG UV8b_00300 MGFGSFVHHIGTFFLFVAVVLLIVVDITAPVVNHLSIMKVDLGM NTASADQVTFGTFGYCVRGISGGSDSCTHSRIGYDPAGLMRSLDGTDFSGASASTAKG LTRVMVLHPVATGLCFIALVLCAASGIIGSFVGSLVSLLAFVVTLVAMICDFIAFGIV KRHVNDQGVSTARWGSGIWLILASAIFTLVGSAVVFVTCCCARKKTPERQKESWNNKA PAAAGGRRRRFW UV8b_00301 MKDGHTNLFDDPSTGDKVTQYADAHSTPLPAHLTEYHAHMCQSR PDAEMLSSVFQSKLHCFLARALGAKRVLEIGVYVGYSLMLWAHAVGPGGFVTGLEYDP RLAELAETAVARQGISNTEIIVGDGAETLPKLRPSAPYDIVFLDADKSGYPNYLSVIL ANSQPGSTGRLLRPGGLIVADNVLRAGHVADPTRTDRRISDEKVWNRNIEALRQFNDD VARETRLESVMLPLWDGVSLIRLLD UV8b_00302 MKPGTLLLVSAFLKGIDAVRRPADLLVPAQPNEVLTGRELNGMS KKVLADASQLSEKREAEKQSHPRFPYGLGAKIKSKTKGIYAHGHGHGHGHGHAHDHAH DHAHDHGHGHGYGHGYGHGHGYGYGHDHGYGHCYTYDYYDHHAILKHDHNNHDPFVSV SASAEWPLHPVYLYPQHYWCSVCQCRLSM UV8b_00303 MTDRNNSSRRRRSSSILQVYHEPPETLEQISDQAALPNLNANWT SAKGAWTIHIVLIAALKIFYDIIPGVSQETSWTLTNMTYMFGSYIMFHYVRGVPFEFN SGAFDNLNMWEQIDNGAQYTPTKKFLLSVPIVLFLLSTHYTHYDLAYFIINFLAVLAV VIPKLPFSHRMRFGLFSGPPEED UV8b_00304 MASDFDYVKKMTPEGYVFPSHRLRRTCDPDKTPLVLVACGSFSP ITFLHLRMFPMARDHARGEGLDVIGGYLSPVSDAYKKKGLAPAHHRIRMCELAAENSS KWLMVDPWEAESPIYIPTARVLDHFDYEINHVMGGIECTDGTRKPAKIVLLAGADLIQ SFGSPTWDSKDVDHILGNFGAFVLERTGTELDSALAALKKWEKNIHIIRQVVSNDISS TKVRLLLRRSMSIDYLIPDDVINYIYEHNLDLAQPSEGKNQATAGTSTS UV8b_00305 MSTGNQPVGTIGIESSVYEPGMEGLEFANVGAALEPQDDCASTK QTPGQKRPRALDEDDESSSDGYSPSANIVPSPRETKRARFKQDQSVCGPEQRDREDSD SDPNPRAALEAGKSVKPSSATTNGDEPQHSSSSPPPAGHLVAASSSSRPDDSMGAEQE VDRTEADDLEPFAGASMPLEPRLYTTDSFSLSLPALSDQKQGSWLARFKDWVQVLCHH NSDGLAAISPAVATDAFVFYLDQHSGLRQSKKKAAKQAARKEATTRAIQNLIESAGSD ATVTVPVPGPSAAAMGTGTAEKEKTPETRDDGSAEEGEVTSNGASASGEQPAEVPSCQ NGGPSSVVREGVPTGEDELNQQRRYFPSASDPSKMCLLCGGEGHRAIHCTRSKCRFCN SFDHWDFCCPDIRERCGRCRQLGHKAVSCAEKLALTKDEGLACAYCSSPEHLEENCTE VWRSFRADAETIHKVASLQSSCAACGGSDHYSADCRQRRGVCGNPTWSVRNRSIYVDP ACDTLSIEATPVPRATQQQPLRAPVTRIRGHAARTANVHYSESEDSEVEFLGRRAVRG KRQGVGQMRVSSNIQLPQMMGNHRINSFQAFADEAPLPRRPPPPSGPPAGYDRGPPAG FDRGPPAGYDRGPPAGYDRPPPRARGYQSRRTPPPSLPAKPPSSSRDYRNVPPPPPPP PQGRRGGDFANHRGGRGGRGGGRGRGRGR UV8b_00306 MSRTEQLFLALLRSAPVKDHLLPHLSTFDLCSVRQLSSACCNLV SKRLFTRIHVTFTASTFTKPCRVAALHRIGHHVEHVVFHMPHSESTFLPPLVHPLTGQ EICFLYTPHTSMASALTRPKYANTELGDILTQQYPPLFHAATNVPSFINAFKSLVNTR HLTVRCPGQHPRERYRRDTVDYALISLRIAVERAPLDKLNKVSLSSVHPGAFNYLRHT QGFGSVPSASRRWKQVKKLNVSVESWDFYGSSPGLDHLKIIDDYVRFFAPGLEKFSFS WIGNKGPCSVALSSDALFAPPKSSKKLFHEVTSPMSPLPVRPPPSPIHFPKLRHLQIR NTYMNAPQLSELIKSHSRTVKEFDFENVVLANNGSWDEALAPLSRDDSWARSSRLAAS ECSFVTDESSEDLPSPSAAVEAASRQLLDLDLGGFNLSDEQASPIGGLCEETSAAAAA RADEAMSFTTKLRKKSQRRRRRKHHSSSGSGGGGGSGSNGPDEGTSSDSRPSSSHHRH ERKPSRPKLKPSLDSYTCRSRPVTPPLPSPKHVITAPILSSDPQPVLLQPTTYDPTRS SPDGGITSVQRNLEQEEAHRLLAEDATARVNALRKAKDAVLSKLSREFCARRNRAADA VAACRLMVSAEWTRSACSGDLVLEDGRGGRESQSALVPLMFSRS UV8b_00307 MATAADQPAQPDGAHAAAASKRKTMDEIAVDLALPEPPSKRARR ALKKGKPLPAKPSNSSGSREDDDDDDDGGGGGGGGGGADKEKPDGRGKSRSEHGIWVG NLPFSVTAAELKQWLVDNSGGVITDAAITRIKLPTSKGPGAQKPTPAPANKGFAYVDF ADLGAKVAAIALSETELAHRKLLIKDAKSFEGRPPKDEEPQARAVGPDGQPQRGPHST NRTDPAAAAAAAVNASRKIFIGNMSFKTTQDDVHRTFEKCGEIEWVKVATFEDTGKCK GYGWVKFKEPEAAAWAVKGYVKIREAVDTEEDFNDEADDGGDGDGAAPGRQAGQKRFK TRKWWVNRMLGRELKLELAEDDQARYKKRFGKDARAHGGGERADGFSQGRHAKSKGGG GGGGGGGGAAATAKTGQLSAAAVEPTQSSRRQPGGDGTKGVHRAAETSLKQAASIQEA RLMGTTVKHTGTKVTFD UV8b_00308 MNVLLSPQPPIFPHRYENSRLSPQRSVSPLPNMPNRKRKADEDG DENMSPHSSPASSSRPLARPSKKARSNELVGRPLALPRLLETLDARELRTFLERICMR YPDLGQEVVSEAPRPSVSAVLGVLQEYQKKLTEAMPYGQSSPEYTYYRIKEPLVALID ALSDFTPQYLPPNETQPTKSLQFLDGATKLIHDLPDWEPQAYRHHKENAYDDISRAWA LVISEAGKRGGGFNLHSGGWDQNLANHNERSGGRLASAMAAMANSVGWMGQSSGSLAN ASEQNSILNQLISGAYGSPVRVGPL UV8b_00309 MPAPGDQHTSWANPFQEAKPRISEWTAKEIATIASKLDKQLGPE YISSRSGPGGSRVHYLTAEKVIGLANEVFGFNGWSSSIQNIQVDFADENPQTQRVSIG LSVIVRVTLRDGTYHEDIGYGSIENAKGKAMAFEKAKKEGTTDGLKRALRSFGNILGN CIYDADYVKQVIKVKAQPVKKFDQDNLHRHSDFVKKTDTADDGSAVSASARGATTGPA ALKMEPLESFEDLLGELDEADFCVPGDGHPDEIILSNLAPAQAHTSKLPSKAQGQAQA QAQAQSRNHHAPAKAVSGGYPRPPQTPTGGNVQGGGSSRNSPSISHMPAPPPPNPGAE TATFFSARSVARTVDLKEPTLVNSQVFNPKAESPSIRKTPGIDHSSSKPVARNGQHVP PPNSQSGAAPSSSATGFTPVRPGGPVTRSSLANPSLDHTRRIGAPGGPGSPLANRGSY KPLTMKRPLPGEANALAAAARPPLVDLPANTNAQGNHANDGNVVGDGLDSKRQKIAQ UV8b_00310 MSVSAIAAFRPVPTRCTRLAATGLLTVRLRAPAASLTLRAVISL PACQRRFESQPRPPGQPPASPATFRQFVRRSLRVSLRNLFFALSPRGIRSAYTDSPAQ TSLSVIVLALLTVVFAIVLRSFFLAFYNPQFSRYPEPVANSLRLAIYYTNYKPDPQLA LKYYRRAMEQVREAGLDPFSDEVLGIRLQVSAWLLKVESYKASIDVLESVLHDCRTWV DTMERSASVPDGTATAAGARASSTSGGAATERAAAAPPAAHAQGPDGQAQDAGPDVET LWRKRQRLLAKAVGIAVKLGQVYADEHVMEPEKSSDRLVWAVETSLKELQRRRARGPR PGEHDWLSPAELGAVLEALGRDYERRSQFQLAVPLFFQALQLCDSPCHGAVIMNNLAA CFAQQQPVLAAAAAAAAAAPAPADLPRTREDCLEAAASWASNAYAHGTHVPAAQRTAE CDEACAVALCNWGDVAALQGNKELARQKYQQCIDMGRKLDFAAAVSKAQEGLANLTTA PGAEA UV8b_00311 MAGRFEPKVPVQLDPPKDGPITVEELAQANGNDGGKCYVAIKGK VYDVTGNKAYLPGGSYHVFAGKDASRALGKTSTKPEDVKAEWHDLQDKEKETLNDWVT FFSKRYNIVGVVQGAQNTE UV8b_00312 MHQDYCLMPSSGHQAQLMEKPSRDGPRLGPDDWPSYSYPHSSQG YNIVTGPYAIQKFSVVQSDDPFVPAGTVPTHHPLSDGQQAQYAQDAQHLRDGSDCQNP LGQSADGFTNHGDSGYGGSHPSGSVASPSVKVGPKCKQCPFQAKNNSHLKKHMNRHNK PHECRTENCKRGFATLNDRKRHESAVHRDESRYRSHSTWYKCIHCVNLPEKQSRRKRT EWPRKDNFLAHLAQVHSIRCCPSDNLGQYIVRDQPESTENSDVSVHVREEARENDLQG VGSLPGFASPFANMTVYANEIANLPGDQTSNLTQQRFNMVFRRNFHVLTSTNILEHQA DQELGFINPHVLRQETGHGMRDPLQHAASTATALDSSADHLSVISSEFHNPSSGDDAN DTHFPRRQAYEVTALGHQPTCMPSTAYLNMEQVAAPQMSPMTHPLAQTNQPGDVMALL EQPPPEISKTAANEVVVLDSAGAAITRSTSQPPVPSCANCGKTFKRSCELTKHKKRHS KPYGCTWLDCAKTFGSKNDWKRHESKQHFKLQTWNRDMGECEETLDRRDALKVQMRRG QGSTGTGDGQCRRGSPIIASFWCGFCVGHVDVDHDKGSDNALTQRFDHIDDHFCGRDG FTKRSIEDWKHEPRPGPTLEARDDGRVQTADGVPMFSLYTSHRPERSAELSISDSLSE KRRKSGVVEMWNCCQCATPMNVKTCPACVNCGHHRCGYCGLNREVMHVEDVITEASGR TRTECQDGQDP UV8b_00313 MKYPTPTLTIGASYQGGTATTTLPMDSHMLDGLGIYGEHVDNST LLRASLGSDSHPAHSVSYENTTPYLSPGYSSTSTHSPSMSSDYLAQGLPESNIPVNWV MSPDREFDNSLAQNTSNPGFGTHANGFYHQTGHHGHHLAAAAAAAAAAAAAAAAASAE SRAQWPALSSQLSNAMEQPSPYRGAESLVDGQSQLTAASMSYFLLDSEHGQAMSTSDS LSDMTCGATDDGDTDHVYGNRKLRPPPPPRPPPLPQAVLGQAGCPAIRVSHDAHSQPS LSTGNKPNQQHRNGQMSAKRGLDCKPCKRSFSNQQERDLHNMECQSLPCLFLFAGCPS RCRGKNEWKRHIKTQHLLSRPFVCPDCTDKVFNRKDLFTQHYIRMHKPEDSGLQGKQQ RGDFDEMLRLKQGQAQVGETTGCPTAGRCLISGCGHTFSEPHSWDQCLEHVSKHLECV ARREEDARPYDFTSEQLRYFVALGAIERTAHGWLLGKQSNGERARRNKKKISRGAADK KTRGTMTKDRRGRRR UV8b_00314 MGDAQQQNRAQESPSLQAATCSRIRGRWNGPLASPPLVRQHEIL CIRCMRCINYLDITYQTSWPMLSHNRYTKLARLEWESAPSPQRHAFAIIR UV8b_00315 MTSATIPSCLLRLAFELRLCFLHAVALSTSLLSELAGYGVARLI CFPPFYPGFEQQKQQQQQQQQEEEEEEEEEEEEEEEEEEEEEEEAEEEEQDQDQEQKQ EQEQEHGGQKEQKEQKEQKEQKEQQQKQQQHLPCPLLRSPPAQAQSTPQPDQDLSIGI PFMGDSCEPW UV8b_00316 MSAQQQQFYPPPPGSGSVEQHHGHQQYSAPPPQQQHQKQYPPPP TSPPANRTQFYPPPPPSSQGPLGQPMQYHPPPTQPSSGQQVHYPPPPQQTPSPQLTQH TAAQQFPPPPPPPPPPQTPPHQSHQQIMAQQYPASPPPSLPPAAHPQQQQSPLVMRPA SISEPSKRPEQPHFEPPPSFPDAEAPYLPEKDGQQEPLPNTSDAANLASGAPPAGTFV GAGAVVDDVGTFNGGSYRISHRDSNTILTIQLAIGCPLQAKPGAMIAMSSTMQLRGEV KFSVKKMIAGAEMSSSRYVGPGELLLAPPMLGDITSIRMTGQESWVVGHDAFLAATQG VVKEHKRQGLGKAFFSGEGLWVYRMSGTGIMWITSFGAIIRKDLVDGEKYIVDNGHLV AWNTKYVLERVASGGIISNIASAEGLVCKFTGPGSVFIQTRNPKAFTAYMGGQAVQG UV8b_00317 MTPADNPRAIQRRPLLPRHPQRFLSGLHDFCIRRAVGSRTVMSF LVQATRSWPSQIQRRHHESVASTNAFPTDGQQVRKWIASSYRARKAAAVGILISGVVV TVSMLSRGSPEAAVLVQNKMCKGWVLRVEKASFLEPPLAALARNFEGIPWRGSAVIDM VGSHNKLATKTSTADRRWERDYSGAAKVELGRIRVCGGDVNLRIKKPLG UV8b_00318 MTSWRVPVKTEAVDGDRDPSMYWEDIDSASPDTQANDFFGQFVD FDAHEAGSAFIDTDLSSVATTMPGMAESLLMQDHGAVLDSTLSSGVSSGDEVDFLSSS SHMGAPGSTVREEVNPNDLLLRTDELLANVSQQQFEYVERASMSEADLSRLEGISIHS PQKPKVAATSTSNPSSPTPDSRKPNKLVEALSSTIRKATQLRKNKRTARATRPASPAQ EAQQSQQLQQQQRQQLQLQLQQQQQLQQQQQQQQQQQQQQQQQLQQQQQQQQQQQRQQ RQQQPYEPLIVFKHRPRKPRAVTQENLPVSPPMQQQQDQTGHQFIRGQYEDPFKENSL MAPLPGVPLPYYGQPAPDTPVDSQGVGSEPGPAQFPLDPSWQQQQQQQMHWTGAGGEY VTSQPGGAPWWNPSMMHQDAHAHAHAHAYAHDQTSSSVQVMGAGQHPVMPYDYGSAPN PSTGGLMIQMAQPQGAPSAAVNELTANAPTFLPPQPPLRQTVPNSERSHRPPKAKSSG ARHMSCSPVRRQRRPSASPTRADPSVAIPRSRHSSGGSVSSSRSASGRLPGTMPGTPC SVRKRRSRDVSGSSGMASDSGGGLGFVNFTPHDGSVLMTGVAPSGSSKTKARREKEAQ ERRRRLSEAAIKAVAAAGGDVDKLIEQGFSM UV8b_00319 MKSTQAHNDTRRDGPDGLGLKKEEEEQEEQEEQEQKEQEEEKKQ PKGARLPGLETSWHARLAVDKIDDGKARRRGVLK UV8b_00320 MRSLLKAPLLLLASLGGMPLPVTAENMLMSNSLNNCQEDSSFTA SLFKVVYTPSNNSATVQIVATSSVQGYVLFDVSISAYGYTFLRTTVDPCDIKLGGLCP AVAGKIPFAFNLAVPSDAAKRIPAFAYSIPDLDATVRVQINLTKTDNPRSIACVEADI SNGKTVDLLGVKWATAIIAGLALVSSAIVNGLGHSNTATHVAANSLSLFSYFQAQAIL GLSAVHLPPIVQSWTQDFQWSMGIIKVDFMQDIFTWYQRATGGTPSTIFDTISTVSVQ VEKRGLQLASHGLSLFRRSAAMMPKPIVVAAANLAKRGNVMTSSGAYIVYGIQRVAFR SKIETTNLFMTGLTFFCIFVLLTMIAVACFKGLCELAVKRKWMDGNTFIDFRNGWFTV LKGILFRVALIGFPQLAILCLWEFTQGDSAAEVVLAVFFFFGLTATLAWGASKVIRIA RRSIVMHRNPAYILFSDPQALNKWGFLYIQFRASAYYFIVPVLCYTLVKAMFVALAQK SGVAQSIGFVVIEAAALIAASVMRPWMDKSTNSFNIAICVVNFLNAIFLLIFSNVFGA PQLVVGVVGVVLFVLNAAFSLILLLMVIISTTVTFFRKNPDARYQYMADDRASFMKSQ TQLTTTTELDALAATARGDKAGNKSHLDLEDDNDSLSSEDLRRRAAAGQVSSAAASQH SLQGQQNGPRSPVNPSMPLFPAGQRPESPFRSASPSPYNRSGSAMGQRSNNSASPAPG HKPQNNASPWQRGAGYD UV8b_00321 MASSADLVESAKRQAAFRAVDDHLLPSYRYVGIGSGSTVVHVVD AIASKGPAFHARMTFFPTGSQSKRLIKAAGLALGALDDRPLDGRNPVALDVAFDGADE VDAQLNLIKGGGACLLQEKLVATAAKKLIAVADSRKRSPRLCTSWKAIPIEVLPLAAP DVLARLRAMGSSAPVVRSGLPSKAGECVTDNGMWIIDAPFAPLLTPDDLSARRQGKGA NGEWEVQALADELLKIPGVVEIGLFCGFNGAQAADPGLGLGLGLGLGAQKPVAAYFGM PDGQVQVQHAG UV8b_00322 MAFGSRVSNEPWRRAVPSENHGHGRAPTVSAMSDGPVYYDDISH PFDLGTRVSRHALHGYPAASSWTVSFRYNGAGGGYAPPACGVPAAATPCVAPGGFRRP TYAPYAPPNMPESIQEEEYDLSLLKSAAPMDSGSSVYAAPEDEEVHAQFDITSAMGPM ASHDAEFLKSLQEQEARGLLRGGLGRGIRPRSILRDTELLARSISPMRSLARSFTRRR KSTKPLTRKETIRLQGQDEANRRGEVIEVVLETAPADLSNFEGSSMLWDPDSRRATLT GKEQPTEIFYPQPNWKPWSMRWPYLVMMVCLSVALAAMQEFLFRKYSSGPLLRFQKPS DVTAGLYFAVKFAPTLSAVVYGVLWQFIDFEVRRLEAYYQLSKPGGALAAESINVDYV TSFNFLRPFRALKLGHYAVALSSIATTLAISLVPTFASASIILSPSRQERMSHPNGDK FLHFSPACSRLLTSTLVVCAVCGSGLLFLLQTRRTGLLADVRGIAGIASMAVVSHILM DFKDMDTAKHRDIHHKLKHNRYILRNSSLAPDDENPATSQERSRYEDIHLSENPHPLM LRPAGFGPFVISLWAFMAFVPGFLFSRADVVTDKAPWFVAAVAVCLKMSWNALETAVR MMEPYYILSKRHAPSKTLTLDYTALPFGYLPIRALFNGHMVMFLMGFGSVIAEFLTIL VTGLATVDGKDFLLNGGGGGGQGSLINSGQETILSFYISFGVAVFILLYMSIVSSLVF LRRRHPFLPRQPNTISSVLAFIHQSKMLHNFVGTDKLSSAEMAKKLQDGKTYGLGWFH GRDGQIHCGIDEEELTSFYKHGVDCKQRNQPWNTQWDVL UV8b_00323 MWLYFAASAAMSALLASGALAQSVSYTALSELTFLMGTRTEGET IQTGPPTGPYQSFGSKITLTGGSNSLATASTDFSQTLTSNDANFTATSAPTPTNTQPC NNYVEFCTRKFGNITNVGCHNSPFVRPGNSGSNQQVDVTTQLDDGVRFLQAQIQWPAN GSVPHFCHTSCDLLDAGPIYDWLGKVADWVDKHPYDVVTILLGNGNYSDPSLYVPFIE QSGITKYAYSPPFLPMSLKDWPTLGEMILRGKRVVMFLDYEANQTNYPWLMDEFSQVW ETPFDPTDANFPCTVQRPPDLSKEAARDRLYIMNHNLNVKFKVFGAEILVPAVSLLNQ TNAASGNGSVGLAANNCRSDWGRAPNVLNVDYYNYGSPKPCSVFAAAAAVNNVTYDYS RPCGQVSAAAAAAVLINSLWVAFAAAVVGGIWSI UV8b_00324 MAAPKARRNTTIFAGLLYLVSLPFLLLVLLGNTHNTAVLRDLYF FRLNVAQIIPIAVSNANLLNSVARSLGLHDFYQFGLWNFCEGYLDTGITYCSPPHTFY WFNPVEILVSELLAGAKIALPAQVLTVLKLLEIGSKVMYAFFMAGTVLGFVALLATPL VLRARWFSLLVSLLGGLCGLLLTVAAIIATLISVAAKVALTAQDQLNIGASIGVKMFA FMWIGALATDSAFLLHAAMGCCCKPDRAAVTASTSGTSPKKTNSELPSFLRRRKPSPP EQSSNNT UV8b_00325 MLPLGSLKSTLAGLTGLAVVLLGLSTVNGLPTKNPLTRILAQDG HGVRTVSASNTTTTNTTTRGIVRRAEKGPENDHDTHISLINATPYRWRKVFNSSYQLD GWEHRWPEYIRPGQSVTVRVNLNGYGLRNRGDSAAEATYALEGTKLASSLQVQYRSGI PHRVYIQFRDELQTLNNARGTEHNLGFSRTPGGVGFVLAGREGDFISNDPPLQWMQSQ LAEIGELPLREISMPRSHHAGLWKCEEVVGVAQPRNTQTHRLPLYDQLGNGGIRVLDV RPVRKHGKFRESHGSFVGGLYNGMLGATLKEMVAVLNSYMRDYPGELYIWDIHEGDAR NGDHGFRAVDDDDRLALYTELMGLDSRANVSDGEDLTRRPLSYFVQPEQVRNGQSTVL IRLPTSWATKKHFPGAQHGFVSGINFPLRSHWSETNKEKQMVADQIESLKQARPSRGA EMFNMDWLLTQKGAQAAFPMALESIIEMSGGAWKTLYGEGFWNQLSDSTYPNMITMDD IHGNQQKAMAMVINKCLAARRCGDLGGMVKMEPEETVSSDQ UV8b_00326 MCLDHGPDSAATSAWVTSAIAGCANFCRRRGSGWDRTSFLLPGH CCTRLLRCLAVFARLLGPWDFLTLETFSGPGPLDLGNHFDLVDLGNLVVLILWIFLTC HPSLDPLALPEVS UV8b_00327 MDHVHGALTALVPLDPSTKSGLEAYDASIRQYLATLRKSAAEIQ AVVLSDPEGIFKILDPAQHSIGYLHVLDILLSPSNSAPLPISSNVLLDKIVDFLLKFD HIQVRYVGPEMLALMERVGSGQLFSPLVSVSLLSQAMKKIDARTCLFTSTHLLLARLA YHSNAVDEAMEVLGGAIYLYPNMAGSKENKLLCDPTLAPFSYLSAQTGLSGTVRPSMI LEYDHIRGLIYTSRRDWGKAEAAFQQVISYPCKERVVSKIMVDSYKRWILIGLLRRGK APTTPAFTSSNANSCYMTAAKHYLNVAETFGTTGAGVLKSLVEENAATWEEDGTASLM AEILSSYQKWQVLGLRDVYQRIGLARIRATTLSAETGTALEDDDAVAALLRDMMESGM LQGELEQQGDERYLLFSPDSDVVSEAEFARMIAQSHHNIRLLGRQYQLVNDRLSGSKE YVRQLVKEQKRAEKDGVDPGVGFDSQIEDEDLMAGILAHV UV8b_00328 MEDDESASVAAQLAADASNAKPDSPPGFSFDFCRSPVNPDSSSD DIATRATEAEDSSLDVSGNTQGLQVLIPAIPTEFREQYKEIHSTVVEKVLVLTDPVGS DDILTVEFTDGTQRNITLREVKLLENGMQALDTCFMNVKRATRNRKHGYYDEALSNES SDESVEADSMDLDSDNDSEVMLSTTRTRTLRPRIYHSTAESSKLEEDSEDLDLASTSQ RRSSRKRQTRFPDSILQEALQPKRPQRQQLLEAGNGDEDEDDFFLPITSDLVHGGKKR KRKLQRVRPQRAHQARSTRRASSASDIEFEPPRRSTRATRNISYTQEELDVDEDSYYR VEETTPGAPKLVSVKEHFRPLPPDSSFASVHMSMCYTCQSSNNGQLIFCQGCSYSYHK QCIGSRSAREHLVTKIGEASFVLQCRFCIEFQQRKDGLAPRRAMCQACKSSGVSCAPF SKRQTIRQEEKLREQNDGVDPVTDVAQDLINATGNVLFRCRRCHRAWHQSHLPRIGSP SALADQPNGALKDYSIDWHCNECTSAQQKIQRVVAWRTKDSAVSGVPAFSDFVDDDKE YLVKWDTLSYFHCTWMPGAWIAGVAVGSARTAFAKRANEADLFKLTTKDAIPEELLTI DIVFRVKLAPTALPGVTEEDDLANVSHVSQALVKFQGLGYDDVVWDKPPSEDSRELYT AFENAYRDYLAGKNFKHSPWPKMKERINSFQNREFEKIGTQPVGLRRGKLMAYQLEGL NWLVSNYHDGRSIVLADEMGLGKTVQVVSLITYLVQERPQCWPFLVSVPNATCPNWRR EFKQWAPELRVVTYHGGRESQQLAYKHELFPRGDRDMTAHVVIMSYDSTQDPKTSELF SSVRWAGLVVDEGQRLKNDRNLLYQALRAMKIPFRLLLTGTPLQNNKRELFNLIQFID NSQNAEKLDEEFQVLDKETLPKLHDKIRPYFLRRTKAGVLKFLPPMAQIILPVTMTVI QEKLSKSIMAKNPQLIKAIFANGKMTLKERGSLNNILMQLRKCLCHPFMYSDAIEEKN VDAVVEHRNLVEASAKLLLLEVMLPKLKERGHRVLIFSQFLQQLDILEDFLNGIGYQF KRLDGNLSSMEKQRRIDAFNAPDSPIFAFLLSTRAGGVGINLATADTVIIMDPDFNPH QDIQALSRAHRIGQKKKVLCFQLMMKDTIEEKIMQIGKKKMALDHALIEKMDDEELAG DDLESILKHGAQALFSDDYQKGAIHYNDSSVDKLLDRSQMEQTKVDEEGSAEAQFSYA KVWANEKAALEDGLGAPAESEAPTLISSSVWDKILAEREAEARRQAEANQERLGRGGR RRTTTNYAKDSLQGYLQDGEAANELPSSSDEFASGDGSSSSDEDVVLMKAEEAAEPLP SSRRTAIPGKGNPGEGDDELQGQIGPPEAGSQRSSGKVGQPGAEAKGRIKAKYSGPTT HQSNQAADAPQSSRGSYGQPVPNSIVNNGYAPNTNMLNIGHGGFQSSAYPAVFNQQPM WPPHGNLARDRKQQGAASSNDAATCWPVLWHTAPGSRNLQVPQQLPHEPPRQQKQQQQ QVQQQVQQVQQRVSTELSTRRITESQIRVTMDMVKHSSISDAVKDQYLAALGKRLYET YQVGKSGQA UV8b_00329 MSNAANLACAPSRCKVLLTLRISIAYSALGTVRHGSFSAIHRGL RRSERAQFGEARNSAYKGHAGNPRSSIFDRTTKALAGATSPRQKQKLLKKLGHEASEA EADEDAGRQTRRKRFLDPGASFGKRSLVFQLKHGSLKDTAASLELQEPVRPRPYLAST GSRRHGDRDEPLPRRPLHSRDRQELRDVPRRPLHSRDSREPRDVPRRPLHSRDSREPR DVPRRPLHSRDRREPRDEQDDDRNQDLSARPRTRDMMPVNITYTTAASQFLYGKSVVK AALNQGRRQIYKLYVYGGENRRDTKDDDAVIHLAKSRGVPVTIVPTEDQRLMDKMSMG RPHNGLVLETSPLCQLPVKSLGNLEESTSRLGFHVVLDHQTKEEKAVNGESTFFPKSN NSATSKPFVLLLHEILDPGNLGAMLRTASYMGVDAVGITNRNSSTLSPVVLKSAAGAA EEVAIFTVDSPVQFLEDSRAAGWKAYAAVAPPEKKLIKIHGEKFISAYDIEQARPLDQ HPCVLVLGNEGYGLPRQVKMASDFELSVPKFSQGNSVDSLNVSVAAGLLCHTFVRSAA MPEKHDAFSHQVRSIMGRTSPATGGGETLF UV8b_00330 MTSPCTLFFLMPPSLLALVSHAFAANSQPFPIAIKRQLPDANEK ILAQHLAFAPLLQPASVILRDPRLQHQHQHQRGGQDEPESGVSRFCPAFAQHIGEAGT FPALALPRAAAAQALRLFQNRAACPSGMNSCGDSGSPNKCCPQGTYCAAVPDTDVGHV ACCPNGSTCGGGVGTCPPDATSCPAALGGGCCIPGFVCQGVGCVPSASANPTTPSRAS PSQETVTSTKTTFVGGNPTTVVVTVTRTALPDVTTRTTTQVVTPTSTEIDTITTTGSG TAAATATGNPPWRPTGPPETPSAPDSMPPTQTGCPTGFYGCLATHGGGCCRTDRDCRT YSCPAPSSTTVISDGVTIVIPATTDMPTSTESAACANGWFMCGADAGPLAGCCPSGYQ CGTASCFTAGATETGKVQKQAPHQSSCSKRVEKLWNVSWSLAGTIFLVSIMTI UV8b_00331 MNCACKLLVVLAAFLLPVSSSHKVAVAGPDDSNGDLNVAKVCYP DVYGDAVVPPCVEITRIEEACQPHGDEPIDYQGHAQCMCSGSFFMDWRGCQDCLFIHG FRSARDYAYWEQVLAVASSSLCHATPTAAFQDIFASVQADINQAPPVTTGDPQSSDEF PSETEVSLYYSATAPQGPGVVNGAAATVTSPSQPIAGNSTSSESITSSGSTVETTSTV SNTASTTRSASPSSTGGAPAHGPGQALAAVAGAALIVAL UV8b_00332 MPLTGHCLCNAVTYSVDVDAPILTGYDHCDDCQRQTGSTYSLVA VVPKDKLTVRGPIKKWTGTGSSGNPVHRLFCSECGSPIAHDPDAAPDIIAIKAGTLDA DIKKHLKPDTEIWTVGKLPFCQENLAKPFKHMPE UV8b_00333 MAAVIDDGMVRFIINGPHVSLTLVFASLYYILLGSTLDVVFAAL FMSQRFPHALSFLLARLWNAMPKLIPVPAAIGTSYLLLSMLDRTDARWGGPAQPYLLP CKTTHSRTFPKKHSFGYSYLAVGVPVGSSGTFNRMLSVDDKSARPGKLLSMLFRGGWY NVNASDYLQRGDDDGGLRGKLDAYLKSQDIDPCDYPHAYLVTAARFLGYHFNPVSFWY LYSSEKILSAVILEVNNTFDERRPYLVLRDFSAEAEVLGGPTRKSLPSSRVTGSWPKD FHVSPFNSRKGSYSLLARDPLGPGMEGFQGIDVTINLRSSKGNAKLVARLFSEGTAVQ VGSLGILSKARFLLSWSWIGFATFPRILREAAALFFQRRLHVWYRPEPLKESLGRRAN DIERDLELAFRSYLRHLVSKSPARLLVKYTPSGGTSAAEEMRSALAQEERSRETQALE LTVLTPLFYSRFVHYAHDLEGIHTELTRYGTLWVDEPHLLPDIFPERGSPTPVARTAM DRLCFETIRVLRRRPAAIPTASTSADGITASPLVDIRHLGISPMDAYMLERAGPRLKA SYRSALLRLFLADRYLMGSTALLGLCIAALRISIAWASVAALSQAIGTA UV8b_00334 MSDWDQVTKIGSKTRGPGASSRETVIRGKSALNAAARTGSVIAT EKKFSTANASGSGPEGQRLTKVDRSDEIIKPNTVGKVVGEAIASARQKMEPKMTQKDL ANRCNTTQAIVADLERGTGAPNQKILGAMEGIFGIKLRGSDIGAPKFANKKK UV8b_00335 MTLPSGPGVAQHGSTVSSCFQPRTKTGCRSVKSIVAWLESSRNP PWTAPRGAVANLPHDLSTSSISSSRGLQRRNRSASEASDVEEDSLTYLGYREYFGGAP LGRCLDRQDDSPQASMSSNVRCYLAISDEELETGADASKSEDGGSCRREPSSLDNIDD FFNQVDEVGGR UV8b_00336 MDPFSVEGELVNIHNHFHQGQYRQVADFDTSVFSPDNALAARVL VLRARIALGQAAAVLADTQGAPEPEPDLEVLGALAQHALGRTDAALQTVARLAAAAPD NPTVQVVGGTVLQAAGKSDDALALLSRHQGSLEAVALIVQIHLQQNRTDLAVREVAAA KRWAQDSLLVNLAEAWVGLRVGGEKYQQAFYVFEELAQAPATASVRSLVAQAVCELHL GRIEEAQSALEEALKKDAGSVDALANLLVLTVICGGDDSQYMNSLKQLDPQHRLLVDL EEKSALFDQAAKKYSPKVSS UV8b_00337 MVNIPKTRNTYCKGKECRKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECVKCKTKCQLALKRCKHFELGGDKKTKGA ALVF UV8b_00338 MSMSAADASNKLEDLSGATLQPGENPYSALIDACHHDPQEIQRL YSAHRTSRNAQQKARFLAADFQGLSIDQAILRLERPHIQPGFRDERNCFVFWARPPDH IVRLAARLQELLRKAAPGMWLMPTHRMHMTALEVAFSKTPEEIGALVDALRGAIPGIT SHPHLHRARLVKPLVSYDTSALAVSFLPSSGEEALSPAPTSPDAPAGSITQGDGYTYH HLRRDVFDMVRRAGVQVASRYQVPSAHITLGRFLDEADHDTPEKRRLFVEAIDAMNEW LEREVWDNKEAGFVGEWVVGHERGLDARSGSLWYGGGRTIMLGEGF UV8b_00339 MAFLLLLLLASSTTTTTTAAVPCRPHPPASSPVMPPPILPPIQL RHRSRIRPRPLTAEAFSPFGDVIHNPRPDVHPSALPPAGAAALLPPNAVAANQGSAIQ YRHASRVRNLYAQAPGRAASPVVSLFVCAARAPRAACPLRVLERHPFTTQTFAPVRSS ARAYLVVVAPSLPAGELDERFPAPPAGGGLPGRGLPDLPRLRAFVATDAQAVTYGAGT WHAPMVALGAAGTTLDFVVSQFASGEADEDCQVVEVEGVEVEGVEVAGDHGARQGVSK L UV8b_00340 MLPRFLWRAAAALAVTGPAAVGADDANPPGQSTFISPDNHVAFA FTVPQTPDHQYPEATFFSIRVPNRYSWGAVGLGSNDMKGALYLIIYQGEDGASVTFSP RLAYDNTEPTYWDEMEYTVIPNNTGVVDDHMIFTAMCTSHCRSWNKGNTNGGWLDVSS PNQNGIFAVGPAEPLRSNDRNAPLKFHGEYGVFTIDMGRTQGAADAPVLDRDSQNEST ALVWYRRRRSDYKATLHGTFMIFFIVGMMNFGVFLLRACGWAKWHAVNQLFATLGVLS GLALGVLTSFHYNRSRGFKHYHQIIGYIVVAFVLAQVALGVKHHLEYQKTRAPTRFGR IHLWLGRLILLLAVLNSFFGFSFARNQRYAVALAGLLVLVCAVALFFMYNRTRFFDRK RQFQPLGTQQPPPWRQQAGTSGYGAGDAPPGYESASQHVGLQPAAPSSSSSPGNSPWR SGGDKDYEDEPQLGSAQKPREFT UV8b_00341 MDRIDGLAHLQTAVGEACRLAVQAVLGREWRPAVPWASLWRALP LAASSLAACNGHGELCGKRYSDVTFVGSHNSAFVGPTPTHNQYVSVTDQLNLGVRFLQ AQTHDKGGTIEMCHTYCWELDSGPLARYLGEIAAWMDGHPGDVVTLLLTNEDAIPVGR FDDVFGRTGLKRYAFRPRGVLSRRQWPTLRELIDAQTRLVVFMDYFTDQTEVDYLISE FDYFWETPYGVTDKMFPTCSVDRPFWGDPQRLMGIVNHMLNVRIGGIVFPDQVNAKTT NSLDSITKQVVLCESQGKPRPNVILLDYINVGEAQQAQLKFNGLA UV8b_00342 MASTNTPYATQPAGPLEPKIEPAENSSAQPSTDGDQTLPTEPAS GNGAAESSSGLQPGTSGTSAIAAKVLQFISEATPTTLGGIAVGLSAAIYAALGRLGLL LIGAVGGVLVFISLEARNPAIAQTVRGEQGAEVLDRVLLLLKDTRAGEVGKADEGEPV FKTLDDFRPDTRDALTGLVDAVVRDYVKCWYSPIIPGDHSFPLACRKTLNSYILSISN RLSRKRPADAFLDLLTNGSSILIALLSELAAAFADGTPESNMTAADAVYNYLSSNPDS RLANLLNQKQQAAKFRMVAEELLGFLDQGSQNCDPARILLREVLANSVLETTLQTCSK AEWINGWIIYLLEAGEPDLSQAIDEGMQTGRDNAYNLFADIDGNVGNIGIAKPVAARS SLELDRSRRRESLGHRKKLSRADEELEEAMGDMKRGSGAVCSDGPGQQRSCAAVGANP SARSKQGLDAVSESRKQQSRDSPNVPQGAATTAATLPTNDASSPSCASPHGLSSSSGP ENTSESTRASTLDSSPSQQSSPHETGSRQFTTFDQAVPAGQAEGADEEGPRKAALALH NASFTIHDDSTSDSSKIRTKPNWDYLIQVEPSSSAHTGWMIVRRYSDFETLHEILRRI AAISGAIAFTELHKALPAWKIHTRESLRGELERYLRDACWYQSLAESEGMRRFLEKSQ GHSHGSSKKFGWETVGKNMLDVLTTAPKGAVEGGKTLVGGVSGVFGNIAGLTRKSTSQ SVDLAHSANRLSISAPPRADMTRSPARSDRASIDSQRSSIISTQPGKMAPMSRRPSCQ SQAEPEGERGRAGCGAEQAEPGSSPTSFRASREHSRASSLAAFRSPSTVSLDLTRLPP PPDDTPSDCESANGDDMQSRQDDSYQTARGTSNGGSASSLPVANGGKKYVPNKPAKQY SQISEQETRVAVELLFAVINEMYTLSSAWNIRRTLLAAAKSFLLRPGNPSLLTVQSLI QSSVLDANTSDAGIAAKIRRLRECTMPTEQERATWPAELTAEEKEKLAVKARKLLIQS GVPAALLGVMGQAATGEALGRVFDCLQIEEVARGLIFGLILQAVRIVTHS UV8b_00343 MSSKSKKRRAADESDEDQRPAPNVAKKTKLGVAADGEDDDGNPF WELSSKRRVGVSEFKKACLVNIREYYEKDGKLLPGKKGISLSVDQYTALLKAAPAINA ALRKKGQNLEHLDDLTGAAVEPAKPGKREASKPSRANIEATSDEDAD UV8b_00344 MSALLSLVGWSFLPGLASAWLQTIYYGVTIRAGDRKPQPGSPRH AEHRRRIRILVVAAYLLYTIYEADHDLRRQPSYYQELGVPAAAADREIRSRFRRLAAL HHPDKAGSEAEAYFIHLKLASDTLQDAARRFAYDRFGDAVVSWERCATVRDYVARGVV HTVLPHYAVAAATVYVLGLFGYMDFGKFYRWLILLALGLFEVHAATRAGFPPLLRAAN AVVTTLTGRPPYLPFQLVGLLRKITLTAYIALSQIGPLLVRNPSPRKAAAAGEDDKAL RESLARLEALSRQLDADAGRLMDMEVAPFKGDASAVNNLRGKMREWLVQNTIRADPMV RDAMGTSFKKRRINAPAGARGNR UV8b_00345 MAHIVSCIANLNNGPPSKPLSVAPSILSTTPAKPSTDSKSSPRR RLPTEAKRYQFFPKDKPLPSLDIIKISEGDKLFDVATTDKQAAGLNAAARMNHHNSFR RRKFSVPDLEPMTTVHEVAMDSPTIPGRPPLHERSVSAPDDARDNGQPAHDTGAFGSN HLFKSVGRALAPSALKRSIPLLASHQPTPSAVPVVDCPVPLLRSKTSSAKLVSAQSPP FPWPSTRADGILLRRQRTTPSPSSASTTTSSSDLPYSGSARTGADSTATVPTPVSAPI VESQRVSPKPCEFVSVLSSQSDRGYLGATRDRQQEAHVRVHRRGASDSFTSVTGRGRP RRMDGMLHEGSFLQDNQGKRSMSFERRAFEELPKGWKPSEACQILGARDLSTLRTKAL GQAERFEVLNVEHVDALSQELRYLDERTEYLRRTYTSLRAGRRNLHSRICQYLRSPRV AKFSHDSMLKQEEALAELDASIDDWVTKLERAENRRTRVRQKLLEHVAAAAILPSPKY SDSAPSTSEVRLQAMGIQSPTGPREPSTPPRSPAKGSFAKRAGDYSPSPPRVVAQVPS TIFEHPVVAEDAKGTARRRDVESIRIYAGDDVYALLADVEDEISKMARNDPKTLPEIP AEKQRSASDGKNERERHRQRSHEKLNGAGPGSSAALASPAAGTPASPESASTADSPLS AAPTPLAPKSAGVAAKRDPEGHARSPPTLADNNDGLFLTAAVFKP UV8b_00346 MKSIYLRCQKLSEGRLSPLGLLAEPSGNIGFTGLRLDRMCHHWH YTAGTPSSYNGVDLFQGNDYLRSLFEGRFSPLGLLAEPSGSIGDTGLRLDRTCHHWHY KNRHPELL UV8b_00347 MECGGTTEHGGINDDKNHQASTSIDTASTSINKHQQASTQHQQA PPSIIKHHQASPSIYKHHQASTRINKHHQASTRINTHNPAPSSTNKPSRARLVSPTFP RAFCLEY UV8b_00348 MRVDPCYFCGRPTFPSKGILFNRNDGRSFRFCRSKCHKNFKHRR NPNKLKWTKASRIKAGKEMTVDSTLLFGARRNVPVRYNRDLMEKTLQAMARVGEIRAR RERVFYKRRMAGNRAREVAQARKLVAENAHLLPRLRGSELRRLREAREEDGDVAMDVD DETLQDARVASKRKSQVFGGEQRRLKVRIGGETDMAQDS UV8b_00349 MTAHPESNPLEKLTISLSQPNASPPTVRVTVTNRNAYPVTIVSY GSPLDEIALPLGLLHITPSGASKCLDLNVIRGSRIWPPEPHHLIGLRPGESGTNDVVL QAPTVPMQHVGKGATVFLQGKWIGVFPRTKHELTASDLNHMFSQPGSFRGRFRSENLE IAIE UV8b_00350 MQSKLEDQYLWADRFCIVQDDDGEMSQISNMSHIYGEAYLATIA ASSDSIFSQQISLDCWPNEYRRTNENSGAARIAADFYKNDSDIPNGWTKKQSPTSDSS ACAQFTFYLDNSPKFRCPILLSSANSVMKDDPNPCYLMGCATSASFIAAAVMVSRRQP LFEASKLCLRAAPKISAFEEKVFSTGPSHDEAC UV8b_00351 MTAATMANRDPTTLSNYSAWRTRHTAVDFKLDFEHKFLEGTATL ELESQTDAQSAEVVLDTRHVDIRGVRVDSAECAWELRPHSDPFGAPLHVAVPEGAAKG RVVRVSVRLRTTPKCTALQWLTPAQTSNKKHPYVFSQCQAINARSIFPCQDTPDVKST FSFKLTSRLPVVASGVPVGDHTPAVGAEKLYEFEQKVPIPSYLFALASGDFASARIGS RSVVVTGPNELEGCKWELERDMDKFMHVAEKLVFPYKWGEYNVLVLPPSFPYGGMENP IYTFATPTIISGDRQNVDVIAHELSHSWSGNLVSNASWEHFWLNEGWTVYLERRIQAE IHGAAEFDFSSILGWKSLEDAVALFGKDHEYTKLIISHRNVDPEDVYSSVAYEKGFHF LYYLDRLVGREAFDKFIPHYFAKWSGKSLDSFEFRDTFMSFFNGLGDDAIKQKIATID WQDRLYAPGLPPKPDFDTSMVTACYDLASKWHDATYEPAPKDVEAFTANQKIVFLDKV QESASLSASRAQLLGKVYDFVSSKNVEVKSSYYRVALDAKDVSCVYGVAELLGSVGRM KFVRPLFRGLNKVNRELALETFAKNKDFYHPICRGMVEKDLGIQGAA UV8b_00352 MSASSPHRYVYKIVPSPPPDTMPEQFPLSELDQKDGFVHLSTGE QIPLTCDRFFKNASSLWVFKFELARFSDTVRWEGGFPHLYGNFGVKDILAVQRFERAE GGNWPEAMRGSQWLE UV8b_00353 MSRGPLPSKLCLLSSLADHKPGDKVRFLGCVTSYDVNTASLVLG HPYPRGTDVLVAVSARLVLETMQPEATRVGEWVNVLGYVQDASAMDAPPPAGVCAFVE ALMVWPTGPLDVRQYEKTAEDARLRS UV8b_00354 MAPAGGGNIKVVVRVRPFNGREIDRGSKRIVEMKGSQTVLTFPD GHGGKGAKDTGAKTFAFDRSYWSFNKDDPNYAGQSNLFDDLGAPLLDNAFQGYNNCIF AYGQTGSGKSYSMMGYGKDVGIIPNICQEMFKRIDTIQEDKTTKCTVEVSYLEIYNER VRDLLNPSTKGNLKVREHPSTGPYVEDLAKLVVGSFQEIENLMDEGNKARTVAATNMN ETSSRSHAVFTLMLTQKRYDTETKMEMEKVAKISLVDLAGSERATSTGATGARLKEGA EINRSLSTLGRVIAALADLSTGKKKKGATTQVPYRDSVLTWLLKDSLGGNSMTAMIAA ISPADINYDETLSTLRYADSAKRIKNHAVINEDANARMIRELKEELSLLRSKLGGGAA APGGAPVPAEEVYAEGTPLEKQIVTITTADGTVKKVSKADIAEQLNQSEKLLSDLNQT WEQKLQKTEEIHKEREAALEELGISIEKGFIGLSTPKKMPHLVNLSDDPLLAECLVYN LKPGRTSVGNLDTNADHQVNIRLNGTRILHDHCKFENNADGTVMVVPSEGASVMVNGK RITEPKQLHSGYRVILGDFHIFRFNHPLEAKAERAEVGSSLLRQSITASQLQALDRTP SPRPGHERSMSKVSDFGDSRPESPASFSRNGRDSDWSLARREAAGAILGTDQNFTSLT DEELNSLFEEVQRVRAERVNGREDGEDTESVTSYQLREKYMSTGTIDNLSLDTALTMP STPKQGENDERLKEAREEMQNQLERQKEEFQEKLKSAEAASVEVAEIKKEKAKMETAL LELKHDMQKQLNQQRKQYEERIDMMDPLKRPKANPKLSEEEVGLAKKVVKAWRGRHFV KMAETVLQSAAVLKEAQIMSNELEESVVFQFAVVDIGHALCSSYDMVLNGLTGEGEDP ALEETPKPCIGVRVIDYKNNVVHLWSLEKLHDRVRQMRQMHQYLDQPEYAQHLSLDNP FVETCMPSYTLVGEVDVPLRAVFESRVQDFSLDVLSPYTSHAIGIVKLSLEPSHARAP TNTLKFNVVMHELIGFAEREGTEVHAQLFIPSVSEEDSVTTTQMITEFDEGPVRFESV HNMSVSLFASPDVTMRASIFAKVSTMHLDKLLSWDDMRDACPTRDAVKGSRINESQFF TQEKHDLLSRVQIMELDENGEYQPVEVSQTSELDTGTFQLHQGLQRRISINVSHSSGD ALPWDDVTAVRVGRVRLVDSAGKTPDMGSCEPDVSLKLASSPVYRENPNGTRSITIYA QWDSSLHNSLLLDRVTADKYRVQMTIHWEISSEKLAEPMKFAQKVCVQILSRAFVRQT SVFSSLWQHVRFVRSSTGVFTVTMRPAPVKRVGDLWRMNSQHDYVKGEEDLTRWAPRG VSLVRDFIVARKRRRRVAEIGSLQTALHGLAVDMTGAREADGEAEPEAEPVPDVKPII PDDDDDVLNDTPDTSQAPSVDDGSDGEGEAEEEEEERTIKVETRGQAATTEAADEGGP GADTEQQPEYDERQTELLEKSLKLWLKYPDPLNSILSPANTAPPTNGIAPESSPAPSL ITTIIRVPKNPKVLKGGYLLVPNNDSTRWVKRFVELRRPYLHIHSATDGDEVALVSLR NSRVDSQPGVLGLLHGPDDYDAPLQAGRSSGNYAPDFTPGHRRTASGRVISTVWTGTG AGAAGGAGQGLQRLSERMQSAVFAIYGTDNTWLFAARSEKDKMDWIFRIDQTYMSGES ASNSGMMSPYQGSEY UV8b_00355 MRMLQLNPDSRVMDGGKDASQPNLESFQFSFKLPSRRSYFELDS NPPDEDCSASLTGSVQDFPVEFGRTYHAYKAGSYAFPNDLLEQDRLKIQSQAILKLFR GRIFFAPLNQLQPPRYVLDVATGLGDWAIQMGDLFPSSEIIATDLSPIQPDQVPPNVK FYVEDSSEPWEYSHKFDYIHTRGTGGCWSSFEKQVVQQAYDALEPGGYLESQEFDSSV SCDDGTLDPEGPLARWMGDITAAAEQCNRPTVMGPAMREAFQRVGFVDVREVMFKIPT NAWPKDEDLKELGRLWEANMTTGLSGFSLRLFSRAFARTPEETEVSLVDVRREMSDTR IHAWMPCFVVWGRKPHAGEMAT UV8b_00356 MTTLTTSLALAFHRQTGRAILRGARPVPPAPRALASRPPTRFLS RSRRRCFPDDPNQRVRVARPLVSHAAAGRFTSAGRSRSSRTLVVITVVAAVAFYLSNS QTVPVTGRRRFNFLSDRLVEWQFSRTAEYVIRAVEEAGGHFLPDWDPRTAVVKRVMKK LIRVSGLPELDWEIRVIADDTNANAFVLPGGKVFVYSGLLRVCRNEDALAAVLGHEVA HNTASHVAERLSAAWVVDLTAGSLFFLAGALPGLAFFLLWTCVGGFYIQDLLYYLPMG RKQESEADYIGLMMMAEACYDPRQAVGFWQRMEDIQQRGGPQVPEMLSSHPSNESRIA KIQEWVPLAMEKRASSDCRGTAVFADRFRSALRRRVPMHEVEV UV8b_00357 MSDTYTLAEVQKHKTAADGMWLIVENNVYDITKFLPEHPGGEIV LKRFAGKNATKAFHKYHNEQVLDKYGAKYKIGTVAEAPKL UV8b_00358 MADIFDLASLQSRLSERQDPHASHGPTLPSPAALQSAVSSLPSP GSPSYLRGTPALDAAAHIANDIVPALTGQARSSRYYGFVTGGVLPIAEWADNVVSRAD QNVQVHLPDQTVATAVEHAALGMLTRLLRLDADADVWKGRTFTTGATASNILGMACGR EAVVGRRLPPAAAAQQQQRGGVGELGLLAACLASRVSGVQVLTSAGHSSLAKAASVVG LGRQSVKELPRSEARPWRLDIDALAEELRRPGVASIIAISAGDVNTGGYALEGRREWE RVRELADRHGAWIHVDGAMGVFARALEDEPQFKLLRQRTEGIELADSITLDGHKLLNV PYDCGIFLTRCASTLSAVFANPNAAYLAPAAADADAAAAIIPSPLNIGLENSRRFRAL PAYAVLLSEGRPGIASMLARMVALTRRLAVFLRDSPHYELLPDPAAPLDGIFMILLFR AKSRRLNDTLVQRVNRSRDVYISGTRWAGARAARIAVATWKVDVERDYAVVTALLNAV AEDRDSPTGTPA UV8b_00359 METSATPHPPSLPSTPNRRSPLDGYNSRRPVPKMAQGAIKQSAK AKAAKATHSKKQASKVGKKKTKPSVDKAHKKFTAGLTAKTEALLGERAGHLELIGKGN KGKDKKATISKGGSKKFG UV8b_00360 MDFLKNAVASAMASGPPFPYTFGDKIDIDESVFTLYNGTKREDG SNCSIFSFDIATSRGRLPLARNALKKLRTMRHPGVVKVLDAVETEAYIYIATERVVPL RWHVRRKSLAPETIKWGLYTIARTVKFINTDASSIHGNLKVGSVYTSESGEWKLGGFE LLSSVKDDESALYTYGSLVPDWARYAPPEIAQGGWDVIKRHPHSAVDSFAFGALIYEA LNGDYSGSSQAGQTKNIPPPMQSSYKRLCNANPKARISISTFLDQGSRSGSFFDSPLI KLTEGIDNLGVKSADERDEFLSNLDQLTDDFPEEFFKMKILPELINSVEFGGGGPKAL AVILKIAAKLSNDDFETRIIPFIVKMFGNPDRAIRVYLLDSLPLMIDRLPQKIVNDKL FPHIVTGFTDMQPVVREQTLKSVLLLITKLSDRTINGELLKHLAKTANDEQPGIRTNT TVCLGKIAKHLGTSSRSKVLIAAFTRSLRDPFVHARNAALMALTATGEFFTEDDCATR ILPAVCPLLIDKEKMVRDQANRTVDSYLQKVRKAASAMPDSALLPQQAADSQPARMGT PQQSGTAGWTGWAISSFTNKLSSAAGNMQTANGSGALSPKPAASPGPEAKRLPSASAS SLHRQAISSPPHPSPHPARASGASSPNTSAVAEAFLPHDGFDDAVDAWGEMSEDDEGT HQTAATSTNNASAKAVPVPFEDGGEPDFAGWLEAQAQKKKHGPSKPLPKGLAKPSTAK KPATGAAAKPAAKSAAPAPKKKIDMKPKEVDDDDAWGDGW UV8b_00361 MIHQPQSRTGIIAFIMDTHGRQLPGSETRHLAPQLTGGPVTARM PLQPTIRPHKSIDAPWGSVNTEAAAAASPYQDGPWNQRPLAISKQRGSVERDSSNGVN LIREEFSPHAVPNGALQHINKSFVNTDTLATVVSRLASAPGEETLVPAPFEPSRQRRQ GDDDAESVITHETIHVATGYESKAPSPSRSSQASSDLSPVPVSQICANLDRSNTGATE SVQDTKSRASKRKEPVQDSSMFQPWSRLENGGSVASLVHPSPNDEASTEATQGKTVGD EALQPVAGGSFGSAGGAAAGQGEHMASSTKRGTNKAGLPRPFDSSAFDAAIYQQPGAA PPPSGVLNSVVTSKAPRWPSGGQVRFIHANPAVHRMHVRSEAWFAKKSAEIRARGGRK FWLGKVSQRLRWLQSERLKLQARRAEAKAQGGLPERPDPQPQTYSVPLDFGDVPEGDL PEEVRKNPAWRKACEYFRENHRLRRIRQRESKS UV8b_00362 MTPLDMASRSGPAMTTLARRAARATSASGALPAAFSASPALAAR RRLFSSAHSLPPAYEKLSAKYAQVRKVLGSQRLTLAEKILYSHLDNVDESLLSNTSDG RDIRGKANLRLKPDRVNMQDASAQMALLQFMSCNLERPAIPASIHCDHLIVGSRGADN DLEAGIAANKEVFDFLESAAKKYGMDFWPPGAGIIHQTVLENYALPGLMMLGTDSHSP NAGGLCTVTIGVGGADAVEALVGAPWELKAPKVLGVELTGSLSGWASPKDVILRLAGE LTVRGGTGYVVEYFGPGVETLSLTGMSTICNMGAEVGATTSIFPYTEASARYLQATRR AQAVADVEALQSFPGGGASSSSSSSSGPNDARLELKADAGAEYDRVIRINLSELEPHI NGPFTPDLATPLSRFKSAVRDQQWPEKLSAGLIGSCTNSSYEDMTRVESLVKEAAEAG LKPVADFYITPGSEQIRATLERDGTLRTLQQAGGILLSNACGPCIGQWRRQDGVDKGT PNAILSSYNRNFRGRNDGNPETMNFLASPEIVTAMAYAGSCAFNPVTDALKTPSGDDF RFSPPRGLEGPPAPFEPGVPSLGVASRPADPSVRVAISPSSERLAPLEPFAPFPAADL AGLRVLVKVAGKCTTDTISAAGPWLKYKGHLPNISRNTLNTAVNAQTGEVNAAYDLDG SRHTIPELAQRWKARGQEWLVVAEHNYGEGSAREHAALQPRHLGARVVLAKSFARIHE TNLKKQGVVPLTFADESDYDAIAAGDQVRTIGLHDMLRNQGNGAVHLKVTKSTGQEIV IATSHAVTKDQAGFILAGSALNLLSKRV UV8b_00363 MAHRFMVPDSSPAPSTPDKNSKNGMTFSFMGDMPSTTPAGPPPS SQASFTPAGAPSESYLGSSIMHGTTTNSKPPSFGFSQSQSHSSLGRNLFGRNETSNAP LGRSIRGRERQPSGLSRQFSFDDEEANEGEDAEGDDELPPHSLFRKSSALPDQVDEED EDAEGDDVEAQIDRYIEEELQAKQDGRSKADGDDDQEESKMSQGDSDEEDLFLNMRHD DRPYGQPIIGEGDDLIMLQTPAAADRVRREAEHIFKRSSARPGGPTRDKEFQFATIAR DMYTSQDAARITESPDLILKTEDLVSRLYDEGVGAVEDAEKMDNSLANITYRLVRLWN DYVEGLPQPEGEDFATVGPGNKAEPFEKATYVANLILRLHHTRFEDDIGDEKTPPLPE VLFNWQESSHNLYPDQVREISRYKPSPACHGLFWQTLRSALLRGDVSGALQLLRNAGW DQVCRGTRDDKAYVGKALENVRRFADATCEMLEKCPAVRADWDIWNSSWTLFRIQARG SLDRLTLFAEGRDGQAGDHWGGSHAQQQPQSMSTMARQASSQIPWDVYENLQSVYGIV LGNHEAILETAQDWCEATVGLLGWWDDANQHRKNPGLSQSRGFGASRCQIGSSPDYFD RLGSAFRLVLDSDMTPNPADPVEVAVASCFEGNVNGVVGLLRTWSLPVASAVTEVASL GQWLPATKSAKPLPTDTLDMDDLALLGILPPTMDEMEGIKDTTLTLYAHELAGIEHLC AQKSGWEMAIRVLGRMDSPQKSEDAVADLLKKLLETLDEKSRTTVDRLWKILNDLGMI VYAEEMAEAFADILCKTSDRYGEALWYYALAHRPHRVKGILNLLMAYSLIESTVFPPE KDMDEDFRNLLRKRTETLEIGAKQDLEAAQLLGRVLSGYATLRKFYEIRDEAIKCEET SSAKSRALKRQAAVALVAVISSADDSIRGGLRDETRDAVVSEDFLLALLGEATVFVNQ SPAVLTLDQIDVLLKAVEDLQTVGSRAYNSCQSFLDAVLASGQNLRGSTPADLLTKST SSLSGSSYVLSGSSMLASRVHQATGGGGVETRRGWDWRKGWKVSTKGDDLLRRLRLGL SKDLGVLWLEDTDGVA UV8b_00364 MSDTVGKTITCKAAVAWEASKELSIEDVEVAPPKAHEVRIHIYY TGVCHTDAYTLSGKDPEGAFPIVLGHEGAGIVESVGEGVTNVKPGDHVIALYTPECKE CKFCKSGKTNLCGKIRATQGKGVMPDGTSRFRCKGKDLLHFMGTSTFSQYTVVADISV VAVQPEAPMDRTCLLGCGITTGYGAARVTANVEEGSNIAVFGAGCVGLSVVQGAVVNK AGRIIVTDVNPAKEAWAKKFGATDFVNPNDLKGQTIVEKLIEMTDGGCDYTFDCTGNV SVMRAALEACHKGWGESIIIGVAAAGQEISTRPFQLVTGRVWKGCAFGGIKGRSQLPG LVGDYMSGALKVDEFITHRKSLSEINSAFELMKSGDCIRCVIDMRKL UV8b_00365 MRSSRISKDTAKLFQRQASPSGGTGTGAGAVRRITRSLARFAAP QNGPRTPDMEDWASPPAKKRRRRRSSDSPAGAAVKAEPPEQSPVGAPCSPPRPPRARK PAARTARPPAGGAAVSPPPGWEATYNAVKRMRAPGGAAHGAAVDTMGCERLADRDASP RDQRFHTLIALMLSSQTKDTVNAAAMHRLKTELPAHRAGAPAGLNLENVLAVDADLLN GLIWAVGFHNNKTKYIKQAAVRLRDEWNGDIPDTVAGLTSLPGVGPKMAYLCLSAAWG RTEGIGVDVHVHRITNLWGWNTTRNPEDTRLALQSWLPRDKWREINWLLVGFGQTVCL PVGRRCGDCDLGVGGLCKAAERSKVAGRKRTTREVLKKEEEEEEHTSPAMEEEKPV UV8b_00366 MAPKKIRCGAKECREPAQRIVGDCGFCNGHFCGKHRLLEDHKCS GLEDCKKQSHERNAAQLEAERTQVIRGV UV8b_00367 MEPSGADAGFFQKVPVLKNQAHDDVSFKRCFKLFLSQHVISKAG PEVSSLGQDVISDQIFTWITDAEHNKPYLKGSGRDAFGHWKGELVTGEGWRSLQDFSI SKGMVATGYDSPYGAYSRPLQFLRTHLWVGSCANVGCPSAMQDGAACLLRRHLTDPKL SASLSAEQRKVFENAYRRLTSRKPGYAWTSGQWMTERTGGSDVSLTETVATYQPDGAA GLLASKEDQMPLGPWSISGFKWFSSATDSEMSVLLARTQAGGLSTFLAPMRKHDPLAV TLGGEPKEDGTVLNGVRIQRLKNKFGTQSLPTAELVLDNMRGWIVGQEGRGIHEISNI LTLTRVHSAVAAVGGVGRGLGIARAYALVREVGAGNKARMRLVDSPLHMRTLAKLSAE YHRLMLITFYTSYVLGVSEHAPVDGRSSASEQQMSPALQAVTPRKQSTEPLLRIMTQL TKAYVCKPSVPLLFSCMESLGGVGYLVNQDQEHLNISRIYRDTCVLPIWEGTTDVLCT DLIRAIKHRHGGAGSMAALDELVKTASAFRGKLGKPSGWDPVEKWSQLRSHVEKTPQA DLMGEAREVVWALGDIIVSLLLYVDASSDGSEVAKEIFIRFLEEKSELKRRSRASTKD ELMADLRIVYGTDAGKAPAKL UV8b_00368 MEQDDDDDDDDDQVTKQSVSSAQSPCSCSPYFSSSTPEILACPP QPPRLEPDPLAPRLARLDPRVNPPAGAGATCGKAGAPVHGEQSIPTAVLQTPRVEARR RIEALQLLVGVDEAGVVEGVPQDVLGIRQVDAVPAVGVAGAQGKISTARPLLDRLAGG DVKQSKAACAGGDGGIAAEDHARRGGEQRDKQAEHHDWEEAVLTRRDCCRSMNEARHG VFLAQSLFYTAAKEAVGRQMCGKSENEKQSEPPSQVLRGETSNELPPTEARRIIYRCQ YISCSWDGSRHQLVVVVTCSLPLSGW UV8b_00369 MAMPSEVWDEISQDIPSATDPFIQHYLSGRANLITQEKITRSDA SFRKSLSPIARRACEIVDRIRSHESKTVWTPDVEERIAQTTGQSIFPGMMFMMAKDRM ESTKLWKIVRRMPKGCLLHSHMDAMVDFGFLLDLLLKSPGMHISSDRPLANKDALENA ALSFRYKAKQKADGSIWDDSYEPESFLLLTKVASEFPDGGQPGFLQWLKSRCTLSVVD SQEQHHGIDAIWHKFAKCFMVVATIIHYEPIFRAFLQRLMNQLNSDGVRWVELRFTWP LNYCRDRREEPEKDYSHMFQVIEQEVAKFKASPEGKGFWGLTLIWTTLRSIDTRPMVE NMDHCITTKLEFPHLIAGYDLVGPEDFGKPLADVLPELFWFRKQCAQEGVNIPFFFHA GETLGDGNSTDNNLFDAVLLGTRRIGHAYSLYKHPLLIDMVKEKRILVESCPISNEVL RLCGSVLAHPLPALLSRGVACCLCNDDPAMLGQDTAGMSHDFWQALQGWDNLGLAGLG SLAENSVRWAAFEDQDAAQWAGDIRQASLGSGVKAQRLKEWQVEWEQFCLWIVTEYGD EFDPQGEVPAGIHGEERS UV8b_00370 MRIAVAGGGGLGYLLALQLSQAANAYNVVVLSRSARPEFAQLDV QLHVVDYGDHDSLTFALQGVDLAISTISGTEQINLINAAGRARVRVFVPSEFEGSLAK RPSHHDPLDRGSVQAISLLKQWESASRMRYTVFACGIFMERFHPYGLGYLNIGYGSGV SRVGDFLLDINDFTAEYAEHNAKGHPVRVCLTSVYDVVRFIVAAIDLGPRNWPHEFTM RGDRMHVRDVVGTCSRIRNVAFHHHMRQHTELQAYLTYYAQAGDAGRVAYYQRLLATT NGRYDFTKASLNEALEKNGQGDFQPMSLSRWLTNEKDKGEED UV8b_00371 MTDKRRRGGTRHRDGETLNDGGPPPEKTPEGRQRTPQFDANTYL LDVHEITRDTHTTTAAAVTTTTTITTSRTPRGLAMPWPGWLRCQGCDSAYQELAMSRC LRRAACGKLDAAPSLPRLNPLA UV8b_00372 MDGAGKARRVRIAIDRGGTFTDCVGERDGEQIIIKLLSEDPANY QDAPLEGIRRIMSHFLGHDIPRGDALDTSDIESIRMGTTVATNALLERKGEKIALVVT QGFKDCLVIGNQSRPSIFDLAIRKPDVLYEHVVEIDERVTLEDYTEDPGRTVTEADAQ VGTEGARDRTLVRGTSGEAVRILQRPNDDHVRRKLREVYDRGIRSVAVCLMHGYTFPD HEAQVGRVAEEIGFTHISLSHQLMPMVKLVPRATSVCADAYLTPAIRRYIDGFQQGFR GGLGTQSVKDEQGPKGARCEFMQSDGGLVDVDKFTGLKAILSGPAGGVVGYAITSYDA ETKIPVIGFDMGGTSTDVSRYGEGRYEHVFETTTAGVTIQSPQLDINTVAAGGGSRLF FRNGLFVVGPESAGAHPGPACYRKGGPATVTDANLHLGRLLPEFFPKIFGEDEDEGLD PEASRKVLQELTDEVNRETGQNLSTDEVAYGFLTVANETMTRPIRSITEAKGHDSSKH RLATFGGAGGQHAVAIADSLGISQILVHRYSSVLSAYGMALADVVDERQEPDSTVWKD GGDAVSHLQSKMEKLKERSREALRAQGFDGQEIVFEEYLNMRYRGTESALMIIKPTDA ETRDHFGGKDWQFGQAFIKHHRYEFGFTLDDRDIIVDDVRVRGIGKSFRHKEKTVDQQ LRTINRHAVADDKEHGTKHVYFEGGRQQTPVYKLDNLEVGAVIKGPAMLADGTQTIVV PPKTVALMTETHVVLDSRKEEEKQDLHDQISADGKVDPIMLSIFGHRFMAIAEQMGRA LQKTSVSTNVKERLDFSCAIFDATGGLVANAPHLPVHLGSMSTCVRRQAEIWKGRLEK GDVIITNHPSHGGTHLPDVTLIMPAFDDKGDKILFYAASRAHHADIGGITAGSMPPHS RDLYQEGAAIVSEKLVSGGRFDEERVVELFYHAPARNPGCSGTRTLADNVNDLRAQVS ANQRGISLIEALIREYGEATVQFYMVSIQENAELCVRNLLRDVHRRFGGRALEAVDFM DDGTPIRLKITIDPERGSAEFDFGGTGPQVYGNVNAPQAITYSAIIYCLRCLVSEDIP LNQGCLRPVTVKIPPGSILSPAPGAAVVGGNVLTSQRVTDVILKAFEACAASQGCCNN LTFGFGGNVSGAEAVRGFGYYETIAGGSGAGPEWVGTSGVHVHMTNTRITDAEVFERR YPVLLREFSIRRGSGGGGQHRGGDGVVRDVEFRIPLEVSILSERRVHRPYGLSGGQDG ACGLNLWVRKVARDDGSGYDEQRINLGAKNSAAMKPGDRIIVCTPGGGGWGPPGRKSV AKQGRDPTESWKKGSGAARQDAALQA UV8b_00373 MALNRLVSLLLRTAELVFASIVAGVTGHYLHHSHWAHGRFIYTE VVAALSIVLAVVWLVPFSGTFVHWPVDIFVSILWWVAFGLLANLLGSSCGALFDWDNV SPRGDQCGKFKADLAFSFLSAVLWLASALIGIFWVRSHERRAADADAHHGRHWFRRSR V UV8b_00374 MSSLVNKVKEALHSDKDKTTTSTTGTHSTHHTSHTAAEPRYDGL GGANRGTDGPATRTDGPHSSNVANKLDPRVDSDRDHSKNMGLNPHGDATTGARGANYT TSTTGAGVGAGAGAGYGAGDAGRHGPATRTDGPHSSNLANKADPRVDSDRDHSSNMGL NPRGDATTGTGTGLGGTHATHGAHSSHHHTSTTGAGFGAGGVVGDAGRNGPATRTDGP HSSNLANKLDPRVDSDRDHSRNLGANPQGTATTGTHTGAHPSLIGTADYGSTAGANRG FDGPATRTDGPHSSNLANKADPRVDSDRDYSRNLGANPSGTATTGTHGTHGTHGTHDT HGTHATHATHGTHSNLTHTAGSGPGPAPNTAGPHKSDILNKMDPRVDSDLDGSKTVGG DKTYLGNKDPTDAAQVPPSVLREHVGEPSR UV8b_00375 MTASIAQTLWDSRIALHITHPSSRIPFVASVPRFSYLALLLPRL SAFFRSTCSSFHFEDVQLRNLPVGLLVDLYRPSLPFRLTVSDGVTWDIGDTFLNCVKE ADFIRNGNANQIMKMSKEHTTQLWNAVVDNDHASFRKVNTRLLSAPVALRHVPLRVYV PSAAGNGNGRAEGVAAEFKVVQSLVPAAGGDGRPRLLGQALKEMMPRLFPSSRDAVLA GVVLHGADVPFQAPLAELMREAAYPDGWLCLVVTV UV8b_00376 MQARLLSRETGDLGFRDFARCTTTDLLRAFAPAPQHRFDGRPAD ADADADADADAAVRAHPAGVSGLALEKFDGRVLVSGGSDGAVKLWDLEEPPSGLRHHV FRPVGTAPRASAGGGHSHGVTHLAFYPFDPDAFLSSSYDKSLRLWATQRCAPRAAFDL HATVYSHAMSPVAAHLLVACATQHSHVRLVDLRSGAAVRALVAHGGPVLSAAWSPRHE HVLASGHADGAVRVWDVRRAGGALALLDRDRDRDDSLGRGEQQQQQQPQQRARESARA HDDAVNGLQWTDDGGRLVSAGLDGKVRVWDAATGANTLAGFGALVRNQHARSAAAVVT PPALESSGELLVWPNEREILVLRLRDGGVVARLRGPGAANPARGARSRGAGVGVGVGG RDRITSIAWRGSGGGTGHNGPVMGGGNSVGAIYSAHLDGRIRAWMPQIPGPDDLDDTE EDRQEEENVGKRKRMALDSAYRSLMGRQITFTGR UV8b_00377 MLLRPSRRKALLSATHILDSSSTQAMPPSADDTRLKLEPGWQQG ASETSGSEKQTPSTPERRPATIYDALAGKRHRKSKALADSSLEETDAEDQQEDGEDSL QHELLGNAEALFLPERDNLPETDLIEPLHAYASQFYAAMWRRHRKLRRPSPSPSQSPS PSPSSSPSPSPSPSPSPSPSPSPSPSPSPSPSSSPSPSPSPSLSPSCPESPDACSMDE TALLAFGILLEEAGREILGPQGDLVFTEPSVGLGDVGGAARCFSPPVVYRTAGTSSLG ASSSERSPKRRKIRRGRSPLDETEGEEP UV8b_00378 MKKGMSRTAGRHTPRRQAGKVSDQTGHDPSFGFMPQVHNKLVSD TTRSLRLTVETGNVYSVPAPLWLSCRPAQRLQPPLPLESERNKTYYGVPPASRPLLQT PRHAHHPSHRPCHPSPLAFLFSHQTLLPHIQEYLHSHLPILYPFALPGPTPNPHLDHG WSDTHTLAGVSFTTDDISTMQRTRGPGSSAWCKDKNPAGSCYRVCLCPLFQVPSQKRY AVPLDASLHFLFMLWHPVVPPGDTEGPGQT UV8b_00379 MDPAPDGKRPRLGSWSTSAPSSSPGTSLPLPHSASTSHLHPHPH PHPVPHPHHPPTPTSYQQPSYQPRPSEQAPAPPAPASLPGPLHGHAQPQHPDLDRRLL EPETLAPMQDHYRQPGPQPQSHHPNHPNHPPSPAHPPYHQYPQRDAIIKPESGDDARR SNSSAAHAPDSLSHTSHSVPASHHPPPPHPSAGYHADAPQPRHMSYENGSSVPPTPGF YRPQSYPPPQPSHQSSYEPHSSYQPAPDQFYGVYSSASAAKKKNTRASQACDQCRQLK AKCDETKPCKTCRDKGTECRYRDPVPKATDKAQADILDGINMIHGSLNSLMDRFSRME DRILAVESLVSSKANGNHIKADPAADDECKTLSESSFHANADGSSHYYNGTSSLDYMN NTDRVPMRLMADDDEMEAEPGPPVAPGEPAIPINHTTLAGLLLEWPCIRDLVKPHLES SGIRHPSEYPISLEQNRGILIVYGRGEDSHSSRHPRDASIEYGQLDMADDSSDTASPS AAGGDWGHLGGFSPADQSVDYRGGVLASDGNPDFSEPKVWSFVKSFKENILNMHPIIQ PKAVDEWVQYFLDTLPPMSMRAKAHRSPISAHSSFAVKGGSASSMHENVGSKRKRSPG TDVLEVPSTPNLAKSGRPERSIHNALVLTILALGRICQQRDRIHDAVHPKDALPHQGS PLTRNGIPSSPGQGSPPCISLHSQSPSQASPKESERGFQSRRSSIHGSGNGTTRSVLG LKKNFESIPGLEYFAFATDILGNHTGAYKNIKHVYALIFAGLYHGQLARPMESFAFIH LASHKLMVIMRPSLDKLRRIKQSLTMIQETKYNQLALAFWTCLQLESDLIAELQLPPS GLLSYEEFMPHPNMSMLEGYDQRVLDSYPAQLYLRTHLNSIHRMFYAPDADEKAMDSK ELDPKFKTVDSVADAVSGMQWVAKSFAFQESDPPAGDILAARLRAKYWGAQNITYRPF IRQILQWSESMQNHSSSPSGYAVSEFRQGVTAPVIDPSIKSPKEIPTAVIDFARRGIK ALIESTRSFHGLGTERPIITNVFGTAHAQWGNLLVLSACSRNVVLKEFIDMELLKTLF EKTIGFLWQSSTATNCLKADRLLLEGLYRDFFRRDDAKNTGSFSSSASLHTPTLQSAA PPPMSTPT UV8b_00380 MLKTWLAVLSAALALALPSDSCSAGGHQPPNLPQSDGAASLPQP PPSSKLLYIALGFGIQNYTCRTAGATPTSTGALAMLYDITKLYPGHGKHSLSQTAWDA LTSRALRTHDVPLNFNSSAAGRGDPRIRRASQTDPFPPDSPLHLSGLKPLHFLGHHLF TPAGVPDFVLKRGAVNLLAKKLAAVDAPRSADKGPDGTGAVPWLQLGAKDGSVGHVKY VYRVLTAGGASHGCSGQGDDGTSYTAMYWFFG UV8b_00381 MAHLQGRKVFKVFNQDFVVDERYTVTKELGQGAYGIVCAAVNGQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDAFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSVDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSPRAQEYVRNLPFMPKKSF PSLFPQANPDALDLLDKMLAFDPSSRISVEQALEHPYLHIWHDASDEPDCPTTFNFDF EVIDDVGEMRRVILDEVMRFRQMVRTVPGSGALSGQGQQGAPAQVPMPQAGGQWTAED PRPQEYVAQGNGLEQDLQAGLDVSRR UV8b_00382 MPEPVSVLFVCLGNICRSTMAEGVFQNLAKQPQYKGRIGKIDSC GTAAYHTGEEPDSRTLSALEDNGITGYAHSARRFKTSEFETFDYIFAMDRSNLSDLQR LHRGNPDTKAKVMLFGEYSGTGTAEVVDDPYYGGRDGFERAFEQCSRFSRNFLQEITG E UV8b_00383 MVLTHSTTHQYAHPLPTVTLAYFLRYSSPQLNPFAAHVLSTDTI SSHVDPETQRLHTTRIHLKKSRLPGAVYKLLPGSMTGGSGGEKASYILETSVVDMKEG WMHTESRNLNFTGVISVVEQQSFKLPAENMSSHTDVTTSVIFRSRLGEKIRGRLGQAH QEGGIISGIIGGWGTKGIQRSIESLASTKTLDQLGKSRDGMRLVLERIRNNGVIGVLE TLRRERQRQALSP UV8b_00384 MESFVVKTPCSSANIGPGFDVIGLALSIYLELHVTIDRSKSCSS QPLNCRISYEGQGEGTDDISLDPQSNLITRVALYVLRCHDQRAFPVETHVHIKNPIPL GRGLGSSGAAVVAGVMLGREVGGLKGLDLDRLFDYCLMIERHPDNVGAALFGGFVGTY LMPLNPEDAARIEVPLSEVLPAPAGGVDTGEKPPSPPVGIGHHIKFPWAREIKAVAII PDFIVPTASARAVLPAKYPRPDVTFNLQRIALLPVALGQSPPDPELIHLAMQDKIHQP YRQTLIPGLTEVVESMSPKTQPGFLGVCLSGAGPTILALATSNFEEIASKIIATLRQH NVKRDLACQWLVLEPAEGTSVVR UV8b_00385 MPSPPSPPPPGIYVPVPTFFASKQAANYDPAVPPVDVDTQAAHA VYLAKSGIRGLVLFGSTGESVHVHPRDRRALLQAVRHALARDGFPDYPIVAGTATHSI EETVEQLVDAKEAGSQWGMVLVPGYNAAVTPQDGIVRWFTAVADRSPIPILVYHFPGV SNMVRVAPRAFAQLAAHPNIVGCKLSHGDVSQLAQVALNPAIDSSHFHVFTGLGQQLV PVVSVGCVGAIDASAGCFPKTLVRLLDLACKRQPTEAEFRERRELQYKVSAMEEIVVK HGIVGVKEVIGRLRGFGDADGSRLPLMGTVDEWEQWEPVLQAVDEVEKSL UV8b_00386 MASASSKVGGLAVAVRVIVPVALGSAGYAAYRVNWPTAIHSFLT GPGRTSRILLLLFAVLNWKNLPFAWTYRVFYAIVYHNLLRKSPDLTPRALFKPMISET RAPLLELDYNLHKSNSTYFTDLDVARTHLVSYLARRAMRNLAHNHRTGLVLDPRTGRP ARGPLGIMLGSVACSFRREIRAYRGYELWTRVLAWDRKWLYVVTHFLPRGTAKPTEWL DPRFAAVRTRSGSDASGGWEAKMHAAAVSKYVFKLGRFTVHPAIVLADAGLLPDRPGG WRGGDHHVGDEGADLGDVDLAADGDWDWRRVEAHRRRGMEMAAHLEALDGTTGWFDGG TYGALAKMGPC UV8b_00387 MKAQPKLLPPLLLAAAAAAAAAAAAQSVEQLLSKLPACSLNCVV QGALEHNCTVADFECQCNIIGPIIATVSPCLVKAGCSFENITATAQVVVQLCRSMENS ATMAAAATHGVEGAASSVEAKSGAVRGAMGWAVLALGGLAGVMVLS UV8b_00388 MTAKALAPRTKGLSRPRWSPPRYSQLGQRNSEQRARNTTIRNGT KATCPIKKVKTNNYTVRHLLLSETLDHLAARLSKAPRPRRGDILDKMRSHPFVASVAA AAAALLVGTCTATADHPSGCHADNCLRAFRATQIPGRLASAKAFCATYTAATDAGTAT AAPTNIPSFAARNCRDSPEGPMTLRISSACSCIAPVTTSTTPIAAPTITPTGTATRHP CARVSASWAEQKLAGGNAAPTVAASLALECLKTVPVGKDEGIKLLDAIEPYLEWQSDA AYKKDPPKDYFYPGYDIFGNLARVKSNLAAGRYAGEYDFQIDLYKQVFAPGQDGHFVF YPDLLSRAFRFKRQPYALVSVSEDGKSLPVIKLQKDVAANAKTAQAITKINGIAAAKY IEDTVNAASYLQDVDASYNTMFWSQSNFASNGDLGSFVSGGRSALFYHGPTTSLTFAN GTTVELENLAAVVGDMTNVVDGPSMYKKFCTPVPLKSPGEPSPPAPPGNKTRPGYPQP EIATRDGVVSGYYLSGEGVNDVAVIALSDFVPESPAAFQAVTGDFLREAKAAGKTKLV VDFQGNGGGYILLGYDFFRQLFPKIVQDGNSRWKQNKAFAAMARIVSDITKNVNPETE ADPDLLDMDQSWWNYRSDLNLTNQNFATFEDKFSPRVFKDTDYTALMRWNLSNPLLTS NKTYGFGIDISGYGSRANLTQYFEAEDIVLLYDGSCSSTCTIASEFLRVLGGVKSVAM GGRPKPGLIQGVGGVKGSQVLSYLNIYSSVNYIKQVTNDTKFKAELQRYTTLPMERSR ASGVNVRDQILRENIEDGVPAQFVYEASDCRLYWTAPMISNVTEVWKSTAQAAFNGAK CAAGGIKRSRPGRRGAKARAKVPVLARDGQIRESELVDTTPVAHGQQWEAVHLQKAIK UV8b_00389 MSGLFGSASSSSATNTVGDLKADVALSDPPTDSITSLSFSPAPN GPDFLAVSSWDNKVRIYEIAQNGQSQGRHAYEHTQPVFSCDFSKDGTKVASASADKNL KVCDLASQQDIVIGAHDQPVRSCRFFESGGAPMIVSGSWDKTIKYWDLRQQGQAAATV SCQERVYAMDVRDNMCVVGTADRYINVIDLRNPSKFYKTIQSPLKWQTRVVSCFTDSA GFAIGSIEGRCAIQYVEDKDASSNFSFKCHRETAVNNVVNVHAVNDISFHPVHGTFST AGSDGTFHFWDKDAKHRLKGYPNVGGGITATTFNKTGTIFAYSVSYDWSKGYQHNTQN YPIKVMLHPVTSDECKPRPTMKKR UV8b_00390 MSRVTAPMSKIARALSSSPSSTTAARSARDGSAHSAGAALMPKY AELLRNRRSNEHSDSSRGLTTTHRPTPQPSLANRSKPLMQTFRSSAPSALHSSSTHID AAVLPSMSSLTGPSQADDGPLVPLLPDNYGAAHTPIAAEPASMPEVTIVAADPENVVP GAPNAGVEGIGLDGVELKFLYHENSQHREQDESSRGMIRDIWKGMVEDVFGGAPKKAL UV8b_00391 MSCTWPAPRRYETCATAARPVQGIKQRHLPPFAKPVGLLGRLTV NVSVIYDAAESRTKMVPALRISVKGCSLLHHEPHEEVACAHPASFP UV8b_00392 MDGLTIVDSRPWAKKVALAYIERHPVDTAPPVVRQESRVHQRAT KKTPRKIEKAPTGSLPAPGTVSPPIRSSKPSKPSRAGQVVYSGICKLLHSKSRSLIYI VSLAMAIDEDNDEAFLILSVADKVIKVHNVLELSQPQASEDCCLVASEMTGEPFTYLL QFSSSSESTTFRLYLENLKRAVSRRKGAPSGGNGSAAANTDASKPVSDNSAAVTAQSF PGLIRPSPSAGDASIEDLNVNSTTSSMVPQASDAVSSTGAEVPRLVDVEPTPQPMGET KMATIEDAAEKLFDLIGKILPEANAAGMHLCEDEIADIEETAIDSWLTRGFLKSESDD MRSELLDLLRVLLRIKRKAESRSVAQKAGIKSLENFDKEGTKPRQIKYSVSEIVKLAS NRAQDVRLSSKTAVFPPRKHATGDFSPTAALTGVSKHKARLSDRPESQQPCPPAVAPS QSTYRPRNDGQPLTRSSEEIGVGSASKSCTRPGAGGLCTSRWAHG UV8b_00393 MDEDDAGILNMQLSDDDVDVAKKKADRTGQAEDDFQATRRRYRV KVENGDMHKHVRLPLEPGASKMRTQQVIHAVEELYFYRQYHKAADLVARAFANGGREA IDDDSRRLLDTYRSMCLNKSTLSSSP UV8b_00394 MDPKPEAVSLGTGDAAAEQQQQQQPPAVTSTTAVPAVAVEKDLS TKQGPLEQDASKREPLQEPSRQGSLEEAPEPTAPAPRTATPGKDGPRPHTPPKSTDIG IDKSKPEDFDGDVSTTNELPSADTIGRIENYLVLDRDGKSRTFKSLYSGNNVARRVLV IFIRHFFCGNCQEYLRTLSESVTPEALLRLPVSTFVAVVGCGDPALIDMYAEATGCPF PIYTDPTRSIFDQLGMTKTLALGSKPAYIRKSLWRNTLDSIGQGLRVLPKGLALKSGD PRQVGGEFLFEPLDLVTPITTPRDEGPGPLQDASGGARNGGDAGRVENKVVTWCHRMK NTRDHAELPELMEVLGLDGQSRPIKDRKRWTMALETRKGTGWSMASQMKKMNEANEAD EAKESN UV8b_00395 MDDQVSNLAAKAFAKYNSLPPNQRLLIGISGIPGSGKTTLSRIL ARRLNELALEAQPGAPAPATSVPMDGFHLTRAALSAMPDPEAAHARRGAAFTFDAGGF LALMQKLRAPVAAPGADVLAPSFNHAVKDPVEDDIIVLATHRIVVLEGNYLALDRAVW RDAAKLFDELWFVEVDFEVARKRLRERHVRAGIADTLEEGDRRAMENDLVNGRDIIEH RLRVDQVVYSKEDCSWVHE UV8b_00396 MTATTPIAALKELKVSEANGQAAQPAAAGDDDGHAEGSDDDDDD DDAANNNDNDNSNNKKKRKPKKKKKKRKAPAAQTDPPSVPVSQLFPNKSYPKGDEVEY RDDNRYRTTSEEKRHLDNLAADFLSDYREAAEVHRQVRQHAKKTIRPGQTLTQIAEAI EDGVRALTGHPGLADGDALAAGMGFPCGLSLNHCAAHYTPNAGNKLVLQQDDVMKVDF GVHVGGRIVDSAFTVAFEPKYDDLLQAVREATDAGIREAGIDARVGEIGAVIQETMES FEVEIDGTTYPVRSIRNLTGHNILPYSIHGTKAVPIVKSNDQTKMEEGDVFAIETFGS TGNGYVRDDMEVSHYAKNADAQHVDLRLSSAKSLLSVINKNFGTLPFCRRYLDRLGQD KYLLGLNNLVNSGVVEAYPPLCDKKGSYTAQFEHTILIRPTVKEVISRGEDY UV8b_00397 MKVFSNAVTYNYSWDEVSTANWRKYGPWNNRSEHVVAVDTLDRR VDARTGILRTERLITCKQSAPEWIKSLMGSSLDVSYVYEASYVDPRTKTLTMVSQNLT WSNLVSVQEEVTYKPAGRHRTEFVQSARITALCGGWQRIRNSIEDSLVTRFKENAAKG REGFERVLEMSRIVFAEEKARQRQVVV UV8b_00398 MDSEGIYARPGKGDGSSSDAEKGSFRQHQYENVEIRGLETDPET MLHKGLKARHITMIAIGGALGTGLIVGTGKALAQAGPGSLFISYTFVGLLVFMVMAGL GEMTAWLPLSAGFTGYATRYCHPSLGFALGWSYWMKYIIVTPNQLTAASLVISFWVPR EKVNPGVFVAIFLVLIVCINYFGGIKFFGEFEFWLSSFKVIVIVGIILFALIIACGGG PNKDATGFRYWKDPGAFAVLYKSGPTGALGKFMGFWSVMVNATFAYLGTELVGVTAAE AQNPRRSIPKAIKLTFYRILFFYCLSVLLVGMIVPYNSPSLAFANKQTTGASASPFVV AATIAGVQGLPHIINACICVFVFSAANSDLYIASRTLYGLASDRSAPAIFRRTDSRGV PVPALAVCTGFACLAFMVVSDDSKKIFGYFVNLTTIFGLLSWISILVTYICFLKARRA QNLPDSAMPYVAPQGLIGTYVALFFCCLILLTKNFDVFVHRDNITFDYQNFITGYLGV PLYLILLFGHMIFTKSRGVKAHEADFFTGKDIVDQEEADFVELQKQRAAASTGWNKFY NRYISWLF UV8b_00399 MTCSDKEGPREAISDNSFETPRLTRQAHSLTYERLHKGRQLRKL LGACLSRWVVTLVLCASIYIVLWQYSRRSAMVTAKKKEFNTLIVGLTILLSLNLASSL KHMVATLRWWVLSLKEWRPREVDLILQSENISRMVRLLYISRRYSLRAYVVFWVCINV AAQIGLATLGLVYNVNGADKIVPTTPGIVSIPDLSTLQTLKLASGNHTSQSQTDNALR YTANSYGLVGLAAGVLSTPNGVVAPQPGSIFNPDSSPISCQNTTCYSWFYESTPPNAS YYLMAATNRSVATSSTCQQWPVTQGGAGDQDAITVADGKNSTYKIPTRNGPLQTTFTV DPRKDQHVGWSMMSVFEASLTDPWFYRCNVSVGPVVNAWIPEHNLGDTVKLMAPAAIA LQGYGSSPLGTNDSDRIQFQSYPAETFIGSACNGYDQCMGYTTSAFAAGVILATAQSN SNLNATGQMPLKGITLDINRWSYVHLILGLVMGLQLLFALISVILSNTVMVRDHSHFG EAALLRSAMRDLSYGAVMCSERELASLFADTATVKYVPDANGVYYLRVTK UV8b_00400 MKVLRLAPIGLACLAYATPPAASDGGISPLGRAVPSDPEPQIFI NLSTEEFLQKRKELRESQTTGLQRRHLYGLEVANGHFEQARMETLHEICCRKIGESGY HAYGASCLRNLMNLSCGRPEGPLRDRKATEMCPQGQVCREFQAWNFRNNRATFTHCVE KINVKRRQFNDPNGVKYIGEYQLSGMKLEDLMVAMEEHAVADFDFSGSSSSAGQQHKH NAHSWACFGCRYGTLKITHWNSPAVAFASTAFVDF UV8b_00401 MASRLHHLWRPLAAASAVTVVAVGGSVIYSRAYKNLSHDKALVP VRRDAAGRIVPPSFPAIKSRKEQLAELTRRNGQDGEDAEYDLVIIGGGATGTGIALDA VTRGLKVALVERDDFSAGTSSKSTKLVHGGVRYLEKAVWNLDYPQLELVIEALRERKG FLNIAPHLSVSLPILLPLQSWFQAPYLWAGCKMYDLLAGSQGLESSYLLSRTKAIESF PLLRRDNLVGALVYYDGQHNDSRMNVSLALTASLYGATVLNHVDVTGLEKDASGRICG VQVRDLMGDDKGAAGVFTVRAKGVVNATGPFTDAIERMDDPQRKAIVAPASGAHVMLS GKLCPKGMGILDAATSDGRVVFVLPWQGMTVAGTTDNACEVEREPVARQDDVDFILKE VSKLLAPESALSRDDILATWSGIRPLVRDPKAGSTESLVRSHLVTVSASGLLTCAGGK WTTYRQMAQDAVDEAIKTFDLTPRSAAFADISGSQLPGFIANGSCKTLTTPVIGAHGY STALPSQLKELYPIDDDVAHHLATNYGDRAWSVVATPGSEARLAPRFPFVEAEVRHAV RAEAACTAADVISRRMRLAFLDVDAALHALPRVIDVLAEELGWDNARKQQEWSATLRF MRSMGLDEDKLGVTREQVLGGKVTARAKERALRQDQVGDRSGGQVATGDVQGGAASNG AA UV8b_00402 MSVPPQSQRPYTASESSLNKTAPIISTAMGGSVPPDDPSRDQDM IPAENQLRWPRVRSIWQDAFSEFFGTMVLILFGDGVVAQVVLSNNKKGDYQSISWGWG IGVMFGVYVGGKSGGHINPAVTLANCVYRGHPWRKFPVYLLAQLLGAMAGAAIVYGNY KSAIDAFEGGPGIRTVSGPHATAGVFCTYPAEFMTRTGMFFSEFLASTILQFVIFALA DSSNMGAGPLMPLCLFFLIFGIGACFGWETGYAINLARDFGPRLVSYMIGYGHEVFSF GGYYFWIPMVAPFFGCLAGGGLYDLFIYSGESPINSPYLGFNRLLKPRRSVWSNTYNS RDSSV UV8b_00403 MPSSTANTFIGAIDQGTTSSRFLIFNKHGEVVSLHQMEFKQIYP HPGWHEHDPEELISSVEKCIDGAVQKFETQGHSREHIVAVGITNQRETTVVWDKTTGK ALYNAIVWTDTRNVEIVRRLKHRIGSGELTQRCGLPLSTYSSVGRLLWLLENVPEVQK VYDQGNLAFGTVDSWLVYKLNGGAAGNVHVSDPSNASRTMFMNIHTLKYDSELIDWFR LDPKKVALPKIVRSADAEAYGCFASGRLKGTKITGCLGDQSAALVGQKGFEPGQAKNT YGTGCFLLYNIGDKPVISSHGLLATVAFDFGKGKTMYALEGSIAVAGSSIKFLVDNFG FIESSSKLSALAETVEDNGGCTFVTAFSGLFAPYWIDDARGTIFGITSYTQRGHIARA TLEATCFQTRAILDAMAKDSGKQLAELAVDGGMSNSDLIMQTQSDIIGIPVNRPAMRE TTALGAAIAAGFAVGVWDSFEDLKDVNTEGRTMFKPQISAADANKKFERWEKAVQMSK GWSS UV8b_00404 MAGRNAAEDESNSVLSNDDGESSGGGDTTQRDGPAAAGHKRKRQ KHQKTSCELCKARKVKCDRAEPACSWCARHDRPCVYLARQKPGSRIGFALELETKINR VDALLQTLWRRVEDHIANDHGPQSHTLATAVSSGNGSNPAQLDGYGHGTPGTIRHDLS RGASVTQPAALARPPWLSGDAPETLQQQSSSVDFATRNASLPNHDESFTPASRLRPGR NGSTGLGLSAGSDLPPQDMIYTLVDLYFKHCNTWCPILDRKTTFGIFFGSTSMSEVDR ILLHAIMATTLRFLKDSRLSPEMRAHYHAVSRHAVMIYAMEHVSIEAQRALVILALDE LGTSTGPRGWNMLSILAQNVRQLHLGEESSLHLSADESELPSAGPVRKVAIPQPDSWI EDEGRRRLCWMVYLLDRYATIATTTFDFMLDDGKMNRVLPCSYDLFSRNVPVETSVLD QTTGQQGATGYAVNKPENLGSFSYHCQILRTMSKVHDFLKAPVDVTCSAGMARWRGTY RSLDAALNSWLQSLPSEYSRISALCHSDPASRVANWFMLHSAYVTAVVRLHSSAAYPS VRSPISAPSHYAMQRCLSAVQSLRDITQDVFEANGLDLVGPPFAFSLWTAARLLIVHA ATMGGPVDAKIDFFIEILGHVGKYWQVAISYARILARVVQRARRGEMGLGAMRRNAHE LVQMASATRRSGLDDTASQSVRLRELDSVDVFDFFHYPTISIPAPKPTTQNGRQVPHH PQGLVTLGSDEWSDGAVPDPASDWLGC UV8b_00405 MAPPAKTFTRAEVRQHTAEDSLWCIIDSIVYDVSDFVAIHPGGE SVLRQVAGQDATTAFYNLHRQEVLTQHADLAVGTVEGETPQVLVPRPGDLSPVPYAEP LWLARPFRSPYYGDSHRRLQRAMRAFTDEHLLPEARACESTGRPVSQPVIDLMSRKGV LHMRLGPGRHLHGVALLDGAVRGDEFDHFHDLVVAQELARTMARGFQDGNMAGMTIGL PAVLNFARDDAWGRAVADAVFSGRKKLCLAITEAFAGSDVAGLRTTAEKSPDGKHYIV NGTKKWITNGTFCDYFVTGVQTGKGLSVLLIERGEGVETKPIKTSYSAAAGTSYVTFD NVKVPVGNLLGEENKGIHVILSNFNHERWTMVCGSIRISRMIVEESLKWANQRLVFGK RLIDQPVIRQKLAKMIALVEANHSWLESVTYQMCSMPYKLQAQHLAGPIGLLKMSTTR AAHDIADEAVQIWGGRALTQTGMGKHIEMFRRTYAFDSVLGGAEQILGDLGVRQAVKN FPKAVL UV8b_00406 MDFAPNSGAPNRGACYSCGNPGHQARDCPSKGPAKCYNCGGEGH MSRDCSEPMKDNKSCYKCGQAGHISRECPLGGGGGAAGGQSTECYKCGEMGHIARNCP KAGGNYGGGSYGGGMGGGAGKTCYSCGGYGHMSRECVNGMKCYNCGESGHYSRDCPKE SSGGEKICYKCQQAGHVQSACPN UV8b_00407 MATTWFERGRPALFEALSKVCDQIGRDFLDGDVQDPKSSTVPAE DNGVEENVQRASTLSDLVATLKAENAALRNALAHVQAARQPPNEMGQLSGTKPPDDSS SETRPLWSNFPTSSATSEAPEGNEGRKDCDKCAKILRRYRALSSNFKTAKEALLRRKD ERNKWIRHAELLGKKIRAAEEQHSIQIVDQKARQVEIPSSTAMEADDAGLEPGDPELS FVLEDKVSDDEPQLPQRPPARNVTPVVGPIIGPGASSQTTQGQASDDMSPPDASAPSI IEDDHSTVKQEPSSDAPEIVSERKVRKRKRADEVMGESTLPIIKSESNNLRSPSTTAN PAKFHTQESIDLGDIGQKIQTPRKRQLLEQRVPYTELAQQSKISVLTPAHSQTHQHAQ SAQVSRQTSALMPLDANARPTRPSSKNLFLKHRRGQLADQIASLAEDGADYGTCPAGF RFNNAGTKSAKTRLDSLLNLSFVDASGIGKSPERIAPSTPSRQTNPGIPGRRRLPFES DGQQSTKSAAAQRLQISRRNLEIKPLADRPPPTHRVDGQTRRSLRSTSMSELGLDDFK INPIANQGHDFAFSEVVRDKGERTCLPGCVDMHCCGKHFRDLAISQKAIPPLTPQQRQ EEQILLERYLGYHAYRLATMSTEERDEVWIQAKTEELANKYGKHRQRYSRMQSPPGFW NADFPDTQQLKADREEAAKRTRQAVAERYREAMKVGGRWKFADE UV8b_00408 MSSRHLPAGQHMHGASGAIAGADMGHGPGAGGPRRRQPQYVPHY HQQMPPMYPTNFVSYAPQQYYSIPPQYSSSGIPSPGYLPYQQYARSPPTMHQYVPMVG VSVSPNYPRASQQSPSLATPYQPPPAPVPIPPQTPSSTHSSHMIPPPTPPTPSTPQAG EYASSAQHPQPVASPPISERKPFLPPLPWLSMPESKFPKRTPRLRTKRKLLPSNEETV FFSLQQHGAILEKPPTAASQHSKSAEEAKHTSDADAREPAEHNQAEAEPRNQNTDASN SNAEESVAQPKDAHQPATAVTNGNDDKDAADGSALAENAAGPSAQEAGTPGKPAVAST SVRAAPISWAKLFSPVNQAAFSSNGLGRVAVANGEQSRLGNISGSDFHEANKSSLAEV IRNFQVNSTGRVALIEPRGLINAGNMCYMNSVLQVLVFCTPFYDFLEKLSKRGSHSFN SSTPLVDILVVFMQEFKVLASGSSAELCNSVKTEMFERYGEPFTPEFVYDAIRKLSRF ASMEHGHQQDAEEFLGFLLQSLDDECSHIMGQTLGNEEQPMPEVPAQQNGTDASGDWL EVGRKQKAAVTRSSGSKSSSPITKIFGGLLRSELRVPGLKDSITTEPYQPLQLDIGSP DVRNVVDALRGLTRPERLQGDFNSPRGKDVMATKQVFIETLPPVLILHLKRFQFDAEG HGTIKIWKKIGYPLELGIPREALSRQRRQIIGEGPMPRYKLISVVYHHGKNASGGHYT VDVRRQDGREWIRMDDTVLRRIRSEDVAEAGSEDDAADICREQSPASNASTNRFGVMH EQDAAEEDGWKQVTTSATGSKRWSNVANGANAAGSKEKQVKDSNSKDNKVAYLLLYQR M UV8b_00409 MSGYSLAPFVLRRPWLAKMVMPAANWYANAAGYKQLGLRYDDLV EEERETTQIALKRLSPKESYDRIYRIRRSVQCSYQHKLLPKDQWTKSSEDTPYLTDII NQVEAELAEKDALDSMTVIKRH UV8b_00410 MSHDQSHQPSRVSSPPEHATGLPRPCSNLTMHQPGGLNPLQKWA ASASDSQFNAVAGAVGGFTSGVVTCPLDVIKTKLQAQGLYRVLHDGRHVGQPRMYNGL VGTARVIWREEGIRGMYRGLGPIVMGYLPTWAVWFTVYNKSKVWLGEHYENTHLINFW SSIIAGGSSTIVTNPIWVIKTRLMSQSHVPARDDAADHLLPKPGKTPKPNHPWHYRST LDAARKMYTSEGLLSFYSGLTPALLGLTHVAVQFPTYEYLKTKFTGQGMGEGSGEAHW FGILSASVLSKILASSATYPHEVIRTRLQTQRRPVAGEQFLQGLGMPAPEQGKPTSGG GPKYRGIMTTFRTILREEGWRAFYAGLGTNMMRAVPAATVTMLTYESVMRFLHQVKEE SQSVRHDYED UV8b_00411 MPVELRKRKAPQPPPAPVPVAKKASKPGKPAAATKATKATKTTK TTKATKATKATEAAKAEAEAEPEPEASPSKDEAAKEAARQDAKQDASPAAAAVTAAPA APAGKVAVGDVVDLEGFGAQVETNDGKKTTLKKLVEESTSGVVLFTYPKASTPGCTNQ ACLFRDAYDALTADGLAIYGLSTDSPKANTTFKDKQKLPYPLLCDPQATLIAAIGLKK QPKGTQRGVFVVDKKGKVLVAEPGGPAATVDTVKALVQELKK UV8b_00412 MSTKAVATASGGLVSIAKKHTVQSTGIWEMIRRALAIDPDRSNG VPLNPYFRNPPPGSNDPMGYDDPVTLPAGDVADNPYWKRDHRRHYPRLSVMRQADVAS LLTLGSAAAPKVDLVGEAGEKQLVAAHRASETGLAKCLEGAPEGVAKDVFVNGLPPLP SGQSLASGSWDVHKYELTKENTYPSGYPCRTFQ UV8b_00413 MPSEPPDDPFDSVLHLEERLYQQGYSQGLADGATAGRTEGRQLG LQKGFDKFLESGRLASRAVVWANRIPSREQTGGPASAETGPPCALSGLPSNPRLEKNV RMLYALVEPDTLSTENTDEAVQDFDDRVKRAQGKAKVIARMTASA UV8b_00414 MPVVDHPILPVKGKRNVLVTSALPYVNNVPHLGNVVGSVLSADV YSRFSKLRDRPTLYICGTDEYGTATETKALETGQTPQQLCDEFHQKHKEVYEWFEIGF DYFGRTTTRKQTEIVQDIFLKLHDNGFLEERTTTQPYCEKHGSYLADRFVEGTCPRCG YDDARGDQCDKCGGLLDPFELVNPRCKIDGAQPVPRDTKHIFLKLDKLQPDIEKWFEG AHKTFGWPQNGVAITKAWLAKGLEGRSITRDLKWGVPIPLPGYEEKVIYVWFDACIGY PSITANYTDQWEKWWKNPDDVSLYQFMGKDNVPFHTVIFPGSEIGTGQKWTMLNHLST TEYLNYENGKFSKSRGVGVFGNQVKQIGISPSVWRYYLLSNRPETGDTQFEWQSLVLA NNSELLANLGNFVNRVVKFVNARCGGTVPDFSASYADDSFDFAAWAGRVNAHLAEYVD EMEKVHIRAGVKKLMEMSAEGNALLQYRLDNANLVEQPERTRTVIGLALNLCHLLASL ASPYMPSTAESICRQLNTTLGAIPDTWSTDTLKGGHKIGKAAYLFTRIDEKKVQEWKA EFGGSAESRAAEEAARRKKQEDKEREKERKKAKKAAKAAKAGQAGPAGKDAEQAGEKP DGALVAGDTKDLPIRAKPEGQ UV8b_00415 MDIRPLTCADLPLIQHANLENLPENYFLKYYLYHALSWPQLSYV AVDVSRPSRGPYDYPRIVGYVLAKMEEEPADGIPHGHITSLSVMRTHRRLGIAEKLMR QSQLAMVETFQARYVSLHVRVSNVAARHLYEVTLRFRNEKTEQKYYADGEDAICMRLD LDDVRDAEPNGGGGDGAPDHADTHADEGEPVGDVGSDPARSKRGKVKVAVGRGLGVGA LVEKNESR UV8b_00416 MALNNINNLHVHVQVDDDAPPPPYSETDIYSNSGASHTPTTNTT LASPRAGLAPLDDAASRDSSSTSGDVIYTPPLTPRTPSTTSHSRPAQLHLRQPQPESG PGLTGAALYFDSRPAPAPTGAPPRETLFHTVTVEDTSLPEHFLYQNEWAARDVTPQDW ATFVNFLLPSHIFKENEAVIERKLREEGGSDAASTSGRSQAEAQLDHLRENPAATTRS RQEIEATIHQWNDGFFGPRGISLSLDPAGGSVRMPGGWDAGLEQRLHQHQHEQRQQDS KAGLGAESGPSNRAGPSWSFGGGSGWNRHGRGHGDGRGGHHDPHDHARQHQVDRQTDG RGRKSSQGDEKYQRSGSVSSVSSASSSSSESSIGSLPDYDQVKDHQLPLYAERLRDWT SRPEQVRTKNDVDDLKLELKRTKDASKDMPAACGLDKKALKAQIKTLQAQWKAIKKSQ RQTRKARKRERRQRRRAQKREKRQQKREMKKAYRDAKRSHGPSGTHFPPAPPLAPMPL MPPMPPMLPAAAMPPAPPAPPLPPMPTAGPVPPCWNQSLPPGPGGPSQRGMFFAGPDG PLGQRGGPFGSRGPPGEQGPPGLRGLFGGRGFGRGNGGRGGGPWGGRGGPFGGPRGGP FGASRGRGRGRGKGSPRDAPGAWPEEADGERGQEAGVTLAPPCAPPCAPPPRPAPGAA SLAKYEAADRIKEEIAELSSKGADLKAGAEKRATEKQIEALTEKLEAARMEADEAYAK EMAAKDAGHY UV8b_00417 MPSATAGYAPDLEKYIKSLRGQALEAAVETLISLLKRRQIRGSE PCAVATAHILLQVVARSKWQDVDGLIENVSRIGRRLVQAQPRELVIGNIVRRVLSLIR DEAAEDRNEASSETPSEAPTTPPHPSDTLGLLQSHPSILAKQESAVDYLSNPAVPPVR PGPMSSYSSVNVSKSLFHLLSASPPSLGDGFSSPHRNSGTSTPTRILHTTSSRVHALR SEVIDGIEEIKDEISQVDDQIAALAEVQIHPGDHILIHQPSSTVERFVLRAASKRKFT VFIVTEPPREQTQDVQQPIFRKKLVAAGITVINVMNSGLVAYMSKVNKVILGARAIAA NGGAVTDAGAAAVARAAKEQGNAVVVLAGVYKLSPVTPFDEDSLIEWGDSSTFVSFAD GSMVNQAEIRSAVTELVPAEFIDTYVTNLGTHSRDHLASLIAEHYKQEDVDFHLGDPC E UV8b_00418 MNSINIITSRVSPAPSPNASRSNSISNISAGLVSAESSTDAVDG EKSPSSEPFPDADSDDLPHQDEKRDDRGGEHAPLLHGSKDSNKRSGWWHAAPRTFASS IVNSIRWVLATLASPGVYLVACFYDEQGAFAPLSQLKKLFGVRKGGGIDGYRSHGNHS KSSSAAGSPLSEHRRIAPRPAPSSGSSTSGQSSESESESSKHSASRHGRSRSFNPAGD VGSAGRSIRIKLHNDESLRQRKQHRRAQSAVARTKASDEDLSAQLKSPTSPIAALTRY PKTPAPPRPLIPRRQPSYLKQDASVKHQKTLILDLDETLIHSMSKGGRSSGHMVEVRL NTASLGMGNAPGGAAQHPILYWVNKRPFCDEFLRRVCKWFNLVIFTASVQEYADPVID WLEAERKYFSARFYRQHCTFRQGAYIKDLSSVEPDLSKVMILDNSPLSYLFHEDNAIP IQGWINDPTDNDLMHLVPLLEGLQYVHDVRALLALRGGEDGQHMA UV8b_00419 MSLHYLPPVKPSAIALGTFFNHGVELAVLGPVFGQTYQRAKAAN TKEEFVRSKEASGAAIAWGTSFVGSALQSYGVGALINATGTLTYRGAAYLGGLIFAAT AAPSFLGQIFTEKRPIDTVGVSVAAKLVETVGLSLFLTWWGTRTNPFE UV8b_00420 MAAERPDKKEKKEKKRSEEAGVSKPKKEKKDKKDRKDKKEKLAA ALDEKLQQDVAAQASQPADHGAGSDMEAETAAPVPLERAVVPFALPLADEKGLKKVYK TIKKAAKNGTLKRGVKEVVKTLRKSPACGPGNKSFPGVVVIAGDISPMDVISHIPVLC EDHNVPFIFVNSRAELGAAAKTKRPTSVVMIMEKQDIKKKAAAKDADKEDDGEESYGD AYASLVKLVQKEYSKQAFWTKGESTA UV8b_00421 MRPTQPLSGSGAPNWKIGHWIGDWGSFGGAKQKGIIHYGVSANR QNPLAGIVHDAIFNTFRRTKGQIFYWVPPMIAAYYLMDWATERNHYLNSKQGRAEFAG EE UV8b_00422 MAAAAKALNLMYRLAVPVSAGAFLASQSLFDVKGGTRAVIFDRL SGVKEDVINEGTHFLIPWLQKSIIFDVRTKPRNIATTTGSKDLQMVSLTLRVLHRPNV RALPKIYQNLGVDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSQKIRSELTRRA SEFNIALEDVSITHMTFGREFTKAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEG EAESAETISKAISKAGDGLIQIRKIEASREIASTLSSNPNVAYLPSGNSGNGAQYLLS VGRV UV8b_00423 MSLSLKHIPCPAGRSCTAFQCIFGHGEEKAAAAAAPQHSQNQKS ASHQSSSPSHEGSRKRIKIDERGKSSNPQQNVTATSPTRHEAGEGGSKVVPQTATRAV SPPPLKRTAAPASTSTRHASAEPRKPATAKHSTPSHPNDTKPISKPGNDLPKKRKPES LNPRLLKSSPASHETRLKLLKMLHSEFVRLNRELRSDGTPDELALVMSDQDLIFKALD DEQAAALEKPAVYANVMKNKVMQYKRMKVPQWKEERRQETLQSEQQANGGRKPKEVNT GLASAQEVKLLHRLITDVEGLSDHGYVPSVPSEEAVAEALKGLEAAKGWEKCDRCQQR FQVFPGRREEDGALTSGGKCNFHWGKAYVPEKAPGDFSRIPKRYQCCGQEVGDSAGCF AHDHHVFKVSDPKRLAAVMNYAATPTIRNGPKGNAVAFDCEMGYTVHGLELIRLTATD WPSGKELVDVLVQPIGEILDLNSRYSGVWPDDLAYAEAWTPSVSDSASATGNGSKKQF KKVSSPEVARDLLFSLLSPTTPLIGHGLENDLNAVRVVHPTLIDTALLYPHKRGLPYR NSLKMLMDVHLHKTIQQETGPKVSGHDSAEDARAAGELVRYRVMNEWKDMQRAGWRLV DGEFWPPGKPDGSLTTDFIEA UV8b_00424 MGSLSAASFALVVILSGYFASLSLTPPNVNPAAHQAWGQDSIRL LIQPGPIMVVRLLVLGIALHHAAVALMLHTKGAGRAPDDGVNHRLFKWTGFTSFSLFL MLCVGTPLRLSAFGALGSRFTFGLAQPNELNTSGIYHYIQHPSYTGFLLIMIPYLLLF VRWDGSVVYWLPRRVRRSLDGWGFVGYVAGFAVIALGAALRVSEEETMLKESFGHKWE TWNRNTKRFIPGVF UV8b_00425 MTLPVYPQYEGGTPSATHLCVLVHGLWGNPDHMRNVAKSLRDRH PSSELRLLFAKRNIGSFTYDGIERGGERICSEIEEELRAVEESGGKITKISIIGYSLG GLVCRYAVGLLYAKGILDKLECMNFTTFASPHLGVRTPLKGWHNHVWNVMGARTLSMS GRQLFTIDSFRDTGRPLLSVLAEPNSIFMHGLGKFKRRSLYANIVNDKSAVYYTTGIA KTDPYRNLGAIKVNFLKGYEGVLLDPQNPIRPPPKLGEATSCSSATESIMRWVKNIPF MITVTVFLPIGVLAYLCNAVIQNIRSSQRIKLYERGLAGVSIDGYRVPVLIAEIREEV ENTYEALNSLQSQEFLSSEDEDEDEDEDDGKSAEDRKLLQRERRMSVPTEPTLALTPQ QFEMIRSLDTLNWRKYPVWIQQHRHSHAAIIVRLEKKSFDEGWVVLKHFAEDEFLI UV8b_00426 MDWQRLEMRSREMTMETLQTDRTRLGPDVNWSLGSYQDCPTWGS TPTTVDPRNHRRGVAGVGLGCFMSASIFVGLSDCRIGSAEAFLGLGSPPPPASSPAAP SVDVMKPTWPCVSGATT UV8b_00427 MRFSNAICAAVMATATSAVRVSWDGGYNEAGRSMGVVSCSDGSH GLMNRYPTQGNLPNYPFIGGTDAIGGWGSGQCGTCWQLEYQGHKIKILAIDHAASGFN INPVAMDALTNGRAYELGRVDATVTQLSARDCGL UV8b_00428 MKLAEMCYGIKKIWSPTAAALRDAFERLHGEDVDEYYDSYARGA LIAYDSYEPPTLEIVHSSDIRVYYSNMGVCKCHNDINIERVSRDWLYNFMGYNEDELC YVFAGQRRLFDEFLKRTASLIQEGKVEMAYVRPRALQVPLEGLNPGLDYEQFASHIKT LDCILFLVPSLRDPLKLDALASTGQAAADLAVVAEVTDTGRYALRRRKSTVKYN UV8b_00429 MAGLKGTLAKYNVANKLYKQSLLQSVCLVSGISMFFFGYDQGLM GGINAARNYAELMGFGHWDEAQQMVVVDKPLLQGGLVAVYYLPGTLMGCLLGGWLGDK YGRITTIGLACVWCVFAAALQSAAQNLSWMFCARVLNGLGTGALTAVTPVWATETAAH TSRGQFIAVEFTLNIFGVVVAYWLEFGTSKYKDLNSSFIWRFPIAFQILPLVALFILI WLMPESPRWLVKVGREDEARYLLQRLRGREGEEGEQAEAELQEIISSCNLEDKTGNQQ SYLRMFFGIGSGKLHTGRRVQLVIWLQILQEWIGIAGIVIYGPQLFAIAGISAPDRLW VSGVNNITYMFATLICVFTVDRIGRRWTLYWGSVGQGICMFAAGGLARATMNASDGAN RAQIGGLATFFVFLFTAIFGATWLTVPWLYPAEIFPLHVRAKGNAWGVVGWSIGNGWC VLLLPTIFKRLNEKALYIFGGVNILTILIVWALYPESNQRTLEEMNLVFASESIWTWE AEKNFARLREENAQLGRCANTGRGTVDSDKRVAAVQKDLVLC UV8b_00430 MDNDRRPTFYRGGRSGTPLLFKPGLETGNGTCDKMDAKRSSASR SRSRLGPSPPTSRLTTPDAELEAGCFHPPMTAQHPAAFSRSSLLHSRNPAVALEAAKA SARKKYTLAAFFLSVSLVAFCVQTELSAYIQRDLGWGKAYCMMFVTHSSWVILWPGAL LVLRLQKRDQPWPQFWRKHLQVVLSTVTMIQLQTTNVTLPSVARRGRPVAYLARMTAY ITSALTVAGLSWYVAVSLTTPSDLTAIYNCSAFFAYVFSVPILKEPLRMDKSLSVLVA VMGVLVVAYGDTGSSDGASASASDSHDDPGGAAPRLAGNLIIGAGSVLYGLYEVLYKR YACPPEGASAVRGTIMANVFGSCIGFFTLTVLWMPLPILHWLNIERFEIPAASTCWLI LLAVLSNAVFSGCFLVLISLTSPVLSSVAALLTIFIVAIADWTLTGQPLSWAAIFGGS MIIVAFVALSWSTYREMMEHEAHKLAVDLTDDEADSDLDHD UV8b_00431 MTKRKFSTHVADQAFVQMAPAIKLNRGEEQLQRLLLDTSSYINK SRSTDEPVVIRWAGGWVRDKVLGMETNDIDVAINSMTGVDFAQHMHAYCSTQEAIGAH SIKPDDVGNLHNVSRNPDKSKHLETAMLRMFGLDLDLVNLRKERYAEDSRNPIVEFGT PEEDALRRDATVNALFFNLHTNQIEDFTGGLQDLKAKVLRTPLNPLQTFKDDPLRVLR LVRFASRLNFSIDADTSSVMADEQVLAALRVKISRERVGTELEKMLKGDHPFLALKLI DDLGLYHSVFTDPTAECTVRPDLSRWHVAYSCLRDLQTTDCPGSIGRLLIPSDDSGYV AWNLAALSPWMVMASPPSGNRKSALPPASMAAREGLKAPNKLTDTITASHMHRKEIME LKRMACGKEAMTGSRHVLGMAIRKWDSHGGFWTLQVLNALLVEAMETMSIWPGAGDRA RDAFIRGWQRFLDQIVGMDLYDAPFLKRLLDGRSLAKAIGAQPGRWTGKALDVCLEWQ FRNPTEKDPSGAIAEVRRRWEELGIPRDEDGID UV8b_00432 MPTENHHELDSPLENRLSRLMLTNQAEQTVPGRQSSENTVWPTA KTSNVPHDAVGMVPWEHVSEAATRQQSRPESQVASRMGRRRPNQAQRRQMTSELSLAM ASPWTGQHPTSLQPDSPPGASVAHAVPTGRDSLGHAGYRDHRSTRSRGESSTWDHTPW ADSQLSPPPQRPTNRRGGCVRRSGWQRAPEPLHLVNCSANPQPVNRPPFHDTANIPGQ AALLDRLCYDVVSEFEIRREELAEKEAFRVKIEGICRQVILHHETHASANAQNFPVAS VQLKCFGSLSSGFATTASDMDLGLFSPLSAVQPDSPDSPIPRLIEKAFLDAGYGARLL SRTRVPIIKLCERPSDKLRDALLIERSKWDNGIESKSDDRLAEPSQNPEDQPEPGDDT TLAGEGTGPPFELAARADQGESRPFHLKQHSQSSLLAYFGLATRVLKRAGGRDAFVQG LDDSVLRQRLDSYPSLSFGAPQTTWCSRSLAGVYIQVEGERIALNLERWLDGHDALDP DKKARQAIAAWRKLQASYRFGIDPLSDNKILQAALAELKRVPAVQLMQLKQDALESPS QYHARVLRILHELGRPGADQSDAVMRKIVSQYISGIQNDEVRDEVAAARADSWNKFDL LELAQKHKCLSLARELERAVENNLYNEQFLSDIQDYVLLLRSHSDGKLNGRYIPVTQD SLPLLSRMKLLPDPRKLTMKTRDRYQDSLEFPPGGVGVQCDINFSAHLALENTSLLRC YSLTDPRVRPMVLFVKKWAKVRGINSGYRGTLSSYGYVLMVLHYLVNVATPFVCPNLQ QLAPTPGYQTRSGTQGMPTCRGYNVQFWRNEQEILRLAASNQLNHNTETIGCLLRGFF EYFAHSGFLSRGFGKGFDWGRDVLSLRTHGGLLTKREKGWTGAKTVIEGSDARTYHPS RGEKPFQLKEVRHRYLFAIEDPFELDHNVARTVIHHGIVSIRDEFRRVWRLIQLSNGR GWTEDILADAAEDNDDSQSFVSLIEELHGPQEQWARG UV8b_00433 MGVPRLYNTLRPLASSAVLENETVVIDGPALAYHILSICRANGV AHPSYRLLGQIAVSWLERLSLSNIHVRSIFFDGYLPPSKLEIRVDRMMTNTSRLCRLY ELFPQRCPTFLLQMHQAQADIDLFKAHARNAYPTIDPSFLVPAVLDALRESDRYRAVT HLVPGEADHFCASDVSHHGGIILTSDSDLLVHDLGHGRVMFFRDLQQDAQSKLEFVCF SPRQLFEQLGLRYPELAVRLAYEQKCSPRANLAQLVKTSAQTTQCTSRFLAFQKEYAS TEPLSFRQTWAGFEMGATRGLDPRISEIILDFHLKLRKGAAGLPVRMFLPPLLERPTS KSAWDQSTAIRQLAYSLLSYCTDNEIMVSSVQEYRRVQNLNCTGRRVELMQQKVAEQY MHQVLLCAAELETLSSDLCDLFWIGLAILLDKVESQRQDTASTAEQLHRFLRSQESVQ PNEGASWMVVHGTAQLHGALYSLRILQQVLLVVGDSQMDQAFPLEKTRALLSRITPLE RYPQTAEMVQIAQKLQDMGMNNLIDSICAKLPDANHGPEKA UV8b_00434 MNVYALVTRVPPRLSCHPIWQLPVPRFFASRYHSYSLPTAINQN IRHGGIRETPISPPEALPKVHETRPPPKLDPTPLVEPATEDKASSTSTSDTATQKPRA ARPRPKLRARKAAMKLTPAAVEQLRMMLDQPDPKLIKVGVRNRGCSGLAYHLEYVEKP GNFDEMVEQDGVKVLIDSKALFSIIGSEMDWVEDKLNQRFVFKNPNIKEQCGCGESFM V UV8b_00435 MTPPTTPNGSQEDLVREQVSPIFHTFLRAFYPFHPSYAMSDSSI TLALDEGDVVLVHSVHTNGWADGTLLTSGARGWLPTNYCEAYEPDDLRSLLTALLNFW DLLRSTSVNDSEMFRNQDFMKGIIAGVRFLLERTGCLNREASIVLRSEGLRKARKSLL SELSLLVKTGKKLQESQSGSLYPPEDVNDIIDDMILRAFKIVTKGVRFLDAMEEERLV RAPASVTIMTTVAEESSIPPTPPADRTVFKGHGIDNASQVGSQTRATDDLDDAHATCN TSSKSSEGSREPQGKWGKRGSSLNAPSNLNTLGQHRYSQGSSQNHRLSSGMAHRVSLA GPSPCSRPHYLVSERLNRSHDRFLSHLGSFIGRLHLQSHSRPELALAIKQSAASGGEL LAVMDSVCIFNSSSIAALSKVRTSMFERIQALVLSARDTLAHAATEGADLIMPQDNGV LLMAATGCVRAAGECVAKTKAAIERIGDFESELDGFTLDIDLSILDVAHERARSFSAS ERSDVTDNAVEPFPLFESSVAATTRRPTGAVEKPLPCVPSVSIPSESSMQQHESPAAS PRPSSMHEDNASSTASSVSLMRPTLPCPPELSTALDTCDCAEDVHDISMIDQDQHASR YDSLVASSAGSSGTYLSRDSETSVVSQTSSRATTPDHSPGPRKHHSFSDLSTTESFSQ ADEHEDVEKRLLVKTFAHELMFNKEGQVTGGSLSALVERLTTHESTPDATFVSTFYLT FRLFCNPVKLTEALIERFDYVGDSSHMAAPVRLRVYNAFKGWLESHWRDQTDREALRL IIPFAEHKLTFVLPSAGQRLLELARRVSGEGSLVPRLVSSMGKTNTALAQYIPADTPL PPPAINKNQQHLLTSFKNGGNGPTILDLEPLELARQLTIKQMGIFCSILPEELLSSQW MKKGGAEAPNVKAMSALSTDISNFVAETILHHPEIKKRAAVIKQWVKVACQCLELHNY DALMAIICSLNSSTISRLRKTWEAVSARRKEMLRHLQEIVEPAQNNKVLRTRLHDHVP PCLPFLGMYLTDLTFVDIGNPATKQMSMGTDSDGNGHGALTVVNFDKHIRTAKIIGDL QRFQIPYRLTEVRDMQDWISAQIRRVRDGDQGNVLLNYYRRSLLLEPRESDSRREVET PTQVSSNIVASRGDLFGWMTRDRGQTATPAQI UV8b_00436 MDASPLTRQPPPEVFTPKIVQLYSSLFKDDDDDGGEKSDGFWKE FFLLRPDLESLRNLLNDLSPAATLFSEGRTRELFGAAIATVKTGQGSAPSHALDTLTT YLSCLLSKRYPHPSSDIISVLAGLDHIDSVFADFISTLDSIVRNGESLIIRHKAVKVL LAATAGAYQTTLLTYMIQRDLFPAVMKFIQDSEKPGPVLEPFILLGLLANYNKFESQN PYQLRFNDFVNESTIQKIVQCVGYTCQALRLDYIDVQDDLPEGWTWSNTLNLIGLGLI VRGPKPDKKPLHDVATAKQLFSELPAASAAVLLAAYDFAHANKLFCFNLVSMPGEAGS ERPIASYLSLTSYMLQHAYLSHRASCYAHLNLMVLRLLVEDPATCRRICSDEVKAPVR LCRHRQPFLPLVKEDRVLAASVLDCMIDGINHNLRRRLDVSLYTLCLGILLRTISFLS RSRTRLAYHWSEFFRSLLSLVRFLTTYTSDLKHLTHISTLLDHVVNLVALSLSAGEAF LPTPAAYDDLFYKVVESGEVLSKFKKSYGLGNRKSNSIDTLISVSTHYEQMLTEGGDS AKKKPRNLTTLQVTEVIKQGYETLSIQAKEGLDKWDKFREADERSLLKQMARVSVVDA RVTVDKDV UV8b_00437 MSSKDEAIDASVMEKGHPTALEGTSHVITGRDEGLPRHSNFMTR TGLNAESFKKAHYGRGQVELERPMSARHLNMIAIGGSIGAGFFVGSGGALAKGGPGTL FVDFLIIGVMMFNVVYALGELAVMFPVSGGFYTYSSRFIDPSWGFAMGWNYVFQWAVV LPLELTVCGLTIQYWNEQISVGVWVAVFLAAIIIINLFGALGYAEEEFVSSVFKLTAT VVFMIIAFVLVLGGGPKSGRYNEYWGARYWYDPGAFKNGFKGFCAVFVTAAFSFSGTE LVGLAAAESKNPVKSLPSAIKQVFWRITVFYILGLFFVGLLINSNDPALLSNAAFTDV KASPFVLVGKYAGLKGFDHFMNVVILVSVLSIGVSGVYGGSRTLTALAQQGYAPKLFT YIDKSGRPLASVAALLLCGFLGFLSLDSRGPVVFDWLLALSGLAALFTWGSICLAHIR FRKAWKYHGHSLDEIPFKAIGGVYGSWLGLALCILVLIAQFYTAIAAPPGKSGLGTVE GFFKSYLAFPVVIFFWIIGYLWKRTGWLRTEQMDVDTGRREMDWEEINAYRAKVASWP AWRRILHHTF UV8b_00438 MLATRALRQAAAHAERTPLIKFIGQRSIPSSLDHSPKPHPASPT GQLPESFATGGNGSSASRHSSFSSYRDHAQQHGPLQKTIRAVEGGIGGSSGSQLGSIQ PPAGVFFDVSELPARFRRAPIELEEIEAVESGGAALLG UV8b_00439 MILPGFRAAVVLTALLSTMPGCAASKETAVEAAEAGIYANASAT PDINSQCQLIQRETDRLFGKPLTRDEYYERNWLWLRCRTSINPTFDAGGSWVGEWGVS VYEGLQNRGCGFLNWHFKYVNQRDWGDVMFGFDSNDECEAKGLKGVSTKLLDMARETA RKLDREFHVWNCEHLDGIYAVGTEGLEKAPGTSKG UV8b_00440 MAGNSNEFKPSELASAMQLRTRKEKGFDDIESGLTLAKSSSIPL AQDKSGFTGWLDRLNIFRPFSKPVTEDDVVWLLDNTAYRSSQSQAWQAEFVAAVFERE DKQKLMDMVTGVVRAVGLADDAAERKTVEERLLPFLWNVRPARTIAATHQSKQLKLGP SNVNGISSNVFKVSDSSGGSLVKMSAEIGGGQGSIASMQTYYAGDDGWGIISDIDDTI KVTMTSDPVGILCETFINPPRPIEGMPELYAGIRSALPEDTAWFYLSASPYNLYPFLK EFRKQHYPSGTLILRDSSWKTVAGLLSALTYGTEEYKVDRMKKIHTWLPRKKMIVIGD STQSDPEAYGYMYRAVPGWIQLILIRKATKVAEYGIDEKNKPERFEKAFKDIPRRAWH VFEDPSECLDIVKKAMEG UV8b_00441 MKSTSSRFSFDMVGAAKQEKLLEERHRQRELERKSPASNGLEDS RFADFDEDALDYDAMMEDDGLEEQIPGVNVDFELENPEPGAGGLECELDPDNDQEHFA GFFFQRSLTPSSLDTPGMPVPPEAVNQSLQLTSEDRVSGSSIDYTPQPAADARSQLEK EDDFYYDDGLVGLESELSEDVAKSSDKNNQPFDESIFDEDDTDPFGRPVPGAFKRAQS LRLTIQHGSMNRESDVTSHLSTHSAISLSTAHTSLGTDAQVEGETLDSRAERLEKECH AHNADPFETGEESVAAYQAALAAAAHRAAATGRFHRSSSPPPVPCQAEDASASDYRDD EYANDSHGDFDDDADFDDDAIIAEANASALANDSDGWYGQEFGFYSAPPGFHSLSHAS GSSSTLNEYKYANGGFFGPEGKGGVDRSASGRMISREPNLTPITERSEYSNRNSLMSL GYPPLSTSTPVAQNPGLAQLAMMADCGDDQMTLSALLRLRSRAWGGSQVSLASSREGS PRSERGDNPGEAQR UV8b_00442 MSLAMDGATPERSPRGPSSPERKVEAPSVESLLVPGVGNTSRSS LAAAGEDILALQDLDPALNRKMHLVNNAIDEIGWTPYHLKLFFLNGFGYAVDSLILLF QSIVAGPAYREFHNTGYENALTIAVYCGMLFGALFWGFSADVIGRKYAFNISLFMCSV CCILAGAMPSWPSLALFIALLGFGGGGNLIMDTTVFLEYLPSNKQWLLTFLACWWGFG QAITGFIGWAFLVPEKWNCADVNTCSRDNNWGWRYCLFTGGALVLAMSILRLTVVRLR ETPKYLLGMGEDEMVVETFQFLAHKYNRPCSLNLEKLQACGTIQAARNSTGFSLKGSA RNFSGLFSTRKVAMSTLMIWLSWTLIGLAYPLFYVFLPTYLEKHGLNYKQTPFEKWRN YAVTNVCGIPGPIIAGFLCNTKLLGRKYTMSIGALMTTAFFFGYPAVSTRGQDLAFTC LIACVLNIYYGTLYAYTPEVLPSAHRGTGNGVAVACNRIMGIISAVVATEANTATSAP LYVCATMFIVAAIVSALFPFEPYGRRSS UV8b_00443 MPTRSSLTKLTLCRQCGRSICPVSNTRFFHPSRASQISAQTSKD QESRQDGKRGETEGDADSEDAERGAMTRRLEEATEEALLAGGASGRRALEDAGFSEAL KEKLLKKMADANSGATKHAGHGMQDGPASAAARAGGQLTHLPSGSPWTGTETTADAVF RMLNDAKKPLKASDRGGFQILPVVAAAADARMRRSVPQSPGQRAASARDKANAYVGSD LKTVRGLSDEERKQVRAELRERFEPAARAVPASLSGLAALANQRIEDAIARGQFRDLP RGKETQRDARAGNPFIDTTEYLMNKMIQRQEIVPPWIEKQQELTKAARVFRERLRNDW KRHASRTIASRGGSLQEQMKRAEEFAAAEAAHNARRSKHGEQIEVAAEAETAEMQQPQ QPVPSPFRDADWERAEQAYMKLSVQHLNSLTRSYNLTAPELAKKPYFSLERELSSCYA DVAPLVANEIKARAVRRCDVGPGGSGTTTSTGPTMMEKLSGKDRVRIHLEAEEKAYGL KEWWRDFWKRK UV8b_00444 MALNKETGELGHDVEHVNLDPADGPGPGPGHGHGHGHGHGLSDP AKTHLDIIESARAAAAKEQAMTLWQGLKLYPKAVGWSLLISTCIAMEGYDISLVNNFY AFPQFTRKYGEFQPKTGTYEVTAPWQAGLSNGAIVGEIVGLFINGIVSERFGYRYTVM ACLVMITAFTAIFFTAPNVQTLLAAEILCGVPWGIFQTLAVTYASEVCPVALRGYLTT YVNFCWGLGQEIGIGVIRSMLNRDDEWAYRIPYALQWMWPLPLFIGIFLAPESPWWLV RKGKTQEAKKALLRLTSLDRETDFDADETIAMMVHTTALEEKMTAGASYWDCFKGVDL RRTEIVCMTWAIQNLSGNSFSNYSTYFLKQAGLSDRDAYSFALGQYAINMVGVFGAWG LMTMGIGRRSLYLYGLCGLCCMLFILGFLGLVPEEHRTAASTATGSIMIVWALFYQLT VGTVCYSLVSELSSRRLQIKTVVLGRNLYNIVGIVTSVLTPYMLNPGEWNWSNYTGFF WGGICFCCIIYTYFRIPEPRGRTFAELDVLFERKISARKFASTEVDVFHEVVEDTVMD QYETIMDPKSEKGPGQA UV8b_00445 MRASPPPPPPPSSNPSGDPSRDTPAHAPDRPEEAIHGRKTPRAW TLLAIWYCAPHSWPPSLADFILLYCLGTFKAEC UV8b_00446 MMLSTLRIATRHASRRPASSSLAAAVRAASTWSAVPQGPPAILG ITEAFKADKSDKKINLGVGAYRDDQGKPYVLPSVRAAEKKVIEDKLNKEYAGITGVAE FPALAAKLAYGANSPVLDRVAVTQSISGTGALRLGAAFLQRFLPGEKKMYIPTPSWAN HKAVFSDSGLQVQQYRYYNKDTIGLDFEGMVADIKNAPKGSVFLFHACAHNPTGVDPT AEQWKQISSIVKDQGHFAFFDMAYQGFASGDTDKDAFAVRYFVEQGHQVALCQSFAKN MGLYGERVGAFSLVCADAAEKKRVDSQLKILIRPLYSNPPIHGARIATEILSSPKLYK QWLGEVKDMADRIITMRALLKENLEKLGSKHDWSHITSQIGMFAYTGLGANEMEKLAK EFSVYATKDGRISVAGITTGNVGRLAEAIYKVKG UV8b_00447 MLPPVDRDVLRDNPQFAKLHAALRNDLLNPDGSTKPRRRPAHAH QADLADARLQTAKLHLLERALAASRPASPATTTSSGGSSGGSSGALARLLLLLPSLVG DDDDEHDSAAAAAAALGPDAAALLLSSPPFCDLPSLLPQLGSLASAQLHASALCVARV AHPSRNPSFLHRCIPSLPGDHAALRQSLAGARAALVDARLDALALLADLLRLYTQSLA LLIQRLEFKHVVAARNLELRALDVSLAARQTARDAATAASSAARDVYTPDTLAALANY SSHLKDAHVRAAERVRGLKAELAEYGVGADNARDKEKTMRALARTCREMERQMEDVNN DLDRLRGRTR UV8b_00448 MVAATPLHQLRRVRVPRLLSACSQAFSALSPPSAPPSLVVRSPE CASRPRPLARSAAPAPPPSPRCFSTSPPRHTKTIKPHRLPANLIPPYPYGQRRVYKQS NRGLYGSARIRFGNTVAEKHRNKSRRVWRPNVHVKTFFSPALGARVKTRLTLRVLKTI RREGGIENYLLKSKPARVKELGPGGWNLRWILMQTRLVQERFNRERIALGLEPNEIED RDDVIQFALDYATPGPLSVRSLATLDDMRASVADAFVLGEETLADVEGVQELSDEAEE RLLRDMGDADEHHQHHHHHHHRHVLDSQPRPEA UV8b_00449 MNAIKQTFQRCKAQGKAALVTYVTAGFPSPHDTTDILLSMEKGG SDIIELGVPFTDPIADGPTIQTANTLALRHGVTIETTLAMVKSARDKGLRAPVLLMGY YNPLLRYGEEQLLQDCRAAGVNGFIIVDLPPEEAVSFRKLCTKGDLSYVPLIAPATSD TRMKILCQLADSFIYVVSRQGVTGASGSLNANLPNLLERVKKYSGDKPAAVGFGVSTR EQFLSVANIADGVVVGSQIITTLQKSADGQGPSEVENFCAHLCGRDALPQENATREVG IVEAISGAKGPDGDQVTVSAVVTEDRITSEKDSALVAQLAALHGKIPERFGEFGGQYV PESLMDCLSQLEEGFNKIKDDPAFWEEYRSYYDYMGRPSRLHLAERLTEHAGGANIWL KREDLNHTGSHKINNALGQLLLAKRLGKTKIIAETGAGQHGVATATVCAKFGMECTVY MGAEDVRRQALNVFRMRLLGAKVVAVEAGSKTLRDAVNEALRAWVVELDTTHYIIGSA IGPHPFPTIVRTFQSVIGQETKKQMVEKRGKLPDAVVACVGGGSNAVGMFHPFANDLS VKLLGVEAGGDGVETGRHSATLTGGSKGVLHGVRTYVLQDKNGQISETHSVSAGLDYP GVGPELSSWKDTDRAEFVAATDAQAFQAFRLISQLEGIIPALESAHGIYGAIELAKTM KKDQDLVICLSGRGDKDVQSVAEELPRLGPQIGWDLRF UV8b_00450 MSSRKRIPPTSYPDLPSSLHSGSVEVSRNLKPANYSRPASSASE TPYLGLRSRLSQIWINRWTVLLLLVLVRLILLLAQLNDNVGDAKLRALSACSKVEDIG SAMASMPHYLSAGVNDIAASGIEKAVHAMVVVLDLIMQGVEGIIIFYINFLTATYVCL ITALVHGTLDAVASVTKDATAAFNKITDKATSEIKDIAGGLEKAVNDITKGIQDSVFG KFVPKIPKVDFTQPINELKGFELNSSDFVKDVQKLNKDLPNFEQVQNLTKQAISMPFN IVREALSQSYSSFKFDRNVFPLAQKQQLTFCSDNDKLSSFFDKIFELIHKARVAFIVI LSLLAIAAIAPMAWMEIRRWRRQEKHAKLIEKNQYDPMDVIYIASRPLTATSGIKVAS KLTGRRQILMRWCVAYATSAPAIFVLSLAMAGFFSCFCQFVILKAVQKEVPALANEVG DFAGEVVAKLEHISDKWAADANGVIKGLNDDVNKDVLEYVANATGAVNSTINTFVDAM QTGLETVFNGTILLDPIKAVLHCVIGIKIESVQKGLTWVHDHAHVEFPLFSNDTFSIG AQKSISGDSGLSTFLASPSSVTTDEVTGAVDRVTNWLRRNLVQEALISTGILLVYVIV VLIGLSRTLVGMAMPDHSQTDAPLRYTGDDRPPLNPQTGQGSLPTEAFGHYGEKVTPP SGRAPT UV8b_00451 MRSKFKDEHPFEKRKAEAERIRQKYTDRIPVICEKVEKSDIATI DKKKYLVPSDLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGFFQEG UV8b_00452 MSNSNVVASPCPTSKPLWAAILALSIGASLAIQLNAANTVNLDA ATLAEKDAQKKREAAINEDSPMRLQMEKFIKDQQKSIVKELEKVDGKKFRKDEWERKN GGGGITCVLQDGNVFEKAGVNISVVYGTLPKPAIQKMRANHKRLDPSVESLDFFAAGL SMVLHPKNPMAPTVHLNYRYFETANPDGSSQAWWFGGGSDLTPSYLFDEDAIHFHKTL KQACDSHDKTYYPRFKKWCDEYFYNKHRGECRGVGGIFFDDLDETERDQENTFAFIQD CLKSFIPSYLPILEKRKDMPFTEQEKDWQQLRRGKYVEFNLVHDRGTAFGLNTPGSRV ESILVSLPLTATWKYMHEPEPKSREERLVDVLRDPKDWV UV8b_00453 MARYSLPDHGEMVLSLIRAISQSSQQPSPSRIGESSPQPQRDEA RDPSRELILTLHVLFPNLVLPALDLLDRQLVEKIQVASGENSSANLDQPPRRADSRAR VEQPHRRVAPRPSVYCVRSLPLTASSRAATCGPRDRREGSRSHTVHLGVWNCSCAAFA LDKYSGPTSNHRVCHVTAELPLMVAHASLDEASGSRAGFPMCKHLLASLLVDDGAEWG ALKCVERAVSKCEMAALMSTV UV8b_00454 MPTTTAETLSLVTRNVSVAPLVLLSAVDHYNRTVQNRTKRRVVG VLLGQNDGKNVRVSNSFAVPFEEDEKDPSVWFLDHNYVESMNDMFKKVNAREKLIGWY HSGPKLRASDLEINELFKRYTSNPLLVIIDVQPKKSGVPTDAYFAVEEIKDDGTTTSR TFVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRVTNQLQSLQGLHLRLRDIGAY LQKVLDGKLPVNHAILGNLQDVFNLLPNLSSPESDGKSVGGELSYAMSIKTNDQLMAI YLSSLIRAITAFHDLIENKIQNRQQQEDKEAKKDENGGLGESKENGTAADGEKQSSSD KAKEVKDKKK UV8b_00455 MGFVEDELRQLKEVIGNLDSRIKQLEKRATGSTPSTKEIRMIIM GPPGAGKGTQAPNIKERFSCCHLATGDMLRSQVAKKTPLGREAKKIMDQGGLVSDDIV IGMIKEELENNKECQGGFILDGFPRTVPQAEGLDAMLQERNQKLQHAVELQIDDSLLV ARITGRLIHPASGRSYHSTFNPPKEPMKDDVTGEPLVQRSDDNADALKKRLVTYHKQT TPVVGYYQRTGIWKGVDASQPPGQVWTNMLEILEGNKSHSSSLLNRVTGKN UV8b_00456 MASPSVPKTMPTYSPRAQAALLKAANRVFDIHQSVESLDELVNG IFLAQILHELDSEFEPSHLESAQGTSKYLTNKRNFQTVYKGLFRFIRRQVPELSCQAK KFDYHAVAENPGPQGISQLLAVMVSAAAMGPDNGKYVPRIQHGLDRENQAEIMQIIRS MQQDIANYKDDDGLDEAIDAVMEARDMDLLVEEQNAALRQQLESTKKNLSDYITRLEY LQQSHEELRYEKEKNDRELEVLRKATHDGANSAEAIKLLENQVHEQMEIIAKNEETIR NHDRVKAYLDSEVQRLSQKSIQADELRDQVAEWKHKAEELEKKANTAERYKQKLESQQ HLAKEVQNLQYEKAELQEQLRSFVNDKDRHDRTKKAEDELTKMITQSEQHLWDERNQK NQLIKDVAALEEELTRLKAQRTHDERFIQDLQEQMQQGPAAAAQSGRSDPTSASFSLE DELNNASDEDRQTNIPLELSRLKAENELLRRTLGSTGDAASLRRDLEEQRRQRDRLQQ NFNDIFEKYTITREQISALMTESTDEGSQSFINLRNKLVQAQEELAEATKRANDWQSQ AADSSRDLASANAKLSAAEKGGIEAIDELKSTDKLISESLKSELDRLREDYSFVISER DAQKSQLIDALLAKDRFRKELEEMKELQDTTTNGAGDADMSEAMKRASDKIEKLRTRL KERKQQLEQSEQEKVDLQGRLKAAQGAENSNAQRMAMNQIIKNLQRENALMATAWYDM TTRLQSNHVVLQRPQDAPRSWLNKQRQMVNGQLRQRDLLYLY UV8b_00457 MRLLPYLALVLGTLPPTIAVDQKKSAIIYFDDTVPDSILAEAKS SIVAAGGKITHHYTLIKGFAVIAPEKALETVQAWGTQHSMKETLGEAQNMVLSTATLY LMFVLFRISRHDGKGVGIFL UV8b_00458 MMPRHHESGFSNGYPRGNTFDISPHRFQPRSSIPGNRRRRKLLF RLGLAVLLLIAVGFWISSSNPVPSVVSLGLFSATGNLELETVRYYDLSNVQGTARGWE REERILICVPLRDAEPHLAMFFSHLRNFTYPHNLIDLAFLVSDSKDRTLEVLAENLGR IQADPDPKQPYGEVSIIEKDFGQKVNQDVESRHGFAAQASRRKLMAQARNWLLSAALR PYHSWVYWRDVDVETAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGEQPYDLNSWQES ETALALADTLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMEIDGVGGVSILAKA KVFRSGVHFPAFSFQKHAETEGFGKMAKKMQFSVFGLPNYVLWHLYEPSVDDIRHMEE MEQERIAREKEEQEKKAKEQEKKNKEQKVKEEFGDKSGQWEKDKQQLLEQEKRQRESS T UV8b_00459 MESQLSPEPTIRLRPSLDAPRMHYRSRPVSVAVDPISLVISECI SITSAIQKYARSPHSSVSAILGGNPNAIRLGPAGIATKTLHKPWSADAAAVDGPQEAA MAASNRWGLRGEKGKSMQDNPMIAAFGKLRHEIATIKDIRNFDAPTLLAPFLLVIQAK GTAAAITILALGALRKFLAYGFVCAESPRFALAMQSLSAAVTHCQFDISDSSQGEVVL LMILNLMEDMMSGPGGDILSDESVCDMMGRGLAICSQPRFSAVLRRTAEAAMVRMCQI IFEDVKHLELDSYKDNDDLDHRVQDDMAALKNNETTALEHGQTSPNAERGRIQEENET PSSSLDIDIQSSAHSSLNAKDAAADRTDETESVDLKPFSLPSVRELFRVLVNFLDPND HQHTDTMRVMAMRILHVALEVSGPFISRHPALATIAEDRLCCYLFQLVRSDNMAVLQE SLIVTGTLLATCRGVLKLQQELYLSYLVACLHPTVQIPREPGIDPSLYVGIPETPKVV KPPPSQSGSGRATPVSVKDRQKLGLEGGARKPDARQAMVETIGVLSRMPTFVVELFVN YDCDVDRSDICEDMIGLLSRNALPDSATWSTTSVPPLCLDALLRYVQFLAERIDDAPV FENYPDPGHLREQRRKKKIIVKGTSKFNEKPKLGLGYLEAQGIISDANDPIEVAKFLK GTSRASKTVLGDFLSKRGNEAILKAFLDLFDFSGKRVDEALRTLLETFRLPGEAPLIA SIVECFSEKYCADDTPDDVANKDGVFILTYAIIILNTDQHNPNLKSSKRMSFEDFCRN LRGQNDGKDFSIDFLRAIFDSIKSNEIILPDEHNNKHAFDYAWRELLLKTEAAGDLIL CNTNIYDADMFAATWKPVVSTLSYVFMSASDDAVFARVVTGFDECARVAVKYENSEAL DQIVYCLSYMSTLASTNLFSTSLNTEVQVGDGSVMVSELAVKLGRDFRAQLATLVLFR VITGNEALLRRGWKQVVRLWMNLFVNCLIPSFAAANDFSLTIPGIPLQTPSQIIDRAA RTSETGFFSAFTSYISSYAADDPPEPSEEELESTLCTVDCIKSCNMDQVFRNILNLPL DASAELVKTLLDQIPDDDQTSLITVNQAPLPAPSATAEPQAPRQPAYDPSVAYILEFC SSISMRDEESVQCMGKAVFDAAFAFLRDPLKWHPLTVSRATFHSLSILKRSYDHDFIK VHSLLHTIANLPSQVLRKTSNVVLTGLATCTNAPGALRSEMMKSPDFWALLRALAGDV DSAASVFGILESGAVGNPPAINADNYEAAITLLNFFASAPTIIEPGSPRVDASHRGAG RQTTSNQRGDQVIARGCKAIKTLQSMTARVPQLMQQSRLGSSEAWSAYWLPIFQVLTA QCTNPCRDIRQLAFSAMQRSLLSPELTHTEAKEWTAIFSRVLFPLIHRLLKPEVFSAD RDGMGEMRVQVASLLCKVFLQYLVLLSEWDGMLDLWLDIIDIMDRLMNSGQGDSLEEA VRENLKNVVLFMSSSGFLVPSAQNPSKQSLWDETWRRVDRFLPELRSDLASEGLDQPL PTETPAENTEEGQGPHDPAQAAEAVVGEKKTEAPVLAEN UV8b_00460 MPHVRPREYIRAHPDFDLQYVEVIHRHHKRTPYASNAFPVESHH WDCDDVHLHLYGQPLQGNAAAAPVFQQGYTSTTNPFVPSGWIGSCKFPQITAGGLDDS WQHGADLYAVYHHLLGFLPARNADYKSAVTYRVTNNEITSQVAAMVINGMWSTTDPFP LLVQAPRVDSLEPQYACEAADSLFAAIKSRSNPEWEQHLDLSGGLFRKLDDISSVPSN DEGFHASFDRYYDNLSARQCHAISLPCKLPHGGNNTICVSQEAANAVYRMGHWEYSQI YRDHPSSLPASVAAFGVWISELTSHLRDVMSGKSRTIYFHNVAHDGSVSRLLSILQID EMTWPGLGAEVVFELYQQKAPMPNSGSADSRDAPPDSNLGCHGATAPDGPLKSRASSP TGYYIRVLYGGKVFKSSQPILGRLDMLPVEVLLDYLDGLVGKEASLIKTKCRR UV8b_00461 MVSHNVPRATQARTPEQRQQELEKIRKYQELEQKMRQKMTTSKY DDETFRLSSKLLRLNPEYYTIWNVRRICFDSSVLPQEPCGDGSNKGEQENGELETAAH GKSARVDEDLFRSELAFTIPLLMEFPKCYWIWKYRLWILQRAIERLPVTAARAIWEDE LGLVGKMLHKDRRNFHAWGYRRHVVSELESTSLEGKSMVEAEFLYTTRMIHMDLSNFS AWHNRSQLIPRLLDERNADTASRRAFLDEELAMVHDALNVGPEDQSLWYYHQSLVHSI SGATRHGPIVRVLSVEERRQYLQREVDFMQDLVEDYSDVKWIYQALVQCTLAVDPLRN HEPKEADRQLLGQWLQRLRELDTQRRGRWDELQQHIGIASPSVDFGRYLAD UV8b_00462 MAMYSRQISSPVVVIPARPLYQTQLSAGTFGRHYSSGMHFNATP ASCPQIQATSRGRKRSRDEASTNLEPDSRRPHVDSWQNNGTGGNGAYVEESTDQGRRL FQEKNASQMESRSRKAQRLDHSPDQAPLQASLHGTCYDGASKDSQGNLVSTACGNSLV IDAFTILLGIGWRQLGTDEHVQAAARGWARFIEKHFKLSNVGIRLESRGLQSYLVEAA EGYFLFAENLRRGRLVSRTPDKALHNLQLSPPVFEGPELDLTLAENQQFEPFTESAMA IDE UV8b_00463 MSNPSQLFLLSDHIKLSLLERQRATALDLDNGTQDGHISQSLDQ FRTGLASLEKEKARHEQQGNTESAAALADFLSSLQQQYDDLAAEFNGFSSASTRQTLT EPNSSELGHDFSHAASRRPKTVRFSDTPLPLPQEELFGAYRDDPSVDSAARDDPTADM TNQQLHQYHSQILEEQDEQLDRLGRSIGRQREISMQIGDELDSHVAMLDEMDEIVDRH QSRLERAKGVLVKVSKGAAENKQMTLIVILIMILVLLIAILK UV8b_00464 MAWAICASDGHYYYLSLVMYHKLHHFYRPVEGFVIDLLAQTAFT TQSPPAAVSRLTSQSLLHPGGNYYALVIHRERSRMTYAARSVYEQPRQARFEKLFHVA VGLALDLNFFRRILDFSSASPLQPTFSLSTFHLDTTYVGANESWSHERADSYPQVFTR NHPSSSRSLPVAPFRVLDAPNLRNDFYCSTLAYSQSFQTLAKRATREFLQLDDQIAQS LSCRSRRRRHASKYSNPMRSLA UV8b_00465 MSPLLSQEDSSPAEPIAVPGHVSVRRASGYTNSVYFTNWGIYGR DYQPADLPVSQISHVLFAFMNLRADGTIYSGDTYADLEKHYAKDSWNDVGNNVYGCVK QLYLLKKANRNLKVILSIGGWTWSSNFPAAASTADTRSTFAKSAVTFLKDWGFDGIDV DWEYPADATQAKNMVLLLQAVRDELDAYAAKSAPGYHFELSIAAPAGPDHYSILHLAE LGQVLDHVNLMAYDYAGSWSSHSGHDANLYKNPGNIDATPFVTDEAVNTYIAGGVPPH KIVLGMPIYGRSFSNTEGMGKPFQGIGSGSWENGVWDYKVLPKPGATVKHDDVAKGTY SYDAVIKELISFDTPASVKEKVKYLKSKGLGGSMFWEASADRTGSDSLIGTSFAALGN PDSTQNWLSYPESRYDNIRKGMA UV8b_00466 MIKDDPDSGAQGIQPSTPAMTHSVAWRSNTRIVDLEKAQLTIDY LCTRKAPSLSYLLFPDFAEIAPMTLSSGKGSHISPRKSPLEEEELLRQQSAGLPPLST L UV8b_00467 MNGDLSLSRALGGLRIANPDDAADAALNNLTPVTDESARVDPNF NPTHHPDTHGEPASLEGGNGLINKRLHSADAANPGFTVTVHQQQVPAPIPARDDSLAN YQLVHPGEQTEPAQYEYDGGIPFSKGSASAPACYAAPSGSGIASSATTTSSMPPQLSS EDWKDRGAAVGLRREVDRSGRFVVRQIKKGVRDFSFGRVLGEGSYSTVYLATDRQTLK EYAIKVLEKRHIIKEKKIKYVNIEKNTLNRLTEHPGIVRLYYTFQDETSLYYVLDLCN GGELLGVLKKTGTFDLECARFYGAQILDAIDYMHSRGVIHRDLKPENVLLDDQMHVKI TDFGTAKLLQDPREPQNPNSLGRGGHPPGPLPRETDNDGRAASFVGTAEYVSPELLTH KMAGKASDLWAFGCIMYQLLAGRPPFKAGSEYLTFQKIVGLEYDFPPGFPPSARDLVE RCLVLEPARRLTIDHIKNHEFFDGQLFGKGLWRVKAPRLRPYMPPPQEPTIIQLNGGV ASPAGPSNSKSNLLRSMAQPQRSGSASGNRPARIITELPPPTQLDIEWSPVLNRSNER ILKLGDLMVVSSPLVNNLNRKGSDEGHKKLSRFFGGSTTKKRQRLVMVTSSGRIVLAP AGGEEKRAKQEISLLSPEASWRTQRDAKGQLVWCVDACGYRYTFEETKSSPNADEGRP SAEEWVECLELAKDMALSQTTNAHGDDAPFGEISSTVSSPASTLGSRAQYPPDGYGAN DRSGRGRHEEATSKRNRFSKRQSRNGLGPAF UV8b_00468 MLVLQAQGCEAARLQGCKPPPHLIVRRQQTSTDLGRAALRPDFT HGHRYHLTSMATPKNRPRDPPPVLFLPPSPGASHVSLPSTAPPAPAPAPGQDALPPER DGRALSRTDALWAQMQAILEDVELAASSGGTHVFGPDHDLQLRRLRSAQIGLAQAWAR NETDDLPAGSADEPEHDAVLGARRREANDRYFRRVNDGVSDVVAKLEQVAVAIRSVER ESKDVWDESRTVDTVT UV8b_00469 MASAAAKRLAGKTILITGASSGIGRSTALEFARTSPDNLRLIIT ARRADALRDLASQIKSEAGAGVQVLPVKLDVANAQEVRDFVTNLPQDWRAINVLVNNA GLVKGVARAPDIDPDDMNVMFSTNVTGLINMTQAILPVFRQREHGGQGDIINVGSIAG REPYPGGSIYCASKAAVRSFTDSLRKELIATRIRVMEIDPGQVETEFSLVRFYGDKAK ADAVYAGCEPLTPEDIAEVIVFAATRRENVVVADTLVYPNHQASALIMHRKST UV8b_00470 MRNTLIFAGNSCPVLTNQICENLGMTPANAELTQFSNGETSVRI LTSVREKDVFVVQSGSPKINDSIMELLIMISACKGGSANKITAVLPYFPYSRQSKKKS HRGAITARMLANLLGVAGVRHVITVDLHASQMQGFFKCPVDNLHAEPIIARWIRRNVH NWREAVVVSKNAGGTKRVTSLADALKLNFGMVTTDRKRVTKTTASIIVNHLDGLDRQP ELEHVGSIKSTTSESQREAGGDTAAQGHHRAGEPSGPPFRAGERQSRQRGPQLDPSEG DAKNARKTPDVPTGQEQLYDDRRAHEVIRGRLIRGHVVEDDFPSPALSAAENSSHDED PMVMSRASSFFNQERQILGGGGNPDASSDEEDNAFQDTKDERMITLVGNVSHRTVFIV DDMIDKPGSWIAAAETVVKKGGAKRVFCIATHGVFGGDCLEQLQGCECIDTILVTNSF PINQERAQNISKLIVLDLSLLLSEAIRRNHYGESISPLFQHTGD UV8b_00471 MISGRQDETQSPLRQPTDKERKYDRQLRLWAASGQSALESAHVL LVNSSTGTVGTETLKNLVLPGIGKFTIVDDAVVGDADLGVNFFLDEACLGLPRARCCR DNLVELNPAVRGDWFPKIVDSDLGIERLLDSSDPFTIILYTLPLRPTYIKCLESHAKK HGIPLLAVHSVGYYAYFTVKLPGLFPVIDTHPDETAVVDLRLLDPWSELAEFADQMTK DIDDQDDFDHGHLPLVVILLHYLKKWREAHGGGLPLTYSDKVSFRDFVARGARTKNPE GGEENFDEAVAAVMKHVSRPSLPTSLERLFEREGQIKGDTEETFWTIIKAVKHFYHKH RQLPLPGSLPDMKAQSKVYVHLQSLYKDKAKRDIAEILDIVRAIPGGAKVKRDEVELF CKNASFVKVIENPENAPITNQVVAQELLNVQDAATAGFQSPHSLAPIYLALNALRLSP EAGREEIIDLVTTSVPCISGNQRLAQVAEELSRSGSGELHNVSAVVGGLLAQEMIKII TKQYAPVDNTCIFDGIESRCQVLRLKL UV8b_00472 MHQQARPPPRLSASPASSPQIAQIAQTRPNNPKDTTPSNRQSSG SSISGREAVASPMMDNPSSLGPPADSIKKLDQIVQNFFNKTAVLIIDSRMKAKPTRSA KGQRKTNKWFQIETDELDDFRDDLKTWKTCGSLDNQPPPLIVETYLDASGLKESQSLV IIDDGGKRWDVMEQLNSSGPSSSGRYHTATTSRTSEVVLERWRIDLKTAGSYPVDDFG PILPTVYKKAIVFFRSLFITTKLLPAWKFASQGPSKLSHPALIPRCRLSTSEPSRLGI DSLKQRIDGRKDPVTEYVFGDLEIPVGRLTTTVTYRNDCSFRVDDSESLLSSRFMGVD ENSFRPSVPRTITDRLHGQAAEVGSLRDNRRGPNLADMHQTYGSLSTFHGDGPLGTSP LSALRAVKSPHSDTSSSPATLPPASGPDVAPSSMPVSGRLPTSRTAIGRSDSTGRRTS VSFQPFKAGSLSGSPIPRQIETGSPASTYSQSRPVSLSIGSQPRNRSSLTAGMASSLR GGPPSAAVESPTMGSPRPASSGRYSSSFTHRRGRLSIGRAGDEEQSSSGRQSLASSVA QPGSGLLAEAGGTSSGSLHVEEDDISDFLKALDSRKTLKSFEPTNRGDSATNRTVAQL SKFHLMKDSNNALGESMTSSMHMQRSSSSSSRQLASVPGMVAPASMSTSSSPGKPLSP HTPHTPHTPAIPSRLSENSIIHYASTGRTTSRGVRRQAPTVPEASRQSTITQEGTTAI DIPLSPRIGSYQRRSSSAAQQGRAVVDDDDTDSAYAAHRSISLGADDRDPPTMSILLG RQMRLEGESAPRRAVASGLRPAAEIQPSDASTSDVLQRGSNEDNLPDGLIPSSGPSGS IFPRRRYTGMGAASKSTPPHSSRGSFTGSLGRLGRADDESVGEEPLVFDLSEMDAQGR RSLEEARGGGVDENAERVAFEPRGTSRRGW UV8b_00473 MFRAQAAGPFDHVVAKATDENLTSEDWGAIIEVCDKVTGEANGP KDAVQSMIKRLAHRNANVQLYTLELAHALCQNCGKPIHREVSSRAFTDALLKLANDRN THAQVKAKILEKMKEWSDMFSSEAELGIMNDAYHRLKQTNPTLQAPSAPQKQGLTDAD RQKEEDELQMALKLSLQDEERKKASAQASSSSQADASLHQNPSPAPAAVAAAGTTAAT VSRVRGLYDFVPSEAGELEFKKGDVIAVLESVYKDWWRGSLKGKTGIFPLNYVEKLTD PTPDELHKEAQMEAEVFAEIKNVEKLLTLLSASNTGPREEDNEEISKLYHQTLAIRPK LIKLIEKYSQKKDDFTQLNEKFIKARRDYEALLESSMSHPPQHNYQQYALRPAAPGQA FVPGAGYPQGQAPQDSYYSQPPDAADHARYQASSPPPHNPFQTQGIQPQGTPAPFYVA GAEVPSGGSGVQQPQQQQQQQQPPPATAAQHYPAREDAQSSLPLSGKQPAPGNPSSPP PQPFAPYSQQAPPPQTGPYLQIAGSIQQQQQQQQQQQQRPQSTYGAQELSTSVYDSPI APHNPPNPSFGQPHLHSYTAADHAPAPATGQAPPPPRPSSLSPAPSQPGPSAPHGLLP PAQGASSPEQTPQPQYRPYVPPGEADGPSPNDYYRQANNPYQK UV8b_00474 MVNEIRPPKEAASVQTRNETVPDFDDDFDRLKRALGSVKSSVTQ GIDLTSLRGNQGGSTFGHPVKMMSSRKKKADVFIHQKNRPEHHLGGTRVSGPRPPSYQ DRRPPSLPVFDSNGPSRIGSSYAEEVFYTDPAKANDDLKALLEGGMDENDQDEHDGPD PATHDAAADQVREPPSTTENAAPKTGIPDKERIIGKDGSIQGLKVRLLPHQVEGVEWM RGRELGPVKRGKVPKGGLLADDMGLGKTLQSISLILTNRRPEKDSAGWKKNFEGVEKT TLVVAPLALIRQWEHEIEDKVAKSHGLKVLVHHGPQRTKDVKELARYDVVVTTFQILV SEHSGSSDAENGAKVGCFGLRWWRVILDEAHTIKNRNAKSTKACYALRSEYRWCLSGT PMQNNLDELQSLVKFLRISPYDDFRHWKEHIDKPMKNGKGHIAIQRLHSLLRCFMKRR TKEILRKQGALNPGGEPSTEGEPSSTGFRHTERKVVTVSAKLDPVERKFYDRLEARAD KSMEAMMRNKLSYVNAFTLLLRLRQACNHPKLLEGKLEKDREALSTGSSQKAQDVDMN AIADMFAGMGIVSKTCSICGKELPLDDTTLQEDHCADCRDDLDYFNSQGSLPARQPER CRRKRDHKSKGKKKAARTGESNAQATLRARRRPRNRNAVIDSDDETEADGSWLVPEDE RGEERLGKAGGEEDENAEGGGDWIGSQDSEDDVDDQDQSSLISFIVDDEAESKAKGRR PVGDQDADDSLLSVDALTHAMASQTLEDGMPVPAKDTESAAGSGLEDDAVSGSDSDAT DSDPDPSSRDGSGDDGGWLRSGKGTQVVASAKIRELSKLLHAEANQHKFIVFSQFTSM LDLVEPFLDDDGFEFVRYDGSMKNDDREESLRRLREDPNTRILLCSLKCGSLGLNLTA ATRVIIVEPFWNPFVEEQAIDRVHRLTQTVDVVVYKLTVADTVEARIIDLQNKKRELA EQAIENGAKKGAVKLGLNEIIDLFRPAGHQDVPRPAPGPLGDGGRSANSSQGLLTRKP AARDESAVYGRRW UV8b_00475 MENNFEDVAKQFIEFYYNTFDSDRKSLSSLYRDNSMLTFETASS LGATSIAEKLVGLPFQKVKHQVSTFNAQPSNDNGGIIILVTGQLLVDEEQRPMNYSQS FQLSRDAAGQYYVYNDIFRLIFG UV8b_00476 MQLADQQSHCDAISFLNRSRGWDKRSRGPNDYQNVQSLSKVRLA AGQRYVLPRPNLFLSRRHGSSTFGMLLPRSYLFPWYIPT UV8b_00477 MPCFKGIAVSIHANGAPLPEYGMQKQSRVSRISSYIPVPQPNLS GDSNKPEPAKFAISITLLTPGLAIPYSAPKATNKNPYPKPQYVGSLASGSGNERGKYA GIVNPYIPMTNSENETIAAYIYFDGRAKEEVATLLRPGEETWVNSRWVQVPDSEGGGL AEREFLFREVGLERWLNGLDLQGHDAAEKLEKRRQKFEKRRRRQTLVQQGDADLDAAT ESRKDTWRYGADDGSPIEAVFDSDSWSDDDDEPPEATGQIKVAMFRVLASGEIKKGEY SPQFDAHDGDDDYAGNADNNIDADVEHTTSFAKPKTLDPKTISTQTVTGIDGPDKPYA VFTFFYRGDRQLQKIGVIQSAKTSQATPVSANKRRSGQLDFSSLGPLKAGGTVGFSAF RDQTSEAARRKKARKKSNGNIADDSDDDDDDDDDDASDVFVKMEDDGKDDDEKLAVED TKFGGELADGVNRIRLKRAHSADPDGHLTPETSQRSQTEDSPVPQAPPSLQTPGAVQA SNGIPAEGLLGSPFKKPRPSEDMTNAGEKYGPTQSLSAALDAAVSGQPEAAALSKTST VPPSEVEQEEEEEL UV8b_00478 MLDARFSMLGSRFDKHQRQIHFNFEQFPAASVADDSSFHSPYLA PTEAGLASCATSRNIYPHKDFRQATMYWLALIMLLSALPAGARSPACQRGCKPHLRTR PRRAAFSVLHLVLAAVQNLATKHSELVGRGTHDIECCARLPAMHHHTANKSVLANHPR VTLPLAIRKKAQHAVRTPCVSSTPNVERTKRAKEESRVS UV8b_00479 MIEIMEPLEETARVFTSKPAQRAAVNVMLLVSSAVTLLGLASLA TALFFQNFVPDQFITTPIHLQYHSGVNPYGLAPFAYPSPKLNQDYDVSIALSMPRSPP NTDRGNFMVSLYLIRDDSSSNESKAGGQQTVNVRQYLANKKILFKSRRPALMQYEDPI IGLAKRILFVGYYILSPRSQRRTLTIQLAERINFQKTGLQPTAAFVEIEAGQDIHIYK TSLTLTAKLRGLRWLMFHYRAITYVAFTTLFWACEVLFMCLAWTIWSSATASKQAGGK GDSYTDSDYYDTADYDDQSDRQKSSVSRGKTATLKGEPRVKLEEEDMPESTVSDVPIG GGDTDDEDDFDNEGSESARRRRDFAQGTSYKGEGGDSIRRRAVRNLAT UV8b_00480 MEPASGKTSPVKQEILEPAGPEKRVLKKKSGFFQNHSPFRRKSI KEAQAPAQNRNTWHRTASPEPIDANASLALGVGQNVLPVTTPDTARRRAHSRETEPDQ SDPIALALAELKEVSVGKQSSLRISADHYHGIATPAPGVDPRSARPGARDAPPSYNNQ APVSRLGVPPPAVTSRAMKEATKKAADQSRAVFGNTGNRGVSPASRPATRGSDMPRAA SPAPARTASPQPRMNGNSRYRSASPNPYSGHHRNGSQVSISQQRGSDQSFYGASSPHG STRSVRGASPAGYGGDHNRPRSSYGGSDMAVQLASVGDDRHSSYGGRGTVDIYDGGSR PRSKSVADPSRQYTRDGRPILHYARALYMYQAAIPEELGFAKGDYLAVLRHQDDGWWE AEVHGGNGRVGLVPSNYLQPC UV8b_00481 MPIATAEIPAVTLSFANNFWGKDDAGVGPLLERMQSAKTTCDEL KSFYGARASIEDEYSRKLLNLCRKSLGSHEMGTLKTSLDTLRGEVEAMAKQHQSNAAQ MKSELEEPLAAFAGGMKERRKIVQNTVEKLLKTKIQQTQQVNKTRDKYEQECLKIKGY LAQGHMVMGQEERRNKAKLEKTQISLATANQDYESAVKVLEETTARWNREWKAAADKF QDLEEERLDFTKSSLWTFANIASTVCVSDDASCEKVRLSLEKMEVEKDIIHFITERGT GQEIPDPPRYINFCRGDVNDSQSEVSEDESYSVAQFPRSINPAFRSSSPQPSTFESHH DPNSMLANKSSAGDAEIDGRTTARISVDAKIDGRATTHIPGHAKADG UV8b_00482 MVPPQRPGSFSPNPAQTLQTGNNHSPHPSHTGIFSAGASPSTSS PIGTNSLTKIVVAQVYLLLSTIKEDPSDRSKFDTQAEQLKKLIDENGMEVFSKYFTRL VAGNASHIFPGLNRPGTGPGNYHILVNEVKRISHDVHQAGKIAESVEGGTEDIFRDFD LSTFMEHFKLDALEKTLLALAFKTGSRSDLKTRADAILSTNFTTFVDIISRPDLEAHA DLSPAFVASIVDRFLQYHPPSFNASSLRDLHNSISKRFLTADQAPPSEVLAALDLGRV LADRPANALARYMQRTGSEFSKDEETCFSYLQNRPNIAQLNPEQAAHALIYAAISQST VHDPAILVSALQRVVPKSFDWNDVVLFFDQPTARLSSAQFLRLYNALLPLANNTKNNF HIQRLWGGSWSEPEAQLSFVSAYASLGPDQLDATTIPGLQRSIVLEDYADSPINVQDR AAVAVKHPLVSVAALSAIFNVALNSVHASQTIEAKRLFQEVVVPNLDIFLVSAFEVPR QSWATMAVDTLNSLFENFLYKRSPEYDFVLDSLWRKDKDWVTARLIEAHAVKPSDIPL IFEHAVRHGWLDVLVYLPNGFGIDLAAFAHAQGYLDLNNWANFNKDRSAEISRTLLQF LIIKGELEIRFQRPAEDQLQAKPSTSLQVRTVSALLSILEDFLPKAPMQDLILVQRLC ITAYPRLINFGEGYDDIIEASSKDGNALPIAANAKMEDHYKKMYGDEMQVRTIVDILD QYKHSRDPLDQDTFACMIHGLFDEYSHYGGYPLEALATTAVLFGGIISHKLISDLPLK IGLGMILEAVRDHTPDDPMYKFGLQALMQLLVRFREWPGFCKQLLRIPGLEGTEAFKK AEEIVRDHEDELVSASNGTGASHGLAFGAESFANGGTDDRPNNDSQAPAFAAINVEPI PQAAEFEDPGEDDQGKIQFVLNNITQGTIQSMRDELRDMLERKHQHWFASHLVEERAK MQPNYHHVYLELVTLLQDKSLWNEVLRETYISVARMLNAEATVQNSTERTHLKNLGGW LGLLTLARDRPIKHKNIAFKQLLIEAHDTKRLIIVIPFVCKVLTQGASSAVFRPPNPW LMDIIHLLIELYHHAELKLNLKFEIEVLCKGLNLDHKSIEPSGEILNRPAAEEVADVL GPEQLEAFDSMSLNGMGSSVGVGMTSQAVAATIPDLGPLINIPPTNEMVVSTTRLHDI VRNALTRALQDIIQPVVDRSVTIAAISTAQMIRKDFVAEPDENRVRTAAVSMVKATAG SLALVTSKEPLRANLTNYMRNFSNDLPSGLPEGTIIMCVNSNLDLACSIIEKQAEERA VPEIEDMIEPELEARRHHRMQQPNEPFYAGPVNRWAMTIPNPYKLSPNINGLNPEQMA IYDDFARQPRIAPATTSPHAASTSDATRSLANEVLQEPYSTIPNISTPAETPSMQHLG SQMPTYAPMHTTAAANVLQSGRNAGFHMDVRGLAERVNKLLQELLRVAGEAREDHFLD LPRPHPVLDVVDALVQLIIKTSQNSEEFAIYAAEQISALIFQQVEDNLTLESLVHVLE TLRKISGHALNNRVRTLFSQQPGSNFLSLHLLAALIRTDLLDWRNIDLAMSKALEARK EGSLDFLEHMLDLALLNNRPIALYADFVRTLEMAWGWISEEPNNSTGQRLKTKLLGSD PPQFSRGGLDVDAQGGFRRNQMEYVFEEWVHLYNNQNASDKATALFIRQLQVKQVIRD RNDFFVFVRIAIDLSIDRFEHIVHAGAIGDAYVMIDAVARLICMFINLQEDTSMSRAS FLDSALVLITLILHHHHLKRGENMNQRIFFRLLSGLLHEVLVNSGKVSDEEQHSLVRK FASRFYGLGPRYLPGFIFGWMSLIQHRVFLPTMLQMPGNAGWTLYANLLVQLLDCLAE QLKALNVLTVSKEVYRATLKLLIILQHDFPDFMGGKQLSLCSSMPPHCTQLLNSVLVA NPQQGYTKSADGKEDMKIYPGLIEEARVMLQDCGLLSLVEQTLQSGPSEDAVAQIAHA MTQSESKETAYGHATVAANPRIIGAIVIYMGNHAAERLSRSMPSISVTGSEPEVSTLS LLVHELSPETRYYLIVSIINQLRFPSRLTEFCSQFLLSVFGKDLSDPEDTDIRQEITR VLLERLVGYWPQPWGLMLTVLELVKNDKYKFFELPFIKSTPEVVERFAALLPRT UV8b_00483 MPYNTRRKSLSLPSLGIHIPVTHAARAAAAAASATAASAKLSRL PSFSSSSSSSPSSSSSSSASPTRSAHRSDSTADNTTDSHPSKRLKRSHAAASALAQAV NQQTPPSSPTPAASVEMSDADALPKVHLRGINDDIVEASIVQLQSTANRPHLIKELAT VLSQKLASVQQSANPCAIISSRLTTYMKRPCWSALSPCPLAKELETVHPRRTYFFLTS GPRQPLPDPSISQPPRHPTSITPSVSLTDDSGSDDIEARRRDLSPSPEVDLSSPEFDD MEDEAAMPATPIGSFKSHNDQTRQGRDMRRDSPPLETDEREFTQTADVLQKRKLAHIE TPPAPDTAERNFVLECGYRDDIWFGDRALSSATLLASPAIRPSTMSSVRKEDEAESWL KLNKLFEWDRTAESIEIDELDCLLDTY UV8b_00484 MITITRGFARATISQRRLAGSSSKPPRRTPKAGPPPPPAAPRPS PRVSRPYKPHKPNVALPAPSSASKSQGDTLQSIWRRSWLPLSGAALLAGFLGLYIVGT AAASARPRPCCDGSREHAKPTGRPPALTGDNAEQFDKELDWPEWWMGITALRKRLAAR ARGNVLELAVGTGRNLEYYDWRGLGQAPSSAASGVTSFTGLDISDDMLGVARNRLVKT VAPIRDSEPVAERPSVVDDAGGQASFVNNRVRLVRSDAHDPIPPVAAAAKSPARATYD TIVQTFGLCSVSDPVAVLCNLASAVKPDSGRILLLEHGKGWYGIVNGLLDKNAAKHFA KYGCWWNRDVEALVQEAVKRTPGLEVVRLDRPNVLQMGTLVWVELRMRPKAA UV8b_00485 MAGHGDLQELLRMFTARKVPMMTAMGHIKSLQAKNLRTIQQIAE APASTVESAMSDSKLARSLHTACRSHGKKMEKRAAEVSMSSSCKRAKLEPHKRDLDHG SMSAEELEASLELPLVQSEDMIRQTTVVTNRAPLVLAFAVELLRFTMPEQPPSSRLSL AQAVVSANSRTKAISIGIEKAPRAGEEEHIAKGQPKVRILGREIPVLKRGGYTWKPDE SEGRQGPTAGNSGDAHGGQPSTESKAWAASQKLTSKSSTFVAHAASLSSPCMRSSLMK GLLKEKPELETATHNAWAVRSRYGNSPLVQEASFDDGESGCGSFLLGIMREAGVTNTL VVLTRWYGGIMLGPDRWRLMRECVNEALSSRRRACALAGEALWGLDPENKTPSQATVG MPIHRPERARNYLLQSFAAAPSGSAGGKTGGKKTAAASSEERQENLGRLLGALRLLLS SWADVLPKEELDRRAWSWYVAVRPDVEAGPSGWGAKGELNLGRILDLRRLEEAACGEG EGDGGRVGNT UV8b_00486 MENYQKLEKVGEGTYGVVYKARDLANSGRIVALKKIRLEAEDEG VPSTAIREISLLKEMKDPNIVRLLNIVHSDGHKLYLVFEFLDLDLKRYMEALPVSDGG RGKALPEGSSATILHLGLSDVVIRKFMMQLCDGIKYCHSRRVLHRDLKPQNLLIDKDG NLKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSVGCIFAEMC TRKPLFPGDSEIDEIFKIFRALGTPDEEVWPGVTSYPDFKSSFPKWKRDYRQPLCQNL DEKGLELLEMMLVYDPAGRISAKQSCNHPYFEDYLAEQQEEVGRMNGYYN UV8b_00487 MAKRQLSMDELNRAALDQFVYQPVSREMIQFLADAAHNVIACDS TLVSPSLTKQPQQAQQPQQRPANLAPNRARNPQSEAAHAVNVSLPTLDEFITQLVVSS NVQVPTLMSTLVYLTRLKAKLQPMARGLQCTTHRIFLASLILAAKYLNDSSPKNKHWA NYTHIATDMYSFGFNRTEVNLMEKQLLFLLEWELRITEQDLYRELDSFLEPLRCRIAE RHARKMRYREEKRRQQEMAARQLMLPTCRVQSRAWTASPETTRSVISGSITPPGLTYS SSSSSYASSVASSRQPSRSTTPLESNPSPCVYDVSQTAQGSLYDSPVEIVVDTDLTKS APQPSVSATGMLACEISAEQYHQLQDGPTKKRHRRGMWGRFLGGTVTVR UV8b_00488 MAASSKTITTSYLVGNLHCPSCVAVIKNALEEEYSGRLFWVSPN VVTSVVTVEHQDAGAGFVRDMGKTLEDSGFEVCAVESTADLPDELCSSAAADIDRVKV GQDSGRRSSGGAFELWWRLWRASTPHESKAEIHFDKCDACKSTAATLGPEDGLGSEHQ PHLNTISASSLQKRLNGVALPRDANKSQAALEEVVIDGIDAIIPLWQATISVGGMTCA SCANTITEEMGKHPWVSRIVVNLVANRATVDIKDPARAEEAVKAIEDLGYDAALDELV NLQEQTNDRTQGRELEIKVDGIFCSKCPDRILKTLRNLKPSQIKVLKPLSREFPLLKI SYVPQAPTFTIRHILRAIEATDPSLEASIHHPLTLEQRSKAISAKHQRELSRRLLLTL TLAIPTFIFGIVYMSLVTDSDRTRQYLMQPWRSGLSRLEIILFALSTPVYFFAADIFH VRAIKEVRYMWRRGSRTPLIQRFYKFGSMNMLMSLGTTIAYFSSVGQMMASGIRHDSD VPASRFYFDSVVFLTFFLLAGRLIEAYSKSKTGDAVGALAKLRPSTALLVEQDPSKGQ VTTSIPIDQLEHGDTVRVPHGASPGADGVILIGDTSFDESSLTGESRPIKKTPGDHVF AGTVNKGHAITIHVTGTSGKSMLDQIVEAVREGQTKRAPVEQIADLLTSYFVPVITLV AVITWVIWLSLAQAHVVSDEELKASGGAAAFAFQFAIAVFVVACPCGLALAAPTAIFV GGGIAAKHGILAKGGGEAFEKASRIDCVVFDKTGTLTEGGEPKITDVELFPDNGHVTG VDRQTLLSALKAVEEQSSHPIAKAIVSFCGGEVPSAELGKIEELPGRGMKASHRNKPF QIAVGNEVLMRHLSVTLSVDVLSRLQRWKGEAKSVAVVAFRCCDGGGDGSNSWTLGAV LSISDPVRREARAVVRALDARGTQVWMLSGDNATTAKAVARLVGIRESRVLAEVLPSE KASKVGYLQATLDPQTHGSVSRRRGPRGRRRAVVAMVGDGINDSPALTRADVGIAIGS GSDVAVSSADFVLASSSLASVLTLLHLSRAVLGRIKLNFAWAVVYNLLAVPIAAGCLY AIKTEGGGARVRLDPVWAALAMALSSISVVLSSLCLRARLPWVGFRHRPVMADG UV8b_00489 MRSQQQASSGGAVSVAVPQETLPSSDLDTPPSSSTLPFGQPVAL SDISGPTYVTAQLLVQQVAYKLSDKIFSYSPETFDLDVAAKSWAAQQNSNIHGYVPRV LSLQTRTGAGSLALGYIFSPDFDVAKRHIPQTLLAPSSSLHQLRGTLDQLSLLYRLSS PFVAHVAAVDYTDAGGLVSNYDTALRLAEDLGLGLVGTSSAYEAQHMSLFSTLLATVI PTVHIYDGIRVARETLRVVDALSESGVADLYQKLSAEAAHLNPRLDTAGKVVELLKAF NDELGTVYQPFEYHGHETPDVVWVVFGSVETQVAKQALGKIAADGAKVGVLGVRIYRP FIEEEFLKTIPASTHTIAVLGQVRDQVAVEDEATQSALYGDVITAVAFSAKFEQEPQV LDIKYTPAHTMSPQGLVNTLHKIFGNGGEEASELPCLVNAEQYTFWNVDDSAAVDSPA VIGNILSKQSTINVYVHSTYDNLTQGGIVRTDVRASKKALEAPYDVSDADVAIVGDER ILKEVDVLGNLSESGKVIIRLPNFKADEVEKRLSAAFRKTLAAKDIQLFVLDTSFSPA LEKDTESRLLLELAFFKVAQREIGPETVTKLSAAEGNPPILKEVVDAVDQCLSKIQVP ATWAEAEADSQTPRLPTFLQANSFVGFDKAQVEESLQLGDWKTAAKGLVFKEAYDTRA VSRPDLPVKTVTIRVKENRRLTPTDYDRNIFHIEFDLGDSGLTYKIGEALGIHAENDE EQVAEFIKSYGLNAAELVRVPAREDSEALEIRTVHQALMQNMDILGKPPKRFYECLAS FATDDVEKKKLEALGSSAGADEFKRRCEVEMLTYVDVLDEFKSARPGFNELVKLVSPL KRREYSIASAQAVTPNSVTLMIVVVDWVDTKGRTRYGHATRYLSRLQPGAAVTASVKP SVMKLPTRDTAPLIMAGLGTGLAPFRAFVQYRAMQKSQGKDIGAILLYLGSRHQREEY LYGEEWEAYLAAGVVTLIGAAFSRDQAQKIYIQDRMRETLGEIAKAYVQDEGSFYLCG PTWPVPDVTKVLEEAIAADARSRGKKVDPKKEIEKLKDEGRYVLEVY UV8b_00490 MTRYLIIGAGNFGAGTALALVKREGTTSVTLVDTTPFPNPRAAS HDINKIVRDDYPDKLYMKMLNKAMPMWRSHPLYKTWYHEVGMLRADPSNFGQESITSY KEMGISNESHFLPVDEVRRRWNGAFATANFDGLDKVLYNPTVGFAEADKVLGAVVEEA VNQGVQYVVGVMLRLNFGSSGDCTGVTLKSGETLHADKILLATGARTAALLLQSAPEN PRLHAGDRLLATGAISFYAKVHGAQKQKLSPIPVLKNCLLQVKGEGMSILKDGTIKFN CDMCFTNNVECPVTGQRLSMAPDEIVYNVWTGPKFLKFFQERARKTFDGLYGKEVEGV AIDAYRVCWDASTPTHDFLITPHPHSKGLYVATGGSFHGWKFLPVIGDYVVDMMQGSL DPEFADRWAWDKKGGHGDHSANPTYYIVGDLQDWLA UV8b_00491 MGDYFARTSILWAQLTEHLIKGPHITQASIKAHERCRTESHMSD FAELARIDVNISKLRRIRDLSIKFSRDVQETWQPECAMMDRDSILLQTPISPFYDDRM SSSSLVESRPLASVTDPSLPLSQFAPRKLLPDKGQIHIDHGRGHVSADISGSDDSSDG DEKFQDIDMDALRQRGKGIYYCPKGLKCDKGGVDKDGNRVVFDRNSSFALTGLTCVQT AL UV8b_00492 MKVANMGAPRLIPSQYGYEAKMDVTDRRFWMFYIRNWCPGRSVL EGTNLWLKDFAQMHKSVGVRAAIQSLAGIYIYDYQPLDSIRSRVNERFSQAEERLTAL LNDPKTYRDEAQANELISIAVILSMQDVKQALPTIL UV8b_00493 MALLPPPNEFDAEKEASRFGWLLYGTERDMYQIHGGCGFSKKLL HILSQVTYCAARLQQEAESPIVPMTAQYVYAELDRMRQWSPESKDWESAKASPLVIEW VRMQPPDYVIVDNPTMTDVTAEAWRITAILYLLCRVFRLPRNHPEVISQLDDLSRCII IMPTSGMQFTAQAPLFPVFLLGVLATLPEHKAVSSTWFNEVLQAPVRSSVPPLHDALQ HICSWIDEVIPLPSPSILDPLEPIHHRPQWWETLVTTIHEQEQEVLCLT UV8b_00494 MASSARKALIHGRVMDIGDGSEQVRLAERLLSILQTPYDEKKKP TLPDELLYDDAGLPIWNKIISTPQYYQTHDEIKLLDKFGETIARRVKPGVTIIDIGAG DTRKVEHLLAAFEKAKVPATYFALDISEDSLKRSVSYLAKRHSKPEANVTCAGIWGTF EDGQAFVDRWVPEPRLFLSLGSVLCNDDWAPAVNRLQEWGAVMRPSDLLLVGMDAHLA QDYEHKIEQAYHLRKDLLRDFFLNGFRHANDLLGQPLFQEEDWEFCCALERSPTTRHR IFLRAKNDIRCDMLDRVIKTGEEFDWFDSHKYGELSVRRMCYEAGLIVSDVWKDGSEF RQYLIKLQDAKYLEDEDSGVSDIS UV8b_00495 MSSLPTTFDQPVHIAVIGGTGLAHLEGYEPIAELNPITPWGPPA SPIRILSHNGVNIAFLARHGLHHQFAPHEVPNRANISALRRIGVRCVIAFSAVGSLQE DIKPMDFVVPDQVIDRTKGVRPFTFFEKGVVGHVGFADPFDAGLAAVVKRCAQSMEGD GVVLHEKGTVVVMEGPQFSSRAESHMYRSWGGSVINMSTLPEAKLAREAELSYQVIAM ATDYDCWHSSEDVNVEMVMKHMQANGQNARRLVGAVLDQLSQIEHGDLVMAKHWEGYA QGGLKFMTKPQGRDPEAMKRVEYLFPGIWQS UV8b_00496 MTQLSDYAALSKPNESENHGESIEERCFSAALICIEGHFTLSPP MGPQTAARVNSMISKTLEKTHTLRTSREALSRNVAIWIWLTRIFAAAIPSLTTRSVGP LSSLNDPEKGVSPQESTALIVMNYSSIKEDLGTLIKLMHIARNLLVNAEPDVPQDICA AVHFDQMVYQTIILCANVTSKGYDGEILDETQRLKLADVTELYKKLLVTSLQQAHNWT AKHDRNKMSFWFDVLFDEDENAFGSHVEGAGDAIGFRADVAKQQVQHWLDRNSRMCDT ARKLLRDYAQNHADKPPGNLAPIRPLAWNWLPEGSVNMPDDVDQGGDRLNPVWKPDET DKFEQDRAYGRVSREIDTWWLRARDPNYEEWTVSMPTIEYAQSRADQCKASLIHRYAH SYRGGHSPPPDVADGELSDQEHCHHCPECFECHHHDDENDGCDEQGHDCEHGHEQHEH DHDHDHDHDHDHEHSDDAECEHHQQHHHHHHHHHHHHHHRHDHNHNYGHDYVDDIDDE DADDDESYGDGPLTGLLTEVPNILDPKQIEALHMIVKSCILDNAGSGLTRAGENLQKT RCRMFLALECGKSLLRELLVFIAVWDKDEQSLIFQVTTQIVEALHHSALIPYAWNSLR IPKDIMSPAQTVLLRLVSHMFRARGSTGSNNGLPSSAAAQEQSASGRAHGGNASVSNS STASPEKDSSRDVKLVHFFYSFFRTRIVPECAALMHLQAQIREEKGDPAEFPVDSWDM ERAKDGLAQYLDFLTTVAEIPDTRCQLIEWEVVYDLVTILSGLEAGVAKKPLVELPRR SPPKPETANSNSNSNSNSNSNGTGSGGSGSGSGSNPMIERPYATETISPSPSSPPPPI QDAAHKFPWPGVKGQIFTILATLLQPPAGQSSPGNALVQTQMVKHNGIVPLLNSCAYD DHNPFAKERVTICLKWLLDGCEAANNFFRELVSMTPQPSLKPPPPGGATVSTLKIDGI DGDVKVQVRSSTAPPEGRKEAGDAGGHQDFLQKAAEMSLSSAPATARGSLEDDFMA UV8b_00497 MPASTIPASQFDSIPDTIEAFRRGEFILVLDDPGRENEADLIIA AEDVTTEQMAWMVRYSSGLICAPIMPSRADALELPVMVSASQDARGTAYTVSVDAAHD SVTTGISAHDRALCCRFLADPRSTAASFIRPGHILPLRAAPGGVRQRVGHTEAAVEFC RLAGKNPAAAIAELVEDGEPTPGQALITRAGMMRGEANIAFARKWGLKVCTIADLVAH VEKTEGKIELNGA UV8b_00498 MLPHPRTACRCRALASSSTSRPGWVAASPTQSRRGPPIRRSRST FSSVNPQEVSHFNALASEWWDPHGSSRLLHLMNPVRQDFIRGCLQSQPETRASNLRDA SLTYLDVGCGGGIFAESAARLPTTRTVTAIDPSPSVLAVARAHANKDPSLRGKLLYRQ SSIEQLPVPQRDGDRYDLVSLFEVIEHVDEPGPFLQRIQPFVKPGGWLVMSTIARTWT SWLTTNLVAEHLLRIVPPGTHDWNKYINQEELRRFFLGKGWDSPRVMGVMYIPGLGWR EVRGGEKVGNYFFAVRRSN UV8b_00499 MHVRLAALLVALGSQCLGQFPDSNLAALNLTVVRSPADGNVTIS YKEPQGVCKTAFNQQKQYTGWVHLPTPFPSNLFFWFVEARQKTDSLTIWLNGGPGSSS LLGFFAGNGPCQVIEKGLNSYETMAREWGWDRASNMLFIDQPNHVGFSYDKPTNGTLH MLKGNVRQPPTPQRDSASPWVYLNGTFSSGNANTTANTTQTAALAVWHVIQGFLTTFP QYQNASNTSIPVSIFSESYGGRYGPLFAETWEEQNHKRLSGELNANATVAVQLSSLGI VNGCVDQEIQVPYYPVFASTNTYGYAALADGAAKFYSAKFSAPDGCQQKLRQCFGAAL AQDAMGRGNNPQVNTLCAAANNACLVIQEPYYSSGRSPYDLAAAYYDPNPALQFLDYL NQGHILQAIGSPVNYTMASNAVLEAFQGTGDQSRGGNIKRLADLLDRGIRIGLIYGDR DYICNWYGGEAVALAIANQSRANYASRFPAAGYAPIIVNDSYIGGVVRQYGNLSFSRV YQAGHSVAWYQPETAFQVFARIMMGTSVSTGEIINLAAYNTSGLSVASHVDTLPATPA STCYIHAFPNTCDNDAQSLVSMGAGVVINGILYSKSADWPLATTQPTSSTVVGKSTSK PETLTGVFTATETPGSRASALCFGSVSWVMAVALASVPTAVGLF UV8b_00500 MSRRLLNRARPSGKDPSSFRAPAPLPDYQSPSCRLNDHARRALG DLLDNRGTASYEDHLKASVRHLGLGVGDLHERLATQQDRLRNLRRRRLEKDADKTADE ERLETHLAQLQDDVDELTRDSEQALRDVIDHQVEVQHHAAVLGDLFASASTAAPAGRP RARESRRQRVGEKQEDDPPNPPGTMDWGASQDDAHEPAPSIVAAFQDAVAKKQAEYEA QTHHQRYALHNDYIGFKKIWHDAAAGGDGTPLPDASQWFRSDGRPVMSRPGAKNRRST MGDDDDDDDDDDVAVAREFLSLNCPLTLRQMEEPYSNMKCKHTFEKSAILDYLSGGGT SQCPQTGCHQEVSKSRFDQDFYLDEAILRRIKRAKQAQRATDDMDVEDNDGQDDVPDE SLVFENERRVREREAKKERL UV8b_00501 MGSKIEFAMNPWEVHALDYQFPDEDTAPIEPQTNIVSSSRWQPM QDSVIYPGLYSASGYDVMAILLRLMGRPNPQIELGPIDCSVALVLCDLGLPDEPIVYA SDAFCELTGYAKSEIMGRNCRFLQTPASSGSKGKSASRPKSRSKTASSQMRHAIQERR EIQLKVTNYRRNGQRFSNLVSIIPLDLDDTGYRYAVGFAVEV UV8b_00502 MPEIAEVARIVHFLRLHLVGKRIASASAVDDSNVFGKAGTTAAA VEAALTDNKIVSAGNQGKYFWITLEKPPHLVMHFGMTGWLHVKGEKTAYTNYYKKLKD GELEQWPPKYWKFHLSTHGKDAAEAAFTDARRFGRVRLVDCPGADIRKYSPLKENGPD PVIDTDRFTEEFLRGKMKSRHVPVKALLLDQAVISGIGNWVADETLFQAKLHPEQYSD TFADVQIKQLYESIRRVCQTAVDKLGDSDEFPKDWLFNYRWGKGSKGSSQELPNGEKL AFITVGGRTSCYAPGLQKKTGHVVPGGKVVEMSPEEKGADKEEVRPKVLPKIMPKATG TGTLKEPPSKKRKTDKVKKEKDELPGIDVTSNKRRSTRLIKN UV8b_00503 MTRILAQHPPSGWPSETMSIALPYQAASEWYTSVLGNCVGNNSL PLVSVAALFVVDREAPQSLYVVVRALSHCMVR UV8b_00504 MTSPTTSTTLPSRAATGISSHAGDAVPDFDPTTTAGLLSERLQA WKHACGYIEEYITAVEKIHGRQAKEYEKALKTISNPLREGHHFDQSLGGVAGFFENMR SNTQAQINTNIETEKSIKGSVLPILERLHKEIKHKAKELHSGAHSSAKEVEKLRNTTQ KQIELLGQQTASYDSAGGKISAADDPYVVHRGVMHRLSAQVLAENNHHNDLVAVQNNF QTFEAHIIEVFQQAVEAFVQLAGGQAEKTRALYQDMLGTVQCVPLNFEWQNFTQRCSD RLANPNDPPRSIDSIQFPNMDHAATKPLIEGTLERKSRNKLSWGYSAGYYVVTPSKWL HEFKDSDDLRQNPKPELSLYLPDAVIGAPSGDKFNVKGKDKSGTVSSKLTGSTELAFK AHTAEDAQKWFHVIQQVCGATGPAEPHSPGSAGENDDDDDADAAAAAAAMGASAPLPE KKSSQPAAAAAAAAAPAPAEGGHGAQEAGVTGGQAIPMTPMLDEKKPTAA UV8b_00505 MLSFIPFSTGRHSCSLFDIRLDQDFIVFRGNDHEASGQLLKGVV VLCLSSPLRIEDIHLRLVGTLRLSWTEHRSSAPGISGQKVDKTTTILDHRWQPFVGVH GKSMTLPTGNYEYPFEFLLPGDTAESVEGIPEASITYRLKATISRGKLAHDLHAYKHL RIIRTLEPGALEFLHAMSVENIWPNKLDYSIIMPQKAVVFGGTIHMRMRFTPLLKGLE LGDITAKMVEVRECWIQGATGLSLREHKTERGVATWRFGVSREQDWHDMIEDTGQEGW ALVKPLPLPKRLRQCIQDLNHHGIKVRHKIRLTVALKNPDGHVSELRATLPVSIFISP HIPFDEHGNLVSQTQDGGNALTNVDATIAPPGYGEHVLDQLYENVDISGFQTPAVQSG VSSPFYAQSRSGSVENLTSPGHSGTIAPAALSSRLADVSLDPSHRNRSFTSMPSQSPS GGLSPAPGLHGCAPRSEPPSMSLTRKASSEDESTRNPTEQAELDAAELAELNRVPSYA TAVRTPARSWTQPTAGLVPDYETALHAPRTPPATDGNVEILGPLSGDGTAEQSGVATR AASNATLAVPTNPDSQCR UV8b_00506 MQHDTSYATLCMDYSRENAQAQGRGDGSIVSSATNYLRRTSIIR AGLGAKRSQDEPDTTNETGKDATIDKTKSFTCGKFLALGRIWFLSFFLPVFPSQVLAF LARDLHPVKEKTDQTIFAGDLCYGGRPKHKNLDD UV8b_00507 MNGDRQRQYQPYVSTVRINRTYQLRLIYQGSALVYQIRGFICSG GQLIRAVP UV8b_00508 MFVLPPPPRYPIGGPYGATGLSGLVPMVETNNTISNPAGPEWQF LVGEGTYALKEDLHLATPPPHPSEAPVVNPNPLATNPQPATAGTKLALVRIQSRRPPF AYRGPEAAATLSLGGGKPSIDPSGDDRYSTENGLGSEDGRAPSTSDAPNSSILGSTPA FGEGNSSLVPSHTKDVNKRKKPKNNVTKSNSSFISRVIVNENLSRRITDRPADGIFAF ANINRAFQWLDLSSPNKHDYFTKILFTKAHCLCHNVNMVTKGASHIDVIMGFSTGEII WWEPISQRYTRMNKNGIINNTPVSHIQWIPGSENLFLTAHMDGSLVVYDKEKDDVQFV PEEDDQSFHGSESGDGQNGAKAGTKMHVVKSVHSQNQKANPVAAWKISNQRINAFAFS PDNRHLAVVSEDGTLRLLDYLKEELLALFFSYYGGLTCVCWTPDGKYVLTGGQDDLIS IWSAVDSALVARCQGHQSWVSSLAFDLWRCDERTYRFGSVGEDGRLCLWDFNVGMLHR PKNSSRQRGSISGLSGTSPRGEIASPLGTLVRSNSGLGGAADGDAISHSVEPRSRIPI LPPVLSTVVDTHPLCWLDFTEEAIITSCKDGHVRTWSRPGVFH UV8b_00509 MVTVRICVCGDESTGKSSLIASLVKDQFMTNKIQPVLPQITIPP SIGTPENVTTTIVDTSARPQDRTTLRKEIRKSNVILLVYADHYSYERVALFWMPYFRS LGVNVPVVLCANKSDLAGQGNTPQIVEEEMLPVMAEFREIDSCIRTSAREHKNVNEVF FLCQKAVTHPIAPLFDYKEGRLKPACVAALKRVFFLCDKDQDGHLNDEEMRDFQARCF DKPLTSDDLDNIKQSISRTMPGASMERGIDQDGFLQLNKIYAEKGRHETIWIILRKYH YTDSLSLEDRFLHPKLDVPEYCSVELSPIGYRFFVDLFLLFDKDNDGGLNDQELGALF SPTPGLPSSWVDSCFPCSTVRNEAGHITLQGWLAQWSMTTLLEPRTTIEYLAYLGFES PDAKNGIIAALKVTKSRKRRRRPGKVERNVVLCYLVGAPGAGKSSLLDAFLNRPFDRL YHPTIKPRRAVNSVELPGGKQVYLIFEELGELEPAILENQSKLDACDLICYLYDSSDP DSFSHIVDMRTKHPQLDELPSVYTALKADKDKTTQRSELQPDQYTSSLNMNTPLHVSV TWNSITELFVTLAETATNPSMGFPKTEEEAPDRTSLYMALGATACAAVAAVMIWRRST NSA UV8b_00510 MPEHDDREHRPRPRPRPAGDSSKPKNKNNKRPAPDDGQDDLPQP YNASSLQAAKRRAVSPSEQPRKQKRPGARARISEAEREAIRQRQIDREREQAAQEAAA AELNRMRGVHDVVKQHYNNVPERGRLWRTTDSKIKGLRVFNNWIKSCIIQRYSPDEDH TPGSREMGRSSGRDLLVLDIGCGKGGDLNKWQLAPQPIQLYVGLDPADVSIHQAKERY RSITSRGGRGGGGGGGNGGGGGGGGGGGRGGFGSRQPSRVFDARFHVKDCYRESIEDI DIIRQVGFDPSPMNKRGFDVVSMMFSMHYAFESEESARTMLRNVAGALKKGGRFIGCI PNSDVLSENVRQFGEKAAARLADREKRETEGGGATPAEDGELEEGEAEPTAEWGNSIF RVRFPGKTPEDGVFRPAFGWKYNFFLDEAVEEVPEYVVPWEAFRALADDFNLELQFHR TFSEIWEAEKDDPELGPLSERMGVRERGGGPLLVSDDEMEAANFYIGFCFYKV UV8b_00511 MGSDPQYAKWPLLPLSQHVFTLTNAYATKTAQQAAVKALQDAIA EHKMAPFYRYLAHPIDGILNAVGEGGSSGPGKPLSRKSSLVGMVATRASAASVSLPWD EALYSGLKESNDKELAEFQKEEDDALEQAGDTEVMAAKGKRADFWARVGDKDKAIAVY DDVFDKTGVLGTKIDLVLAIIRMGLFYGDKALVKKQIERAKTLVESGGDWDRRNRLKA YEGLYLLTVRSHNLAAPLLLDSLSTFTSYELCTYSNLVVYSVLAGSVSLKRVDFKSKV VDAPEIKAILGDGEDKLLALSGALSAGPGADDSTGNLAPQAATTTTTAVNLTTLGSST DQPEAETAVDFSPLASLVSSLYNGNYKTFFQSLASVEEEFLNQDRFLHEHRNWFIREM RLRAYQQLLQSYRVVGLESMANDFGVTVDFLDRDLARFIAAGRIPCTIDRVSGKGVIE TNRPDDKNKQYQDVVRQGDQLITKLQKYGQAVRLRGSERA UV8b_00512 MSTLSPPHIFPPPRHPPRQSQFFLPLQPQQQQQQQQQQQQQQQQ HRQYQHRRKSSTMRLTRDTINQHVVLAEYAVRGELAVKSEEFRARLKKGDGSLPFDQV ISANIGNPQQLDQKPITFFRQVLSLLENPELLANEHVLVNDLGYKTDVISRAKWLLKQ VGSVGAYSASAGVPAIKDSIAAFLERRDGFPADPSKIYLSTGASSGVNTLLHVICADK KSGILIPIPQYPLYTATLALLDAQPVPYYLDESKAWGTNFEAIKAAYEKGKADGLDVR CIVIINPGNPTGASLSEQDVRAVIDFASQENLVVVADEVYQTNVFVGKFHSFKGVLRK MQQESPGRYDGLELASLHSISKGMVGECGHRGGYFELVGFDAEVEANIYKFVSIMLCA PVIGQCLVELMVNPPKQGEPSHELYQKEYSAIYDGLRERAAALHEAFGQMEGVECAEP QGAMYLFPTINLPPRALEAAAAEKRSADEFYCMKMLEATGVCVVAGSGFGQKEGTLHF RTTFLAPGTEWVAGVVKFHKEFMDKYR UV8b_00513 MAKKAKARLINVRLISMAMTGFFYTFKRPRTAPLMGMLKYDPIV RKKVLFLETKKRAK UV8b_00514 MKNTSRKRSSVGKQQSRIDERKKTLMHQVAIHWNECISLAEEEK AQANEVIKQLSHDSRQLQLRLHEAGQELDNERRERQDAQLRLKDLEEKDMQNNAEILK LTQEKACVKEELCLAKERATSLCSKYRVYRVKLNEAILEQQQLFTQAKDFYNESIRQL RQEFEARVAVSKTMETGIAEGRRKREQMKRCFEEMCANLEQQVREKEDLISQLQEKSI RQEEVLAAEKKLSEELRSSLNSKHANNDVGMENLSASMSKLHDLLSEKFATPPGGNES FVEICCRLQSLEQLIKSRAAEISEDNNIGSIAQSLQQSVSAKISSELSAIADMQRSAY DTVASIGNACQSELGSIGLKLNALSQQQVGLYETVKSTALDASNAIGTVKEDVSSSQK IWKSMCHNLEDWITESRRVNMEKHGSWKDSIVLQLMQRKNIIEEMRRGMTEASQSFST NLNQVCQALASQDDFAQKMKTTVDEVRGVLEHRMVQGQEKVDHDLKQTQRTLDHLQTQ IRAISDQLVRVDSSASCSEKPKSVEVVSLREKIHQLETEAKVTMDLRNRWHSDIEMVE SLRHNLKIIPDIVVEMDKFSQNLESLSEVDTFFKSSFDYLRKEHQWVKHQLQNQSGKD KDDGPDVTRTSEAGGTLHGQLGQGEHNNDNGGSKGSSDVAQKSCSEHVKNGSTLSSAS MTATKRVQVHSPVAENDSLSPAPSVQQEQKRRRDSVQVRSILKATAPLAPLELIEGEG FCQETRTELGTVQEPRWSISASNKSLAGQRIIHELSSGFTVEKKSEVVFSLPRVTDFQ TTMSMSTEGELQLDRDKPKAKRLKLLDTTIDSKKRPK UV8b_00515 MTTPVHHFPLQTVDGADAGTNNGDDIGNKGGSTSSINISTGGLV AIMVIVAIVAVIGACTATLFFIAKKREWTAKEVLRHSVRKVVTAMTPRRAEFPASVTK STGSSRRDRRREQYDNVSFTPQIRVGDLEKGIARDKVKDKSRK UV8b_00516 MKLSNPGTVPVYTISGASTSRPLPDWLARRRKRSLKNDPEYQNR VELLQDFEFEEASNCIRVSEDGDWVMSTGTYKPQMHVHNLPQLALSFTRHTNSLNHAF VLLSSDYSKSLHLQNDRRLEFHTPMGRHYEVRIPRYGRDLLYDRHSTEALVPAVGLDA DGKGEVFRMNLEQGRFMKSYQIEVGGDDELTGGGLQGGIGVGSVNVAANAENTHNLMA FGTSIGTVEFWDPRSKSRVALLEGHDGQVTALNFGSSGLSLATGSSTGIIQLFDLRRP VSLLRKDQGYGFPVKKLIHMTTSSQERKIMSADKRTIKLWDEATGDPWTSVEPVVDIN DVAWCENTGMLLTANEGKHQHAFFIPQLGPAPRWCSFLDSMVEEMAEEVHAETYDNYK FLTLPELKQLSLAHLVGKTNLFRPYMHGYFVASKLYEQAKLIANPYAWEEERVKRIKD KIEKERSSRIRSRKKVKVNQKLADKILKKQENRDKVDTEAGVLGDDRFAQLFEDEEFV VDEMSKEFQLLNPSTKVEQPADSRAPTRYQAKDSDDDSSDTDDDVPTTTRLRDNMTMR VTSTQNQGQQARDTALGSRRQKRGRTDKAHGVDVGGERQVTFVPTSKKRNQQDEPGST PRQQQRAGRRSASSNTFRRM UV8b_00517 MDTAEYDTNVLVVNNISSIYFRFYEPSPDAFQNFNSVAIEIEGK LKDQGHIVHYDASRRGFWYFRLIAQEGSHDISTKEPETSLILCNQSLTAGEEGFLEPG HLQKPRTNQPPASHAPASSNSCTSAPDQAQRYLVPSSSHAGPGVSQEHEGSGSGSTTV EFKSSQGEPTSSKAVYENFIFATLLTMSAAFCNRTGAIPLDYQTVLLSPSFLGNDGVG EVATDRAATVGTMRAYMTTTGTLVLSMSLTICRGLRSFNDLVNAGPVVPGKRILAAPL GMIATSQLPTPFDGGTASMAQTPNTQALSSRGVLDIHDSLAKRTCSKFLQYRGLAHSK LTDCFWINLLVSKPKLCGIKCDPKRLQTLNPNISIPWPGPLCFRKKAVEVSYTSRVGD TLLSGHEESYDPLGNAQSWFSSGAERQEKIAKRKADRMTASHDASNNDHRPQRPNGQS PMFLRRASAAAAGLMYPTPPDALQNFNGVTPSLDGTLSSPGNPLSVAAVPDAAECNGT DLAITAETFEPGSGLEGSSKKRSDGNLLVEAEHMYEDLSGGDMFADDHVTEDDFNFFD EEPSEMDLDDAIGSIGAESLENNFQQAPESAKEERLAVAEVADPSGDVDVFTKPQLRH AISARNDIGTQRETSCKQTPMKREPSPFDPHTVFKRVRASLVAAGSGTATASEGHRIK KIFEKMDFDPMLPKINKKYEKGGQFDYNKNAGPAKQKLELGTIPETEYLKRHKQNRGS NEQRLPTIALLGSLAGLEAHELGPSPTKLDGHIAGCDPSSDESDQDDSSCLSDDEPTS PLKSSVKQLSGGDDDDASQVTSLKETDTAEEPDHQLATELPRLSKPETPDTPLSVFFS DPEPLCLDLSLSDEDFIQVAQILAEQASVGNLQIYNGTDRLKPGSACEQNGQGIPIDV RASLQSLRDVASFFFRGIEPSRLKSFLDIQDVSLQGPHSRLQPRPVPGRDATAEQMRP SNLYQIPGPHLEMCRSDAKLSVLPSAVTFWESLGLAPSSGSKDITAVCIFPGWKGMKD SAKTFLGRIKSVYEVLRLGSVENLALSADVADGLLPYEVDRISTSPDATLTGHGSALV GSMETMRSALSNLKASDTNVVVYFIYSPNNPGTIVEACAAFQRFFDSYQRELSAKKEP VANELVLQLVSADMMSSPTSMVVTPSSELVRLCVETYDRCTLFGGPMPAPAIRLEQAL PRIVDFKLINTPSASLMRENSCIHVAYARTVDERWITAAWTDDRGYQQATSAYCLGRR GKLPSRSLSEVAQEIWVSTLDLVATWKVHWRIIVTKCGPMDQHEVECWTELARADVKA SVTVILMTVNSSPSLQFIPPVVRLPQTAATFYTTPVSTPQANNAVSPEQSATPATPTN AAAAAAATPGGEAAGPDSETDAVLVDVTDQTWVAVVGHRLNNSTSVTDLRPALASGYL IKRTGGRMEDAPVAMEVNLIHSDASPRAYEPLLREMLSCFGGLATLSRARGMVPRDTG VGPWHVAAAEKAARAIHLLM UV8b_00518 MPKASLSSLPDDLLLDIVERLDTARDVSHLGRLARRSHRLVETA GWRTFVKTRFPSLHVPTATATAAAGGGVQPGADEPPWGLLANRFTYLDRCWDKRAIRF HVFRTQPPPPPPPYPPVRRHREPRPAKQAVDFHGIVDAHHVSCLDQEVVAWGAGEDVH VRWCTGEGTKSKPSWGSALGRHTNYAAGTGDVTALKIIERAPGTPDIVVGRANGHVQI LSAADDSSFGTSLTEPLTLDTRGDQHRMSALTISPGRLAVSCAEWRPDTGILATCRSS HLHLCNVPPERDASLGALAFHDMSPDRPPHQESMIRDIKFLDNDHLAVALGSSSQPIQ YGTIRPSGVVFEPTPGRHSVGASDEGDLPTSLADAGTSTTVWAIEPVGHRNSRNLLLS SWQDGTFRLMDARTPSPYDAVYRDSFQPYHAGGPLLVYGTERFVAGDTSAPTVKLFDF RLGKPYFHSTALPCSPSRPQPQPRASCPPGMTTGMTTGEPEDVVSACRPDAARSCRWH ALSKSDWYRQDATLWLACRGMERVFSLAKSSDFSGKFYLGLRGAIAEAELVLREDIPK RRGEPRPCPDGWRVSPVPGATVAETSVSLCYRGRCRDDGWHGEQLRSGMPEVFYRIDA PGRGERHGGFLDAPERSRLDTAWRQQVPMRNQRA UV8b_00519 MPIVTELTKRLGIRVPVVQGGMMHVGTADLASAVSNAGGLGIIT ALIFPSPAALRDEIRRCRTLTAAPFAVNLTLLPAMVPPDYGAYAQAIIDEGVRVVETA GNSPGPVVAQLKRAGVVVLHKCTTIRHALSAVKLGVDFLSIDGFECAGHVGESDITNF ILLGRARQTLPVPFIASGGFGDGHGLAAALLLGACGVNMGTRFMATVEAPIHQNIKDA IVAGDEHDTTLLLRRWRNTSRLYRNKVALDALKIERESPTGEFAEVAPYVSGKRGKEV FVNGDPEYGVWTCGPVMGLIHDIPTCRVLVERIEREAEQAFRDRLALIVPESKL UV8b_00520 MPAAQDASGLRACLERDGFVVVRGIVSGAALEELRQASRTVEEL GRSGQWPHVRTVGKQFPPWAYSPDKGIWGVQHLMDPQLPGHQVFTRQYFSEATLSIVR ELLQCTDEELVMELFNMLVRPDGGDFELRWHRDDIPAEATAEEEMARLRRPAFHAQYN LALWEDESLVLVPGSHKRPRTDAERHADPFDRALPGQVAVRLGPGDVAFYNNNILHRG VYDSAKDRVTLHGSVGHAAGGELRARNVLQHGVGRFVARVDLSTLGDEERRRADEMRA RLVRLGGQSGAVGYSLQG UV8b_00521 MHMHMHMHMDDDDENETYGTGLLMQDPRDYYPPSPPPTKQVFTM QGGKQITLHLVGHSPTEAHHLWNGAKFMADYFEHDPSRVAGKSVLELGAGAGLPSLVA AILGAGRVVMTDFPDPDLVANMQKNIDACDETVEPRGLIERTVDAVGFVWGADAEPLL ARLGGPRRGQEGDEDEDEDVHGHGHGHGQSCRFDVLVLADLLFRHSEHGALVRTIKET MRRSRGSVAYVFFTSYRPWKQDLDMKFFDVARDAGLEVEQVSERKLDRPLFEGDPGDL EVQKTVKGFEVRWRLEDCCSLP UV8b_00522 MPKSRAEDWARHTRPGRESRQRSRDDSTAARSLTKRSPAARSPA RSPAQPSRSASPDSHARHHHRHRRRSRHARPSGAEPEPEPAELPYSVRPLVKSDFAAF EPLFAFYLELQKQRYLEDMDEREARGRWKRFVGKWNRNELAEGWYDPEVFCRCAEEYA GREGGASPLASDEDEDEDEDEDGPGGSGSDDGSDDEDDHGGGDDYGPRLPARDPARRV GVKQPTRRDLAARDELLQEQREADGEAARQARRAERRLQKERLDELVPAAQPGTRERR LEKKKEAGESMRQFRERSPGVEVGDGELMGGGDTAEEYRRMKQREHRRKSDRQVRREE FERAKGEEMELRRRAWQRREEGTVSMLRELAKQRFGQGP UV8b_00523 MPLINGQKMACEPCIRGHRSTKCTHANERLMVPVRKPGRPLSSC PHPSSRPCACAAVTAAIPRKQKCRCGTSNTASPAHATDNDASSRATTPPSPSKHGHAG FRVHKQGTKSTSCRKQSVDIAGLQRMDTSQLNVMPPYNGLTAQQMRTTDGSTTPMSDA SLYGTMAMAPSDGSVVTSQANFPLFPYTMPPSTIPAPGNPEPAADGETDGPANGSGTS SSRAAKSCCGSSGGGQKSSLQIDIPPGPTPPPSAKDKAEQKAESCCSPQPSYNAHIKE STAGIMSPPGIHFPPSPAMMHHPFEQGVAMGNGLYPFYAQPSIFNYPPQYGSYMQPLQ PEQWRQFMAAMTFGQPGACPPFAMAGAVPMQQPPTTPNGSAWTSHHCSCGDSCQCIGC AAHPYNEATKNYVRSAWNTLREDSDKGPPAHANGNGSTLNGPAAIASGSNGSNGEHEE MANGASHGTSTPNTVNPDGTWSPVAAQTPSDAASGISEEQALSASDFFFVSYPFDDAC AGQGSSCPCGDDCQCIGCTIHNISGPAAQGPGNDHP UV8b_00524 MSDGNGFSGFPGFPDVKAKLQKPTKQSAFERQKAEAEAKRKREA AETAAVYADFVKSFDRDDNEQEDEESFGRFGGSSRLKKHGFGGPGHGASKRHFVSSGL KSGPGSLGPAPKSFAKKRSFNDFTGRLDPGDRPSISAPEETETSARTSLVAHAFHASD DDDVGDAKDRNEEKAVSKPTLRLTNMPSGTSPAAVKALMPNNLNVENVKILPVAGAEG SERKSTIAIVTLAQDTPANEMDAAVSTLQNRYLGYGYYLSLHRHLSSAVVASAGLSAM SSSTPGAHPFGAKPVEGKNGRDRNSHHQQGFHRGFAPPSSYGPAGAGISRANLLHIPV KPPQDVKTIQLINKAIEGALQHGPEFEALLMSRPEVQREERWAWIWDARSQGGVWYRW RLWQVVTGSEINQRKGKYVPLFDGGHAWKSPEKTLLFEYTTSLEEFVSDAEYISSDDD EMVGEGNGDTNGPETEKVFLNPLDKAKLAHLLARLPTTLGKLRKGDIARVTTFAITHA SRGADEVVEMIVANVLKPLSLTSANPEKRQEPKLKDAATGADESLTNESLDTSSASLV ALYVVNDVLSSSSASGVRHAWRFRQLFETALREHKVFEWLGSMAEKLGWGRLRAEKWK RSISLILNLWEGWCVFPAESHDLFVQTFESPPSLSSLPKTDEQQQQQQQQQQQETAAQ NGSSKWKSVEALPASKEEPGASRAAIGSANLVCVDEEALMDGDVMGEPMDEEDVEGEP IDDDDVAGEPVDDDELQGEPMDEAVALGDENQSRDDDEDEDDREDVGSQESAGRTKQR KRMRAVDMFADSDVSEKDGHP UV8b_00525 MPAQLPIDAFPAWAHVNNVCFQNVILKEVGDEKGVGLLAESQFK SVSKQSSSEKTSVLLQVPHDLVLSAEAVDGYAKVDGNFKQLLEVAGGLSTRHDMMLYL ISHLTQTRRPGGLTPTPWTKYIRFLPRPVPVPTMWTEPERILLHGTSLETALKAKFIR LADEFGQLREKSMGLAFWNALFWKDETVSLEDWILADAWYRSRCLELPRSGTSMVPGL DMVNHSGLPAAYYDENTKDEVLLAVRPGTSLAAGEEVTISYGDAKPASEMLFSYGFID SANAVYKLSLPLDPLPDDPLAKAKLHVFGESPLVTLSLATEEGKGGEPGLATWYSPFV YLMCLNEEDGLSFQLLQDVSGGRELRLLWQDADVTDRVHDFENLIRGHPLCKVFELRA VSALHQTVEEQLVRADRGPSDAELEALQQAGVLRSERVAAAQTLKEVERKVMASAVRT LERERDFLLANHDVIAYLGSVENPPVGQAPQGTILSEHEDDFS UV8b_00526 MSAGPKQSREVSSSTNVHGPAQPSSCPRNLAALSRDSSQDSGPA FRHAVSSPAPNDRVQASFTESTPLIASGARERRRSTVHPGVCEHGTFSPRPMSPDEAF PFTSNGTVHQDPRALFDSSSDSQSSSDDGWKKWFGGRMRTKKMGQSRVLAERAGFHDT PLMYLAYYIPCLNWMQQYKWAYLKGDVVSAVTIASFYLPMALSLAANLAHVPPIHGLY SFVFNPFVYALLGSCPQMVVGPEAAGSLLVGTVVQQSVDSGGSSEHNDVINARICGVV AGMAGAIVLIAGVARLGFLDSVLSRPFLRGFISAIGFVIAVDQLIPELGLSDLAVQRG ASHGSTVDKIYFIVENVGEIHRLTFAIAGISFLVIMTFRELKKRLESRFPSVVFVPDR FLVVVVSAILCWYLRWDEQGVEVLGSVKAATGGLFTFRWPFRLSHMKHIRPALSTSFL IALLGFFESSVAAKSLGGNDSIQGIELSANREMIALGVANLVGACFMSLPAFGGYGRS KVNKTTGGKSPVSSMILSLISLLAIMFMLPYFYYLPKPVLSSMISVVAYSLIEEAPHD IAFFLGIRGWTELGLMAIIFLSTIFYSLTLGMALGVGISILLVVKHSTRPRIQILGRI PGTNRFENAEGGNASLEFIEGCLIVKIPEPLTFANTGELKARLRRLELYGTSAAHPAL PRLRSQEANRNIIFDIHGVTSMDGSGTQVLEEIVRSYRDRGVRIFFSRGPGRPDHPLW LLMERSGIVALCGGRSHFVMDVEEALRWTEYEDSVGVGSGGSS UV8b_00527 MTDYDAIARQAEADLNTYQSKTGNARPQGLDDAGVNSFAEKKFD SARVIYGEELSANQGYSKRIPPSQDGFLDDRGRQTRGDHFRGQGDPMDKLQASYKDPT GQDDSSKKHRHVDSADIANMGQEASRSNVGANPAGVGGSRFKGDEYYTPESVPDSISA EGWVAPDSVVQGSKEAESIRK UV8b_00528 MTSRATSLSSSGLLPPLPGTPASSWSAYQARISSLLKHAEIRVV VAFWLLGLINNVLYVIILSAAQDLVGSSVPKGVVLLADVLPSFLTKLFVPYFIHRVPY RLRVLVFIALSAFGMLMVALTPPSESVSVKMVGVVLASLSSGGGELSFLGLSHYYGHI SLVGWGSGTGAAGLVGAGLFVVLTDWCKFSVRDSLLLSACLPALMFVSFFFILPQGPL HALQHHKDYDYATVPDSDAHDDQLEHVPQGAVSSSLLAPASSLVHPACSAHPGDAPSL KKNLQRAKSLFIPYMAPLLLVYVAEYTINQGVSPTLLFPLESSPFDEFRGFYPFYGFL YQLGVFISRSSTPFFRIHNLYAPSLLQVGNLALLILQSLYFFIPSVYVVFIVIFWEGL LGGAVYVNCFAEIMENIPASEREFSLSATTVSDSGGICIAAVLSILIEPTLCAYQVAH GRDWCRRIEAQRG UV8b_00529 MLANSARSTKGMAILAASTFFVMAFVGLVFRHLELWDFPVRPQP PSSPDFSPHVAEPAGASQPVRPFNSSRPTALANDDVLRSFFLKNLHKPVVSPADTTFK PYDAFEWQLPEKAHWQQPMGENLCIIDLDNRQFNQSGELYGPDVMSWDSPKGVHGLSL GLLNHYVYARIHGYKYYYINVVDPGDRRASWKKPRVISKILREHDVCIYLDSDAIFNN LDLPFEWLLNYWKLYPDNNSVALALDPESQYNQDRFGKTYLNTGFIISQNNPTTYDIM DAWNRCPDDDGPYPGCKDFRLNWPGQPTDQGGFGTYVRYNFTQHIRELPCDEANGFPQ TKSGCNGIFIRHLWTGKDNQIKIEVGQQLPGPYLQLFHEQYLKEKPTFFIEEADLLAN GPSQALRGKTALP UV8b_00530 MSAPAFATPLPQSTRSPVLQYTFPAPYSHLTLTGRSRAAWHTSF VIPQLNLLLDAGLCVNSSRPKHIFLTHGHSDHTLLAYAFVNRHDPPDVYCPAGMKRLF DDHILATAMMDLGGLDAASDADQQRLPAATDSSATDADATLPPERAVPQTHRTHGVRH GDVISLPRLKGVTATVFDCHHTVPCVGYVFSSVSHRLRPEFRSLPGARLSALRQSGVQ LTEPHEAPIFAFLGDTTASVLAADPPWLRRGIAVVITECSFLHDEHAAQADKTKHTKW TDLEPVVRKWPRTTFVLTHFSMRYSDRQVCDFFARLAHAPSNIVIWADPG UV8b_00531 MGAFSVYEVRFGEGPTTHHGIFLQTSEDGSGTLFDVRGSVGAGG GLVFKSSPERLCRFDYTAARGAISKQDVPKLETICGNVPAPGSQYPASGGTGLAVPNC RCVEWNAEAWMAIESSGILRA UV8b_00532 MMMGTPPHHLLPVNVNRPRPSPVDEQHDVRATAITTKTKPNKLF TLTSTPAYSYPSLPPKPDDKLRDSAPRNTHPHSHPHPHPHPHPRGPGLPDAYSACTSR RPSVAETTTSARKSTRSRSRSSSRSATATSTAAATVARSRLPARRISTSVPHGAESVP LTVQDALVGLIAASCQAQVDVDGLDTQPTGKTAPLRRAGYQIKSLRISLQLLRKVLRR LDTGRLQNPERASLVDVDVLVAVLAASVLTLSELEARLETLATRADELGLSPEDVCQR FTRALARDANRLRLVDFTITKLLSVLQVSNPREALRHKLQAGLATMDMLQADMALAQR MQQLQDAAQGLALASPDRRRELACRPPPAYSKPSPGNDDELPDYQAALDDDSAVPIQP RDWSVYSGLTLADIPALSRINLPLILGEIHDGYFYTAEYADSVTPGLDALDETQSLAR PEALARVLLITGPTSDSRGTASEQGAGGLAHRLARKIAKRGLLSYCR UV8b_00533 MALRPRLAVATALALVGLVTGLAGTDTITWGGDNSRAGYQTNHN MDPAVVGSDQFGQVFRTTLPGVWVGQPEQIFSQPLVYTPNNDPRQYVYFATTQNNVYK LDAKTGQILASRNLHIPFLTADLDGCVDINPTIGITATGVIDPDTDTLYLTSKTYVDQ TGGQKAQGRTAGRYYVHALDVNSLAERPNFPVDLEGIRASNAPDRIFKGGIHHQRTAL LHEGNYVYAGFASHCVQYDFTGWVIGWDKTTGKIVENWATEGQGVPTTVKGGGIWMSG GGIASDDQGSIFLATGNGYASQLSEAPVRGFNPPTSLEEAALHMSINADGSLRLVDFF MPWEKQALDGADKDLGTSPLEILPSQFSCGAIKRIGVVTGKSGKTYFLNLDSLGGYRN GPDRLDDVIYVYQNDNSVYAGAGVYPLEGGYIYINVIQYPTVVFKFSCNNGVPSFAKV AQTREKNAYILGVSHGTTTSLNGRQGTGLLWITDVQGPGLRVYDAVPQAGSLNLIKSF KIPGVTKFTRPVFGDGMVYVGTTLGYVYGFGSPVNAPLNCTSPVDFGTVNMKNGSSAK PVTCQALIPLTVTAIKLNDPRGNNFALSGLPTTPLQMAVDDAFTINATFTPSDVGLLS NDVLVTTTNSAGGYSTNTHARLAGTGQSAGPLLQISPRILSFKKAITGADPNGVSEPF LASNLGNGLLTVQSVLYSPSNADGPSQLWDGRGDLVVGKFTLQKIPAAVGPNSAASVN VKFDTSTTGTYSCYVKFVSDGGNETISITASAGPWPTALLEFQTPDGSGWVKHTPDTP FSFGNVTQNTSKSLLFRVTNSAPEGAVPLSLTVSKPPFGVAGLVRSLNQVDLAEGTSL NPGESANATLICNAPYAQWNTAPYNDTARWTMNTNDPTFGKQFIQFFCNAVAEQAPPL LPSGDGRFKYIGCYRDNTPDRQLPNQIYSSDTLVNADCIRACHAKGYIYCGTQYAREC WAGNTIPMIKVDESNCNFNCMGSLKQICGGNGNNNPGAYLSVFVDSLCGRGGSTEPPT AAQPLVNSGVSGYASIGCYTESTTGRALPNGKATDKKTVKNCVDACKSSGYIYAGVEY GGECWCGNAFTSGAVPAPIADCQMTCNDNSTEFCGGRLRLNAYQFTLGPTSIMTATTS LPSPPLLSSNLTLGTSPSRTQGATDSNAAAFPPTQASPAAPTQTGPFRRTEIGQWAYQ GCWTQSLEGRALRDRVFASDDMTLESCAEFCADLTYFGVEYGRECYCGKEIAGESERA ADQQDCSFLCPGDESQYCGAGSRLELYKMARPRPTATGWDAGQAPQSWNGTRGWSATT RP UV8b_00534 MARPGAYGANLADLASHILSNGPRKVYPASRRLRVIFNRRTIVD TLKAVHVWEHDGYPQFYVPLTELKNCSHRDTQLIRSGGFARAALVEVTVHARSGIKEA KTDRVIRFTDDRSLGALCGLVRLEFGSMDQWLEEDVPVYVHPKDPFKRVDTLPSSRSI EIKMEGKTIAKSVSSVHLHETGLPVRYYLPPGSVDPSLLRKSDQMTQCPYKGNAEYYH VVVDGKEHKNLVWYYRHPTHESAAIAGLLCFYNEKVDILLDGQLVDKPGA UV8b_00535 MRSSVVARGVGSLLSTASRTTTTAPRLARQAFPPFGQARAGATL VDTPTPAHGPPEAVKKPARQANANQVLKDAVSATAARQDWTREEIAAIYYQPLLELAH QASLVHRRFHSPGEVQLCTLMNIKTGGCTEDCSYCAQATRYQKGTGLEAKRVESVESV LAAARTAKENGSTRFCMGAAWRDMRGRKNSLRNITEMVKGVRAMGMEVCVTLGMIDNE QAKQLKEAGLTAYNHNVDTSREFYPNVITTRSYDERLRTLSNVRDAGINVCSGGILGL GESSEDRVGLLHTVSTLPCHPESFPVNALVPIKGTPLGDTTPVAFTSMLRTIATARII MPATIIRIAAGRKTMSEEKQALCFMAGANAIFTGDKMLTTDCNGWDEDSAMFGRWGLS PMKSFNKTAAEGAGQVDAASA UV8b_00536 MAARKPRLDDAYAAILAGREALNRRRHLTLNDGRLVDFSSNGYL SLSTRPDLRTAYMSRLHDARDAFSLGSCGSRLLDGNSAGAEKLEADVAAFHGAPAGLL FNSGFDANTGLFACAPQLGDLVLYDELIHASVHDGMRLGRATAVPFAHNCVAGADADA RGVPAGKSLDEVLRALLDGRDGRALATGSRNVFVAVEAVYSMDGNVAPLRRIVECVEA RLPLGNGYVVVDEAHSTGWLGRRGRGLVCHLGLEDRVWARVHTFGKALGCAGAIVLCT PTTRSYLINYARSLIYTTAMGFPTLAAIQTVYYDFLRLGRADPLAADLMSLVGKAHGL LLDLSRRYNHPSPLLRISTAPPESPIIPVFSSQAKSLALHCQKRGYMIRFIREPTVPK GTDRLRLCLHAANTVAEVRGLCMAMEEWLEEQLAEQPAARPKVTELGSVVSTTARSET PVGVISRL UV8b_00537 MKSPVASLLWRSLRVYQVFGANTDVGKTIFTTLLARTARKLWKD EQVSFLKPVSTGADDQADDLYDSNPIPLHTRNVYDVPCSPHAAALASGRPVPSDDVLL SRCRESAAQCAAAAAAAAAAAAGGKGWLFMETAGGVHSPGPSGTTQADLYIPLRAPSL LIGDSRLGGISQTISAFESLRIRGYDVESVLLFREDLYRNHDYLAEYFLKNYGIQVSS VSHPPRRHDDAHRDAEAMQTYYDRKDSQEITAQLLGTLDDKHAHRLSRLDSMASKASR HIWYPFTQQSLVGPEDIMTIDSAHGDYFQTLGPASQRSSSASASASKEAPVLRSSFDA SASWWTQGLGHSNPKLTLAAAYAAGRYGHVMFASAIHQPAMSLAETLLRGMKNPRLRR VFYSDNGSTGTEVAVKMALRAARKRYGWDASQKLDILGLKGAYHGDTIGAMDCAEPGI YNEKIEWYEAKGYWLDYPTVLCKEGNWTVTVADGLDSHFGRGRAYRSLHDIFDVEARE ASGQHHVYEEYITSTLQRLRDQGRQFGALMLEPIVLGAGGMELVDPLFQRTLVHVVRK SSHLFGTPTRPLSDLTRDPNEWTGLPVVFDEVFTGLYRLGRFTPSSFLGVHPDVSVHA KLLTGGLVPLCTTLASDSIFGSFLSPDKTDALLHGHSYTAHPVGCQVALEAVREMQAM EARGQWDWARAQGWARQGAAESEARAADVWSAWPRSLVERLSRLTDRVAGAWALGSVL ALHLKDSSGSGYSSNAAVGLREALDQGRSGGGGGPWNAHSRVLGNVLYLMASQVTSET SIRQLSELLMESIRKS UV8b_00538 MRLPLVSSALVVCQLGLAAAGLDHAMIQRSLEARTWAHETRSDL GDLSGMLETCDGCKNILGILKGIVADGDEAFISLGKELCQKATSYDEQFCNGVVEREA PPIASIVRTMDVGSPSSIQFCVSFLGVCDIPQTDAWSVPFPSKESCAPEKKAVSGKKP LQVIQYSDIHIDPLYVEGSSTKCEKPTCCRPFTKDDQPGVTKNPAGAFGDHNCDTPVT LEKSMYEYIKKEFPNAAFALFTGDIVDHGLYNTSKPYNEHLIKHAYTTVNDYIDVVYG TAGNHEADPPNIFEPKSAGNESQWVYDSLAAEWSRWISNSSVQEAKDIGAYSTKYPKG NVRIISLNTNMYYRFNFLLYQDKLGKDPNGQLAWLVKELDAAERARENVYIIGHMPMG TSDALPNGSNYFDQIVKRYSSTIKAMFFGHTHVDQFEISYSDYSDRTHANAFAVTYIC PSLTPTSGEPSFRVYDVDPETFAVIDAHTYIADMDAPGFQKNGPVWKEYYSAKDVYGH VTSPQLIDSSAELSPSFWHNVTVALEKDETLFDQYMSRKSRGWKEGGKCRGECMKEEI CNLRAGRSQDNCWKPTPGVHFSKRDETAHNHGEHDECGAPVFSEWLGALLRREDLLEL VQERFLQVGGRIEPIVRRAEPASSSSTTAEPTTTPVDCSVSGAPANSSATGFVPATGS AAASSGPAPASTTTVSTGNAAGNAATPAAWAMMGLLAALFL UV8b_00539 MSTSTDGQGKNTVRRIKCDETRPACDRCSRTGRYCDGYQVQPAT QANAPSLTLSRIAHSPATTQEVRALQFFVERTAVQFTTFVPDDLWSNRVLQLAHSSTC IRHALVALSSYHERYWNGDVGGETAYGLRQYNMAINHVLEAGADQPFFLPIQLVSCTI FICIEVLRRNISNAVHLFKYGCRMIQDASRHARKGGYLAAGLSIEPVLRLVENFFSRI STQVYLAIGGDINHKLADIIAPMIKLRGAGTAPKRTIASIQEARESLLKLALQYRRNM GITQSRALAIRFSSWAAAFDSFRKIFDQNSLTKAEKRSLALLELHKRYMYINIAALNQ ADREDPSIWDQWTQEFRDMVDFAAEAAGLDAGIDASSQKQKPQFYMEIGIVPALFFLS AKCRDAGVRRRAIGIMQSNHIQEGIWNSEMAVKVAQKVIDFEEGNLGAKSSTEIDELA RVRQVAVDAGPEVAYMDIGYELHGGWLGEGLG UV8b_00540 MAVALVDRLVEPWAVVPLALALVLASYLYDYLVTNAPLRRIPAP FGAQFSNLWLLCVCRRGHRFRTVDRCHAKLGKLLRIQPNHVSVADDDAIPAIYGHGNG FLKSDFYDAFVSIRRGLFSTRDRVEHSRKRKIISHTFSGRSVLEFEPYIQQNMALFVQ QLDRLIQQSPHRTGAGQNEARIDILPWFNFLAFDVIGDLAFGAPFGMLEKGADTAEVR LSPTSPAVYAPAIEILNRRGEVSATLGCYPRLRPYARWIPDAFFSKGLEAVESLAGIA IARVKTRLETPPDLRRKDLLARLIQGKDDKGQPLGRDELTAEALTQLIAGSDTTSNSL CAILNYLVRNPGALDKLRREVDAAIPPGVDVPTYDMVRRLDYLSWVIDETLRLHCTSG IGLPRQVPPDSPGVTICGHHFPPGTVLSVPTYTIHHSREIWGQDAEEFKPERWRHVTA RQKNAFIPFSHGPRACVGRNVADMELKLMTALWARRYHVTLRQGEMKTREGFLRKPLG LQVGLCRR UV8b_00541 MPDPEPTLALSKELAEFLQRHGLKPAQEPDDRGTAIHLAVRKAN ASFVAELLRVYRGDCVNAVDKRRIRGRTPLHSAASLGLNDIVAKLLEQGADVNARTRR RWTALMLASEAGNAETVRMLLKHEADMDAQSDPAGDRGSVSALHLAAERSSPATLLQL LWHGADVGIANSQGDTPLHFAVGALSGAAFLFLLFHGAVSAVVNKQGVSPLRLVQELP ARHHGIFEHHMGCFVAGAKEQFLACVNHDVNSPDLPRAIHRAVERDMKGALVYFLHMD RYLKEVKSPGGWYRPLHVAARLGRTEQARTLVRHGAEVDCKTKRDWTPLMLAAEHGHG EIVQLLLQNGASVLLKNKDGNTAALLARRSGLAIPGLLLPAFRHVPISQVPRSGDSKP ENVNKSGSNMLPVPKRGKDSREPSPGWSAEPEGELFSVTDATFGDSSRIAPQNSDYVE KLLSSLERTWYERVQWCPEDDIGKSRESDWSGPVKIAILDTGIDLDHEDFQRPARRRS KIGKAAVRRLPEQPQRERIKAWKNFVGKPGEEADVTDTIGHGTHIAGIVLAIAPRAEL YVAKVSSGEDQSRAKKNADDSGESPRRTSGRPVQEALQWAIDQGVDIINLSLGFPHES SYELTKTLEEANYKDIIVLAAAANHGNREAISWPARDRDLSLCVTSGDEYNNLSRFAP GASKDLPVFITHGEHVSSQWPTNLGGGFRSMSGTSVSTPIAAGMTAMILAFLNTTSAW SPEQKRQWLDRSTERRLRGTRGMGRLLAHICRDRSGLKVLSPKLLWEENPEAGPLKAL SLVSQAFTLPG UV8b_00542 MPFSNAWKKYWIIPQESLRPEDLELGSILKRPDDPIDLLNRHAV EPIDPKLVVQEREQVSKSFSDAMSRGAGLDVEASSALAAVLGGAPTLGAARSTAASET IEATRVRARHFSPSDEYAARALLTRQIASYVGQSFFTAPVFMVVGVAVASKLVRAATV SRDAGLRGGAAVGPPGSGVELSAAVYAGRATGSTYEDSVDGDVVLAYRLRRFRYSRMR SRFVKDKQDGVFHRVGEHGGESAADSDDEGEDGYLPVFSYFEDNDVWRVAGTQGFAEV GQEESESESESESS UV8b_00543 MAGGVKKPVNIFRLKDLGEPQGVFNWRLWFAVVSFGLLGAARGI DEGLISGAFNSPDFQATIRYKSYSTVEQANLKANVSAMVQIGSVAGALLAFFVCDRIG RIMATRFLCLLWLLGIAIFMVGGVNGNIGAIYAGRFIAGLGVGQTPVVGPVYIAEVAP ASIRGLCTCFFTGAVYIGIVLAYFTNYGARIHISNSSHNQWLIPTSLHIIMAGIIFLL TFAQVESPRFLVKQAKSQEATQVMARLRQQPADSEYVVREISYIQAALDHELEATKGV GWAGKLKEMFLVKSNLYRVYLATMVQLLSQWSGAGSITLYAPDLFKILGITGTQEGLL VTAVFGIVKLVAALVCALFLVDVVGRKRALLTGITLQAVAMIYVAGFLTAVPELGTVK NYALPQTKIPASRGAIAMIYISGCGWALGWNSMQYLLTAELFPLRIRALATSWAMTLH FANQYGNTRAVPNMLLPASEGGISPKGTFWCFAAVTILGGIWVWLSVPETSGRSLESM DRLFDLPWYKIGLYGNRDADKQDVAYDEKQRAAEETHGMGQHVEEKRETA UV8b_00544 MAHSNSHGKRKASDAPVSPPPIKRALQSTTTKSAVANFFTPASQ KPKERTIWTERGPNDDVPATLLVGRYVPQGHDERQKPRTKIAAFDLDSTLITTSSGKK HAGSGTDWKWWNSRVPGVLRELYLEKGYQVVVLSNQAGLTLHFDASYKGPKSSIQKRV STFKQKCSAILGNLDIPVSIYAATEKDIYRKPRIGMWNEVCEDYDIRASEVDLKNSFF VGDAGGRMAGLGHTGEGASPLAKDFSCSDRNFAHNVGIAYMTPEEYFLQEPPRKFHRD FDLANFPFSDAAASKKDDVVFEARNPQELVIFCGPPGAGKSTFFWKHLEPLGYERVNQ DALKSRDKCVRLAKELLLEGRSVTIDNTNADPDTRAIWVEVARKAEVPIRCIWFRTPL HLCEHNDAVRAHAASVNPESRQILPKLAFTGFASRYKEPQSKEGFQDILELQFKFRGT REEYETWARYWT UV8b_00545 MYELFLTAFVEDKDFDAACAVLGGFCAMTPWETLDRVLCFQGPP RPGGITNQTSIDKPMRKDAAFLWKDLHQSLSRQSFILQARYEILKERDMGPLSPPMDL DSLPGLLRWTDFPEPPHGRPLITQRKMVEIWEQRRLPSILRDNHFRFKTETLEKNYRF FRDEIEFCLTRHYLVRPIEDYSPLESRGDDTISPLSGLPAWDAVTPVDMQNRWILLVK SHVVQDNKPDEIRKVQDLLLAIRGELEGAFSFMTIDRKVHDTRISLQQQGIQALPQKI KVGKN UV8b_00546 MSPKLKPLLLPQLVQQRQQAAAAADAPSFAVMSPDPLVLDPSYV FRTANSSSSDITSPVTPVFSPKGHQQFSSSNSSLESPMLPLSDCPASPTQTSSILSSL RQLADVEEEPLQREEVASTLSDSFGLYSCLCDQPCTHRNSSEALFPGDFVSEFDIDYD MGFLSDGDVTPDTRHHRKKRSAAEAAFSEFTMRIGSRMPTIRQWRSTKRTTLRASPTT CLSLENVLSNGASSSRSSSLSTSNHPLAADGFPEAAVSPISSNPSMSFYSLNDDGECG LGLEMTPEDHVKLQRDRAMATTPLLPPLLTSALASPPRESPLQSPKVESSPTPDAPLS PTMSTLSPFMRPALSARPSTSSLRKASSSSELPLALPAILQEHDEWSDRLGHANFTIS PQPYELASLEPDAIAKFRNDWDLARINYTKHLVRTGENYGQTSKIYALTEAKWAEIER RWKTTLEEVIKNSCHSAPGSAAASRNQSRGRGRGRSSSSNAAALARLAPQDDVLAELE WRRVEDCLPSAVPQMLESLNADGKFPGRGDEDIVGPMQRDTVMARGRSEDAKSHFWKS LADKVGLRK UV8b_00547 MARREGGGEGHPSSTCWRQAVGRKETCSSFRSLASEPNRTSLLA CGRSSGRQAMIRTSPSPNVDSQAIGTLPPSPQIVQLGVDPVDPVDQEGQRLLWRLAPQ AARLPSQAQDRMGSQRTHLTTYKSALARPANHPQTIDPSTR UV8b_00548 MLQNNFSWIAATGQNMPPGIDGQSCMSRGRDYLSKAYKENRSDM ANSWPSLMRQERADRWFFDQGDGHEPRATSHEPRATSHEPRATSHE UV8b_00549 MGLSDSLSWWCEVSVKRIGSQALGAYGSVHSLELLGFDDSECPS WPMTLQQSPEKLLFGGGKSGGLIEQWNLPVARQVHVKARRDVLRNKV UV8b_00550 MGRFVPHDSKISHSRDNTGLRHCLLSNLLADDLDASFFESHLPL SSVTNASLDKFALAHKQDDFINSVSARVCCLDDISIQYKTLHRQQRRLALCFIQRNAK YLHAVSHARCATSLVPHISLAKVCIGPKLCETVSRAGSQPSMMENLFGKQATCALCYK SQSLFAETNRTLVETMNRYRELKSLKMKTAK UV8b_00551 MRQNQSSGSRRLSGTWSIASTSSWASNNEDAALPTSRRWSSRFF SYSRRGRKSSTSSTSSRTCGGMTTEDTRELWRCMLALQQLYGCYKSTRMDLAVNAGDA GINLMPNPFIIDTLNDSLVNLPAEGWEMLDRCLRNSKSAPRAMRSKPKSQRKFWSRS UV8b_00552 MAAIMADRASLEHHPSPRCQAPGARCQMPDAGKGVDKPTALHGW THTASYPLASDSRFAEPGSPSLHG UV8b_00553 MTAMTAMATGRPPKSDATHYLVPVPVPNLRALDPSARGGEGEGE GEVGVEVDPKTHSWVQPIVIDDEDLMFGGKSLSAWYEEDRRRLSSGAGDEEPRGRERV RRHDAASPKSRPK UV8b_00554 MKAAALLAASCAGLGLAAPLTQLLTQDGAPHMSSLQQAVLPRAF LDDKLPGDDTDSKRLHTPGKANAAALLSDRPLLLPSRTPVGKKGPLTKVPLQKSPPNK TPLDAPEDPKKRPGPPLTTPVTPERPGQQPPQQDTTKSSQSMMSGFGKQPVLTRCQRC IRTLCFQVPCSLHVPARHHERKERKKYKFVKPKDRKGSRE UV8b_00555 MSPGHKPIRLPPLKTLRVHNPKRQTENPCIAIMSSVLACWASAG YNASGCAAVENQLRACMDGPAPPPAPANTVNYHLSRMQKYVLSPRKQK UV8b_00556 MAHAPLLRNNTAPVFQSNQSGFNILQPNKMSSAPRGSQLSGSTA YAGSTTSLSSLGSAATVVPAQNGGPVMATSNIINQKADASRSLYQICVSLKQRLSQVP DFAPFLDQLDPSDPVDPLWNLLRTGYPLLTIYNSLQPTEPLKVEDTNASEAKKSKIAV FKFVQACMKELQVPPAQSFVITDLMGTDTSGFVKVIQVVHYVLDLAEQRGLLLQLQPY PEDEQAAATGGSQMSYRDHIVKELVDTERKYVQDLENLHDLKKMLEQKGLIPGDVVHQ IFLNINAILDFQRRFLIRVETTNSMPKAVQRWGAPFCFYEDSFDIYQPFIANQRKAAQ IANLVFDKIQQSDHPVAADFNTLDGFLLKPMQRLVKYPLLLKDLAKKSEDEEVKDDLC TGCDAAERVLFKANEAVNRDLLDESLEELITRVDDWKSHKVESFGKLLLHGVYGVTTG KNEQEKDYEIYLFECILLCCKEASSKKDKTRSSGPKIKNRSAKLQLKGRIFMTNVTDV VSLSKPGSHSVQIWWKGDPGVENFTIKFLNEETMRKWAAGLETQRKENAPRLSTSQES IRNDFAWTRDHAGGLENPYLQQEDDDEDYGGPATAPAQMQMSSQSMGSVVPRTSSNGS LRQRSATGESTQSLASIARAPPPRFPPPMPPSSSLSIQTASSTGAQSPGSRGGDSYFS PVPESPASSRTSTTSGIFPGPAYQFSSSSKAGTPQPVWDDSRYGPGGMPRAPSRDGSS PNPLGMGGGGRAARGPSLPVMATHSQTAAQQQRSRSYSTPDANVAGMPRQRQPSQSNI PAVPGIPQHLHPAALDGNISRSQTGSPRNDLPIRTSTQSPGAQRERMHQHQHQHQHSG SLGGSTMSAFPSQPAYSRGNTPVPNGVNSIRADAAAPAANSRNASPSIGAGGTLSHNG SSLASPDLPMPTQLKVRVNCDSGNYVTLVVAFNITYQSLIDRIDAKLARFMTNSISKG ALKLRYRDEDGDFITIESDDDIQIAFTEWHEGVRNMYSGGVGEIELFCVGEGQ UV8b_00557 MFGPRLMAKHEQPPKMDTFSPAHQPDEGYSEDPLNPTVHSSLAV ALAALQSPADLPSWLATYASLLPVSVKKELTLVLLNDLPTSAIAEIVQRLNPRLYIDF VQYLPVEICLKILGYLDPVSLVQVARTCRAWCELALDRKLWERLYYLEGWKAVPSEIE SSESKINAGLNVSIGHPHRIQNADGQTNKIRAISNDDFDPCHGGQDHVGLGGRSIFGT PASSFSPTRPLMSLNGGHAAVDADADFAPSPALFKGKGVDRSSYPSNVIRVKRKEPGG TPEPSLPPVLPTDNPRIMTRSTLWAWDANSSRYRMNWKYLYSMRRRLESNWELGKFTT FQLPHPHYPEEGHQECVYTLQFDADYLVSGSRDQTMRIWNIHTRRLARPPLIGHCGSV LCLQFDASPEEDILVSGSSDSNVFIWKFSTGELVQQITKAHRESVLNVRFDARILVTS SKDKTIKIFNRRPLRFGELGYTTSDLAFNPAGQPVKSYGYEPDLAQELPLKEPYTIIG RLEGHSAAVNAIQVMGRTIVSVSGDRNIKVWDWPDQLCLQTIPAHDKGIACVEFDGRR IVSGSSDYEVCIFDALTGLKVAQLRGHAHLVRTVQAGFGDLPYSRFEDEAVAKTVDSD YFKAVEAGNILQGAERRNRRARRANAGSSRPSDVQAFGAKVPPGGGGGRKYGRIVSGS YDQSIIIWRRDKEGVWKPVHHLRQEEAAAAAQRDMASRTLQPTPQPLVAQPEANITLH PSVLPSTAGSSSSLPRSRSQASTGFAQVMRDPYNGPQGSNGMYMHLIDQIIPLGPEAL EQALASCPALLGHHNYMQTVIDREESSLVRAQLRRVIAASLASVQTGAQVSRTHPSSI SAVASSSEAGPSVVTPGPRPGSRPADSEPASVALGDGSRPGASSAAAEPTTGSAPSLV PAAAMPTAPIPIPPPLPTPVPAAAQPTQPLPPAHHHPHIAAAENAPARVFKLQFDAHK IVCCSQAPVIVGWDFCNGDAELEETARLFGTVD UV8b_00558 MNRLFGARTTAPKPTLSGAISSIDTRIASIDTKLKALNGELSSY QEKLSKMREGPGKQALKQKALKVLQRRKAYEAEKDKLEGQVWNMEQASSMQDNLKNVM TQVDAMKTTNKELRRQYGKIDIDKIERLQDDMADLLDVGNEIQESLARSYDVPEEVDE AELDAELEALGLEQELDMDMGGAVPGFLQDEVAPEFVDEPHQMEDKVKEAAG UV8b_00559 MDAPIPLPWGLGLAPRIRTLPPSFIVFFPAFPGGRAFSGNNGWN LANTPPMNISWTSHLAAPRPIRREPAWHRIATEAFTSKYHGASTRILMKRITHHSPHF NKQTPISVSPKLSPEDEVARWTTVDKVELPNAKAPRLNFFKASKDMHQTAGGPMTVAE IASMIMEAKVALVNGMLQLDETSITREVDLLRSRKFFRHVIPMKRSIDKNDRIEVDGL LGGHRIASYFQVLHIYAKAKADRKDSTDLLSASISEVSVNFGRWRRKRSLRQRLSLQG APNLSDISDHTEPKSEASPTKTSPPAAEPLQSPVVRLSDNMVTLPPAIDRDVEPSPTK SPATPSTPFITSPCGYSAQAPSTPGLKSESFQPTVPAHVSQIEFGPIASFFQSPSWLN ESVDTRPRRPMERTHRRRSEPLLRQAYRQQASRRHTASPLRSFVHGHTLFCGSAATET NLLPTSPATLAGENTAPPDIDRTPAKPASSDATTTLMTPAICWGKMTGRMSGEGVQST PTPARARNTSGVRTVDMRQNLDIFGAAATSCMPFANPLAGAHDHTFSTPCAPPPTVLL AATPLGVSFGAPSGAPSTSPLANLLARPSVDPLSTSRVIARTTSSATPAAVDRLATMA QERCDGQANVLVTEENGRLFVRFKLPTKYAHLFPNGRGVDDSRFTVTPSAISTSPRIT FKYHQPMLPPVDDLGEARLLQMRPYDDSPGRDYMRDFIKRSNKKQLSATEMGSPIAPE RKRTPLVAKSSNTPSPQKSKRRAENLSSGSDSTVGELSEPLMKKVRLHSEDGSEDGSE DGLPDGLEDPKARAQHKEQASSGMCSVPTVPNTTAAIADHQEDAEGLDKTGTRRSTRL RSQRLNSGSKSCIPTAIIRLGARPGTARGGLVSKTRNEQQDVSHQTRMNTRKNKGNAE YPAQVLARYQEQRRREGSSEGGTSESDTAVKTSDGGKTVVWKTPLEAHQEGGKCPKGR RIAVPKRRNPLKLPSPKEQHAAKVAANLGMTQNGTPAKPSRITRSSARARA UV8b_00560 MTAALHSHTLDIIFRSRPDILDGIKRAADSPSRIALFNDIASHV YAQLHGGGEPALKRRKVEAAAAQPAAAQPDDASREPVLLSVQEISTSVPQRKKFELCL TQSFLYARAPGAAAPVPQIAYAWRDIEYAFFLPVPEKTHAQHNYVLFPRGTSLPSRSN PPASAAEPLVFTVPATAPRPGAVGGSEAPSAAAVSDALPSLFHWALGTRLRAAGNPVA IVSADPAEFHSAVRQTQRPREKAVHVSAFRGSKDGYLFFLGNGILWGFKKPLLFMPLN RIAAISYTNVLQITFNMVVETFTGDGEANEEFEFGMLDQQDYGGIDDYVRRNGLQDRS MAEQRKAKLQLAQNRGAKKVCEPGEPGAAGGGGGDDDDDDDDDDEQTELEKARLEAEQ QLQDEEDEAEEDYDPGSEGDSEGSGGSSEEEEADEEDGEDEDEDVDQDGQDDEEQGEQ EEAERETVDVKLEAASHPARPIKPIKPVKPVKPAKPAKPAKPAKPAKPAKMLKVEAVK DNLAQVPIRRSGASGRHSHMSNDMDAPEKFDVI UV8b_00561 MAATMAAPFPNLYVHRRVADAAAKSCDVCYKTSSSVLITPDSKD FFYVCPAHLKDTYFCTPRVDAAAVEARREKALAEEKERLKKEYEEKLRKKTAKKAKEA KEAKEAAGKAEQEDEEEAKDKNRDAKDVESAAEGSLASNEEPRLFQLGSAFYQQRLQR KRQAEAARRDRERASQPGYFPSVPSEPPTR UV8b_00562 MALSRIDGQDNLYVGGIWALRRSDTLAEKKITHVLSVVGFSPDS LKNFKDEPWSEYGKQFRHHVIDVDDVDESDLLVELPKAVSFIHEGLQGASGATPGKAA AQDTLGGDAANSRNATTDGGGSSTSTSGGGGGGGVFVHCAAGKSRSVSVVIAYLLWRY PRRFEPNTVPPAAYGVSSPRQAPRSRKESARQAVEAALALIRRTRAIAEPNDGFMQQL ALWWEMGCPDDVESHRLYQRWAYQREVEQHVAVGQAPSRLRFEDEQDTPAPAPASQQH GQESPPPSSAGRDAQVALRCKKCRWTLAASPFISQHEPAAGSQPGQPCPHYFIEPLGW MRSELEKGQLNGRLSCPNQRCCAAVGRYDWKGIRCACGGWVTPGLSLQRARVDEERKP RAGGVGQAQVASLGIRMPPGRGGNL UV8b_00563 MAFPPQYRETPPSLPSILSAGPGHYRRHHDMAFSGSPAISIPGL DTKDDVPPPLPPPRHPFLDSAHAEDSSKGVRELGHFVPSIGSGYGSMCSSVADERPRV KRRDTASSNNADEGYASYTAAERIRESRPTEFLHHSKFHFQTAADLHGDSMKKKLDPI RTIERSPRSMNVSALSEQVRRHGDPRLTTLSMPVQLPIHSRSAVDSPVRLSDTAVFSA VSPRRATFRHFAADSWFPKDGSDYDRSPRSRSHRNNSDDASIYGGHEFSGADDMEIDE ATSPKRLQADEAYAMTGHKRRAASPLAGSHVPQVSGSTDARRRDLGSRGSPTLRLTVV PQASSVSSMSSAAISRSNSYISTMSITPCSATTAQSFGRRSPIPNSPGGISPSSCNSP YATPASLNQSPRNSISGRANAHGRTVSGSSPRKMSEMQKPTGSKVQHVYMCECCPKKP KKFQTAGELSAHEAEKQYECSFCGNRFKNKNEAERHQNSLHVRRHSWSCSALSGYDRA FHDSTSQPGEADTCGYCGNEFSRSGKGPGTGALSGGIVPKHATYQDWDERIRHLREVH KFRECNSSKKFYRADHFRQHLKHSHAGSSGKWTNMLENACMLEEDAAPR UV8b_00564 MAANGKLNRWLKIGHGLVSVRLQEPTMAVRMGGIMISQWPFATP CQLLSLFFSLPGPQSM UV8b_00565 MTRRVGAPGFPAVGHRFTDSSRDATPRPSPGWGVAALYEKRYPV GAFSFLPPSNQLSTHCTLRDEGFFIPRKKRWNSRGCGFHSHSAGLAGLALRGEGVLAV A UV8b_00566 MGSAFVVISVNAVETWTFPADETPHSRNKTQHGRHVSPPFTRIT QSTRPHHVLEASSAINGGLAVTHLQLGGTQRENQPGRPSSVMERHRGCLGRGNKVAGV RAEGNETSPSWRGQGRTDSACGCPRGLPLDAQMEMAKRHPSFITMVNRDGQDDTWSPN WSEEKTCYYAEWALEDAGQRYMMPLEHTLFFFVFSLLPQR UV8b_00567 MAVEEKPGAAEAADPISPSPSAVPSEKPKRNLEPFTYNEDDDDA LGAHPEQPHTPTATEHDLNVTEDDLLEAKELASQYTLEQVRDIMIHVRRIHEKDPNFP TIVIEKVKTFLDNEAVFENPDQHQHLIQEMKLEAALVTSNSPYAEVRAVVSNKDDPTT PCSTVRSWSIGLLFSVLLAFINQLFDIRQPAIRVMANVAQLLAYPIGKAAENWLPDRG FTLLGVRHSLNPGPFSKKEHMLITIMANVAYNTPYTNYIIWVQYLPQYFDQPYASHFA YQLLIALATNFVGYGIAGICRRFLVYPSYCVWPASLVTIALNSAFHTDVNPPVGGPFR SVWRISRIRFFYLMFAAMFVWFWFPNYIWQSLSKFNWISWIAPGHRDLNIVTGINNGL GLNPFPTWDWNVLLWDSADPLMVPFFSTLNRFIGVFCSFWVVLIFWYRNVYNTGYLPI NTNRVYDRWANLYNISLATDDHGLFNGQKYAGYSPPYLGAGNVVIYMFFFAIYTSTLT YAVLYHRHEISMGFKALFYSLRLKRKPVKDERDLDVHNRLMKAYPEVPEWWYMVCLAC AIAFGVVGIVAWDTHTSPGVVFWGLALCAIFVIPVGIIKAMTGIEVTLNVLAEFVGGS WVDGNALAMNYFKSFGYVTCAHAIWFCSDLKLAHYVKIPPRQTFSAQMIATFLSTIVC IGVLNFQMNSIQNVCTPQAQYKLTCPGVNTFFTASVLWGTVGPAKVFGHKGQYSQTLV GFPLGVIVVVLVWGLNKKFPRWTWARQLHPVVMLYGGIVWAPYNMSYVWPSVPIAYFS WIYLKTRFLGLWSKYNFVLSAAWSCGIAIAGIIIFFSLQYTETDFDWWGNNVSEMGCE GSACALKTLAPGESFGPQKGQFS UV8b_00568 MDGGHAHQRPRSGDFPYVAPLNLARLANTTSQQQRQLNLNLGLG LGPGRSVSSQSPQRDSCRRRGRSRGRSRGRSRGRSRGQSKGRGRGRGRYADCRLSDLF HDLSISHKELERYLNRSSQVNVADTLRKQSLANDLDADSQYDDTHTSSVASAASFSPL SSSSPASTLFKSVSIQTSTDSDGIHCAALDQSYAISPLPSSPSSPSSPSSPSPPSSPA SSAAAAAAPRWTPSSRHSSYHEQHLLADMTVDAAVFVSSSSEPSSPSSPTRCAPALPP GRAWANNWPEQQLENLLLSTTKVAKVCLVRPRAGPFEGLFVALITATAVPFPNNPDVA LADRADHEAARKQIHTLKTAVAEWGSDSRRPDVWIVLQSMATNAHGEPDARRLQTWVQ NLGETAEADIMSMQVYVHRPSAGANVVRQPRETPPDDLGRPESMVQPSTQPLTQTSTG PAWLHGSILETNDDDVDCNANRSANRSANPNANTNANNRDDHEEQWQPQSPVRGGSDE DIFPLAIMQQLFFRTSLSHGLDQAAMSGRDYRFSQGILLNIKTHVQLAEIEAAVSVLV SRHSMLRARFRLTSQGWAQVIVPESSRAYRFEHKYMEDGHDDLPAAIEDAQRTLNVFR GPVFAVKHLRSAKNQQLLYLAAHHLVVDLISWRILLHDLDELLRAGTLLSDPSMPFTY WTDYQSYENSQRLVDPVLPFTITPPDIAFWGLEAQSNLYRDAKRLTFSLPTQAALALR KGCNKVFRTESAHIFLAALLHSFRQTFPERNLPTVWNQEHGRGEQNSDFNIEQTVGLF TSLCPISIPASAGNDPIHLIKVVKDTRKAIPRGGIPLFQSRLAAEGAPATPVPVEIMF NCVETLDLLQRENGILEPIAAPGRDACSLASDIGPAVGRIALFEVSAAVDGHGARIDL LYNVNSKHQDRIVSWMHGFELCLVTAISCLGSMQPELTLSDVPLLETTYEKLFKLSGK RFVDIGISGVDNVETIYPVDATQQEILVAQTLDENCFHVSRTYELATPDGSLVDQGRL CAAWEALVSSHSGLRSIFIDSVSESGLFDQLVLKKVSPAMLFIDSDDPMETLCALPPM KKTSSSQPRHRISVGRSRRKTYLRIDCSQALCDLNGIHNLVAQLRQLYMARNVSMSVS EALPRRHYRHLSSPDSSRNLDIWRGNLDGATPCIFPRLIIRDQGRLESRGISLDIGRN QLSQYCAESQLNISTVVQLAWALVLRTYVGENRVIFGYQYAERKQALVSDTAQHASSP STFVPCMVDFSPIGTVLDTLWRLQRTFMDSTVTEVPTLAEIEHSLGIRGQRLFNTCVT CQDVHDAILSDSDSDCDIWDPVLLSSAIDGNCDVSLCLTLRDDRIQADVSYRCLSPDQ LQNVMNTFQRALQIIISTPGQPLSQTDLFTEHDFQQIMNPDWEPDQTDTKIPACLHKL ILTQCQGRPYAPAIYAWDGELSFQQVETSVTKLATYLVNLGVGPGVLVPIVLERSRWS PVVMLAVMQAGGCFVCLDAQDMAVVEAIISQVEPQLVVVTEGAWKHVSQFIRNCVLVN ETFLSSLPPQITVFTQEPLPQQAACAFLAPGAQTPKGIFFTHESLCSILSVQGPALKI HSGSRVLQLSSYTIDTALVEIMGTLLHGGCVCIPSALERINDLEGTIVRMDITWTYMT TALSRKINPATLPNLQTICFRTRTLDEDTFKPWLGDRDVLVAYGAGDVCPLAISVFKV SRESDTNVVAPPLLGRFLILNPEDAKKLMPLGAVGELAIDSPIVTPHRYVHGKSLIDP AAFEKPGSQRKWRYLRTGHRARYLDRGHIRFLGAMRDEVVVNGLPVRTAAVERQVRQC LGGDVDVAVEPITTSDAVSCLAAFLEFGDEEFVGPSELEKLTMPMRSKLAAAKWLAEK TLAQCSRWDPPGTPLQCMPTIFVPVRRFPLSSSLKINRRKLQKLVSPFSHSELSDLAN VACSNQPYFTGILSKPLPLTQTEETMRLIWAAVLHAVVADIKPADSFFDAGGDGLLAT RLVLSCRQNGFDVPISRILRGATLTEICQSIDGGDDGHGPPPAAAAAAAAAAAVKASP RTKSSPKPGGAGHKLPDECDHGFVKLVAAPQLGIPWSDVLDVAEASSYQLQSLETGVY GTRSDIRCLVMKLNGALSHHRVQDACAALTRVHPSLRTAFVVHDSNLFQVAIASFRAE FDRKLVAAAASLPREANLVVKGYQKEALQLRKPATKFTFLDAGQQGGRLIMRFSHAQV SESAVPRLVQDFVKLYEHPGTELRRTSFFDYTRALRGARCEDSRAYWRRRLDKSAVTQ VVARSAPAPPAAAVRSVKEDVKVSALTDLGITFDSVLKGAWATALATLSASADVVFGE VVEGRRLKLETSVDVSSIAGPMENVVPARVRFPVVESSALQVLQMIQRDGAASLPYEA MGARKIVQECTDWAKWTSFSTVVRHRSQVPVDGTTTLNIQDTTFTYSLVQPPVRDAPD LFVGSTMTAPDRVALCIEYPPDRVPDEVAQKALALLAGAVRSLACYETVSQPLLHSSS DYALLEPQLPVGAGAAGAAGAAGAAAAAGAATPAQETTTEQPDGAAEPGSCYTSWLSG EQRATLQQFLLSAWTEMQPPSTRSLSQEARLLSSSRFYDVGGTVLPAHLFAERLNNDL RKLDIEGIHTVHVTAAELIANPTVSEQMALVARKMRAASVLSKPAGPRKKKKKKKKNK PVAVNMHTGLGLGLGLGLGGSLPSASASASASASASSSNWALLAKAKGLRRFRPSNGS HGPHGSVKDLLSNRAGDWVKARVSPSKDKSSAAAEAVATPHDEPGGAVVVGRDETPTA SKESRGIDTVPEAAVEIGPSCRGGPWE UV8b_00569 MFWRFGGYANISTIDTILDKPDFTLEELLDETDLIQELKQHNSK LIEYLREDKILERLLEYVVASKLEVFATPDDAADEEAKGKSRLLPFSRPRASSRATDL TNNDEEEQEKRRNRYALVAAEVLSSDTWSIYEALTENRQLVRKFWQFLDRPAPLDPLQ ASYFTKVNESLFEKKTDDMMELFRTIPSVVPQLLNHVECPMIMDLLLKIIALDRNEGG QGIVEWLYSKDIIPTLLSCLDPKHSWVMQTAAGDFIKAIITISANASQNEQQCIGPNE LTRQLVSQPCVEQLIGYMLRGGNPLTVGVGIVIEVIRKNNSDYDPDVGSEANPVPSSR DPIYLGTLLRLFADNVPNFMTLIMDGPSRKHDLESTFGEKLEPLGFDRFKTCELMAEL LHCSNMGLLNEVGSEQLIASRDAERHRLRIQGKLGPRRDENPSTEDLTMRMPHGTHDE ARRLEVINPADDDGFEEVEPSKEMSEDTSHEFVKAEDDIAAGPPSSFLDKDEDDFVDE PLSSPRLSVGVDKMNEQQFEDPDLIVAPLSPSKIKCVETFETENSTTPHNTATAILAD LDSAAKEIDEHLNPREHASSLSIPPVTGAEAKVPASVLDPNELRNDSQHAGLSPHPED TPAPLFSASSAASPAAEQSAEKNEAEGTANAPGISSTERETASKDFTDREEIPVAHEQ PQTIVSPGPVVGDYLKMQFVEHRVVPAILSFFFSYPWNNFLHNVVYDIVQQVFNGPMD RGYNSTLAVSLFEAADITTAIINGQQASDVSQAKAKTRMGYMGHLTLIAEEVVKFTER HPPELLSETVLDKVMSQEWINYVEGALAETRERDNAILGGVRPEVALGHRGSIGSGSG LAGVGFSGLGGGSSAASNVLAEAGLNGGIDLNEGSNNSIGPFAISAGTLMSGFGSSSD EEDEEGDAEEEDVNSEFRSYTDPLNNTSASMTPPSIPPPPPPPPPLNIPPSRARLQLA ARLAMHQKKSQSFQPSSEALYRGGDDEDNTVDPFRDGDDDLDDDDDDDDDVEDDELQV NIGRGSSRGSWWRSMVGKKVNNEGDDSDEADEDEDEFGDFAMAEEDNKTDGGASADSL VLRPLPINPAKESSRGLSGLWPFGSKSDSRAKREHESEGHKIEDMTFAGDKQREGRKA IEVKEAVSRTSIEEPDDDEVMVGVGAAAGKKQDGR UV8b_00570 MTPKKTNIPFDPSRITKASAAEFSSSESEDEDDHRIPSLEADDE FGDFNPRKKRRVGKNTKEKAALGIFGSDSDDDGPNSRWKRKTLRNKGMSFVSSAAKST DTDEEMDHDDNRPVLGNGGKGSWGEEQKDESGSEGEDETDSQGSGVGLGFRSGIGSAL NGTAPNDDGVAPNIPVPKVESYFDGSNVLGMGFVPSSANEPVLRESDEATAPPQNRPQ HSTFTSKGKVNAKGFGARMMAKMGYVEGKGLGKEGQGRNIIIEANLRPQGIGLGAVKE KSEQERREERRQAEMRGEKIVDSDGEPKKGKGAKRKGLGGGGGGGGGSGSSTPRRPKT KYLTVEELKASAPGLHIPEAFTPILDMTGPRSKMLTSTSGIMTPTSGTPESTELTEAR KLVKRAQTDLQAFSGEWRNLEERKAWLDLELKEREREIEDLRSDFERLQAFAELVSKE VTADADITGVSACFEKAFEGHVPSAETAELAVAAMHPFLKDADWDPLADPSTFASNIR KLFELLIKSEDGARAVKKRELNDFHPEGSYRRHQKATTPYESMMYKIWLPRVVAAVRQ WDPLTPTPMLDIVENWNDLLPHFVRAQVMDQIARKLETAVSDWNPKRKRQSHHLPHTW LFPWLQHLPPYHLDPKGTGLVADVKRKYRQLIDVWEFERGIVPGLKQWEDVLGSQWQP LIMSHVLPSMGRYLRANFRVDPADQEPYLPILTGVMKWNGMLGDAMVAEVLIQDVLPM WYEKLREWLALAEGDLSEVAEWYTWWRRVLLRDMTGIKGVRTELDKGLHMMNLV UV8b_00571 MADQDEDYSSLPLPERFTHKIWKVRKAAYEDAAKQFALTPDESH PCFRPFLNEPNLWKSAVADSNVAAQQEAIAALCAFLKYGGPDCALRTRGLTITHMVEK CLSSTRAATKQNAQEALLLYIELDVAGPVVEDVLPGLSNKVPKNVAATLNALAAIIHN YGCKVVDPKPVLKALPKVFGAADKNVRAEATNLAVELYRWLREAMKPMFWGDLKPTQQ ADLEAQFEKVKAEPPPKQERLLRSQQAAADSATAGGDEYDNGEAEGDEPAELDAFSLA EPQDITKNVPPNFTDLLASSKWKDRKEAVDALFQAINVPRIQDSDFNEVNRGLAKCMK DANVAVVTQAALCVEQLAKGLRQSYAKYRAIVMQPIMDRLKEKKTSVSDALGAALDAV FASTSLGDCLEDIIAYLGNKNPQVKEGTMKFLIRCLRTTKTVPSKPEIATVCESGKKL LSESSPTLRDGGAEILGTVMKIIGERAMSPYLEGLDDIRKTKVKEFFEAAEVKAKEKK KPAPAARAAPPSAKKPFGAKKPAAKKAAPPVMPAETPAAALPTGKPVAAGGRLGTPKP SNLGSLKAPLKRTVAGPGAASPRRPGAAPPVMPSEDEPMAAAAPAPAPASAPAAAPQP RLGLTRGLAGRSLVKPVAAPIMPTVDSPPQSSSLTAVERAELEELRATNDRLSRQVDD MRQERNKFMAEIQELKNQNAGLIEDHTRDVLSIKAKETQLVRARSDAEATEQVNERLR RELDRLKKALSRAEGFGTSPGMGSPVTSPTYDEVGIYRDSEAASGNRHRMSFNSTVSE EKENGGLAYPRSKLSPELRYTGSGASSGRGSPARGFRNAAYSAYESTESSSPTTRERP ISSLPQPTGGGVESWKRAAEVTSQLKARIEQMKAKQGFARP UV8b_00572 MLEGLVAGLLNRFLGMYVKNFDPTQLKVGIWSGDVKLRNLELRR EALDQLKVPINVVEGHLGELTLVIPWSNLRSAPVKVLIEDVFLLASPKEEAEYDEEEE ERRRQRLKMDQLDSAELLKEKSREGMSQEEQKKNQSFAQSLATKIVDNLQITVKNIHI RYEDSISAPGHPFALGLVLQEFSAVSTDGQWKPTFIQESSKTTNKLATLGSLAVYWNT DSDLFGTGREAPSELSNPMTHDEMVDNFKSMLGEADALTSHHQFILRPVNGQAKIELD KSGDIRAPKLKANLLFEEIGLVLDDDQYRDALMMVDLFHYFVRHQEYKALKPKGVRPK EDPRAWLLFAGNAVLNKIHQRNRKWSWGYFRERRDDRRRYIELFKKRKQQQQLTTEEN GDLEKLEWKLDYEDLRFWRSLARNQLKKENAEALRKQPQQPEQQGWLTWMWGSRPQGE IQENEENTQMTEEQREELYHMIDWDEKAAIAEEVDTPREAVNLCLEASLSTGSFTLKK SPHDNPTDLLSLHFDVFKAKALKRTDSILANISLGGLRVNDGTTPDSLYPEIVRVKDA PLAGKRKSLSLKELENDTEEPFFEFEIEQNPIEREGDFALLGKLKPLEIVWNPNFVVG IVDFFRPPERHMESITALMESAGATVEGIREQTRAGLEFALEEHKTVNAKLDLQAPLI IVPVSITTENSTCLIVDAGHIHVNSELVDDKTMKEIQSKQRQSYSEADFKRLESAMYD KFIVKLTSTQVLIGPSIEESKKHLVKRSTDSELHVVEQINVDFVVEISILPKAPNLTK LRVSGHLPMLHATVSDSKYKNLMRLIDVAIPRFDAESANNDIQDQPRRPRPSTANSAR SRRKSQRERRQSFAFPFSQPQTAVVLEDIDDENEDFEDATDGGNGQHLKIQQRSFEFN FKVDTLKGSLYKSDPDRVKSDTLLVELVAERFDLIFYTRTYDMAAEVSLGSVAIEDFV ENPSGDFKSIVSSGDSEDLKAGRSLVHVKYMKINKQSPEFMPVYEGVETNITAAISTI NVVVTRKTLLTLLDYILTTFTGGDNDQGSQLLAIAEADDGVLEDGSGEASKPSENAGS IRVKVDLKAIRLILNNDGIRLATLSFSHGDAGIFINGKTMRVSAKLGDLSLVDDVNLG VSADSNLRKLVTIQGDDLADFRYETFDSGNEEAYPGYDSSVYLRAGSVKVNFVEEPFR KIIDFLVKFGKMQALYNAARQAAMTRANQIQQSPSKIKFDVVIKTPIVVFPRLFVSDQ HQGDVITAYLGEIYAQNKFVPLDDRKDSDVAMKISAGIRNVKLTSDFHYSDGRSEELE MIDHVDLGFNITYSEHKEGVQRPDMDVEGSLSDIKLRLTQYQLKSLLEISKSVPAAFA GEGDNSDEEAARMVDEGTLQLARSGSEGDNRDYNQNLVDLSPELSSLQNAWTKFDLVF CANAVGLELIMAEEDEPVGDLANSSLSRFSLDETRLKTRVLSDGSLEAELLIRSFTIF DSRPKESNKYRRIMASSNKETQQLMASVTMSGGKERNLIAMATIDSPRIIFALDYLFT LQRFVTEGLVVDAVSPIDDESLGDAIDESDNDSTQVTCQDTYQSDRQQSQVLRQRDNA PLVEPQSSKEEPSMSMAFRVNLVDAQVILIANPLASNSEAIVLSIRQMLLSKQHALTF QVSEIGMYLCRMDKFESSRLRIIDDFSIQLSMDSSQVGVASFLIDVQPLILRLSLRDI LLILQIITRASELSGGESKDPKESAAEQKAQQLRNAGLKSKSASGRGQSTIARTRGTK TITAKPVKAPAKPQAAAAPAREELSVTVEGVRIVLIGDLHELPILDVGIKSFTAGAQN WSFNLKAESAIEMYSSVYNFSKSSWEPLLEPWQVGLGVAKDPVSGLISVDVASKKTFD ITITTASIALASKSLTFLTTEQNVLDKPRGVEAPYRIRNYTGFDVVLHSKSPSSKEPI SLRLEDGADSPWSFEHWEKMRENLLTESSQNDVGIQLEGSGFDPVKNVRLNREGEFLY SLRPKTENVLHRLCVEVSLGADNIKYVTLRSPLVVENSTGIPVELGIYDAQQGHLLKI EKIAPGDSRPAPVAAVFEKRALVRPDGGFGYQWSRDQIWWRDLLQRPTKQLVCKGENG DPFYFQVHAQFDKSNPITKIYPCMRIKLSAPLTLENLLPYDFKYRIYDKNTKKDWSNF LRKGGVSPVHVVELSHLLLLSIDMQDTVFKPSDFSIINSGNTEDFRKESRIIVKDEQG LSLNLALHYFKIPHSGGAFKVSVYSPYVVLNKTGLDLCIRMKGFLQQAKPAAGQFSLV HTSQRQRPKALPFMFSYGNDDNRNRALLKIDESEWSKPQSFDAVGSTAEVVLNSRSKD KEIHIGIAVKSGEGKYKMTRVVTLAPRFVLVNKLGEEILVRESSSSGYMSLEPGALQP LHFMQKSPVKQLCLCYAGVENQWTSPFNIADVGTTHVKIAKAGQRQRLVRVEILLEDS TIFLHLSMETKNWPFSMRNESDAEFTFYQANPNVDEDDVEDRSGWRPIKYRLPPRSIM PYAWDFPAAKHRELVIATNNMERHVKLAEIGNQIPMKFVTPSGQQKIIDINVAADGPT QTLILSNFRASKSMYKPKALSRTSTGHEAFEVKDRDTGATFRAQLRLSGVGVSLINAQ LKELAYITFRDVQFRFSDSPFIQTASLAVKWMQIDNQLYGGIFPMVLYPSVVPKKAHE VDAHPSLHAMVSRVKDDSYGVTYIKYASVLVQQMTVDLDEDFVFALLEFSNVPNASWS AVEEEGKLCDEDLDIPEPSQQAGGQDLYFEVLNIQPMQLDLSFMRTERINAEDKTSSR NPIMFFLNVMTMAIGNVNDAPIRFNALLLENVRVSMPVLIQNMANHYSQEVMYQVHKI LGSADFLGNPVGLFNNISSGVTDIFYEPYQGLILSDKPEEFGIGIAKGAASFAKKTVF GFSDSFSKFTGSLSKGLAAASLDKQFQDRRRIAKARNRPKHALYGVAAGANSLFTSVA SGVGGLARKPLEGAEQEGALGFFKGVGKGVLGLATKPAVGVLDMASNVSEGIRNTTTV FDGQELDRARFPRFIPNDGIVRPYSSREAMGQYWLKQVDNGRYFDEQYIGHLELPKED MVVMVTYARILLIRSRRLTSEWDVSLKDVQTIAKERTGVSLVLRGGANGPFIPVGGGS ERAFLYKMIGVAVEEYNRRFRGGE UV8b_00573 MAMADPAATAPAPAPAPAPAAAAAATATATDTGTEQPHQPHQPH QPHQPHQPHQLHHQHHAPVAGFDYNPDPALDPANQHTHAHTHHSPRVDAAGHDDAVYT VNTTADPSLIPHQDHAHSHSHSPSHCPARPGPGPGPGPGHGVEKHHPLHSPPEYSGHE KVEHGHVSATRGSSSTDDDNDNSFWSRIKRLYRRYRPFSHLVYFLFFTGWWIASLVLH RNDMNWVVPFLVWLCVSLRLMFYYVPARHLSSAIRWLWDRSALFVYRKIPGAWRTPLG AAAALAVILVGTFASSEAADNTRANRAVSLFGMLVMIFAFWATSAHRSRVNWRTVIVG MLAQYIIGLFVLRTGTGYDIFRFIADRAGDLLGFAGQGVAFLTSDDIPDKVHWFLTGV IPAIIFFISLVQVLYYVGFLQWFIKKFATFVFWALGVSGAEAVVAAATPFIGQGESAM LVRPFVPHMTKAEIHQIMTCGFATISGSVLVGYITLGLNAEALVSSCIMSIPASLAIS KLRYPETQETLTAGRVVIPDDDEHKAENALHAFANGAWLGIKIGATIIASLLCIIAFV GLINALLTWWGHYLNINQPTLTLQTILGYLLFPVAWLLGVSEDKHEILLVSRLIAQKV ITNEYKAFVQLTKDSDYANLSPRARLIATYALCGFGNIGSLGIQIGILGQLAPSRGGD VSRLALSALVSGVTATLTSAAVAGLVVTDQIALFTAKASS UV8b_00574 MFTLGRRRILSTFLAFFAVLTVWRAWDLASTSSGKVPTKPMTGY TKYEPEKDFLWRTIRHNYPVTSFRPLPTAAADTLPSVQAKFPAESAADKKVRLSRQQD VRDTFLKSWKAYKDYAWLHDEVTPVTGNGKDPFGGWGATLVDSLDTLWIMGLKDEFDE AVSAVAANAGFVDTRDDEINVFETTIRFLGGLLSAYDLSGDRRLLAKAHNVGDLLYKA FDTPNHLPVARWNLHDAAFGRKQTAGSNTLLAELASLTMELTRLSLVTKDPKYYDAVQ HISELLAAAQPKTKLPGMWPIVVDAVNEHFDAGSTYTLGGMADSAYEYLPKMMALLGQ KRGLYHDMYVASMQAASEHLLFRPMTPDGRDILFSGFVDVRDDGPARKTELKPATGHL TCFTGGMLALGGRLARRDDHVSLGRKLTEGCVWAYQAFRTGVMPESFYITACPGKDPC PWDPAAWHAEVLQAHSAVAGAESIVAQERLPAAFTKVTDGRYILRPEAIESVFIMYRI TGDRAWQDKAWDMWRAIDNLTSTKLANSAVHNMNPPQGEDVVLADSMESFWLSETLKY FYLIFAEPHLISLDEWVFNTEAHPFKRLK UV8b_00575 MDPNPPPSSSPSRQPQLLHDSATAATAPGRSQQASLDPRRQYDD AARPATPATPAEPHRPQDDGLRTLNTAPSRRSLASLASLSRPVSAAASNVNHEQGRLS EGSRRPSIRIRPSTASLTGQNPPPDSAPVDAPQAQPRPKHEPSSGNRPRSISQPGPAP PPVPRDVSLGARNSWRAQPHTALPRLTEEGPRPTMAELGLAGAGPADTLPFSPRAAAM PLEPPLGRRRSAGEAGIGEAQHKPQRSSLVGRIFRPNLRRSMVVHPPPETRVYADPRH PDEYNEQLVDWLDIIDPEVQTLSTLTNVQNSLFVPDLGSWVNRRPTYVLSQPVPRRDV VPPPEEPSIREEQESEGDVRGREEEEEEEEEARPREVEGAPKPRVGRSDTISSRLSDS HYAALPHGETLEGWTAAEKWELDDHVRHMMHSRRSKFKRQMKGFGQYVRRPLGFFVTL YATLITLFGLAWVLFLIGWIYVGEEQVYAIHIIDSVLVALFAIMGDGLAPFRAIDTYH MIFIVRYCRIIAKAKKKAAKGLARPEPGAGAAGQTQGEPDKPGEDTSQWPGLRQGNNT QVAPSVAVDEDKPDSLPIASDLEDAKSTVSSHSPEMDYLTPKQRRSLQHHQKKLSKSH SFYKPHETLTHHAFPLGRLVAIIILLDCHSCLQISLGACTWGIDYHHRPFALTTVILC VSITCNLTAGLVIMFGDRKTRKKDVVELLNRQALTGDAIKKVEKKRGKQGQETDNDSE RFLTGQNGANN UV8b_00576 MTPTPTIKDRLAKAVGYDSDGPQDDVPPISNTDVFIEREPTVRE FLAEIAPTRRGAARYLSNLFPFVHWIGKYNWIWLTGDLIAGITVGAVVVPQGMAYAQL AQLPVEYGLYTSFMGVLIYWFFATSKDITIGPVAVMSQVTGDVVLRAKDKLPDVPGHV IASALAIIAGAIILFIGLARLGWLVEFITLPAICAFMTGSAVNIISGQVPKLMGITGV NTRDAPYMVIINTLKNLPTARVDAALGLSALLILYLIRGTCSFMSRKQPQRAKTYFFL STLRTVFVILLYTAISAAVNVHHKLKPSFSIIKDVPRGFQHASVPKINKEIISSFSPD LPAAVIVMLIEHISISKSFGRINNYVIDPSQELVAIGVTNLLAPFLGAYPATGSFSRT AIKSKAGVRTPFAGVITAIVVLLALYALPAVFFYIPNAALAAVIIHAVADVVTPPKVV FQFWRVSPLEVPIFLAGVLVTVFSSIENGIYTTMSVSFAILLWRLFLSRGRVLGVARI RTARAKQLAVGDKKSSGEAEAFAQESDESLRTGFLPLDHEDGSNPRVVVSSPHPGIYI YRFAEGFNYPNAGRYMNHLTDLIFKETRRTDAGLLGRLGDRPWNDYSPRKQRVDEVDN RPTLKAIIFDFSSVNNIDVTAAQTLIDVRNQLDRYAAPNTVDWHFAHIENRWTKRALA AAGFGFRSPKPAPGEGAKHWKTIFSIADLGGSDSAAKAAEAAELAETTEKSEKTDKLL GEVDAENDGSDVSHLSEKDAPRNPGSAQLVAVHGLNRPYFHFDLQEAVEAAIANTERW UV8b_00577 MHLPSALAAGTLALGVSAGSNYLGFNSGATLPDRSAKFKKDFLA EFNTAQNLEGAPGDFNSVRLYTNIQAYSQDDPIEAFEAAIETKTNLLLGVWASGTDNI NKEITALKKAVTKYGSKFTDLVIGISIGSEDLYRDSQTGLRNDAGIGNSPANIIKFIK DYKDAFANNALGSVPVGHVDTWDAWTNSSAQALIQVVDWVGVDEYPYYESGKNNTIKN AGHLFDKAYNATIGAVNGKPVWLCETGWPSSGPKWDDAVASVENAKYYWDEVGCRKLF NKTPTFWYTLRDSNPDNKAKFAITKDLSTKPLFDLTCPKSFDTKPETSSAASNSTASA TATATGSASASASASGNASVTSATKPTSTSSSDGSSGSGSSRGNGGNGGNGGSGSSGN SGSGSGSGSGGNGATSSTSSASGAINSSKPSAAASFEKLSGAAYLALAAIAGVAVLL UV8b_00578 MPFYPPSWAADLPEIPDSISIETFMFDERYGRHPVQTSRAPFID GLSGKSYSVSEVRRRVDYLSRALSRELGFKPDQGTGWDKVVACFSLNNIDYLTLAWAV HRLGGILSCVNASYNASELEYQLKDSGAKAVFTCLPLLGTAMQASKRLAIPSHRVFLH ELPPALGGDVSNPGRKTIDQLIQAGAKLPALKPADSCWSKGDGAERIAFLCYSSGTSG LPKGVMISHRNVIANTIQIATFEEPNRRLLRQGQGVDSCTELCLGLLPMSHIYALVVI CHVGPYRGDGVVVLPKYHFEQLLQTIQDFKIRMLYLVPPMVIHMAKQLDIVRKYDLSS VRAAFTGAAPLKEDTANELLKVLPKLQLLQGYGLTETSTVVCMTAPQDIWLGTSGSIL PGATIRLFTTEGQEVTGYNQVGEIWVHSPSVVPGYLNNEKATAETFVIADDGLRYMRT GDEGMIAKSQKGYEHVLITDRIKELIKVKAHQVAPAELEGHILAHADVNDCVVIGVPS EPEGEVPKAFVVKSPSAKGSDDVIAQSILEHVARHKSDYKRLRGGVEFIDVVPKSPSG KILRRLVRDREMAKRKKQGAKM UV8b_00579 MSWVDDSLLLGCKTRLAALGIGWKGLEIMPVLSIAAGLFLAFTL QLVVYRAWLHPLSKIPGPVMNSVFNFPYIWRNNIRGLFVFDSVQVHRKYGPIVRIGPE RILVDGEIAWPQVFSRRKADQGQFEKMMPTQPVMGNSMTNARYHVHLRQRRHISPAFS DASIKQQEPVVKGYIDLLMDRLQKVAEKGEPVNMVNWLNCTTFDIAGDLVFTESFRSL RNSAAHPWFAEIMESVRYISFQRFLDYYPLVRKLASLFVSTLGGKESPGRSHAKQKAQ KRMDLGEEGPQGRKDMVSYMLRKTRDGQVVMRPEEVLENSVSLIVAGSETMSSALCAF WFYLAKNPRVYERLAKEIRRAFPSEDEIRMQKASQLEYLQACISEALRVYPPAAETPS RVSPGALIEGVYIPRGTLITVFQWATFRNPKHFREPDSFIPERWLSSSHPHYEERFKD ENHAVFQPFSFGPRDCIGKNLALNELRLIISRILFKFDYELSEGQDDWHTKQRAFLMW DKGPLMVRFKKRQMTVGEASW UV8b_00580 MSWLSISPDSHFSLANLPFGIISTAADETRRPAVAIGDYALDLR AFALAGGFFASPEIQKHVAVFSAESLNAFAALGRPLHRLVRQHLQSVLSARTADPHIL RDNEQLRRTALLERARVVNHLPLAVGDYTDFYAGKNHAYNVGVLFRGPANALQPNYTH LPVAYHGRASSVVVSGTPVRRPWGQILRDPKAEPKVPVLAPCEKLDLELELGMFVCRE NQLGHPVPIAQADDYVFGYVLMNDWSARDIQAWEYVPLGPFTAKNMGTSISPWVVLAD ALDGSKAAGIPNQETLLPYLREETKENCLDIQLQVDLTTANGDTTTISKTNSKNLLWS WPQMIAHHTITGCNLRPGDLLGSGTITGETAGTEGSLLEQTGGGKDPIKLQGGEERRF LHDGDTLTIRGWAGQQGALIGFGEVTGTIQPALPLF UV8b_00581 MADYTLYAYFRSSCSARLRIILHLKGIPYDHVAVNLLQDEQLSP EHTALNPSASVPLLACRAPPHAGFAIGQSLAALEYLEEMHPEAPCLPPPGDARGRATV RVLCAIIAADTQPVTNLRIMRRVRALGGSAEDWNRELMADGLRAYEAVASGSAGRYSV GDALTMADACLVPAVWNARRFGVDLSRFPTVCRVVGNLSEHPAVQKADYFKQPDCPAD LLQPRDNLAS UV8b_00582 MTSTANLDNDAIVQSHLADAEHHGIHNHLNKGSVDLHVRKASLQ HHQVMLDDDDYEGKPTDEEMKTLRRVSGKMMWAMWTIAFVELCERFSYYGSAVLYTNF VNKPLPPGSNTGAPLDHSGNPGALGMGTKAAQGISLFNQFFAYIMPLVGAWIADARMG RFWTLHLAIAISTCAHAILVAASAPSVIVHNKSAFGAFIIGLLCLCVGTGFFKANVSP LLAEQNRDVRPRVEVRKGERVIVDPAITNTRIFLYFYFCINLGSLMGQISMVYVEKYV GFWLAFLIPTALFLVAPLVLWSQKKKYTLKPPTGSVLSKFLRMFFFARKHSGWKNFNW DVAKPSHTLPEQRPSWMTYDDAWVDEVRRGLMACKVFLFLPIFFLSYNQMTANLTTQA STMERHGVPNDILQNLNPISIVIMIPLVDHLLYPGLRKIGFAFTPIKRMTFGFFIAAL SMVASAVMQYYIYEKSPCGWYANKDDCSPAPINVWAQSLPYILIGIAEIFANVTSLEY AYSKAPENMKSLVMSVNLFMSALSAAIGQAFVPLSGDPLLIWNYTVIACIAVVGGSVF WLCFRHLDSEEDKWNMLKKSEFIGKQQPGVAKAHEAGEAA UV8b_00583 MLPPPSLLVLAFAGLAHSIYAASSTPRGAVNSEPFHRPESISNL SFEEFLAKVSRINKATSPARYRRQDGDVVLGEVHNNPEGNEMLREFHKECRKFRGYRA MSGVCAGKSLMRRSIYCGKPGDSSAKRKATSLYCPEGKKCGLRYAFNYHENYVQWPVC VDTVKVDRPTRRTDPIPGFSADYFASVPGSFDGVPQSPGTRYFHFQMDSYVKNGEAAP YGFFTDTAGHSGWGLTWSCFHCPPGLVKIHSRERALAYAYVD UV8b_00584 MAMLADLLHPLCRMAVYASFGFFLIIVTVGLYRVTLHPLARVPG PRLARLSNIWYARQITKGRAVQLGLELHKKYGDVVRVGPNEVWLNSKEAFDQIYCAGK GFEKSDFYLGTALSRPYVDWKLNAHFPDTLDLLSERDMKRYRLQRRLIGRVYSAANVS RYEEVIDSTLKLAVKRVSSFQGQEIDLCEWMHIITVECLGAAVLSWSPGMLRHATDWG TLTHSYRGWRRKSLFGVFPTAKKLERWSPDLGRHFSSLWGVTYKTPRDFKTFFPAVLG PNPRKDKRVDLLSDLIQLHRDKPDFTEDYLRKMAVTNFGAGHETMASTLTAAVAMIAS HRHVQTRVEDELRTTNEALAYAGGSRLPYTRAAIREAMRLHPVVAMSLARNTPSPGLH LHGFYLPPNTTVGCSPVSLHRNEDIYGAKADCYIPSRWLGGEAATGPGDGPTVGTLRA MEKYSLNWGGGSRSCPGRNLAELMVFKVVATLFANFDVEVSIPGDADKEAYFLSMLSG VKVRFFCPLGQH UV8b_00585 MAAPECRPDDAQHQKASRPADATVNMDPEKQPADAANLYSIFTP WQKRGIVLGASVAAFFSPLTAQIYLPASTLISEDLGVSDTQINLTITTFMVFQGLAPM FIGSLADNGGRRPAYVVCFLIYLTANVGLALAPNYDALLGLRCLQSAGSSSTVALCYA VVADVITSAERGQYMAFTVVPTVFGPAIGPLVGGLLSQHLGWRAIFWFLAIFSGVAMV IFVFFFPETSVWQAVRLRRRKELGSKDPMAEAAPDHASSATGQNKIRFRTVFGSLFLL FEKETGVLLWTSSVVYMGYYCIGTAIPSLFAERYDYDGIEVGLMYIPLAVGSVAAATI VGPLFNRNFKRHCIKRGIPYHQGQQQDLSNMPIEQARMEIGVPLLCLTGGGLISWGWA MHAESHVAVPCALSFVLGVGLIGYNNTTNILLADVHPGRAGTATAANNLARCLVGAGA SAAIVPLIDAIGVGPAFTLVGSLYFVAIIPALILVKCGMKWRAESKGTDERGKLGKAK GLESGGEQVSDDEKTGQ UV8b_00586 MNVQYNAALRQSKSIRAELEALSSKGAPTPGQIGNVSASLSSFS KGIDEYKRLARQELVPKRQEEAFERVKRFREDLTDFRSQIDGLKTMREEAQHQSNRAE LLGRRPYNATPENPYANTSTTANSAFQPRNPSQTGGQLSTGSADEMREAHAFREQAFF ASTHSALDDYIARGQAVLGDLGQQREMLKNTQKRLYSVGNTLGISGDTIRMVERRARQ DKWIFFGGVAVFFAFCWLVLHYLR UV8b_00587 MSTSVFEWHPAKLLQARDDFHEFALLVLNQPLKTSAILRRLWRN AQLRVAADGGANRLLELSSFQGKFSNLQAIIGDLDSLSPSVRDFYSSQPTPAQVIHDS DQESADFGKAINWIRKAQPKALDIVALGGIGGRVDQGLSQLHHLHLFQQDPAYGTGRI YLLSGSSLTFLLKPGSHRINVKEEGEDVLFGKHVGIIPLQGPSRITTKGLEWDVVDWE SRVGGQLSTSNHVLPQTKCVEVHTTNDVLFTIALRQFDGEDES UV8b_00588 MYRPSLRSVLGQALQVSRSQAFRSAPRRFASTAAPADRPRSWKS SGLRWALAIGAVYYYNTSSVFAEETAEATQSTAAPPSFSESDLPTVDYVIEQKRKQLK TKADQEEQGQADSTADSASAAAKKTKQPAAESQHRAVSESPEMLEEEAGQQGAFNPET GEINWDCPCLGGMATGPCGEEFKTAFSCFVYSTEEPKGMDCIDKFQGMQECFRKYPDI YGAELADDEYGGEATAEGHDAPRQDELETNTLPGTKKSPQGGVPQEGEDTAGAVEKAA HEEQPPKKAEDEKKE UV8b_00589 MDANESPSKCLTPTSLPEVPNRHLKRQVSRHKSARRTSPVANSS MAAAPQPVEAVTNESASTASLIDVDVPSVRTVPADFLEQDVQTETQAERLAREAEATH VKAENSKRNAPKKKAHKSDAWITNRASSMSDSSAGALAVTNLAAIVGVSSYLGYRAWG LYEKGNLNWKNASIGIGIIAGVGVVEAVIGRYLYRGKKCNSS UV8b_00590 MSEDDVDMLGPDGQGSSRVDRDRRAPRFSWTPAYEATFFRSLCD SVHLGLRENSTFKAEAWERAAVALQESHGAYPAKSHLINKSDNARKRFRLWRGLREDP EFTYNFVSKTVTASEDAWRAHIEREPLSRALRGRPFDHEVFMEILYPDVIGSGGAPKR ILKPRKRPDGQPGDEPEMPGTGVLNLKTESTICRIGRESPVQAPSSSGMTPNAPANAS SPGFGTHAKTTSTALPPRTASAQPSVLTPPEELASQSKKRALPPAQAENTSGTTPTPP PPASIKSDCALPTTTLPDKRQRRSVQRDSVHPSSSCGTDLPAAAVASTPSCVRPAVPL TRAISQTASRDSIPETAGASIGPRWSELALEQFFKDFADENMDLQIRIAETVLVNENK ALVYCKMPWCLKQYWLKKLDESFRKSP UV8b_00591 MGLFSKAQPPDMAVTGTSEAFDKEAAESVDATGNRFNAGKVQSG VARVEAITKVWSRAHLMVAYAMIWFIYFVKSIEEVVVFSMDPFVTSSFEKHSITPAID IVAMIVGGLSYIPLAKILDTWGRPQGLALTVAIWAVGLIMMAACNNVQTFAAAKVFNT VGSQGVSYCLTIFIADTSSLLNRPLMLAFATSPYIVTTWVGGPVVEAVLGGPGWRWGF GIWTIITPAVVLPLSLLFLYNDRKAVRAGLVERRTTRVTPRDVWDYVVDIDLVGILLL AGGMALFLLPFSIWSYQEEQWRSPLIICMLVFGAVLLVLFVVWEKFLAPCKFVPYELL LDRTVFSAGIMFIFVFASSSIWGGYFYSMLLVVWNTGVTKATYISNIYRVGSCFASVV IGALVHRIARFKWVSTLYALPLTILGVGLMIKFRQASQDIGYVIMTQIFIAFAGGPMV VAGEMAMMAPSDHQHIAVIMAMLNLFCSIGSAVGSTISSAIWTGTFRDELIRNLPRDS PIDAIYGSIGEQTGYPFGSETRAGIAAAYSQSQRYMLITSLCLLFAGWGCTWAWRDIK LSKIKQVSGTVA UV8b_00592 MVKFPAVITASLVALAPLIQAWNAPPYHGFSLRWQDPFSGGSGR SPDPGNWNIINGFLNVNNELQTYSSSTRNVQLSGGSTVQIVPWRDESTIQGWTSGRME SKYVFTPDSGRLTRVEASLRFGPSHQGAKKGIWPAWWMLGDSIRHGKQWPAGGELDIL EVVNGQPVGHGTVHCHKSPGGICNEPSGIGSSVNIPNNDYHVWRLEFDRRSEDWTRQS ITWFLDGHRFHQITGSGIGDHGTWATLCHSPTFFILNLAVGGDWPGKPDGATQDGYGA MMEIGYVAHYVSS UV8b_00593 MFQDPSKILQHLEGKLPQPQSPLVLAPQPCPSMRPVSRPALRTA SRQFSAAASSASLTSPAEAAPTLNPRWLTNLQSRISACLETNPPADHGARLKKYRDYL TSNWLELSAGREGFLTDERWRGLDKFGVAWGDMHGEWAWGPAAMVHVLVATCGLIPSR QGHVNNVMYNRYAESGRVNWFTSLAAHAPPDQRQAWIDLISPRGTGLILKSIKTDYKL PVAYPDRITVIHKLARQPSYASENIFLDAVIYSEAHGRVAARCYEDIAVYNYRAGKRA TLVNFMVDELQRVYQLQEKNRQETENKVKELHQGIQAIEDRLF UV8b_00594 MPHTRFSQDPPQVAQQQNDLDLTAKKPKGPTLTHVEETAELSGS GSRSGAGSGSGSGSVDASAPSNGNGSKGDGIGIGTALEKLVTSEKYEITEDDCYHELG YGFPKWKKWYILTVIFWVQVSMNFNTSLYSNAIPGISEEFGVSHQAARCGAMIFLVMY AFGCELWAPWSEEFGRWPVLQLSLFFVNLWQLPVALAPSFTSIMVGRALGGLSSAGGS VTLGMIADMWESDKQQYAVAYVVFSSVGGSVLGPIVGGFTEEYLDWRWSIWIQLILGG FVQILHAATVPETRTTIMMNRIAKRRRRETGQNVWGPDELVPFKDRFSAREILATWVR PFRMFLTEPIVLVLSLLSGFSDALIFMFIQSFALVYAQWNFTTVEIGLSFIAVGIGYV VAWMLFIPAIRRNVKERAAKPNDERAQYESRLWFLLYTAPCLPIGLIGFAWTIQGPPI HWIGSMIFVAIVGIANYAIYMATIDYMVCAYGPYSASATGGNGWARDFMAGVLTVPAM PFFQNIGASTGMNLEYASTILFCISFVLVIAVYVIYWKGPELRKRSPFAQRLANARIE HTQEGRRGSAAYDAQERRASVASATGGRPPMDERRFSQQRRFFGESRVTPRGTPRGTP SASRRPSIVNASS UV8b_00595 MQHEEGRQVKVESPKCTKCTGRGRGWRPLPAARAAVGLDPGWRL AKRKPSQSPAAGAAEGVQGPGPPACACPFGPKPSQAQARSRNGKP UV8b_00596 MRLELEAWELDKDSGVEGRLVAEEFIRLELEAWELDEDSGLDGW LVDEELIMRLELEAWELDEDSGLEGWLVVEELMMRLELEAWELDEDSGLEGWLVDEEL MMRLELEAWELDEDSGLEGWLVVEELMIRLELEAWELDEDSGLEGWLVDEELIMRLEL EAWELDEDSGLEGWLVVEELMTRLELEAWELDAVACLEIDVLLELGPGGSLVEVYVEL VARELEVYVGLEKDVLRELAAWELELDPGLEVDVAVELVAGGLCDGRVEPDVESVTRR LEVDVGLEIGVTRELVASELDVDPGLGIDVLLEPVAEGLGDGIEKADVELEARKLDDA GLEADVLLLEEWELEVDAGLDIEVLLDMDAGGLDVGLEADDVVLLEKWELEVDAGLDI DVLMDTEAGGLDIGLEVEVLLELVAWEPEMDAGLNIEVLLDTEAGGLDVGPEVEVLLE LVVAWELEVGADLEPDPLLLITWDFEVDADLETDVCVELVAEELEPGLELEADLETAT UV8b_00597 MSSGPGWVAAVLTCFYGLAAAKPLLGGYRRAVNATATLKLQPVW ILTEIPIAIQTYFAASTELTICDGLTISITRPSAVSTVLTITRTRSTTISSASSRAVL AVSSTITITITIIIVITAITVITSFRQLANPNRHRHQQRRRYRPRHRFSNKHGRFGQR YFFVSSTAWHQHSCRPELQQCGKLC UV8b_00598 MRCKATIAVRSLLVVGVLIALAAGDGCSTTTMNILPESTSRIIG ATVIWSAGWDFDFACVLPTGTAGGNGGDGGEVLGKNGSDGTNRTNETDRADGQNGQNG QNVASSPKEPSGTNGANSANGASGNGIVGESNPGANSGSVSAGGASDPGAGGSRNSSG DGLGNGGSGSGSGNGAGSGSGSGSGNENGNGNGNGNGNGNGNGNGNGSGSGNGNGSGS GSGSGNANSRIGTSSGSGSTSTGNGPSRNADGGSNNGNNQIGSSSGDGASAGNSPSQN DPDRSSSGTGNGNGNGNSGPGGNSRPGGNNGGSASPSNSQNQSDPNGSSSGNGNVHSG SGGNNRIAGNSGSGGASTGSGSGQNGPGGSNGADGSANSSGGNNQIGGSSGSGGTSTG NSSSQSDPGGSKNGNEHENPGSGGNSRVAGNSGGGASTGHGPNQSDPGGSNNGDGNSG PGGSSRVGGNSGGGNSTGHGQDQSDAGGSSVTGNGDAHSGSGGHNQVGGNSGSKGAST GSDSGQSDPGGSKDGNARASSGPEGSSRIGSNSGGGAPASNGQNQSDPGGSGGSSGGN GDAHDAHASASHGQGGSDAGSAVSSAPPTNGHGQGESPSGGQGSQAWMASHGPGTTSA HDGDRLASGAASTAQDPGSTVATAGASAGKSAAALSCLLLVAAAGVVLAS UV8b_00599 MVAARLRLAPPLLLAASAWASLASGSECSDKHGPRLGAVASESG ICSRIGARLIQDGGNAADALVGTVFCIGTVAMYHSGIGGGGFLLLRTANGTYEYLDFR ETAPAAAYEAMYKGNVKASMYGGLASGVPGELRATEYLHKTYGKLKWADVIAPSIKLA RYGFTVTEDLVKYMDSVSPNAFLTEDPTWAMDFAPRGCRVGLGETMTRKRYANTLEAI AEQGADAFYTGAIARATINALRAANGTMTMDDLANYTIAHRNPISINYRGFNITSTNA PSSGAVALSALNILAGYNSGQFQEPSRSNLSTHLLVEAIKWGYGQRTKLGDPSFVSGL DKYTLDMISADTAAEIRSKILDDKTFNVSYYDPQGIESLETPGTSHIVTADASGMSVS MTTTINLLFGSNLMVPETGVIMNNEMNDFSIPGESNAFGYIPSTANFIRPGKRPLSSI SPLIAETPDGKLFFSIGSAGGSRITTANIQNAIHLIDGNMTTAAALKEPRLHDQLVPA YVTFETTYNNATVDFLRAIGHNVTWVAPGQSTAQALRQLPDGTFEAAGEPRQKNSGGY AV UV8b_00600 MGRASSPWSSTSPEQGRQRPSRPEMIQVSWSQTAPSETTPNGSS PTPRQRQQASSEYSTVHGQSGNEPGGNARLRRAIRTMNAAFDWAGPDDPDNPRNFPLS VRLFSAVAITGLAFVATAAGAMYAPAQPDIMARFACSRESAVLPLSMYNLGLAFGPLI GAPLSETYGRKAVFLISGPIFAAFMIASGFSTTLSSLTACRFFAGMFSSSLINNAPAT ILDSMSGKLRGISLSIYYTLPSFGAALGPLIGGFIVQAGGWRWTQWTAVFMAVGFYIP VCFTRETYKKVILRRRAIRLGLDASSQRTSPGRAFRYFATVLVQRPLHMLFTEPIVTL ISLYNGFLYGLLYTFVIAVPWIFREYYGFSQTAQSLSYSGLIIGALAASTPLVLIDLQ YYQKRLAQWRNTHPPDEDGAEEPLPSEHRLVGAMIGSFTLPISLFISAWAAQFRAHWI VPIIFQGCVMFSSLLIYASATMFMMDAYGPLYAASASGAMMLSRYLLSAAFPLFALQM YRALGVGWATSILGFITVAMAPIPWCFWIYGARIRERSRYETST UV8b_00601 MAPLVDNAQIKEAELLLPLPLQFHAYVWPFAIIWPIFLRYYLTK ELYDKYIGAPEWTFVWCGTIITLQSLVWLSTHWSVGLDARFRATKVKTVDEAQLIKVL PVANSGSGEICKLVRDKTGGKVNTSFLFQKRRFLFDTTTRSFSTLQYDIDNEPKPTVG HFQSSRGLEKQSDISRIEQHYGTNTFDIPVPTFTELFKEHAVAPFFVFQIFCVGLWLL DEYWYYSLFTLFMLVAFESTVVWQRQRTLNEFRGMSIKPYDIWAFRLGKWTQVQTDRL LPGDLVSVGRTKEDSGVACDMLLVEGTAIVNEAMLSGESTPLLKDSIQLRPSDAALDT EGLDKNAFLWGGTKVLQVTHGNPDQEKPKLASGVPPPPDNGAMAIVTKTGFETSQGSL VRTMIYSTERVSANNFEALLFILFLLIFALAASWYVWDEGVRKDRKRSKLLLDCILIV TSVVPPELPMELSLAVNTSLAALSKLAIFCTEPFRIPYGGRIDIACFDKTGTLTGEDL VVEGIAGLGLANSEINDTKETDGAHSTMVSVVDASLETQLVLATAHALVRLEEGDIVG DPMEKATLTSLGWGLGGNDILASRSNNGTQGTVQIKRRFQFSSALKRQSSVALVNGIS KTGKKIRGTFVGVKGAPETIMRMLVDVPAGYEETFKYFTRKGSRVLALAYKQLTVQSE LSSGKINDLKREQVEAGLTFAGFLVLHCPLKEDAKEAVRMLNESSHRVVMITGDNPLT AVHVAREVDIVDRDVLILDAPEDNAGGKRLVWKSVDDKISIPVDARQAIDSEILRTKD LCVTGYALAQFKDQAGWNSLLRHAWVYARVSPKQKEDILLGLKDMGYYTLMAGDGTND VGALKQAHIGVALLNGTKEDLARIAEHARNTKMKEVYQKQCDLMKRFNQPTPPVPVMI AHLFPPGPTNPNYMKAAEREAKNKKMTVEAYIKAQGHPVETIVSPGARSLVSAENARQ AEVQKKAAGFADKLAGGMLEAELGDDEPPTLKLGDASVAAPFTSKLRDVMAIPNIIRQ GRCTLVATIQMYKILALNCLISAYSLSVLYLEGIKFGDTQYTISGMLMSVCFLSISRA KVVEGLSKERPQPNIFNIYIIGSILGQFAVHIVTLIYIARLCEKIEPRSGLVDLEAEF EPSLLNSAIYLLQLIQQVSTFAINYQGRPFRESLSENKAMFYGIVGVSGLAFVCALEL VPEINEGMKLVKFTDEFKLKMAICMALDYTVCWVIERGLKAGFSDYQARDIAERRPDQ LDKENARKKIEAEKKAADEERKRMEKVAEFERQVAERRRKLEEWKAGRRAQ UV8b_00602 MLASGIQRRAFSASARNLSKVAVLGAAGGIGQPLSLLLKLNPKV TDLALYDIRGGPGVAADLSHINTKSTVTGYEPNAAGLAACVKGADIVLIPAGVPRKPG MTRDDLFNTNASIVRDLAKAVAESAPKAKLLVIANPVNSTVPICAQVFKARGVYNPKT LFGVTTLDVVRASRFVSEIKKTNPAEENITVIGGHSGVTIVPLFSQSRHPDLSSNAEL VKRVQFGGDEVVKAKDGAGSATLSMAMAGARMADSLLRAAAGEKGIVEPTFVDSPLYK DQGIDFFSSQVELGPNGAEKILPIGKIDANEQKLLEACLGDLKKNIEKGISFVAQNPG N UV8b_00603 MSLAQPSQLSQLSQLSQLLPLPEEELQQVLQYASTLSKPDAATH FSNLLGDSPLAVDFIASFNSHGREPPAQVPTAHQSEIEAVPKAKRAPKKKKPAIHVPQ ARKVDEYAGPASKAYSKTDSDFEYIPRRAGTPSGSNPASRAAGTPPVQQQPQTKPKQH APSAGYLISDGPPKANAKVKAAPRAASTPEPPAEGAVAKVSIAGGTPMAGQSAALADL DAAIRALEITTNPTLDNGKRQRCNCVAKRHPLQAAAPNCLSCGKVVCMREGLGRCTFC ASPLLNPAQVQAMVRELKDERGREKMAANAASHRRAEVAKKPPPFTTARGGGGGADAS SSSSGESLTEAAAKAREHRDKLLNFQAQNARRTTVRDEAADFDVSGAMTGSGSMWASP EERAKELKRQQRLLREMEWNARPDYEKRQQVMSIDLAGRKVVRKMAAMERPVTPDDSD GEADHQGVLEERSGTASQTGRKAGGAFSGNPLLGALLRPVFRASGKEQDADAASTRQQ GKTRWRRVQDDLDDNEGVILDGGARGHTRRGVGVGGNMDEPTTCG UV8b_00604 MAPANGTNSYSQSQSQTQSQSRSVSHLPSSPNTGQLGAPPASDA AAAAAAADALAAANAVAMLKQRYATCSLAEAAGASGRGSQLHQLSAIAAAQDRMGVDP AACSRKRMADGEVKPRGRSISPLKAHSRTTSASAASITSTPGSTLGELSAELKTRLSY AMVKVNHGWQTRSLDEVEGLASQASSPTSSTSAAYRRSGSSASPRLAQSAPASQARFA NPDPTAAHRCKSTSPPTTALSHKPKLAPPAPVRPSAPAPAPAPRSNPRSNPRRSSSPP RSTPTMLSRPHLASSSSSSSFHATPRSSADPVLYSPHKNVREQDAIETLLFMSSPGNS ASLKHGFSPSGSPGPHSAAPLPGGAGNRHALPSGPRRGLPSNRPSLPGATTRGCSDKS PPGRGSPQQYHTPKRPAVGCHRTTLSLPSGLGIGNGTRRKVPRDEDIERMLDCAGAEL ADSSDDEEIQLPPGRNGLARVMGA UV8b_00605 MQQSWSMRRHNSSTHARPATASTPQEKQHQHQHQHRQHQHQHQH QHQHQHQHQHQPQPQPQPHQPQVRRMASLTNLFRGNKHAASSSSSSSSSSSSSSLSRG KASGSRTFYVPTHAASSFAKTVSPLSTTRIDERDELEACAAEDGVSGPGTRSGSRHTR ASTLKRCNTHHRASANTSNNHKRNCASHQSPAIATPSSPSSPASPAFPAFPAFPSTPP SPSAKSRHEARSTDYASFLADAEANDHAFRTRMARQRCEQEREWLSSCHQIAANNPPG FSHDLSAIQRDSAYYSSTASRSSTVSGKPHPLHNRAIPCTTKENLAALPQPLPVSPVL TRKPSKTLVRRVSEYFKPPHAQRGHVGIMG UV8b_00606 MVLNLLMTAWAFAGLALSALCLENATTIPEGWQMLREIPDPSTP MRFSVALRQPDVHQLASKILSGSLLDQAHVLPLRKPAQKDVEDVMSWLSGSGITKTEA DLDWIHVSTTVGQADKLLDTQLRRYSFAGRPPILRTTEYSIPDQLADAIDFIHPIANF MTPEHEVSLAPSQPRHRSSKKTRRGGLACVSSTTLDCISKLYGIDYRTPDGKSPIRFG IAGFLEQWGSHADLDQSFRTWRPDLAKARYNFSEELVKGGVNRQDVGAAGAEASLDLQ FGMAVGYPTNVVYYSTGGLGEKLNGNATPVTGELNDNEPYLDFFQYLSSKPNDQLPHV ISVSYADDELSVPKPYAIRVCNEIGMLAARGVSVLSSSGDGGAKGARSATCRTNDQSH RDMTMATFPASCPWVTSVGAVNNGRDPPEGSDFSSGGFSQYFARPGWQRKAVKEYVRN LNGHLGGYYDPKMRAVPDISAIGTQFDTIINGASNSLQGTSASTPVVAAMIALINDAR VRRGKKVLGWLNRKLYSDRVREILQDVTTGQSISCVFSDGKAPGGWPAKPGYDTITGL GVPNNFQKFMQVLADM UV8b_00607 MGLQNDLAVREKPGAEAKPFGGEWKKEFLLDPEWHNLNHGSFGT YPRYVRDKLRAYQDQAEARPDQFIRYDQGKLLDEAREAVAELVNAPLDTVVFVGNATD GVNTVLRNLKWNPDGRDVIFTFSTVYEACGNAADYLVEYFEDRLQHRTIELAYPLEDE DIVAAFRGAVEQARRDGKRARVALFDVVSSRPGVVFPWVDVVGACKELGVMSLVDGAQ GIGMVHLDLAAADPDFFVSNCHKWLLAPRGCAVFYAPRRNQHLLRTTLATSHGYVARL ARTNPLPPSGKSVYVNNFEFVGTRDNAPYLCVRDAIRWRRDVCGGEDRIVRYLLELNK KGIKLVADALGTEHLDNERGTMTDCAMGNVALPLWVGERGPGAKDTDALVPLEHKATV FQWIAETLVTDYKTFMSQFMIGNRFWMRISAQIYLDESDYQLAARILKELCERIGKGD YL UV8b_00608 MAGSDRKVGVLCPLCRCKFDKQVRKKSMRKKSMRKKSMRKKSAR KKSMRKKSSGESRGGKPRPAPNQAKPFLNPGHAGVACRAASYSPEAAAAGSCKLGSCK RLATPVAVPGTMFACAASSLHLSPSAKPSWPLHGGKLSPGLTDDSDLTTTHALGLERS DPMALRRGYLRVPMLGALDGRVFAVTGRQPWPTDGFKERATQGRDSDRRSLLLLLPCL ASGLDGPLCVCRPALMASGAGQGDARKSLCSTFLHHALLRTCLDFCAFGSFSSGPAGV ILFM UV8b_00609 MHHLVFFCAIFSLLPGSVAELHRRSFGVDRVRNPSFTGRNGPRA LIKTCRKFGMPLPQGLVEAMAAQSKREAVAGAKREAKRPSRCSGWGEAARRRLPDLAH TLDGAELGGPGAGESRDRGNPTDGGYGQSCYDKSANQAGSVPAVPEKNDVEFISPVKI GLGVSVAAGHGAYDPAKSASFRPMNDSRFSIRYGDGSGAAGIVGTDAVDVGGASFPNQ AVELATAVSRQFMQDQSGDGLLGLAFSHLNTVRPQKQRTFFDNIKASLAEPVFTADLR KAAAGTYTFGSIDRSRFRGPLAWIPVNTTLGFWQFGSERFAVNRGPVQASTAGNQAVA DTGTTLILADAKVVTAYYALVKGAQSSPQVGGFTFPCDAKLPDLELDAGGVYMAKVSG NDMNYAEVGDGTCFGGVQAAPPGQLSIYGDIFFKSQFVVFNAGNDTLGMAAHA UV8b_00610 MGSSQSHAGTDAKDKSLYRKYQDAKGPKPIKDEDILKYTGKTRD QLHAWADETPGVGRNQLAGKLALGPASGLGGAAAAAGYGGWGTDAEPRGPSRGMKFPP GRDGGEEEQQESLRGVVKPKR UV8b_00611 MSPPRGPPSAIDFFDMAAPKYEWASGSSTREVGQLVLQLPQLQD LQGPAAVVLDNACGTAVVAEEIIAQCKRTGAPLPQIHAVDPAKKMLAIAQDKIAALGA QAGCKLAVMPAEKLDFPDATFTHSITNLGILFFADAPAAAKEIYRTLKPGGVAVVTSW SDMGYVKGVIQPAQKAARPDDKPFNLPIPPEWFSADHVKAVLEDGGFAQVQLVRKPAH FGAPALPELRDMLLEAFIHLWSRTWSEDEQVKFKEAVLEHLAKVTVPYTTPSGEPGVG VPMEAIIAVCRK UV8b_00612 MDGGSFGSNVPGHPPDHARIPAGRSEGGPDPGSLSRPRKFVIQS TFGVPRERRSRKSRPCDACRRRKTACIITTEPPCTFCKSRGITCQSTISTDGPVSRQY SHPDHGTSHHGGGGPSQAQHASINSGPLAERELNGSLGSPTTTKSSPPVVTSPSAASD YSPRFGSDANTSSAGYPSFGFAGDMGNCENDACITGMDIVQPALNSPESSVTSAAHTL EDNPGRATYFLGGTAEQDPFVLDAFSYGILSESSTVDANVVQLHRGGSDVDDLPQHFL FLSMGHPKHTNLSREEASDAMETKVWPHADVLVRLYFRHVHPVLPILSKVRFLRRYSS NRKSLPACLRGAVYALACVFWNEDPAARNADPFPFHQHEIVDQAHRALRRELENPNLF VVQAFLLLIHVQPPSIDAMEAPSTFTLAAQATACAQLIGLHQDSSDWNLEFVEKKLRK KLWWAVFITDCWAAISLGNPPHISESSFNTVVVDIDDLRCDEEVPEDLRYLVDLPNAY FDVSSGARFLELVKITRHLRGVLDCSFQVNMKLSETFGRAQARAQLVDIQDKLKDWPS LIPTCLVIPRNRDPSIASYNCSLHLSFYATKVLLYRALMHPATKASKSMPDSNLRQWF PYALADFTHFTEYLVGISAGDLRGFWGRHARSQLILCGNFLVYLFLMAAERQHIEAAH KMLEDFRLKVNRMSLTDHVPTKALLRATTIRTNSFFAQAASVMRHGPEASIREPITVC GTDGPLGGAS UV8b_00613 MRLAFALGNLGNLGNGRRDAARGVAVHESKAGAGESASFLAIDP LSPPSQPPGLSPSSQGRFDFDAQFAISVTSCHNTHVPMTMDGPTRKTTCIKAPYGVLS TPYTTKEQSREVWLTLPAAASALGHLHKIQCLL UV8b_00614 MSPPAAVSPPQQVSELVTPTSKLAVGGGGAKAKTLEEMMGQWDS FKFAPIRESQVSRAMTRRYFRDLDTYAESDIVIVGAGSCGLSAAYVLGKHRPDLKICI IEASVSPGGGAWLGGQLFSAMVMRKPADAFLREIGVPYEDEGNYVVVKHAALFTSTIM SKVLALPNVKMFNATCVEDLITRPSEQGVRISGVVTNWTLVSMHHDDQSCMDPNTINA PLVISTTGHDGPMGAFSVKRLVSMQRIEKLGGMRGLDMNSAEDAIVKNTREIVPGLIV GGMELSEVDGANRMGPTFGAMALSGLKAAEEALKVFDMRAKQNAV UV8b_00615 MPRAIGEEEEADGRSGHRLMQRTLPEVRPRSDRVPRKGAMIAVK SRGSVYRVSIGWWSGSGNAVSVELVHLFVHLQSQGAWGRKHGASQTMSS UV8b_00616 MPKVAVTCWRLFGQGLLVRVHRARRQYPVLGAMHRNSPALFTTP CHDCHDCHDCPTLSACFAQQAADTPSSGSQQSRAIQSDTVLFDPSGCGPGPSAPERPV GLLRPRESSLETESTSFKTTSVCLGNTCSPPNVAAMARPSRFGHLIHLVRRRSLVIHT HLRESFDAGGISSQPHPGSQSGILQKTACVAVSFVPNRGCQYCHSSVGFPDRRIQPAG NNGSSAAKHPIHDKQSKPFSSRRGSLWSVVFPEARGQARRSPSFHFRSQRSSSERSLG EMLGSTWEIDPDILEGGIHAILAACPCPGSRVMQPPETATGLAGNTPDATGMAWMGKE LSWHERGSWNPTQSTPQLANGPGSPRSSWKETPRCRSRVAWFPRDHFYSSCAVTKGAF KGPLQRPWKKRRWVGIASTAHAPTALREGTSIKRVGTLVRQWKTRTSRQEM UV8b_00617 MSTVKFQFPSYKLLELGLNTDQTIIVGEDDGLDSPNTVILKHEE AARAASGGDYPRNSSPPLNMATYSKAQLPSVPGYDTARYHDTAYDHYPAHNFSAQQTE KFAHLNQQSFASNNAVAQYMPTGPTVLSCHPLSGPFGTKVFLKVSSQYDLFSMSSAMP YFSVYFGSQKMAVQDMVRDAQDTTGFVYTCSVDAPQLMVTGCSANNVPLSLVVEGPTG EEMSRAGAGSFQYLDGSGDDMTRTSKLPKQEPAAAGPQLDQASASPKTVDTQQLQSET TPNSYDYPSQQGPYASTFSPGNHDMITTYRTSSFADPHYRRAGPSWAGFGGPLGSTRS SLDHSASAIGGGGRPSLTPLPMPPSGGSGTPQLIRTSTIANGGGGGGGGGGGSNGGSY HPISLYSSKAVLKINGKLDTMAENWTQEEWDNRRRIVMFRKSQSGSTLTASFRAVAVN DRPPNSICVSCIWWAEKAECFVTSVDTIQLLEQLVAAPNRFSVEEKNRIRRNLEGFHP LTVSKAKADSEEFFKIIMGFPNPKPRNIEKDVKVFPWKILEPALKKIIGKYSASPSST LPPSSMMTAVSTAPYAPLPTPPGHGMASQQQQQQQQQQQQSLASQQAGDGGHGQYSVH STHHDAIPSPRSLSGSQPTWTPYTSAPGYASSTRTLSPTGRHPSPHQTQYAPPMRINT NPLPAVTTYDSRSVSTGGYSSSGLHTPISQHASSTATPTRWDSTSANYSDNYPSIGSH HQPGPPVYGAGGYGDGAPRA UV8b_00618 MPLPASWCAAPALRCVSRAPAAAAAAAAAAAAARWAVVPRHIFA AAPRCFASGCCRPPPPASPASYLDQSHERLFANNRAWAAAKATTDPGYFAKLSAGQSP EYLWIGCSDSRIPAEQITGLEPGEAFIHRNIANLVCNTDLNVMSVINYAVRHLGVKHI VVCGHYGCGGVKAAMTPRDLGLLNPWLRNIRDVYRLHERELDAIADPDARYDRLVELN VEEQCRNIIKTAAVQQSYARNQYPIVHGWVFGFRDGLLKDLKIDFQAMLQNIQKIYNL ADADEQEEP UV8b_00619 MADFPNHPFLLSVEDTVKALDTDLDKGLTSAQVTELQEKYPKNE LDVGGSIPWYSILTKQVLNAMIIVLAFAMALSFGIRDFIEGGVLAFVIFLNVAIGFWQ EYRAEKRMDALRALSSPSAMVLRDGKTQVVANPDVVPGDVVLLKMGDTVPADLRVFEA MNLACEEGQLTGESIPVEKIAESNITVPGTEKLAVSEQEVGIGDRVNMAYATTIVVKG RGRGIVTAVGMATEVGKIAASTAKKHRKAGRSMNWRKYGKKQPVVGFARRTYDLVGKF LGLTEGTPLQRKLSALAYVLFGCAIILAMVVFGVNHFNLRNEVIIYATSLGIAIIPES LVAVLTITMVVAVTVMRKANVVVRDLSALEALGGVTNICSDKTGTLTEGAMIVRKVWI PPSNEYTVRDAQNPNDPTKGRVTCSQSKQETDTDKEEVNRDYDRERSAAVLKFDVPEE KLNTKAPKKDPEPEPETEMTDQLEAFLLSAALCNLATVRYDDEEGKWQTTGEPTEVAL QVFAHRFQRGKKTLEGSGWKQLAEFSFDSSIKRMSVIYDGPEGKSGPLIEAEQSVVFT KGAVERILDLCSHVGTGAEKHLINDEIREKVLDQMSSLASQGQRVLAIAYRPWDGRFV AKKSSSAAEDEELRSVVERDLILLGLAGIYDPPRRETKASIGECSQAGIKVHMLTGDH PETAKAIAKEVGIIPKNLGVLPEKVAQSIVQKATDFDKMTDAEIDALEELPLVVARCA PDTKTRMIEALRRRGAFMAMTGDGVNDAPSLSRADVGIAMGSGSDVAKSASKIVLTDD KFNSIVAAIREGRRMFDNIQKFVLHLLTSNVGEVILLIAGLGFVDQSGFSVFPVSPLQ IIWINMVTSSFPAFGLGREGAAQDVMRQPPHDKGRGVFTNQIVVDMIVYGSIMGACTM CTFAIIIYGANNGDLGENCNTEYTEACDAVFRARAATFAELTWLILISAWEFKSLRRS MFHLKPDDDSRFPVFRDLHSNRFLFWSVILGGLSVFPVVYVPVLNTKFFKHKGISWEW ALSAGFTMMFVAGVELWKFIKRRFRLLEGGAVQRGVWGQGGSDDQGPKFRKTLSMSSF KTWATLSRKDTGDSTLKYSSFHGGQGGPAGGTEQASKHEEV UV8b_00620 MMRTSAWLAQMIPLAAVCSAASSKRGLCFTPNPSYPDDNKVWVQ SGSDLKWYYNYQSLPSPAYGALSQDQFEFIPMMWGVGPNPNDTSFLNDVKKLIDQGTK IKHVLGFNEPDTIHSYGGSNVKPADAALAWVANFEPLGKMGVKLGLPACTGGLGSLPW LKQFLGNCSALVSTGSEKKNCTWDFLPVHWYDNFAGLASHIGERRATWPDAEIWVTEY AYAHQDLLATQAFYNQSQDYFDKLDYIGRYSYFGAFRSIYSNVGANAAFLNGGGKLTD IGSWYLGFGATGVSPDSSEAVLVAPGFLVTVLGTAIGLFGSVL UV8b_00621 MAVERIGSIIKHLAPGSSLNQIQSKNPDDIVITLAVRTPLTKAK KGGFKDTSLEYMMYALLKQVRERCNLDPALVEDICFGNVSDGKAAYKLRAAALSAGFP NTAGASTVNRFCSSGLKAIADIAHSISNNSIEIGIAGGAESMSVGGDRPDAPFDEAVL SASQEAADCMQPMGWTSENVGRDFNLSREELDKYSATSFQRAEAAQKAGWFDDEIVPI TTKVKGPDGEVKEVTLTRDEGIRPGTTAEGLGKIRAAFPQWGNLTTGGNASQVTDGAS AVILMKRSTAVKLGQPILAKYVGSTVAGLAPRIMGIGPSVAIPKLLSIHNLSLADVDV VEINEAFATMAVYCRDKLQVDWEKMNPRGGAIALGHPLGTTGARQVVTGLSELRRRKR KVLLTSMCIGTGMGMASLWVNEA UV8b_00622 MSCAAVNYVLPNDELEQDRLDLQHHLFTLTLGGRIYNAPIDEPR ISTILDAGTGTGVRAIDMGDKLSHVEVVGIDISPIQPSFVPPNVSFVIDDLELEWADP HPYDFIFGRMLVGSIGDWPNFIRQSFENLKSGGWLELQDIVMLPQCADGTMKEGSSIK KWGDTMLEPCAVLQRYGDSALRYKQQMIDAGFTNVTEVQYRWPTNTWPRDAHDRELGF WSYHNIVGGLSGLSLALFTRSLGWSAYRVEVFLTSVRKEMKDKNVHAWWPIYVVYGQK P UV8b_00623 MVVDSVLRGLSQSGCMQLFLIAVVLVSIVSSSRQYLRLRSFKGP FFASFSRLWLLRTVGSGNAYLHFWNVTQKYGSIARIGPNDLITSDPDLVKHMYGVRSE YRRSSWYHGMRFDPSRDNFLSLRNEGDHKKLRAKMAAGYSGREMHDIEAKIDQNIIRL RELLAKYADKGAAVDFAKKAQYFTLDVISDLAFSTPFGFVQTDSDVYKYIETVERTLP ATMMTTVVPVLVTLLSLPLFKPAVPSMDTEEEASIAKSVAAERFGPQKKVHKDMLGSF VAHGLTQEEAESEILLQIVAGSDTTATAIRSTLLHIITTPRVLAKLQREIDGMGITTA VISDASARQMPFLQAVIKEGLRIFPPVVGLMSKQAPPGGDYWKGVFIPGGTRVGSCAW GIFRREDIWGQDSREFRPERWLESSPAALEKMESALDLIFSHGRWQCLGQSVALMELN KVFVQLLHHFQFSVCDPTNPWKIFDCGIFSQSEFYVRVERRHL UV8b_00624 MPRDPLIGLVGKPSAGKSTTLNSLTDASSKVGNFPFTTIDPQRA IGYLQIDCACARHKVSDRCRPNYGACVDGRRSVPIELLDVAGLVPGAHQGRGLGNKFL DDLRHADALIHVVDASGTVDAEGKETRGYDPSVDIAWLRSEIVAWILGNLMQKWPSVR RRHVAVKATAAETLQGQFSGYGATSTVVGRTLDRAAVKEGLEEWSDDTVARVVNAFVD EKFPTVIALNKIDHPDADKNIAKIAKMQDPETLVLCSAISEIFLRKMAKQGYVRYVEG SDFVDTREDLIEQGDPEGGGLKELDDKNRNRIENLKDMVLYRFGSTGVVQVLTRAAEM LGLVPVFPVRNTATFSSGASESKFVFRDCVLVKKGSTVGDVAKKVMGDAPIAYVEGIG GLRVAEDDHVAVGKNDILSFKVGRG UV8b_00625 MSSSRGPEEEPSMTDLIDSGRRFYEVKKYKRALEQFTRAMKSCS CARGLRRERCSCKNFEKVAAEGGSIFKEAMYNCKCDIGKTFNKCDNYQHAQALDFRAA TFEALEKLDRAKKDAEWILELAPRLPDGYLRLGKIARLQKNNEFAWKVYTAGIHINSD NAGSSSLKLQQLYNARKPLHKHFSRKDPLRLPTEIVMLIFSFLDFVNLPPCLGVSKHW RRILTSPLHERLWRNMVFPGRSMKRAPRHDVLKKMLSWAGNGGARKIVIPLPKTFVLT QQKLMLLLQASASLEHLEIGPQSEGLLFPSNQKTWQRLRHVSIDGTGDPSKPAWSTAK IAIGGFPLSFLNNAAESLQHLGVVGIPDQWYRTQSIPMLPNLKTLRMSNTLTSRDSFP IFFLSDTFPRLEQLWIGPNIPNLDSNLLVGWREKWETVWNHLKVLIFEVSSVVGPISQ VENSLMTLRCLTCLNHGNTLRHIRFDIPSDNSDRHGRNRVFSDSRYLYSDVEPPQQPQ FRNLRSLGTKGFCISPEVSRAVFSEALITGKLTSLDIVFPTESLNDRIGDKSIHHLKG YDWIRGAPCVRSLGCYKFRFRSYPRNDEDLPLPQFLASFPNLETLSIFSEHYEEAEFA SVVAAVLRVTHLKTIYTTSVKGAVMDQLRRVAESEGVTLIWGHEPQVWPVPLED UV8b_00626 MKLNISYPANGSQKLIDIEDERKLAVFMEKRMGAEVAGDSVGDE FKGYIFRITGGNDKQGFPMKQGVMAPGRVRLLLSEGHSCYRPRRTGERKRKSVRGCIV GMDLSVLALSIVKQGDADIPGLTDVVHPKRLGPKRATKIRKFFGLTKDDDVRKYVIRR EVQPKGEGKKPYTKAPKIQRLVTPQRLQHKRHRAALKRRQAEKVKDEANEYAQILAKR VAEAKAHKADARKRRASSMHK UV8b_00627 MGKITKSMQSKHKDTQSPWLKSFVETASTIELPSLPNHLASFPS QWPFGRGDLYHWIPLLNRFDSILEHFCKVYNLNDGPQSRDFGTHILLNESKSSDFRDE RKWSLEELMELGFSSDGDRLLIEAVLRFTRMLLEHCGNRSIYASSAHLNDLLNTTSLS VLISTLEVGSELAQRYQASVKRIANASRQISSALLANHYNIDLDRVQQIALPFVKTPS ISLGDPTPSTPVPTKGKEKGHGSGSRNSATTHANDLVAVASCDETRWQGWGDVKVHYY LQDSPAATNASHEPGRSSTTSTPTPLHRSHAVGGAQHTPRVRNLAGDDSSPVLPRTPA IADEQASSGQKSFEVSRSVITTTSIYDLVKRCPADMPPTAKYELFHRLRVAKALTESL ESRQKLLATRLLAITNLAYIHTESSFVEKILRHDVDETRRYQLIYQLAELIHPSADAN TRIPLWLQTFSLALLEAISGFSSKCQDVLSALNANVNHGILLYVIRKAVAGMQVDDAA DAGYQATEEDDWRNNLFSLTLHLSMATRAGSEMVSAGLMEILVEILNIRSHVAQRHHS MVLAFLDGLVWTYQNAFTSFFNANGLDAVARLLVDAVVEAQESLRSSKGVRQDQQSAA VDYEIPYYQQQTLKWLLKFVHHVMTNSYSYGGNTDRLLRNLAEKSDLLQSLRAIMANK HSFGSVVWTSSVTILSDFINNDPTSFAAISESGMIRTYLEAITGGPILEQQQGEEARQ SERQQEDEAAESPESSLVASIADEDDRPHPPSDEEIRKTRSSKPAQGILASAEAINIV PQVLNSICLNHIGMKMVVASKAFDSFLEIFESAPHVFCMKADNELAPNAGANFDELAR HHPPLRTAISNAVIDMVARVRWLGIEKGTESDWGARLYVVNSEGRVVTAHPHGRLSEA LTEKSSSDKEEADDRLDIDMSDIPAGHSISEKSRPQKFARPKSFTPYIHALANFLTGY LSNSLLKANFVKKGGIELLLDLCESPSLDAAFGDSSASRVLNQVLTQLIEHSPIRGLP SLLRRAQSNVDMLEPLASKTEALPPYFGAFLVADLQSWSENAETTEVVLRATQKIKAL LNAQTFIKIISDCFTTSRSNSLQFYPVNVYDYYLKLIQSLGPLLRGVLAEEAGELSVV PQHWSLRRQSVSGADNPTGRGRSRVDETSIPDVLNSTTGGWSSTGGTDASPIAQPTEE EQSSPRFLNYDMLRTLLHPMIPTSFPLFQSVGKALLPRREPNHLDSFPRPRQLEIAMA LANTVLSHLGPSVAQPQPTSKDFHYWIIMLHTIHEMLIDHPSIRQSDRSTVHIIMPVL LAFKEQGGLEVLNTMLRVFASSVELDADNGSDESSRAKVAAYGLKKVLDLQYVLVNGK FLSETSNLFNIQRQADRSQNSLNVYQQIVVEFRAGILPAIMELWTSSFIEKVSDATIK RLLEILKSVCAADHEPQSIPKDGKAPFNVFKYNDVRFKWAAFRDVAADALGLGFDEDL VQEAIYRANGNSTAAAEYCRAHTDHLAGSRNPIPVEDADASSLKEGSSRDPDEPTSAA GISNTDTVMLDSLPDISSADEMVEYVERQIDNAQTDDSSTSVPTGKIANVPTIGTEDG SVGQDVATGFAALKKKLDTMRAEIRGNLIDQCLDVIRAHPETAIDVSDLVSAIILRRQ NHDAQEEVASTLTFALSSLALDEEEKRRNGTCIAAYAHLLALLLQDEKFFEQNTEILA DKVDEYVGFLRVPLSSATDELPPWIPYILLVLEVLLCHDERPIPVQWKPPKSLDDSVA EPVVRAGLPLVGDDNRRQILESLLNLLPRIGKEELLATAVVRVLVILTRKRSLAKLVG EKKNLQRLFLMAKQLSGSGSERLKQTRLTAHVITILRHVIEDEETVKQIMSAEIRADF PNLQRNQRGHPDVQSYLRAMAPVALRAPGLFVEVTNELLMFSRWIAPSGDSSRPQPLA WKDQGEVNVKAIEAKQPPDASAAECIKSSTEQFDNEMIDAAKPQHDAKRPVVENPDGV IHFLLCELINYREVDDKEPGLATATNPAEPSVVADSEEASLADNSASDARDKKQTKPV FKAEEHPIFIYRCFLLNCLSELLQSYTRTKVEFINFKRSAPPLSTTTPIKPRSSILNY LIYDLLCQGNLNGTTDTIASKKRAATSSLTQKVLVALVSKTSEKVIDRSADKYAYDDE PDLLFVRKFVLDTVLKAYEKAPLTNEPLETRYSRMQCLAELMSHMIGERDRDQNSNSR STDSRQARTQAHLRRLMYEKGYVEKLTSSIAEINLSYPGIKRAIKNILRVLKVLTGTA KELSHSNILPSDLAGEHSDEDFASSSSLSDADDDDREETPDLYRNSALGMLEPRGEDD ESDEGDEDDDEDMYGDEYDDEMEYGEDGMSDGENDISDEDEELSGIGDIEGLHGEPGV VEVIMDEDDDDDEDSEEDDDEMDSADMEDLDDRVEIVDEDGNPLEEDGDSGWESESDV EGEDDTEEDGLDYDADVQEEEGAHLHGMEPGDLLDNMARAMMGDDGDYDPDIGLDDHY LDDDHEDGEDDDDGEEMDDEEYIYDDDYPDDEIPQMPALGWDGMTSDADERHRQLSFF EGHNRRSVLTRMENRSPFPTRFASGNHREHLDFRSYFSRGHRATGPQPNAEDGLNPLL RRSDQANETSSRHGNTHSLDFRIPHGLLGSVRHNMDGPMGLLGELMEFLPMMSRNGNG QQAFHFHITAPGGPREMRELGPTRWVRADQRRDATVQEPHQAVSFTPESTLDRYQEEA RMIFGTNHTAEAAKLGNIITAHLTPSAMKLEKKIKAEEAEHQRKMDEEQKKREEEERL AREEKAAEEKAEREKREAEERQEHERAARDRMTVSGTSGTDEQQQVHNVEGAMEGIEA TEAENVVTTSTSAAADQPRVMTTIRGEEVDVTELGIDPEYLAALPEEFREEVIAHTVS ERRSQAREEATAGESTEVFQEFLDALPEELRMEIAQQERQEERRRHREESRRQAAASS GQPPAVEMDAASILLTFPPALREQVLMDQGEDIMDQLTPEMAAQARALSQQHPPAPQL QGIRSVAVPGRGPPPDDGADRAEKNQRRTVVQMLDKSGVATLVRLMFIAHQGSIRGYL FAVFADVCENRQNRLEVVSTILQILQEGSMDVSAVERSFGQLSIKARKHKERDNEPKT PQSLKKTLTNPGPMSAIAQPGNSETSPLLIVQQCLDLLVDLCARSHHIPWLFLTEHEV VGSTLKRALSRKGNIKDSKSHKYAINSLLTLLDREVVTESSVAMTHLADLLNRVTLPL LNLERKRKDLQGGEVDKPASTAADEERQGATVEQADAAGTATGNTAEQVLAPERTGAE GREPPRVTKHAGTKGPKQLQPPVIPAHNLTLVVKIFVARECSSKTFQNTISTIKNLSA IPGAKAVFGQELVNQARLLSENIVTDLDELLPHIEQATSGTEIQGVALLKFSPGASEQ NKLLRVLTALDHLFDGRKKGGKGEDESLKENDKQHLVTSLYHNSTFSAMWEKLSACLK AIRERENMLNVATILLPLIEALMVVCKNTTASDDMVQSQTIKDMTHSSPPPEARTASL FFTFTEDHRRILNELVRHNPKLMSGTFALLVKNPKVLEFDNKRNYFSRSVHTRSSPNS RPSYAPLQLSVRRDHVFHDSFRSLYYKSGDEMKFGKLNIRFHGEEGVDAGGVTREWFQ VLARQMFDPNYVLFIPVSSDRTTFHPNKLSAVNPEHLLFFKFIGRIIGKALYEGRLLD CFFSRAVYKRILGKSVSVKDMESFDPDYYKSLCWMLDNDITDIITETFSVEDDEFGVT KVVDLVPSGREMVVTEENKHDYVRLVVEHKLLASVKDQMESFLKGFHEIIPSDLISIF NEQELELLISGLPDIDIDDWKSNTEYQNYSPSSQQMQWFWRAVRSFDKEERAKLLQFV TGTSKVPLNGFKELEGMNGVSRFNIHRDYGDKDRLPSSHTCFNQLDIPEYESYDMLRA QLHKAITQGSEYFGFA UV8b_00628 MSLQGGEEASSALHEDHSPHPSSAADSDSQTTDGQQDSFEDAID NADRTADAIDAGSARSLTRRSASVAISSPPQPNRGKKSREEDGVEEEHREQQRAQDEH VNVINGAPKQKARRTSSALSNRIADASNLDNINLDDEGVAKVQEPPSPPEKDMFASRT LSLSSITNALGPSPWPPTAESPSKSPARSLIAVASPAQPPLPPLPPLPALPQHPGPPS RKLTSPFSWLSRSSSSKDKDSPPMCPGNTTGRRNTASSIATLTSNPEMMLSKLDEQNK ADNGSQRESLKDRFKALRMREEAGIHLITGGENDGHGDGFSLAHPVGEHEDKSPLTPV PNPNHDLAPGTASGVNAGPSAMQEAQVDWDLWQSVVYEGPAAVARTSPEELNIAIATG IPNAIRGVIWQVLSQSKNERLEAKYRELVVRGTDKEKRRSDSTTSSSTTSNHANVANS NGDGVNSSASSVHSNQSHGNGTGSPQSEKSQEASAKAQAAAEAEKKKNKKKKQKVDAA ALQKLERIIRRDLGARTSYSKYAAAAGLQEGLFGVCKAYALFDEDVGYAQGMNFLIMP LLFNMPEEEAFCLLVRLMHQYQLRDLFVHDMPGLHLHLYQFERLLEDLEPALYCHLHR RGISPHLYATQWFLTLFAYRFPLQLVLRIYDLIFSEGLSAILRFGIVLMQKNSSTLLK ISDMQQLTTFLKDKLFDVYIDKDPSQGSILENGFFGSSSSSLDKEVYRADQLVKDACE VKITPETLKTYTSEWVEKTKADKDRETELQDLRLANQNFSIQLRKLEERVQAGDTEQA ALATELVHTKVENEELRDENESLKGQVKELRNVIEKQPAEIEGSWRLERDDLMKRNEK VHEENQKLEKEMAELEEELIQTKMQYAEVNSQLETLNRKWSELKRQFA UV8b_00629 MGISRDSRHKRSASGAKRAYYRKKRAFEAGRQGANTRIGAKRIH TVRTRGGNHKHRALRLDSGNFAWASEGCTRKTRVIVVAYHPSNNELVRTNTLTRSAVV QIDAAPFRQWYEAHYGQPIGRRRQKAQAAKEGKVEEEVKKSKSVEKKQAERFAARGKV DPAVEKQFEAGRLYAIISSRPGQSGRCDGYILEGEELAFYQRKLHK UV8b_00630 MNPCASDFTPLSSPEIGWSSSAVPLDETIHEPCFPFVHTLAPAS HADHDSTRLGPHDLNQPLTPHQTPLLEYSHLFTVSPLNSYADPAQGWSMPPYCNPVHF AASLYHTPPHILYPPIVHYPIFPNSSCGKALRDTTFATTGRLSTQPCASSASVSGPHL LPGPDLSPGITKLGQKPTWRRDGGINLGSHASGLKPLACTSSRAGKCEMGDDAGGWRK APSRATKKSITSTQTGFSSSNTSPNSDSEASLSLTQGPFACASAPSPPCPDEPLPLFF ESASARYTPYLDNFDSSDSFQSSLVQASADNCYKQLPLLSRHFCQTDPFAGSVGRQFS PCDGITQLPEGGINCFNAEAVQSLSGSQTFLGMPGTLVKEASTYSDPVERSEIVQSST SPPKSETSPMNPDNLLCAAENGKKRGFSCARPPLRNHISRTPRSGLFVKETYATNEPV LEVVKKTSNRGAFSTVSASTQPPAAKITGTSGSWSQSKRWTSQETNERKTFSTTGKNL GYIGALNSPVVPRNPAELTKLRAEIIDIKKERLSRELDKRMATLERKKANSGENKKGV AQIVPFLQGKQFNDMLSPVFASRNCFRDYISDDDAQLVTWPPLAEFKEVGDNRRPIER DRCFPLPRLRIVKEVVAVESDDDHSTSKRIVRCWETMAVKVNTRFIRSGTSTHDEELI DPLSERERFETEPKPYFLQLVIRDLEEEPGDLADASWFCRLVERICDG UV8b_00631 MQRVPQTRAMTGNTAKCLIHRDTFATTGPAGCHMAAVRPLFAPR VSLSLDLFAPAAVQGAKMRLVDVCIPHQTNSPTQSCCFALPARAIDLALFTPVSPEPP EFMP UV8b_00632 MATTTTIKLTNRSPKQPVKRLPASVDLPADATVDDLKALVAKEA KIGDFNRIGIFDPATKKTLKNRKAAIADEPNVLAAREVLVKDLGPQIAWRTVFVIEYF GPILLHLVVVAARPLLFKDGHGPMSTSQWLTFAMIVAHFVKREVETLFVHKFSANTMP FFNVFKNSFFYWALSGLLCAVSIYSPNSFAARADTPLFDLVGFGLYLFGESANAMVHL YLSSLRSAGGTERKIPSGYGFSLVTCPNYMYEILSWVGIIIVSRDWTVVLFIAIGAAQ MYAWAKGKEVAYRKEFADKYKKKRYVILPGLL UV8b_00633 MPMPPATTAAASASASASASAPAPPLHQTLPSPETQRHVAEARA AVVASIGNLVDSQLQSRAAILHENAAALAKQERDVLRASEALRREREKLAREADGAAR RVKELGNVQNWAEVLERGFLVLEETVRLANANGCRAGSSCSECGASSASEDQEQDYGK GMMDVDVDLANSLHRENGVTNLEGKGPGRGEGEQVLGPGVRADAGADADADADADAGP GLDVDSGVATGDRGTSAWSDASRSLKEAGSGREKGSETASFVD UV8b_00634 MERPERVPVGTVAASSSVRGLPDNPAQGRRPIPNSTATSNGPSP PPPPPIPNLRSAAANATLSASSSSMTSAQVIALAREAMQHALESEGQAADAGAVGTGL RSGVTVDLSRKGIQKLPEEVVDIVKDQLERLALSHNQLSTLPSRFSECTSLRYLNIRG NQIKEFPLALCDLKSLEILDLGRNQLRILPHDIAKLTSLKVLSVPKNQIRELPMCLAD MGSLQVLKFEGNPISFPPKDAIRPASGSPPNDSISRETEVTEVAVTALLKKFLRHYAT TGRMEGDATGDESSEGGFEAPRFPLKRAASGRFPIKVNGADVPDRRSPNGAARPPPIP TRSHYRGLSQQNTAVRRPGVMPLTIGSVNERLRSNSETLLRTDRGTEGRSRRMGIVSR KTSDLGTLDEIEANNRFSHYRGLSHGSAMQGTPPGPKSPTTTNEQYLQRPIYVRRLSV LPERRRESKVYDPVVEAAKGILYSVFQIHPIIQMLMTLTSDGSTKRSSLEIVVYNTNS HVEELEQEIQRHDTVLLENEESSVRENENVYRACHTLVSAYGHVCTLLADNIDTFVNN GDARYIRTLLMLLYNSIMELRVTLASVTSRRPDFQPATNQAIPDTSETIKPFQREPFV TPTADRSGIPRSHNGATSQNLAKLRVATDVPIQHHASGSSRTARLASATPRSGESFSS LSSRDVSTDYTGEDAQFDKVFLSLQKSSDVVLRTLPNFHVQLQGGLRAAMQQRVPLAL VHDWKQLIAVCGNAIQQTEVLRSKLSLIKLKDPSVRSRPAFWTMCRNFVDTWASFVEK IKYCFNSISLPPDTRTKLKPIQLSMKETSIIMTQSPWHHLLRSNGPDGSLSGQHSSQC ISPAQVPITPQTAALGPAMQATVHSMPHSTSFAAAFQGNVFDRADALMANPGISMSRS GTITRGHSGFNSLSSISSMSSDGNSSTSTTFSPNGGLGLAKPTGGRVAL UV8b_00635 MPALQRTNSGIDIGFTLRRQFNRSEFRPHQREIIEAALNGHDVY VQAATSFGKSLCFQLPAVIDQGITLVVSPLLSLMINQVEALRGLGIDASSLNSNTPYS ERDRIQRDLETGHPRTRLLYVTPELCSGSRFRQRLQLVHTQKELARIAIDEAHCISEW GHDFRKDFKRLSWFRETFQDVPIMCLTATANPQVRHDVLSTLKLDQTPERLKCFLMSP QRQNLHIEIRYTKDEDDTRLSDFLKWIRAVYDRRRAESRKQELFLEGERVENVPGIIY TMSRDECESLSAALRDEGIGARPFHARLSKDAKETTLNRWINNEPGYDIIVATTAFGM GIDKNNVRFVVHWRIPKSFEGYYQEAGRAGRDGNASYCFLYYSREDLERVMRMVRNDC KEGSNSKARLRSLQALAMYCENTDACRHAGICKYFGETSIPECDFACDWHKDAHGLET RFLKGLASQDWVSTQAMQGTYDDYDHEDC UV8b_00636 MAVKLLLALLCLALTGTAAPSPSTDNATKTTPLTGVTHSVVAGL GGLRFDPDNVVAQVGDVVEWHFLPRNHSVAQSSFADPCRPLGSGSGSGSGSGFFPGFE FATEQGQARNVFQIVVRDMATIWYYCPQQAGDHCQNGMVGVVNQNFDNPGVSLSRYRE RAALTGRSVIPPVSDVGKVIVNPNPNGGF UV8b_00637 MAATASPAPFSGGGDRGLQDRILSHMNQSHARELRHYLRHYCGL TRRQACGASLRDITLQGMRIRAGGADYVVQFNPPLQSWNDVRPRVVEMDAIARKHLGI SDVYVTRYRGPGFAQGVVVAGVALYFFCLASLPWVVPGSRIWTLLLAHFPGGPESFRW LVKTLVLPVIGAHTLEPIYLDYSRLRKHGVDRWSGQWWLWIVSCVFEGALVFRRFDKV VAEMRARKEAKKH UV8b_00638 MTERSETTDSDGGSRTHGDADQPPARKKQRIRLSCLECRRRKLS CDRGFPCERCIKSGTPDRCSYESRSGEVVNAASGMPPPFAQLDSRKLGLCGGDSHPGF SSREDHERIRRLEWETAQLKALLLARPGTGSLDGGDGNSSGNGNGNGNGNGNGAASVA RESEERPAGRDGQPQPRPEVQECIEATKMNGGKGELRFFRGKGFRTRYFGPHNASMAF VELSGLCPFMRETADEWLRPVILHDRKDRKRRQEDRDALFQKPDPALEALLPTRDEAE ALVSVYLDQFEQIHRIVHIPTFRREYAEYWEPGSRSRHAAFTALLLSMMAVTSCVHTH NKSLRFIGMMSNARHWAQRWINSCDDWLSRQSQKHRKLIHFQIACLLYLGKRVNTIKK KRFWTSSGALIQDGISVGLHREPSHMGGGNITVYNQEMRRRIWATVQELDMQASFDHG LPTLLSQLHYDTDAPRNLDDDDFGEDSVDLPPSKPAREYTFCSFQNLARQSLPLRLEL SRLLTGPLSGIDYDQVIRYTNDLTHEIDALPSWNMNLCAAKDKEKNPLVAYTLLHVQL RQYMIPLHQPYLKLRKQNSKYQYSEIIYYNAARDIVLLHDKLYEQGMRSLNFLREDAL TTAINLCSVTMLQPRGSTNMIMVNSHHTLKLIEKCIDMKEDRLLRCGNNEPWGYSIMC SALGLLEAHLGTKTPEVAKSTSSERFVNLHYRVLANQEPPAASDNAPSTSAGGATTLP APSLEPSSGMGVPDRPKFATPFTFPPSLPSVPVDSSTAPATTWMLPAGDQAQLFNMDP SLELLGLNLNEIWGESWELG UV8b_00639 MADTTPSDNQPSLQPLQRPSVSALFWLGLSYMPLLVRVSLLHTL NLSETSAYNDLRSVLTVAFLRAFIAPKARGGMFTRTQNRSLPQLPVKGRLWISKYTTP QLPDPASLKLALAKAIETLNNPDVPAPVLDMPEAVPVYSEWTGYRADAKEDESLPDIS EQDKYAEMMKEVKAHTTVLYFHGGGHALMDPATHRSTVKKLAKITGGRAFSVRYRLVP QNPFPSALLDCFVAYLALLYPPPGSWHEPVKPEHIVFAGDSAGGNLAMSLLQVVVELN RLGQRILWHGKECAIPIPAAVACNSPWLDLSHSSEPFYGKRPATFDYLGPPGNLGRKG LKPCAIWPASPPRKYMYAADDLMTHPLVSPVMRRDWSGFPPVYICAGWERLAYEDKFV AQKLEGEGVTVVFEEYESMPHCFALVLTGLPESRRCLDGWAGFIRQAVEDPEGLRSRS TTIHAKTLEETCLKFEDLCDVSDDVVRKRVVDTVAEIKAWIPTEEAKL UV8b_00640 MAIREDLVASAAQFLQDPSVASSTVENKVSFLRSKNLTQEEINI ALARVGNGPAPPAAGSQYGPPAGPPQQYYPPYPQQAWQTPAPMPRRDWRDWFIMATVM SGVGYGLYSLTKRYVYPLIAPPTPERLEQDKKSIEEQFDKAFALVEQLAKDTETLKEA EQQRSEKLDSALADLETVMADLKAANRRREDDAQRLREDVVALKDAIPKAMDNQRSLT DNRLSELNTELVSLKTLVSQRMAASSSPANSNSFARQAGSSTLVPSRSITPSAPTVES APEPASTSASSAEPPKNLAPSSFSRPSTLSSSNASNKASIPAWQMAAAQSASSSSGAK ETEGSSKE UV8b_00641 MSQETGLWSVRRPRETLGGIGINTGIPPPGSTMKRNNSNNNGHV RSVSGSRQSLALSRPSQPMFQRASIGANLADVGLASVKRSSSQPKGSFNTILQTPGMT RVSSDAERRSSVYRPRQSAVGGVSSHQSFFQTTLQPAGVPKDPRPLKDRAFQTRMGQE LMEYMTQNDFEMQMGHVLSPNVMKSPTQKDFNYMFQWLYHRIDPSHKFLKNIDQEVPP ILKQLRYPFERSITKSQLAAVGGQNWSTFLGLLYWMMQLAQMLDGYVGNRYIDACMEA GVDVSGDHIIFDFLSTAYRDWLAMDEDMGDEDAERVLAPHVDAMARAFERSNAKYVSE LEMLEAENSRLQKEIEDLEKSTPDPALLDDHFKIMEEDKVKFEEYNTLALQRSEKYEA RAQVLQEELDNLVMEVKEAEDERRGLQKAVDAQGISMQDIDRMNSERDRLQKGIESAS HRLDEVKKKVADKESEASHKLEELERLVDKYNTLAYQIAVIPTTAANARGIDYELQVT VDEGSDLTSTNLNATRNMSPSAERLLMDATTGYQPGHILNLDLRGETRSNFLMLRKEI SDRRSAAMEEMMKDHDLLDGIKEAIEDKRSEVEALEHRVRAAEEEYEKTKEVTSAQKM SSDAQIEKMEKELSRMRAGLSESVQLLEQREINTSIEYQQLVLRANSLREELHTEIDR ILNDVIKFKIYILKNLDDYEHFVTDELERELGVDDGKDDGSAADLP UV8b_00642 MAEDANAAWPLADAALTQEILDLLQSATHLRQAKKGANEVTKAL NRGTCEIAILAADTEPLAILLHIPLLCEDKGTPYVYVPSKTLLGRACGVSRAVIAASI NSNEASELAGQIKALRDKVERLAI UV8b_00643 MTSTASPSDGSGPALGPAPAPAAASSAAYSTAVSRIHGRSNIPD EAASNPHHVLKGGTVAGFKNPHLRSTPYGWLSLLKNIVLPTLRGDLERPDTSPPTVTV REPEWLPCRTWSDKLRATWLGHACCYVEYPSGLRVLFDPVFEDRCSPFSFMGPKRYTS RPCNLKDIPVIDAVVISHSHYDHLSHASVLEIQRHHPHVQFFVGLGLETWFRSNGLRN VTELDWWEEAELTVRVDGPDGRDSISARISALPCQHTSARTPWDKDSTLWCSWAVKSG GKSVWFGGDTGYRAVPRLPAGTDDYGPELASLPRCPQFKQIGELRGPFDLGLIPIGAY HPRPVFSAMHANPFDSVEMFVDTRCQRALGIHWGTWALTMEPVLEPPKLLREALGRKG IPETGVFDVCDIGDSREF UV8b_00644 MGLPLHHPTASEPAASTQAQAQAQAPEPEPEPEPEPEPEPQAQQ HPVPGGDDAARSGRLTKEEADRLYEEAMEEEYAKREGGC UV8b_00645 MRFLSEINVLLQLAGTAVALPSKRAATPPKADHAKAHEVKQAFE ISWNGYYKHAFPHDTLRPVTNTYVDDRAGWGVTVVDALDTAIVMNSLEIVQPMLDHVA KIDFTTTAQEDYGISLFETNIRYLGGLLSAYDLLTGPYKHLGADAQKVEALLTQAQTL GDSLSVAFDTPSGIPDGVVFLNPAKKNSGATSNNIAEIGTLVLEWTRLSDLSGNQTYA RLAQRAESYLLQPTGSPEAWPGLVGTFVSTEDGKFLDSQGGWNGGDDSFYEYLIKMYV YDPKGFGKYKDRWVDAVESTIAHLVSHPTTRKDLSFLSGYNGQTTFPSSGHLVAFAGG NFILGGIVLGEEKYKRLGIQVTESYYETYVQEAAAIGPEGFAWVDAALPSGGANNKLP PASQQEFYNKAGFYTTSGYYILRPETVESLYYAYRLTGDSKYQDWAWNAFLQIRKLCR VNDAYAELTDISKANGGAFVDEMQSFWMAETLKYLYLIFAADSPVQVQGQGAKNQFVY NTECHPVRVRG UV8b_00646 MDDDYGADDALLAAMAATDPTQPARRTVQQPAPQKIQRPIPMPQ RLDKAPPASSSGAKIVQPTPQALPQAQPGLPTILVSPRQRGNPVLACIRSMPWEYSDI PADYVLGLTTCALFLSLKYHRLHPEYIYGRIRNLQGKYNLRVLLTMVDITNHEDCLRE LSKTSLVNNVTIILCWSAAEAGRYLELYKSYENAGFAAIRGQPASTYAERLVEFVTVP RSLNKSDAVALVANFGSLQNAINAEPEQLGLISGWGGIKVKRWTAAIEEPFRAKKAAK RGLQASKHAQAASPASLDDTERISRVEQALPLSRVPLGGMPPPINKDSGESCARATPD GGTPTGTAPRQFRFLDEGDDDVEHGLHAQKAPPTATVQDSSNDLQQTGGSISNIGQTP RVPRATGAEDDDALSSGVAEALAKLRQKA UV8b_00647 MTQEITITGFRTRDVRFPTSLDKTGSDAMNAAGDYSSAYCILQT DSEYTGHGMTFTIGRGNDIVCLAIEHVAERLQGKTLSSLVANWGQTWRYLVSDSQLRW IGPEKGVIHLALGAVVNAVWDLWGKVLGKPVWRIVADMTPEDYVNCIDFRYITDAISP EEALALLKESEGGKARRIQQALNSRAVPAYTTSAGWLGYSEDKVKALLRETLAQGYKH FKLKVGGSVEEDKRRLTIARDVLGYDGGNILMVDANQVWSVPEAVQYMKELASFKPWF IEEPTSPDDILGHKAIREALKPYGIGVATGEMAQNRVVFKQMLMSGAIDVCQIDACRL GGVNEVLAVLLMAKKYNVPIVPHSGGVGLPEYTQHLSTIDYVVVSGKLSVLEYVDHLH EHFLHPSTIKDGYYQTPTEAGYSVEMKPDSMDKFSYPGEKGVSWWTTEEAKPILTGKK I UV8b_00648 MESCWPNRPIQSLTAIFLAWKSFLVAIALGTAVARDYDTSTGLF FDRMYGANATVPTLAAKLTRWDALYFMHSTIKGYVYEQEWAFGIGLPATVGALARTLA GFTRPSHAVEPMVAIAIAHASHFVAVLALHRLTMMLSCNARLAFIASALHIFSPAGLF LSAPYNESPFACLSFVGNLLFAMGLGTQPTLRGEAAIVAAGTSIGLATTFRSNGLTSG LLFAGEALRRVVALINSPSPSRLLSLATPVLGGLCVASGSVVPQVLAWVRYCQSSDVS RPWCDTTFPSIYTFVQDHYWNVGFMRYWTLNQVPLFLLAGPMLAILIKSGVELCRQPQ QLTLGAENDTKSKLNVFVRALAISQTVIAALAITTYHVQIITRISSGYPVWYWWVASC LMDHKRQRVGTAIVMFMVMYGGIQAALFASFLPPA UV8b_00649 MELRGSTTTILPSTQACNIHGDDDNAHSDTTKQRNLAQDEDDLQ LWLDHTGFFDLQYRKEILASVRELKAIELAKAKVMMRIRNSRPSRQPQADAVSTPLHA PESASQYQPTTSSSPSLTPSSIRYYQGERGRPEGARAEKQPHSPVSQPFSLRTAPNKK NTRYFLVKSLNMTNVYMSQKDGLWVTQTKNGALFRDAFRTCRSVVLFFSVNKSKAFQG YAKMTSAPDGNITRPGWINTTMADMSTTFPFHVSWLNAFETPFDQFGDLKNHLYEDRP VFFGRDGQEYPDECGVKMLEIMDRAKAAAEGLRSSPTATPLSPSDSIRKAYMCVGAED GHGGAGARAASSASAGRSGATRSEGLSGSRWKRNESEIVVSSLDSDGDARQPETVNEY LLLDYP UV8b_00650 MPHIGASLPGVALALCSATAAAFSNPSPFTPKHEPGRCAFRGQC GKQSFFGKQLPCVDNDPAHDADAELRKELVELCGAEWNHVQVCCTLDQVRSLKSELGT PNTLIGSCPACKSNFFNMFCQFTCSPDQSTFVNVTQAAPKNGKLLVTELDHLISERYG SGLYDSCKEVKFGGANSRAMDLIGGGAKDYHAMLKFLGDKKPLVGSPFQINFPESYQE PNMGSKDMNPKKCNDEDPDYRCVCVDCPEVCPELPAVKQPGACHVGALPCLSFASIFT YSVLLFVFATSLFGRFAWKKYAEHQVERTRLLHESSHNDDEDEGGPVLTEAMRDRPTK SYWINDRCDDIFYRLGHSASRFPSLTIGASLLIVAVLSAGLFRFDLEKDPARLWVSPS SAAAQEKVYFDSNFGPFYRAEKVFLVNDTNPAGPGSVLSYDTLKWWVDVEKSIEALES ETYGKTLRDVCFKPMNDACVVQSVSGYWFSKGGVIDAKTWKDDLRSCASSPVDCRPEF GQPIEPGMILGGYHSDVADAQAITVTWVVNNPPEGSDARGRVIDWENRLRDRLLEVQE EAEQRGLRLSFTTEISLEQELNKSTNTDAKIIVVSYIVMFIYVCMALGTPLKHIFRNP ALLLVEPKVTLGLVGILIVLMSIAASIGFFSWVGLKATLIIVEVIPFIVLAVGVDNIF LIVHELERVNVSCPDQMVEERVARALGRMGPSILFSALTETVAFALGAAVGMPAVRNF AAYAAGAVLVNALLQTTMFVSFLALNQMRVEDHRCELWPWWQVKKARIHLNGSNSYAG GGRVSEIEEETFLQVFIKNTYAPRLLGKRVKIGVITVFLGAFAAALALLPKIEIGLDQ RVAIPDGSYLIPYFNDLYDYMETGPPVYFVTRGVDVSRREQQQQLCSRFTSCQSLSLA NTLELERQRPEVSYIASPAASWLDDFFLWLNPMYEQCCVEDGKTCFAGRKPSWNTTLY GMPEGKEFVHHLHKFLSSPADEECPLGGMAAYGDAVVIGGDDQSVQASHFRTAHTPLR SQADFIGAYSSARRIASEIGQRTGADVFPYSVFYIFFDQYLTIVQLTASLLSALFGIV LVVASALLGSARTSAVLTVTVFMCVVDIMGAMAVFGVSLNAVSLVNLVICVGISVEFC AHICRAFTFPSRTVMQSNNTTLRGRDARSWTALVNVGGSVFSGIIVTKFLGVCVLAFT RSKIFEIYYFRVWLSLVVVAGLHALVFLPVALSVAGGSGYVDPESEGTAAQDLTDRRW RAIRVHDNSDSEEEDY UV8b_00651 MTKGRESQLLQELVESFRTLLDEALIVAIAGDFDLTDPLAHQQA QTTLRDLAQNVPIEEASASVPSGARNGTNGGGSSHALHRDLAATSTTSTSYPTSTAHL TDTTGSSAPVFSPRLTFFNNESHEDKLHLLQSMFAELKEYDVKYSLKKADGDLQTALD DLLNVQYLQATGQQVEGVDGFFIADSHTKPDKKKRKGKKLALSDADPSADGAPTSPRA SLETCVQDEAEYIAERFGIRPDEVLPVYKKNHGSKGATVTELLGQYMSVGVETQDEHG KESAEALAKKYRHVPEEYMRTIVHVAGSIPQFADDIAALLNRHFGKQIKAHKMDLAYR LTPLPQHDIEGGEASPTIPPQTAGWAAKPACSTVTDYEEAVSRANAFDQASRHAASSA AQLQRRGACTHLYRQAASYYTDRAREQARYAQSARSTAADILVDGQSTNTCIDLHGVP VHDGVRIARQRVRDWWRGLGEVRAQRLRERGGFTIITGLGRHNAGGVSHLRQAVAAAL LQDGWKLTVETGKFVVTGRQ UV8b_00652 MAGFFEQFVAFGTDIVGLERFMRFIQSVLSILSFYPRLTPLVLL LLLPPTGPARDAKTSELAMRELCSHLNLTRRAIRLFWCLGSFQSSWKAYAAPDKSAEA WLSIMADTLFGLFGMMESVTLPDLLPTAHLSVFGLQEAVRLDGQAQGLWLAALSCAIL SSSVRIARAYAHRAVPATGGGLVAEVEDEKRQAGEGDGAAKAAALEKRREEREAAAQE FGGKIKSLTVKLAAEVLDLVIPASTTGLAKFDPGTVSIAMLLSTILTGRIVWERCGRA IDSKPV UV8b_00653 MLSSRAAPKKAVSSISQTAVRGLATVQDGTPKRTYGGLKDQDRI FQNLYGRFPADLKSAKKMGDWYKTKEIILKGHDWIINEVKTSGLRGRGGAGFPSGLKW SFMNFKDWDKDTKPRYLVVNADEGEPGTCKDREIMRKDPHKLIEGCLVAGRAMNATAA YIYIRGEFVYEAAVLQNAINEAYKDGLVGKNACGSGYDFDVYIHRGGGAYVCGEETSL IESLEGKPGKPRLKPPFPAAVGLFGCPSTVANVETVAVAPTICRRGGSWFAGFGRERN QGTKLFCISGHVNNPCTVEEEMSIPLRELIEKHCGGVRGGWDNLLAIIPGGSSTPILP KSICDDQLMDFDALKDSQSGLGTAAVIVMDKSADVVGAISRLSHFYRHESCGQCTPCR EGSKWTEQIMARFERGQGREREIDMLQELTKQVEGHTICALGEAFAWPIQGLIRHFRP ELEARMQKFAQENGGEALAGGWNANTRSLGKLVSPGQ UV8b_00654 MSNKRHSILPAIDRSGPKPPVDFSPSVVLSDNAILQGTHSIIIQ SETVVHPRARIESSLGSVLIGRRCVLHERSHIGAHPAELSCIRPGGVVLGDYVIVEVG TVIEAGDTEVGEGTTLQVGCSIGCGAKIGKHCTVSPRSVVAPGENLPDNTVLYSDGLR RTDNRDVADLRNLGLTKQIAVLQKMIPSNPEKFK UV8b_00655 MAPSVRVVPNAARRATSLLRTIQYTHPPSCPCHSNPGHHGAPPS IVPRARQAGHRRYAAPASVNHELKEYAFEMAASSIRFGPGVTQEVGMDLKNLGAKRVA VVTDATVDKLDAMRQVREGLSREGISFDVFSNVRIEPKDSSIKEAIDWVRPRQPDAFL AVGGGSVMDTAKLMNLYLNYPEADFLDFVNAPLGKGRPIDKPLNPLIAVPTTAGTGSE TTGTAIFDLVSKRAKTGVAHRNLKPTLGICDPINTRTMPAAVKASSGLDVLCHSLESW TAIPYNERTPRPPNPIMRPAYQGANPISDIFSFDALRRTVKYLPRAVRDPDDFEAQSE MLLAATLAGVGFGNAGVHLCHGMSYPISGQNPGYRHAGYDVSASLIPHGVSVAVSAPA VFRFTAASNPRRHLEAAEAFGVDISNIKEESAGEVLAEALCRFLADLGDQPSGLKDLG FGSEHIDSLVEGTIPQARVLMLAPGLEKELQAEREQLCRLFEDAMSH UV8b_00656 MPWPRMPVNGPLHPRATFAPMPVTLRFLGQRPCCTARLALAATR GFRNDRDAAGHRVHAARLGSLASFVDEVAKRILHVHL UV8b_00657 MDRANGASPGAAAASRAERFEDEKRRIVDSCFSKKDTDGSLLET YITHIRITEYSSHPSSPPPPQARSPDAEKPRIIIVAVRKSGRVRMHKSKENPNGTFSI GKTWNLDDLSRIESYTGPQVSPSHREWGADTGFLVTLGKPYFWQAQTDKEKKFFIASL IKIYGKYTGGKVPGLAGFDQKELDQVLGAGRRPAGTGAGGGAPSRPPPLEQAPSQQSS ASGALTTGVPPVPSVVPSVVPSVVPSAPPVSSSTSSTPEPARAQKSPHGMRPPLNGSK SPSASFDSNVSRDRIGPKWTAQANKSQDSLAVSFVTATSTKTDDASSQPPRSRNGMNG PGAFGKFGDSREDSKPAQLPLPAPPTPVQPQAAGKPPPERRRPPMDPSRPQDRDLVPP PLNSPVVRRDPVAPPPRNSERTSTRNETRQPSPQPREEAKERTVPLSETLLAEQPQTE NAPSESAVSPTDNSSTSFLPVTVGDDDTSSLVEPPAKPEEESRPGLGPMIRPKKSKGD IAGVLWKAASAATAFRPRPGGAGERLRHMQAQVKADGPDGITGVVPAPPRPVTPDIPR PASASAEAPKQSVKAEAAEPPEAAPVTTVAVVAASAEASVPELTITPINSSQSTVIEP TQAQDGKKEPAPKDVPQRSIVTGNDAKYLQSLGVDASLLDERSQEFGKWLDFLGWVPG QEMRSHSTGEMKSHLEREINRAQAGGWLARFQEEDERVEAIKRGIDLAMGECEELDNL LTLYTVELSTLSEDIAYIEAQGQGLQVQTANQKLLRKELESLLETCAITSSDLAALRL APFDDHRGLQEVEAALITLFKAMVKIDPSLGGIEPLPNASATSLQTPAFNTDYGNMRI VQEKKQMYLQESAFFMKRLTEFMGRQFAEACAGSKRSLEGALSKKLDASHYDSGRDLL WKYSPLMLYARDVDLESWNQLIQIYQDKSHPLYKGQFQNVIRIWRKNARKMTGEEADL LFSFKAEKQQEGVATAARKMTVKRSQTLARALRSPLAESSSKSNFERPSTESRSLPYE VFSGVLDDLLPLIEMEQNFIIDFFHASTLEEGDFTEAVAVSAPHERFGDDLRRHRLME PDRDLARRVTRSMEVIFAFVESDLQQLIEWVISQDPLQGVGVLAGLEKKLSEISQSNQ DYLNTILQKLHGHLEGRFNKFVEEQIKAIEETKVKINKRKGVISFFRVFPAFMAAVEN MIGGLDASFALRRMVDRDYGRILKCMFDSLMVIARENPAVGVGSGSADPEDKEALNFH ILLIENMNHFLEETDTQGLDVLEEWKDQASSKYHEHMGMYLDAVMRRPLGKLLDYLEN IEAQIHSGKSPTAIARQPSNDKSAFHKVLSNYDSKEVRKGVEALRKRVEKHFGDADDP ALSRGLVTKVTSECEKFYLEVESRIGRVTTDVYGGDVPFEWPRADVKTAFR UV8b_00658 MAPELRSGGVPPSSRVYHSSPALQQAQFPSRRKRVRRCGEKAPR PNLKQQTLTQIDFVSSFDDDDNEGNDDGNEDNDDGIARVSDSDDELRKRRRDVDADKE NAPPPSRRTARPRRLQTGRQGAEAGGEGAPAPAPERRKRAAEAPSTRRDSGKRPRSTG GGCDKGVQFETRRSRGSSRQTSRADAPTPKAACTKYHTQTLTQFLGHGTSFVADSDDD DLGSSRDDCQDDGFLSWLGDAEPGSPSAGRGRRQIPSPQARPGRAAEGPAAAGAGAGA GAGAGAGAGAGDDASWLSRENSIIPQTPVKESAHPPRLVPSGGIASPTNLMMGRYGAP DRQDSPSKRASRQTASLPQVDTGQPRASPSVSNPQPSVVIQDSFATEEWATPRKSPAL RSPSGIQARVEEAGTPPQEPTAEAGTPKCNTTPSLAKSTATGLCEIPDSDEDDEDDED DDDEDDDDDDDDDSGHGILGAERKEQDASSEQGYGAGAETQLVMSEIVPVSTDAPALA TCSAHGPKQRHLPSAQSDERSRPPCSATPSPSPSPSPSPSPSPSPSPSPSPSPANVRP AHGRTHPAKGASPARATPSSSPLPRQPVPIRKPTRNPSHAVQPSQPCESQRVPVSVLQ SLAPPTARSDILLPVSSASLEALLTGHSVHISTPSKIPSQVVRFWLLESQVLRYMASV APGEQEAPPPPPPPPPPRGAKPPPRSSSSTGWRHHAAQVYELNNPVSAEDMREEGWVH GKIHRYSYLPPAVIGQLLWNLRHAIFDEATQPRPLGLGEAKQQPSSPAPLAHGASSSP ARAPPSPSSDNAGEADLVPLATPPPPASMTLSQQVNAQIHSDMAYSTQLPPTSDDLIP STPDTNRGLNLRPSTQTDRVRPPTPASKALGDAQEHEHRRRGVHGRHHQHHEDAPPSQ ATTLSPSPSPEKASQLPAPANPAMPPPPPSLFNPSESSSSIQFLNHSESLGCSPPPLF SSSTSTSQLLTKSQMLSDSLVRDHPPPPEQPEIWDSDEEDPPLYPA UV8b_00659 MAERTLAGDNEVRLDPSTTSAAHGAATASTTVPQRITRSSARQA ASQAAQAAGPLAPSNSASTSPASTVPATSLLPLDRKRKASSSTSLDKASAPLAPQHTA AVGRRSKRQKITEPPFPSLQRHRRKGKATVAEMDGTDVQASPPEPAEVPPQSMTSSRK NSRTKKSEPISTSAGRRSRRHASGATEQDTNMTGTDNNPEPGPLTSQPVDRPADDGNN DNREDDKHLNEHDRLDEQDEDHDEDDEDDDDDDDDDDDDDDDDDDPFGGFGGPGGVVA GLSSTLRALTGMMSSATSRLRDILNNLRKDDLSVQVIALQELSEILLVSNEDNLAGHF SPDAFVKELVTLMGKEESPEIMLLACRCLANLMEALPASVANVVYGNAVPVLCQKLLE ISFIDLAEQALSTLEKISTEYPSSIVREGGLTACLSYLDFFATSTQRTAVTTAANCCR NISDDSFPVVKEVMPILLNVLNSNDQRVVEQASLCVSGIVESFKYQPTKLDDLVSVDL LRAVLRLLVPGTTNLIGSSIHTQFLRVLAFTARASPRLSTELFKLNVVETLYQILTGV SPPSGTEDVASKLDSVVVMQALIHRPREQIVETLNVICELLPSLPRNSDPLFGDFVEL YNSTGVIAPSAGKNKRSTNDKRLKFLEDCKAEVRRFALIIFPTLTDAFSSTVNLSVRQ KVLTAQLKMLSNLDQNILTEALVPVPYASFLASILSQKDHPSLVLLGLQATELLVSRL ESIYRYQLYREGVFHEINKLATQEDLMKLENDASEDDNENAGEEEEGEEDHDEDVAEG EEDDDENRAQAYDSGKVSDNASKPVDCGVQLQESSSPVPDGERPQHDGIEDEEVADID GSSGDEDGEEGDEDANNEDENDPDHVPVELSPASSRGSTMSVDVPPHRYISDIKTMRS RIRHIAKKFLEVHEQGDHAKAMKAKANLILSDLSQLAAELDEHYLKRTAATVGGVKKG KELLSNLASYFDTDVLESVTSAELLASGLVQTLLDIFSNPDEQLARSAQSTFLEVFMG YSLKSKPKTATADSPATPFSVLVHKLQDLLSRSEHFEVLTVHHNTFDGNRSSPASMLG KQIRLRLVADDESNIPRAYRNIMVSIHAIATFKSLDDYLRPRITLADRPAMGRRDGLS RALAAVAGMGGLQFGSAGASAAGARLADRSPFGSIPPPPPPPPGSVMTPSGSRHSRRP KDRSTPQPNPSFAPQEQPAGPSRDKGVLRRSSRRQGQVVPGEETSAPRPPPSEDDQPQ NTLECADEKQLSDEVDLDHDGALDAILGELDEDMDGVAEATTSADPSAVSLEVAAGGK ITARKDDGTRVLTPSGSSLANPLGGPPPPPLSGQGTPTPSNSSTSRPAMSYASVLQSV PQDWHIEFSLDNKVISNETTIYRAVHTSTSGSDEVVSRSIWSTTHPIKFRRVAGPPSA ENTSFSNNADTDGETLNGIPASLAKNPITASILRLLNILHELNANIEDVLAENRNSSI SVNVEPLSQFVNTKLTAKLNRQLEEPLIVASSCLPGWSEDLARLYPFLFPFETRHLFL QSTSFGYARSMARWQNAQSEDNRRDRNAERPFLGRLQRQKVRISRLKILESALKVMDL YGASQSIIEVEYFEEVGTGLGPTLEFYSTVSKEFSRKKLKLWREMDSAGSNEFVNGQN GLFPRPLSQEELSTPNGERILHLFKMLGKFVARSMIDSRIIDIHLNPIFFRIGDAPTT GIKPSLGAVKVVDPGLARSLKTIKKFALAKREIDEDPNRTAAQKVLDTESIVIDNVRL DDLCLDFTLPGYPNIELEDNGSHKRVTIENVDAYLEKVIDTTLGSGVRHQVDAFRAGF SQVFPYSALSAFTPDELVRLFGKVEEDWSLETLTDSIKADHGFNMDSRTVKNLLQTMS EFDTQHRRDFLQFTTGSPKLPIGGFRSLTPMFTVVCKPSEHPYTSDDYLPSVMTCVNY LKLPDYTTTETMKKQLFKAMKEGQGAFHLS UV8b_00660 MKFRAIRSLPFQRAAKSTQNTASFCATIRAASSISQRPNSDYVS FPGALKSAFTSSLNFEHPESYTALPTYRVVDQNGTVVDQSFTPDISDETIVKLYKDML YISIMDLIMYDAQRQGRLSFYMVSAGEEAVSVGSSSVLDPEDPVYCQYREQGFFKERG MTTKEFMSQLFANKNDPGKGRNMPVHYGSKRLNIHTVSSPLATQIPQASGAGYALRMQ KLQDPTSKAKVAACFFGEGAASEGDFHAAMNIAATRSCPVIFICRNNGYAISTPTLDQ YRGDGIASRGIGYGIDTIRIDGNDIWAVRESVKKARDMALQDGGKPVLIECMTYRVSH HSTSDDSFAYRARVEVEDWKRRDNPIIRFRKWMEAKGCWDEVKEKEARDSLRKEILKG FSEAEKEKKPALRSMFEDVYEELTPDLKAQITDLREMLDKYPEEYDFSEYEGGKESLK P UV8b_00661 MVAGKALQSCARISKGPSRHANLGNLRFFCTNYSRLQSQTQHPR DPQQTPTADHETHFGYKTMTEREKQARVAEVFSGVAESYDKMNDFMSLGIHRLWKDYF VSSLNPGATTPLGQPQSILDVAGGTGDIAFRMVHHANVHHANPNIHVTVSDINPDMLA VGKQRSLSLPAAFQSSLSFLEANAEMLPSDIKDDSLDLYTVSFGIRNFSNIPAALREA HRVLKPGGVFACMEFSKVDKYPIFNAIYKQWSFSAIPLIGQLVAADRDSYQYLVESIE RFPSQEAFRDLIIQAGFAVSGKGYENLTGGIAAIHKGIKPL UV8b_00662 MAASRPFNNQGYLQNGGSALPPGAAPLLPNQGRVIQTGPIRVLC IADVRGNLRSLNELAKQARADHIIHTGDFGFYDETSLDRIAEKTLKHVAQYSPLIPDP VKKAIQQGGNGPVKTRFPSSDLPLSELPLLLSGEVKLDVPVYTVWGACEDVRVLEKFR SSEYKVPNLHIIDEARSMLLEIGGVKLRLLGLGGAVVMHKLFDNGEGRTTIAGGQGTM WTTLLQMGELVDTAHRVYDPTETRIFITHASPAREGILNQLSVALKADFSISAGLHFR YGSSYNEFSVNPTLDHYRGKLAASKASFNDVWETVKGEVEPAIAQNEAQQNLLKNALQ IVEKMPTTAAGGNPFGGPVTGQAALGQVDESAFKNMWNFNLADAAFGYLVLEIQDGRI GTEMRAQGFNFSHRGAKQQPGSAPPSTSTTGANPSTPAPLTTSTQPAASTGRQAPPAQ QPKGAAATTPSSGPAKPATPQPAQPAQPAQTSKSVPSNKDSEKSSHVTNGSTNGPEPA SSPAPKPSASDIVGLFIMNVSSEEQCRDLFDEADRSKIAKIEKWGNQNKVVHFKTAED RDMAMTRLPDEIKTRTQEDRSRPLVKVFQHREGKTFSNRGGAGTWGGNARGGATGSGY GNSDSESNRRVGRGGRGGRGSDRGRGGRGRGGLKGDAGASSPAATPSTPAE UV8b_00663 MHFPSPNRRSRHFEGGSLPPGSSTLSLRDVQADASGSQFPLTNI DNPNDIAQELSNLQALRRMSMDVGNNIDPDMPPMGFSAMPVIAPTGDDDENDPSRLLW VPARVHPELAPSEFKSFLEKRVQSIRRRSGESSLSTDSEPNRSDSDSLRRRKSMLCRQ IDNSGGQGAIGYVDGAERLEGKRSSRGTLSPELSLDDLVKDPSRAVQKFAQEAQGVSS AGEEGNSDDMPILPMAPGMGLRRSTRTAYRKGGSLRAGDRAPFSKRMLQRNQGRSADT AVVPDRETPVGYSLSRVQSEPVTENYSRPTRSSRRQPSFTQEPSPALAGDNNALPVQE QLSIRTINATPAKLLKDSSLHDEDQGGQSNENSTTYPQRSTSQSSGPSAPEIASKIPE VIVAAPSVTQSSKNSASASSDYSVHKKSQEAQNLSKSQLPSSQQQSFNTSFSPSSTHS SGNASRTDNLTATPAFTQYDKKSEKKSRKERDDDSTNAPSKSGGAWKWLKGVTDDKDK KKEESRRAKAKALAEKTSDNARLDVIQSSIDRTTVKGRESLVLDRDNVDSKLLDEKKK DSHRKGESKREKDGSIFSSLFGGSKRKEEKEKANKKTQHLQVPEEPIYKPLRPDVDYH WTRFPLLEERAIYRMAHIKLANPRRPLLSQVLLSNFMYSYLAIVQAMHPQMNVPTSPQ QKRLEEEARRKRQEQEYIDRQQMEEEGEDNSLEQYNFDYHRAAVQYAESGVDGQADYI DEAQIYEDEHRNDNHDGYDYDNDEGYSHSVKDYYQYQGNDSEHRHHDDDDMW UV8b_00664 MATKMPRGRTLFSLRPSAPLSGQAVRAFSLSAAQLVNMPKEAQN LRMAPRDHVGVLKAPLVNPADKYQSKADNMHRYGAWLMGCLPKYIQQFSVWKDELTIY ISPSGVIPVFTFLKHNTAAEFTQMSTITACDFPSRDKRFEIVYNLLSVRHNSRIRVKT YADEASPVPSVTSLYDGANWYEREVYDLFGVFFAGHPDLRRIMTDYGFEGHPLRKDFP LTGYTEIRYDEEKKRIVTEPLELTQAFRNFEGGSSAWEMVGPGEDRRPNTFKLPTPKP EEKKEEPKK UV8b_00665 MAPQDSFIEEEEDVCPLCIEEFDLSDRNFRPCPCGYQVCQFCFN NIKNNMNGLCPACRRPYDEKTIEWKVVTQEEVAEFRANIQKNQKKRAQEQRHKEVQKR EAEKENRKNLIGPEFFGQYGNIQKISISNRKSPDGQHHSLGIYVTFEKPEEATKCIQA VHGSQNGDRVLKAQHGTTKAGNDDDASRPMFDVRGGEKRKAMREDEESRIDQEDSADI HAASEGEPETGGSMALGGEPEDREHVGDGLGFDQRPSATQPPVQRGGTEALYGANTGG SGFSLNSVGIGRSLTPQQLAAFRSQTGLGDQLLSAPSMFQSPGHNRQSSRFSFGNENG NSTTNVKLAANPRIMAQQSSMMPSSFQSQTGNQFYGNSIPGPPPGLKSSNASPSMFGQ GFGAGSTFGNVAKDSSSDLLQALIGRNRGGTSQPSDAGKLDLADPSILQARMQLQSQS NAGVAGQGLFGGGQSQENDLLPLDDAAFSVDALVSDESPGVFSSPGYALEAGTACPVP PGFAIPPTIANSLASSPISSLASPVASQYRHTHIVPALPKAPLPPGLDQGTFTPQPTP RKSAALKSEARRNRMSAPDAESSDNVAPAPATGEQGSSALQDEDFPALGSSRPSKGQS GSPHAPIITTPKPTPAAKRGADRVLDKPSDTQRHPPPTASVDASSKNSVTLGTQGMNK VTMPEDAHIRNKSEKPVESSSTFPPLPPPSIASPSPMQRNTPRTLRVMSIPKNADMSA SSSPVQSVASKAISIFHRPDTPMSEMVSDTASMVSASISASRAGSPPPNKVGSAAVRN TTKSQQRKQRKEAFKQDTKTMAEITKSEPEEHAPVIGRKKKQKKEKEKTAASVASHPE TASSTAEELKTQPTSSQPDQGKAKGPYEERSRTRTMQQKKMSKSKVKEKERERERERE REKEKEKGKEKATPTSAAAATSYPAVSAQAVPVEPIESADPAERQQFGPASVFAEIRN SLWTSAVEKLQILKPVSSSSSRPDHQHAANSATQSGYCKDCACKCGEIQDEDLAALRA GKPVRKQFHVDGSRMLITPNGDCIRGLTSEEEDDFLNLQAAIAATAENPGSFVAPRHQ PGSGAFSLIKGRAVPNGRPNIFPTSAQPQAQDPIGKLQQEDALSYINQYVLPRLNLDA ANMGFPKGASPLRDAAAASLNALAPYFYGPDAAAGVGIYSAPDGARAMQDFSPGGGAP AADVSKIGPTNGLGGISLMSVEDAEVRLAAARKETDKLEKGLNAVIKRNRRLLLGSST UV8b_00666 MDHGQHKAAIAQHAEAADSYVEKAVSRQSDHQRSRELLFQNDLA HLIPSRWWFASSAFPMIAATLGPVASAFSICALGSRWRLHVLPGQSIEDATAVDDPAW LTIVNAIQLAMALVSNAFLFLNMTKRVRFSIAQPVTIVGWYISAICLMSLDITASGPL MDGLEPPSEFVWSQAFYYGIWAAILYFVVATLMVVTFWGASSGHYDKDFNLTPSQRTL MLQTILFLMYLLLGALIFSKIEGWHYLDAVYWADVTLFTVGFGDFVVTTTLGRALLIP YALIGVISLGLVVSSIRSMIIERGRRRLDARMEEKKRRRFIQSMANRGQDEILNPIVT DATSDWDADNAGLPQNEFDRRRTEFLLMRKIQQQASNRRKWMAMIVSGSVWILLWLVG AVIFMRCERPYQAWTYFDAFYFSFVSFTTIGYGDRTPKSNAGKSFFVFWSLLALPTIT VLISNAGDTVVKFVRDATLRVGTITILPGEERFVGNLKYIANRLFLGRVFRNATAAIR DDEEQKRRGKRPALVAGLDLEDVDDTKKQQMGPPGAHAHNSDPDEREGKHQLCKTASS PASRPSLTQVRDRLGDLPTGTDLHILLVKEIQLVSQHLRESEPRRYTFEEWAWYLGLI GEDERDPLFHRKVVPKKKHKRRRRGKHNRHGDHRDGHGHGHQNGHGHQNEPEHDGHAL HGQVDEHNSVAAHVASQPGLDEDQTKWSWVGMRSPLMGGQEESEWILDKLMERLQESL WETKVDEEDAESP UV8b_00667 MARWQRAAPLNLTLLLPRVFSTARSERVEVKCGSGGHVTIDLLN MSEAPSVDMPLLVHLPPFPSLSGSPSPLPTCFGALPVANINYRWRPFSKPAGEDDHST PLHWPTPVHDAAVAFTWLVANLSPPGNTRRDIYVYGSYLGASLAASLALTESHSHARF GVRGFMAYNGIYNWTMFLPDHRINKPRRSKVTTSTPSLAEGSHLHTLQEQIPALFNLP SNLFDPFASPSLFFHSPGLLVPQSFHMTVEQAALLDSMTSDEEVPFGSIKAPRKSHLV FPPRNSTLKIPETLLLFDSAPMLTRKNIVESSTNRRKKRAAAHSFKAQATELSVLMRR SVDGVELAERRKWDDEIHNFVNEAATRVQLVETGPEKDHVQLSESGQDAIASWMQDRL NKLQSS UV8b_00668 MPGIPLHAIDNLKAKLKAAFKKKSNSKSDTTKPAEPADAKADAA AKPAEASVTDAGTAGATGAQSETAAPTTASAPVTETPKEEAKADAAAKTDTTAVSAEV NKAEAAAPPAPVAAPAPAPAPVQVNTAPPAETEAPVNPPATSTA UV8b_00669 MASATPSFQSPIRTLQSIPSQDLKTHTFVKPVKLINEGHHVSHF LSTKAYTVLGIFVTQLNHALCPRQDPSSPTPEVFPLLPGSPTSTGSIRALQRLLGNIE RLVDQAPPDPGPRRFGNVSFRRWHALVEEKLDSFLSEGMLGDVLSIKGGDQVSAKDEV SSYLLGAFGSAQRLDYGTGHELSFIAFLGCLWKLGFFEDGKQGGEIEREIVLNVVEPY LRVVRKLILTYTLEPAGSHGVWGLDDHSFIPYIFGSAQLTRPISSNMEPMPLEGSVKG APKPADVTKPDVVQDQRQANMYFSAIGFINDVKKGPFWEHSPMLFDISGIRDGWGKIN KGMMKMFNAEVLAKFPVVQHFPFGSLFSWDIDPHAAPPTHSVHMMNQPLAAAATAAAA ALSSTNAEHGAGSPRAVPSITRITRAPWAQARMPLPADTGISDSSTTLGPNSGSLASR QSQGESHRRPETTAAQVTITKAPWA UV8b_00670 MVTTRSGAGRPVNRVGTRRQKVASPASKSIKRSTSTRKSTRGRR RKDAGSGSEASPHSTAESSPQPEPDQSENEAPKSPSFYQGINANASIERREANDIPQE DDEAFWRMVHEAIAKEVASEWPLRHQQYSVNQEQERLDEERGGAEVANAQTSVQDEVI VSDRGSPQSLKLENITRVTSIAVDMQYIEPEPEGRKSISRYVSCHVVNKIINVMSKPG WTNEKGDWTDGLLQHEKEDAAQWIARHSSCITDTRERELFSCTSCLWQLLRNMPKSPH LEDQARFLRQRSTGLKNALRKMQDLAQGIKEQMVLAKQSGETVIDDGDARSDLITSTC ERLIPGLILTLKEALLLGGSPGTPETPQRVGNCKGNFTACTLQLGLRIVGFIELLSGV IFLDETEPDGDSAKSDQAQRTKKKLFGSFNSDLSKLRDCLLEAMNNLKYDAEAPQRRA EMMEHDKRVRKERERQDRQLEEDANRQYGLFVASMKQDSYYLKFGWYRSEDKIIVDHI RKIKSPKIEYLVTQLPGRTARQVEEQIIKLRARMKADFRARGMPPPKWCYS UV8b_00671 MADKENIEFAQSSDVVSSKESTPMSPDTPTKAQASAGSISPATK RTGLASTTATARRAGVTGTATSASSRTSTGPTTGARPSGGLSKPPTRPPMSSTTPTTR RPTTATSTSSTHRSRPSVGASEDERKSALTSTAARRTSTIMASPGGSADKRTATGTTG LARKSATAAAGTKTSTLGSRTSTSAATGSARSAAPTTRAGTASTTAAKRLSTVGSTTA TGRSSSLASRPATAKSDASTSAKEIEELKAKLADGESQIETLKAHVESSEATIAELRD QLLNVPATAATDAEMQSAQATTADEAMASLKADHESALANLESRLAQVNENLQVAQDE LEKHRIDLAAAEGSKAATESEIEALQNSLEQARLQNDEKAKASEIALQKALDDHAAKV SDLETTLSQKHKLAIEELESKHKTKLENGGDDAASTQESYINELKSSHEAVVADLQTK IEQLSASHAALEAANSDSLRSEQKRHNSEIAALQGEIAGLQAQNDVSGKSAESSSAQL LELQRSVAEKEKELAAIKEDMSSLLIKITEVQTDLADKDTEMSRLKTMHDERMRNISQ DYEDEIESLRGDAFYKRKFEELTGQHDELKTASEEAAKAQAEALTAAKAEYATATERL EALQQEHQKNLDALRASHLGELETAKAHSSADKDAHQSLLDSLQIQHAEELERLKRES DASLVKELEALRAAHAETVQTLKQEHADENNKVQAIHDEALTAAKAAGNDAHASEVSS VRAELEAVKEQLMQAQADSDLAAETAKNELQGQHIEEMEKLISMNAEAMDKMMADGLE ARRELEELTAAHSKSIEDAIAAYKSTSSSLEDRLAQQVAVNEDLDAALKAAHEALSKA QDEIKELSQHLAQEKMERMTALADLDAAKSAKPDTSEADALRKELAELRQKQEDGVAA SELSLKAKEDELESCKARLADAQDSLSAIKGDLELAQMELEAHKSEAAAKHKTAQADY KDLNNSMTSLLEDANNKAKELEAEVERATHLAEKNGKKMEELEAQLKVKEAEIVEAKA NAAGRGKGLSSSRFASPENNGDEGAADGNGNVAEGEDDMNDAEGEDHSSAALASLSKA RTLAKQIDTLDREMRDRNLQMLKSITNTHQAT UV8b_00672 MPELRMGSRSIHGRTQSSTTIQSSASQGRSTGGARGQSMSMGDD DAQRGAASPSQPTSSSSQPLASPAQSQSQSQSQSQSQSQSQSQSQSQSRRSSPSVPNA TASPASPTGVREDGGRRSLSYALRPSAPAYYDSRAATRSEYRRRGRTLQEYYDDHPLL LPQLPFTWRHGWRRWRLFLFAFLVFVDASAVPIALYYGLTYAGRVQGWIIFAVVTTIW GGPTYLEFGVRTIRLMKKSKFFRPLGTDSRWCFDMLTYTSITIMTAVTALFVVGSAPH NVWLRVLCMPAPAILYCLGGIMGLIMLWNLMGWKAPFRISSTAKGEAVLPAAYYFIED VVAVNAGAGRPYREALAARYNASPRFQRMLHKQSLFWFIPALILAVALTVVALHPSVP ATGAYGVCWAVPFLWCSLWGGITVWWCKREMVRERLEWEERNGTADKEARRSTRTDAS GSGSGSGSGSREAVALGDRSTTEGADAAV UV8b_00673 MPLRVLPATADDAHRAVAIESAAYGPNANSQALFPGPFPPGGDQ SRVTTLVHQLHEDPACRWAKVVDTDLEAHGQDAMVAFSMWYVWQTPRETLPPARQYGP GSNPEACELFFGGMRREWIARMAHKPHAYLKLLHTDPAHQRRGAASLLLKWGTDQADA LGLPAYLESSEEGRVLYQRHGWRQVGKLVVDLSPWGGPADASSFLMLREPRGPAAGTR DN UV8b_00674 MDHGRDPCPWVIFNDFGGAFCMGAIGGTIWHGVKGFRNSPHGER RIGAITAVKMRAPVLGGNFGVWGGLFSTFDCAVKGVRKKEDPYNAIIAGFFTGGSLAF RGGFKAARNNAIGCAVLLAVIEGVGIAFSKMLSGGTKLEVPQPPPNLETASL UV8b_00675 MAAASPVLPYPINFLPDSINSPGYLHPRISHPNMMPNLGGQPSV AHTFDSSNNCPKSTLPVSSPFVASKPPGSGKRCREPVDQKDQAYDSPFPEEDGRKKRS RGRPRLDTKDETAADRRRTQIRLAQRAYRHRKDTAITTLEQRVKELEQANDDMSKEFN DFYALLISERILDAAPHALPRLSTLANKILIAAEKAQASRGDGSSSEDCDENMESGVY GNQNQMLHHTQPSAPPASTRDYHHPEPMRQAAIPPPAYAAAAVTTGTATSTVPGAVCD PLIHVPSSLHYEVVTAATPQNASFPFYSPMDPTAAEEFESRITPGPSPYDTIAVPSSY SDTELTFGRRLQRQSLEGGLRLITMPSPPPEKFASVFGFCLFFESKENIIRRLQLSLS RSQHEDLSNWSSPFTNLGGAGTFFQDHASTNGRLSGNTGALPLGNQGIVSYGKPQEMT GMSMGPWGPEVQATRDSRIDYGADGRMQMMLAGFEGDFFDPDEVETYLRQIGIYIPQR AEFVEAEVDLQDLGMDEAMPKSKIFDLNGQQSTFGSADSGYGGSSHSAVWTTNSNGSV SGAMDSSCSSNHHTMMASHMTSGREGSGFGSASSGGERDHGMMLMIPGGQNHMWPQSR SPTWPAKISISLNVNWLISELAKSSVCLGRTPGVRRKDIGNALKIAAGLVPSPA UV8b_00676 MSRLPFLPARNSRHRIAALSLYRALLRSADKIALPTDVRGADSK HAIGRMIKKQFLKNRQLTSFRLVYASIAAGYKFLSLFAKAHNSSSPEYAQLLAYLRAR PARGSACRPRQVLGKPEEPAREPFLKNVSGSDEPRYVTTMGPGTLKGRIPHLCATADG QPFIRLRKPQPRALSKMVGRKGEIFRTKMAKMMAATEELAQDAALEDDWDDLVAEQRS REESTAKDAQAPVRPEYPSTFTWTAQLTRLWWEWQIEKTWRDWMARGEALHRFVQAEQ KHLDELQSNKDLIASPPPSASSSSFSSLSSPSSPSSPSPPSSTTRTSRNRKTPSHERD AYVPNVGANPTPPFPLIASIKLYEQRGGKNLYHDTGNGADPFLGPHWNALVHMEKARL LKWTARGRSASKRNGYSSSTC UV8b_00677 MGRDHATSGSEDEESIGGSELTDGAADATIRRKPRARMPRKSTR YAVAQPAPQLRTKQRHLVQILPRLLLQLQEIGDKRAIPAFDLIPAQLVAGTLIIPKLA KHFPRIFHANAELSQNDVLLVRSEDYASTTPKHSSLHRENARRLRHDKEIIAVISAPS PKGRGEAAEIILEDGLPWSASIMANGSYEFTRIDEDGQVATARWTFSIIDPSSRRHPI LGSLTMETLEVYDSYVTLSTSSGRFPPSRPFGPQAAGVREQSTSSVSFSNSSDSQSRV TVEVLPAQKALMIATASWIQLQQHDWPASVTPKFAKIHVYG UV8b_00678 MDENIGLQHEGSLAGLAASPASHLLANFQTYDPISRANSPGVPY PKADEDDKKRYRPRTFAYFQQLPFEVEEEKERDAALNGILKQLYIAIKAEDFSPGALH WTRELQAWLTLKFEMTRELRAKLAHLYYSLALAPGLDTNTADRFLRMVLTLTRKNHYL KPGDDLTFDWKPLWHEVKGVVLPSEVPSLQSGRRRPAKQLLKLCTHAHAYFDPRDRPA MLQEFLPFFSINDMPNAFIVVGLINSFLPSHPAPQKDVAAQPQEFLPTLFHLWSIMNR SKVVDICLIDLFSRLARDHTNCKHVPFGAHGIFTKPQSDLIFTAILRLTQIPVGQANS PYTALDYLAGAGGYLEKDSKKHPVPYMMARLIVSSLSPSCMDQEDSIMSSLEGLMESI DTFFHPSNQGNWTNMLGQLTLYLAEAFVSRWNREQSSELDTPEGRKISPALKRRFVAA LKEVTFMGLFSKSNRVSNCYYNALQGLAYLEPDLVLPGALQRFYPSLQGLVEVHRTTS SLNSLQMIAHIMSKLKGYRCHITALLALALPGIDANDLNKTQYTLNFIQSVAYSIPFM PLTSKDSHIHDTTLAMQWVQAEMDRMERDGPNVKIDYANELADEDEASILRSSTAGFG EFILTLLGKVFTLLENLPDANQVRGGTPEDNVVNALPAALSPLFASLSPDLFDMALEK LATFVSSHVVHQARDAMAWILNALCKVNPEKTLKVFVPMLVVNIRNEIDYNHAASDRT TGTDYLPRDRALVWHISMLAMTVVHVGGEVLKFKDQLLGIAVYMQEKCRGLPTILVSN YIHHLLLNLTNTYPIDHALYEPDVMDRGLDIDDWGKTTPPAELTIRWHQPSPPEIEFA VELFASQTRSTAEQLELLFSDNPPVSRAGKNKEWSDEVSRLMQQIRLVISGMSTMFDP KRASGDDGTGANGRTGRATDAEGDAGMGDDDDSFEEEEAEEEELRPQFKYKSGYFLKP DDPVYRRIHDLRDQLGHILTKAHAFLTENQEDDVSCSTALCNAYRTWITDVGIERSAH PLERHLRLYKADISAFKIKGLRKVYPRPLLIKRAEAYQLLRLKHNASARHKSDLDKRL LLDLAKSCLSLYADVRRTAQTAQDSSLKVIIGGKPLVLPVILNGLRKAIDANDHDRIK GGMYTLFFTSLLRTITRDWRFAPDAMGLYIETAGIDKPSIQNLGSSALYSLLEFGKAN ERLILVDDAVLNTIRPLEDPVDAIDCRHKIILERRNKVETAKAVLGLELTRKAKGAHW KIATRCAIFALNLCLRFDDLAPPEFVDLVANGTIDPHPGLRGYYLNAFTSLLGAIDMR AVYGHDYTNYLMEKEIGDRNRIDVPVEKGDAEFTRKFLEAFNEPGSAEYLVDSDHPGW LVWGSKFTAFRARPVPFNSYDELEAKVRRQIGSILTKDWMSQCFDYLKQEPRDSSFDR FRMSNVYLLMHVFDLTYYGSTDVTLNDIKELTEGVYGDGSDKHQHRATAEILGALLAG SSDDPVDMRNKVWEFAAPMLLKTLNDGLTPENLQYWLPCIHLILDSRDPRRSREIIDG LRSFRLDMTSNAAFKESSKVQLLEFVLADGGWHFRREEPILDDFLAHIDHPYKAVREA IGKLLCVTFRTRYHESFESVPKLIEANKAASSIGIRPYQPSEKLTAAIRDVFDRLEKW RHEREPGQQTQSSYTSGSKTVLTWLDCALSSNECTMLVPFFATPFMEQLLHMMDVKED PELMKIAYHVYRHLPNIPFREGEDEEFINGLIKIGRSASSWHQRLRALVNMQVVYFRR IFLTAARQRDALFNAVSDMLSDPQLEVRSCASTTLAGMIRCSPRHIRDPLIARLQKRF EDELQQNPMPRKKPNLPGTETPVNVHRQITRRHAAVLGLGALIEAFPYATPPPEWMPE VLATLARKAAGDPGVVGKATKSILSEFKKTRQDSWSVDQKYFTQEQLEDLEGVLWKSY FA UV8b_00679 MLPLVPLYGLVLTALVGLVTPLPREALAHDGNEGPGNPGHWPRS PRDTPPDSAPECRSARGVKFTRYDRPFAPSRSAMYRPFDPQHLSPLKPAQHGIVQAIS NKPAADQANAYSNVAVLYESYLHVKTSGTYKFAFRRPRTVALAWLGADHAREQTLRNA DIAHLNGGGDAAKEKAERALLAGTFYPVTVLWGNKGRHGNLEFDVEGPDGEILSGSKD GNAYFVTEPCGQ UV8b_00680 MKSTTCIIKSGSGQSIESSPRPDIHLPSPSWKGSQSGPAASTIC RDEAPRASDNTDNSRRFKSPPGAGAGYEWRQAADANVPTKMNRTSSPHFRVPLLHAVA ATCNNLLELD UV8b_00681 MKLHDQLSEDSRLDQLAARLVADAQNREDLVDRGLQPRQPHSWT TPLDAAEYLAARCQLVRQEKKLDFDSRCRDRATPLEQKVDDVIRRLRKQDEQTIYNAA SPRRGFAGQQHKRFAGDHFLSNRELIDQTALFDIASHLPKGAHLHIHYNACLPPSVLL DIAKGMDRMFITSDVALVPDNDFASFDSCELQFSITSPDREKPGNLFSRDYKPRQTMR FDDFLRQFPSERHHLGAEAWLLDKLCFHEEEAHGLLQTADGAWEKFNGRTRMMKGLFN YETAYRTYTRRCLQDFMRDNIQYAEIRPNFMKSNQLFTDDGTSRIDNRGIVTMIIQEV AKFQQDMASEGRYFGGLKIIYTTPRSLSTEDVGQSLEECMQFKKEWPQWIAGYDLAGQ ESKGRPLKDFVPEFLEFKKKCAAEGVDIPFLFHCGETLDMGTDVDGNLVDALLLGAKR IGHGYALTKHPCVMQEMKARGVCLELCPISNEVLGLASRAAGHSMYPLLANNVHCTVN SDNGALFRSTLSHDFYQVMIGRDDLGLFAWKQLALWSIQHGCLDQAEREKLLGEWELL WEGFLAWVIEKYGM UV8b_00682 MSSPDDPDWNWFPNVKTSWSLDVVNLLVVIGESSIAEHAQAITA SLPGMLPRILPAPQVLLKPSRPTRMPETHARMTGVYSGTTLDSVGFFANIITPLDNLP PFSFKVLDIEHADSVDAQRAPCKSAGGPNAFFTSLARLVQRRGPGNRACVGNERDSDE EEQEKHAAAESVGPAHQHHLLDEESAPATTEPGAGKGDVRQSTETSPRRQRTVRTVQT TDEALLAGMPDEASQASPASTPSPSGCSAPRRASTSRRRCAQDLFASPTVAVGGSRPA VPAKLLSPVHVLSVFSCLLSIGIIVAAAINRDGTAVLAVTLVSLASTIAGYASSWRPL LMKRKHTNEVPRGDVLIRTREGAFVLVRCSEEVARELYSGTEECEYRVGGNAYRALMA LGTMTLMISVVLLGNCTWELKVLIGSSYIVLNGLYWGLGMMPRSCFWDLSRYRWRDVT PDDAASAHCVTRHHDQREGHPCFTRTLWYAIRETKLTGWVERSGAAPSTDQWRKWLRE AGEAAVSGRRDWPAVQRKNSILKEDLGGRGTLDRAAQHAPATEVRPPPETRQ UV8b_00683 MAKKTSKPASSSTAGAKTTPPPGQLLSLVGSFLTQHSLTRAHSA FQKEIKKNGWTASSDDGHQSLVTIFQSWERAQNSKPESEDGTDDDSSDDSSSSSSSSD SSGSDSSDDESESDGESEAAKKPRVVRKALNRAAAPAKALTSKAKSLKRKVPVDSSAS DSCDSSSDSDSNSDTSSDSDAEPKTKKQRTLKPVAKSDAESSDSDNDTEDNGKMDLDS DSSTSSSGSDTSSSSGSDSGSDGEAEARAAAKVALPESDDSTSNSSDSGSDSDSGSDS DSDSDSELGKTKHKKAKVADSKASSDTSVTLDAQSPVPQPKSKEPPLPPDPVLKDRKK QNEPFSRIPKNIKVDPRFASNDYVSVKYSQRAHEDLIVTKGKGFTKEKNKKKKGGFRG GAIDISHSGSIYFDD UV8b_00684 MPEAMASTKIDEDGRDGLRGGDRNSDRNGDENGDRNSDRNGDCR NGDSRTAQEAAVAKELYPMVNWKYDLFLYLMGNLVDLFFREVVPRGSWKVPQSGPVLF VAAPHANQFVDGLILQRTLRQEAGRRVSLLIAQKSVHGFIGWASRQVSSVPVGRAQDA TKPAPGTIYLPDPVNDPTLVRGVGTNFGTGPGQTHGMVFLPPAKNAASVSNDVAKILG PEEIRLKRPFKGRLAMQQLTGRHDIDQHGNFTNSLLKGPAPSYQGTKFKVAPNIDQTK VYEAVFSRLRNGGCVGIFPEGGSHDRTELLPIKAGVAIMALGTLAESPGCGLKIVPVG MNYFHAHKFRSRAVVEFGTPFEIPPHLVEAYRNNQRREAIGQVIDTVYQALSAVTVSA PDYDTLMVIQAARRLYNPTGKKLPLPVVVELNRRLAMGYERYRDDERIASLSAAVNSY NSQLRYLNLKDHQVQYAKMSMLKVLFLFVYRSIKLSMLFVCTVPGLLLFSPVFVATKM ISIQKAKAALAGSTVKVRGRDVMATWKILVAMGLAPTVYHIYSIMVVVKVWQDRLWGY VPPWVPLWLAYVGIWPVMLGITFAALRFGEVGMDIFKSLRPLVLYLVPSSDFNIHTLR ARRAELSSQVTDLINELGPEMFPDFDKTRLIPEASRLETGGGGGGGGGAPGEKAHRRK DSDQSSTGPDLETPPALSRRSTTQSSRALPRNDSFSNIGHVGIFSTRPPSRSRSRSRS SSAGGGFGSSGFHISGFTTLDSAEGFNEASRKIRQAMKDRRKRSEKLHEVADNAEDED SEEEGYDEARKKNV UV8b_00685 MAPSLKAIMSSVMGARAATPAIPARSELFEATDPAKDGPDCLHD CDSCSIRYPRGFQINERDALYGRVSGWSTHVLVGTGKTDWVRDVADEKGSVMEAISKA KPPSNGRLMLSASNMPTPHNTHDYSEPATVLLLPAFVLVENVRPADASALVAGLVSES PTTSSPLLPALPALPPPPASGRAPDAPGLMVTRACPHQAVILMCSQRTRDARCGQSAP LLRRELERHLRPLGLYRDLDDERPGGVGIYFISHTGGHKYAANVMVYRRPNAFGQDDA GGQDGAGGQDGAFGPGSADVGDVGAAQCIWLARVKPQDCENLVRFTVLKGKVVKPESQ LRGGFDRAKGLTSW UV8b_00686 MPPALSDEEASDLELQTSVAKSVSHSKSFQAEPSSDDEPVVTNG NSRGGKTDVEEDEIEDEDLEEDEFIVEAIKSHMVDEDGSLKFQVKWEGYESKKDMTWE PEENLEMSAHLILDEYLESIGGRDKIFSQTEKAAKGKKRGRPASNSVAATAKRARKNG THPSETAPPATVKAWAPPAGSWEEEIDTIDACEEEANGKLVVYLVWKNGKKTKHDTSV IYKKCPQKMLQFYERHVKIIRDDAKSVQG UV8b_00687 MKVLASAASWAWALSLVGLSSSAPQAAGNGGNGSNKPAMPVVKT TVTDKGQVLDWVPVGAQAAKIASPPPASVMRRAPSSRDAALVHAIKPKDPGPAGTVPI LRAGGPTRPMKRPPPHGKPLKDKGEGEGKKTASKSAKPAKPTQTKKTTGSATSSQAAA VPTTSSSSSSSSSSSSAGNTTSPDARDLNALGARDTYSGQHWWASTGQPVDNHGGTAT YNMFKAFVQSPLDFSLLQVAVLRSDAPNKSQTIEAGWINYPIQVADPHLFIFYTTNGY EKYGDNLCGWNRDVAGWVQYDQYIYPGVAFGPLSSVGGSMYEADIGFYYFQGNWWMST LGRWIGYYPGSLFSQGGVDPTLTLSHHSNQINFYGEVYNSEVDLTTTDMGSGVFPESG FGRAAYMRKMYYYDLNNVAHPYDGSQRFYLSDSNRYRLSPTWNSGTDWGSYMFIGGPG AGGVTGG UV8b_00688 MATAMAPPDSESTTPGKTSAPKDKSCPFCSQAFTSSSLGRHLDL YIRPKNPKPSDGVHDVEAIRKLRGAITRRQPRGSLSARKGSTPAGTPRPTTKREPSSH GNHPLGTTALMPPRDGPHAMTSTTPSKFVFVPRWEATGVMRSRRSLDKEAAAAAAAAA AGGGRAKQPEMQTTMSRQVVHKSQLDMKQKLSDAVDTARAAELALRELLGSWRAAKQH IETSSMPFDFDPLALDFPALTLQCLHPPPTLFSSTQNPTSTSWAIQPPGQREFRALQV FFKETFGAWTASCAAAATAAAAQDVKLAYPPASSNLQKDAREAAQKKEDKVADSLETL VEEHLHSAFAVWDALPAQRQQELWILELARGVGRKLKEVERLKDQQHRLKQENANLKM QVDQLNRLQQPREWGRLLPCAMPNDRDGASWGAYERGARDARDARGGQSITGLLDTED GHVDIATLATKCIERWKNVITSTRVTASGMAAQRPLDRPAPAPSDLNSLVEPQPQATP RGGDMQPKPANQPDQANGKEASSSSVASGSRPVSEHAGPSAGVTGPPSVEEETSDQDA DADADAEMEDDDSFAVVNAPPPKQPLQRQGAAALDVRRTTQGLAQQQLSPPEMQFMMQ NGSASPAGRSVMVMAKAMPSLNLALQGGDATATAMQQVGGDALYMDGGL UV8b_00689 MAFLAPAVRRLSQRTPSSFFICNQCLRQTVRPQPRLASRILNAV RSRGFAQVKSEIPPGSIADHVNFRSASAQAQAQALRKPEFPRTNAKIVGYWLIGSAVS VFGIVVWGGLTRLTESGLSITEWRPVTGSLPPLSRAEWESEFEKYRASPEFKLLNPHM TLDEFKKIYFMEWAHRVWGRFIGVSFVVPTLYFVARRRVTPRMAVNLLGISGLIGFQG FIGWWMVKSGLKDDLFAPGSHPRVSQYRLTAHLATAFVCYSWMLLSGLSVLRTHRLLA DPAAAVSALQALRNPALKAFRRSVLAVTALTFVTIMSGALVAGLDAGLIYNEFPKMGL GFAPPKSELWDAFYCRKEDGSDLWWRNMLENPSTVQMDHRILAVSTFCAILTLFAFSR TQRVASALPRNAKKSAAGLVHLVCLQVALGISTLIYMVPVPLAAAHQAGSLAVLTGAL VLAHRLRVPKATLRVLEQRLKQAANQQKKT UV8b_00690 MPGRQAHGRPLTSAPKPRVGGKKGSKARSQKNALDAFGIAQDLY PTSFKKTPRARELEAAIERKHGRDGNDQSGDDEDDDDEQQPRKKRAKIPPRGAPDDGA EDGSDSEGHEWRLGGLREDDEDSEIESDDAFGDSDNEKFQEYSFRGSKAKPDDDAEDD SSDDEGETLGADAVDLATALDQWEEDSDPGQDVEEASDSGVSDEEEDGQGGEDSDDDD EDGGDGDGDDDDDDEPDSGKLKALEGVISEYGGEPKADGKTKAGAAAKLSLGDLGLSG LNDPFMKKSVKLINKEAKRKRPGATQKLAVPLSRREQGRLDRSAALEKTNETLDRWTE TVKHNRRAEHLAFPLAQNSATAGLDASEMQPLDAAGPANELESAVLSIMQQSGLSMRK APKPKPQPQPRELDDEGNALSRKEALARKRMERELSSREAKRAKRIKKIKSKAYHRVH RKQRERDDAAAREAMQEAGDVDSEDEREAQDRRRALERVGQRHKESKWAKMGARAKRA VWDDDFRAGLTEMARKDQELRQRKEGKTAAAAAAAGGSDSDATSSSGSDDDDDDDGDD DIRRRLQDLEAEDDAPQSGLMKMRFMQKAEAAKKQQNDDMIRQIRRDLDGQQDSEEVE VQVGRQSYGTAKPAASASPLTSTAPSSSKATRGSAAPDHDDNTGVAIITKSQGPTTAS PAPSPAGAWSRGEARRKVPAKDLDVNATILTASRRPAAPKAAAAAAAAAAAVPDDESD SDPDPDPDLDSDLQHLPLAIRDQALVARAFAGDDVVAEFAREKDAVAQADDDKVIDNT LPGWGSWVGDGVSNRDKKRHQGRFLTTVKGINKKDRRDAKLDKVIISEKRIKKNDRYL ASQLPHVFESRQQYERSLRLPVGPEWMTKETFQDSTKPRVLMKQGIIAPISKPIL UV8b_00691 MVFGGLATESFRKIRRQMSNNKSRAIDGERTPLINGETASPAPA GNHHFQQQQQQQQRNGVLTFLFDYKHTPGNDSHSIIVRSAAYSWHITKVTLLSSYVNF LLVMVPMGIVAGALNWNSTAVFTINFFAIIPLAAVLSFATDEISMKLGQTMGGLLNAT FGNAVELIVSIVALRDGQIEVVQSSMLGSILSNLLLVMGMCFLFGGLVHRGQNGAGTE QTFSGAVAQTTCSLMTLSSASLVIPAALYAVLDQHQTDDKNKSILILSRGTAIILLLL YVIYLVFQLKTHSNLFDAEAQQAAEVEHGEEPTMGPVAATVVLVVVTVLVAICAEYLV GSIDDIVESTSISKAFIGLILIPIVGNAAEHATAVVVALRDKMDLALGVAIGSSIQIA LGVTPFLVIVGWIIGQPMSLRFETFETVAFAVSVLVVTYTVQDGKSNYLEGVMLLGLY VIIAVAFYATPSGAFDKSPISI UV8b_00692 MAYMTALYDGSVPLIQSGVYFKTVSRFTHHFKEHVTKYNFHLED GTVWRLYAWRTKGPELDLKVLNNGLAESQHSFHGLIQVCKDPGTKGAEDLFDDGAGVY PASIDISGTAVRNEGSYTFKFQKEGHQHGDLYMFALPHHTSSFDWETKKRVRDVKLQS PTKGMSTLVKGTSWTMVEPHMPVDMGFSPWHPKRGSVHELSGEAKSTIRAAAAKELTQ NMLAQTNLDSMYFSGKALAKFATILYVLYELLGEREMAKRGLDQLKSSFGIFAANKQK FPLTYETAWGGLVSSASYETGDAGIDFGNTYYNDHHFHYGYHILAAATIGHLDPGWAK ENKDYVNLLVRDVANPSKRDKFFPVWRSFDWYHGHSWAHGLYAALDGKNQESSSEDMM CAYALKMWGKISQNADLEMRGNLQLAVIARSLQNYYLYKKDNVVQPRQFIGNKVAGIL FENKIDHTTYFDPNIEAIQGIHMIPILPPTLLVRDEDFVREEWDAFFSNGRMEGIQNA WKGVIYANYATIEPQEAWRFFTSRDFEPQWLDGGASLTWYMAYSAALGCL UV8b_00693 MSKTCKTEIYPRYCFHLSPTVNTWCLLHASEIHALQQHAGFEVV AIDDFPGRRVLTIDDSSGRCIEALVETQALTTKFSASDDGNRSARAKSIVESTDTFHV GPATDQNKDVDVGDVVDVKGSLSLYREEKQIKVEKMVILNSTAQEIALWQKRTKFRCD TLQKPWVLRPRDIRRCRKESEASEANLQRKRDRLRDAPQVGLATKAKPAKLLATSVAS FPARSKLNAASEPVDVGRQLRDLIRSGSTSGKYGALGL UV8b_00694 MAETLQGAVPAATLEDQTLLAFARLMEGGQEDEETCRELDELTK LLNNDAEAQQRDKGHKTICSVIDGDCVDTVLCYLDMRQPDTVRGHATLTTSAYLKAAG DEGSKDLSAFFFERVQRGTYDDYIVAFCVASATFPIVPDLTSQLFLSEGFLSSLGPLM RREWKSRKVETACLEMLNAACMIAVCREAVQKYCVEWLEEVVDQDPTGATAGSDREPK VQGEGGSISMRRHSEQVQHLAAVILAKLKVVPSKPSINDTNQSRVEPASASMEDLSGL FTRMILRPDDHDKQHSIEGLAYASLQPKIKEAINENSALLKKLVKTLADAQPRSPLTY GTLSIFVNLTRYRPTLSEEEKKMNQLKAYADAAGKLAGPDPLDDDDHVAKRCQFVFNA GIIPALVAHGRNGSAASLGLIISIIHSIAVTELLRGPLVQQGAIKLLLVGWAAVPDTD DVARRTAAQALARILISVNPALAFGGNRSIPASAAVRPLVSIILPDPAAERRDLLPRF EALMALTNLASLEDEETRSSIVRLAWPHIEEQLLSSNHLVSKAAVELVCNLMQTSQGV MLYADGSQRAQTRLHILLALADAEDEGTRSAAGGALASLTGFESVVRYVIQREKGVKV ILGMCNDANPGLRHRGVVNVHNMVMADGEAGQLARDKLKDENGVDVLKDCLKQSRQPE VLQLTVEALKTLL UV8b_00695 MDAVDVSEHYEVTTAEETPSLLSVIVDTNPRAWAALDDALPLSK AIANILVFVNAHLAFSNANQVAIIAAHVNRAVWLYPTPPGDASANARVSDAVPCVSPS SANKFPQFAQIETAVLSSMRKLLSETTERDLESTTTQLSGALTLALCHINKASQALGG PSAGVVGGASLNTTTAPPPVKGRIVVVSVSDSEPSQYIPTMNAVFAASHAQVAIDTLA LCGDATFLQQACFNTNGTFLLASKPQGLLTYLMFGLIADTEARQSLIAPTHDSIDFRA ACFCHGKVIDTGFVCSICLSIFCQVPEDLDCLTCGTKLTPGNYGSRPAVVPRKKKKKK RIANGSGREDTASAANTPRP UV8b_00696 MARNQYGADGTLDRMLRLNHRQRGVPAIFIHAGAGFHSHQNEHV HLAACVSAAEMGMKFLKAGATATEAVEAALRVLEDKEITNAGYGSNLSIDGTVECDAT IVDHLGRSGACGAVPNIRNPISLAKLILDTSSLPLSLRRVPPNALVGEGARAFAEEHG MLTCANEYLVSKNSRDRFFRWQEDLLRAEEKLHDARADSSSHSASMGCVPCQYDTASS LDTGQTYPRDHTAAILAGTWNEGQPDSPYGGTLILDSPDAQDSSVFSSRNLVSAPTSA LQSARVQASRPTSGPRALAETSYAGQLLPQPQQYDNKVDAVGGGAKISEARRKRAPEG LLSVSNPFRDGSSSPKKYATLDYYAPTMVGNNLPILVNLHGAKRPLGMENQQNRSGEP VAKRQAQSNRGQDDIITDTIGAIAIDDEGRIAAGSSSGGIGMKHRGRLGPAALVGIGT AVVPCSENDEEGVTVAAVTSGTGEHMATTMASQRCAERIYNGTRRDAGGQDVKDDDED AIMESFIAHDFMNHPGVRGCNSQGAIGIMVVKKCRTGYYLYFAHNTDSFALASMGALE KDPRCTMSRLPDGAKIAKGGRKIRIF UV8b_00697 MANQTPAVVMDNGTGFSKLGFAGNDSPSFVFPTAIATKGAAGGG GGSGSGRPAIANKPSFLTGGAGPSSHLNSKRGTEDLDFYIGDEALSAASGPGYGLHYP IRHGQIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIFFESFN CAGMYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSI PIAGRDLTYFVQSLLRDRGEPDSSLKTAQEIKEEYCYVCPDIVKEFAKYDRDRERFAK HVVSHPGGRQVSVDVGYERFLAPEIFFNPEIYSSDFLTPLPVVVDGVIQQSPIDVRRG LYKNIVLSGGSTLYKDFGRRLQRDIKHLVDARIRASEVRSGGARSGGLDVQVITHKRQ RHGPWFGGSLLGQTPEFRSYCHTKAEYQEYGPSIVRRFALLGGPGGS UV8b_00698 MSAAHTYEFNVSMSCGGCSGAIDRVLKKLDGVESYEVSLENQTA KVVTELPYETVLSKIAKTGKKVNSATVDGLPASLESIA UV8b_00699 MALGFPLFPRLPAELRRLVWDEYLAPFDKPTVYLFSKNFILDHV DPEGEDERYAGMSRVPLVQVNTPPTLHVSRESREATLRWASGRGITLRFRRETQGHVL ARPFDPGRDALYVSRDRWEEFCELPWEEEADADDDAKVTATLQGVAATIQHLALPAFT AYYSFNELGGYLLAFFTGLKTLSSVWGDLPVVRDSGTQTTRTTKTRTTTTTTTTTTTT TTTITRQRQTDDDGTDTGVDPATGDVEQSVAAEIQPRWELRDETAEVVRMCVRDPLDG SESWEEGELNMWMGELEEALLSLEMPEHVYDYDEEEFVVAFKAVRAERCG UV8b_00700 MAPTVPMPAEKSPVYVLGVGMTKFVKPRGQVDYTELGFEAGVKA MLDAHINYDEVDQGIACYCYGDSTCGQRVFYQFGMTKIPVVNVNNNCSTGSTGLAMGR TLVAAGAAHCVLVVGFEMMAAGSLQSVWTDRENPLGTTVGVMAETRGLEPAAPAAAQM FGNAGREYKERHGARDDDFAEIARVNHAHSANNPYAQFRQVYTRDEILRSPPVFAPLT RLQCCPTSDGGAAAVLVSRAFLDARPHLKEQAVEIAGQRMATDGPSLFSRSAIDLMGY EMTRHAVRAAAAEAGISPLDDVQVVELHDCFSTNEMVALDALGLCDRGKAHELVRRGD ITYGGRYLVNPSGGLISKGHPLGATGLAQCAELVWHLRGWANNRRVPDTRYCLQHNLG LGGAVVVTVYRRADAKAAPRLDDDEVGRRNGLGYNPATRAGGFTREQVAQVRSRTAAS DWALADVQEKVLSAQL UV8b_00701 MRTPPQQHAVHTPPHGISAQPLAMAQQQHQQLLQQSQQSQQQRS VKRPRPVKSCTECRKRKLRCDRLLPCSQCQKSSRICKYAADHDPANLSDASDTEAVDS VSRPIKRTCPPGSGSGSGSANANANANANANANNPSGINEAAATPAKNGGSPGLPVLE ELTLRMERLERHMQGRSPAPTDMSGGRILYAADSTVRGLTVKRGGMTTRFFGQHSPRV MLNVFPEARAFLSGQLQRNAVDVLLRNFRLLHKHRQDELHMAMKPITVFVDSMMPVHK RMTDILPKKDICDRLVTMYFDTSETIYRILHARTFYDAYNMYWEGKLQSETFLPQLLC VLSVASRFETKSRGMGHERSDGVHIPTACALIQSWLDNLKGKQLVDISTVQVQILLIH ASRMITPRLQDSWTKLGYVLRLAMSMGMHRDPSEFEPRMPVFWGEIRRRLWFTLADMD LHMSIACNMPSLLRDGDFTCKPPRNLNDSEIFLSMHELPTTRPIDQMTDNQMQVYAAI TLAARMRVAPLVNRIDSLRDFSEVLDVGTKLERFLDDINYIFPRQGSYSASQKSKQWR SRVILDMHVRRPLLALYRPLALGAADVPLEISRAFLKSSVVILKYMDELDPSLAQFHE VAEMYHQILKPDIIYSSLSLCYYIQPAVRQNSELLVLGNSGGQHVPTETSDELSLSSG ESMVPWSPLRLISTVQESLDLLIKFMSGSDTKDILCVATVLESVKCPDPKVQDMTRNL YAVLDACLRSSGMTKDKLRSVTMDHYGPADSYMHGQTSYGYSNSNMGQGNATAHLAGW TLWEGWDL UV8b_00702 MRQAAAATGSGWAAAWQQLAAAASQIDSASHPPPADHLTEHAPT QRCQVLIDSIRAVWRLATHDSEDDDDNDDDNDDDEADTDDSQAASNVLNGPLILDPRA GKPLQKSQPGATSDQRPAASGQRPAASGQRIAKWRNGEYRVGAPLPT UV8b_00703 MGLAAESDTDRGWGGGAKCIGKVGVVVVKNKSPKRDRRDGRLRW NSELYSPSQAVWAVRRDLVTWGREQDRRRRIVGCG UV8b_00704 MSSRQLRRLQQQKETEKSQQEGGPDSDETGGDADEPGQTSIKSR PNLFAALGAGDDDGDDGDDGNDDDDNDDDGDDDGGDVGKDVEARLTESQSLDSPARAT SSAQKSKQKKKKKKKKAKTKTKTKTNETKPPAIDQDQDQDEDEIDKAIKELRPDSTAA SGNSAPDVQGCGNTLGPRKRLNQLLSINPHHLRAINEMRHLFGREIIESANAEEQQEA TNRRRQRTPQHVDLETFLREPPGAKKLPEVSLRRNVFIQGREHWPRQSAGGLTLKEMG KSDHGLAIEYAYVHEKEYDIIQAFFFASVQLGDPMRMVHLLKERPYHVSTLLQVSSVA KQDQNMSLAAELCERALFTFGRVTTSAFRRDIEQGRARLDFRRPENRQFWLAGHHYLR SLIRKGTYRTALEWAKLLFALDPGDPYAMRHYIHFLALRAYESKWLVDFLQQLESLGS FPDMVYLRQSLVLAKLQTGEVESARELLKHGVQTVPWLYCALFQELNLDAPPSIWGIS PACDTRTFWTKLYLHQAKDLWNNAQATKLLQDVTATLDRVDVSRLAQEDGAVDLGSVR LAYLEGHTSLLAAAPRHLLDGQPNYEFDPLPPAEEDNIFTGQGTRLPWRGRGEQAQGL AIDDELMARMQNRLVRLGARAGAAAAAAPQGDAGFLGMNHLGADNDDDDDEEAVLALR AADDEELQRDIEAHLGRDAGQGIVATVLQMLGFGRQTARSGEDETLPEGEEDVPPGAW PEDGRTE UV8b_00705 MSEFLGSRISLISKSDIRYVGTLHEINSDDSTVSLENVRSYGTE GRRGRPQEEISPSDQVYEYIVFRGSDVKDLRIEEHPGIKETKPPVMPNDPAIVGVRAR DSTQSQNRPGPQGRGGFHHPYPPQNNFYGGSAPPPPGSWGRGGPPGQMPGPGFAGVPY PPPPGWFPTGQSYPPGAPTVPAATGAPGAWSSPQFPPGPGGNPAADGPQRAPSSGPTQ DSKPAAAVEQAKAPAPVPTEPNSLAQAARQVVNAPKPPVESKPSAEDVKAVATSLKNG SVPAPAATSRNTRPTPALPLTGSTAKPFQLPNTGRPANANAKGPSNTAPSSVEDATNA ARAAVALAMAQLEGSGSTAMDNLTKKVNEMRVNANKSAPSGRGRGRGVRQGGAAKVEV PDSDFDFAQSNAKFNKEQIAKEAGAGGGGSGGAVVSPAKSVRTEDKSGLGTSNAAGPY NKSRSFFDNISSEAKERAENGGQKPGGAEWRGEEQRRNMETFGQGSVDGGYRNHRGRN RVRGGRGRGAFSRNGRSGNGGNGGNGGSRPQHQATAVNQ UV8b_00706 MSNPLDTDAGSELFSSYEAELKLVQADLLQKLDQIPELSGEARK SAVAQAERALEEADELLDSMRLEKQNIPTSSRAKVNQRFRNYEADIDAHRRKLSGLAS DRAALFGTRYTDEPAGSSDLHLEQRQQLLSGTDRLDRSTQRLKASQALANETEAVGAS TLADLEIQRNRIQHTQSVLLRSEGYVDRSVKTLRGMARRMATNRVITIAIITVLVLLI VAVIISKFR UV8b_00707 MQGDLKVEFPPENEENRLSVVIPSQWRVVVLAGQMEARFLARRS KNWRLVPTLSDPVECRHGHYYSNSEMVGSAPTSLYSVPHTCTQIMIFSGDAHVTGCGG NAAMSVCSL UV8b_00708 MSLASASVAVRSALAGPGRHDTAGTFSPFLGDVIESHLAVQSLC SCSWLLHSTAPHVLSSLSRSHAPVFPWFSLVSMFPCSMVPLFLSLSLSLLANRFHVGH PARCRLMFFGLDLIKSPSKRLVLDLFASLHAFFLLLPVRHLISLFPS UV8b_00709 MSRLANCSARKKVAIVGSGCAGIAALWALNRTYHDVYLFEAADR LGGHTNTVRWKAGKYSTAVDTGFIVLNTATYPNFINFLKKISVATEPTEMSFGVSRDH GLFEWAGTSLGAIFCQRKNLFSLRMWRMIFDIVRFNQFALDLLINEGEEDAVKETDDI LQSVGETETIGDYLDREGYSEAFRDDYLIPMTAAVWSTSPDKCSLEFPVLTLVRFLWN HHLLSTIAARPDWLTLKEGSQSYIDAVMKGFPPNHLFLKTAVRRLSHDQGGSVVLHLE NGKTATFDHVILATHGDQALSILGPSATKQERDVLSCFQTTQNEAVLHSDLTLMPRNR KAWSSWNYLTLSSPSKASVDQVSLTYNMNILQHIPRDPFGDVLVTLNPLHRPRAALTR ARFFYSHPLYTPAAIRAQRFLKHIQNKRGISYAGAWTKYGFHEDGFSSGLLVAQQHLG ARLPFEFKDSTYSRGKRPKLGLLDHLARLLILLIQVFVVQISERLAGTDSRSVKARLG GGVKLRRAQD UV8b_00710 MAQIRAHYDRKNKSVAYYTMSVIVGTVALAYGSVPLYKMVCQTV GWGGTPIRVSGGGSETDLAGRLVPVTSANRIKVTFNASVSDELPWKFVPQQREVSVLP GETALAFYKATNRGTKDIIGVATYSVTPAQCAPYFSKIQCFCFEEQRLNAGEEVDMPV FFYLDPDLLNDLNMKGVQTVTLSYTFFKARYDNNGKFKAPAMV UV8b_00711 MAKVSKNVASSRRKSRAAHFKAPSSQRRVIMSAPLSKELREKYN VRSIPIRKDDEVTIVRGSNKGREGKVTSVYRLKYVIHVERITRDKASGQSVPLGLHPS NVVITKLKLDKDREDILARSKVGRELSANNKVSA UV8b_00712 MGGVSRSQSALCQTCDVRVCRPTLNLKAAAQLLFSSASIWGRSG QIMDWHSPLSENSVDFVGKDKNAVNLSARISPRQPQSPWFSRYTTGEDQPQYMDRAIP YYGTLVLSQFVAVCVAAAAHVCVRSEMPILLSFRKYISLSIVYRFFPLHGQIASPTLH SNLH UV8b_00713 MQSFSNQRPFTPRHLSISATHSSRSKQISATRSSSLFFRSSEPS LSLFLLKFNGSGESDYQALENQWPRFWSRQTLGLWPQVAPILTFIQANVRIVGKTHNF PTLFIWHSKETHRSFFFFAFVHVKMVSRTYTVRELLRMRQVFTDKDLYNKLFEKLRKD RDLGEIFRMSSERSLPLIVEEEMLDKTADDASAAQESSVRQLDGTDAEWKYRGRTESE HGEHQPIGAPAGLSAQKDEGFQRFYKAVVSPTHVRVTAGGRIVPNTRGSSSPTAKWAK EKPGGDGSNLQRPAGHLYGSMSGIPVPATLTHPSFGPFPPLFPGFVPSMQPGVAPGPA PPFAMMPWQMGLSMGASYAMVQTNPSQVHQPKPSVKAPTTSGTGEKEDYSANPETSSS LRVSPPEHFDHNRPFFFNGQWVMPPGGSFYPYGVGPIPGFPISGVGGPAIMPSRFALP PMMHPTPTKLDQAMHAQVASSSSAPSVAGQSPVPISSIRPSDITRKQIEVLKSSLRYF EDQLQYNKHQIDEKAMESQADMIRQQIQQFEKNLKAQLESEQAHYVVPEQQKHHAGLT PQHEGKESACSTANDVRSEGDSSQSFTSHGMLVHPQPGKPQKALEPLGPQLSSALRQV KSASAPASAKITPESSEGNEPIKKSSTLPVSAALAPPFHPRADSAASVPVPPTNLRVS SRCSTEDSGFSAVAEVPTASLESAGSLEKPYLVGRLPDASRQESTTTGYLYSRELTED ELRARHMYWGKAPRHLQKGLPKFDGKDFYPPSPQKRHSSMYTTDAAVSLPAIPSVDAG PNHSLAAPVANIDPFHSLEIPSQRPLRNVMGAATQSENLSGSDQLSESGFQGQHGVSL SCGSAGRSYEDFRKALQSNTSLSPDGGKEKSSDEGDEGSNILFRGRKYMATNGKNRHE IWQRVWKRNKASATAVPGTVSSMTAKGVLPNYAGHATASLAPAIAHSSSSPKGSSSKP TPVADFSTPNARHDKTGNRPIIAVGAKEAS UV8b_00714 MSPLTPHWHQPSHPAIQRVVINSAEFTSKSLSKVALPPFAVYAK LDFPPCTVAQDPTYATVQIGKHSHLNLNSDLLYINHSCEPSLIFDTASMNILVGPRGL QPGDELTFFYPSTEWHMAQPFTCLCGHASCRGTISGAKDMPPQQLQGVWLNEHIRELL DDEQRGAHSSPAAADPTAQALAAALLQAEKVVEVTRLALRTYASTLSPRHSPGLLPNG KANGFAASNDLAAAEGLNRRGPTSRELSGEMGGDTVGL UV8b_00715 MPRQYVLFGRLLPRFAARRLTLLLLGLSVVALALIFTLPSGIPS PPRLAVPNNPKVVSHAAHLPHVGGSLSKSFLNPFRQKSHTPPHNDKDEHAGSSWLADW KWLRVPFSSTVTLDEDRALLPPLKPRQVIYCYYDATAKKSGEEKDAESGLLLTWRRAW WAQGFKPVILSAAEAMNNPSYDIVQRLKLDADFKTDLMRWLAWESMDGGILAHYTLLP TVPTEAPFLTYLRRGEYAHLTRWNDLGDFLFAGQKDQVKTAIKAVINMDPAKLKEAKD IISAVPSEVFKVDKAVMPLAPYTPAIISKKYPAVAQDLGKNRATGLQSLNRLITTHLH VAWQNRFSDGIQVLKPHPDHMGALVTSALRLARELASCADSPMPGSCPPNLPKCTPCV AMPPLSVSAPTSFRNSSKTFTIGTVPHPWTLASLKEMRQSFNVSWIRQESPRDWWTTT VTRDLLGTGVSSTTRVMVLKELVAGEHAAARSLWIAAETDMPSDMSWYFGFAIPETGL RDGHATAPVPADRLPDKQNKQLHRENPPVASPEERATQQPLIDEAKRVIALTEPTEEA KLRASLEAWNMADMEIWKFVRTFQSRRHQERHEWDKTEAKYSGGSGTEQGRSAWNRWQ DRKPSSVSSAEKKHHGGKG UV8b_00716 MSAHVKAFLADLANAKQSQFDNVHRVERGDSDGEVSFKYTNDLL QHPLEIQILATDVTSYPNGSSFLIFTSSETCDAEILHHLERISKSTAGHRLQHVIQAV SSKLNASFQSGEREGSTNEDLTDDDYEPIDVDDDYFGFAEVKSSPRGSAAGCRSDFDG LTRLQEDLEAARLAGFSVGIFDSGNDAPVDLVSLSMRISKLAISSTTLHAWDLGTADY LVLLIRFTKRYPSSTPFTALLEAKDSVQFRFGKCQSPKPSGECARLAFSSEKPWFYSA ELGRSRGESRLETAFFPIYMSNSINSLLNQHFFAMLTLRREQNCTWDDAQARLIQEKR QSHEAMMEISYSSPVGVDSGPKDDNKASADAPKFLTADCVTGDQGSLLSFPLAAAQFA LLRLCKCTEYCMVCHQRLQSGFETLKPYVCSQELCLYQYLSLGLGPNIEHEVVNSPYV VDLLVSFFHAALTLDGLREFPRGLSLKVPVKGDHGSQIQAEICFEAGKIRVPRDAHSR SKDVKSPSVHEGDWFLLVVRTSKGSANHSCMVTKRIQDGLYCFESMHVAVQADGAPAG GGELAVVEDCGLKEVWKPANIFPYAVDPDDLDLENRKSTIRDLVSVIPSVLELRAYLL EAPGRKLCHCKRMNRSALSLLRWIVASNTSYIVQDGAVPAAAAAAAAAAAAEGSPGTT TSPAKQTDMAAPPNPIIGLDKDWMQFRFAQGSPEREHRFLAALEGMRNSKGFDQKYPT LFAWHGSPMRNWHSIIRTGLDFQSKLHGRSFGNGVYLSKNMLVSQEYCGAERGRSNPL VKPVSGLEGVPPQRQQRGGDNHWPGSELRIASAISICEIVNSPKQFVSASPHYVVDKT EWIQCRYLLVKVNPTSNAAQSPLFSSTGRPSADYMDQDPKNPILGPDGEPLGIPLPAG SATRWRETDANEGAGAPASEAAIDYDSDGSHVGGDDPSILTDRFRETEMEGRGQKRQQ DCASPSSPAAKTPANPPQTKTSRRVKEVKPESQPKGQEGVGKLQARSTFQPGALDHGS LPQLPPPSWADSSGAALQTLSREVKELFRAQEKEDITLLGWYVDTGKITNLFQWILEL HSFDPELPLAKDMEAKNCASIVLEVRFGRDFPISPPFVRVIRPRFLPFHQGGGGHVTA GGAICSEMLTSSGWSPVMSMEKVFLQVRLGLSDGERPARLDRGPLENRDYGIGEAVAA YRRAAQAHGWGVPEDLSRMHSSWSDM UV8b_00717 MDRMAVTPRDALAAHGHGASDGHHARSVADLTFDEFLAEATRRR KLRSAALQKRSGVLGESRNSDEVNALLQEYHDFCRRKRAGTRSIGGQCSGNNKRMKRI NCAIPVDGTMGNKWTYAEHCGEGQVCTARALMAYNFVRTNNRKVQWPTCVDEVHVDQP AEEPADEPAGSSEAGYSGYYWAGDNIQSPGTRDYILAQASNLAGGGYGRTHFHDTAGN KGWAWKTWSCFSCPPGRVEIFAHKPGSVYGFVF UV8b_00718 MYGSYGSSYSSMSTMSAPLDIPFNSIRSRDSSCAFPSWPRRSSL SDSEHEEPRATSYLSDDDLFLSDSCDDDNRSVSSYSSSSSPATVALNPGPHVSEEEFL RMEYERVAVQKEYLRQVKLEKERRRQAALRARKSNPKRSPKSKQTSLTTITESSE UV8b_00719 MSRRPNAVPVLDLDGESPRRSIWQAAPLKKRQIQDTDADSSARK QTRAGEDSLLGRRVYGFAEWLRADKVDSADEMDSHKTPPRQCLHADCSATTGRGFTRP DPAFCPETRREDLHTACPHADKTDPHETPAPGLGLACPNTEFPDSQMHQPACGSQTGR AGHAWSCQLSVPASSRRDRA UV8b_00720 MELSSSASEADSGSPPTPLFGNQDEQDEQDEQDKQDEFEQPRPI TNGPNLSALGSLFPFERRLDLPHFRPPPQMPSLLSDSQTTHLRDTSWLNCSVSLLYLL SRG UV8b_00721 MGVCDVGERPIAKAMPLVFSVLRVSGPLQVQLQSSTERPKRIGW FFSFRKRRRQKERKLRGPNLVHCNPGLFYYFIPGKCFNNDPLSCNHVNPAKVSATRF UV8b_00722 MVLSNAADRKTLPLEFHPGTLEDTPASGPTCLPGQKPCLAIFSS LFISFAFWQDHPPSLAALTTIQGSHHGSYSAMTAVWPFDAVGLYGVKSKAPASTWAPR RDCYAGWRWGSVTPL UV8b_00723 MSASSRNRTAKSSGGSSTARGDRNQDVTTMPPVGGGRLTVSYDA GQVAIVWDLMTGDEVARFTSYETLTAAAWMRNGNVAFGDTQGNIILFEPTTSQALSSR TPNQIAVTALAPSSDCRTFAIGYQNGSLLVATLQPSFTTLHNLTTSRAPSPIVTLAWH ASSSRQKSNMLAVQTHDGDLRVWSVAKSYSAGEPAKVVRILRRRESSVAGPNWMGWSK NGRIIQYSDSETLSWDVRTKHVTFDSIPTLEHVRGLAVYGPGASLFTVGPNGTVQQFD LNSPSIMVAKNQHPANHLPPSPPNSVEELGGKTANSVTTIHTSESESSSVPFEIGVSE SDEDQASPFVRFSRRPHVDSSGGEMSCESASLVSSRSGLSSLSKSSASSRTPNHHQGS VRSRGMTEYTFISAGSSLKSSNVGRDDLDNYSLGYSLPSSVPSMTSSRPRHRPSRLRH EVPRSPDDNRVHDLFKFTRTRLSDIPYKHPMNADPSRLTNDDLRKQMLSTIFGWHGSV EDLIRDEMSRHPAGSANRILLAKWLGDMDADVMVGSSENMTSSDWMLLALSGIGGQPS QHKLGRAYVQRLLESGDVHAAVTIMLGMGDNNDAIEIYISHKRYMEALILTCIAFPSV WERQAAIVRKWGEWAVQRGQQQLAIRCFACTDQESSEPWTSPSAAQLTFQSIDRSIPE TLSPPLSPPDVQRGPRRSVAKTSALKLITSFGDLSEKPRFYSQGDGGQTPIAAGVTPI ADSAVSTAVHDATTALLRPSSNSRFNTPTSARPGGSSYGRGRLPSIGETFSDPNCDAL QTAQTKAQAPQESRQGSMRMLAAEQDNQTIGISSQRAATASPMMMMRDGYRKVVRSCD GERPPSPNQDIPSTMHETRSNHRNGSRDRIPRGINLELENLEQQAMVDVNCPDPFVSS SARFHWPSRRRGPGSVASSITSNSSAGRSLRANQRQRDEYINSFEAALHFSKRIRSHG GSIERARESSRDGHASRERRAKSREASERRGRSCTRGWTKTKHSPTSPIPMSPEDLAK LCMPKCLDIGEPVNAGQILGGQSFKTGSRTSSPGIQRRSPDGRPPLQEGILLGRGIGR YDDDDDDDARQSSPPPPVPLSAIAALHYQGSEDEQDFKKAMMEQEIFRAKHGRSVSRG GIGGGLDAPMVAKVEWSESYLAEAAEAPDMLFPAVSHVRAASTDQAGDLRKMKDERQR KKEQAARELEERRKSLAKRPQAPLIPHPNEFNNPATRDGVELADARGNRDLPPRCATE PPKSTCAMSGQHVGLPATPRAMRLMREAENSSKLTKDASDVPRISPLYSQSQHEASRS CPRNEPGLESLALLPSTVYQPPPRLGIHRSMSAPIPDEPVQARYTRKASLGGEVRGID EVMNNGQRRRSQEEPVPPPPAPPAPPLLKELQHLAMPPPPPPAPLPHAKRSSDGGAFG SGMIEIVMDDDDIGPVTAAPNDGVASVAPPAPPSRGHNRGGSAGDGGFPGRFSKTGEP MGSVSRSRKGSVTSTQSPPLETAADAAFHQGNCTLRSPVTSVPPPIMYDPDAIRSPIE LGVKHVSTGLDTSDMI UV8b_00724 MAMLTTAPRLHVRRPSPTTTEFTVTTLPPPRLSLSLLRALLGLA RLVLSLVTLLLLYAAWTASPLSAGRSLAARSAAVDAYERSPAGALAAAAAASLPPWLL GPGAALAFYLLARRVHRHESFLVLRGLGVQTSESPASYLAGAATRFIPTEKIQDVFIT EAFCGFQVRYYLVVVVEGEEDLVVVFPGLLPRRSIVEEVWRGVRECLYEAPRRDGEQA UV8b_00725 MSPGNYNSWTKTGLIQRVKELEDELRARSGASGAEAAALTEPVS AGTETKKPKGPKARRKMDPSRYSSRFIALKLAYLGKNYGGFEFQAVGNQPSIEEELWN ALTKACLIFPEDERIVQFDCCDYSKCGRTDRGVSAFGQVIGLRVRSNRPLLRKPAADA TVSAAAASQEAEAETETEAEAVAEAEGPGPGGLSQQDKPFDDVHDELCYPMILNRLLP DDIRILAWCPSPPVDFSARFSCRERQYRYFFTQPAFAPDPAHLEAAPPPPSTTTATAT ATSTATASTPAAGGSVNGLKTGWLDVAAMRDAAKRFEGDHDFRNFCKIDPAKQITNFR RRIYEADIVQVENASFALDYLQQPSFSASGTRGDDASGSRPKVYYFHVRGSAFLWHQI RHMVAILFLVGQRLEPATIVSELLDTNKNPRRPSYVMADEVPLVLWDCIFPDLSQEDH AAAEAPRERNDCLDWIYVGDEHASAKFGQFGVMDTTWQLWRGHKMDELLAGQLLQLVS RQGKSGDEDGTAAAAEPAARVGSKSKSKSKSKSKSKGTGTGTGTGTGSARLFEGGNGA RLGGRYVPVMKRELLQSPEEQNDRYAKRKGYADAGDMRARKFCTCE UV8b_00726 MTNPSTDRATAARQQSVMDREVVKLLLVGDEKCGKTTFLSRLSA GEHTNPIPLLRDIDQPFMFNINVGRNEFCLEFSDTSCPDNWRLLDPDVIIICYDISQR LSLINMKRYWIDQVKMTFQRADTLPIVILGLKRDLRSEKDPNGIIYPHEAYQAAQSIR ADRYVECSAVTGELLMLAFEDICKTATKTATAAGGQTEGGCVVL UV8b_00727 MKIIEAQSAVLSSYEVYRHLTDQRDSYKQKKRRGPPNFETVVRE LLQYLRTEPSPMSQRPLTYTPDCISRLLEKLRPYELSKGEVVMILNLRPASVAALNTI IEDMPERFPDEEQEQMVNIVAEILGQFEVAEAEDGGDAPENGDVKMDEASAAP UV8b_00728 MVRNIVLLGGNSHPQLTENVCNFLGIPSCNRILTKFSGGESRCE IKDSVRGKDVFIIQSGSGHVNDNLIDLCIMISACKTGSAKRVTAVVPLFPYSRQPDWP YNKAGAPLSKHSETPRKDYTFESVPATPRPGGPRSHGLTNGASHLADKLSKATISETN GSDYLPKRSDTLSSVGSNGRGGHTAEGSVSSQRSFTTHDYENPNSVAATFQAKPGYKQ WVAQAGTLVADLLTCAGADHIITMDLHDPQYQGFFDIPVDNLYGKPLIQNYIQKNIPG YRDAVIVSPDAGGAKRATAIADSLKMDFALIHKERRPIKFTDQRNASMMLVGDIANRV CILVDDLGDTANTITRAAKLLKKEGATRVYALLTHGVFSGDAISRLNASAIDRIIVTN SVPQDEHRRLCPKLDVLDVSPIFAEAIRRVHHGESISRLFQYD UV8b_00729 METFKALFMKPDPQAQMRKCNAAIRQNMRKLDRDIAANKQNEIK IKNLIVQADRRAQRDPSRAAQARREARDFARELVRHRRASARLVTSKAQLGSVQMQVN EAFAVRRIEGSIRASAGIMRDVNSLVRLPEYAAAMRDLSVELVKAGVIEDMVEDVLPQ DDDGLMEDDEAEVDKVLGEILKDRKEPSLPAAPVPEPQKPAAREDEYEDEEDAEAMME TMRNRLDALRS UV8b_00730 MAEVATPEVLWAQRSSATDASKNFIHLTINVPDVPKESIQLDLK PTSLTFTGTSGTLKRKYHVELPFYAEIDPADSKINHTSKNIEIKVQKKELKEEYWPRL LKDSHKLHFLKTDFDKWVDEDEQNEAADDDFSQFGGMGGMPGMGGDFGGIDFSKLGGD AGMPDLGAMGGEEDEGEDSGDEDDNDMPALEGEETAEKAETK UV8b_00731 MPPIYTSLDAARAARSGTFITAWPSDEPYLPPPPIDLLTREMAV TVTVTVTVARLPGAPSRQNPPPRPPRPPHPPHPPHPTASRRSSTDSLARPHADSLPAI LNQPID UV8b_00732 MQHLSTVTCISATAALYTSILPYSVSPVRSQHSRITTLHDPSSS LPPRPVKVTKIRLMRIVICRMSRPATLTCSTPPLVDNSSDQGNFC UV8b_00733 MSSKLDKPLDDIVSAKRQSARNRRVSQRRSTGSNPAAPVGGIQK NSRPARGAAAKPAPAKATASGESKVIVSNLPRDVSEQQIKEYFVQAVGPIKRVDLVYG PNSVSRGIANVTFHKADGASRAFQKLNGLLVDNRPIKIEIVVGAAQADKVMPPIKSLA ERTAQPKPQPKSAASGKGGAGGGVGKASNGAKGAANKKRRGRSARPAKKTADELDTEM ANYFAKSDEGANNGSAAAGGDAPMEDEIM UV8b_00734 MKFISLVAAAGVAYANEVAYCDGNKSLSNPDCDFSGRQTRCCLS YKAGPFVQQELGAVSQNLNSPETHYTYPCKSGSRQGVIYCLAL UV8b_00735 MLQVLSTQAQTLVVELADAICHSIVVKENPLIACLSASFRITMI SDRDLFTLAIFFGAASMLLIVAYHFLEANAAPNAAVSDKVEHAKS UV8b_00736 MPPKKTEKGGGTKKPSASKQVEDKTFGMKNKKGAVAKKTIAQMT SNLKNGVSPEEKKKQAEKAQREKEKKAAEEAKREADLLLNKPAQIQKVPFGVDPKTVV CIFYKKGDCEKGKKCKFSHDLSIERKTEKKNLYADTRATEEEEEKKKETSADWDEEQL RKVVLSKKGNQKTSTDKVCKYFISAIEDGKYGWFWVCPNGGDKCMYRHALPPGFVLKT KEQRAAEKALMDKSPLKTLTLEDFLESERHKLTGNLTPVTPESFAKWKKERLDKRAAE EQLRRAKENTGRALFESGKWRDEDESDDGGNGSGNGNGNGGDDDDAWNLDKLRQETEA LRSVKEEQRLATMHGREAPPAEEMGPSTGSGDEADETRADETRADKTRADETRADETR ADETPAGVAGDV UV8b_00737 MSPLRGRAEAIPSTALAGLHEARSLLDETVFGTPSTRVVDVLQT LGPWKRRQPLSTFKPATPDLSSVFRASSDPSSQSAAARRLPRKRQRSPVTKYIASKPP TPRCSKTEQPAESRNVGHLMAAELLHL UV8b_00738 MTADARRGQLQNVPGRLTAPAVIVMPSTTRGRDHANSFVRRYRT EIAASSSSVFSTMVAFPLDSVKTRMQTYQYNGFADCVKHTYRTENLAGFFRGVMAPMA SITLVRTMSFSIYQRAKYAYAGWVKKTMGYDILRHVSRAGTYPNLYSIACFGAAGATA GSIIPIIACPFELTKLSAQVSVLLAERAGSSTKSKAVASSYQNKGTLRTMANIIKHRG VLGLYTGFWLHLLRDTLGTGIYFMVYESGKQLGTTMAGDNPNNNKLAVVTAGGMCGLV SWALIYPIDSAKSIYQRNSLLHSRGEKVEPAPKIEFFKRQMYRGLGVSMSRSCVVNAF FFSSFEFIKKRIKTLDDEANRAKPRTM UV8b_00739 MAQNCVPDASGATHSPSQRYLSTRGEDSNCSFEQVVLRGLAPDG GLYIPEQIPQATSWETWKDLSFADLAYEILSLYISPSEIPSAHLKDLVGRSYSTFRSN HVTPLVHLDGNHYLLELFHGPTFAFKDVALQFVGNLFEYFLVRKNEGKTGRERHHLTV VGATSGDTGSAAIYGLRGKKDVSVFIMFPKGRVSPIQELQMTTVLDKNVHNLSIDGTF DDCQDILKSILADPEANKPINVGAVNSINWARILAQITYYFHSYYSLAKQSPNFQVGD KVRFVVPTGNFGDILAGYFAKRMGLPVDKLVIATNENDILDRFWKTGKYEKRPVSEEG QPVAPEGVKETLSPAMDILVSSNFERLLWFLAYEFAESAGMDDQWNKKQAGQEVSKWL TELRTTGAFGPVYQDVLKSAKRDFDSERVDDNQTLEIIRATYTKLGYILDPHTAVGVA ATSRSVADASPHAHHISLSTAHPAKFSSAVEKALSGEPGFDFENKVLPEEFVGLDKME RRVTEVENNVDKVRELVKAQVEQELSQD UV8b_00740 MAPAVIADSDGDESEAGSPLSDPSACPSPPNEDVEAQVPSENIT HPSTSMSTSPGFFNGIFEDQNDAAKQEGIAAIALKRNLPEEAEAAEAISFDEGFVRTT KRESVTRADKSPWDVPSSPEMAKPKRLRRLDSSYTTTKITRGVRRRLEDIGYQSQEDE PSFWGRGMTQGATRRGQAALCMEPPSDDMVSTLPFEDDASVMGPSPTNRSDSQKEHHS AVQPGLHGSPAQPPPRRNISIRSGEAKTNISKARPDSALSIETTSPGLANSRKSKLSK QSPSPAPSSNLSLPPCLNTKHELRGGEAAQQIPRTAGDGNCPVQSDDNGAGGIEGGKD ADVETQTKPALSETKRPRGRPKKTGNSNSSKEGETIDSKAKRKRGRPKKSDEGKEGRV DAADDEETAPRIRDSTPPAPSNEQQEEVNGSNAMLLLPARDTMPAAAAAEERPTAASR MLEAPSSSSTEIPVLGHGKDKASKTLGRAIYRVGLSKKTRIAPLLKVIRK UV8b_00741 MVQSAILGFPRMGVNRDLKKATEAYWSGKISQADLLAEAKRLRL AHWKIQKDAGVDIIPSNDFALYDQVLHHIQDFGAVPERYTKDGLDAVDQYFAMGRGHQ KGGIDVPSLEMVKWFDSNYHYVKPTLQDNQAFKLVDSPKAVNDFNEAKEAGINTRPVL VGPVSFLHLGKADRGQSVDPIDLLNKLLPVYEQLLTKLKEAGAESVQIDEPTLVFDLP AKTKAAFKPAYEKFASLGDKIPKLVFTTYFGDIVHNLDLLPKDIYAVHVDLVRNPEQL ETVAGALGSKTILSAGVVDGRNIWKTNFKRAIETVETAIQKLGKERVIVATSSSLLHT PHTLASEKKLDPEVADWFSFASEKAVEIAVIAKAVTEGPASVRGQLEANAKSLQARAT SSRTNDPKVKDRQSKVTQKDHDRQSEFPTRIAAQQKKLNLPLFPTTTIGSFPQTSEIR VQRNKFTKGEISEEQYDKFIEEEIKQNVKIQEELGLDVFVHGEPERNDMVQYFGERLN GYAFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAVSVSSKPMKGMLTGPVT CLRWSFPRDDVHQSVQAQQLALALRDEVVDLEKAGVDVIQVDEPALREGLPLRSGKER DAYLKWAVDAFKLSTAGVEDATQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDAK LLRVFVDSAYPRHIGPGVYDIHSPRVPSEQEIRDRIEEMLQFLKPEQLWIDPDCGLKT RQWKETKEALVNMVNGAKYYRTKYAK UV8b_00742 MHALWCCSSRSGRPFKKSDFRFTLRSSRAAPVVDTSNPQNDASR LKSEQISPFEGPVELCQFRGAHVEVSDVESSVSTPETGVFNGTKAKIINRLPLQKTSR RNSKVSIGHSDEELTRRAEVRRLRQKRIQDELDKDDDHGSSDRNSNHNVGRPAGWFDI SCPCNGPRDTIEFTVEDCDVASFAASSNASSHAYTSSREECCPSEASFILARTAPSKT RSEARRKQPVVGQVKGPLRERRCVSLISLSQRPSSCEPSSSRLDRILGSDNDFNIRHG SHAWDDQSSLGVWLIAQSFKSTGNSIRQEPTDVVDDLPFSQRLLVRDRLSGVDSIIES SFSKPDRAQVPGPGLLAYAENRQEGIREGAPTELNHPLPPSPEVEVGKNAHSTHKAEE NKGSSNYPSTLPSFDSSLAGSGTNGYVLTQQDLDNLELSPVVCGFFVSHI UV8b_00743 MRHSEGRSSYTTAEEHLQTRKPGGNGGSKAPKPDGSRDTRTAHD SGLSCANTRRRTLPDLDKPLPCLTRSGGLEMKLGSHLCLPPERPKGSTQGTSTRTLLR GKMEKSLSKLSKINPINNDNETRIAASP UV8b_00744 MVPPNQPLAAIQPATSSDAAYIVIDWEIDDPENPHNWSFAKKTY IFTLTCLLVTNSTMGSAIPCMAIPQMIDEFGYKSPEQRVLPISIFLLGYVFGPVIWAP LSEQYGRKILTTATFAVFALFTMACALSPTWSWLLGFRVCCGVFASAPIAMSAGLLAD IYGDAHTRGKAYSAYLVTTVFGPILGPIVSGFSSPTIGWRWAFWIALIYAGLTFLMLL FLPETYHPVLLSKRARSLRQKGHPPNAVAAHDLGAVDIYQLVSKVLARPLRMLFFEPI VAATCAYLAFVYAIFYMSFQAFPIIFLRQYDLSPGVTGLCYLPIGAGACLSMACVWCW DSYVAREAARNASWTRSDEYRRVPLATIGGPLFVVSLFWLGYTSRPSISFMAPMLAGL PFGMGFQLIFMTLLNYLTDAYEIYAASANAAASASRSILAVVLTLATKPMFDRLGISG ACAVLGGLSAAMSIIPFVFLWKGQAMRDRSQFCVALRQRKDELRQKAEREPFCKEREA RFERSCLK UV8b_00745 MASIMQRRMLSKEDEQAAEEVEVRREDQDKINKFSRLHQRELAM EEELKLKNKEKEELVDLSTELELADEDEKIQFKVGDAFFHVPLEEAQEMLEQAMMRID DETRKLEESASTVRDEMQQLKVELYARFGKQINLET UV8b_00746 MAKAYPRATVKKIIKAHSNMNVQKNADVTIFLNYVLFMETLVKE AAIYAKQSGERGLTARSVNKVTRDTLAKFKG UV8b_00747 MAAPATQSAPKAPKKKLASAVGRDKSPAPSIGSGAAADSQDEGF DSPYIKELQKNIRNLNKKIAHASKTDLLLSEHAGKSLEELVLSRVINADQKAQIQKKP ALQAQLAQTEEQLAQYQKVHEQYRSRALADKAEWDKSLDKVKADAVAKALAGFQKSLQ DKLLTLSQFLRLAAYRREEASDPESDENQAIEGVLFAIYAGDESAVSSMLKLVEGTDD KVLSVPGEQLQTSYSVVKTLAQGYKVASQNDDAQPVEAEADIGVADSAQAHARETEAP SETASAHSGQETPQVTSNGFANAGVAGDTANAAGDAQWDAENSLSASQEWVDVKVPQD PCENETVSNDTPAADANPQSWADEQPEASKSSTLADSNEGFHQVQRNKIRQDRDGNGN WRGRGRGEHRGRGGHRGDGRGRGRGRGSMPSRPRRQEEA UV8b_00748 MAKSWLSPPLIMLLGAFFLFSTSVIAGAAVLGVDLGTEYIKAAL VKPGIPLEIVLTKDSRRKETSAVAFKPSKGGPKAGQYPERLYGADAMAIAARFPDEVY PNLKTLLGLPVGDASVQEYAARHPALRLQAHAVRGSAAFKTSTLTPDQDAWMVEELLA MELQSVQKNAEAAAGDGTSVRSIVLTVPPFYTIEEKRAVQLAADLAGLKVLSLLSDGL AVGLNYATSRQFPNINQGERPEHHLVFDMGAGSASASVLRFQSRTVKDVGKLNKTVQE VQVLGSGWDRTLGGDSLNYLIMDDMVSQFVESKGAKKMSATAKDVKAHGRAMATIAKE AERLRHVLSANQNTQASFEGLYQDVDFKYKVTRADFEAMAETHAARIGAVINEAVKAS GLGLSDLTSIILHGGATRTPFVHKALEKAAGSADKIRSNVNADEAAVFGAGFRAADLS PSFRVKEIRISEGPMYASGLKRDNAKKPQRQRLWTANSHLGGAPKELTFHDTKDFALT FYQQVGSQDRETASLLTKNLTATVAAMKEKYPSCVDSGIVLKLGVRLSAENGEVQVPR AAVECEAEVTVKERFVDGVKNLFGFGSKKDQQQQQPLNGDKAKVEPAKRQGSEEAVKR DSNKAATASASSAPNDKSGASSGSSTEEGDEEEGNEEGEEKEVKKKQIVEMPVEITLD KAGVPKLSKAELTKVKDRLQAFASSDKARLQREEALNQLEGYTYKVRDLLEGDAFIAA STEKERTTLAAKASETSDWLYEEGSDATTEVLRAKLKALQDIVNPVQSRIEEAQARPA KLASLREVLERTGDYIDSMHKQIAEYEQWQATAPAAGPDATPPAPSAAAELPDDANRP KDDASSTKDEVEAEADADADADAEAEASSPVPPPPLFSEEELAELESLRKTTQDWLQE MEPKQNKLSKTDDPVLLVKDISDKIRKLDQVSMDMALRAVRNMDSKTKRAARKLAKAK GQDGDEPVRGDRFSPEQFEQLLKKFKTMDKDKDKFKDKFKDKFKDKFKDKTMDKDKDK FKDKFKDKTMDKDKDKFKDKTKDKARDKAKDTAKDTAKDTAKDKTKDKPKDKTKDRAR DRARARNKAKEKTKDQGKGKDKAKQGQEDAAEKPKSHDEL UV8b_00749 MLRIQQTFCLLAAVFATASLAVPQLSFSLDARPKNHGKGRNFAS DWLAAHNRWGNEVARGASFAALAEGEGTVYANPMINDEAYITEVEIGTPPQKLKLILD TGSSDVWVQSTDTVYRVNSKGPWAPKYKPELSKTAERLDEAVWSVEYLDGTAATGIVY RDTIRLGGFQLHNATIESAQIMAPRFETEAGISGIMGLAKRLPNNIMPPSPSFLSILW PRLKKPVFTVDLRRNASSRFTFGYINDSVASGEMTWLASSPDSPHWDIQLELTAWRGA HPTWMYHRFQATVDTGTSLLFLPDALASRYWMSVPGARTAPFMLSGTYMFPCQGAPAL PDLLFKLPRTEHVIRIPGPYLNYGPVEGDSSFCWGGMQSAVDMNVTVLGDVMLKAVFV AFDVEGNRIGLANKLLHDA UV8b_00750 MADAELDGDWVPNGRRPLSTIARSFSQELMEVFRIEESVSDLDQ KVDERRQIVGRNNQELADLEARIREMEDRLRRSRGGQPAQGLQAPKVPSPSKDENNNN NKSRPGTARPIQQAPSSGNMPPTPGASEDGDAEQ UV8b_00751 MLLFLLFTLGMLLLSARRNGQLCQDGQYCGISRIRDKLPTLGNN GGPSSDKTADANLLSPSASASASSSPSPSPSPSPSPSPSSSPSSSPSSSSSSSPSPSP SASASGSGTQAQPSSSTLDDSECANFPNTTSVLTIMKTGASEAYSKIPTQLMTNLKCL PDYLLFSDMSQKIAGYTIHDCLDTVLDKVKQDSKDFELYHRQQKCPVDQDLCNKVHDT AKQGWELDKYKNIHMAEKAYAMRPNYDWYLFVDADTYVAYPTLTEWLKHLDPSKRNYI GSVAMLGSLLFGHGGSGYLLSKATMQAMFGGRTNVANKFDEQAQHICCGDALLAQALK DEAGIEVINAWPTINGEKPHTLPYAEDGWCHPISTMHHVVAQDISDLYAFEKERKFAQ PLRIKDLYHKFMEPHLLEDRADWDNLSDDTVYLNTSMAKYDEWEINRAKKDGLSNEEA QAHKSFEDCKKACQSIDDCLQFRYQNYICRTSRKLKHGKPSAKENEEFKRFLSGWNVE RIKAWIDKQGDCSGPVKWPLAE UV8b_00752 MEPINASSRDGFCSCRQAFFASAQNTNLSRSSLVSRLSSLVTHR RNLQANDFGLPYEYKTLQRVPDDTLRAAPPVIMIVAIRHQNFNLLRLQSSPFSQPCAG LESYTGT UV8b_00753 MDMVRGSRRARHVAANTAAAAAADGEAGGSSTRHQQRQQLAEQQ EETAAAHAHAETTPVATATSSTTTTTTTTTPVLRHGPEAVPQLQPYRQRPRQQHPNQV TPRYSRRTPDQQHLQDASSPVMTGPAPHPFRLHRTAQYTSTRLSMPYDSDESSSEPDL SVLAMTRARHGAGQQMNTLTQDEEALPEQAQHEVQGASVARQLEDEDEDEDDDEDGED GMEDEDDQESEQEEPLNLVDPADVGLKEISNLGRFTVSSHKPGNGVEELRSDDLKLYW QSDGPQPHKLTVYFVKRVGIRDIRFFVDYNEDESYTPTKIVFKSGTSENSLIEFATVT MDSPVGWQQVPIAGTGGEPDGNTLVSYVLQMQILENHQNGKDTHLRGIKIYAFDADAA QAGHAGAADDMSDAPQPAQGWPKPDVSDELGDIARSLAAARLEGADAGFVLPDFMKEP EIR UV8b_00754 MAEANGRGSSSKAPAPAPAYENQAKMDVQPPKQEDLQQSYATLI GNDPNPKGWYGSMINALGACIGTLGAVPFCFCFPNPYKNVHQGNVGLVTKFGRFYKAV DPGLVKVNPLSERLIQIDVKIQTSEVPEQICMTKDNVTLRLTSVIYYHIVAPHKAAFG ISNVRQALLERTQTTLRHVIGARILQDVIERREEIAASIREIIEDVAAGWGVQVESML IKDIIFSQELQESLSMAAQSKRIGESKIIAAKAEVESAKLMRQAADILSSAPAMQIRY LEAMQAMAKSANSKVIFLPGAHQNLQATLNALGPMDNAEGSGFNRNDASYQQDFGGQD SGLNTAINARVIENI UV8b_00755 MSLFVLAETPAGYGLFKAADKKLFKHDDLAAELGRPEKLVEMLK LKKFVKFDSAAMALEEAATLKEGKVPALLATLLEDLKTEKKASIAVADMKLGTAISNL PQFNISPVSGSNTMDVFRGIREHLSSLIPGLEQEIVDRMSLGLSHSMSRHKLKFSADK VDSMIIQAVKLLDDMDKELNVYAMRTKEWYGWHFPEMAKILNDNLAYARVILAVGMRT NMADTDLSEILPEEVEVAIKAAAEISMGTEIMDEDLDNIKLLAEQVIKYSEYRANLSS YLETRMRAIAPNLSALVGYLVGARLIAHAGSLINLAKSPGSTIQILGAEKALFRALKT KHDTPKYGLIYHSSLIGQATGRNKGKIARMLSAKTALGLRVDALGNDDEEDEEQRAIL GLSARIKLENYLRKIEGKPPLPKGANVAPSGEILGAGAFVVKETRRYNTDADGIASEE VNGSATPNKKEKKLKDKKKAKVEVVEEGSDEEMKDGEDGDDGESDDGAATPANNVTKL SSAEYERLAQLAGLSVKKFKRKYERGDVQLNADGTPKVFSKKELKKLRKAEVQSTPSK PEPSSEKRKKRKHEEDADEAESKKEKKQKKKKRHSDAE UV8b_00756 MEGLFFNINNGFVEGIVRGYRNGLLTGPAYNNLTQCETIDDLKL QLGPVYGDFLASLPPNPSTSSLAAKTTDKLVSEFRYVRANAVGSLATFMDYVTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETMPVLCVATNIEELYNSVLIETPLAQYF KGSLSHQDLDELNIEIVRNTLFKNYLEDFYSFVNSHPDMAGTPTAEVMSEILEFEADR RSINITLNSFGTELSKADRKKLYPSFGKLYPEGTLMLSRADDVEGVRLAVDGVHDYKT FFDAASLGGSSGPGNMGGGASDGKTLEDMFYQKEMEISKSAFTRQFTYAIVYAWVKLR EQEIRNITWIAECIAQNQKDRIGNFISVF UV8b_00757 MPPRIEVLHRLGTVGLCLRPALRPATPSFLPAVQTASLSQREKK RKAKQDPYKWAQAQQRKNANLKRREELQKQRDEAWGDPVSGKPTPFLESLDNAGQDSH SQVPRDASGNALETTCELPTTPGLRNHFLTDSELDEATKLAYALGKPMVGVVSAQLGS PLETDAQAVHDRNHAKAVEALKRITSLRNGSAKDRFHANVRRIIDEFGRHKTDKFLAP KPQSIHPNTTPMPGRAGPDTGSSEVQIAILTAKIRNLSKALEINRGYKDKHNKRNLAL LLHRRQKLLKYMERKERGSERWTRMIAKLGLTPATWKGQIDL UV8b_00758 MAPSNLPSVLNATTQDIEMLLAAQTHVGSKNLQVHMEPYLWKTR ADGVNIINIGKTWEKIVLAARIIVAIDNPADICVISARPYGQRAVLKFAAHTGASSIA GRFTPGSFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDTDSATEYVD VAIPTNNKGRHAIGTIWWLLAREVLRLRGTIYNRETPWEVMPDLYFYRDPDTEAEEKV EEDKAAEEDAAPVAIETAAPAAGGEWEAGVPGGNWDGAEAGDWAASGTGEWAEPPKDQ SQW UV8b_00759 MNLSDDNFEHHKLRPSLAWQAGHLVMIHDTDQRKPSVQRGLPAS TSLRRTRARTQTSPQDTTTTRTAKTVTRGLSNASKPLVPLSERRSPQERKPSLAKSDA EPDRRQIASDGASAGREGRQFAVANVGNNGRIYLRPSVRPAHQRHPQPNFSFPITPPS TAVVDSSNQSDKATPDDFKEGEDGFGFPVSSWTPTTTATPLAGDKHTNAHAAMMPRSR QHQRAMSDSSVHETGAVKQQATHMLKVPIFRPRDADELRPRTMDDLETMANAPLLSVN IPSWRLGTPRFTLRGTPVLRGSSYAPTEDPRSSTGSRQKASSRLASTSGPFSSARRPN PLSVPTNHTPLVAQRGSARLPSPRLPPKFASTRLPKHLVTEPAMFTDLTFRPACDDTS IVRYSSSGAVMAATPARLVAEITSPSFLDYELISDFFLTFRSFLEPSELLRMLVARLR WALDRDDEVGLIVRVRTFVAVRHWILNYFVDDFFQEYELRATFCRLLNDFVDDLSRQD AHSRKVKLKILAELKKCWRRVCAQFWDSDEFDDSLGPEVAIIPGGVAGSRDPGLSPAD SGPWATEQGQLGGAAMQPSLVSPSLNPAAAGLRGEPAKPTRVGDFVVLDNRPGTPENQ VGEPLKNSDPSPTSHHRLASMDIVSCSFPGKNMKSLSPCTTHFMAAHPVLSTSLGFST GPVATTPKALVGKRVRPSRAHKRNNSLSDSLRDRGSDKAPSPDQELFAAVPSGGSLVR GNLCPPGHAFVNVEPFGRHGHANRQTTIFCPNGRSFPKDAMSGGAMSGHGMRRLLRSV RKALKNRGQAMHTTHHHLADIPQVGPRGATTNRIPVTAVVPQRPICQNGSRPAVRIDL LGAEVAEDFKKAIREEEEAAAAATDSRALAAGLLRPPNSAPPFLSTCQENRPASPDFS TSTPEILTRQQSERPVSDAAITAGSKSVFISDDAVPLEMPPTHAASLAGNRPMDALAD SFMQSGANPTPPNTPPSQSFGQETAQRSSCVLSRRVIRDAAEEGVVSPYVPDLASTEG KGHGTPVPGTQHGGESPLLNGSSCHPHGRTRSPTSHQSLNSILHRRVTSFGNTTTAPA AGNFNAPTTCGEVVPECHSEVPMPAPLRVLRRRPGGDLKAAEKVGDLDRMALRRSQSV SSFATHTESFRSSYMRHARPNSAGEFEAGASHEITLGAAQGRVNGTPQCQFAERRPKR DLSLFGTYSSKPTMRPSFEVEAQRLAQIPDDEDDGVESALLKLEGKFSPKRRTNLPVV VQGERQRSVDNPATQYRDANDADKTPRVSSTNAQWASKLVEEEDTGRPNRIADELPQM MQPSVRELSSFLSDGSRDSYCSIPLLQRGMGDETTKFVPRVWAEMSMFQTSDEEDSPD ETDEQGRGADEATKKQHSPCSFLQKPHGLESAQPGDGLPAAMTTSSRAGSESFSRDDS ADDTDGSPGPSDDDDDDDDDDDDDATHARVGNRNEQLSARVLATDSGGAKLAASSSRP PSHQMTLAQALAMPPEGGDVASPDEAACWHKKPLPPTPDSTPVVGCCMERSGPTGETS RCNKLGDGAPRSVPVVSPKYSVHLPFILSFDSDMLAQQFTLIEKDALAEIDWKEMIDM RWKNCASNDSRSWVDFLRNIEARGVDVVIARFNLMVKWAVSEIVLTQNVEERARCIIK LVHIAAHCRRYRNFATLAQLAIALTSNDVSRLSSTWDLVPASDLKTLHELEKLVTPCR NFHNIRQEMEMGSDNGCIPFVGIYTHDLLYNAQRPSEIADSSTTEPLVNFERCRCAAA VVKTLLRLLEASTRYAFQPREGVTERCLWIGALTDAEIRKHSQNLEKH UV8b_00760 MSRAPPPGSGGRMPYTAGSSGNNPRMPPGQGRPPPGGAYGGDYG ASQGYGDARMNQGAGPRSPGMPRYQEKGPTAPGAGRRVPLRVEKVSDKTLQSRLIYGN LCAVAPEDFPPSRDGTDLYVLIRGGQPEGEYVVAAKPIQGFPQGCISLTDPQRTWCNI TIRDTFSGEIYDPFASGGKAYLGSVDLEIGFASPSKRTEVPYDEDELAKIFTDTYGNQ ILAPGQRILMDVRNIPLLIIVKTVGLVDLAMMSDDAGKQVYREGHARGILTNQTRVLF HRDGKGDFNLKPSMSKPNSNAILAPDFKFEDMGIGGLGNEFSTIFRRAFASRVFPPGL VAKMGIPHVKGMLLYGPPGTGKTLIARQIGKMLNARPPKVINGPEVLNKYVGQSEENI RKLFADAEKEYKEKGDESSLHIIIFDELDAVCKQRGSGAGGGTGVGDSVVNQLLSKLD GVDQLNNILLIGMTNRKDMIDDALMRPGRLEVHLEISLPDEPGRLEIFNIHTSKMREN NILHPDVNLQELAGLTKNYSGAEINGVVKAAASFAFSRHTEVGQMAAVKQDVASMKVN RADFMNALTEVRPAYGVSEAELEDAVRLGVIPYSQHINSTVQEMMRVVGMIKDDPNKF STSVLFHGPRGAGKTALAAHIAMQSDFPFVKMVTPADLVGYRDDFAKKDYVHKAFADA YKSPASILILDDFERLIGWNPIGPRFSNVMLEALTTLIVSKPPRNHRLLIFVTTSKAS VLKMLEIDGDFAKKVAVPAVSSVRELATILQESRVFNSGDINQVINMVQQRTGSSSIG VGIKTIQDCIFEAKAGGPNSDVLETFSELLLERIQEMTG UV8b_00761 MPLHLLGKKSWNVYNAGNIERVRRDEAAAKAAEEAEEQRMQEID ALRRLAILRGEAQPPPVEDGDGEHDEPRDSAKGPGAGNGRPKRKRKRHGEDDTDFELR LAKERAEPDHQEFIEGARKSSSSAPIVDRRGHIDLFGDEKARAHAEKNEDAEREAQKR KREYEDQYTMRFSKAAGREGARTPWYSQGQGAQQDTPLRDVWGNDDPKRKQRDADRIT ANDPLSMMKKGASRVRELNKERQRLLQEREQDVRQMRKEDKRRERRGTRRSASPESTQ GRRRRSRERGNVTDRNEQGGGASRSRSGEEQRHSRRHESRRHESHRHESRHHRH UV8b_00762 MTGQTDLSLRLPSKYASKTSKPAAEPASPSVEWLARTWTVTHST LSMWRSARNVRITYKPLPSRGGRLRIDDLVEYEPASESGVLKSIAGVDTQAADVGWNW RGKGWLFFVSSHWELLGWGESSATDGSTERWVVTWFAPTMFTTEGVDIYSDKPGGLSE ATYGRIDEALRNLGAKEVVDMVARDMKPVDIRLPWTEK UV8b_00763 MDPDADDGAAVMQAMGFSSFGAQDRPQKKRRCSSAEDDDNNNNN NNNNTRFSQPGRARDAPTGSNSVPAGKPQAGESNAKPSTNTLEIDLGGDDDENERCQE ARPPLAQACGETLAQKVGNHAAGLPARPIPLTGSAASTSHPPHKRPHGLWYEGYYDTT SNENPWEHLEKAMGLETGVSWVARKSQTPAAT UV8b_00764 MSGPFTNVSYSMPLAVPGKGNQLPPSYSHYSMSPPECDDSVSST SGLPSYSSPGLSGSSASYLGSSQDFESAHSASGIDIQEYMQDRLSNSFNPIPLDRSMA VQAQTSGKLNAKHRELVELQKQAQARLAKTRERFHEGMRDAREVRGDLEWVQKKVSSL QAKAARKHPKEYRKARERFPSPEY UV8b_00765 MPASHCAASRRRTFKSSLLIASCERAASSSSARHPALFSPFFSF APSDDSDSLARSRSRRHRFPGTLSASTADSRNNSLWPSSFASLQRLAVGTVRYPALPP VSFAMALRRKAAAVPDGPRVISPSPAPSERVADYSAPTTRSAARKKKMMMMALQQSLE NDGEGDGEGEGGDEVDDEADEEVDDEVDDGIPALRTSRTRSRSPIGSRTMSRMTPSNP DPVAKPAAPGQQGSSEDAALNGKLLAAANGRLAPPQPSGWSWRDFSRSPSPLGLIPIH RHWRTFVHKHEVPRKALHVSIGFFVVWLYTTGTRTQSVPPYLMAALIPVATTDWLRHR YASVNRLYVRLLGALMRESEYSGYNGVIFYLLGAWAVLYFLPKDVGVMSVLLLSWCDT AASTFGRLWGRHTPRLRRGKSLAGSLAAFLVGVATSFFFYGWLVPTVGPMPGDEDFMY KGTLSLPAAVRNALGASSPHGAVTVTGTLALAIVSLWSGLAASASEVVDVFGWDDNLT IPVLSGIGIWGFLRLFGQ UV8b_00766 MAPAKDIPKSNARAWEALTPPLAQWILDAVSTMGFPQMTPVQAA TLPHFMGNKDVVVEAVTGSGKTLAFLIPVVHRILRLQDPTKRHHVAAIIVSPTRELAA QIHSVLLSLLAFHTPSAELLPRLDDDHDDEKRPSTAFPVVVPQLLVGGTTSTAQDLAF FLRHSPNVLISSPGRLVELLSSPHVHCPQSSFEVLVLDEADRLLDLGFKQDLQAILSH LPKQRRTGLFSASVSEAVGEIIRVGLRNPVKIEVRVKMKGGGGAVLEDRKTPASLRMA YLVKPATQKLPALGQLLQRLPLAPQRTIVFLSTCAAVDYFQHVLPMVVPGAFSLIPLH GKHAAKVREKNFARFVRSVSPTILLTTDLAARGLDIPQVDLVVQVDAPSDPKVFIHRS GRAGRAGRKGLAVVMLHPGREEDYVGFLEIRKTPITPLDKPAISVSAEAAAAATEQMR ALAKTDRAIYDKAQRGFVSWVRSYAAHQATSIFRVADLDWADLADAWGLLRMPRMPEL RAWPGDRTLGVDLDWDAFAYRDKAREKARQDALEAERSGQAAKARAGETSRKRKNEAW SAKHSHEDLRVQRREKRRRKKEADKKASMTDDQLAQQSHLDDLIRQVREQNAAAARDD VEFHGFDE UV8b_00767 MAVTIEELDTTVRTFYEGRGDQQKAAQAALNQFKEDPDAWLLVD KILSSAQYPQTKYLGLQVLDHVIMTRWKVLPRDQCQGIRNFIVQFIIQCSSSEESLKR NKTLLNKLNLVLISVLKQEWPHNWPTFINEIISSCHANLSICENNMIILRLLSEEVFD YSAEQMTSTKTRNLKQTMCAEFSQIFQLCQEVLTTADLPSLVHATLETLLRFCNWIPL GYIFETNLIDTLRTRFLSVPEFRNVTLQCLTEIGGLQTGGAGQANSYDEQLVKMFTEV LTTIADIIPVSLDLKTTYPSSNSRDQEFVQNLALFLCNFFGTHLNLIENLPNRDYLMH GHYYLIRISQIDDREIFKICLDYWLKLVQELYEEMQQLPITDLNPLMAVGGMSGSGAP NPTLLMNYPLRKHKYNEVLSNLRVVMIERMVRPEEVLIVENDEGEIVREFVKESDTVQ LYKTIRECLVYLTHLDVVDTENIMTEKLARQVDGTEWSWHNCNVLCWAIGSISLAMNE ETEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNK LFEFMHESHEGVQDMACDTFIKIARQCRRHFVALQPSEQEPFIEEIVRNMGKITCDLT PQQVHTFYEACGYMVAAQGNKHQQERLLADLMNIPNAAWDEIIKQATVNPSILQDAET IKVIGNIMKTNVSACSSIGPYFYPQIGRIYHDMLEMYRATSGLISEAVARDGELATKM PKVRGLRTIKKEILKLIETYVDKAEDLQAVRVQMAPPLLDCVLVDYNRNVPGARDAEV LKAMSTIITKLSALMEDQVPTIMENVFECTLEMINKDFSEFPEHRVEFFNLLRAINLH CFPALLKLDNNQFKFVIDSCSWAFKHDNRDVEAAGLNMCLELITNIAEKTDLGTANAF FQRFFMTILQDVLFVVTDSDHKAGFKTQSMLLMKLFYYVYPADGTPPKIQGPIYTPEQ AQVGTSNKEYLGNSVATLLRNAFPNLQAAQVASFVEGLFSLNTQYDKFRLNLRDFLIS LKEFAGDNAELFAEEKEQQERDAKAADMERRQKVGGLLKPSELEDEEL UV8b_00768 MELFQQGEMWAPQDDGSVEFAFTKYIIKGPSYQYFYADSEKKMK PSPSLADIEGLDLVPISVQDTSLLDYDTSSSAKLLLDLGNLVLNEASVCEILKANPHP NIATYTGCAVVGGRIQGLCFVRYAMTLSERLRRDTETFDKEACLREVELGIRHLHSLG LVHNDINPANIMFDDSDRPVIIDFDSCHYHGQKLGIKLGTQGYFKEGMDFASYENDFF GLLKLREYMFNPDT UV8b_00769 MPRIAKQAAAYKIRKNVLDNISKCPKSIVDAAPKRQTAVTSTPP AATFTAKQTELTTPPPTSIQAAMRVPAEFAAALNNHAMALHRNADSSATQHTSAKHCI RLRASGGVDKMTSSKNIPNIPVPLNQEPPFVILQHG UV8b_00770 MTISRLFASLQTTRFAHIARFLPLLRDNHSGLFDHFSSSSSIDL FRASPTSPQTPPQNSRRNQAVEMSSQAAHPTLLIPGPIEFDDAVLQSMSHYSESHVGP GFVSTFGDTLSMLRKLFQTSDPASQPFVINGSGTLGWDMVAANLVEPGENALVLSTGY FGDGFADCLAAYGASVTKITGAVGGRPQPDEIEQALRQKKYKIITATHVDTSTGVLFD LKTLTDVVKRVAPETLIIADGVCSVACEEIAFDEWGLDGVVTAGQKAIGCPTGLSISM FSKRAVDVVQNRKTEPATYFASMKRWIPIMQNYEAKKPSYFSTPSPQLIHALNTALSQ ILARPLSERFQRHMQVSDKVKKAVADLGLKIVAERPEDQAHAMTAIYLPDSVSIPSIL PKLLDKGIIFAGGLHKEIGSKYIRFGHMGPSAMDPKRPDIDNALEALRAALAECGYKG UV8b_00771 MSFGSDDLTMGEPQRPSFHIGNHDSSRDGPLSDLQYGHLLNQGI TFATTPITNGHFKARVFQIVSDHLSLLERNAEASTSTKTASRADPIVTPLVPHETGLF PSPAVSTYTAYVSPWIDLCSANPFIASISRQVLNLEVNYASFCGVRSIVIAGPGRDAS ADGGNQALAQYSRAVQEALTVGSSLTFLIHMPMYREPAAGQTVETLSSLQRQASGAKP AAREVDLFAAWSSWHHVRSTCKYNLRLFVALQIPRVVPEKDLQNRWFAEPLHYLTMGP DVFQKNKSGFPALSKHHQQMIFAYMRLKAVPWILLCNVGPDASTFKADDVSVLSSGNR PPLTDENFPALGDAHSRTAAVSQEPSARPGADAHMAYLQWLQSQQPPFTALESPTLTS FQDWLQSPLQPLSDNLESATYEVFEGDPVKYDRYEAAVIEALSEWRELNLPTSKKGAV VIAVAGSGRGPLVTRALQAASYTKVDVEIWAVEKNPNAYVYLLRQNETLWNGKVHVVK TDMRAWKGPVISDSAETGPVYGKVDVLISELLGSFGDNELSPECLDGIQHVMAKPHGI SIPHSYTAHISPISTPKLYGEILARSATEATASSTPWVVRLFALDFVCQKVPGHPRFQ LAWEFSHPVPESTLESVNVRRSGGTMGGGGGSMAGAAGANDHNSRYCHVTFVCRTQGV THGLAGYFESTLYESKLDATKGDKVEISTHPERIDQKSKDMISWFPIFFPLKEPLSFP ADTELEVSMWRQTDDSKVWYEWQMEAWTWVGETSRVKVGSSGLCSSRQVACLM UV8b_00772 MSDSYEPKAGKRASADADDSCRKKSKKSDREEKYNPYLAHLDQD STNGYGDEPPPDSPFSGLKRRATTATQAAKVEDSAYNPFTGKAHSQQYFKILETRRGL PVHKQRQEFLDKYQSTQILVFVGETGSGKTTQIPQYVVYDEMPKMTGKLIACTQPRRV AAMSVAQRVADEMDVSLGEEVGYSVRFDDCSGPKTLLKYMTDGMLLREAMHDHDMSRY SCIILDEAHERTLATDILMALLKQIAGRRSDLKIIVMSATLDAQKFQKYFNDAPLLPV PGRTHPVEIFYTPEPERDYVEAAIRTVLQIHASEDEGDILLFLTGEDEIEDACRKISL EADELQREVDAGPLVVYPLYGTLPPHQQQKIFDKAPGPLRKGGRPGRKVVVSTNIAET SLTIDGIVYVVDPGFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRL YTEKAFKSELIEQTYPEILRSNLANTVLELKKLGVVDLVHFDLMDPPAPETMMRALEE LNYLACLDDDGELTTLGGLASEFPLDPSLAVMLISSPEFYCSNEILSITSLLSVPQIF VRPANNRKRADEMKSHFSHPDGDHLTLLNAYHAFKGQAASDPDSLKTWCHEHFLSFRH LSSADSVRAQLKRIMETHGLELVSTPFEDKNYYTNIRRALLSGFFMQVAMRESSGKIY RTVKDEQGVMIHPSTVLRADFDWVLYNEFVLTSKQYIRTCTGIRPEWLLEIAPVYYDL DTFEQGDIKRSLTRAAEKKRRKEAMKAGRR UV8b_00773 MSGGFYKYRCKYFYTHNCENWVWVNNAPCATCLAVGRDDETLPG PVSMQPRDISVPSVQNGVLQYTLKEFVAPTEPSEHWTLRDKAAQPPPAVPVTSAMPSS SGIISTGMLAPGHQGKEYCIPPHSEEWSGYRPPVFR UV8b_00774 MRGPYLAVACSALVCAIPTRPPLPAQTIPGVDAIAARAPAPTAA FDPIRRGLGDDIKSYVDGLASGVESKLSSFVESGILNYPNGFPAGTAVEKSLGVSNAA LDALPTQVMNLPSYGNWTNGSWSLRIHGNVYKLPNVSQSKIDDLADDFLIGTSVKDLN STEQAQARNVTRSIFVIQQANRNVTMNLVNDVAVRANASGGAINARGGAQIVHMPYNT TMEGDFDAFVELKNTTGPRGGYLIPGNETFEIQTLNVYTKGTDSGNATAYLVPPTGLT VISDIDDILRVTKIYEPKQGILNTFARPFTPWLNMPEIYANWSASIKNTHFHYLTTTP EQATRTYMEFIYKTYPLGSFDTRPLNFSDIKATLHIRRFLLDKVFQTFPKRRFILVAD TSNHDVMVAYPAMFHDYPGQVACILLRNTSSTDAGDKFPYDTSGFKGIPQDNYMFFNV PNDLTHLDVENGHCINKTISQNVTFGQQGLPFGLGDKGSSAGQIRAPTIAAILTPLVA MVPLLLL UV8b_00775 MEESSQQQNPGTKLLENIVEAAKKAFDPTTAPEVRRSELEAYNE LIAKTETWILLPVLNSLIKPGILPTWLREPLLQALAVLPLRPDGVRGTMEFVFSVHPS NHGAAPVTQKPQKDGASITHEAVAVASRLLSAVPAPLSPQEWYDGIAPQLFGLFDGDA GQDLAKTAAQIVGFGILGRKQIGAPGKPGWNSFVQPLIEQINPSLTNAPKQKDSQHGD ETGYEIVDLTTNKTLVPGQHLAMALGRLTTLLFSNPSPGLCKRVLNPIVVQLWALASV AHPSERIAQDFCSPAEKLVQTYLKLFGKTENVLPIIHGILCEGSVHGVNPWQYRLAAN GTIDIIGLTKEEELNYGTSFAEIEPRAEKLARLIAVSFSNEEISRLFLFLLRRWIQSK QQRIEVNIESRPTDDAIGSPIGKLAEVAVLQKLMEVAPDQLVTHFNQLMDVICQVLTG DERSPLGSDAVSVVLSLLNLVITATGFHRSDIRPQDLKVIEASLQRLCCSDDEEVSGT SRNLQMLLRYRGEVESMSYSPVSVPSVRQIEDRRVYNLAMNYITGDAESPPPVVSEGL DLLSTLILAESPALDINAVTVLMSGLLKSNEDYINLRVIKVFTQLANRHPKSTTQEIL DNYLDPQECSTTDTRLRFGEALLQVVERLGETFSGEVAQRTGEALLSIAGRRGMRAKT MAKQAREERLAKLKREKSLRDEDADSDEDPNDDEELAKVEKINNEILSQILQGWESKR GSEDVRMRTSALSIFGSALESNIVGVGSLLVSNAVDLCVNILTLERGLEYGILRRAAI LVILGVVRAMHQAKESGRSLGFGLTESSRKDIQRTLSYVADTDNDGLVQQHARDVVES VQSWHVGTLLSQQAATSPSLSRLEGLALNPGRGGIGRPRPQIEEVE UV8b_00776 MQHLATVLGLLAAVGSAYAPGKRHLHFPQSNSTQIQSQLTTTAA PTAAPTGIILPSTALSSAIAPGNATTTQGGNSPVTTRVTDVVTDKTMTLTLGTGSFAS VVTRVYHATIRETITVPCSQGNAEPTASEPTAPTGGDSTTTITETETTKIYRTVKISR TKPTNTPPGNGDGGSLGYPSSANCPAVTVTVTAKEYVTMPVSAVTTVYVTMGAPSANC GTYPAQPATTDDSSGSNGNGGNQTPQPSPTQPPPGTHSASDGDETTTLTATATVVPYP AGNGTHPSGTAYSSGHVGPTGFARIRH UV8b_00777 MTCMPCMRRVSRSDLDSGSKGAAFDAGRPRPSACLPNVSRVVNV SLRTEHNSAPPLSQEVQ UV8b_00778 MSKIYFDVSANGSRLGRITFRLYNDTVPKTAENFRALATGEKGF GYAGSSFHRVIPQFMLQGGDFTNHNGTGGKSIYGEKFPDENFVLKHTKAGLLSMANAG ANTNGSQFFITTVATPWLDNKHVVFGEVIEGMDIVKKIESLGSQSGKTQQKIVIDSSG EL UV8b_00779 MAPDPPAKGKRGQPPNASSRPGQSSTIAQHARSRAVTQSSKAGR LQKRDALNTSSTTKRKQSSLRESWTVSSRRDAEEGEEDDEEDEEDEEEEEDDDDDDDD DDDGAGRRAPKRGRVSDAPGRGAGQGGSGVIGRRGVPQPNQPASLGQGKQRANQPQHQ SSDRASSPPPPPPPPPKPYVHVAPHTRRVRQSAIEAKWSPLANASLTAVSTTLQHAQR PILQRFSDSQLRRTHTSSALRLVAHRIARKISRGLPFPPASMPANVGRAPLQSDGGRE VELNFESVLDGKLSLEKQLEPALDGLEVLRREKDATEAELEHDYAALRNLEAGARSQA REHRSLLKKAHPLTPAPHKQHGPDGRGQPDTTTCPGFRISPDEAAVSGTAFATIKRDD DLHPLAVQLGSHVDSVRANLEQVDGIVPQLASSRAALRAVLLKHLDLRQYEQAILG UV8b_00780 MRFSASAIVAALPLLASAQQDPLGQYKAHFQTFMDKITSHIPNP GIHDAVAAAAAKIGAMKLSILTLENWKETLYEPVASGATVPVEWWVLITGRNKTCFGH CGKVEQAFNETAAKFALLPGSPLMGILNCDDQPILCNAWSASVGSLWSFSVLPPPAPV DIYKKRLNLTSTTSDDLVDLKSESAESKDKAGFVPLNSWFHPFNGKVTELGLSVPYGY LMWAFGLVPNWLFMLIVSFASRSIMSNRMQPPRPGATGASSAGQRRRAQ UV8b_00781 MPPSESDILSHYLLLPAPLTAITTLDQFRTLFPRSWQPSPQIAR LFRDLQAQRNQVLDNVTGNIGIEARMGAAMKREVLRAMLEEERGYFDAEIEIERALFG NASGAKSAKHTLRSVLPELQGAAGAMEVEIEKLQEEEEQLIVSITQTVDGLSDLRYGK LSNAELKSQVLEGLANLDDTCRSKT UV8b_00782 MAPARQQQSPQFHKNEKVLCFHMDMLYEAKIMDIQPGEKPGDGF RYKVHYKGWKNTWDDWVLADRIRPFDDEHKELAAQLHAQLKTSMQKTSKQPKKNAKGG ESARGSEERASGAPQGIRGARRGKDWELEQEESFHNKPMINLPVPDHIQAMLVDDWEN ITKNNQLVPLPHPKPVKRILEDYLAFERPHRQAGSASMDILEEVVAGFREYFEKALSR ILLYRFERHQYIDIRKLWDNAKENAEYKGVCDVYGAEHLARLIVSLPELLAQTNMDQQ SVSRLREEIGKFTVWLGRNCETYFVNEYETPSQEYVDKARSF UV8b_00783 MSFFRVTLHRSAIGLPERTRGVLAALGLRKRMQTVFVPVQPQFA GMVMKVKELVRVEEVDRALTRKEVRASRTPDRGFYVEKAVPRM UV8b_00784 MAQATSNGVNGTNGLSANDQIQRFAAPSRPLSPLPEHALFNDKT RCFVYGLQPRAVQGMLDFDFICKRKAPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQQ VDKAMSKHPEVDVVVNFASSRSVYSSTMELMEFPQIKTIAIIAEGVPERRAREIAHVA KKKGVTIIGPATVGGIKPGSFKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELN NIISNNTDGVYEGIAIGGDRYPGTTFIDHMLRYQADPECKILVLLGEVGGVEEYKVID AVKQGIITKPIVAWAIGTCASMFKTEVQFGHAGSFANSQLETAATKNKMMREAGFHVP NTFEDMPLVLKEVYSKLVKDGTIVPQPEPAVPKIPIDYSWAQELGLIRKPAAFISTIS DDRGQELLYAGLPISDVFKEDIGIGGVMSLLWFRRRLPDYASKFLEMVLMLTADHGPA VSGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAADEFTRAFDKGLSPREFVD NMRKANKLIPGIGHRVKSRNNPDLRVELVKEYVLAKFPSHKLLDYALAVETVTTSKKD NLILNVDGCIAVCFVDLLRNCGAFSTEEAEDYLKMGVLNGLFVLGRSIGLIAHYLDQK RLRTGLYRHPWDDITYLLPNLREGRPGAEGRVEVQM UV8b_00785 MWLALLPRDGGRWVDDDDDDDDDDDDAGSSYSWDNGNVQIYRFL LGSIPTRTRTGHAPFLVSPEDALETMFLMLPIHSQFLTHHRS UV8b_00786 MSAKSIFEADGKAILNYHLTRAPVIKPSPLPKPTKHNAPPRLAS LHFPEDANVEDVLNQAEVTYPWLLQPGVKLVAKPDQLIKRRGKSGLLALNKSWPEAKA WVAERAGKEQKVEHTTGVLRQFLVEPFVPHSQDTEYYININSVRDGDWILFTHEGGVD VGDVDAKAEKLLIPVHLADFPSNEEIAATLLKKVPQGVHNVLVDFITRLYAVYVDCQF TYLEINPLVVIPNEDKTSAAVHFLDLAAKLDQTADFECGVKWAIARSPAALGLTNIAP VNGEKVNIDAGPPMEFPAPFGRELTKEEAYIAEMDAKTGASLKLTVLNPNGRVWTLVA GGGASVVYADAIASAGFADDLANYGEYSGAPTESQTYHYARTVLDLMLRAPMSDKGKV LFIGGGIANFTNVASTFKGVIRALRDYATQLIEHNVQIWVRRAGPNYQEGLKNMKAAT QELGLNAKIFGPEMHVSGIVPLALIPGKWEESKAQEFQG UV8b_00787 MPAPAKQTSMLGGPPPAGSGSEERKSSLGDPPPQKPPGEADHGN HDYCIQDCNQNPNCPTSLSLCGGGDGEATGDKAKARVKGPPLRAGPGAAGAAGAEKGS GDAGNSARKGGPAARKKNKGGK UV8b_00788 MRIPTALVAALAASLATAVPTLDIEANEIYDPKTGDKFQIVGVA YQPGGSAGFSKTSPDPLSQPDACLRDAALMQVMGVNAIRVYNLNPDLNHDECASIFNA AGMYMILDVNSPLVGEALDSGKPWETYYMEYLNHTFAIVEAFANYPNTLLFFSGNEVI NDIPSAKFVPQYLRAVTRDLKNYIKKNLKRQIPVGYSAADVRNVLFDTWNYMQCSDDG KSSDPTRADAFALNSYSWCGIATTYESSTFADLTSNFSTSSVPVFFSEYGCIKPAPRY WNETRAIYGANMTAVFSGGVVYEWTQEDNGYGLVSVNGSDLNILGDYNRLKAAWATID WKTVQSQKAEKKDVSPPKCSTSLLTQKGFDTNFTAPAPPPGVQKLIDSGISPKPSGKI VKISDYNVKLTVKDSEGNTVKDLKVVPLADDEFNMAGKNSANTGSTTGSGSNNSTGKN GNGKGDDSSAVLNTPMMLAAIVPLVALLFV UV8b_00789 MTARRLNVLVYTGTGTTSESVRQCMYSLRRLLSPNYAVIPASES VILNEPWPPTCALLVMPGGADLGYCRALNGPGNRRISDFVRHGGAYLGFCAGAYYASS NCEFEVGNKPLEVVGRRELGFFPGTCRGGAFKGFEYRSENGARAAVLRVAKDVFKDNV PETLTSYYNGGGVFVHAAQVTSRKVEILATYDEQIDVDGGDGKAAAAVLCHFGNGKAL LCGPHPEFAPVNLVPQPGIPGYADLISKLKKDDGARLDFLKGCIGKLGLEVGIETTPP TLSNLHLSAVENIQVSELLCAWAEVVNKENGEEYIKGEADMFCIQSDEDGLAVQHLRQ DLPETLGRPPGESGIIDYGTITKNIIAHVAALPTSQMTPRFNHSLYFSSLKRFQAMED AAESWGNMLMYGDVVTSTNSLLEKNPKLISKLPTGFTFSASTQIAGRGRGTNVWVAPP GALMFSTIINHPAYLTASRPAVFIQYIAAIAIIEAIQSFGPGYESLPIKLKWPNDIYA LDPSKPASSKMHVKIGGILSQCGYCDGSYQIILGIGINAVNSRPTTSISDLLPPQAHP PQLETLLARIVTRLESVHAQFRREGFSEDLERRYYRHWLHTGQAICLEAEGGVKARVL GITRDWGMLRAEETDVEGRGTGKVWTLQSDENSFDYWKGLIRRKQ UV8b_00790 MAHRFVFTALVTGSRILGRSFVAAYKQAQAASQYQRAAAKAGGS SARASLSSGMTLDEACKILNVKPPAGGQANMDEVLQRYKRLFDANDPHKGGSFYLQSK VVRAKERFEQDMGPIRQRAEQEAETQQGWKPKIYKDK UV8b_00791 MTPQEVGLLLRQNGRDASPSHAGDSSEASDVARPKRTELLAGVL GTCEHLRSTDSEQLDLVAEKLADGSRDVSWRLPYGDSGILDFFIALLSQGPLGPKLHI HALRLIGNSCADTDENRARVVDKEQLLAIIPHLKNESLIPFHIPVIYNILVDYDPAQI LASKSGFSKELVTILSLPNISEYAVFVPYICKILALLVSQQGEPAVADPDTVGVLLGL AAQPVAKADVECFISLLGVAVAYLACEDFQTRLVSDNQVPLFLDAFHHLHAGLDIKDV GDDDLIAQIKKLRSSLLSTLADISGHDSFIKTYPLSDIVPQSLVVWARSNNPFLQAAA CLALGNISRSDEASLALVESCKVHEPLVKLLADPAVTDGQLLHAACSFLKNLAIPPRN KPRLGDLLRLPCVPRLYSLDTTPQVQFAAVSLTRLLLLNCPPNARELCTPVELTAPHT GVGDIISLFGRSDAESTKLEAARCVAGICRILHSTPISEVLTQSYSTTGAFETMSDED RRTDFYRRHPVHDALRFLITQDKWPSLRSEAWFILALMSRSKDGARIVLSILKDRVAD GTLIETVTGQKPESMQTGTGSDHVSGHAADLTGTLPLETQQTDPKQRAPPLSKADGEN ALVLCTELLKVAESEMTPERSAMLQDLIKQGTRRIAANQAIADP UV8b_00792 MAIKHNQKIVNNHFRKDWQRRVRTHFDQPGKKASRRVARQAKAA ALAPRPVDKLRPIVRCPTIKYNRRVRAGRGFTLTELKEAGIARPLARTIGISVDHRRQ NLSEESLSVNVARLKAYKERLILLPRKSNAPKKGDTKTDLSKINKASIISSVLPIAPT DIAVKEIKKSEMPKPIEGGAYAALRMARSNKRYQGAREKRARDKAEAETAKK UV8b_00793 MASRIVPRSVKDATRFTSTTPHATSKSAVARTPPSATPRPSPRV PGETPEQRVRRLRQAHLAAQKAQVSRTDRMIDASRRFLDVAHRWTVGGIVVFTAVAGV VSVYSVWDMLQYNRARRAEWIEAQRKLEADSLAAARIAYLRGDATEEQVLLVEEANRD AQEKGVKLPPLLSPPEHRTHFEEHVKPTFSRPSEEPGRKTDGKGVLGIFSGIFGGSQT AQASPSAGSDDGGSIQSMESNKARDARESEKRNQVMGGSLDQLGLETDASAPKSGKRG WWPW UV8b_00794 MFATQNYADAMDSGRKRFRDDDDSFNAAAGFGEHRNKRLQSLPL RSSPKVSSQSLPFSNIVPLNANPAEAVPPPHLESWPPYSSQHVQLQQDVEVDMMDTGS SPPLDHDQVVQSEAFPHHRSTGRTPTPIQQSFAIQVRGPPGGWTQGQTNLAPNGIVNL GHQVTGLSQDQTVPRAVPGEAEWHTLQNHRPDLPSPISELGGSVMTNTADSSAGVVMD GDKASSLPLPPSRQCAFPGEGSTHAMEHPNAVLDVDGHFASSQHSESDADPTSPSPGR KGHQRSKHTVNSWTWQPGMKKSFSIGYRSDCEKCRLKVPGHFNHIVIS UV8b_00795 MPALDMQPLVADDTRILGQDPLISPALLASEIPMTDSAIRTVVK GRKDASDIIMGRSDRLLVVVGPCSIHDPEAAHEYAAKLKALSDRLSDDLCIVMRAYLE KPRTTVGWKGLINDPDIDSSFQINKGLRVSRQLFVDLTSRGMPIASEMLDTISPQFLA DCISVGAIGARTTESQLHRELASGLSFPVGFKNGTDGNLGVAIDAIGAAAAKHHFMGV TKQGLAAITRTKGNEHGFVILRGGSKGPNFDKVNVQAAKKSLKDKGQKLAIMIDCSHG NSQKNHNNQPKVAQVIADQVREGEKAIVGVMIESNINEGNQKVPAEGPSALKRGVSIT DACINWETTVTVLQDLAAAVRTRREINKSSPNEEAKISMLEED UV8b_00796 MAALLSLVSASPPPVFCKCTCFKNSTIIPLGPKSQQSASSPRSL LRRSFFSRPLAEPDAPGSSSSSAAIPISNNHDHSNSPGARSPSTSCSECTKSFCLSQG IDFCKDAKEDDVLTMCFQRDSNKDKMIVWGFILGTSGLLGWAAFKRVVEHRDKRALPR QDISYAPVGNER UV8b_00797 MSRLSRMRRLASLQLARASSSSSSTRASFAACRTISTTCAPQGK STEWVRGKLWKGEAPGLEDPYTQRAEPPDASNLPEEALQLPRADRTPAAIRASRLVLP PKRTEAASEKEAKSSDPTYIPATDGESLEQISSLDAWWEQDGHWGPESEFKPFGSSDK VADRHVVEVYLRQAVVEALSLQQAGLFSEWASKRWRGGSTRSDLDQVLAVEVQVQDGQ ATLKGDSSRISQGLLAEVDEVEPGERVTADEAAEMVKAWDASWKDLVLDDQMKFTLRK RLYQLTGTLIPDAKLGAARTIRHVLTLAAKQPKPQRLAELLERRSDVQRLGNLKVHRA KIGPVEKETALGRWKVIEAELAKRNLPVTGTAGLSKSKERDWIMGRA UV8b_00798 MFWHAASTIGRDGFIYPTDYTDHTDRTDKGRHVLAGQLPFQPAL VLPAPFGCCEDSRVETPRVKQTRQSNRKSARLSNREASTGSRKIKTLQ UV8b_00799 MAAIDSPWLSHLDLPMSSRDDASSASTSESQNATGLPSTYASFS PVTLSANTTRSARRSNILVHQKSPLLLATPPQVTRALAYSHPFLLPLNKFLGLLTWST GDPWQSFLLLSAFWFAVLYGDVVVRRAGPVVAGMGLIAGMYGRRYSPLSSSGWTEPHQ SAKDASNPATVSAAGPSNENSSPKKSKHQRTASEVTSTKHQKTLDEIVETLKEFTGRC NVLMEPLLEMTDFLSTQRTPTSATTKPALTAMFARLLVVTPFWLALTMPPFHVITTRR VVLVFGTIVLTWHARPMRVTRTLLWRSSLVRRSAAAITGLGFDGPPKAGHKIAAETAK GHVKPGGNTGPGKGRGKSSGVKFTFIIYENQRRWVGLGWTASLFAYERPAWTDEHNNP VPSKDEFELPDVEDGSKMRWQWVEGSRWRVDGVADDKASADYEGDEAKNGWIYYDNKW QYGRRGQDGWAKWTRRRKWYRDAELVEVAEPDTPQAPLEPPLEPPLEPPLEPPLEPPL EPPLEPPMEPPEPLEPGENGLPESPKTCHKKSCSTTSEKTVPARAELNHVSGDQPGTD DDGASILSTSSKSSFWPSLRRRPAADKQRTSSEKDHAKSNSGEAGLDDEASGLGLETE LELQKQGEDGGRWGIGDEARMNLE UV8b_00800 MFLPFPLPTPPPLSARRSVSLPGFCDETATMTEKIYVSYNEVHK LCQKSADRLLAEFQPQLMIAIGGGGFIPARILRSFLKKPGSPNIPIQAIGLSLYESLG ENEGVEQIGTKITRTQWLDLTALGQMENLVGKRILIVDEVDDTRTTLEYAVRELEKDV EAARQRMGGDQPKTEFCIFVLHNKDKPKKGVLPRDMLATRYEAAVTTGDTWINYPWEA LDIDEHDRNAALGKQA UV8b_00801 MSTPARLAASPLRLIPRKPSSPRRGFAASAPSPDEQFPQRTPLG DYYINILRDPVPYQSHKPARGPSTARSSRRQEHTRVGNPEAEPRPPSPRERARIVFGS RLLGPAEQADSLSVKQARSKTVAGVLVPPRPEEPDNCCMSGCVNCVWDRYREDMEEWT ARKKEARLRLDAGSAAADADDAGPGTPSGKTTANEVWDDEAVYKGVPVGIREFMKQEK RLKEKHAREGTIGG UV8b_00802 MDESARKRRRVSADSDARATPPRATRPASRPASRPAPRPPQPPS VTTAAAAAAASGPSTAAALPTRPPIPPSLASPTPASVAPINPPQTPPRRPSASARPPP AQEPEPEPRPESGPSAQQLLGSRPAPEPTPAADINNNNNNNPALAHPLQPPDEATSAS AAPSWRAGRPGPPRRTSVKPIPRPLPPPAPEGEDELNPFLARMQRRSTATGVAIPPPP EPELPPAVSDPVSSTPPRGIHSSPRWRDRDRQKKKSPMKSSPLKRRADSPGRAGERRQ PSQSPYREARDRDRDRDRAGDRGRPLRDLELNANTSAARLVPASRKNARKLKERDRLR REIALLRKEIDMAAQENERIRATQRAGRAVAPANQDDILDLVQKTLVAAQDAPSPGLS HQMTQAALKPMGILPFGPSKLVPQLGDLGAQEEDIKSHHPVPMSAEEELPFLQVFSPF SITSQVSVLPGVPKQPIRQRRQITLRSRDVAGLFAAKLDMVVNAMNLNILALKVLALE PSARPELGPFVDRICSGDCNRSMQHNVGIVTWAMGEWYRMAVQRARFWLQLDRILAAK EDYLNSLALAPTRSTHGREEEGDDDDGDDDDGDDDDGDSDSTTRDKCDKVDLLRFLGQ QSYDIPIPTGDDAGSEAAVRLEWKIALDWTGEAQSKMGLFLGVPGKWREADERGRFAE FPKLFEDLIRGGQHPRRAVRTIVALLTGTGT UV8b_00803 MTRAQQTISFGLLVTSLYLALYLELIPLPALVQQQIVPVLPFWA LVSFGALLLFRLGWGVFTFNDVPQAHKELMREIDLAKVDLRKLGVDVD UV8b_00804 MATIPQPPGIPLLGNLFTITPSNTWACLKKLAEQYGEIFQITVL GRTVVFVASVALAEELCDQARFRKFVGGPVVEIRAAVHDALFTAFDHEPSWGVAHRIM APHLSAAAVRQRGFLEMRDLANELVAKWERLGPRAAVSPLAELQRLDLETTTFTLLGA RLHCLDGPPHPMLQAMEDATSEAMLRPTRPGCLNLLRRGRFKRAIRTMREYADRVVRH RRDNPTGRDDLLAAMLDARDPETGEKLTDSQVVDEIVSMPIGSSTAPCLLATAIFLLL RNPEAVARARQELDAVAPGGRELAADDLDRLHYVHAVVRESLRLSFAAPGFNIEPVPS ASRAPVQLAGGKYQVAHDQAIIVVMAGVNRDPAVFDDPLAFRPERMLPDQLAALPDGA KKWFGNGKRECIGKHHAWQWSVLVLATLLAKVDFAMADAEYELEQDGWFNYRPVGFMA NVTVR UV8b_00805 MEQRSSFAASDTTYHSFLDIELQEPPSPPRSRGSAVVVGSNHGG QRSEQLNPHEFESAFPSGAKMQRQDSGYESHVSGSARNSMSQTRPGHPCRPVSNGSSG GGVATTSRCRTVRPSTRRWAKSYPQPNAQSLCSRAKANANAAQTTVYFQFPTPDLDLV ELTETTPRRQPSAPPPPPTTHYWTSDSTRRLEYAAIDAASRGVKGWVRRHLVPDCFTP RHVAFDDDSGSVRRYRLELEEEDDDDEKMRPAANGATAQRRKGKGWFVFSLRRSSTL UV8b_00806 MAHPQGAAQSSVQAAASESILQAYSRHTPYRVSVSVTRKEGIAR VRTQRCLIHTIHNPTASKAQGVKAGRSNPPTEFVGVYMAMSSLAMGPTVPYELLALRR LGPNLTESDTD UV8b_00807 MTKGYQTYSTHILRFGALPPSPPLPIPRWRSSRLHRPSAMKASS SPQQRGQQEAKQQGVKQQEVKQQEVKQQDFPGFAPIDRHVEPLLASGAFDGHLVFGMS FLGFSDQACKECRRRKARCNRALPTCDPCVKYRRHCLYEKHSRTPLTRKHLTEVEARL ERAELLLKHMRNLVPPHLRTWERQDAAPQPSAASSHHQHQFPDPAFNFSSAVHPGTAN STHPSHGTPECDAKSFAAPSATSPARQAKVDPRQESRRPPDPDQQRMLEGPPLEDFEW SEIDSASASFLSAEVAGEGVGGGDGGNVADDSPLADGMASLSLNEGESGYLGVASGAA LLRLFDPQTRRRTRARSPRPDSKPSFKASLAPLPNCNQHITDFMLDSFFRLYHVCYPI VHEPTFRAQYSGLIPRPNGAYWTALAYVVAAIGTWSSAESSAGTLDVALFSHARSILG CNFLEVGNLSLLQALVLASNYQQKRDKPNSGYNYLGLSGRMAMGLGLHKEFPGWNIPP LDMEIRRRVWWSLCSFDSGASITFGRPDVWPYRGVELAFPLNVKDEDLTAASLSYPAE SNQVTPYTAIATQARFHIAALGCYEKVISKSFSTAEEMLRLDSSLIDPWKASVPAYFA EGTTVPRLYALPQAIMGWRLRNLRIIMYRPFVIRRALRGRPDSDEASILAYEKCLANA KSTIESIEEFWDNQEHSRHAAWYGLYFLFQAALIPCLCLRNESSAEKAGDWRSQIRIT LKVIAAMSSLNASCWRCHQILSDLCSKHIDSSPPKHFETSSKTPPLQKMYQEHSLPQP AHQAASPWPTSQPWGQNPEMQINNVISMMWPSVPALEAADVGMADDTGWMDFCDLEAA VIGDAWARIMNLPGR UV8b_00808 MVGGGNGKAEAEAVEIARAGAQQIDSLIDQMEQELAEAGGLESG FFHAEFTNPKHFTWLLVAFASMGGLLSGLDQSLISGANLYLPRDLGLDRQQNSLVNSG MPLGAVGGALILSPANEYFGRKGAIYLSLVLYTLGAALEAGAVNFGSMVAGRVILGLG VGLEGGTVPVYVAETVERRVRGNLVSLYQLMIALGEVLGYAVAAMFLKVPGNWRYILG SSLVFSTIMFAGMLFLPESPRYLMHKGRALDAFKVWKRIRGLHSAESKEEFFVMAVAA RQEAAAVTQVAQNRRFPWMDFFTVPRARRALVYANIMILLGQLTGVNAIMYYMSVLMS QIGYDAERANYMSLVGGGSLLLGTIPAVLLMETCGRRFWAIMMLPGFFLGLVLIGIAY QINIDTHTMAAEGCYLTGLIIYMGFFGSYACLTWVVPSEVYPTYLRSYGMTTSDALLF LASFIVTYNFTAMEDAMGRTGLTLGFYGGIAVVGEVYQVFFMPETKNKTLEEIDQVFS RPTMDIVRENWAGVKENMSNLLQGRFRKVFAAQPDCHPSVDGDLEKPLA UV8b_00809 MSRPSVLPSDFEWGFATASYQIEGAVREDGRGKSIWDTFCHLEP TRTKGANGDVACDHYHRYQEDFDLLSRYGARAYRFSISWSRIIPLGGRGDPVNEAGIA FYNRLIDSLIGRGIAPWVTLYHWDLPQGLDDRYGGWLDVEESQLDFERYARLCYQRFG DRVKNWITLNEPWIQSVFGYSTGGNAPGRSSTNKQSVAGNSATEPWIAGKSQIMSHAR AVVAYNRDFKASQGGQIGVSLNGDFYEPWDSSDDRDRDAAERRMEFQIGWFANPIFLK TDYPSCMREQLGERLPAFTESEMGLLKEADTDFYGMNYYTSQFARHRSGPAVDTDYLG NVDELQQNKQGAQVGEESGLHWLRSCPDLFAKHLTRAFRLYGKPIYVTENGCPCPGED QMSCDEAVADLYRIRYFSSHLDSICKSIGQDGTLVKGYFAWALLDNLEWSYGYGPRFG VTFTDYETLERTPKQSAFLLSKMLRERQGIAVAA UV8b_00810 MSTKKSQAKRGPKSRKKPDAPVPPESVSTFPGRRSILHPIHLPF AASSSSSSNSITTVTTTTTTANSSTAATFASPSNSSPSSWDTPAQFASVRGFTVPSPP PGGQTFTAIGRWRDLSRAFALQNKVVEQVVEQCFGLFFQYLYPLTPLVHEPSLRHAFS LFASQSSSTPRSGMDRVADTSLPAPSPRPSPRPPPSPWAATSSGATSASATEALACWN DATFTLITAVCAEAAFLLPKNLFPQGEAVADLFLQASRDCLSSYLEADLESPNANSIT IRYFHSNCVHAAGKPKYSWHIFGEATRLTQVMRLHDEASLEGLPPIEAELRRRAFWIV YMGDKSAAILNNRPITMHKYCFDTGITTAYPTGIEDPSVSVGNANTMSSPGDSHARRF IAGFNANLKMWQAASDLLIQVRVFQDQKSGELNPADPPNQVLTEPERQRLDSLFVHFI TSMDDLPPFLQAHTFPATTDGRASTTEANQFVIQCANLQVSFHCLHMVIIQKFEDIAF FAPGAEQADLRKTEIVRDMLRVMHEAPFWSLQVNGEPYVEKIRLIGATLLSIIHRNQA SPLAARARGDFSVLLDVLTRLDSKASDALKNTSRWAM UV8b_00811 MKTTTTLAGLVAASLAAADDYLYSTRFSKRGLLDNGNYNLSFFH VNDVHAHLDQFTKAGGDCKDPTKGCVGGYARIKTKVNELRQKHPDHLWLNAGDEFQGT LFYTFYGGEKIAQTINDLKFDAMTLGNHEWDGGDEKLGKFLKNLTFPVVSCNVKSTNK DLNETIKNYHIFEKHGVAVIGATTETTPNIANVGKGTTFLDPIPEIQNAIYEIRNKTK VKRIVALTHLGYEVDQKLAQETEGLSLIIGGHSHSLLGDMDKAEGKYPTIVKDKSGHE VFVVTAYRWGEYIGSIELTFDEDGKALSYHGAPIHMDNSTALEPELQKNITAWRGPFE KYAAEVIGVSQNVLDQTACQKGDCLLGQVIADAMLEYRQNQTSSGNDAKPDFALINAG GVRATIDKGNITRGQVLTSFPFGNAITQLKYSGSDLRKTLEGCVSHINQFSHKETSSW FQVSRNVVVEYNPARDAGSRLVNVTVAGKALDDRADYNVVTVDFLAGGGDNLLKPSKD FVALESLDQVLVSYVSSHSPLTNALERRVFSSNSTGNSTGNSASDKSAAAPLRAPALA LVGSLGISAACALLFL UV8b_00812 MTGSSVAPPPPLRPSVRRSQRAANMKLAVKSQAPESLKRAPSQT PPLEKRVSKKIKTEPVSPAKRQTSTRPRKATTAITVDEVTTSKSLGLKERKLKTLSAF SKQAPFPNHVRPTAEECRVAHKILAQLHGDRNRPQAVVAPINAAGCGDSPSVLDALVR TILSQNTSNKNSTRAKLSMDQEYGGSDRWEEIASGGQARLEKSIQSGGLAAIKSKVII NILQQTREKYGVYSLDHLFGVSDEEAMKELIAFQGVGPKTASCVLLFCLQRPSFAVDT HVHRIAGHLGWRPASAGREETQAHLEAVVPDEEKYPLHVLFVTHGRECRECKAGGKSA GTCALRRAFKGGRFGEEVQLLVTKEEP UV8b_00813 MQQGLESSRWAPLSRRAHHLARHETRSRSLPPAAAAPPPRHSPD APSIPSPARAQAFHRLQQTCQRLRWKSIDLESSYSRALTPLEWGFEAPDAERNFKVDF HEFYAWIEQAVVFLLRVFGVAVHKGAGGRAGHSHHHDVLRALDREDGPLRATLGSGDV NHALWKAKELRNRWKDAAEGRETPPLKMYDLRWIVTQILGGLEEAYLVAGREVGMDPG WDDGEGEDGWEWMVESMDWEAD UV8b_00814 METSDIQLQQPLRASKMSRLPGPTLHLGLVELSDSQHNARVQSC IPAPPGAKPALKREIPQPAHQPDAKRKPLADRAAEYSVPPPASAATLSTIKGQALTQL KRPSRPTANPPPAPASSFTKSFGPGKHQADRSHPPPSRSSHLRSRSQATRPRTANGHR PDDRFDEPASHAWDVDGKMDSMESQFKELKDIMSATLTERRGHEDALELAKARVSELE DHRKRLEEQNETLKADLGAARDEERRMRYELEKVTWQQSREIEDMNRRHRETVDDLSR QHRKAMDELSRELDQLKDDEAKEHQARLDGLARHYQQELEDERHKRDREIQDLRSRMG NEQQDLHLELQRKDRQLGDARSESDGLKGHLERERQLKSCLQTSIAELSASNTTLEAK INSLRSQLEFLESDSKAQSDSFSNMEGRLQEALAAADEARQKLIKEETERRVLFNKYQ ELRGNIRVMCRVRPASADERCRQAGISFPDDKTSAEIVLAGPEERNSLGAVSRKNYPF EFDRVFPPAVENEEIFGEIAQLVQSALDGYNVCIFCYGQTGSGKTYTMSSKDGMIPRA THMIYDTMTKLEEKSWEYTMEGSFVEVYNEELNDLLAPNDRAGDARSRKLEIRHDESR KQTTILNCRTVQLNSAPSVERILQEAQRNRSVAATKANERSSRSHSVFILKLVGENAA TGERCEGTLNLVDLAGSERLKHSEAEGERMRETQNINRSLSCLGDVIEALGRGSGHIP YRNSKLTHLLQYSLGGNSKTLMFVMVSPLETHLKETLTSLRFATKVHNTHIGTAKAAK RIRAPE UV8b_00815 MEKYSQYRDRATGISPFLPLSTPLSAVSAVAHAALFVFRLPFFV AYAVSYFVLFHHLPLPVVARKMALWGLMAIPGIWWVDLQLDGVKRGTLSDQPIERFPH PGSVIAANFTSPIDAVYLAAIFDPIFTLSYPGERRVRRVSLLGAIAQALAPVRTAAPP NAKLVRLEDLLDQYPDRVIAVFPECGSSNGKAILPLSPSLVDTPPGVPIFPVSLRYTP SDVTTPVPGCWLAFLWNLLSRPTTCIRVRVAASQVNTAAARTNGASLPANGGHDLVKN RIPRGADVTADEQRVLDRIAEALARLGRVKRVGLTMEDKAAFVKAYAGSKNS UV8b_00816 MLCTLRQRPTALASAAKSILTSSRSHGRLLSAATPALQAENKLN RVSAAITQPKSQGASQAMLYATGLSEEDMDKAQVGISSVWYEGNPCNMHLMDLSRVVR ESVAKAGLIPYRFNTIGVSDGISMGTTGMRYSLQSREIIADSIETVMNGQWYDANVSL PGCDKNMPGVAMAFGRVNRPSIMVYGGTIKPGCGRSGDPLDIVSAFQAYGQYIAGDIT EEQRLDIIRNACPGSGACGGMYTANTMATALETIGLTLPGSSSNPAEDAAKVAECEAV GPAIRNLLREDIRPRDIMTRQAFENAMTVVSVLGGSTNAVLHLIAIADSVGIELTIDD FQSVSDRVPLLADLRPSGRYVMEDLHKIGGTPGLLKFLLSEGLLDGSGITVTGKTMKQ NMQHVPDLPSDQQIIRPLSNPIKATGHIQILRGSLAPGGCVGKITGKEGLQFTGKAKV YDSEGDMIAGLERGEIRKGDKTVVIIRYDGPKGGPGMPEMLKPSSAIMGAGLGKDVAL LTDGRYSGGSHGFIIGHICPEAVVGGPIALVKDGDQIIIDAERQVIDLDVPEAEMQAR RREWKAPAPRYTKGTLKKYAALVSDASSGCVTDGSNH UV8b_00817 MSRSGPTMDYIPMPYIEETSASGRRTWDIFSKLLQERIVCLNGE INDFMSASIVAQLLWLESDTPDKPITMYINSPGGSVTAGMAIYDTMTYIRSPVSTVCV GGAASMAAILLAGGEAGKRLALPHSSIMIHQPLGGTRGQASDILIYANQIQRIRDQSN QIMRHHLNKAKGPDTYSLEEVNDMMERDKYLSVDEALGLGVIDAILTKRTTEGQQGND GKGGS UV8b_00818 MLSCIWKPSEEVRGAKQRALVYFVCGNPGLIGFYVDFLDALRSL LDSSAAGATAYDLYGANLAGFCDDEHEPFGPDNPPLDVHAQVDATYRDVASRRVSSPG GGPDGGPADDKPYDLVILMGHSIGAYICVEIFHRHLRDASRAPHLRLRHGFLLFPTIA SLAASQAGTRLNRLRGLPTVDAHFAAYAGALLGLLPQRALQWLVEKVLRFSPRAAGVT AEWLKSRDGVLQAFHMAKSELDDILEDTWEDALWEASGADDGTAGGSTTGPRAPRFFM FYGRQDHWVADHVRDEFIARRRRRARAGKKGMTSITVDEGNIAHAFCTREDTSWMVAR KVNAWVAEIDGADGRCE UV8b_00819 MARNPFAFRPLQVTFWTTVVYLAVFISLIHVHETVPSAPSPDKL PQGINLTEAWSDLQAITRFYHPYNSHENDIVRDYLIRRSREILDRNGVNYTTDLTGGV PWQSSYLSSASVGVGVGVEAAEAPARPQGATLFDDVISNVTYATRGSAVGQYFEGNNF YVYVHGSDDPAGDWWRSEDARRAARGGAGVLVNCHFDSVSTGYGATDDGMSCVSLLQL LSHFTSPGRQPKNGIVLLFNNAEEDGLLGARAFGYSPLVRFCHTFVNLEGAGAGGRAM LFRTTDLETAQAYSKSPHPFGSVVAADAFERGVIKSATDYSVFVDNFGQRGLDIAFFS PRSRYHTEEDDARHTSVDSIWHMLSAALASAESLSRSSSSARFSGPRSDGRKDLVQNG RPTAGVWFDWYGAAWSAFPLRGLFAWTLTLLITTPLTLVIVAHLLVRKDKWYLFAADG ATDISEGDGRVPIGGWKGLIRFPLALVVAGGLTVGSVYLLAKVNPLIIYSSSYAVWAQ MISLFYFLSWLILRGAHFVRPSALQRGFALFWIFLITWVLSILAAVAEDRMNIGGVYS LAFLHTFAFGAVLISFLEQFALPSKQTFALQQVGEGGGDAGQASGNRDEGAEHEGGRE DGENAEDAPDATETTPLRAGERGYGSDEQVTTFASTYRRSVSESRHTEADLGQRHAAY ENEQSWSGWLPTWTWFPQLLLLGTVYVIVLGNLALVQTTSLAKTGSDGSSLLAPLMGV GVLTIMLLLPLTPFIHRVTHHVPLFLLAVFIVTLVYNSVAFPFSVNNRFKFFFQEVVD IDKGTNVVNLMGLEEFVRPVISSLPTPAGQSITCSGPTVRADVKTCSYDASLLTPDVA NGTRLADVVSVEASRGADGKSVIVRVDALNSRTCFLDLSSPVFGFSVEGGGNRDERFG SYPSEGLKHVQLWRRKWEGPWTVTLQLGGGGGGGGGGGLASGREDVAGVEAMGAGDST NGELKPRESADELSITARCSWSDVNSGETIPAFHEVTQYMPRWAVVTKAAVGLLEVRK TVKVV UV8b_00820 MSWRNQGITGSNNIPLGKRRFADDEEQAVDRDDTFRGRDPEPRS EADGPRRRKKRNRWGDASENKAAGLMGLPTAIMSNMTSEQLEAYTLHLRIEEISQKLR IDDVVPADGDRSPSPAPQYDNHGRRINTREYRYRKKLEDERHKLIEKAMKTIPNYHPP QDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMEGESGAKIAIRGKGSVKE GKGRSDAAHSSNQEEDLHCLIMADTEDKINKAKQLIHNVIETAASIPEGQNELKRNQL RELAALNGTLRDDENQACQNCGKIGHRKYDCPERQNYTASIICRVCGNAGHMARDCPD RQRGASWRNEGRPAARIGGGDAVDREMEQLMQELDGGSGGPPARIEAGPGGDAAGSAN NMGNDAKPWQRGPTGGPAPWRTRHHDSNDGESRGSGAAPPWARERNRGYDNHGDRDRD RDHRNRDHRDHRDHRDHRDHRDHRDRDWDRDRDRDYHYGNGHGYGGSSGASAPPPWHH QSQHQAPGTQNGYSGYGGYGSYAPPPGLAAPPGLPKYSGSGAPPPPPPSGDTPPPPPP PPPPPPGA UV8b_00821 MRGGQGRLRTRKTAPRVPQLPFVNSTLAGPSQQQQQQQQSLSLL RWFQEQRRQLVKVEKSIIKFSSDPITPHPSSAIVPFSNAFKMRFGSVLVFAAALAVQA RKSHDAHNCCRRNFDGTWSYDESLTKDTCANYYKDTGVFTSGVCLQRPGVEIDGNGFL GNCQRQAGPNSRDQIGAGYHEAC UV8b_00822 MSHQANQPPAPNLLSQLTARLSEYGSNASSNIAEGFNQMTPQAW IRVVVIAGGWMLLRPYIQRAVAKGAVEKMERDDRRARGERGEPPPHPDLTPNEYRGIK ERLYAHDDDDDDDGGADGSGADWGQKARVRQRQMLRELLEEDERRRAAQDEDADIQEF LED UV8b_00823 MTQAVKRACDACHRRKVKCDGINPCRNCASAQLSCTYNAIPQKK GPKGSRAKVISELRETQRQTSLSAKVQNRINGVACPVTPPSLAPTPGLLTCDLVKDCA QFFFDNLHPQAPVLDRRQVEQQVLYMEQNRDAYCLMTSLCAFIMLQPGMSMPAGDPYN LDMIPGANIVSSQLLLEECLRVRKGYEYLDAITLNALATNFFLFACFAGQEMHDKAWY YLREAATMIHMAGMDKEEHYMQFDASEASRRRRLYWLILSAERGYALQRRRPISLQAT INLPTLGDDTTDPEAHRLDSFVMLVNMFRPFNAAVTDAWNKTRGHFTTQYVNGLQKQL NDVAQSYACQDSNFTDLHSAQQWLKNTVWQLTSGVAANGNNEDSTGFTSYPMNLSREL LVSMASQFPVQVVDLLGAGLIVKVLELCSSLTEYLAMQPPSRDPFTIGPREHLNQIIT MVAICRNGDYRFMPLLMYKIGEVLPRMTNPMLQNAPDNVNLANMDIFDGFGNAGMAQP PNQMHMPIETDYDRKFSVEEYDKSYAMDMNGNTPESAPLSNHSNSSPSIGQQGSADMG GSFVSSPGLLSPGMELPHHINGFMPDMVMSSIGNAGPPTTASPGQHMGHDGISQRMDA MGAAQGMRPQGLNPMNSMGGMEPVSQRQGSFHLQNRQLGGFQTLQGTSADTSRSMVGV TGMGTY UV8b_00824 MPVLASSSVFIRLANRLHGNARHKMNKSVSPYSVPEQRPLPTHV MPNVHHDSLRFLSRVDARRAYASGQEMAQPVCTSATPIESKKARHSPHVAKQVNKFQI PKTVSRPTPWTGSGFERGNSYTLYLTAADICEIERALRVFKSLGLDGDAVSTSNFPLP NLSRVLKDYTRSLHNDIGFFVVHGMELSRYSIEDGVVIYLGIASYIGDKRGVQDGKGN VLTHITSCKTWDVPMNQRHVVHSNMALLFNSGKETRYYLAPVMAMHQDRLMISMDPKR FGPHPACRESSAPPLTHAQEHTLRKVAEAAAATELKLSLEPGDILFINNWAVLHRREA YDDDESTSRHLVRLWLRNSDYGWPVPRAMVSLWQRAYGNEGGGRNRLYALHPMPKYHV PKYSMGSAAFPLDDND UV8b_00825 MDTITFPSDHSNTQGPKRKRTNDESSLSRRTSGWPLCPSRQPLD VDRAQTMVQMTLQPVSLAFNSRTATMAESAPAEIMAHASSSYTSDAQHVPWHPDSTSS TSTAHLHHIITQQGQQSHQPLAADGVTGNAPNPLSISYQQLHQPYEASELDAGPSFAV IDPPLSLNGYFYGLTADLHAGLDWNNASPLHHDGREPLGTDAVCESTETFCEILSQLN QHPAAGESASQLPSGLIYSDGLIPLRSTSATLAPVALGPTSELHPSRHTDSYAPSQFS FGRQRRTSTSSNALDTQTDPNARSGTTSVSAVQDIGIGSRSSVPSYETFMGGGAQYPC PQLTNFTSNFNSTLSAQPHIPDQSSTPAQPRSTQGTALRSNTLQGVVSQTGPSSSSGP ISAAGESSYDHISGVQSIQSIQALLSVEESEGASQEKPLELPRPRSQQPMKKRGPFDS QKRKETAETRKRRACLRCRIQKIRCDADSTEAEGSCLPCKCFSKISKKTIHHVSCFRR KLTEIVLFRKGGLRLTDRWQGTEMKDVGDRVDTEVRTIQITLGICSEPLEIKVVRFRA GAGDVVARFWTVKEGERGDEVRKKKDLEPYCLVDVWATATHFEKYIIDNAVPTMIRVN TPHELLQKTFLGQDVIHKTYIMAVQHYLSMDDHIQGPSGKIANPQKTLLGSLFVLWLA VRHTTGSAYICGEDTLGMKPETKDETYPLFGKVSMPRIIVAQFDSINHTKLLSKYGHR VLRSLESFIFRNQSTFWWTIYLCVFILLHEASWLSADRYRHARHNFGGRYRYSIPSFV EELQEGCNNILVHWHYYNCHPWPKADAPWERHKHFMSELSSEQHDLVMETMTDTRIQK QLSVWKRYREENGFVARSSLPVCHKQETPYMGSQTQFDWDHPCYWIAQMFEERWQPHP TYQREHVY UV8b_00826 MAKYSTIHRNTVANILQGQLRRLERLRARGLGWTTPRGVLFVAS STPLPAPSDGEAEAKDLLDEATREDFPWLGDERHLPDVGQYESFEELWDDVHSEPDPD MRLTADDFDCRAAALFCQTRIHLEMELNSFMVREFEGEKPFGPTASWKQSFPGRLEVL LNHAELECCTRHRRLLESYGLSRRGTYLIAVTHLERLQDDIDDTRNRLERIHHSISCI DTAKEEAVRVWRRSRTNSEGAASFMRSSGLGSPLVESSTPDDAEFSPDCWEAWEALAK PARKVLVPHGVAASSRREGETSAPSASQTVW UV8b_00827 MTTPDSPPPRRAESSEPPDLHTTPQSLARAVHARRDEYVRPRKI RVKIGTWNVAACPGTDKDLARWFVDGQGIDQHFAALHLSRDSALSHTTFPWQEEDESQ SVHTLGGNDIGLYVLGLQEIVDLNLTKEYMNRAVKLDTSSSDKWQAALEAAMPPGYQL VVSEQMTGLLLLMYASPEIAPTISNVSTKQVGTGLLGYFGNKGAVTSRIVLGETTRMV FVNCHLASGPSSSYLERRCWDVDQILCKTKFDPVVLAGVSEDDGEAIGDEDFAFWFGD LNFRLDGLPGDDIRRILTLHTRGEYGASSDTVPGLPEGEGVMVLRHSESDDDDTTIKS SLHSRQQSFDSQTTLPDPDDFPEDPSQDPTSLQATLDSLLPHDQLRRAMAQRKAFHDG WREGPIAFLPSYKYDVGTIGLFDSSEKQRAPSWCDRILYRTRKYKLEHDARMREEQEA SRKDEEMKMRGIDEDDDVLFSYDPETDADEQILATPILGYDEAEYYDSGELVTQQGCI DRLSLDIYASHQRICSSDHKPIVSIFTLDYDAVVPELKAKVHAEVARQLDREENEGRP AITVVMEEPSATGEIAVDLGDLGFLQRKSCIATIANTGRVSAGFSFVEKPTTHAEEST SLSWLTTSFIQADDHEASNPSSKTITLQPGETISAHIEAQVSSIPHLRSLNDGDAKLE DVLVLRVEGGRDHFVPVRATWLPTCFGRSVDELIRVPDGGILRFLSEDNIHGAIPHDS KVRCSAPKELFKLTEAIQTQAERCVADEAMLDEVVLPQEPGWPLDSATWTPGIPERES IQAELVSALDTDASILEALPVELSSPHKLELLSSVLLLFLASLSDGLVPPLLWAKLST AIPNLTSLGPAAWPNAKTQILDMFSWAPNHNIAFVFLTCTLSRVATELSSAAPASPTA VGLSRRLTFRRNSDDDGERKRSMRQKRYAEIMGPLVSRTNDGGKTAREGGRIVVEMFM SREAGG UV8b_00828 MTQQTPRRARHTRHPSSSLIPAVSDYESDAAAAAAIQAAYAPPP PRTNTELNVSVLQRYLPSVQAILSIAANAVVYAFDPDASSWDKSGIEGTLFVCTQSPV PGTRQPRACVFVLNRRALDNLIVDLARVSHVEISGELVMLKVDDGGWQQGDKVVGLWI HNDEDDTRQINGAMIQETWKVARSTGPLDEKQGPEAAPDAASDAGAGTKAVGKRVSMR DLFAAQHGT UV8b_00829 MSWAGFKKNVNRATTQVMMKTGHVEKTNDRDYEVEERRFKTMEA ASLRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDSGARDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPITRFCAYFPDVNECIKKRGHKLLDYDALRAKVKKLAEKPDKDA TKLPRTEKELEMARAAYEQLNEQLSSELPQLIDLRVPYLDPTFEALVKIQLRFCAEAY SRMAQVQQYLDADTRDLYAEGHLDARVEQVLQEIRELSISGTV UV8b_00830 MFNPRFLVISLGNPLPKYESLHSAGHFALNGLAKVLQQPPLRAM TMAKHSCAVSQGPKYTLVQSPTLMNISGRFVARAWQDMVKQHDAASSSLVIVHDELEK ALGDVRLTAWDRSPRGHNGIKSVKKSLSQNTYPQSPFARIAIGIGRPAERDAATVSRY VLDRISAEQRNVLEQVVPWHVAKRLVELEDEWRREIRAR UV8b_00831 MVVAYNGTTGSADEGYSSGVQDLNIFYDTGNLAFLTISSVLVLL MIPGVGFFYSGLARRKSALQLILLSMLSVAIVGFQWFFWGYSLTFSRTGNFFLGDLSQ FGLRKTLAQPNGSASLPDILFCLYQGMFASITPALAIGAVADRGRVLPAIVFIFIWST VVYDPIAYWTWNSNGWLAKLPAYDFAGGGPVHIASGTCALAYSIMLGKRSGYSSTHGL PYRPHSVTNVVLGTVFLWVGWFGFNGGSALAMNLRAVMACYVTNLAACCAGITWSLLD YRLEKKWSTIGFCSGAISGLVAITPAAGFVTPWAALVIGVVGGACCNMATKLKYLVGV DDALDIFAVHGIGGAVGNLLTGIFGASYIAGLDGTTFAPIGWIQHHWIQLAYQLAGIS AAFGWSFVCSSLLLFLMNLVPGLSLRVSAAAEDVGIDDSELGEFAYDYVELTRHVADS SLGVVSSTSSTQEKAVSGKP UV8b_00832 MIPSSAAPSSTANAMAMRASSNPEDQDDDSRSSSSTASPAPADN EESDFFLGANDSESSLGVPNIQDMQVQDSCWPPVNRLPNEILMSVFAKLGATPDLYHC MLVSKRWARNAVDLLWHRPACTNWQNHRSICQTLGLQHPYFSYRDFIKRLNLAALADK VNDGSILPLAACTRVERLTLTNCRGLTDSGLIALVNNSPTLLALDISNDKNITEQSIN AIAENCNRLQGLNISGCDGISNESMINLAQNCKYIKRLKLNECAQLRDNAVMAFAQHC PNILEIDLHQCSQIGNGPVTALLSKGNCLRELRLASCELIDDFAFLSLPEKRVYDHLR ILDLTSCTRLTDAAVERIVDVAPRLRNLVLAKCRNITDAAVHAISKLGKNLHYVHLGH CGHITDEGVKKLVHSCSRIRYIDLGCCTNLTDDSVKRLALLPKLKRIGLVKCSSITDE SVFALAEAANRPRVRRDASGVFVGGEYYTPSLERVHLSYCVNLTLKSIMRLLNSCPRL THLSLTGVAAFQRDDFQAYCRVAPAEFTQHQRDVFCVFSGNMVSQFRDFLNTSPRFQE LRESLPYRAGGSRIRGAAAIRSRVVANYLNNAEGETFDDDMADEENDFEVLDGSDMIV DVQIQNPQQPGDAAAGPSDAAALPPTTQSIPVPPRAPDTSQHPFAAPNHTNPALHPLE YQQVAATGPLSFSSLPTNQPASGPPLSASSGTRASVASLLTQPRNPQGASSTTPWLVN GASTAPMHQQADDSVNQ UV8b_00833 MQPRIPLRGLAPWLVAAGLASLVHGNGNVGKAIEVDGTQFSLNG DNASYRFHVDGATGDLIGDHFGGPLRGNAIEADIGAAPGWVNMIGRVRRELPDLGRGD FRIPAIQIRQSAGHTISRFQYQSYTIVDGKPALKGLPSTFGDDGHASTLIVHLYDNYS SVAADVSYSIFPKYDAVVRSVNVTNLGSGNITVEKLASFSVDFPADEYDMLQLRGDWS REGMRVRRPVGYGTQGFGSTTGYSSHLHNPFLSLVSRATTESQGEAWGFSLIYTGSFA AQVEKGSQELTRVMLGLNPSQLSWPLGPGQSLLSPECVAVFSNTGIGGMSRKLHGLYR QHLMKSKHATEPRPVLLNSWEGLGFNYDANTIFRLAKQSADLGVKLFVLDDGWFGIKH PRVGDDAGLGDWVANPQRFPDGLDALVANVTKLAVRGGDASQKLKFGIWVELEMVNPR SSLYEKHPDWALHAGDYPRSLTRNQLVLNVALPEVQDFILDSMVNILNNSDISYVKWD NNRGIHETPSPQTDHEYMLGLYKVYESLTERFPDVIWEGCASGGGRFDPGILHYFPQI WTSDDTDAVQRIFIQFGTSLAYPASAMGAHISATPNGQTGRRESIEFRAHVAMMGGSV GLELDPARMPEQDKAKVPDLVRLAEKINPIVVKGDMWRLRLPEESNYPAALFISRDKS QAVLFCFKLFEAHINDHWPVVRLQGLDPSANYRVDDGQVVSGATLMNKGISYTAGGEF DSRVVFLARVA UV8b_00834 MLRPRIISPLPRASARSCPARALRRSPFSTGNPASARATQDFDL NKLNSKRRDYERNRTAFLAAGAVAGIMSFIYTAWKLKKAIDVQAEKDKVSAKCDTAIP SDTFKTEAGERRKIVLHDEDGREIVPTGNSVVPVFPRTMDIRLPSAQESTAAAPIAAS ISDTAGTEFTLVGLGMRTVTFLGIQVYLVGFYVATKDIERLQHHLVKKINPLATTLIP SEKDALRKALRDAVEGEQTWDSVLRDAGCQSAFRIVPVRDTDFHHLRDGFVRAVQVRS ARDKAYDDEAFGIAMRELKGLFNRGQVPKRKELLLTRDAAGKLAVMYGEGDHKTNNGK TLMGTVSDERLSRLLWLNYLAGSKVASEGARENIIEGIMEFVERPVGTVATQIL UV8b_00835 MAEASTKVQQIIKENAVVVFSKSYCPYCRATKDTLTKLGAVFKT YELDQLSDGSALQDALEQITGQRTVPNIHIKQQHIGGNSDLQDLQKSGKLEDLLKDAG ALKA UV8b_00836 MSRYGWSLGREQSSPYGSMGGGIPAVTDDDYSYITSQDLADPPF RGTTPDDAPPPEDDVLLIKNKGVTYPAHFPAYAIGDGKLRVQDVRDRVGLMMDLPDRA TRRIKLLYKGRQLKEPAAPVRDYGVKNNSEVMAVLADLRDESSVSDEEMVIVNDTQAG RRKKKKSKKKAGRGKGDDGSASSPRDSLSNPEGTSPSSAAGAGAGAAAMKRLDELFAE FRTNLQPLCNEYIASPPPDAKKRLEEHRKLSETVMQNVILKIDEVDAEGVAKVRERRK EVVQRVQEALRALDAAKAS UV8b_00837 MSSFEPVVVVDGKGHLLGRLASIVAKQLLNGQKVVVVRCEALNI SGEFFRAKLKYHAYLRKMTRYNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGAAAME RLKVFEGVPPPYDTKKKMVVPQALRVLRLQPGRKYCTVGRLSHEVGWKYQDVVARLEE RRKAKGAAYYERKKVAARQLSDAKKNASVKAETAKALAAYGY UV8b_00838 MSDLDHFDLLPIHMDPKSKAISASKQSRALAAELQQLNALHRAL LTLDGSSMGVPPPPIPVNPKRTGQVTKLRDNGNAEYRRGKYAEALRLYSLGIQMASQR PLWEPAQLVREEVSGLLANRAQTHMALHNWPEGAVDAEASVEARRIGNAKGWWRKGQC LLEMGRLDEAREWVKQGLEVEGEEAELVGLLKEIDGLIAKKRAQ UV8b_00839 MRSLDSFLNPDPALQRHHHDTTPLLKERAPPLNLPPTSDSVTTA AQCRTYRLTQQDGSILPAQSEYGFRQTLPHSTIMTPSVESARPIMAPSNRTSARLSAY SMAPSFTPTVQTNDSVQAEIRNIASNLDRMENEALSSQRVVLSDEKTDNMGKLALGAK LERALDRRMSGQDAVMRPRCENVTVNITISEKKQLSEKA UV8b_00840 MISGVLIFNQKGENLIFRAFRNDCRPRLADVFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAITKSNANAALVFEFLYRLIQLGRGYFGKLDEEAVKN NFVLVYELLDEIIDFGYPQNTETDTLKMYITTEGVKSETRPEDTSKITMQATGALSWR KADVKYRKNEAFVDVIEDVNLLMSATGAVLRADVNGQIVMRAYLSGTPECKFGLNDRL LLDNDGLLTLPSGNRMGTKATKAAAGSVSLEDCQFHQCVKLGKFDADRIISFVPPDGE FELMRYRATDNVNLPFKVHAIVNEVGRSKVEYSIGVKANFGSKLFATNVVVRIPTPLN TAKIIERVTQGKAKYEPSENCIVWKIGRFTGQSEYVLSAEAVLTSMTNQRAWSRPPLS MSFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYVTRAGSYEIRF UV8b_00841 MDWVIPRLLVFLGAQVLLTGQARAIGSCSNDAVVNYQPLMVYCQ GIPYNVVGAYTSCLDASYITTTVAATGIVATTVTQPAPASSSVDPSRCVGCGLPAQIS NFQFYGCATSRNGFPALVKVATSRSMSLDACAAACTGPFMAVYDNDCYCGDITGSTLA QRSVCDCNIFCPGNKQQCCGGRGTTNSLRKRQAADLAGVDISLYQRAAIIPSSGGGDG TTTNVIGTGSYATITRPVDGSVTAPTTITVPPSGTNPGSVIVVTPLATGSYVTFSQPY DGSLTAPTTLTIPPSGTRPGSVIVVTPPGTGDWVTQTQPVGGSITAPTTITILPSGTR PGSVVIVTPLAAVTITQPFSGSLTVPTTITIPATGTNPASVVIVTPPAASPVTIVQPF SGSLTVPTTMTIAASGTNPASIVIITPPATAGPVTIVQPFSGSLTVPTTMTIAASVPG IGTNPASVIIVTPPATAAPVTIVQPFSGSLTVPTTMTVPATGTNPASVIIVTPPATAG LVTIVQPFSGSLTVPTTITIAATGTNPASVVIVTPPATAGPVTIIQPFSGSLTVPTTM TVPATGTNPASVIIITPPATAGLVTIVQPFSGSLTVPTTMTIPATGTNPASVVIVTPL PASGTNPASVIIVTPPITASVVTIVQPFSGSLTVPTTISIPASGTNPASVVVVTPLST LAPVTILQPFSGSLTVPTTFTVPATGTNPASVIIVTPPATAGAVVTLVQPFSGSLTVP TTITIPASGTNPASVVIVTPPASIAGPVTIVQPFSGSLTVPTTITIPASGTNPASVVI VTPPASIAGPVTIVQPFSGSLTVPTTISIPATGTNPASVVIVTPPSSTAPAAPTSVVT ILQPFSGSLTVPTTISIPATGTNPASVVIVTPPALTALPVTIFQPFSGSLTVPTTISI PATGTYPASVVIITPPASTAAVVTILQPFSGSFTVPTTISVAASGTNPASVVIVTPPA STAAVVTILQPFSGSFTVPTTISVAASGTNPASVVIVTPPASTAAVVTIVQPFSGSFT VPTTISIAASGTNPASVVIVTPPASAAAVVTIFQPFSGSLTVPTTISIPATGTIPASV VIVTPPASAAAAVTVVQPFSGSLTIPTTFTVAATGTNPASVVIVTPPATSGPGAPVTI VQPFSGSLTFPTTISLSASGTNPASVVIVTPPTTAGPVTVFQPFGGSLTAPTTFTVPA TGTYPASVVIVTPPATPSVITVTLTQAGTTIIQVITVTPSVASSSSSSSTSSTTSTSA PPSSSSANPTCTPGVSWAYYALDQGSASAPGVIPSDGPPWTNYPQIFNPSLVLQGQTP SALGRTTTIGFCTNTGANVPIPIYYVRVPRTDYIVTQNVGYFHPAITGSYNFSFTGWI NEAQYLWLGNNAMSGWNSGNANLIGTWGQVNQSFTLSATAGDYIPFRLLFVNAQTTSA LSFTLTDPTGAVVASYSVNVNVCPTTSVPITSNQLVTGCSGLPAIPYEDTSISPPSCS PGVTWAYYDMSRGTASAPGVIPDDTTQTIGNYAQAVFNSSLVLAGQVPSTTGVTKTIY ICDVSPSGAASTLYGKSIPRFDYAAVQHVGYFHPAITGLYNFSFNGYVNDAQYLWLGK NAVSGWTNNNANLVATLMSTQSFTFSATAGTYIPFRLLYANAQAVGALAFTLTDPSGT VITSSVIGSNNVCPGVVVPITSNQLVTSCAGLPPLLFSS UV8b_00842 MAQGRQFPTSFVECWKDALLSRQAEQFQDFPSHHVHDSESLITT SSPQTLLVGTGYSIFTSGIIPAILNAKTSVHFVTCYWAASPSLDAIRHALTQLAVSRR SDGAARQTQLTVTIGFSSWGLLQKLFHTGSRDGHTYPPSQWAKLGLPDQKTLSAGGVQ LRVKSLFFTPFGVMHPKYVVIDEKRAFVPSCNVSWETWFEGCIELEGDVVQTLMAFHS RVWGAGSARTSLEPDTAAHVASAGRDDSLAVDSAANSQTEFLSENGASATQSLRLTGN DVVPTILLPSSHHRNPRFSFFPFLSQANPPTTPLNAALLTLFAHARRRIVILTPNITS WPVLNALLEALGRGVDVQIRTNKGMMLLEQLVTAGTTTSLCLNKFVRKYQQLRGAKSQ PSDVEAQREPPGQLEILYYRPCPRRRGREDEPVVSHFKMTMVDDEYLVLGSGNMDRAS WWTSQELGFLFYVAGMDWHKLWDGVLDERMELLYRS UV8b_00843 MLSNTLVLAALGLAALASAQEPCGLKVAPCPTDKTCVPNAGCPN PKLCPGTCRFKNKYDSCGGKTVSPRSCKPGFECRDDPRLPESCGLACDVPGICLPKEP KRCGGFAGFACPKGLYCYYGPLTGCDPKTTSDCMGICL UV8b_00844 MNERGREKSDNIEGPSTAPGQARARVPFSQASQVERLDAHTYRI HLHADYCIGAVPNGGYTASCMLAAARAHLASRGQPDTLTAHFEYPSRTAAGPAVVVVE PVKLGRQLSTLHLTLWQGRLADHAPWYTPGASRRAVLAYATHANLSAWRGLSLPAGYQ ARPEAALPRLPDWEALAASGSDPAWRVARLPDTAVARGSPSSWRMCLPRRGPLSPGAL DLWIATASGDPITQAALPYVVDSFPYELLAFLVAADDGDDGDDDDDDDDDDDKAPRAA GAASARAILGGGRDDMWLPTVVMNLETKKLLPREGVAWLCVRVTSKQIRDGRLDLSVV VRDLHGDIVALAGQVAMMLSMERNTGKTDKAAL UV8b_00845 MLASPAAPSQLRLHDTSTSTSTSTSTSTSTSTSPRGLGRSDIVA AARQPPPDADYLAREAKTQGRRFCLVRPDAHGGDDAPSIAKALNDDCRRKSLVFLPGP AYSIRTAMTTLAMDDVRIVLLGRLVWSSDVAYWLSVSMPVGFQNQSTVWYFGGDRVSL DGWNVGTLDGNGQVWYDWARSQGNLPRRPMNINFRGLTNSAVRRMRFVQSQMWTMAIT HSRAVDLDDIYVNSTSSSRWSTLNTDGCDTIYSDSITFRRWFVTNGDDAIALKGNSSN IAIYDSEFRDGQGVAIGSMGQYDGKFEYIANFYAQNITLVNTAHVSYLKTWAGVSRGY PPNGGGGGLGHATNITMQDVRISKLRQQPFFAWQCENYSGWAGKDCNSSKFKLSQIAW RNVVGTVVKGVKNVGSLQCSAAAGGCDDVEVSDIKVTVDGTDAVLDKWHCENVHGNKG FVCDNPPQVSG UV8b_00846 MHRIHARFLVFLGTYVCLLGRAGASIPCPDTTTVNYQPLEVRCQ QNTYTLTGIYVDTPCVSAPLASTVYTVATTVAQPASTAAAAGKCELPAQISGFKLYGC AVSAAGFAGLAKIATSQAMTLEACASSCGKTFFGVYSSDCYCGDAAGADVVAIAPDQC STSCPGNGRFCCGGIPKRGASGNILKRQSAASAVALSLYQRISDARPDGGSTQTTGGP ATGGYVTLTQVLTGTNAVPTTVTIPPSGTAPGSVIIQTPPGGQYVTLTQPLSGTNGVP VTLTIPPSGTFPGTVIIQTPAASAASAAFVTLTQPLTGTNGVPTTITIPPSGTAPGTV LIQTPVTSRGFVTLTQPLTGTNSVPTTITIPPSGTLPGTVIIQTPPGLYVTLTQFFIG TSGTAVTVTIPPSGTIPGTIIIQTPHVPDVFVTITQPITGTNSIAATITIPPSGTVPG TVIIQTPVKPYVTLTQPLTGTNSLPTTLTIPPSGTAPGSIIIVTPAGGAFVTLTQALT GTNTAPTTVTIPPSGTVPGTIIIQTPAGGLYVTLTQPFSGTISVPTTLTIPPSGTNPG SVVIITPPAATGMVTITQLFSGSLTIPTTLTIPPSGTNPGSIVIITPPAATGMVTITQ LFSGSLTIPTTLTIPPSGTNPGSVVIITPPVATGIVTLIQPFSGSLTMPTTITIPPSG TNLGSVVIITPPATGIVTLTQVFSGSLTIATTITIPPSGTNPGSVVIVTPPVATNIVT LVQPFSGSLTIPTTITIPPSGTNPGSVVIITPPAATGMVTVMQPFSGSLTVATTITIP PSGTNPGSVVIVTPPVVSIVTLLQPFSGSLTIPTTITIPPSGTNPGSVVIITPPASGM VTLTQAFSGSLTFATTITVPPSGTNPGSIVIITPPAATGMVTLIQPFSGSLTIPTTIT IPPSGTNLGSVVILTPPASGMVTLTQAFSGSLTVATTMTIPPSGTNLGSVVIITPPAA TGIITLTQPFSGSLTVATTITIPPSGTNLGSVIVITPPAATGMITLVQPFSGSLTVAT TITILPSGTNLGSIVIVTPPAATGMVTLTQAFSGSLTVATTMTIPPSGTNPGSIVIIT PPAATGMVTLIQPFSGSLTMPTTITIPASGTNPGSVVIITPPASGMVTLTQVFSGSLT IATTLTIPPSGTNPGSVVIITPPVATGMVTITQPFSGSLTVATTVTIPPSGTNLGSVV VITPPASGMVTITQVFSGSLTVPTTLTIPPSGTNPGSVVIITPPAATGMVTLIQPFSG SLTIATTLTIPPSGTNLGSVVIITPPAATGMVTIIQPFSGSLTVATTLTIPPSGTNLG SVVIITPPAATGMVTLIQPFSGSLTVATTVTIPPSGTNLGSVIIITPPAATGVVTVMQ PFSGSLTIATTITIPPSGTNLGSVVIITPPAATGIITLTRAFSGSLTVPTTITIPPSG TNVGSVVIITPPAATGMVTITQPFSGSLTVATTITIPPSGTIPGSVVIITPPVVATGM VTVMLPFSGSLTVATTVTIPPSGTNPGSVVIITPPAATGMITLTQAFSGSLTVPTTIT IPPSGTNIGSIVIITPPAATGMVTVTQPFSGSLTVATTVTIPPSGTNPGSVVIVTPPA ATGMITITQAFSGSLTAATTITIPPSGTNLGSVVIVTPPAATGMVTLTQLFSGSLTVP TTITVPPSGTNPGSVVIVTPPPPATGPYITLTQAATGNNTMATTQTIPPSGTVPGTVI VFTPPAIVPFVTLTQILTGTNMVPSTVTIPPNGTVPGSVIIMTPPMSYVTVFQVATVT ATATITLSPNGTGPGTVVIQNPLSTGGACPVATSCPPSGLNIDYYANGFYPSGYRAGS PNPAYYLAQNLTPIASALTNYTYFPLNTDSTPATGAYTGVVRYPNNPFTYYIGWVQPV NGGIMVDANNFTLVYSGFYRAPLTGQYKFCITNVDDGADLFLGAGNAFNCSALAGAVA AAPNAPSSKASVPSSVYVNGKLAVAQPLGVSAMSGTASTSVSCSVGDDVLECANKVYK NIQVSSDAVSGSHSAVNIRVSINDLYTGFVDVAWDTKLSECVVTPEVVYEGEGVFCNS KSCQQGMKFKNKKVDTYSRGFAVGATVSPQQPLKVVASPVTPEFNENWTKSVAAESTV ERRMRLEAGQVCFPSTVQLRVDCQQTITVKPAGNPPYMVTYYIAMPPQLGQFPMSDIC KHYKPGSGTDSSYVTSDDLSKLCDTKASKVSFYATLQDGNPWSMEGCMRS UV8b_00847 MTTVSLAPWEAKAAAKRATTLAKIPPTWTLGRDDVERAKSIRNL TGPFIQRFLTKREIFITSKNSVDLVECLRSGHLSSVEVTTAFCKTAAFAHQTNNCLHE ICFDEAIERARFLDAYMVERKTVMGPLHGLPVSLKDQFHIKGLDTTMGYVGWIGSNLG VKDSEQVHNIESQIVTELLSLGAVLYCKTSLPQTLLFGETKNNIIGQTLNPHNQKLSC GGSSGGEGALQGLGGSTLGVGTDIGGSVRIPAAFNGIFSIKPTPGRFSYRNVANTNPG QSTYSSTVGFMSTSLEGLELIMKALLSTKPWLNDPAVFPLPFRQHVVDEILNRASSNG NAKTSAAPLKLGVFWSDGVVQPHPPITRGLHMVVKAVEEAGHQVVNWEPPSQKTAKRI HLSFLKADGAHDIHNHLALSGEPLIPDLSESLRLRTPMDLLEYQDNTVQGVEYEAAYS DYWNEAVGEDGQLVDAVIMPVAPHAAVIPGKFYHTAYTEAINLLNYSVVVIPVTKADR TVDVVDESYQPENELDQRNWNAYDPDVYDGGPVGIQLVARKFEEEKVWAIAKIVLAAL EAAKQDGGVVQPLQP UV8b_00848 MCGPQVTDRPRGGALAALSPPTGSSPRARKRHLQGDLAFHQPGH PASCKATHARLTPAEHHGLGDVHSVRVVIHSTGDLTKDAADCGFYRQFTFPAASSSDS YFEIPLETPLSLEVGSNGIIGRRVSISAAECEAGSEGVLAEGIVGFNFLDQRPSL UV8b_00849 MAALYSLPKLPYAYNALEPSISAQIMQLHHSKHHQAYVTNLNNA LQTYTAASDDIATQIALQSAIKFNGGGHINHSLFWENLAPAGSVAANPESAPTLTAAV GKTWGSLDGFKAAFTKALLGLQGSGWGWLVKEGASLRIITTKDQDPVVGAEVPILGVD MWEHAYYLQYLNGKATYVENIWKVINWETAESRFKGGKDDAFKLLRASM UV8b_00850 MHTLRNSLLVLGLKAALATATDTTRTRTVTTTVITCAASCCHAG SSFNTTLPAPTGFVPPSSTFLSTPRTCSAYGCRGTPSSASTVLASYGTGLPSHPPANT SVGSPTAPSTARDACGPPVRRTSPARHATSYPNSTMPYTPYPSSSPNTSLLTYATRPA TQNRSRPYNTAVSTSLAADNSSSVGFTAPLPTLHTSSGSPRATRTPLYESSADAAGVN STQTRAYLPPPATTLVTTASERLASSSQDLDLPPYGTPTEGPPDYRDPPSYAGYKV UV8b_00851 MEHDTKTPKCVTSDPTSFAHDSVRNRWPVILTGALDDVHRAVGQ TDNPDKQAEGKKIIEQLGNLKYDVLHDRVLTPIVDDGYPDEVARYNKEIEQLGNPTWL NVPWLFSECYMYRRISTFFSLTKHWKDYDVFARQKMDTFRTSRNAVVELAARYRELVS QLRAGAGADADATRDEAAEKLLFSEMFEICLWGNATDLSLLTNLTYEDIQKLQGSEAR KAAEKNILINDLPAAYDLLKKARDEGSKERRVDFVLDNSGFELYVDLALAGFLLTSGL ATQVILRPKSIPWFVSDVLPADFGLLLNALANPRAFFETQSEDDRLQGKTPAPLADKD ADDLVFVFQDWARLHAEGQLVMRPNRYWTAGGSFWRLPSEAPELHEDLKGADLVIFKG DLNYRKLTGDARWDPTTPWTAALGPMGPGSGVNVLSLRTCKADVVVGLPPGKDEELRA TENGGGNSGARRWAFYGKWAVVCLSRGS UV8b_00852 MLSTNALGRYAITMTAKPIMPVEFTAGMDEAHAKMVGYIRTGIS ARPSSRPIMPKTKFKIYIAVQKGDPVDFSKYRHTGIWCVPEDGSSHYYYHVKGLTGEF IFERRKNFDPTASRTFAKRVKVGTTRHSLTPSELAIQMESVPVANNDPEFNCQQWVDY ALRALCHANYLTAEQYSAGLNEMLDAAMEAKDESSAY UV8b_00853 MESENLSTSATYAVSRSRGKQPGESLYSAALRERRKARGQAKSS DESESEDEVEPGAVILHKLFNRRVVLQPDNTVIKSGRRIAVGEAEALKVASRAGIPAP SVHDVHTASNGESRIRMDYIEGQSLDKLWPDMCAAQRKDLARQLREIVEKMRSVVPPP HLIGACDGTEIRDTRLYFTYHSAPCRDEGGFNEFLLSSLHKQIPPLVREAFSSRLGTN HRIVLSHCDLTPRNILVQDGRITGLVDWEDSGWYPEYWEYVKFFHRTADKDWKQYAQD IFPQLYHDELVDYIAITKWQDS UV8b_00854 MIARLSSRPNPLLSAGLGSQPRRWNSWSRDALTTDALRAKVEAG IKDSHSCTGVALHAGSGTVSTAAGDLPISPLFDPCWIKARRRPRKLGPGKPTGRFRKK LAMNPYAQALATPMRKCPITSLKIPRYFLQDFELVKHPSGDSVWWAPGPLAFEDCHED GSQRDSSKDGVGVGVADADAVSAADADALHGPSSSPTERPVSETGSSTVSAQPRRRSP ITSYALSRRSVMEKIGGRNRKYAALLGAFRNGMAVPPHIRSAVWRSDMDDVLLQMMRR HATDALIANSALENRGELALVQPCQSWDQVATVHSGGCVLWLSCAEGAGRRPYATVDQ EEARFGQKIAVHDLRWLLGETQLERLRSSCPVFRQHDIVVLRQLKSKAITRLHLLLWR LQGYLAEPAKPDS UV8b_00855 MKFVAVAAAMAALVSAQTGIPSCAQTCLDNSVKKVTKCSVTDYA CVCEKTNFAAVQGDATSCVIASCGADVAVSQVLPAVQKACAAAASAPAASSAAAAVSS AAASSSAAASSSAAATATTTTAAAASSVGTVCPTTVVTKPTVPTNGTAPTTSAPVTAG AAGLGPVGGLVMLALGALAL UV8b_00856 MVAFPGTKALLLTPATEKTVYKVYLFEAASISLSPDSSIRDGFS RRVHEGWGVLMDDSCGFVCDPPDPDYVAGEYAVSLLAYLKKESEQKTGAVLENFFSLC KTAKPPIPADKLKQFLTVLAKKLDNYI UV8b_00857 MKALLLTATAFASLASGIAILPPSPYKVPHAPDPATMWRVSLYQ AAASSLVEQAARDTFTAQIYKIWRVPMNDPCGLKCYTPSAELLVHECAARLAPYVQAH EEKTASDAVEMLKTLSRATEPPVPADKLTDFINSVMDQLATLGFRNGVDAGLV UV8b_00858 MRGGFARPTGGEAPAAKEGINKDLGSLQDKVERLQRHVERQQDQ IDSQKEEFSKFKAIALSALSKIHDKERSHQPGPVPDGTEGVEGSIGQASQEGPGEECL RSSGLPS UV8b_00859 MGRIFLHLLTFLGLNICLLTGGANSANDCAGNILVNYQPLKVYC QDNTYVLTGAYTSTTCTDAQYITTATTQPPGGTLPGTAATAAATAAASAAPSPAVQCN PPGRVLGYALYGCVVSGAGFPGLGRVAATQMMSLQVCAASCTKRFFGVYKR UV8b_00860 MAGNVYNVGIIGYGTSAKVFHIPFITSNPQFKLHGIVQRSPKDG NSAPQSHPEAKHYTDVKQLLTDPEVHVVVITTPPDSHFELTKSALEAGKHVLAEKPFV PTSAEAEKLIAIAKEQQRLVCVYQNRRWDSDFLLVKHLVSNGMLGRVLEFFTHFARYR ATAPTNWKAELGIASGGSALFDLGTHLIDQIYVLFGMPQAVHGRLLSQRTGKADFVNP DAVSAEFTYPDGKLVNIRISALSAERPQPRFWVRGHKGSFHKFGLDPQEDQLKAGMKP TEAGFGRDQPENMRLFLVGDDSEIIQQQVPELEPETYQTFYAAFARALDGGKEELVPV KPTEARDVLRLVEAVVESAKTGRDVTLT UV8b_00861 MQTAGQAGGLHFGDPRMAERVGHGPATMPFTRSAGPRASRQTDH QMPRDMNARRNPSSAQSFSEDDFSAAGDVLSRSFPSPNSSSPFLNSSYFSSHWLDDAL DLEAGCVSWTSNHDGRLLSWLPSALFGSRIEEKLRNPGSCDSIGYSVKPGSAGYSFAW RFAAFFMFCYFISAYHERLGLQCGQLRFDVTQKQTRPSGDKSMTLLWSEAIITTGAAF LLTQGYFGIRSTRERMERVRQTAVTLAYSFIKTTSTNSPPGLPKDELQLIIYECLALL TAFPVALLYQMRGNSCEPAITRYCQDVAKELQRLRKGENPIVYRQDPWACRARRKHNQ FENVEYFFEVFSLHLQNEFSKQRMRVKTLSMTSQHIIYNLRNNFENMVDLGNFDDRRT PIVRENIDMLALAGRECCIFSSSDIAPVTFLWALNVACHSVAILTPVYHCDWIVNTNR PSPINDGSLQWPESATWAAITGAAVVGATILSILNEMWNMWDPFGRGINTYSWTLGIA MEIDNMVNEFYEYDTKALIRKHAYMAPSSHPYDHVTDNVGESSNSEPRTV UV8b_00862 MPAAAVPARPGALLLLPRASDQSPNGYAPSPVDCPASRPAVRLA AAGLSAQERAWLPRRRNATVAPMRALLKRIAIPGFDVDGYLGRADGQPPAAALPNIGL AVSGGGYRAMLNGAGALAAWDARSSGSDARGNLGGLLQSATYLSGLSGGSWLVGSIYA NNFTSVQDAVNAPEIWQFDESILKGPSQYSLIQYYKTILDEVQAKQRAGFETTITDYW GRMLSYQLVNAVNGGPGYTFSSVALDADFAAGKAPLPLIVADGRDPGQKIVSANSTIF EFNPWEFGSFDPSLDGFVPLRYVGSNFTNGSVPAGGRCVVGFDNAGFVMGTSSSLFNQ IVLYLKDGNSNYVPDDVPRFIVDAVVSLLNALGDQDEDIAEWTPNPFRGWNPAANPGA NSTRLTLVDGGEDLQNVPYHPHLLRARAVDVVFSLDSSADTDSGWPDGASAIATYQRS LLPSVANGTGFPPVPGRDTFLNLGLNTRPVFFGCNATNLTVPAPLIVYIPNYPYVYHS NISTFQMSIKSGERDAIIQNGWAVATQLNGTRDPDWPVCAGCAILARSFDRTRAAVPD KCRQCFARYCWNGTLNEAKPAPYVPDYFGKPIALQASSAAHDGGGAGTLVMSMSLLVM TFTALIL UV8b_00863 MSRRRLLRKLLPFSRQSAFGSNTTAQSPSTPPPPPPPPPSTGSA RCRFSTACPLRNARVRSAGDLPSRTVPRYLQSTKPGSSLLSLHWPRPPRNLLLVQKLY APEVTESVIEFAKHVHAEYPAVNIVVEPKIAAAIREHLPFAIYVSDGRSNIADRIDVI ATFGGDGTVLRAASLFKLHGSVPPILSFSMGTLGFLGEWSFDEHKRAWREMYMSGSGV ATAQEAAVPPRDNGRDGQADGIEGAADSAGSAGSAGWGWGAQRGKSLDTQRASRILLR HRIKADIFDVAGNCINHAVSDTLASEHKTSVAGTKEPSPSLRAINEISVHRGSHPHLA VIDIYQNGRFLTETTADGILISTPTGSTAYSLSAGGPIVHPLVKSLLITPISPCSLSF RCLVLPLDTKVTLRMSHKNRGRELDLSIDGKRCAGVSPGMEMRVEGEFVGRAGPGEEW HGGVPCVIRTEDNDPWVGGLNGLLKFNHPFGREPPSDELAD UV8b_00864 MAKSLENATGPESSIPSPKLTTCPKKDAGTSTESSRAAHPSGKR GHGRGVQILRGLAFTTYFTLCCTIIFITQLVGSPLYLVHREWYYAYMSMTKRSFGLTI TVMTHVFGPATMRISGDESVAGQIQPTRGGAVEFKFPERLVMIANHQIYTDWIYLWWV GYANRPGMHGHIYIILKESLKYIPIIGTGMMFYGFIFMSRKMATDQPRLSYRLTKLKK KRVDPRGNAYLDPMWLLLFPEGTNLSNNGRQKSSQWAAKNNLKDPDHVMLPRSTGTYF CLRELKDTVEYVYDCTVAYEGVPRGKYGEEIFGLTSTYFQGRPPKSVNLYWRRFKITD IPLDDPREFDLWLRDQWYKKDALMEEYLDKGRFPAMHGAEVEYVETEVRTRYPWEILQ IFTVVGLCGLTWHNVRRLLHMAGAKMGFLV UV8b_00865 MASRREVSEKQAPVHFQDHAIEPHDKLQPQVAETGETESGCGFS KQEERNIIRRIDRRLVLTVGAMYCVSLVDRTNMSSANIAGMGVELNLVNNRYNIANLV FFVTYILFQPPSTIIVRAIGPRIHLASITLLWGGVVIGMGFVKKFEELAALRTVLGVF EAGFFPSCVYLLSTWYTRYEVGRRYSAFYLVGCVASSLFGILVYGLMQLNGRLGLAGW RWIFIVEGAITCALAIICYWLIVDFPDSARKSWNFLDQRERQWVLNRVQRDRGDVEAA PFDLWKFVGGAKDWKVWAYAMILFCTCAMSYALAYTVPIILVANLGFSIGQAQCLAAP PYLFAGFVMYAMGWLGDKYHVRGPIIIANMVLCLIGLPILGWHPKAAVRYFGVFLVTA GANSNIPAVMSFQANNVRGQWKRAFCSATLVSFGGIGGICGSLVFREQDRLTGYKPGM YTCIACALLNIVLVCICNVEMLRMNKKADRGEVILEKPDVANDDASHFRYTY UV8b_00866 MDKPAASSSAVETIARDALDDDDDTTRVVDHAAERSLCFKFDGR ILPVLSLIYMFNALDKANLSNAETNGLSSDLNLKQGQYNLVVSVLFIPHVVFAPPFAM LAKKYGPARALPVMMFAFGSVTLLTAATQNFGGLFAVRWLLGMAEAAVFPTGVYYLTT FYRRGELARRLAVVFAASNMAHAFSGLLASAVFSIKSGMYSWRYLFLIEGSLTVLFAV FAYWYLPSSAAQASFLSQEEKALAFRRIQVDSSSVVSEEFSLRQALGIFKYPSSYAFL AIEVCLGVPLQATSLFLPQIIQRLGYSPVRTNLYTVAPNVVGAAVPLVLAFASDYRRT RFPFVALGFALTCVGYVIYAALGDVQAQIRVAYFATFLMRAGVSVPPVFLSAWYNNNI AHEGRRVALASVGGPLEGLMGLVASSVFQKRDAPKYMPALITAACFGAAGGLVTGLVG LYMVLDNKRRDRKAGLRVKAHQVPTAKLRDGPGSPEFRWYV UV8b_00867 MSCTELYPTSPRDVLTKLYVGTSIRNVPTPAAVVNLAAVRRNCE RMLQACDKLELAWRAHVKTHKTLEITRFQVGEDATKPVNLIVSTLAEAEFLLPMLKEY QKQGRKVNVLYGLPMPRNALSRMVAIAQALGEGGVSMLLDDAGQVPILSELHRLSGIV PLAYIKIDMGGRRAGVEAQSQRFLELADAALEAHDRGRMILSGLYSHAGHSYGGDSPT AAVKMMAAELTALLQGADRLTARAADRSSAAGLHRLVLSAGASPTSLAVQNLIAAAEQ QGHRKSPEGQIKPEVASLAGVLATARDRGHVVELHAGVYPILDLQQLAAHSVDPRRLS WGDMALTIVAEVHSRYPGRGAGGTDEALVGAGGLALAREPCKAYEGVAMLTPWGRCGA SLPSCEVEDYRGWIVGRVSQEHGILTWRSGGAAEGESQAAEPDALPEVGDKVRLWPNH ACIASSHYGWYFVVDESRCGKEDEIVDVYIRARGW UV8b_00868 MVRNKPKHRDDGNRAQNPRHGTDSRRAYPSTSSPPRRSQRLQSL RPDNGGRDARDDGHRHYARRIDAQGAVDSYRPQVPQSNFTFRFDKPAGIKDLPREPSR FDHRSSQRDRRGPARNSGRRWQPPPHPSERALISGATLNLPEERLDDGEGKAKFRDLD ELSDDDELDMDISSASDSEEPSRKRARTQKTGQDGETLPKWSNPDPYTALPCPDESTQ KKRDVVKLIRKARLEAIAKPTAPAEAEDFISFDLTDDEQDDKESQEDILPPPPTEPPP PPPPHAPPSRPAAPSVSRGVFGYSREIGLSAADQTGPLGSRKRTADDEIKPPDYGELK KATTRPSKGSITSAWLPKANEDPCPWQIEDHSSTHNMAFRLHKEIMDFYEYVRPRDFE QRIRDNLVENLRKAMRREGRDFASAQVHPFGSFMSGLYLPTADMDLVVCSASYMRGGP PTYLSAKSWLYKFKKFLMMQHVAESSSISVIAHARIPLVKFVDKLTGLKVDVSFENLG GVTAIDTFLSWKKLYPSMPILVTVIKHFLLMRGLNEPVNGGIGGFSVICLVISMLQLM PHVQSRSLIPEHHLGEMLLEFFELYGRQFEYETNAISLARPTGYIRKSEIASLTYKNR DRLSIIDPNNCSNDISGGSSNTSAIMARFQEAYYALRDRMKEVALNPGAGGILDPILR GDYSSFRLQRDYIRHIHEQTIGPCTG UV8b_00869 MSAVLALSAVSQAAVNATAPTTTSSLIFVPLPLSTGQTIGPINS TTAASSGLGPYVILSASSGSPVPSGNSTAFRTFATGLPPTPTAAGPTADPTAGPTASN TAVNAGATLSKTQNSWLVVGLVVAMATLNH UV8b_00870 MSNYYGGQQNNPQYGPPGAGAAQNLQFYPSSYSPAVSGAATPQQ ASYGYGAGASGNFAPAGQTGFTSAGFGGAGVSGRMGEHGGLRTGWLAAFSTEGYDGEP PLLEELGVNFGHIQMKTLAVLNPFRHINQHIMDDSDLAGPILFFLMFGTILLFSGKVH FGYIYGLALLGSTSLHIILSLMSPTEPPSSESYHPQYSEPSSQPASQQGGHFSATLTF PRSASVLGYCLLPLVATSLFGIVMPMDTPIGIVLTTAAIMWCTYSASGMFCAVGRMKG MRGLVAYPLALFYVGFGIMGIFSSRGSGSLANAAAKLNN UV8b_00871 MAITKASTCCTKDGAECICAQKATCSCGKQSALQCNCGKASSEN TVQGPRCSCRARPAGQCTCDRASTENASVCGATCACGSRPADACTCEKAADGGFNPAL ETDFTTRK UV8b_00872 MATFGNEALETALAESEHPGSSRQNAGSHSTRNREAPEKVAEPR LAVADKNHDGDADEDDDGEAQFDRAAERRLRLKIDLYVVPTVAILYLFCFLDRINFGN ARIAGMEAELGLHKYDYNRVISIFYSSYILFEIPSSLLCKWMGPGWFLPLATIMFGVA SLASGFANNYAQMAAVRFLLGISEAGMLPGCAYYLSRWYRSSELVFRLALYIITAPLA GAFAGVLASSILKLDSFGGVRKWRMIFAIEGIMTICLGLVALFTLTDRPETARWLTRE EKDLAVRRVKSERVGQTVVLDRIDKKKLLRGIFSPVTLSTGFIFLCVNITVQSLSFFL PTIVRTIYGHKSVVEQQLLTAPPYLVAAVLTLLFPLLSWRLDKRQVFIILSAPLVMVG FCMFLGSEASAVRYAATFLIAASAFTNGAMCNAQASANVVSDTARSAAIGTNVMLGNI GGLISGWAFLPWDAPNYHVGNGLNLAAASSILVVGLLTQFWMQRDNRRRTGRDVEGAL RGLTQQEIEDLDWKHPAFRWKP UV8b_00873 MAHNYTIVIIGAGVLGLSAALKLQAKLAAEATASHGKPPSQPQI LLVAREWPSAIPGSPVNHSPDYASMWAGAHVRPIPAATPQLQREAAWLKETVAELGRL AAADPGCGLTRAKGVEYLEAPDESYRTLNAAAFADETGLPGYRRYEASELPDGVALGY EYDTFCVNPPMYCDALLRKFLLRGGRTLRRELRNECEAMTLADDVRLVVNASGAGFGD PKCFPTRGQTVVTNLKVDKTVTRQYRDGTWSFLIPRFFDGGTVVGGTKEPGDWRSTPC ESTRKTLLENGLRLGFPQGGSSSSSSSSGRSTSLGNVTVIADIVGRRPTRQGGMRLEV EHRPVASCTKGPATLPVIHAYGAGGRGYEISWGVANQVVELAMPLVQGLSREQAARL UV8b_00874 MEAQPRLQAPPARDTNNIPSSLYLQQHQDKQSRSWPSDGISFYS KWPESLPLVLSSLRTRSPTSSTTSSISSAHIPDSATAFSPSTLESPLIYSATSDVRQR FVRHSLDVSRPPRSTCHRRRPSTATCSTHSSDVDDGLVFVDCLNVASKIPEADKNCLE RIETREIYGEEELSTHPTPVEEEDDDALTSDKGNVLEQHRAPCSSPTQKLDVSFSTFG DAVSPGDADEVLIHTSKTAYGIELGEVRASTGSLHQVVSNFIRNLGQHIWHAPLDTQT SQTTFVSISSATPPNRQGGGNCGHLGKRKKQQTNGDGSEEELSDGEGSRYNPSKRLKP SPRDDENLRLSCPYRKRNPQRFNVRDHHSCAMTYFPKFAELRQHIVKQHTRDDPSAFV CDRCTRDFGTRKELREHQRQPKEQMCDISDHDPEAGIDGPTSNKLLSRKRVSGTSPKV QWREIWSILFPDDDDSLVGPYYYTPVIEHFELSTHYLEALQYLQSTLRDEISNHAGLE TLATQFHHCFFETVERCVQAAQSMPYTNRSNRRQETPRAQSRHSLSRRTPRVATSRPD SAVVVDDITAESASIMGLLPARRRDSVRMTTSSATRRESSLGPRAHAGVFDVAAATPS SLSQPVAASPDLHFGVSNMDLANATAAAVEQWTEGLSFNQQDGASLPEQWMVYGSVMP QEAFSGLDEPLLYHMDYGAMGEPMAGFHGKTIDGTP UV8b_00875 MGNDGGSIPKRHELVKNAARAPTVSELKATALESLAHAWTHCAL SNQVLDMETAVSDWRGRLFNYEAVLRGLMPSDQAAETTPAAFGIKSLRDIVKLVFSKS GDKWTCPISMKEMGPSTKAVYLVPCGHTFAEIAMNEIQEESCPGCGQAFTEENVIPLL TASEKDLQRLERRVEDLREKGLAHSLRKDKSDKKNKRKRKGDEGVGDGGQVKNKWYKQ SGSCISDRQGFIGAGCEE UV8b_00876 MAQQQQVALERLEHITRSLRSRVGDDVRKRAAIQLRELVVVCHR DLAQEQFHAFYNAVNNKITQLITHGSDSAERLGGIYALDALVDFDGVDVAAKYTRFTQ NIKTILRGKDVNPMKPAAIALGKLCRPGGSLISELVDSEVNTALEWLQNDRVEERRYS SVLVLRELARNAPTLMYQYIPTIFDWVWVGLRDPRQLIRETSAETVSACFRIIRERDQ EMKQLWMSKIYNEARQGLKINTVESTHASLLVLKELLEQGGMYMQDHYQEACEIVFKH KDHRDPTTRKTVVSLIPNLASYSPADFTLSWLHKFMVYLSGMLKRDKERNDAFLAVGN IANSVRSAIAPYLDSVLIYVREGLSVQSRKRGSVDPVFDCISRLAVAVGQTLSKYMEA LLEPIFACDLTPKLTQALVDMAFYIPPVKPTIQERLLDMLSVVLCGEPFKPLGAPQPN TLSSVPTINKDVKDPQAYENRRSEVKLALNTLGSFDFSGHILNEFVRDVAIKYVEDED PEIRAAAALTCCQLYVRDPIVNQTSYHALQVVGDVIEKLLTVGISDPEPNIRRTVLAA LDERFDRHLAKAENIRILFFALNDEVFAIREVAISIIGRLARFNPAYVIPSLRKTLIQ MLTELEFSEVARNKEESAKLLSLLVQNAQTLIKPYVEPMISVLLPKASDPSPTVAATI LKALGDLATVGGEDMLPYKDRLMPLIIDALQDQSSNIKREAALNALGQLASNSGYVIE PYLDYPQLLEILQGIIRTEDQRGPLRQETIKLMGILGALDPYKHQQVEERTPEIQRRV ESNQMTDISLMMTGLTPSNKEYFPTVVINALLQILKDPSLAQHHAAVIEAIMNIFRTL GLECVSFLDRIIPAFLQVIRSSASTRLESYFNQLATLVSIVRQHIRNYLPAITEILQQ YWDTSPSLQTTILSLVEAISRSLEGEFKVYLAGILPNMLGVLDKDSSSKRIPSERVLH AFLVFGASAEEYMHLIIPVIVRTFEKQGQPVFIRKHAIDTIGKISRQVNLNDFAAKII HPLTRILDAGDPSLRVTALDTLCALIQQLGKDYLHFMRTVNKVMNQHQIQHQNYELLV SKLQKGEVLPQDLTSESRFNDNADETPFADLGTKKLEMNAIHLKAAWDTRGKSTKEDW QEWLRRFSTTLLTESPNHALRACASLASVYLPLARELFNSAFVSCWSELFEQFQDELI QNIESAIKSENVPPDLLGLLLNLAEFMEHDDKALPIDIRVLGREAARCHAYAKALHYK ELEFLQDQSSGAVEALIVINNQLQQSDAAIGILRKAQLYKEGIQLRETWFEKLERWEE ALAFYNKREREVPADQPTPVDIVMGKMRCLHALGEWDALANLTGSTWANSAPEVQRMI APLATAAAWGQNKWDHMDNYLSSLKRHSPDRSFFGAILALHRNQFREAITCIEQAREG LDTELSALVSESYNRAYQVVVRVQMLAELEELIVYKQCDEKKQATMRKTWETRLQGCQ RNVEVWHRMLRLRALVISPADNMRMWIKFANLCRKSGRMGLAEKSLKQLIGSDAPLEA MIPYWPESSRSERAGSAPPRSIPAQVIYAMLKFEWELGQQPPARGEGISERTLYCLQR FTNDTTHRLDVAKAHLAAQAGSVEANLPTDYGFQGPIDPSLMSPQTQRALHDQTVLLA KCYLRQGEWLIALNKDDWQHIRVQEILNSYSQATKYNPRWYKAWHAWALANFEIVQTL SARNESQLSRADQTVLIEHVVPAIQGFFKSIALSAGSSLQDTLRLLTLWFTHGGSSDV NSAVMDGIANVSVDTWLEVIPQLIARINQPNKRVQQAVHNLLADVGRAHPQALVYPLT VAMKSWQNTKRSRSAAQIMDSMRQHSANLVAQADVLSHELIRVAVLWHELWHEGLEEA SRLYFGDHNIEGMFATLEPLHGLLERGPETLREVSFAQAFGRDLKEAREWCHQYESSK DVNDLNQAWDLYYQVFRRITRQLPQVTSLELTYCSPKLLNSKDLDLAVPGTYRSGQPV VRIMSFDSTLSVINSKQRPRKLITHGSDGVSYAFLLKGHEDIRQDERVMQLFGLCNTL LANDSECYKRHLNIQRYPTIPLSQNSGLFGWVPNSDTLHVLIREYRESRKILLNIEHR IMLQMAPDYDNLTLMQKVEVFGYALDNTTGQDLYRVLWLKSKSSEAWLERRTTYTRSL GVMSMVGYILGLGDRHPSNLMLDRITGKIFHIDFGDCFEVAMKREKYPERVPFRLTRM LTYAMEVSNIEGSFRITCEHVMRVLRENKESVMAVLEAFIHDPLLTWRLTNAASPPGP NFRSEREVELAGPAAARARRHSILDADVAPSELLAHGEPLPLAAPTSRSRARTNSSAA APDGNALNGGQEAESQNARAIEVLDRVQQKLTGRDFKSNEELDVINQVNKLIVEATKL ENLCQHYIGWCSFW UV8b_00877 MQHPRPLVLLSAAAASFTVVAAAGGLPRGVGPEYASHYQRGAEF GCISDSSVKLSFDRVNDNTCDCPDGSDEPGTAACARIDPLSPQQLLPASGLAPGRAKP ALPGFWCENKGHVGSYLPFMYVNDGLCDHDLCCDGSDEYAHVGGVQCENRCAEIGREH RRLAGEKRRQMETAAEQKSKMLAEARELRAQTEARIGQLQAEIAALESGRAELQKKHA AAQLQDKGRVVRSAGATGGKLGVLVGLAKARVDELRDALDRVVRQRNDLQSRVEELET ILRNFKQEYNPNFNDEGVKAAVKAYDDYAAREADTVREPVSDSDVDQVLHEDSESSGV NWAEFEAEESSDTDVLYNFEAYLPGFLGRFIHDRVASVKAWLVQNGMLADSAAPGSES PSVKAAREALEAATRELEEKTQLRDRETEDLGKDYGPADIFRAIKGKCVSMDAGEYEY ELCHLDKTMQKSKKGHGHTNMGNFARIERQVADDEERPDGKSLGKGERFVLKYQDGQQ CWNGPPRSTDVWLGCSDKEELWRVSEAEKCVYKMEVGTPAACDDAEPAGQRGGGKDEL UV8b_00878 MPSVRRDDDAIKSLDSLVQTAASLLSRLQAVLLEIQKSPASSPA SSPSPAVATDAVPDARLHALALAHDSASLIRAHGTKISLLVINEPFTPAAVSAVLGEL AAGPVPALVSSVQACTSHLYTEAVRKELAGKANRVLSELRELLKTIPRHAKVLPGSES PRRAFSPPDRGSLPATGLLWSACDDVVNLSKGGVGGFFVQRVRQWKDTLCDITQELKD WGDEEPDDGDDDDDHDDDDDDDDDDDEPGQCSPVHAVTERLANSSLSTQAILDQLMSS HQTIPRSDPDRIRPRLETSLKRLRLVTLLYQALTKRRLRKLPPLPPGPDQDASVAPRL DAMARALAKLPETFGDLACAFYELAPGDIDAAMDQCLSDALAATELLANDWQGGRDGF SDWTDKFRVEIDKM UV8b_00879 MGNSSTKESRHGEGPDGFSDDRRADVAEFGRSRGRVGRGDLGGF WNLQPPRDRDRDRQDAPFEHRETRQEREARKLEKERIARAKERERSLREEHVDGGYLV TLGTYTGTEDFSKPVVRQLQLERKLAPFWRGLNDWSDSWAEHQLVAAARGLQIPAADA PPDLDLMPRPAPQTESSQSQSLRNLMVPLGPRTLSAASDRSGSGPGSSLPSPTTAAAS KSSSIKPRAKALAAALSVGSRDASSTDLSPKETLLPHDPFVNGQPIEVYLYKDALECP ICFLSYPPYLNRTRCCDQPICSECFVQIKRADPHLPAHHPNGEARDPNEGLTPEDPPE ILISEPSACPYCQQPEFGVTYEPPPFRRGLAHSNLLSNPPSSTAMSSQSSLNSTLSPP PFTQQLGRRRTHSLSATAPNVITTDRVRPDWATKLASARAHQARRAAAATALHTAAFL VGAQENRSILRPGRFGRRSTGTNTPGHDQGGLSRGGQEADSAEGEAVDRTGDGTRTRR TRMEELEDMMFMEAVRLSLATEEERKRKEEKALRKEEKKRQQAEKKAQKKASKDPYGG SVSGASGSSLSLGLGRRRGNSGASGLRVEAMAQGAAAQSPPVDETRATETESTEEAAG KGKGVDRGPPESSAASLPIPAGPPRGRSHLRQMSNASSLGSSLVDTPSESYKGPGLAG PEDGGCRSEAERDTGSEPLFNFRSLAELVGVNIDDGSAHYVGGGPKNATSGNNADTTN RPLSQVKEDEKEESTIQHVEKTVPERTAVGVRETPAVLHDESTLVAQDGNDGLSPKIT FTPGTPMPDDDVAATEAKQLGHAKITEHPQGVGQ UV8b_00880 MAGPSAFRWSGSFKVLPKEATSAKNLSGDKIILPQSALEQLLAA APSQSVPASGVAAQDPFSQYRPNRFGFGERQQLPHPLTFKLVNPQNHNVIFAGIREFS AEEGTVGLSPFLFEALGIEYDQRAPRDGSETRDTHDADSQTAVSSAMIEPNQVTVHAL LVPKGCYVRLRPLEAGYEGDWKSLLERHLREYFTCLTKNSMLSIRGARAETFKFLVDK VLPEGNGICVVDTDLEVDIEALNEEQARETIRRQMMAQEVNELSGAISKGGNLDIWKD VAGKVQPGGYVDYTLPSWDRSQSLIITLSGIAQEDGLDVFVTPRSSRQRALPRDTTHV FGNFYAAEHGTKAIAISSTNVEMDGAESILISVHAPRISQEQRESEPLTFTIRAKLGS QVAKSQLDAIHHDSNEEQCGNCHQWIPKTTMVLHENFCRRNNTSCPRCRAVFKRNSDE WNSHWHCDYDSAKGNSLFGKTKHDIVFHKMRQCQDCEFSTNSLVDLARHRTSDCPAKL ILCRFCNLEVPQEGDPSNPSPEAILTGLTAHELADGGRTSECHLCDKIVRLRDMETHM KHHELDKVSREKPAICRNANCGRTIHGVGPRGQVGAGAGAAQGQDPGNHVGLCAICFG PLYVSMHDPEDRALKRRIERKYLGQMMTGCGKRHCRNEWCKTGRAHGGLEAKGCSASA ILPLVKPLVAMVSDSRGKMYFCVDESSQERRKLAESLATEKVWDLEWCVAAAEAEKGD LERMRNWLQAWAPRHRRS UV8b_00881 MQFGTLFHPLQVSQVGLIESQGQPSIIPASYNILGISSRRIPSQ GRHSVKVARHISSSSSPTSRKRELKPAL UV8b_00882 MRQATQHSPTREEPERHTITLFDVLHNSLILRTIAPYLPINSLL QLSASNKEFQSLIYSTPGVFRHLDLTHIKRAKSDVPIDNGGERWRNVQLHDGLTEDEY YSSGPLGGIFSVLRQKHILQDVQTLVLDGMSVTADLCYAIINDASYSVRILSIREVRN LNQGKLRAALQYSCRPGRPKNSPRVKALYVFGPQESTRRGIHNSSFGLGWNHKSKPAL TWSLAQEGEDWWSKKGRILTRRISPEWVNCMAACAGLIAFDAVLCQGPRHVNSPVFGC PSMPADTAPAVASHALGGCDGCGKAPEGLLAQDASSPFSLPLLTPLPILTSSVQSATR PTQRGLSFVPRCFDCIRERYCTCCNKWWCESCYQLPGHSQDVGMNSFLVLDDDSAASI AQILDMQEAVSKTKSRVSKSCWECGNNCDSCITKTQRVCNKCCAGYCTVHNEGSSSEF CDWCVSRGRGLGRQEPKSTGMKNALSGVPTCLTRRRMRDAVTRPLL UV8b_00883 MAGGRKAKPASPSRPSSTLVVDNGGYTLKAGCVADGRIDEPRVI PNCIARDRSKKKIYVASDLAKCPDYGEMHFRRPVERGFIVSWEAQKEIWDQELLGDGS AKRDPAEMRLILAEPPNGLPSLQSNCDQVVFEEYGFASYYRGVGPSFNAYLDAQSLFR TPRDASTAANVPAEVLLVVDSGYSHTTVTPLLRGQPLHSAVRRLDVGGKLLTNYLARL LSLRHFDLRNETYIVNEMKEAACHVSLDFKSDLEKTWKGTRGETRPSYLAGAGVFKDY VLPDFHARPRGLLREYDAARHGKTRKLAAPNHDGDEDILTLGNERFAVPEILFNPSDM GTRQPGLADLVRQSLQELPVGLWPGLLANIVVVGGNSLFDGFVQRLQKEVVQRFPDDC VVRVARPADPITSTWRGAANLATHADIEKLVVTKMEYDELGPTVVARKFSAGFDAA UV8b_00884 MAPQPRPLSQVLPPLVLGTATFNYQYNHDPSQMPYNEIVRRALE LNIAAFDTSPYYGPSEVLLGDALRAVTPPPRRDDYFLITKAGRITNDEFDYSPSWIRY SVCRSLDRLSTPHLDLVLAHDAEFVSTTEVLQAVQELRSMRDQGLIRYVGISGYPIDV LVSLAEMVKEQTGEALDAIMSYSHFCIQNSQLGRRDVLERLQKARVGCVLNASMLGMG LITTRGADNGPMASWHPAPPQLRSACRDLSRIARQSGEQLEQVAIRWALENWARVGAP FGSAAHPQALASDAAADESGSPRRIGITVMGVSNVDELEETWTLWNSVVGFGGQPDQP AAARRDKIERLVKEQMWPRLGPWKDYAWESGGKTFSNKRQTKGAIPIDAVAQRWGLIP QNILENPRI UV8b_00885 MSNTVDRSADDRKDSIALRTAPDVEHIEAPDSGYINGVLGSQIF INAVEYVGAPEVDESKTSLIVSILSCGTFFGALIAGDVADWIGRKWTVIMGCAIYALG CAVQMITSPEYALGPIVAGRVIAGLGVGFESAIVILYMSEICPRKVRGALVAGYQFCI TIGIMLASIVVYGAKDYDNTGAYRVPIAIQFPWALILGIGLLFLPDSPRYFVKKGKIQ NAIDSLSRVRGQPPNSEYVQTELAEIIANEEYERALIPSTTWFGSWANCFKGSIWSGK SNLRRTILGTSLQMMQQWTGVNFIFYYSTPFLKSTGAISNIFLMSLIFTLVNVLSTPL SFWTVERFGRRTILIVGAAGMLICQFLVAIIGVTVGFNHTHPDPANPENHLADNVPAV NAQIAFISIFIFWFASTWGPGAWIVIGEIFPLPIRSRGVALSTASNWLWNTIIAVITP YMVGEKRGNLRSSVFFIWGGLCSCAFVYAYFLIPETKGLSLEQVDKMMEETTPRTSAK WKPTTTFSQTVGTGNYMEKPIAQA UV8b_00886 MPKYTERDLQEAVREVQQGTSQRSAAKRWHIPRATLQDRLNGGI SHAEAHECRQRFSRQQEKLLSRWIFHLAALGVPPTQVQFEEFASRILVVHGDQQPLGK HWVQGFLRRNTEVKLVKGHLFAAHPSLEPGDTTCSSTSTHQSRRMRLQEPSSRSILEG QPTENYRHEEEATTNWDSYTYMENEADMLFDSEGLDIPDWIEPSATYLEHYNFTLSD UV8b_00887 MATDKITFLTNWHATPYHAPLYLAQAKGFFKEEGIKVALLEPND PSDVTEIIGSGKVDLGFKAMIHTLAAKARNFPVVSIGSLLDEPFTGVIYLEESGITTD FRSLKGKRIGYVGEFGKIQIDELTSHYGLTPDDYTAVRCGMNVSKAIMQGHVDAGIGL DNVQMVELEEWLASQGRDKSGVRMLRIDELAELGCCCFCSILYIGNETFVSNNPEKVR AFMRAVKRATDFVLSDPRQAWDEYVDFKPAMKSPLNWKMFERSYAYFSQDLKNVRRDW DKVTKYGKRLGVLSPDFEPNYTNEFLTWTLEPDSADPTGDQKRMVELQCHVARCGGFR RLPGAVGA UV8b_00888 MKSIAAITALLAAAAVSAAPAADANPPVENVDIVDFVLRDTVDK DGLTKLDSVRFNLQLGNQIYACDVHGGVSFPMDTKFPVRCSAKPDYSWALIPYDGHDF KYALQIHHYRGKGVYFSGTGAMPTNCDKHYAPGAGHHECYETSVAVTFGLTPAKPHAE UV8b_00889 MPFAQLVLGSPGSGKSTYCDGMHQFMGAIGRACSVVNLDPANDH TSYPCALDIRTLVKLEDVMRQDQLGPNGGILYALEELEHNVDWLQDGLRELGDDYVLF DCPGQVELYTHHASLRNIFYKLQRSGFRFVCVHLSDSICLTQPSLYVSNVLLSLRAMI QMDMPHVNVLSKIDKVSLYDELPFNLDFYTDVDDLTHLTPFLEAESPALRSEKFAGLN EAIAKMIESYGLVRYEVLAVENKRSMMHVLRVIDRAGGYVFGGAEGANDTIWAVAMRN EASMMDVQDVQERWIDAKDEYDRLEREADEEQAKLAEEQGTEPETPAPSNPSWPAAVQ GGGTDPYADFGDMSIPSSSGIRVVRKN UV8b_00890 MVRIKERYLLVNIIYPPDAKNAATHNVPSLVVQHQPTRETLTPQ ALLKGIRAHVALLFGDCGLGAFEGTLSVKYLSLATSTFILRCPRAHYRMLWSALTCMD RIPTKDGRPCIFRVVRVSGTIRKVEEEAIRQARQLLLEVKQRSDAASQLSQSSWFQQR EPLLDIVDKESPEVKDMSDMQEEAG UV8b_00891 MDDSPLTAAILIVSTTAATDPSTDASASVLRRVLGDQGGKWTVS AEAIVSDHSPAIQRQILHWADRPDAPNLIITTGGTGFAVADGTPEAVHPLLHKQAPGL VHAMLSSSLAVTPFAMMSRPAAGVRNKSLVVTLPGSPKGAGENLQAIIKTLPHACLQA SGANSRALHAGGLAKLESDAGISSPRAQDGPCHDHDHDRHHHHHHHHHHQHDPHGHGH GHGHRTPVRHTNPDARAPLSNDPALGPTRRHRQSPYPMRSVEEALSLILEHTPPPDTY TSPVSEEIIGSVLAQDVTAQEDVPSFRASIVDGYAVVVPRDGNIRGTFPVASVSHAAP GEIKPLNEGEIARVTTGAPLPPGATSVVMVEDTILQTVTDDGKEEKEVEIQAQGVREG DNIREVGSDIARGSVILQSGEQISGTGGEIGLLAAVGVAEAKLYRKPVLGILSTGDEI VEHDRPGPLGLGEVRDTNRITLISAAKQWGYEVMDLGIARDKPGSLEETLRGGLRQAD VLITTGGVSMGELDLLKPTIERSLGGTIHFGRVAMKPGKPTTFATVPVKDNSGRRVSK VVFSLPGNPASALVTFHLFVLPSLHRLSGVSPAGLPKVPVVLGHGFPMDARPEYHRAV VTVGGDGVLVANSTSTGGQRSSKVGSLRAANALVCLPSGKGEMAKGSVVDALLMSSVR QS UV8b_00892 MAYYYGLGAVASSLLVVGAYMLFTGSGEAFNVGAFLESVSPYAW ADLGIALCIGLSVVGAAWGIFITGSSILGAGVKAPRIRTKNLISIIFCEVVAIYGVIM AIVFSAKVDRTGVAQMSSPAAYYTGFALFWSGLTVGFCNLVCGVAVGINGSGAALADA ADPSLFVKILVIEIFSSVLGLFGLIIGLLVSSKAPDFGSTT UV8b_00893 MASGAQSYYELYRRSSIGLALTDTLDDLISEERINPQLAMKILG NFDQAITEALQKGVKSRLQFKGSLDTYRFCDEVWTFLIKNVTFKMDNGSQSVYADKVK IVSCNAKKPGEGP UV8b_00894 MVRQRRLQHADPSSPAMAMPEPPAQPATTTTTTTPCHSSPSQWI VYALASGACAALNGVFAKLTTTELTASLSRSVARILSLSHHETAVQVIIRGGFFALNL TFNGVMWTLFTKALAKGSSTTQVSVINTSTNFLLTALLGLLVFSEALPPLWWAGASLL VVGSVVVGRNDEAAGGGGGGGRETASQGGGTRADGETTPLVGDGCAE UV8b_00895 MSVHSTSAGRVPGNIRRPSTASRLSFAFSTAERGEAAAGPPGEA QIEEEIAEIKRYEDFTTIDWVQDASREQARRKSRQKRAAGLYERGQQGWRYRVWASYD AAQGWIVVTIIGAAIGLNAALLNIITEWLSDVKLGYCTTAFYLNENFCCWGEDNGCAN WHRWTGFEPLNYVFYLVFATCFAFVSASLVKSFAPYAAGSGISEIKCIIAGFVMKGFL GFWTLVIKSVCLPLAIASGLSVGKEGPSVHYAVCTGNVISRLFAKYRSNASKTREILS ACAAAGVAVAFGSPIGGVLFSLEEMSNHFPLKTMWRSYFCALVATAVLAAMNPFRTGQ LVMFQVKYDRDWHFFEIVFYIIIGVFGGLYGALVIKWNLRAQAFRKKYLAKYAVVEAT LLAAATAVICYPNAFLRIDMTESMEILFLECEGSEDYHGLCETDRRFWNVVSLSMATG LRILLVVVSYGCKVPAGIFVPSMAIGASFGRTVGILVQAVHEANPGSLFFSACKPDEP CITPGTYAFLGAGAALSGIMHLTVSVVVIMFELTGALTYILPTMIVVGVTKAVSEAFG KGGIADRMIWFSGFPFLDNKEEHNFGVPVSQVMRTSVVSLPAHGLTLREVERLLRDDK YQGFPVVEDDAGARMLVGYIGRTEIRYAIDRLRRERAISPDARCVFAPPPPAATSRTP MTPTVTVTVTACIDSTASTSLDFSRYVDATPVTAHPRLPLETVMELFRKIGPRVVLIE YHGRLTGLVTVKDCLKYQFKVEAAENPKDDHRIREGQEQLWNTLRTAGSWLSGHVSTA SRGRIQLSGHDEVGMQGRGGGPILEGDEDVAEEGVELETRQ UV8b_00896 MPYNANAIPPRREPTGQTQLPLSRVKKIINQDSDVHMCSNNAAF VMTLAAEMFIQHLAEEANTQAKLERKPRRNIQYKDVANAVSHQDHLEFLEDIVPKTAP YKKVKAAAETTQARLRGGKVPEPVQQDYTQTAMDRSGGLIVNGASSASMVPLRTNDRR EDPSEQLRLAMRRANGSERDGDITMAG UV8b_00897 MRLTAELIHDSLSYLNPLKERELDLRGHRIPAIENLGAAGPHDS IDFTDNDIQVLGNFPLSPRITTLLLARNRVASIHGTLPAAVPNLANLVLASNELEELA DLDVLSKFGRLTHLVLADNPVTKNEHYRYYVLWRCPSVRFLDYAKVKEAEREHGRELF GTEEEPTALALEIMGKRSQTLGASFNGSAAPRSKLSRIKLTDEEKRRLQDRIRKATSL QEIVALEKELNEGRLPAGIHADAMEE UV8b_00898 MGQGFSLATPSAGSAGIHVPQLHDVQYERSIGNARFMKSIRGRH EHGVVLVKVLLKPYAEVNLEQYKKKILEERKALADVPNALAFQRIIETETNGYLVRQF QYSSLYDRLSTRPFLEDIEKKWLAFQLLCALRDCHARDVYHGDIKAQNVLVTSWNWLY LTDFSSAYKPVLLPDDNPGDFSYFFDTSGRRTCYVAPERFYASGDGSPTGTKMTWAMD IFSAGCVIAQMFLESEIFSLAQLYKYRRAEYDPVITHLSVISDKDVRDLIAHMIQLDP EKRYSAEQYLEFWKGKIFPSYFYSFLHQYMELITDPTSGNSPMSSCQKNMGESDDRID RVFYDFDKISYFLGYRSGKRLPRQCSPMSRLSLAHFPVRLSIPHHEHVVSADLEPPED DGTLIFLTLLVSSMRTTARASSRVRACDILLAFSERLTDEAKLDRVLPYLMTLLKKEE TDVVIVAAIRTITQLLQLVRMPTPINSHIMVEYVLPRLEIALGSRSRAASSLVRATYA SCIGSLASTSLRFLEIASSLRADRSVPIADPEVEPGAEAEANFESVFDNAGRELFEIL ESHTKQLVEDADVHVRRAFLASVPELCLFFQEQSNDVLLTHLNTYLNDRDWTLKCAFF DTVVGIAAFIGSTSLEEFMLPLMVQTLADAEESVVQAALHSLAQLAGLGLLSRPRIWD LVDLVGRFTMHPNIWIREAAAEFLAQSVAFLDPADVRCILLPLVAPYFKIATLVELDE LGMLDSLKKPLTRAVFEQAVTWASQADKGSFWKPLQKMQSLAFGPSGTRASKDLKVSS MSRIARSEEDEQWLSKLRKLGLEADDELKLLALGEHIWRLGKAKSKAGDSAAATATPG VQNGLISLKELGVTPQTVFFNDAPLRDPSMTPDLDSPAEPYTIADALLDAAMTIDDDG TERRSTAIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGFGFGIGFGFGFGSAE TLVTRRAAGGTVANDDVFPHDGPYSSRRKAMRHQSSVLSLLDRKDGNKSAAETSTSDT NAFGEVKGPFAQNAPIISVTPTRENQEGGPGPKPARHTYEGRDPSIQRMLDQMYVDNF PRDILEFGPMVQPIARSKARAVSAQSGEEHWRPEGRWIATFGEHKGPINRIVASPDHV FFITGGNDGCVRVWDTARLERNISHRSRQTHKHADGARVLALCFVENSHCFVSCASDG SVHVVKVDAVSTSGVVRYGKLRLVREHALAEGEFAVWCEHFRQESNSVLLVATNRCRI LGIELRTMAALYSLDNPVHHGAPTCFCLDRKRNWLCVGTSHGAVDLWDLRFKMRLRGW GVPGKGAIYRMCIHPTKGRGKWICISGGTGQGEVTVWDLEKTTCREIYRASGNGRDGQ GGGYSAWDVDEDEDYKKAEGMLGRFATNLESSEASNADRGVRAMVAGTGTAEGSRDVR HAFIITGGSDKKLRFWDISRIEMSRVFSGLAWDEQQPTYSATHPTTALALSVEKAHGH ASSASGSDQAGGGRTGGGSSRGKGPSGRAPRSTVVSLQQQRLLQSHLDAILDVAVLEY PYTMSVSVDRSGSVFVFQ UV8b_00899 MDALRGLAENIPDWQQRLQHLAGQIDRRQVQLATLANADVISDL PWIESITRALQLDDDGLVDPNVPDHIPTNHESPWTTLPVLSEYVQVELASLPIPGSGS REAELHQKALEAAIAHSRALAQKRKKKMAAGSIVSAEGVPPRYITHDLIIVYYDSYVQ SFFDEMVKFISSSRNLMRKARMAAKVAQIKRMAEIEIANDAKRSSDERFAVDALPSLR YMSSRYLGPRTNGQPSLEKKSSYGRGEPLDMYDSLDKILDLVQSTCEHGAHQFLRDAN CFDDIRKIQVLLVEALQASRKELHRAERDDSGPVEEAEETEDARVFRPASVRRDVASE PQDETIVEKEQRSTTDLSPTKQRGGTPEVDSEPDDERFVSELPRMQYQSTLLMRSKAC UV8b_00900 MATAAAPWRDAFTSDLESMKSPTFTLSSLHRASSPSSPQTPQFL PRARTVVYRGMWASLQPNSKNKNPAPLNPSHTYETDLPTCTTDVRMEKVGEMLDSQGT GRSSSGGGKASASASASSRVGGPIEAVFWVPDRMTQWRLRGHVCMVGPDIDSDRDVSV REHLAPYMRPVRGNVASWSWSRELTAHFGNLSPLMRGSFRNPPPGTPLSSPAGCFDGL GLGQEVDDLDDDVARKNFRVLIIVPAEVDKVDLSDAKKGRRWNYLLERTVGGDEVWKV TELWP UV8b_00901 MDSSLSHHAHHRHTGMREASTTLPPLGLKSHLDSPTGIPSSSLV QGQIHAYPRHPGPPKNVTASTAYQEQPSGERSPGNSDEPLKKKQKRNKPTLSCHECVE RKTKCDRGRPHCLACIKRQTECRYAHVANLLEETSRSAANGHRMTRPPKKKSAGDVST TVPNIADRGAVKNQDASSRGAAALSIGLLSHVPYSVTEASNVFGIGSEHPLANYWTFE GGLPEVISVLPDKVQADALVGRYFECVDPVYPMIHRQTFYADYEHFWRMNVEEKNQMD AAFIALIFVILALGAQFTTCKSPKERKQTAEFYASASNRALRVSSYLSTASLRSIQTM VLMTYFLVNDNHASDGWAFAGILIRQAYAMGLHRDPNIVTPHASPFEKQQRRKVWQAV LLQDTFLTVLLSLPPSATHTDVSVDDLLDDSSSIASSDPADTAYVRGSWMLANLVQET ICSPRSLDVPICTTVRHKSKLVADFRAVYRSFPDVFRCWDADSLTAVASTNKRIIRQT LVLTSNYFHNLMLVHASESPDVPVNVRGTLEAAHDAISAFFLMFSLLESEARVWLVFN HRAFLEALCIANVLRETGKDATGRNMLARDPLFVRARADIARMMQIMELIGSDSDVAR TRVQVLREFLDQNDDLQDPGISSG UV8b_00902 MRQHGSDAQALSAPFPWRLAVFDAHCHPTDTMGSIASLATMRAS ALAIMATRSQDQDLVAHVASVHAAAGDGPFRPPSSQGDGDDDGAAAAARGACRAIPSF GWHPWFSHQLYDDVSPAPATYMAPPPPPPSAPDEPDNAGLLAAKRAHYQAVLAPAPQD DGFIALLPAPVPLSSFVSSTRARLAAHPHALVGEAGLDKAFRIPENWDPALAAARDQG LTPGGREGRLLSRHTVKLQHQQAVLRAQLRLAGQAGRPVSLHCVQAHGALYETLASLW KGYENHVPSRRERRNVAPGAEPYDSDSDSHSHSHSHSHSDPDPDSDDCSDGREPTGST AGQKPFPPRICLHSYSGSVESLRQWLHPKVPATVFFSFSIAVNLGTQAARSRFAQVVD AVPGDRILVESDLHAAGEDMDAALEHMYRQVCRVKGWGLEEGVKRIGENFQTFVFGDA QKR UV8b_00903 MALLNPVYAIAVPSLLVVTLPLALLAGVTTTLAFSALIFRVVVV YLDVALSLVPQSLAGLRPHGRHADLDARPPAACRASRAGSRSRSRASSAASSAHQQQQ HPLFQRRRRSRRRRRLSSSANAPPSSGGTTTPIGGDFGFGLGLVPSVGADRDFEGIGG WRSGDDDQAWTTINSRMELPDRGGFAKHHYRTPSGGGATTPGDGGVLMMKTTRRSPEP RTIALAATSPNTSRTRTPSASRMQSFATLGSSDGYFPLAMSPKANKKLQLPM UV8b_00904 MLLPEDPATLIRHTVNNFNIQPDKLAVSRIGESLSTLQQARELR RRDMDARLRKLARQLATLAAQHAELEASHAAADHASSIAALDTRKFRTAKAAADAEVE AERLARQAADLAARLHELELQGVEGDDAARRRDAVDDEVLLRLKVYRSLGIDIERDGR DGEWTRAVVRNDRKGDVHVVNMDSRFSRYFYANYFWQTL UV8b_00905 MVTQLPYALDAETPLNPSELQVLRAQYEKEGDMVGVQTKFNYAW GLVKSNNRNDQQLGVRLLSDIFRLSPERRRECLYYLALGNYKLGNYGEARRYNDLLLD KEPANLQASDLQQLIDSKVAKEGLMGVAILSGVSIAVGVVGAFLLNNARKR UV8b_00906 MASNGSDSLVGSPTLPSTVSSQAASSIQDTRNIVRRKLTGYVGF ANLPNQWHRKSVRKGFNFNVMVVGESGLGKSTLVNTLFNTSLYPPRERKGPSHDIIPK TVQIQAISADIEEAGVRLRLTVVDTPGFGDFVNNDESWRPIVDDIERRYDAYLDAENK VNRMNIVDNRIHACVFFIQPTGHSLKPLDIEVMRRLHTKVNLIPVIAKSDTLTDEEIA SFKARILADIKHHGIQIFEGPRYELDDEETIAENNEIMSKVPFAVVGATNEIKTADGR AVRGRQYPWGLIEVDNEEHCDFVKLRQMLIRTHMEELKEHTNNTLYENYRTDKLIAMG VSQDPSVFKEVNPAVKQEEERALHEQKLAKMEAEMKMVFQQKVAEKESKLKQSEEELY ARHREMKEQLDRQRAELEDKKQRIESGRPVEKEGKRKGFSLR UV8b_00907 MRSRLSIRQLGNGAVRTLSPQFIELPHHAHKSRQFATLGQVPPV TQDEAGSKGPTAMVFLNMGGPSTTNEVGDFLSRLFSDGDLIPLGRLQGYLGPLLSKRR TPMIQKQYAAIGGGSPIRKWSEYQSAEMCKILDKISPETAPHKPYVAFRYASPLTEEM YQQLLADGFGNGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRHRLENKKADETGSGTI TWSVIDRWPAHSGLVEAFARNIEAKLAEYPEERRKDVVLLFSAHSLPMSVVNRGDPYP AEVAATVYAVMQRLNFSNPYRLCWQSQVGPSAWLGPQTATTVEEYVAKGQKDLVLIPI AFTSDHIETLYELDREVIGESGHTDTIKRVDSLNGSTVFIQALADIAKAHLHSREACS RQMTLRCPSCKSERCAETKRFFAGQQVNL UV8b_00908 MTGNGQNWKREEEDEDDQEIDEASYKAQKDAILMAIEVSESMIE PPPPSDSKKADRNSPLEAALKCAYHLMEQRIISNPKDMMGILLFGTQKTKFHSEESGR GGLGYPNCYLLTDLDVPAADDVKVLKALVEDGEDDDDVLRPSTQPAIMSNVLFCANQI FTTKAANFGSRRLFIITDNDNPHPTDKAAMSAAAVRAKDLYDLGVAIELFPITRGDSK FDLTKFYDDIVYRDPATEANNPAISISKSGDGLSLLSSLISNINSKQTPKRALFSNVP FEIAPGLRISVKGYNIFQRQTPARTCYIWLDGEKPQIATGETTRLAEDSARTVEKGEM KKAYKFGGEYVYFSPEEQQSLRDFGSPVIRIIGFKPRKSLPMWASVKKSAFIFPSEED YVGSTRVFAALWKKLLKDDKVGISWCVVRANAQPMLAAIIPSRERSDEESGTPFLPTG LWIYPLPFADDLREITMPVNVARSSDDLKTKMRTVVQQLQLPKAMYQPTKYPNPALQW HYQILKALALEEEVPEEADDATEPRYKAISKRAGGYLEEWSGKLGEDLGPMLVAKLKR EADDDGSDRPTKQRRGASERTNPSSMSLKELREALESGQITKMTVAQLRDVAGSKGIN TAGKKAQIVERIEQWVDENA UV8b_00909 MAGFLASFNFGDREESFAPQDDGCPSLDQLQKRLQQIIDEKTTE TRAQRVTATLDVAGTAQFPITAAIAPATIAPEQDDGASNVDPRLSEAEPMHVDSENRG SDQTLVYTAAEVLRNQLDDAAAVQRAVAEQIVETASHADGSTWVLHKSDLTPNGGTYV FLCARSVRQWTIEHPETTKLIVGDYTKKDPDPLLMSRPAFNCRGSIMVAFSRKNRTIS VKYDHIALHKTVGELGEFRKPPPMIGPQKPDKTTLALAVKEAQRVAAQARKLANKENR PKKIKTPRPRKKKANTGSDVQTQTQAQLSLADQASQALEGAGMIQLQEAVAREQEEEG GTALSETQTQARPVVKELALNVSPEEAARRRDVALKLLTDAGVGHASLTPDQFNIFAN QAPDLQKESLNMIIAYGAERLQIVHPSHRESSTSVPPSGTHAQTAGDGSASALPEAQA TTKKQLVLDETPKAKGRSRPLGKSRLACFQCKNRKVRKPRKKKTKTDAPAADDVVNAD GDDGGDGDGTPDETLMTEAHEENTQVEQFAEPRSEEDFQRYGDGYYSYPQVPADADAG QDVIQDAQDAVQDEVQRAGQVEAPSEPNQNEQEHQPPPTSLPYFQTPSGFSLPQPDPL DDPPRPLLHSSLALPDSTVYFPPYQPATATPAQQEAPPAHDSQSQGRDHHAAQPHAPS SHGNHARQTPNAVGKSDSGSRDGTTSSTWNQQHTYSLPPPVRSTTHLSPLSQPALAGS QSPDVATTAILNTNHGVQMQDVLLLAHATSAHNQRYTPNSGVKMHHPAAAATPKQSPQ PAYVQRSESQPGRRTATPQRGLGGAYSTSPDQNADLTNNSKTMPGGRMSMGGLQAGGG YGSNVDMASEASSKIGYKPYSYHQRSSMAVNYSPYQYGPVTTEPPAAVTGTPPQSMAQ GVASLRSEVQGVMSSSHGHRPQQQHHQQQQHQQHQQPHQQHQQQHQHQQQQQQQQQQH HQQGAWNQRLEHHSQDSSSSFSQGIQRHGYGWGVQDGWNRGH UV8b_00910 MRRSPLIHDGLRRCLCPAVRVHVQHARALHQPPARSSAPSAPAL AVGGTTRPSVRCAAGLAARRQRRLGSTCATASASNASRDNDDDDDDDLHRSVPRVERL LTPAPPSEATLLGSSVEEIAQALVTMRDPRGWHFHGHDIDRHGRIVQLVTHLLSRRGH PPGPFVYECMMDAMADPQGSVRGIRKLLDEMQAQNMTPTATLCRSALAALANHPDYHL RQEMLNTMHDFWFTVDAPVRQSVLLGLLRDEQYELAYLRLTEMAEQDAPVDLWVYDIF IMVFGKLRFIDEMMLLLHQRSKAGNRDRDRDRGGGGGGGGDGDGDGAINSVLYYALDV CSQAFHYPGTLFAWNSMVRSSLFQPSDGIVENVLATAARHGDASLATEALDMLSRRTR VLAHHYEAVAEAFAATGDVAGAFRILCIMKHNGIRIGRASTTAVSDVLRRRPELIPEA EEALRGMAVADHELPAAAVGAVVEAIAQSRGSRAAMALYQDMPKLCGEPADPVVVRTL MVHSDDAEATRSLARDYLRRAPDGADDNDGAAVHSSHAYRALVAACAEADELDLAFGF ADRAATRHSGGPAEQDVEWVKGLVAKAVDKEDGRIWGVVDELSKDDRTGAAVEKMLRQ MRLTRRAADMKLRR UV8b_00911 MLPLSLLNAAQGHPMLVELKNGETLNGHLVQCDTWMNLTLREVV QTSPGGDKFVRLKEAYVKGNNIKYLRVDNDIIDRVSEAQKGQQASFRGGRSGHSHGPS RGDHGHPHGGFGGGDRGRGARGAGRGGRGRGRGS UV8b_00912 MRPEVEQELAHTLLIELLAYQFASPVRWIETQDVFLAERTAERI VEIGPADTLGVMAKRTLASKYEAYDAAKSVQRQIFCYNKDAKEIYYDVDPVEEEPEPA ASAPAATSASPSPTAAAAPTAAQVVAPPSSGPAAQVPDQPVQAVDIIRALVAQKLKKP LLEVPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGSSMQATFDGN LGKQSQSLIARLISSKMPGGFNITAARKYLETRWGLGSGRQDGALLLAMTMEPPARLG SESDAKAFLDDVTQKHATNAGFSLSIASSGPAGGSGGGMMMDPAAIDALTKDQRALFK QQLELFARYLKMDLRSGDKAAIDSQKSEKVLQAQLDLWTAEHGDFYASGIEPVFSPLK ARTYDSSWNWARQDALSMYYDIIFGRLQVIDREIVSQCIHIMNRSDPKLVEFMQYHID NCPTERGETYELAKELGEQLIENCKDVLNASPVYKDVAVPTGPRTTVDARGNLNYEEV PRASCRKLEHYVQQMAEGGKISEYGNRTKVQNDLQRIYKLIKQQHKMSKTSQLEIKSL YGDVLRSLAMNESQILSKENGKSKKAVLRGTSHNKGKVETIPFLHLKRKTLHGWDYSK KLTAVYLNCLENAANAGMTYQGKYVLMTGAGAGSIGAEVLQGLVSGGAKVVVTTSRFS REVTEYYQSMYTRYGSRGSQIVVVPFNQGSKQDVEALVEYIYDTKNGLGWDLDYVVPF AAISENGRQIDNIDSRSELAHRIMLTNLIRLLGCVKSQKAERGFETRPAQVVLPLSPN HGTFGNDGLYSESKLALETLFNRWYSESWANYLTICGAVIGWTRGTGLMSGNNVVAEG VEAFGVRTFSQQEMAFNLLGLMSPTIVDLCQVEPVFADLNGGLQFIPNLNEAMTKLRK DIMETSEIRKAVSKESAIENTIVNGADSEVLYKKKTISPRANIKFQFPPLPEWDSEIS PLNDKLKGMVDLEKVVVVTGFAEVGPWGNSRTRWEMEAYGEFSLEGCVEMAWIMGLIR NHNGPIKGKPYSGWVDAKSGEPVDDKDIKQKYEKFILEHSGIRLIEPELFGGYDPNQK QLLHEVVIEEDLEPFEASKETAEEFKREHGDKVEIFEIPDSGEYIVRMKKGASLWIPK ALRFDRLVAGQIPTGWDAKRYGIPDDIISQVDPVTLFLLVSTAEALLSAGITDPYEFY KYVHVSEVGNCVGSGMGGSSALRGMHRDRFLDQPLQNDILQESFINTMAAWVNMLLLS SSGPIKTPVGACATAVESVDVGYETIMEGKARVCFVGGFDDFGEEGSYEFANMKATSN TVDELNHGRTPKEMSRPTTTTRNGFMESQGCGVQIIMTAKLALDMGVPIYGVLALTTT ASDKIGRSVPAPGQGVLTTAREHAGKFPSPLLDINYRRRQIERRKKQIKQWQESEVEF IHDEIDAMRSQDPSFDDKSYAQERFAHIEKEALRQETELLRSMGNNFWKSDTSIAPLR GALATWGLTIDDVDVASFHGTSTKANDKNESSVICQQLRHLGRSKGNAVIGIFQKYLT GHPKGAAGAWMMNGCLQVLNSGLIPGNRNADNVDPVMEQFDLIAYPSRSIQTDGVKAF SVTSFGFGQKGAQAIGVHPKYLFATLDERSYREYCVKVGARQKKAYRYFHNGLINNSL FVAKSKAPYTDEQLSSVLLNPDARVTEDKKSSELTYSENFMKQSEKVVSSAQAAETQK VMEALAHKVANKNSNVGVDVEDISSVNIGNETFLERNFTAGEIRYCKSAPSPQSSFAG RWSAKEAVFKSLGVASKGAGAAMKDIEIIKDDKGAPRVKLHGEAASAASKAGVKDIAL SISHSDKQAIAVAVANF UV8b_00913 MYGTGTGPQTGISTPRSSASLRSLTLTHGTLETSFLVPTNLHFH ASQIKDRFIASLPPATDELAQDDEPSSIAELVARYLGFVAREVEEGEDDASGSYEEVL KLVLNEFERAFLRGNEAHAIAAALPGIESKKLEVIRCYYMARAVSNRPIKPHQSALLR AADDGSADIYTIFGGQGNIEEYFDELRQVFKTYSGFVGELITSSAELLQTLSNNPSAE KMFPKGLDIMNWLQHAESTPDIDYLISAPVSFPLIGLVQMAHYEVTCKVLGIHPGILR ERISGTTGHSQGIVMATATAAADSWESWREIVRSTLSILFWVGTRSQQAFPATSLTPT MLRESMEHGEGNPTPMLSIRDLSQQEVQKHIDATNQYLPSHRHISISLINSPRNLVVT GPPTSLYGLNSQLRKVKAPTGLDQTRIPYTERKVRFVNRFLPITAPFHSKYLAEATDT IDADLKDICIDTRDLGIPVFDTNTGKDLRTEIKGNVVPALVRLITRAPVNWEKATVFP DATHILDFGPGGVSGLGTLTSRNKEGTGVRVILAGTIDGTVNEVGYKPELFDRDEENA VRYANDWVKEFGPRLVKTSSGRTYVDTKMSRLLGLPPIVVAGMTPATVPWDFVAATMN AGYHIELAGGGYFAGGRMTEALTKIEKAIPAGRGITVNLIYVNPRAMAWQIPLIGKLR AEGVPIEGLTIGAGVPSIEVAQEYIETLGLKHIAFKPGSIEAIQAVINVAKANPNFPI LLQWTGGRGGGHHSFEDFHQPILQMYGRIRRQENIILVAGSGFGGADDTYPYITGQWS KKYGYPPMPFDGCLFGSRMMVAKEAHTSRDAKKAIIDAPGLDDSEWEKTYKGPAGGVI TVRSEMGEPIHKLATRGVRFWAEMDQKIFSLPKEKRVAELKKNRDYIIKRLNDDCQKV WFGCNKEGKAVDLEDMTYAEVVRRLVQLLYVKHQQRWIDPTFITLTGDFIHRVEERFT SSAGKPSLLQNYSDLHEPYSAVDRILSHYPEAETQIINAQDVQHFLLLCLRPAQKPVT FIPSLDDNFEFFFKKDSLWQSEDLDAVIGQDVGRTCILQGPAAVKYSTVVDEPIKDIL DGIHESHIKYLTRDLYDDDETSIPTIEYFGGKLVESEIPLDMDGLTVAYDTHKNTYRL SSAAGAALPSLESWLALLAGPKRNWRHALLNSDVIVQGQKFQTNPLKRIFAPARGLFV EIQYPNDPAKTSIVVKEQPRHNHYVDVIEVKLVGKNEILVNMIKDTTALGKPAALPLK FTYHPEAGYAPIREVMEDRNDRIKEFYWKAWFGDEPLDLDAIITSTFDGGRTTITGEA INDFIHAVGNTVEAFVDRPGKVVNAPMDFAIVVGWKAITKPIFPRTIDGDLLKLVHLS NQFRMIPGAEPLKKGDEVFTTAQVNAVINQEAGKMVEVCGTIVRDGKPVMEVTSQFLY RGKYTDYENTFQRKIETPVQVHLATTRDVAVLRSKQWFAIDEVPNDIDLLGQTLTFKL QSLVRYKNRNVFSSIETRGQVLLELPTKEVIQVASVDYEAGESHGNPVIDYLERNGSP LDQPILFENPIPLSGKTPLQLRAPASNETYARVSGDYNPIHVSRVFSTYANLPGTITH GMYSSASVRSLVETWAAENDIGRVRSFQATFVGMVLPHDAIQVQLQHVGMVAGRKIIK VEVSNAETEEKVLLGEAEVEQPVTAYVFTGQGSQEQGMGMELYASSPVAKDVWDRADK YLLDNYGFSITNIVKNNPKELTIHFGGPRGKAIRQNYMAMTFETVAADGSIKSERIFK EIDEHTTSYTYRSPTGLLSATQFTQPALTLMEKASFEDMKSKGLVPRDNTFAGHSLGE YSALAALADVMPIESLVSVVFYRGLTMQVAVERDAAGRSNYSMCAVNPSRISKTFNEE ALQFVVNNISEETGWLLEIVNYNIANMQYVCAGDLRALDTLAGVTNFLKMKQIDIEEM RSNIEEAKEALREIISGCAEKTLKKPLPLELERGFATIPLRGIDVPFHSTFLRSGVKP FRSFLLKKINKTTIDPSKLVGKYIPNVTARPFELTKEYFEDVYRLTNSPKIGAVLANW DRYNQEDDKVLSDSSSSVGYDGPGAAA UV8b_00914 MALTKRAPASRMSKILLGTFIHSKSRAQLEYLHNAAVAVDKDGT IVALAKDDHSADAAKDKALRDMGWDVNEVDVVRCEEGQFFFPGFVDTHVHASQYPNVG IFGKSTLLDWLEKYTFPLEASLSDLSKARKVYTACVRRTLSHGTTTATYYATIDVAAT NLLADLCLSIGQRAFVGRVCMDREDLCPSYYRDASPESSLHATQECVAHVSKIDPGYD LVSPILTPRFAPSCSGNSMNGLAKLHRELHLPVQTHISENKGELQLVKDMYPDAPSYA AVYDAHGLLTAKTVVAHAVHLTEDEGRLIAQRQSKISHCPCSNSCLTSGEARVRWMWE KGIEVGLGTDMSGGYSPSVLEAARQAALVSRHLAMQIEDEELRERSKLTVEEVLYLAT RGGALCLGLGDKVGSFQVGKQLDAQLIGLGVVGDDGTRAGEGAGDWVDAGNVDVFGWE TWDERIAKWLYNGDDRNTKKVWVKGRLVHSRR UV8b_00915 MAKPPLPEWRRLLNLTRGGIGIGIVIGIVVGISVSNSVGISISN SISNSIGNSISNSVGISISISNSVGIGVDTTPKLFCFFILRLLPESSRAAKTWLEATA CLTATAMGREDLHHGLVGGQPAPDSSGLCLLHAGVPPHMAGRQSSASGGLFLPQALDA VDSSLHGGSFSPGQNRIVSNGLAFPSVLQTLPGGYQARRDPYNHQPDPVVHHHYTSNN SHQPQPQPQPQPQPQPHQSGGKLPSRSVNVGPSHLLFQGYSLEQLKIRIGGLSDPHEL QKTHKRVMRALQRPMIDPPVLPENTIGEMDPKQVFPDYVKLADDASREERAAAEIINN RIAAESQRVDRERNNEAAKRSRRLKSENLDNANQRLVDNAFHIAWLEAVVSSLGGMPE TYYTIDSAIVQSIRHAIAQRRDACYEQRRKDRTKKETKKRSQRHKNRTRQKRDLNQRA ARQYAEAAQAQALSAGAHLAGSPPADAASASASAPAPPCARPAASLDGGTAAEHEQLG PSETFIYLESDPDWGPGE UV8b_00916 MSVHVLGNPRRLVPGTKSQDGAEIQGLTRNEHHAGNFLARIIVR HISSYKERNDNSNGKEKKREKKKTTKKKEKKKKEKKTEKKKEK UV8b_00917 MGSSQPREAAPLDRPGHHHKQRVRQLGSSGKASGTAGPVRRRIS RACDQCNQLRTKCDGKHPCAHCVEYGLGCEYMREKKKRGKASRKDLAERAAAQAAAAA SSLSGDISDGKESSNSPATEDRTDGLMGNARSESMSSNGLGAVHDSSLGGTASMDPSE FASVQSATTPSQFSDLADHAHMQQQHSLHMTPDGISDGRPMGMGAYGNLSTSYDRQSL NSDDLLGGSNPGYGHAAHQGSVHGYPDMSFGILDGQSPSDFNHGGGGGGVGFRLANAP LGGYQMAASASSNSAWGGVAVPAQPQHGQFQTPVQQRPNYGQNALRYPVLQPLLPYLS SIVPIPLACDLIDFYFASSSSPADLHPTSPYILASVFRRRAFLHPTRPRKCQPALLAS MLWVAAQTSEAPLLTSVPSARGQICQKLLELTIGLLKPLLHSSPETACANPDQDVTAA AAAAALGGLGSALPGPLSIDTALAGESDVLGASCQLDDLVTYIHLATVVSASEYKGAS LRWWNVTWSLARELRLGRELAPSEPRPSFDPSETEADGMDGHDILRNSPGYVTEETRE ERRRVWWLLYVVDRHLALCYNRPLSLLDVECARLRQPLDDAAWQSGCFGFGFDFVHGH GHGHGQGIGPHSLSLSLSRSRPAGSGMLGIVSKNQEAAKGPGFGPQFECRDDCIFGYF LPLMTILGEIVDLHHARNHPRFGSAFRASGECGRRASRIRQHLESYEESLKRLELRKA AQAAQAAEGADGGTEDTAVLEVGGLHADAAQRGAVEATSSPSAHSVHTASSRITETEA RARAVSAYGTHVMHVLHILLEGKWDPLNLLDDQDLWISSPEFVTASGHAVAAAEAVGQ ILEYDAGLELMPFFFGAYLLHGSFLLLLMADKLQAEASPNVVRACETIVRAHEACVVT LSTEYQRKFSKVMRGALALVRGRVPEDMGEQLQRRRELLGLYRWTGDGTGLAL UV8b_00918 MISRNLVKVGGYTKDPSGVRTELDFLRKVEKANQGTKAQIRTKL MHGKDEMATATWLMSGIESTTLWFPPSKGY UV8b_00919 MTQIPTIDGKVSYREKVDIPRIQDRALQVLAEMILSASEDDVPP RSHTGGKNHDFGDSLAFRREKELARKVSQLRSENEFVGSIGGTSHSLEIHGTRLPSLT FAPISKQLINGNTELFFGFSMQDRANVTLRRSTKYCSPLWRWFLCETTRLVVDNEEFA KPCLVTVQALAFMPVRDAGCGGEAKGWVYSSMSFLMAQDIGFNLEATETGREYMQQMR LTPERLPAGDASSSIKCWSNLLGRLPQLPEAYCSVSKFDVSLADEVSWSR UV8b_00920 MRKPYRFYSLIRPKLRQSWNKYNLYNLYRNAGREPQIRGTPTFF QQKWAAKSKTRAYHGEHIPEKKWVRLFSRRLLSAVDLPPKYLAAHDGSEQATGRGSGL STSHLTAESYSKAPALSTQERLRRRPPLFGDINKLLSDQFTSMTPYMQMTFAPLERRL DTAVFRALFASSVRQARQFILHGAVKVNGKKMLHPSYQLNPGDMFQVDIDKVMYGTGQ QKVAQGDKRLAENLESRKKKAEAFYKTALEKATANKSGETSSSDVATDKGDNDSETPT KRSTEGLESDAAKDADSVPGGEALSPEEAWKLNNRALKFLLKDVKKILKNNPKELSAK EKKSLRLFRADAKRFLSHAEDKPLDMRDLIKELELQMSSHELMRKSFEDLTIHNPVSA QETSQASSTGAVASEQGQQTQLNRQRQMDKGLEALSEEQKEKAVRIMGESQLSREEMR KLAQLLITDEENPVDESKPYATPWRPRPFMSPFAFIPRYLEVNPNICAAVYIRHPIAR KGMAEVPTPFSYLTSQLTHNWYLERG UV8b_00921 MPSAAPDSRGASKRTTPHEFEPTKPKTHVKVPFIIDDDDEATEG ETSGSQDAHITKKRMLHHPSRHGKGSVTTEREITDSADVASQRNGEKDSRPIPSLEGP PSWSLQSSIFSGSILQHMAPPSYTAKTCCGKSATIKQRTASAVVSYESIVAARSKTKE GRAKRSYYGIDIHDLISRATKDDANTTEPAAGEPDVPFASVETKISEGRPKKSLLWTE KYRAKRFVDLCGDDSTNRHVLRWLKRWDPVVFPGQARVRRAVARRPGLKQQVEEEKPH RKILMLTGPPGLGKTTLAHVCAKQAGYEVVEINASDDRSRDVVKNRIRPSLGTENVKN VANNKNADGSHKVAKPICVIVDEVDGVVSGSGASGEGGFVKALIDLVMADQKSAAATL LGSTAESNRKKKRGDDFRLLRPLILICNDVYHPALKPLRQSNLAEIVHAGRPSLDVVV GRVKTVFEKEGIPCDKDAARKLCEAAWGMTSGIDAKRGAKSTVEGDLRGVMVVGEWVA GRFRACSRGVNQRLTRQWVEDNILRDVSSGAAGSRGLGRGSVRDIVQRLFQEGGGFPK QAMDLTRSKTQQQLEQPQAELGFGEQQKKHAMDRLRQMVETSGEISTIMTEIFAEYPG REFNDDIYLTKPNLAYDWLHFHDACQSRLYATQDWELAPYLSQPVLACHHLFASPKKH YADPSGGFHPDKRWNSAGQEADDNGAQPPPFSGPRADFHAREAEKQNRSQLQALHGQL SPTLMRSFRSPEDVATEFLPYLVRLVSPDVKPVVVGGSQGSMASVRKESEKAMVKRAS EILADVGVVLQKGRIENEPSSYGGGAHYVYRLEPDLDSLSTFETGTFLPSLAPARYAV RQVLDQELGRTLALRANNTRQARFLAGTDTTQPPPPAASDESQKNARAATQAMEAETV VKRDFFGRIVEARPWVKSDGGGVDKRGSETEECKIWVTYHEGLNNAVRKPISLQDFLQ AL UV8b_00922 MLTGADVAQHSDAKSCWVIIHGNAYDVTDFLPEHPGGSKIILRY AGKDATREFDPVHPSDTLRKYLDRSKHLGPVDMSTVAAQEQEQEQDADEAERLERAEQ KPLLSQCYNLFDFEAVARRLLKKTAWGYYSSAADDEITLRENHSAFHRIWFRPRVLVD VEHVDFSTTMLGTRCSIPFYVTATALGKLGNPEGEVVLTRAAHTHSVIQMIPTLASCS LDEIMDARQGQQVQWLQLYVNKDREITRKIVAHAEQRGCKGLFITVDAPQLGRREKDM RSKFTEQGSSVQAGHDTDNSQGAARAISSFIDPSLSWKDVPWFRAVTKMPIVLKGVQT VEDVLRAVEAGVDGVVLSNHGGRQLDTARSGIEVLAETMPVLRARGLQDSIEVFVDGG VRRATDIIKALCLGARGVGIGRPFLYAMSAYGQDGVERAMRLLKDEMEMNMRLIGCAS VKDLNPSLVDAKSLFSHSSTATDALSHSVYDPLVVPAQRPKSKL UV8b_00923 MPQSPMISVPLKATVDIDWVGPLKGYIRQSYGDDPERYAEECAT LNRLRQDMRGAGKESTTGRDMLYRYYGQLELLDLRFPVDEQHIKIPFTWFDAFTHKST MQYSLAFEKASVIFNISAVLSCHAAFQNRAEESRLKVAYHSFQASAGMYTYINENFLH APSFDLSRETVKTLISILLAQAQEVFLEKQVADGKKVALLAKLASQAGYLYGQAIEGV QDNVNRAIFEKVWLIMVQIKTHLLNSMAQYYQGLADDDSNQHGIAVSRYQVAETLAKE ADRMSRNFPSSVPANSNLSAECGAHLSELSKRHLSTVQDKLRGAMKDNDFVYHQNVPS EASLPPIAKLPASKPIPVTELYAGQDMQRITGPDLFAKIVPMAVTESASLYDEEKAKL VRAETERVDTANGEMAASLDYLRLPGALQVLKGGFDQDIIPDEDFRQWCSDVADHESP ADIFDVLRKEKESIVSTLDSCSKQLDMEEGVCEKMRSKFESEWSQQPSSRLTTTLRSD IRSYREALDEAIRNDNGLANKLRQNEHEFDEMRHAAQEGQVDQLFQIAVSRARGSRVS SPSRTEPNLLDADFGESGTSVLDQVSRVEDILKKLNLIKRERNQVLKDLKDKVHNDDI SQVLILNKKSIANYESQLFEQELEKFRPHQNRLLQANHKQSQLMKELTVTFNALLQDK RVRSEQSRYEAIQRQRSLAINRYKRAYQEFLDLEAGLQSAKNWYAEMRETVQSLEKNV ESFVNNRRAEGAQLLNHIEQDRSASKNSQAELERERLRELMERMSMEPEKPKAASEPP STCGRPTPAPLFQAGQGPRYPQTNFQGQYQVATSPPPPGQARYPGYSSPPPPQSTFTQ PTYDPSQYGRTPGPTSPPPNQLSFGVSYMAQSPPPNQTSFGQAHQNQTHQHQTHQHQT HQHQTHQHQTHQHHQSHQTYGSYGASQTQQQPGYVPLGFVPPPPPPGPPPLGPQQTFH YGGGDGGQGQPYEIPGGQAQGAQPRSAQQQQRQQQPHDPWAGLSSWK UV8b_00924 MSKTGEIPNDRAIPGDEKKPGNHTGFSGFMDDLKEKLSDTKLHD AKVALIHKKHQIGKFGNLFNKDHRHDEEHEQRCDEKRSAICQSHRFNSYFPERDGNLV KWYVDGRDYFWAVSVALEQARESIYICGWWLSPELFLRRPPYHKQDYRLDQVLKRRAE AGVKIYVSVYKEVEAALTCNSRHTKHALDALCPEGTPGHGNIRVMRHPDHNVFENAAD MTMYWAHHEKFIVIDYAIAFIGGLDLCFGRWDAHQHPLSDVHPEGVSEEIWPGQDFNN NRIMDFKKVQDWEENELSKAEYGRMPWHDVSMAVVGPCVYDMAEHFILRWNFIKRDKY KRDERYDWIMLEGREGDDEDLVGVQRPKHPVGDYIKHPLSPLSTKSLDGRGTVRAQLV RSSADWSSGILKDHSIQNAYIDVIRKAEHYVYIENQFFITATGDQQYPIHNTIGRAMV EAVVRAAKEGRKFRIIIIIPAIPGFAGDLRENAASGTRAIMDYQYKSICRGEHSIFGQ IKKEGVDPTQHIFFFNLRSYDRLNKTHAICEAEKKTGISYQQVQRAEAEEIMASGVHG YDSSSSGEQDGGRFSELRLRRKEHRKAERERRQSNMRSSQKKAAEDAMRARETFEREK PEEEVISAASVAHHAMKAEGSLKDEPWDNRQDEESEIKNWIQEELYVHSKLLIADDRI VICGSSNLNDRSQLGDHDSELSIVMEDGRRIQTTMDGKPFEAGYHAATLRRYLWREHL GLLPPQAFDAQDDINAQPPTVKAENDVYDRDDSWEFVSDPLSDQLWDKWMGQAEKNTK LFRNVFHADPDDHIKNFNDYDRYLPPRGVKAGHIYDQFMPPDEAREKLSQIKGHLVCM PMSFLEGAEMAERGLQVNSFTESVYT UV8b_00925 MCQSYLVPRRTVVRSTERAVIHAAFGLVETSNLGQLARLLAATP LLPIPMSFNAQSVVVEV UV8b_00926 MSAPVIPPGGTIVQTFRRSFADVPVDAEKDNAIATTEFLDAAES LTTMFDVLGSVAFSPVKSDMLGNVKKLRDRQLAAPAESENIQDLCRNELKTKKHTATE GLIWLVRGLDFTCVALSSNIANSSHELAESFRNAYGQTLKPHHGFLVKPIFSAAMSAC PYRKDFYVKLGSDEGKVQEELRVYLAALDKIVGILKGFLESKDAKW UV8b_00927 MLDSPGQAPLRADALRRSVTCTESSSAPATLTTPSAIGTAVSTP APSEAGDEYDNPLESSSRWYLNAKAQTVRYAASLGFSIHNRSDPPAPCPSREIWLDST LSAWKGKGKIKAEVWNPPRISFGPRAAVINLHGGGWILGQGTDDARWAGAVLCNLDAV VFTVNYRLAPSYPFPTPMEDCVDATIQIASRASEFGIDPNRIVLSGFSAGATNALTSW IAMQDPARWNYKLPSPPPSILGIILFYPTLDVTITRPGKRQACTRPERTLSPGLTDLI DASYFYPPIPREQRTDPRMSPGLMPDDLLKKLPHLHMILCEYDMLLAEGIRFAERLEQ NDVPFTVRVVEGEGHAWDKPPPMTPKESVFVEYGKATDSIARWLGRDCEVDGESVSSL QRKRPRFRRPQHLSIRSRSIW UV8b_00928 MPSSSVFAPVASAFGLPRSSPSRNSSPLRTGDVTEDANYTATLL TSNASGITSPSPSSASPATPSTPPFSASAFGSNKRLPKMNDGAVDVVKSSARSSLEML SLSNYRADLDRLNDKSQRSSMDKSSRDSGRSSLDSGRTSSSADWPAHPYELNPISELD KLPLGTEVNFRARLVTQRQLSKNLDFLLFRDQTHTVQGVLSREYLDMVRWVQKLNSES LVQVNGTLKAPPEPVRSATHSSVEVEVHSILLVNAAQGAPFTNYKPPETLRNRLTSRI LDLRHPSNQALFRIRALVARKFRETLEEQGFLEINTPKLQPAATESGAAVFKVNYFGR NAFLAQSPQLAKQEVVSADFGRVFEIGPVFRAENSNTHRHLTEYTGLDLEMAIEHDYH EVIAVIDDFLKSVFAAVYAMPELSEVQKRWPSTEFKWLDETLILDFQDGIQMLRDDGR DVEMVDLSTRDEIRLGQLVREKYGTDYYVLDKFPTSARPFYTHKDPQDPFWTRSFDIF IRGQEVCSGGQRINDAAELRESMRASGIAEDGMQDYLDAFDLGAPPHAGAGLGLERIV AWMLELGDVRYASLFHRDPKSLPEQAPGLPHPEADTTKPLRDGAMPPVEKLIANYGDA SNTSWLDERFEIWRHPTGAAVGYVRQDKFAMVTGDPLCEKSQYNDVVAAFVKFVERDL GLSPVWMMVSYNVQKILGQQLKWRTLSCIEEQRVDASKVHSVNGKGPKARRVEREGVK MQEVKPDADLMRRADEAIAAWKANRKGKQMHLTEVRPWVDMDHRRYYVADKGGKMLAM VVLAKLSPEHGWQVKWALDFPGSVNGAIEVLIEHALSTISGQVTFGVGVSEKMTPGEH LHGLRAKFLASAYASIVDSLGLRRKADFRSKFGALGDVVYICFPKHGLGLRDIQHIVK FFQD UV8b_00929 MASQSSRDWLDASQGREVVFCHACSHEWYRDEYGLICPRCEGDI TEIVDPDNDPRVTTPSSNSTSPGLPPLRHADDSDPDEADIEEHMGPHGFHFRRSTRRG SDQRHHDPSIDPVFERFFHMIQNFGQPRRTEGGGGLLDRQHNDDPAPPRIHRTTFTSG TFGGGTASVTIISGPAFGPRDHHAEGNDATNTRGDVDPFQAIFSNVIRDMAPPGGAGE GGPQVGFARSLQDILNLFNPANAMMGDAVYSQEALDRIITQLMEANPQSNAAPPASEE ALGKLDRRPVDEHLLAREGGKAECSICIDEMKEGETAVFLPCKHWFHEDCVVLWLKEH NTCPVCRTPIEKPNRGRSRNTPGDAQGDAGTSASGNDAPFHRRPPASFSRQNSDQSRV FNGRYDGGADTPTGRAAADMVSSVYLDSRGQSRHQGGGRLDEALQNVANAQRERDYES RDRGPASTTVGYEAWRLQRRTSHSPTSPRAATLTEQGARMRQRSPSEGNRRGNSDGED GRQSGPGAWNWLRQRFSGGGSDSNQGSPRGEQ UV8b_00930 MGGGGKIPYPKHVWSPAGGWYAQPGNWRANTLVAAGFVVGILAV TWKFSSERESWARKPEPGDWYPSRRWSKELIQWDKEDRLKAEQDKEQS UV8b_00931 MAPQAPAQDTNTSLQEPTSRTPLPSPPQERSAQSAKLAALHARL ALSNKIPLQTVARALVTPSADPNGSFNNANLAFLGSTIINYHALEYLVCKWPRLPMAI LYEALRAYAGPESLYQVSRRWGVEAAAAPGGEVDPGLLQWKPDGEQVVSTRWGYVRSE VERNSSYRRGLSSRVVLDDVFGDALGRPNSDEAQQEPEVLLHDAFGSFVQAVVGSIYT HCGREAARTFVKAHILSRQLDPSSLFEFQLPTRELTLLCAREGFEPPVARLESETGRM SRTPVYVVGIYSGKEKIGEAAGPSLDIARRKASMGALKAWYMYSPGNKVRVPSDMMEP DAKPWQAPHVDIGEII UV8b_00932 MRHLNGVAKSLRTLNLPWRVGTSRPPPPLHRNFQQSLFTFTKKP STSGVSLSISLAAGFLFIWWLYPSQDLDYLTPTQKRKRWSRTEPNGQRLGGDTKVAAE PPANAWDSFAARMESIAGITDTEWGAFSEKVTDLILPDWSKLVPGYVKKLQRELSMAP GSLADEIWEEAHDPNVNPEIAWKANVRVSCELCNDEKEFLSRRKKVIRVALAKYLGLR ENDIHPDDIPTIAMCGSGGGLRALVAGTGSLIATEEDGLFDCVTYTAGVSGSCWLQTL LNSSISGGDLTRLLEHLKARLNVHIAYPPVALQSLVSLPTNKFLLSGLVEKLRGDDKA DFGLVDVYGLLLASRLLVPKGELGVNERDLKLSNQRELVKYGDRPLPIYTVVRHEIPR AEGNQGQLTKEDKKLTKEEPWFQWYEITPYEFFCEEFGAGIPTWAMGRKFNNGSDVPP EHGFHLPEVRVPLLMGVFGSAFCATLSHYYREIKPLVLSITALASLDEFVSGRDDDLS KVHPIDPATIPNFAYQMHGKLSSMTPPSIYEREHIQLMDAGMSNNLPIYPLLRPGRNV DILIAFDASADIKTDNWLSVADGYARQRGIKGWPVGIGWPKPGESVKETVKELANAEA KSAREADRRVEQAKQDQRCLKESANGSTASNSTTDQDKLQAGDEEAGDLGYCTVWVGT TQERSPKPPPPAKAIDETNRWQLMEPDAGLAVVYVPFVANPKGPDISPGTTDFLSTWN FVYAPEQIDSVVSLARANYDESKEQIRSTVRAVYERKKFLREQADNQQVKEEASKSLA IST UV8b_00933 MSEAEATTKPIEATPAPAQAEKANETSEAEPTTKPVEAAAVATD KSVTKTMDKTDKDAKNNKFDPSVREVTDDPVAIRKQVEFYFGDWNFAQDKFMWESCGG SENKPMAISTLHSFKRMRTFQPYSAVVAALRDSKVLEVSGEEGSEVVKRKTPYKPAAE GKAKIEAATVYVKGFGDENPDTQFDLESFFAQFGEVKGLKLRRTNEGLFKGSVFVTFA DQELAKKFLDSDPKPTWKGNDLKIMSKKAYCEEKSELIRQGKIEPNATQPRKFYEGKD FSGNKKKGRNGGGGGGGGQDDWKKRRENDQKNGFRGGRGRGRGRGGRGRGGGRGGRDG GHARQNSDHKDKTYEGGMPSIQATAGDSNGKRARDEDGVASEPPAKKVDTKEAAAADK VE UV8b_00934 MADLDLQAVHDEMVAVAYEAGRMMLAADPARLDTHTKLNSVDIV TEADRAVEHMILTRLSAAFPSISFLGEETYQPGMRLGPEPTFVVDPIDGTTNFVHSFP SACTSLGLAVGRRPVVGVIYNPWQDVLFTAVDGRGAHMTRGRGAAPQRLPLARPPRPL GGLAGALVAVEWGADRDGANFERKTAVFKRLAGSRETGGAMVHSLRSLGSAALNMAAV AAGQMDAYWEGGCWAWDVCAGWCILREAGGRVVSGNPGGWDADLESRVYLAVRAAPAG QRELVDEFWSVIGDASLEYSA UV8b_00935 MVSTAGGIIIAILVLLVAAAIGWVVFTQMRARRLGLPSPTLSSY LPWKRNESPYGPPQPAPGGIVGWVNDQVRKVKIRKNRSATGAYEPTPLHGAAGARRGF DPLDPDEAWDTRVGHEADGYGYPEEREFDRRGQTEYGGAGGGSYSMNLAATPVPDEDD EERGRPGRLSVGSVGRNPFDDDAVSSLRGVSPRPIETYETAAAPGLQAKRGSADADAA SARNSPTDRRFAFRENV UV8b_00936 MGSIRDAAASVRVLLTAGNHRYKAIPTPAMDRNRAAHVVGHHPP PRSRFLKLSMGVLLLAAFLFLGVPFRRDTSGSKSCVDNASCTYNSHKYWGQYSPYFVA PDPSRKPDIPAGCHITFASVLSRHGSRLPTAGKSHAYKKLVDRLHKDVQVYAKGFKFI KKYKYSLGADDLTAYGEGELVASGKRFFKRYRKLAEHSKLPPFVRASGSERVVMSAER FMQGYSRAKGRENDKDVANILVIPEGDGFNNTLDHGNCAAFEEGPISEMGNESKAAWR NVWAAPIAERLNRKLRGANLTLQETIQLMDLCPFNTVASAEAKTSQFCRLFSLEEWKG YDYYLALDKWYGYGPGNPLGPTQGVGYVNELIARLAHSPVSDHTSTNRTLDSDASTFP LDRALYADFSHDNTLMTIYGALGLYTNATEVPTNRRVPPQKTDGYSASWAVPFGARMY VEKMRCDGVAEELVRVLVNDRLVTPKGCQADALGRCKLEGFLEGLEFAKQGGHWDQCG RASKRGSLRT UV8b_00937 MNRATAASNKAVHPSTMTCCMFLGSCFEPEPPHASKKNTSIITN TQPFMQPRPVVLSTSGHTNPSYQKKLVKKKSFASTRTNTSERSRFCSDGSSSKPLISA PSEFRHVETADFQFLPDVDPSNQAYTTSQLQDLFAEGPCRPRPLQLSFYDAEQGVSST SPTSPHFHSPTPIASMSGHDRQLSHQRSWGSMSFHIPRRLPLDNSSASVGGYGIPPQI PSKSKFRSRAYTSPEVDAIRERVATAMMEVERLQKQIDDVIERQSLYVNSRPSTSQSM ALTLPPLDFEPLPSIPAFPPAAQSFAERLRADLDRPPTALIKSLSVPCSLNKVSDDTT EIKRRPSQTVRGVGPLPTPPLPLVLRPPLRKKKSFPPGSTRLPSVQEQGKTTRPYTVT SMPKAVKGSEGFYQIISANGAVGQASCESIDSISTWGTEDEERAMQSAWSPESTPSHS HDGSFSDSETKTGQPDFLASAV UV8b_00938 MVKRSSQVMDGAAAFRKRQKLSQEAPTSEDVTSAEHLLSLLTFN QNMRNARHGLQSFKHFLDGVIANNDDKGAKLAILKQYLESVKPRDTSEDAVHFHDIME MWSFAIQVGDEGVMSAVAVVLALLLQVISESWQLVPYGNGLCQTLLQDAQLRSVARNL SSEKAKGFIISPTLRLLREAVCLDGGVWAKKIVRARAYTFASLGRNLEIDQVAEDEKN SRKTSVRSHATRFLLSCLKYLHSEGRKELASQKDLLSHLTYMIKNDPPSLVLEILDSL KSHVLMDAKISREVKFRAFSTKVLMRLLSLYTYAHPLASTDERELVSETAHKFLTFAC TTPGAGILYPYRGLYPKQADEILPASSARHRRGAKSGGDPWEGKFRDGVPVFNFALSD FASKLRPWSSLKHCELLVAILAAAPELISDYFSKNLSFTFEPKLSMTWIGYATFLFET MMIPIPPSFGDAARYAVLPPPTWILLDNIIPRPITRKVLVRCLSSQSNLTAFFATRIL VAALEKFSAAVRLLDDQANGKNLLWADASRKVMDMFCQCIPDMKDIVRCYKGVPAENV LQRTLTSRLLRLYYEVIPRVALAANFDVSPFLIDALQQTRQNDAAERERRNLSAMELG NLVSIASYSPGMRWFARLEPLGGDRQKATSPFTALLKLLCRGAGDQPLDELKAVLGEV AAESQIVTDPAALKPLLQTLSAAQNTVGSGKMASVWSLLDNCVSRCATSPIKYLDKMK EYSPEFASPAAPCGFSLLSVVILEQLPYALGAAADNQAIQHLAAFTSLYFNAVAMYSG NTDTASLSALHREAEKQFSGASAPLGGLGDKKLVKLLKKHDVAWARGEAAAAVADGQH RTKRVVEQGALRDLLHTPFPSAEDAAALTKWASRSAEDMVEEGWAAGLVRLLTSEHTN LRKEALTGIRKMAAAVKESQYEEKTQVWLLLSEVAESCGAQVDAGPVPSALTAFAVHA LEILKTPLHPLYSKVNSYLTRSPVWGLDKLPLAHDILHGAPSEDDKYYTELTWLLSYL LDSLATPRDLDVFHSKRWFEKILALGSNPYLRSALRTRLLRLVYRATCIPAGSTTLIT RFGILSWLASQRSGCDGDDEAAALYDALAVRAWETCDQERVAAWSKGGARRLLEAVS UV8b_00939 MASSLPPLGGGNGGPHTQPSLPSLPAHLQSDTHITGHLASRFHV SHPTATLSSHGLVCLNTYTSSTKGPDGGKPGSAMAGAENMAERAWLRLGHRSENQAVV FLGESGSGKSTIRSHLLTALLDKSSTPLSNKLSLAAYVFDTLTTTKTATTPTASKSGL FYELQYDTSATTNPILIGGKLLDHRLERSRIADVPTGERNFHVLYYLLAGTSPAEKSH LGLNDGADGSRRWKYLGHPTQLKVGINDAEGFQLFKTALKKLEFPRSDIAEICQVLAS ILHIGQLEFELTNNTSSTGDDSGGFSHEGGQTCTTVKNKDVIGIIAAFLGVSVGELQA TLGYKTKMIQKERVTIMLDPVGARAHANELARTLYSLLVAWILETVNQKICAVEEQIA NTISIVDFPGFAQQSATRSTLDQLLNNAATEAIYNVTLHNFFDRKAEMLESEEVSVAP TSYFDNSDAVKGLLKPGNGLLSILDDQTRRNRTDMQLLESLRKRFEGKNPAIEVGAAT AKLPGSNFLTENTAASFTVRHFAGEVDYPVKGLIEENGEVISVDLLNMFNSTKSEFVG RLFGQDVLQTVTHPNERTTVMQATISSKPMRAPSVMSRKVGRGRGFASSRRQQESNIF GIGSHAGSSSPKGNSNGNSGKGGIEQGASGQFLSSLDNVQKAVTDPGTNAYFVFCLKP NDRRIANQFDSKCVRTQVQTFGIAEISQRLRSADFSLFLPFGEFLGMADAETMLVGSE RERAEMVIEEKRWPSNDVQVGSTGVFLSERCWMEIARLSEDSGGPSRYQLPTSDGYGH DGLNAGERDIFGTSKEQLLSGGNTPLMYGEKGKPGYFGSDDARSEAGVSAIGGGDMFK NFDTREQMAERGNEKNLQEIEEYRDSPSRKRWVFTVYFLTWFIPDIFIRWMGRMPRKD VRMAWREKVAINMLIWLLCLFASFFIVLFPMLICPKQKVFSAAELASHDGKGQNSAYV SIRGYVLDIGTLITAHYPTEPLVSRKSLLNYAGKDVSSLFPVQVSALCQGVNGSVNPE VTLDYRNTNFTGSPTLINLQDVNARYHDFRYFTNDTRNDWYLEQLIWMRSNFGVGTIG YSPEYVATLASKNQVIAIIGNKVYDLTTYMIGGRRLRAKAGQTPPDDPNLTNFMDQNL LELFRTKSGQDITKFWNTLPMPHDVKARMQTCLDHLFFVGDVDTRRSARCQFSEYLVL SVSVMLASVIAFKFFAALQFGSKNVPENLDKFVMCQIPAYTEDEDSLRRAIDSAARMR YDDKRKLLIVVCDGMIIGQGNDRPTPRIVLDILGVSETVDPEPLSFESLGEGLKQHNM GKVYSGLYEVQGHIVPFLVIVKVGKPSEVVRPGNRGKRDSQMILMRFLNRVHYNLAMS PLELEMYHQIRNIIGVNPTFYEFMLQIDADTVVAPDSATRMVSAFVDDTRLIAVCGET ALTNSKSSFITMIQVYEYYISHNLSKAFESLFGSVTCLPGCFSMYRIRAAETGKPLFV SREVVESYATIRVDTLHMKNLLHLGEDRYLTTLLLKYHSKYKTKYLFNAHAWTIAPDS WQVFLSQRRRWINSTVHNLIELIPMAQLCGFCCFSMRFVVFIDLLSTVVQPVTIAYIA YLVVLVATRTTVVPITAFILLGAIYGLQAIIFILRRKWEMVGWMILYVLAIPVFSFGL PLYAFWHMDDFNWGNTRVVAGEKGKKVVISDEGKFDPDSIPRKKWEEYQAELWETQTS RDDARSEVSGFSYGTKAQAVVSEYAFPSRPGSTTGFAHHNPYGSRNDSRMSLAHSEMG HHRVSQYGGSQFFNPDDMVGLPSDDALLAEIRDILKTADLMTVTKKGIKQELERRFDV PLDAKRAYINTATEALLSGQL UV8b_00940 MSNRMSLFSVASEGLSSPRAGGPLTAQVSTTTLLNAVHNIYLSS QPHQLESATSLVANTWLTAAQANPTVDPVLASRAWEHARRRAEDGCVILGSLHRSSPS VLVPFLNSFPFAIPPTLYKALSAIQPFIRCVTPYNPSTPRQSALGITLTLNLTGNLTA ASLALSQGGIDTVNGLLNVPSEAGYRAFDVFYYLLTSASTPAEREFLGLKAPSTYTLL SRSGTYEPPPYLPTADDGASADDFRQALKDIGIKGSAHRNLISTLAGLLKLGNTLNYD VESDALEEICEDASGLLGIESEILLKQCSTEDRWTFIGGLYESLVDWVISRANEAITA QMTRIKNGDESPDGRGIRTPQSNEDIGDTVSITVMEVPHITLGKALSMRSVFDNSQGI NAEMIEDGLDVSPAGSSVLRELQQAVADVGPDLGIMTGPEGRERQHELEKREVVLEKI AYAAEDGGFLRTLLFPIDGEGINLGRTGRIDLPAVLGSNRLWFHLSLHPTDDSPAQLA ALPSVTSAWSAGTVSRQLRSWRLPEWANRRNRNLDFTADFDVDEFSQRYAILGCKDGK DGIESWVLERGWSNGEVLVGRDRVWMRESAWWEAESMLDLKPESNDEHLQAMNANPFT SGFDTGYSANGSGYFQAQGMDPSFNGSNDRLMHSRSFSQSQLALGQTANLAPSIAPTA MRNVSNGDYGLGNKGDTYKDDVYYNAEGDFTGAMDSEIAKNKKLETKRVSSGRRAWVS VVWALTFWIPSPLLRYIGRMRRPDVRMAWREKFVLVFLIFLINAIVVFWIIWFGRLLC PNFDKAWNSREVRTHQGENDFWVSIHGKVYDISKFWRQQHSDTNIKTTSDLMLPLAGL DMDEYFVPPLIQACRGLVTDQSVALRPNITPEFSVAVHTSGYFGQVNSKLHDPLWYWN TFEPKIKEYYHGDLVFSVDQVQSDGANEQRPWAMYGNKIYDLTDYFHTLDLMNNVPSY SFLDSSVSDLWKNNPGQDIKSSLDQLIKNSASNQTQHASIMNSWQCIQNSWYKGMTDF RQTPRCTANNWILLAFTIIICGVVVIKFVSALRFTSKRRPSPQDKFVICQVPAYTEGE DSLRKALDSLTALQYDNKRKLICVICDGVIVGQGNDRPTPKIVLDILGVDPKVDPPAL PFKSVGPGNEQLNYGKVYSGLYEYEGNVVPYLVVVKVGKESEQSKAKPGNRGKRDSQI LLMSFLNRVHHRAPMNPLELEMFHQINNIIGVDPELYEYLLMVDADTCVSEDSLNRLV SACANNAKIAGICGETSLENDEKSWATMIQVYEYFISHHLAKAFESLFGSVTCLPGCF SMYRLRTVDKGKPLIISDAVIREYAVCDVDTLHQKNLLSLGEDRYLTTLMTKHFPYMA YKFIPDAQCKTAAPESWSVLLSQRRRWINSTIHNLVELMRLKEMCGFCCFSMRFIVFI DLCGTLILPATCVYLGYLIYLVASRSGQFPIISIAMIAAVYGLQALIFILKRQWQHIG WMIIYILAFPIYSFILPIYSFWNQDNFSWGNTRIVIGEKGTKQVVAVEEEGYDPRSVP LQRWDDYALANNLPGRRGGYQEKQDYGYTDQYEMDEIKSVYSAAPQGSVFTGLGGRSA YMPPQSPAAFGNMTRAPTAQGHYFDTPASLRRQSMMSLGTQVQDIQRGASPYRDVPAN RGSLMNLRSQANLTPGLGLAGNRASSAMGYSGGHRPTGLETMPSTMSFDFQRGHIGTD DTAIVEAIQSVLGEVDLDTVTKKQVRALVEQRLQTELTGERRTFMDRQIDRELENM UV8b_00941 MQASTAAPLRLCRFRPRPSLPVARPPAPRRAHALLLHAPGSRRS VAHQVSDAEIAALAQQHQHPLSLADLVRHGRPPLSEKSLLSSANFTLSLLPIRLARRI QALRNLPYIVVSNPNIARIYNNYLHSLSILLPYWHAASQGRAIATPQDEIAFTNVLAE LVATHTDTIPILARGFLECRRYISPAQVTRFLDEHLRARIGTRLVGEQHIALHFSSQP HFAPGDSPTPCPEHPSYIGVIDTALRPALTVEACAGFVADICELRYGSRPLLRVDGEP DTTTFAFVPTHLEYIVTELLKNAFRATVESRSREPVVVTVAPEPPLKEQPGGAPLIQQ PSEDRGRFRSDAIRPLDDNAPGVTIRIRDRGGGIAPDVLPNIWSYSFTTFSDDDEFPG SGAAGGGGGGVDGLGAIAAASTGGSSIAGLGYGLPLGRAYAEYFGGGIAVQSLYGWGT DVYLRLKGVGNID UV8b_00942 MARRPPVSVLRYFPPRINNLRSNLQRNLQSNLQSILQSNLQNHP IVDRFSTSSPAKMPSYIVSLKDDATDEQVKAAKQQAVEQGGVIGHEYTLFKGFSVTFD KDAITTLEANQDVKSVEVDGQVTTC UV8b_00943 MDGNATPSAFTSMLVSSTGKPIHIPSHLDIVREAVTTTGFWTIL LTLFAACVVYDQISYILNKGSIVGPTWKMPFIGPFLQSMHPKFDEYYAKWASGPLSCV SVFHKFVVIASTRDMARKVFNSPMYVKPCVVDIAHKLLGHDNWVFLDGKAHVDFRKGL NGLFTRKALECYLPGQEEVYNRYFDKFVNITEENGGRPVPFMPEFRELMCAVSCRTFV GHYMSDAAVKKIADDYYLITAALELVNFPIIVPFTKTWHGKKAADMVLGEFAKCAAKS KVRMAGGGNVTCIMDGWVLQMMQSERWREAEANGQGTEESMDKPCPLLRMFSDYEIAQ TIFTFLFASQDATSSAATWLFQVTAQRPDVLQRVREENMQVRNGDPNAELNMDQLESL TYTRAVVRELLRYRPPVLMVPYLVKKPFPITESYTAPKGSMVIPTTYMALHDPEVYDN PDYFDPERYYSGDAEVKGAKNYLVFGTGPHYCLGQVYAQLNLALFVGKAACQLKWTHH ATPLSEEIKVFATIFPKDDCPLTFEKRER UV8b_00944 MIPRRLAPAALLPRAVRPAVAPAPFSVHARPSSSTRPPATLRSC AAPRLPGRLPARQHRDYSTAGHSSKAWSFDEVRKLVGARSRPSDVVLVDVREPEEMAG TGRIPGAVNIPVRGAAGAFAMSERDFEDAYGFARPAREGTTLLFYCLAGVRAGVAAAA ATEAGWRADVYPGSWLDWEANGGKVAKVTRKRGGGEAEARGGGETDAARK UV8b_00945 MVGRGQQANRSTSNINVNVNVNVSSGIQPRTSQLQPAGQASQGP LPPARVRARRPVPEPNTTTTSMSGSGYDAVVDVDDEGDLGHTDLQEDLEFHTSNFNEA SHGGRKNASPGLPPPATASSSSPSKRFLWSVSFYSQFFDVDTSAVLQRCWAALFPRAN FLDVLEGNPDLYGPFWIATTVVLILFLGGTISDWLSTRGTTQFAYDFRLLSGAAGLVY GYTLFIPMVLFLALRYFGSESANLLECWALYGYSNLIWIPVAIISWSPIEILNWTFVA VGFGMSVAFLLRNLYPVLSATDRQVSKVLLVVVVLLHAGLAITIKILFFAHNSPAAKK PDAHPPAEKPGKPAFFSF UV8b_00946 MEGFIQFDLDDEEDAGKMGAVARREKAARGTEKRQLVGQQGKTL YIEAVQLLLRKQVLFLVRDKGHKMETETVLRDLWDLRLRGYGSLSAEADHSDTEIEVF SSQPTDSEEDAKPSWRPRSRAQKWDPERGSSWPTPRLPETIVLCYLACVLLRIPTRLA DLRKWAANGSMPYLRAFYELPREMQERMPSPYATVLKTSSCSGLRGEVLYQTAIDLVL SYKVNYDMTFPELNFIPMLVQFAKELALPIESIVVAKRLATNLKYDFGFPVSKSRISP VDHPEVRLLGLLAVSTKLCFPLNPGQVSLLNKEKALMPRFSWEKWEEGYLASLPTDDR SRANRYFENITPSQIVDLDDEGLNTYMAQLSATLAETKTNSLTRFFPTEPQPKSPSPV LDIPDERIDDQARHILAQAVEPSRFEKAGHAESKQDATLYEAFRAREDLSSEAYTFYQ AIGNVIGLTVAQEGQGRNLQVICPRGEETERGEAPPQTRERRGFSVCSTSLSPTNFPR KNFAQLAGKLTSSSCTKSGAKMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIP PDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLKALASKFNCDKMI CRKCYARLPPRATNCRKRKCGHTNQLRPKKKLK UV8b_00947 MAEEHDVTFDSADAGASLTFPMQCSALRKNGFVVIKGRPCKIVD MSTSKTGKHGHAKVHLVALDIFTGKKYEDLSPSTHNMDVPNVTRREYQLLDISDDGFL SLMNDDGDTKDDVKMPDGEIGEKITKLFKVDEKDTNVIILTSMGEEAAVEAKEAPKQ UV8b_00948 MWLDRLAGFPSSASASGQSTPQLGSRSYSPLPRRTSNSLSPYVT SQRTGHSPRNSSLSLVTSDSSSSLLTCSRRVNGSSLRQTATTANHSDSLDALEKLLKV LKEASQDSDGKEEAQAGSIVEADLELDVDFGGLSLKELVAVEGPDDGAAVIRQAQAGE EYEDERTTFEGLHRSITACDDVLNSVQVNLANFRNDLAAVSADIESLQERSTVLNRRL QNRREVEKALGPLVEDISLSPDVISKISTGHIDESWVKVLSELDKRLVAYKKKSSSGS QRNKASEELGPLLEKLTLKAIERIRDFLVAQIKALRSPHINAQIIQQQNFLRFKDLYT FLHQHHATLAEEISQAYMNTMRWYYHNHFSRYQQALARIKTHAIIERTDTLGQEDITR VAAVLSSARASGPPHDAFNIGRRLDVLKTKNQAALPSHLAEEDQATHYLEVQFRNFNL ALVDNATAEYTFLATFFSPALSYSRISTNFNYIFEPTFELGQALSRTLVADTVDALGI LMCIRLNQRFAFELQRQKVPAVDGYVNATNMLLWPRLQVVMDRHSESVRQLANKLPSK PNRSTGDASRMTPAPHVVTQRFGQLLHGFLALSTEAGDDEPVVASLQRLRSEVEAFLT KQSLAYGGDKRKSERFLYNNYSLISTIIGDAIGKLAAQQQEHFEKLKATHRGDV UV8b_00949 MEDSAEMLNAHKAHVEHHHQVDGAAQQKADAVLDACRWRDVSKL TRLAESSGGFLTDDLRRLAWPILLGLSLDSSSAEDAVGEQDYSEASVAWTKLAPHRDE DQVQLDVNRSFIYYPNDKTDAQLDKCKSELSCLIVEVLRRHPFLCYFQGYHDICQVFL LVLQPAYRVPAVARLSILRIRDFMLPSLGPTTAQLKLLPDLLARANPRLRKHIATIEP FYALAGTLTMYAHNIEAYRDIARLFDVFLAREPVFTIYVFAQIMINRQDEILEIDETD MLQAVLARVPPNMDLDALICNAVGLFDKYPPESLPAWRRISSRSSLKTARDIAACTDQ TLEEGQGFFEEQVQEVRWLEIRSRIFATIWRYRRPIKAFGLAAAVATVALYLRRSPSI IHYIMSVFNKGGKNPSY UV8b_00950 MAFQTSIFRDGEWVTETVNLQAAIKASATQKPATLAHFVNPPVC GILSRTIVESPIVHWILPVRLRSEDYNDIAFIGDHFVQISELRGDGQVHEVARKSDFG SRIRGAVVLGDSFQHGLADDDQANVSKSEDQDTLMPDVLDADCSPRSRTLPPQLLVLM LESGDIVYIFLRSGQDSSYDFVLNRHRSPKNFSCLGFHLSVDPSSRYMAAASPEGLLV VYEFHSMKTINEQYRSRGLFDPVTSFRIRAVQGVVQKLEFLYPRPEDDYHIILLLIIT RKERSSAEPVSRMVTYEWEVGDSLKDVFAEEKAGNRLPTEHRMPSLLIPLRFNTAFFV VSQPDIGVVKNCLSGSPVFEALKTDTPGRTPLHHGIEKPLWTAWSRPFRRKKYFEKTD IIFLAREDGAIIHIEIDAPELVPSVTNVGCLHTNIDTAFTTAYDVFTDMLIIGGDSGS GGIWKLAPRTDLEQVSVLPNWSPVMDVAISTQHSTLEPKDARDSRWSEDDSFLSRPDS LFSASGRGLKGNLTQWRWGIQGRIGLDIEYGEPIRNSWGFYLETGGSKSLYGLLSLPD SSIVLQFSADFSQVHSVEPDNTGFDLAFRTLHAGQTQSGTIIQVTESSISLISSSEVS HQPLESILGVRGVNAEHAFCGDHVIALSTHDSVKFQLHTVRIKNMNATLISSWDVVGE VTCVLFFSAIGDEFVAVGSAADGVSWISIYSLNGKVVVTKALQRTDPSPSQGSEMLSY THHFEPLTSICMIHEGASSADFSAGTRCGHLLTLRISFGKSTSVAWSREVMGVAPVDV YPTHGPFADGAAALACCDSNLVMMSRYSETDFRFATKSFVWLTDSNDASIPSPPVHSA FSLGNKLSADPSHLSLMLLVGTRVLLADIWPHYSLVPRCLPLNGTPTRIIYSQAWNCL IVALLQDDRPTLLFVDPETGAQIASASDKDQNPLEVISGLGHAGDRIYGLNEWLYLKD GKTFAFLLVGTKEGRLLIVSVKKIEPSLRNSTGESLQYWTRNKQMFGKPVYSVVGDDD GIIFCVDKTIHWNVLDLAEKKLKQVKQYELDSPATSLRVSNGKILALTTMHSLEVIDH RTGEGNGMALVHTDRVSRTTIHMADIGPTRQDGSGDGWRITLLADQRCGFAGVWVPWG YRNKEFETVFEGALPASIRRFVTARSSPPWASSEPRSRYGLLPSGQDGAEMFGLSLDG SLRHFTLLDIPLWRLLSLVQSLAQRNATLNHMRGNSDSETMNSDDGVELEPRLHPKLM HINGDILSDCLKPRMLEKMVGKADGLDLFCEYLDGLEGGRWTDEFRDSIGHSDDKRQA AYFKLGYEILTYVLAPVW UV8b_00951 MPPKKKGNKKAQDDWEADLGETIGPANPATAETNEAAPDAGGDD DSTGGGGLMAMLRKNKEKRKKKGLADDDVVQDEDPAPADPAAEALSNQAPQEAASDDA FALPDKKGKGGKGKPAGKKEDLEGEDGTESGRILTKAEKEKLRKEREKQRKKEQAAKK KTAPAKSTEPTTALPAKDAAPAPAADTPSPVAETGGKKKKLPAHLAMIQKQQEDLRRR REEEERRLAEAKARAEAEERLAEEEIQRREEAKALKKQKEKEKIEQLKKEGKFLTKAQ KEEKARNERKLQQMLAAGIKVGPQESTDEPKKKIVYDGRKKKGRNDKLDEDAALAEAA ERARLQAEKTLKEAEDRAARERAEADAKAAAEKAAAESDVEDDWEAAAASDDDVKDSW DADSDEEAEKKSAALSRGRNGKAEEGSDEDGSESESESESESEDEELSAARQAEMQRK KEAADRREKAHQAALAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGI TQQIGATYFPVDAIKQKTAVVNPDNEFEFKVPGLLVIDTPGHESFSNLRSRGSSLCNI AILVVDIMHGLEQQTLESMRLLRDRKTPFIVALNKIDRLYGWKKVDNNGFRESLDLQN KAVHNEFKKRLEETKLAFAEQGFNSELFYENKSMSKFVSLVPTSAHTGEGIPDMLKLI VQLTQERMVGSLMYLSEVQATVLEVKAIEGFGMTIDVILSNGILREGDRIVLCGTEGA IKTNIRALLTPAPLRELRLKSQYVHNKEVKAALGVKISAPGLEGAIAGSRLMVVGPDD DEEDIEDEIVADLASLLSRVEKTGRGVSVQASTLGSLEALLDFLKDCKIPVANVGIGP VYKRDVMQCGIMLEKAPDYAVMLCFDVKVDKEAQAYAEEQGIKIFTADIIYHLFDDFT KHMDEMLERKKEESKMLAVFPCVLKPVAVFNKTSPIVIGVDVVEGQLKINTPMAAVKT NPVTNVKEIISLGRVTSIERDHKQIPVCKKGQPSVAIKIEMGGHQPMYGRQLEEADSL YSLISRASINCLKEFYRKDVSNDEWQLIIKLKPLFDIN UV8b_00952 MVAQGGLRAVCWFGLVRLASFGNLVGPGWMGAVKTGLRGAGDEH GHDGGRDVHAMRLPPLLARGAWRVASVEKTETHSRSMAKPCLVMHPSSTGSRALDAVP GPPGGLEAGKGAGRGVPGS UV8b_00953 MSVVGVDFGTLKTVVAVARNRGVDVITNEVSNRATPSMVGFGPK CRYLGEAAKTQEITNLKNTVSCLKRLAGRSFNDPDVKVEQQFITAPLVDINGQVGAEV SYLGNTEKFTSTQLIAMFLSKIKQTAANELKLPVSDMCMSVPAWFSDIQRRALLDAAE IAGLKTLRLINDTTAAALGWGITKLDLPAPEEPPRRVCFIDIGHTNYTCSIVEFNKGE LAVKATAWDHTFGGRDFDKALVDHLAKEFKGRYKVDIYTHGRAMARTIAAAEKTKKIL SANQQAPVNIESLMNDVDVSAMISRQEFEAMIEPLLNRTQQPLEEVLAQAKLTKEDID IIEIVGGGSRVPALKERIQAFFGKPLSYTMNADEAVARGCAFSCAILSPVFRVRDFSV QDIISYPIEFAWEKAADIPDEDTSLTVFNKGNVLPSTKILTFYRKQPFDLEARYARPE ELPGKQNPWIGRFSVKGVKAEGKDEFMICKLKARVNIHGVLNVENGYYVEDQEVEEEV KEDEREDGEKKDGDAMDTDKKEDAPKKTRKVKKQVRKGDLPISSGTSSLDAAQKNSLG EKEAAMVMEDKLVADTEEKKNELETYIYDLRSKLDDQYAELATEEEKASIKAKLEAAE DWLYDEGDDASKGVYIAKLDELRALAGPIVQRHFEKVEGERRAVQERVEAEQAAKRAA EEEARKAAEAEKATQQDGGDQEMKDAEAPQPEVEEAS UV8b_00954 MGVFTVNWPVKDIAYTAVVGLVMLMACLEWLLWFAAFLYCLVKA FGKAEHWSIRVLCVVVGLAFAALRCIFLPVMLVTLPLPPAVSNLWPTFFSSLLQWFAF WSFAILLTVPWLFCIYQLVTNHLGRNKRVKRVLDDSTAPKVVVVMPCYHEDPLVLIAA VDSIVECDYPASCIHLFLSFDGESIDELYLHTMQALGVSIISKPYPSCIDLVYKAVRV TVSRFRHGGKRQCQKLTYDLIDKVYTDYIRHLDNIFILFIDSDCILDRVCLQNFVYDM ELSPGNARQMLAMTGVITSTTKKHSIITLLQDMEYIHGQLFERTVESGCGSVTCLPGA LTMLRFSAFRRMAKYYFSDKAEECDDIFDYAKCHLGEDRWLTHLFMIGAKQRYQIQMC TSAFCKTEAVQTYRSLVKQRRRWFLGFVTNEVCMLTDWRLWKRYPALILVRFLQDTIR TTALLFLIMVLALLTSTATVRNLPVGFIAVSLGLNWLLMLYFGAKLKRFKMWFYPLMF ILNPLFNWYYMVYGILTAGSRTWGGPRADAAAANMHTTAREAAEQAEKQGDELNIVPE SFKPAKEARALANEPHRPPASVCRQRSVVRPPNAIDGQFSGLGRATELAAFNGRKLLC DGAVSNEPGRYTVNNGSQSAHAAYEIALESRVGFFMGEEDKRKYTTAQLAQRQPLAHE PGIDPGADAG UV8b_00955 MDRQRKRELRELNEKAWDGEKDLFHVGESLDSSLKRNTAFIKRL RTAVTPSNLATFLQEIRSLSLHKYLSEIIAACYEGLCRVKSPAEIEAGVEIVSALHQR FGPPEFTEYLAWLLGKGMATPDKSALKNLTQEVREKEEKERMTRQRALLRVITELWLV GVLRTLDDAVKPEDASKAATGKAVELKPRPGSGKAGSAEPFPLEVLKDLLAYDREHTN LPLLVIFVKAFSWEILGVRPASSDGRKSIEEDGAASGHNDALPDVDDGAKTAQPADQH PFTPPDTADKFKSILKRYFEDVVAHIVRDQKSIQSQARRNAEAYVKSGEVFEDRQANY EKQVKTQERLVSNAQVIADAIGAEIPELKEPDDSLVTSSGSIGLVKTSEFLRGSGDGN GIWADEDERRFYENIVDLKGKVPPILLEDGKKKKPDGDDQVGRKVEPNDISDVPKSAP EAVDDQSTSIVNKTIGAQVDALLARLPELTNKDIIDQTAIDFCFLNSKASRNRLVKAL TEVPKGRSDLLPSWARLVATLGRYMPDVPKGLVDYLDAEFRSLQRRKEKDFLGQVRLS NIRYLAELTKFGVVPEHVVFHCLKVSLDDFSRMNIEILCNLVENCGRYLLKNPETAPR MASFLETLQRKKSVQHIGQPERMLIDNAVYYVDPPQRPAIEQKERTPMELFIRKLVYS DITKRNYSKILKQIRRLHWEEAEVVAILEKVFSKPGRVKYGNIHLLGILLSALYRYHP EFVVRIIDNVIESVSFGLEQNDFRLYQRRIAEVKYLGELYNYRVLEHPVIFDTLYKIL TFGYGGPPVPGRLNPFDPPDDFFRIRLVATMLETCGMFFDRGAAGKKLDYFLSFFQYY VHTKISLPMDIEFVVQDTFSMTRPQWKFAANLDEAAKVLQLAIVQDQKTAGFDRVPEA DDVTSGASSDDDNVDVDDAEHDGEGGEDSASEDDEAEQQDVDDESVNEIDFDEEESIV VTRQEEAVDPEDEAEFEREYAKMMAESLESRKFDRKPLFDVPLPVRPKNRETPTSTAQ EQEPSAPSKKMAFSLLTKKGNRQQTRTVELPSDSTFAIAMITQQQAEKEEQQRIKNLV LNYDLQQNEDPEGSERGTALYHNRIDRSNKDRGQRVDNVG UV8b_00956 MSSVSNLGGNSGVDQGNPKNNRKREREDWNTSTSIIDHERASQQ PGKFKKTKPQKPQTQSKGSSQSESVSPVSRVIEWPELFKSLDKTHRALNLVFTFCCTR KHLATTFETIKSAVETHTKHPLTEDDVALIVALRPEGINFTYVDELMLQLDIKGSQRD DVFKNSKSLRSQAPVHDLSVGGWTGDETLHNRRDGESTGEREILFFEFIDGDLKRQVQ DKRTGEAVAPNRRLRDEQLKMPVFGQKQMRQLIDRRNQKFASAIDTFLSQCVEDRLDP DMTLRHMAQKFLPRPSHPRVVADTIPSPIPENIPKDRKSIPEIVQQLKDSPWYTGQIV PDGHRVFDPQEPIYGDLGFLLSQDIVNALYNAKGITKIYAHQAEALNRLDQGEHVVVA TSTSSGKSLIYQLPILCELERNYDSRALYIFPTKALAQDQKRSLRDMLSYMPGLGDAL VETFDGDTPMNERAAIREQARIIFTNPDMLHATILPQEEKWRTFLKNLKYVVVDELHY YNGQLGSHVAFIMRRLRRICAAVGNRRVRFISCSATVANPQEHFRTIFGVKEVTLVDF DGSPSGRKEFLCWNTPYKDPKDPASGRGNAKFECARLFCALMLRGVRLIAFCRVRAQC EALVSAVKQELESLGRPECMNLVMGYRGGYTAADRRRIESEMFEGKLLGIVATTALEL GIDIGTLDCVMSWGFPYTIANLRQQSGRAGRRNKDSLSILVGDCFPTDQHYMQNPEEL FTKPNCQLRVDLDNLLVREGHIQCAAYEMPIRPEQDAQYFGHDLSSICNERLVKDEMG YYHCHDRFRPNPSRYVAIRDAEDEHFAIVDITNGRNVVLEELEASRATFTIYDGAMFL HQGNTYLVRDFIPDKLMAKVEKAKVDWTTTQRDYTDIDPIEIEAIRKITSSLSRAYYG AIKIQQNVFGYFKVDKKGRVLDAVQVDNPPVIRYSKGLWLDVPKNALDILQGRRLNSA AAIHAAEHAVMSLLPTFVISMPEDVRTECKVALKEFAKLESKRKRPARLTFYDAKGGA GGSGISTKAFDHIGSLLRIALERVEACQCQRGCMECVASETCRESNEVMSKAGCLVVL KSLLNLPIDIDQLPMGPEDSVPVGMETVTLAHPVRVRVQDAKKGAGVLEGLENENRSA EEPKSSTGEFERWLG UV8b_00957 MAALSRFAFLAAVFHLVYIYSIFDIYFVSPIVSGMQLFAVQRPP SRKPPADRLVLFVGDGLRADKAFQSFPEPYPRTEDDLRPRPLAPFLRSRVLQKGTFGV SHTRVPTESRPGHVALIAGLYEDVSAVATGWKLNPVNFDSVFNRSRHTWSWGSPDILP MFQHGAIPGRVDAFMYEPGFEDFSQDALRLDYWVFDHVKGFFKEALTNQTLNEALRQD KVVFFLHLLGLDTNGHSYRPYSKEYLNNIKVVDQGVKEISELIQNFYGDDRTAFVFTA DHGMSDWGSHGDGHPDNTRTPFITWGSGVALPELHSGSIAPGHDAYSLSWGLDHVRRH DINQADIAALMAYLIGTEFPANSVGELPLSYLSGDIREKAEASLVNARGILEMYRVKE LKKKRNELRFRPYRPLSDANLIPEHRIAAIQDLIVNGKYEEAIEESDNLIQVTLQGLR YLQTYDWLFLRALITVGYLGWIAYALTTVVNKFIVLEKVTPERTYLGVTAFSALLAAL YASFLISKSPVTYYIYALFPVVFWEEVYAYRASLRQGRSLLLSNVESTGGVFTLLFHG ALYIAVMQCLALAYIHRQVLTGVFFLAATWPATYGISFLKRHTLLSLVWVISCLVMST FTLLPAMKVENVPLILAGGFMMFLIGLFYLFLEDNILSKWDTKLRSPGSEAKRTVRRT LLGVQLGLIPLSMFVTRSSALSLQSKQGLPLGNQVLGWAVLVVSLLMPVTYRLQPNTH YMHRLIVIFLTCAPTFVILTISYEGLFYVFFSVTLLIWVRLEYVVEIFGRRSEQKSEA NQMQKQAQHGLAQYRALKLSDARVALFFLVLLQSAFFSTGNIASISSFSLESVCRLLP IFDPFSQGALLILKLMIPFALISANLGVLNKRLGVAPSALFMVVMGISDVLTLYFFWV VKDEGSWLEIGSTITHFVIASLLCVFVAALEGVSAMFISGIELEKQQKMPPNISSEQL GSSDTNTKTTNGQLHSSPSRKLRG UV8b_00958 MSWGEGGQQPSQAAQHSNSPTKSSPPAPNGAAAHAAHAADAAHA ADAAHAAAHAAAHAAGQVSFRRQRASRACETCHARKVRCDAASLGVPCTNCVAFQIEC RIPTPKRKKTQNACGQAAKDSDSDREGTDDRLLQTPTSVGSYPRPPTVSHTSDGTPSS SMTEAQVRQEEVNNSTYLNLVMKPKFTRAPITDAGRVAYLGESSNLTLLVHDRQGSSD VVHYPLPENVRGSRARLTEFDNVEIEILHQRGAFLLPPRSLCDELIEAYFKWVHPIVP VINRSRFMGQYRDPKNPPSLLLLQAVLLAGSRVCTNAQLMDANGSTTPAALTFYKRAK ALYDANYEDDRVTIVQSLLLMGWYWEGPEDVTKNVFYWSRVATIVAQGSGMHRSVEQS QLSKSDKRLWKRIWWTLFTRDRSVAVALGRPVHINLDDSDVEMLTEDDFVEDESDRPS EFPPDPVHVQFFLQYVKLCEIMGLVLSQQYSVASKGRQRNAIDLTHSDMALADWLQNC PKIVYWEMPRHHFWSALLHSNYYTALCLLHRAHMPPSGSRSFPDDSPYPSRNIAFQAA AMITSIVENLAAHKELRYCPAFIVYSLFSALIMHVYQMRSPVPSIQQVTQDRLRTCMQ AMKEVSRVWLVGKMVYTLFESIIGNKMLEERLQKAGGKRHRKMQQSLSKLEQHSKVQD ATKRKYDDMAIDFTANAPTPQESYERSRPQTPSAVKNEHAPTMQPPTVASPNSRPNAA DTFMGGTNSRPQTRPATPFNPSFSVPATPPELYLVTRNSPNLSQSLWENFQPDQLFPE SSSMPAFPNLSPTQTHQDLGHNLMAQITPNSMAQGPSGSQYQPRGQANGGVSLHNFHA PSSMWQANFDGGGHDGQSPDSWSTSSAQGQPAPTTLNVEDWFQFFGINGDASNLNVDV SLN UV8b_00959 MTNRGNPAKNAAHHPSSALSASSHQAVPSAPRQRNRHLCASGDA ADGPSGTASTVGVGAGHYHASRSPVSNCETRSEAEEWESSRARHAANNAAGGTELVQF LEDSWTQSWSSIQSFTSNLIFGGGNHPKTPRSSTKNPGSRANSRPDTWGPAPPIRPSA NRSAAAAAAAAAASASLAQREAGLKAAKTASLLESQERGNGGLAGTARYKRRSSDEIV PSDPQHEEQLVYIHDVQPDDTYAGIILRYRCREDVFRKSNGLWSRDTVQTRKWLIVPT DACEIRGRPCNAPSAQQDCTDLLAPTPAATEDPWSGRGDAPRSDFFGLNSAPPADAPG SGPQQQGGESDKPWTHVRWVQIDSLSRPIQIARMARQSLGYFPARRKKSIRTGSTRST PRQSLDLSTAPPGSMEGSLPCRHSSFGSHALAPGSPTSSRSRVASEPAGRRPQWMRRP GGVGSMGKSSTSPGPDQDYFNNWTKKHLPGLSVEGLPSMSVMGSETARFGITQGSTNI VESPFEEGRDAGSASRQGSGLDRAAAAVEQWLRGALARRPGTPLLGGRMKPNSAAAAS DEEVTDLIELTDAASEEERIAWDATASLAASTALHTASQHEDGANLRGQSPPKL UV8b_00960 MKLNLPTCFSWPSSRRSRKGLTCLVRSPPNNSKPAFGPVDTVRR MSTPSASRAKKQALDRDMIISVLESAATRRDAKGYLQKYTHGKTPCPSAPSHEPAAGS PVASLTNGRPTMTTTTTTTTTTTKTTDPTDAAVVTLRLPQELSSDVLHGIAKTLSQLR VLGLTALVVVDGGAGADCRLLEDQALRLCEAIDSFAGPGSKMAGHVFSRRKRKTPAST PPGVVADTILVDDRGVLERALRRSVIVVMPSLARPDDISCRAPADANATTLALTRYLA GFPSEASSGTDDPASAPTTHPSARLASVERIIILDPLGGIPMTRRPHVPHRLINLEQE YDMLLQNLKAGASTCPETAGTGRPNAPNDNHAANLSLVKDTLTLLPPTSSALITTPFA AACTSSSTPPTAHRRPLLGFDSMVTTRRQRNPLLHNLLTNRPAFSPSLPLKRIQDEED GSSQRVNSGSATLVKRGMPVTIYPDPRVEPWRFSKPTATRLRLTDDCFDLARLLYLIE DSFNRKLDAQHYLKRVNDNLAGVIIAGEYEGAAILTWELPVGVSEQDACAQRRFVPYL DKFAVLKTRQGCSGVADIVFNAMVQDCFPDGVCWRSRKDNPVNKWYFERSAGTCQLSA SNWAMFWTTMDAKERSTTLQDYESVCRSVEPSWADKKHVID UV8b_00961 MPICIECRHPVKTLWTQYSGAGDKSSGHNIRLTVCRNCGRFCDK YVEHDFVVLFIDLVLIKPQVYRHLLHNSLMRDGDRFDPSIIRLGVLLLLFDVYLTWAR IEQQTGITSPPGGSNLGGLAQRSIVIQYLFFLMLCALSTLAFHLSIRFLTCSPLSPLN ALGIMPRHARPNSVSTALLVSSSTKLFPILMVIWTYDVPAAARSLGWAVVANNVEALR ILLDCKYATACILAIAGAASRWAMGRLVLVAAGLGDVDSSGESSVTADGKALWALVVL ARDWAGRLAVG UV8b_00962 MAQGYDVFIGLAIIVALCVASWFFAPKGENQVLWRSCLILSIVS CYLMWAITFLAQLHPLIRPKRSDLREDYLDH UV8b_00963 MDNIKMLGKGLSGLGAQLTPFASRTLQFTKEQFGQVEDKTQLPP DYIDLEKKVDALKQAHQKMVSVTSQYSNEAYDYPPNIKETFQDLGRTVTEKVSLLSSA TTTAEAQAALVAPPSAKPQPKTFSHAIARASLASSQLLHQNHTGAGEDPLATALEKYA IAMERVGEARLAQDSQIQSSFLAGWNTTLNTNLNFATRARKNVERSRLSLDAAKAHAK RTTFKMGGNSARGDQQQEEQELSPEAQEEIEKAEDEFVTQTEEAVGVMKNVLDSPEPL RNLSELIAAQMDYHKKAYEALSELAPIVDGLQTEQEASYRKIREESS UV8b_00964 MRITFPRLARYLEPGTPTGLTGLWTHSTPRSTLLYLYTSTLNKL QSIPEKSLYRQSVEAVTKHRMGLVEKLTPPGYDEWVTKAQELVRKNPEQFRVTSGRVD GSEAKTVKLGNRVFVVGARHEAGDVRYEEWDGEADEGAELEGIRTAAERSDQAMWAER NPLEHHEKIEWEDEPQLTADQVHELEQAIGAGLIEEVIEVAEGELRIIDTMEKARIWE DLEEQPVDGQWEYFDRKSM UV8b_00965 MAKRKRRQSGSHESRPRFLSQPPSPNGIINPFSRSSSEQRQLSV AGLGDAERDPSRAIRHFPHRRIGGDDSTTAGSDDEADALPDAAPRKGAASPRRGAAAS RDGKTLDVLLRSIHQLLDRGMVERSARLFGAVLRLRPRARPIDIRQHGLWAIGAEIIM RGGEERARGPGAGQAGGSTCETAPARWGSPANMDGLRAYLETLMQEHPYDHRFPSNVS ALDFQLLLLGCEVYNCHAEYSSAMATLDEDLKSWRRGPVMGAGTCRPDRDPDFRQPVA TGEAHDPAEPKGVRTKKEHIRSRALRTMEAIANKMDILIKDLPYSKNHHFLNLRAAVS LFIGDLVLSLQDGSHQHEHEAAKATRQCEQETARRLMHRVLSSGGNIASPVLHFAGYI TNANPAFQEQQLHATLPIRGP UV8b_00966 MAALDGALFELPRLRVSFGFENDTRFLGHDDNVAEFFDVKFCPY QPLDRDPVFAAVSKKHIVVCKLSQNSGESNPCEVINIIRDEDDEATGCCCTWTKDPVS GTPYICVGGVDAKVKIYDVSDGRLVECFVGHGGDVNDLATSPVNSSIIASASDDTSVR LWSLDPIHKEQPCLCILAGEGHSWNLLSVAFHDSGRYILSAGHDQIINLWTVPDLPTD AITTPLQVHYPHFSTSAVHSGIVDCIAFYGDCILSRACHDNVIVLWRIEGFSSDGPMP PQSAAPTPQNVGPTSYEDPGRFTRSAFVPVTSPQCPSQYTRLLEFHTPNCGPQFFMRF KLLHVPDLNPVLAFCNAAGNIFFWDLRRLTVYRDVMAARLDPHRYRSKPVQHPSWLKP VIPRQRVDAAGRVKGVGSDRESPASGQTCAPETGEFSAETLESWASRYSMEDPHEPLR AHRTESSSANFVGRQAAWSPGGEWCVVVGSSNTTLVLQRWAHKMPSRTLSSRQASRSQ SS UV8b_00967 MSVSNSRGNHARTRASLEDDDLIDPDNADLNDLDDPLGNQPYAP SLAGNIGSGSSSRPLNESYLTSSIPGEDRRAPQNTIDETVWDTLRRDLLAVWAKLREV LYPRYLLGGTMFDSEGGLRGAYSNIRGAGITGTREELTGLASRMMNAEALLQSNMSPS LRDWDLWGPLVFCLLLSLLLSITARAEQRDTVFSGVFAMIWLGEAVVTLQIKLLGGNI SFAQSVCIIGYTLFPLVIASLLSALRLHWIPRIPIYVVLVAWSLAAGVSILGGSGVVK NRVAIAVYPLFIFYVGLGCLCFIS UV8b_00968 MADSAQAVAASPRNEPTVIETTEDAETRAARRELKQSSISDQNQ GGEVEEGVAKGEDCAETPTEGGLVKNVDLKEHGSPKKKRAHDQLGEDHQDPKDNDATS VASTDSGKDRTTRLEPEKKRHRDGCADAASGPQLSAADPPVHPEPKDPDSAPSQASPE TSAAFATSGFGKLASSQSGFAALASPQASGFASAKPTLSSFSSAKPTPSSGTQPTKGQ TTAPASVAHPPRLSFAVNPGLSPFAGLLNTNGSGGTSFGSGSVLSSTKPLVACGTKPL HNDKATRPFGAPESDASEDDRDDDEGENVSQPDETGRGVSPEKDMDDRKKLKLQKVEV DDGETGEVTVVSVRAKMFSLDKQAGWKERGAGMLKINVPHTSVDFDESGAVIPGSFDA SGLEADENGSRGPKVARLILRQDQTHRVILNTAILPAMEFQEKASLKSVGILFTALEG PDAKPVSITMRMSAANARVFLNEINAIQRELRGN UV8b_00969 MAAANNMYNLTTLIKRLEAATSRLEDIATSTDLAKDGTSPRQAA QPPPTATSLAPPTPAQALTPKPTPKAAQEEPIPEALDDFDQLISSSVQNYVTLSNGLG GGVVAKQANEVLKGFLEQRRFLLLTTKAAKPDPSALMSILKPIYDVVAAVTELKDANR PDPMYSQLSAVADGIMALAWIALDSRPYKHVEESFSSAQFFGNRVLKEQKDKDPKQVE WVQAFYQVLRDLAGYIKQHFPSGIPWNARGEPAQIVAKSLSQQPLQSGLPPAAPAAPA APAAPAAAPAAPAAAPAGRGGSAPPPPPPPPPGPAPMLDIKTENKTDGGPATLGGGGF GAVFSELNKGSDITSGLRKVDGMEMTHKNPSLRTRSRVGDRQVSSRGKSPAPGKKPKP ENMRVKKPAKRELDGNKWTIENYEKEAEPVEIQTTLVQSVLISRCNNTTVILKGKANQ VTVENSSRLSLVVDTLVSTVDVIKAQNFALQVTGTLPTVMLDQVDGGQIYFSKESIAT KVFSSKSSGINLNILTGPDDDYKEVPLPSQICSYYDETKDELVNEIVAHAG UV8b_00970 MLASASRSRALRTAPRRLAASAPTRTIMASSAKLANSPLPPGYA EDRSKGPMLRFQESLPRLPVPTLEETAKRYLKSLHPLLSAGEYAASTAAVADFIKPGG VGAQLQDRLVAMREDPATRNWVYEWWNEAAYLAYRDPVVPYVSYFYSHRDDRARRDPA KRAAAITSAVLEFKRLVDAGALEPEYMKKLPICMDSYRWMFNATRIPARPKDYPAKFA AGENKHIVVIRKNRFFRVPHEVGGVQLNAAELESQFNRVYRAAAASAAAPVPPVGALT SENRDVWADARELLVRASPRNSQVLSAIDSASFVVCLDDAAPVTLEERAHQYWHGDGA NRWFDKPLQFIVNDNGTSGFMGEHSMMDGTPTHRLNDYVNDVIFGAKMDLSDPSVRPD LPEPQALEFHVTPPVQAEIDRAVADFRAVVSQHQLAVQAYQGYGKALIKKLGCSPDAY VQMVIQLAYFKMHGKSRPTYESAATRRFQLGRTETCRTVSDESAAWCAAMADPSQTDQ VRVKLFREAVHAHLEYITAASDGKGVDRHLFGLRKLLQPGQEVPAIFKDPAFSYSSSW YLSTSQLSSEFFNGYGWSQVIDQGFGIAYMINENSINFNIVSKNLGSDRMSYYINEAA GEMRDLLLPTIQAPKARL UV8b_00971 MGNFISWLNTNVPVDQKGGDAQTAKTNQPQSLWGMVETLIPLLI ISAAYIALFLVFRRSQRRFYAPRTYIGGRCERSPELPRGFFNWFGSFWKIPDAYSLTH QTLDAYLFLRYLKVCTVVCFVSACITWPILFPVNATGKGGQGQLEILSYSNVNVDKSP NYFFAHALVAWAVYGFLMYMITRECIFFINLRQAYLLTPHYAKRISARTVLFTAVPQE YLDEAKIRQIFNNAVKNVWIAGNTKELDEKVEERDKVAMKLEGAEVKLIVAVNKARAK ALKKGGNASEPEQDAETANVISRWLPDKKRPSHRLGPLGLVGKKVDTLTWCRSELEKS IPEIRSAQAAWKEQGNFTKVGALFVEFHTQADAQAAYQVITHHQALHMSPKAIGLKPN DVIWKNLSIPWWQLIIRRYAVYAIIAAMIIFWAIPVGIVGIISQVKTLESLPGLTWIN DIPEKILGFISGLLPSVALAILMSLVPVIMRALARVAGAKSNSEAELFTQNSYFVFQV IQVFLWRSVSDAASAAIVKISQDPSQVFTILGSTLPTTSNFYISYFIVQGITIAVGTV TQVVGLFVFRILYKFLTSTPRAKYRKWTTLSAILWGSLLPVYTNIVCISIIYSVIAPL ILFWSTLALFLFHLAYKYNLLFVSDTAVDTQGLIYPRALKQLFTGIYIGEIVMVGMFA VVKAPGPAILMAVFLVFTILYHITMTRSIGPLLQGLPRTLEAHESLVQGNVGGTEAAK ANVAGATSNSAPGTNGGESTDLKKATSSSSNDYGVQKPKCNIFTRFLKPWIFSDYNSL RHMVPQEQDIDFAHLQASGEVFERDAYFPPSVNSEPPQLWIPEDSLGVSKHEITLTSK VIPISDKGAHLDEKNNVVWSENLSDEADLPPIYEPKTYY UV8b_00972 MAKLRPAESFFQQRGNRTDYQALLAIEPYVGRKSPGTLRFPLAC HEAGTWLASAVLSPSDMLHVNGNGQ UV8b_00973 MKLDVKRQLYARSDRVKGVDFHPQEPWILTTLYSGHASIWSYET QQVVKSFEVTEVPVRAGRFIARKNWIVCGSDDFQIRVYNYNTSEKVTQFEAHPDYIRA IAVHPTLPFILTASDDMTIKLWDWDKGWKCVQVFEGHSHYVMGIAINPKDTNTFASAC LDRTVKIWSLGSSTANYTLEAHETKGVNHVDYYPHSDKPYLLTTSDDRTVKIWDYTTK SLIATLEGHTNNVSFACYHPELPVIISGSEDGSLRIWHANTYRFEQSLNYNLERAWCV SYQKGKQGIAVGYDDGAVVVKLGREEPAVSMDASGKIIWARHNEVVSSIIKGDASAKD NEPISLPTKDMGTCEVYPQTLIHSPNGRFVAVCGDGEYIIYTALAWRNKAFGSALDFV WGSKENSNDFAIRESAMSVKIYKNFTEKSGGLDVGFQADGLTGGVLLGVTGQGGISFF DWATGGLVRRIEVEPKHVYWSESGELVAIACDDTCYVLRYSRESYVEAVQSGQVEEDG VEAAFEVITDIGESIRTGEWVGDCFLYTNGTNRLNYLVGDQTYTVSHFDKPMYVLGYI QRDSRIYLADKDVNVTSFGLSLPVLEYQTLVLREDMETANELLPTIPADQLNKIARFL EGQGHKELALEVATDPEHKFDLALSLNQLETALELARAADVEHKWKTVGDAALAAWDV ALAAECFTHAKDLGSLLLVHSSTGDREGLQALGKQAEEASAHNVAFSCSWLLGDVDKC VELLTKTGRLAEAVLFSQTYKPSLTKPIVHDWKASLEKSKKGKVAKLIGVPGEDDDLF PEWDAWLQAEREGEGGSGEEKADEGINGVADGSEAEVHDEDKAEAEAEAEAEAEEAEE EEEDE UV8b_00974 MGGGSAGSSSGSGSGSGPGSGPPLRWARLLVALVALLVPASRAE IGDGIWRWDRNVSNWYSYGWYDALGRHDPAHHRYNLFFPANSRRADLGAAGQKFHSFR NPSVVNCDSGRLVAFAEGRRYDNRDFGHISIVVKRQKDKDQGKARWMAHMLKFWMPLS ELTPGAAGSWTNPTAVPDGDTLYLFMNWNRHDRSRRGNETLATGEVTRKVDATPEGRR RLFLTQSPDDGLTWTTPVDVTDQLTPPGWGWDVVGPGRGIVLRSGEVVVPAMGRNIVG RGPPGKRTWSYVLLDGSGEEGTIVQTPDDGLLRNDKGLPGDNFRRVARGNLTHFEPFR LDTALPDHIDGGGAMVQWSWRNMSVKYPDDNRIVFVNPYSSTNTDRLRCQLTYYHDGS RYTIWRDLQDKKAVGRHFGIESRRASISFRLFTTEHHLVFLSEMDFRQTGGSKDDHVG LLFRHTSISWLLHGNQQGLMGKPKEEKDPYLRLVKFDPERKLPMWEKQGRTVEEIKCL VDNGQCRGVMRQGTGD UV8b_00975 MKFLLRLNLTLLAAFAHSFYAVPEGLESVRPGTILKHRKPPAPI AAFGAARINLQDSHQILYRTSDSSGNATATVLTVLIPHNADFGKVLSYQVLEDAAYAR CAPSYVFQFGASTGGLFGTVANQAELLLIEAALEEGWVVVAPDYQGPRAAFLANKLAG HSILDGIRAALSSGEITGIRGDATVSLWGYSGGCVATTFAVELQPSYAPELGASIAGA ALGGPVPDLSSCLATINKGPLAGLIPAGIIGLSAEYPALAALVDEQLLPRYREKFYKA GRECLVANLANFLLADVFAMAKDRAIFSQGLAKTIMDQNSAGQATPKVPLFIYKAIHD EVSPIRYTDELVKGYCDKGASVEYVKHPEALHSTLSSTGAPAAFAWLKGIMSGKKKPD KKCSTKVARNRLWDRSTYQVMPKFIADALLDLMGKPVGPGGLLESGMQSRDAPGLGDT GAQSCPAPGIFVVR UV8b_00976 MAATKAEEPSTEGFGSDGDDAMEKYRLTTEHSYTKPEKRQHSED AAFQKWVASFAKERLPLGDDPKDTLGETTPLIPRPDMESDKIITTPRQYQIDLFERAK KENTIVVLDTGSGKTLIAALLLRHTLSAELEHREQGNPPKTAFFLVDKVVLCMQQYNV LRQNLEYPVGKLHGSNAATGLKREDWDAIMSENMVIVCTAQILLDGLTCGFVTMSRIN LLVFDEAHHTKKSHPYAKIVRDYYIRTKTDRPRILGMTASPVDSKTNDLQAAALELET TLHSRIATVPDEELMREMGKRQQLERVVYYGRLLKPEDARTQLWHTLCAVFAGRPEFM VHLEAAQDIASSIGPGCADEYWTTNFMRSNSGAMQVAMNDAIHWEQDIDAELYLAKMT DSDVDSNLFCEQDHIYAAIRQARKSIREYMEIQPPLAVSTDRFSTKFLALRDILSDAF STNSSRRSIVFVQKRYTALVLSTAFQQAPILVPNMFPGYVVGSQTMSSSIANMSIKEQ FNTMHRFRRGEINCLFATQVAEEGIDIPDCDLIVRFDLYDSPIQYIQSKGRARRANST YISMLEENNMQHFRRLKEATLNASALRRFVSVLSQDRKVTDQDLDTDQRAQDMELESK SQRIFKIPETEAQMTYYSSQQVLAKFVSSLPDGDGLHPEYIVTPTYKGSNYIATLILP DSSPVKTLRGTPQRSKTLARASAAFEACIDMFYRGYIDGHLQSTLKKRLPAMRNARLG LSSSKRSEYTMRLKPDVWSQTGAPAELYVAVFTMDGSALPGKTGRSLLVLTRSKLPAL APITLHVGTTERRTVKPVQYDQSTAVEASEVALIAGFTFTIFKDVFSKEFDCKGENLP YYFAPCSNRDASDVKVDTRRLIDWALLESMAQVNETMPTPGWQITDELAHKFVYDPLD GSRKFITGAVNEHLRPSDRVPAGAPPPKSRGFFRVAGTIADYSNSLSFNARRRVTWDP EQPVYHAELLPIRRNLLCQPEDDETAGCKSCSIILEPMVVSALPIDVVATAMALPVIM YKVESVAISMDACRLLDLEMQPSLALEALTKDSLNTEQHGEEQINFQRGMGDNYERLE FLGDTFLKMATTISLFTLMPNDGEFECHVERMLMVCNKNLFNHAVDRKLQEYIRSKGF NRRTWYPDLPLKKGKALKSKATHSLADKSIADVCEALIGAAYMCDSDGSMDLAVRAVT KMVRSKNHDMSRFSDYYDRYAVPQWQTAPTTAAERFAAGKVHKSTGYMFNSPRLLRSA FKHPSYTYEPQLPHYQRLEFLGDALLDLVAVDYLFKQFPGADPQWLTEHKMCMVSNNF FGCLCVELGLHKHVLTTAAAMAGQMARFVEELRRAEEASAEDGDGRRPRADWWWVNVA QPPKFLSDVLEAFVGAMFVDSKYNYKVVRGFFNDFIAPHFTSMTMHDSFVAGHPVTLL TKRMQDVFGCRSWRLCVKNVPCGSETGARALTDDAVMCALMVHGKPVAHCVGGNGRDA KADVATRGLELLAMDREAFRAQQGCDCGEQGAEKVGAS UV8b_00977 MNLTCNSTLDDLRISPGSERILVGPLNFHDLARIIAASCTLIAT ALSLYLVWMHALHYTQPREQRYIIRILFMVPVYAISSFLQLEWYWHAIYFQVISDCYE AFAIASFFALLCHYVAPDLHRQKAFFRELRPVKPWVLPINWFAKCCGGQRGIWRTPTS GLTWFNIIWIGVYHYCFIRVAMTISAVVAQYYKRYCESSNSPVFGHIWVIVLNAVAVT IAMYCLIQFYVQLKEALAEHKLFVKIVAIKLVVFLSFWQASAISVGTSTLKIVHPNQA LAYPDLKVGIPALLLCVEMALFAVLHLWAFPYAPYTDSAPLTFYPVPDADKAIPSEAN TREAASGGFMGFMAIFDALNLWDFIKAFGRGMRWLFCGVKRRKEDISYRLNHGDSLEM DSLPDGKDGPVPYDGLRPTAGVSTPVPGYGQYKPTEPNAHPAQQQQQQQQQQAASRRN AGMAGAEENAGLMDHAQPNPEQRSYDTRPPPPRPRRDASPYDEPYRVTRAHPDAHPIG LAQGHDDDDGYGDRHRRQAALTDAQAAIGEALWRNGPRR UV8b_00978 MNDSKEFHSLVLPTPDFTHGEAAYCPFPTVTSAFYHHASYLASA KAVRDLSGDSVTELTYHELAVEAQHLATRLRRLGVRPHQSIPLVVKRGAEMIIGIMAI LSCGAQYVPLDGGVVPDSTIQHVADQCGGQIVLCLSSTEARIKDLLPRMTAIVIERET ILNRSLDNPDTWINLASSEAGCYIIYTSGTTGKPKGVHVTHRNVANLVCLSPGGLGVQ PGMSVGQVLNISFDMAAWEIFSCLCNGGTLVIRGAKWEPTLRQLDVLICTPTILSKYH PKSYPNIKVVATAGEPTSQGLADLWATHATYWNCCGPTETTIVNTMSRHVAGQAVSIG RPTPNNSVYILDGDGKPVPVGVAGIMWAGGHGVSRGYVGLESKTKEAYVLDPFANDGT NMYCTGDLCRWREDGNIDILGRVDDQVKVKGFRVELDGVSSSLASAPGVTRATALLID GDIHAFTVPLGPDVAAIIAHIRKLQPYYAVPSVMHQMSSFPTTANGKIDKKSLRNLAL APERKEALDDRMPSSPTASDQSTLAEVRSISLSSTVTITDEKLDLNRDIPEKKLPKHL RGLRHRVAIVYRFLFSLVGLLNVGALVALLLANPSRDWLATLTAANLVLAVLIRQDAV INTLYTITCSAPKAAPLWMRKRLAKIYHLGGIHSGAGICATSWLLGSTLRSTVAFAEN KTNDSLATLVISWMLCVLCGGLVGFSWPPFRKKHHDQFERIHRFAGWTALALFWVRTM LSVRDVTPSGDDFGLALVGTPSFWLLGAATCSIASSWFWLRKVPVRAERLSEHAVALS FDYTVPVNGSFTRISRRPLLEWHSFATVPAPGPSALADKPGYSLVVSNAGDWTRDCIR NPPTELWVRGLPTCGVMRIATLFNRIVVIATGSGIGPLLGHIEQPSCPTQLIWSTSNP AQTFGKKVLDTIYEQIPDAVVHDTRQKGRPDLVRMGYNLAKDFGAEAVIVIANEKLTK KVVYGLETRGVAAYGAIWDS UV8b_00979 MDSSSVPPSSPPSAVRSTVSVSDINHTAPPSPNEAAAPSPDTLR IPRRRLETKIPGRRLWGKASSTTLWSLCRRAAVPPCRCARCH UV8b_00980 MGSELGVTKDTQPVARLDGLGIFYIVFAISWTAILFSGMAFLWL KREMPILRIRCLGLSFTAISFLHCYFFCVTTGYVYGPLMAEVVEFWIMAIWVPFGIAL FHAANSRFLYVANAQKKYVDKTVDFGWDGKRPRIRKTLVARWKMLPYTRRVLILVGLG MVLHVVLTVFTFLISRKFHSSWGIAGTEVTGTAMEKKYEQGRGWEWWPSVFWQIFWSW VVAPVILWRSRNLKDSQGWRTQTIACCLSGLFAAPMWLIALYVPGMAVVNNYWIPPMW IALSIMMLEIFTVFIPVWQVYKQQSLCQETLDSIARWESRQKSVVHSGKSLASASSSA LSWMGRTKAGSISSTGGSILTMDALEHTLAKNPEPLQEFSALRDFSGENIAFLTRVRE WRARYVVHAAGGGVLGEKDPATTTLALTRESYESALRIYVDFISSHSAEFQVNLSSQD FKNLQAIFEGAAQVVTGECGSPDPATPFASAPKRHDDHHAEPIRYTGEIPEAFSEGVF DDAEMSIKYLVLTNTWPKFIRERRSLDVVSGIESVM UV8b_00981 MDQKPSPITPLLHHQHPSSSPGAPGPPSVTRLQYLASSAVSALH LLRSLALLAIPNVVLAGFAVPASGPGLVLTTVLGLRDMLLAGLILMADPQRSYEVQRA LGVALFSDSIDTFVLIFVVACSSGRRNPVPEIVSVALLAILEHLTLWSFGDDDDDDDD YGRPGPCYQAIVRVDRLEDKRLRLGMWLEDLKRAEQGEQQALLSKSSAHEP UV8b_00982 MKNQKKGGGSSSRIQDARFANFETDPRFRLPSRKHTKTKLDERF AGMLDDEDFTAAAKVDRYGRKIKSDSKKKALQRLYEQENDDDKDNDDDKDDDDKDDEE ADRTSRGKESPSAEFEVDDDAAVQRQLRAAHERERQKEYDPARHGGFSSSESDSDSDE DSDQDEDGGVDLAAQGDMQRLQDEQADVEAGEVTNRIAIVNLDWDHVKSTDLMALFSS FLPDNGRGNILKISIYPSEFGKERMQQEEVEGPPKALFKDERGSDDDSDDGSGSEDER IKKDLIQEGNDQDFDSNALRAYQLDRLRYYYAVMVCSSPETAQAIYEATDGTEYQSSS NVIDLRFVPDDVAFDDEPRDECDKVPDTYKPVEFVTNALQSSKVKLTWDMHPEEASRK QSINRAFTGSRADLEENDLRAYLASDSEGSDGEDEAEEAHGEDEAAAGAEPKLSKKEL ARRKMREALGLASEPAPKRAKDGPVGDMEITFAPALSESKPKGGAEEETTIEKYKRKE RERKDRKRQAAKAKRGAGGDDVNKCEEHVTLRATGDEDLGFDDPFFTTEAPAAQSKSS TRKEERLKKRQAREAAEAESAAEKARLRKVMSENAGEDQAEHLDHFDMNEIIREEKKK TKKGGKNKKKSKGGDDEDRGGLQQDFAMDLGDDRFQAVFDSHEFAIDPSNPKFKGTGG MKQLLEEGRRKRRGDGGDEPRKKAKKMKR UV8b_00983 MCLAWACGLLSLEAALVASRTGRPASAVAAELVYGGFMLDARVT AVRAAFSQARLRARPRRDIVAVLGAVDPAVAFLPLDFSRATWGPDHVKALHCMVEFGT QPGSTTALVDAFKSPEHNIDRSGRLLEIFRGTTADVLGFTSRATSLSADVTHIVNRSP WRHADCRKEEEPGNGSGNGSGNPYGMGVTTAVVCLVLMWWRLHLPPAFVQASVVGAAT FALIVGFSWDAHHVAVQYGLLGVGYAVFWKRLVTVLVGFAAAFVIQIFPRPPSAIRHP PRAALAKRSPTTSGYSTTTTPSSYPNGPRARPRARGKSRPAQQPRRKSQSTSAQGLME LALAVSLLKLEVSTTPFDHSILAMVTEKCSMMNQCLGKLLGLSSPLPSHLQGRLAQTV GISGSTSVANIMSVLTIIESSLRTGAPSPQRLPAVPLVQSCFLQWLTRHERAELSVGL IMSENYRRYCVALSCYMTFLSTVDDFVQQRKHALGETHVVHQWEDNA UV8b_00984 MSSCPDVTSGQELNSLSCGSSLRYCILSALTVASTQGFSTLHSR PVSVIRPPRILSPLGKGGRENPMLCLDRWVVRIRVPGVREQISASGCVSGAAWERVQV GDGTGNVRRRRSRSSRSRRRSRSRRSSCCQRRGDMVSFQKLPEKGSKASKRLSDEGES KSFVLIDGFVGDVMVCKTAFSGPFSRLLLILFVPSPFLEECVW UV8b_00985 MPTPLHAILQAQIKRGSKQVLSIPDEDSQPTDWQPSFDASFAQA GLNANSPHHGKIMASKSHANVGRDKSSHGLCNLVRRMAPCSGLHDMLTPTVSFLPDVL KARAQWQPICPALSGW UV8b_00986 MGEPLVVSTNGAEHVNSSSDSSTPAGPDDQPPIEEPPDTCHSEK SNRHHTHSARSVAQQLSSDIDHGLSGQEAAHRLARDGPNAIKGAKGLSAWEMFMQQVA NALTVVLVAVAAISFTIGDFTEGSVVVAVIVLNITVGLIQDYRAEKTIQSLYALSTPK CKVIRDGRSGTVKAETLVKGDLVSLATGDVVPADLRLVRSINLTTDEAHLTGESVAIS KDADTVFTNPDMPVGDRINLAFSGSSVTRGRATGIVISTGMETEVGQIAKLLRQKKKE VKGSNPATRALYASYQAVRSVLGLEGTPLQATLSKFAFLLFGLAIILAVIVFSVSLWD VNDDVLLYGICVGVAVIPESLLAVLTVTMAVATKAMVKGHVIVRQMPSLEAVGGVTDI CSDKTGTLTQGRMITRKVWLRDGLVGAVHGAADPYDPSSGTVSWSGSLVGACLDAFLT TIVLCNNATISDSKKEPETDTSSVTTAFEPAEWKAMGEPTEIALKVFAMRFGKSTGRG PLIAELPFDSSCKLMSVVYGNDLSKERHVYTKGAVEALLPKLKEDDQLKNAILAKADA LASEGLRVLCIADKTMSGEADDFQDRANVERDLRFLGLAGLYDPPRPETAGAVKQCQE AGITVHMVTGDHIKTATAIAYEVGIISRNMPLSPTMVMSAADFENLTDDQVDALHALP LVIARCSPLTKVRVIKALHRRKAFCIMTGDGVNDSPALKQADVGIAMGDRGSDVAKEA SDMVLTDDNFASIVTGIKEGRRLSDNIQKFLLHLLTSNLAQVILLLIGLAFKDREKVP VFPLSPLEILWANLVTSSPLALGLGLEEAQPNILQRPPRSLSSGVFTLDLVRDQMMYG TFMGSLCLAAFMLVAYASLDKGFHDLPAGCNDGVGKDCLPVFRARATTFATLSFLLLV TAWEVKHFHHSLFSMDKRWSGPFSVLKTVYHNSVLFWSVVAGFLVTFPVIYIPNVNTS VFKHSGLTWEWAVVAGCVATYVGLVESWKAVKRRFGLGVGKLSVDRGQA UV8b_00987 MSIPGLGQIPAQTVASSTRTIVLKPAWEWRFEVPIGRAVVVKLL SGTAEKDGVELALRNAYRFAGVKSKILTWHGCELEVDGRCDDDFVAEYATPPANPANS HINLHARLSEMRDAAARDRRAGPRVLIAGPPGTGKTTLARTLASYATRQGHGPILVNA DPREGMLSLPGSLSAGVFATVMDPEAPDGWGSTPTSGPSAVPVKLPLVYYYGRSSPED DADFYRELVSRLAGTVSGRLSEDEGVRSSGVIVDSTGMSEKSKIGTDLLAHIAEELSV NLIVVLGSNRINVELSKRFAAERTSLGEPITIIGLDRSEGVAERDDVFLEHSREQAIK EYFFGDKKMSLSPQIQQVDFDALVIYKASDYSAYEQGKLVREDPSATMQHWTLAVMHA SVKDAPEVVRAATVMGFMYVSDVDEERRKIKLLAPVGGRIGDRPLVMGSWPEPYINLL G UV8b_00988 MGAKQLPRPEDLRQYNYAVAGHAGTLCDADGGLFIKPCTQAEID FYQSANRRYPDLAELMPLFMGTLVLSDPVHGGIDDAVAGVISENGTLETAKDQILASV AEQIAQAPGTPQPADDVTWIPSKGKKIKTDKAIALGNATHGFKRANILDVKLGVRLWA DDAPLQKKERFDKISAETTHGSLGFRIAGMRVFRGSDDASELDQEEYKIYDKDYGRVS VNEDNVVDEFRRFIFNKAAGIDQDLGQAVCSAFARDLAQVRDVLSRHETRMFSPSLLF VYEGDGEALRNAIQQNNLIVESAEQHASCTRTTKRIDSGIGLDDEDLDQVEDDASELS ELEVSLPQIYSLKLIDFAHAAWTPGQGPDENILTGVRSLASIFEEMAQ UV8b_00989 MSSFMSSFMSSSQNDGNHKPANTNVSANSRSHRTANRSMARRGP TALPKNRGTGFEEYFADPPMTAGEAAEEKLEIYAETVPLDERIQSCIQRFRARRRLQG DQVALFNEYLFMGGVDTSQNSFSGHDPKDLKDLTPAERRQATAIDSVHFGSGTDERFY NGDDAHWSVDFAGVAAGFFSTSFGLLTGFHPQKAEAAINLVENFLRYVLQHGICTEYK DNVESALQVCQQARIEWPMLNQLSSDLPGCFNLAAMELLDKADASDWSFLSFSPPDGF DAKAVFRTACELRGEAATLDLFAKGGGVKARRQHDLTAKVVRIDRPSGTMLQQFAAVE VEGYSNKIDPVGKVFLKRTVIEDEWVRPQQQPDPEATPSAEESEFCCWLYLEDCLLEN ILPGMKMELTVVELITGLWILKTVSRIVPTFYTFLPQQMMRHFKHPRQHDKLAPSAND AAAEEGQAAAEVA UV8b_00990 MCSADIFLGVLAILFPPLPVWVKCGICSADSIINILLCCLGFLP GLIHAWYIIVKFPEPAYEYESLPQEGGRVTYVYVHEQNCRPPPRQGGMSYGTTQGGGQ HGGAAAPESSGQGASNAHEGTVPPSYAEVVAGDHKTQTP UV8b_00991 MDDLLNLELLSLVSKVTSELQNHVGVGDKTLAEFLIAHRLESRD SSDFRNKLDKLGADFPPSLVDSIDRLVLALHPKFKGENGQANGEEHRHRSRTLQEKEK VFSGLALADREPGNDGGDAIDDTLALLEGLEGRASKGKDSRKRSRSPDYDKQSRRRRR RDGSGSRERLKQRFRSRSTSRDGDDQGWRDGFSPSRRQRRGRPRNHVDDDDGDDDYGH RDNGDGDDRLRRTPEPNLDDEPQLHKVYQGRITGLKDFGAFVRLRHVKGKVDGLVHIS RIMAGQRVNHPSDLLSKDQNVWVKVNSIEGNRIGLSMKDVDQDSGRDLQPQARLTTGA NTEALGGGGRNGFADGTTAMPRDALGAPPPHRRRMTSPERWEIRQLIASGVAKAADYP DLEEDYNATLRGDGEMELEQEVDIEVRDDEPPFLAGQTKQSLELSPIRVVKAPDGSMN RAAMCGTAIAKERKELKQQEADAAAKEKQTNQNLSSQWHDPMADPDKRTFASDLRSAR MHAEPDEVPEWKKAVVPKGQPVGKRTKLSMKEQRESLPMFAFRSQIIQAVQEHQIMIV VGETGSGKTTQLTQYLAEAGFADRGIIGCTQPRRVAAMSVAKRVAEEVGCRLGGEVGY LVRFDDCTSAATRIKYMTDGMLQREILMDSDLRRYSVIMLDEAHERTIATDVLFALLK KAVKRRPDLKIIVTSATLDADKFSSYFNECPIFTIPGRTFPVEILYSREPESDYLDAA LITVMQIHLTEPKGDILLFLTGKEEIDTACEILFERMKALGPSVPELLILPVYAQLPA EMQSRIFDPAPPGARKVVIATNIAETSITIDEIYYVVDPGFVKQNAYDPKLGMDSLVV TPVSQAQANQRAGRAGRTGPGKCFRLYTEAAYQSEMLPTTIPEIQRQNLSHTILMLKA MGINDLLHFDFMDPPPVKTMLTALEELYALSALDDEGLLTRLGRKMADFPMDPSSAKV LLAAVDHACSDEALSIIAMLSLQGVVFYRPKEKQAQADQKKAKFHDPHGDHLTLLNVY NAWKQHAYSSPWCFENFIQARSMRRAKDVRDQLVKIMERYKHPVVSCGRDTDRVRRAL CAGFFRNAARKDPHEGYKTLTEGTTVYLHPSSALFGKHAEWVIYHDLVLTTKEYMHCT SAIEPKWLVEAAPTFFKVAPSDRLSRRKKTERIQPLYNKFAGEDDWRLSAQRRGGRGG GGGTWG UV8b_00992 MASPSNHVSSALNHAVRSIGPVFLTATVLLRNDGCCQSRKTLLE QLLQQLTFISGLLYGLQALAQRYESLGIAVERPAASYAALHRCETIFGHLLRNLQVLT EDEILELLEATKSICWTICCACSRVHTLSSIHHSLGPADAPCRPASDAVAANDTLSPD CSIPKDDMKTSKNRPLMKQPGRLKRSRPDNMLTRGRALPSVDAPMRLRPSTATVQSWM RVLNSPWYDRAPLEYSLELWQLEARNRVHPIYREAALGWFKYAKQEWSSIDAQVVKLF SRPHSSHFIQWALEFARMHLSTRLQPGNNQTLANHLIDLTEDLGFCRTTPLHFAAMLG LSDLCKAVANPLNIGAVGIYGSALYCAFVGPPVLLLRSQPPSWDVLIQNMEPTNQATI RTLVALGADCDFRLRLADAPCTRAQLANVAFIASVMMKDPTVFRLVMDTASCQLTNEF TGLLGCQFFRKVAPESRGVLSELTCAALDYTLANDSECWPWDEDDEMGEAIAGVMKRN NLSYDTRTRVTLGFIPDDLFESAVRQCILHGSAPYFQRLSMDYRFHPDLCAVQDEGEE GTILHLAGRTPLLVVEDLDTLNVLVKQLKASTKATDKDGRNIWHCAAATNDVTILDWL CHNDPCIDENINALNKLGRCPLAEALMYGESLSREIKKSIPPRPLAAYRLVEEPLTNC RAVLDDAHRPISHLAVEWGELRLVELLAARGMDFRATCDKGRTALHCLSSAAEPGLVT RLLHLCDGLPMVSGDGFTPLETIFDNSFPSTCIGLCPSNHPSCHKVMDKAVLESLVSH ETLHHHNAAGDGCWALFCAAVTRLLRRCMLHKEGITKEVEYSFLNGVMCLSNQGALEA HGRETGKAGVLCFASDGADSDHVWGLQVSRFVGYVLGPCAKHDAEHMAAFYQSQEAMW LLLEAFFSEHVKIVGFLAGKVPLHHPVERLGGRSILHLVMGSDKSRPDCFKALARNSH FGKVGSFDRRLCDVILAGGTTCAVAKIGLLIDRGLKPDIPVISEIPEESTTLLAEAVS MNYTDLVKMLLERGAHPGFGRSVNAITAAVERDDTDMLSLLASKLDESFSWDFSVCHA HVEGYNTIDVAIARKSHRALEMLLAQTEMRMIVNSPSAVNRGTPAHFAARRDDVGSLQ LLHKYGADFSMLDDEGRSVLEAAKSKATQRVVSELMGAAAAAAAAADVCGV UV8b_00993 MERELPLPSPEYLAESDSESITRVLWVATAFPILFLLLRCYTRL WLRKIFGADDYFMVAAVILLVAYSSVVTAAADKGLGRHLLYVSQNPQNLVDVALLSFV SQPLVIMSCAFGKTSFALTLIRVAAQEWIIGFLWLLIILTNILHTLISIFVFTRCNDP RHLWNPAIPSECWPPGVFVNLSIFIGAFSAATDFILALLPWAILWELQMKKKEKIGVA IAMSLGIFAGCIAVVKTKNLAANGDADDITYSLAFLLMWAGVENAVILIAACVPTIRP LIKKASPGLSARKEPFNDSHTLVTFSNFKPFGFRARQSQWSSIETQVGYDQGSLDNKS DQGIIQPTPTEDGQVNSTSQSSEARAGE UV8b_00994 MAFVGVSGGQLLSALVLLVLAKGVWELFLSPLRAFPGPLAAKLS DCWRAFLTSRGSVDSVTRGWHEKWGSAVRIGPNTISLSDAQLIKVIYANNSKYAWKKS DMYRVNDVMVDGQRIPNIFNTQDEAFHSKYTKPISGFWTVSKILELEPLMDETISVLV KKLGSKFAEAPEPSICMMDDWLAYFSWDFAANVSFGRHYGFLEEEKDIQGMIKGSTAG LYYFAVVSQMPWIDSWLDKNPIIRIGPKPLDNGFRYTVNLVADYQRKLLDGNLDRKPV ANFIDNYTGLQKTCPFADDNQVINWLMLNILAGGDSTAGALRPVVYHLAKCPRAQAEL QAELGAAQLLRAPQWIAQWRDIKALPYLDAVTWEAARMNPAIGLMPEREVPPGGFQLP DGRLIPEGTKVGVNPAVVTRDARLFGGQVDSFIPERWLALPGESVEHLARRRRPMEEA TDMMFGAGSRACMGKHLAKVEIGKLIATLYSLFDIHLVDINHQWNHFNSWFMYQSDMP MIIRRRSMEP UV8b_00995 MSSEETQIKVEVGDVTVAQSKSEPSVVEQPLNRSEAMSISGPKL MENDTFKTENVTLSSLSFRTIKVEDGYGSSEMSHAIATLHADRVQATATLKSEVKRDP YNPDHSNIGNSATLPTPDDAKPDTLVKNEADPTDTESDSEADNERDDDTYLPENADDS EEVPDGKIKRQRRQQAKDVREFVARLHEKEDERQAKSAQRNGKRESGHLHERSPKRAK TIGTGIVSKLQGSLLDEHQHSESLAPVMPEFTARTQKEQYQKLCNSIPEGSDLRRAKS QKTDLKGAMRLFRFKKIKVVNEAYKLDGMRSALQSFQLTASAWMIKRELGRNNPFGGI LADDMGMGKTVMSLACIIGNPPIDIDLEKFSRSTLVITPNITVADQWLKEVGTHLEKS FSDTTVVFDPKRHSHSKELAERPIVITTISEIKKQHRDMEDCDDDPNGLLKFDSSKGL LFRIRWYRIILDEAHVIKNTASKGKIACCALEAKHCWALSGTPLSNRTPELLSYLTFI GCDVDESWSMFKQNYMSQNKANGKLEALISMVMYRRTKNDSFLGHRIVNLERQERHTI TIPLSDEENIFYDFVSKAVAAFKSGGSGSGPSQDSEEAAETTGCVPNEEKRGTNSIVR HHLQYLRMAPSHPYNLERLLRNSSVTGQDVQALRNHLSQSENDKTILEQLLSHKSVSE DLEKYASGIESVRKIQSPEICGRFEFDELLRLIQNEHEAKYMRCGMCGEASMKFLKLE CGHVHCSHCFDTAKKWQRKKPRVAASAECGVEGCTMHLKTAEMVQTMATAVQQYYDAE TRQPPAADSNRVSLTRSPDSIGCLVAGQLIPRIPKTPSSKLLVAMIVLSSWLLDFPND KILVFTQFITTAQVLGQMLGNQKIPFLYFYGCMSEGARNRALQDIGGDATKRVMLISL KSGGQSLNITSANRVIIIDPWWNVTAEEQAIGRVHRTGQEKVCQVVRIRSLADMDEKL HDMQLAKSEEVDYALQDDHHTPRALVSEAEQAELYRFTQGRRRANRGGRAGQKRAQTK RRVGEPRQAAR UV8b_00996 MLPRLHIKFPIRQAETSPASHKITQSATQREAIGSFAQDQLFAL RVSSVAVGSLSLLSTMVAVAFFLLMQRSFRHDLILLLILSDTLKTLWLVIPQAMELAH GNVSSTSTICQISGFFLSVGIEACDLAVLLMAAHTALYILRGRSGLYPYRYLAYILVA AVSLMLASLAFINQPAFFNSGPYCYLPHQPGWTSRALSWIPRYVIIAAIMLTYTFIYV YVTCVLKNFEKGDDLGATNSSYSISRPPPANAFI UV8b_00997 MGSDDASDRRPLRLAILECDTPQPQTRHRFGGYAGVFKALLASA AQTLSPPRDLDAILTTSAHDVVNDLRSYPDLDNVDALLLTGSRHTAFDNDPWILRLVD FTRRAIQSGRVRVVGVCFGHQIVGRAVGAGVGKSDKGWEIAVTEVDLTDKGKELFGLD KLRIHQMHRDVVQTFPSDAIPLGSNAFCPVQAMYLPGRYLTVQGHPEFTEEIISEILF NRHTVGVFSDQVYTEGMKRAPIPHDGVAVGKAFLKFIRQE UV8b_00998 MAPRMPLQAFLAAARNALTAPPAQRQKPLTFVVGNESADLDSLC SAIVYAFLRTHAPPHTLHIPLANLPRADLALRPEMTAVLQHAGLRPADLLTLSDLPDL EPHETSWLLVDHNALTGPLGRYAGRVAGCVDHHVDEGALPAGAAPRVIEPCGSCMSLV VSECRQTWADVFPRDDDDADADADADADADADAPGQQDGLAKLGLAPVLADTTNLTSR DKVRPKDRQAAAFLCARIRQPGFDPAAFYACINAVKEDLSGLCCRDILRKDYKEWREG DCLLGVSCAVQGLDYLLGKARSAAAFLHHLSCWAEERRLDVAAVMTTSNPGGEFQRQL LVWGVTDAGREAVRTFKATAAHQLQLRTWQDGVLDHGDARFAWRQYELAASRKQVAPL LREALKSCC UV8b_00999 MIKYIYEDMGLDDISLMDLRDLDSPAALGPNLIMLFATARNERH LHVSSGRFVRWLKRGFKVEARADGLIGPGELKTKLRRMRKKAKLMGTNTMILPGGDNG ISTGWVCVNFSTSDAVSDESVSYDDSGRFSGFGAAPTGTTVVVQCMTEPRRIELDLET LWQGILRRSLEQTIKMKGQAVPERRELESMLSSRIQLPNTASAVQWQKMQQASQQRRY FSASARALQTRVEGPSLPTRSADLESDDQTTNAELGLAELGSLAAQLTNLLTSGTSLT PEVVENLVLAIFQATSAEGEALLRLQLVDRLLLTAEERGMAVQSINMLVSLIEAVVSS PAYGPQLERAQRNLEYLLLSMRKPLEHSQTMRLMTAYAGRRAWDRFWDTFRAPARFCI PRPAEMYELVYRVLASTRDSKQCIDALRWVYPEMLKEVPPVYPTGMVYTWLKACILVA DPAAEDLLHNPPPADSLDTIGKRRLKRREFVGVLKGVEDLHQHFVGQEARAERARALN RLYTGHVAPE UV8b_01000 MLSRQTRSSVLAHRATIARSTGSSSKLATISHAQLPKSPRSTVS RHVQPWRRCWIDTGIGRYLAERGLATAVNDFSFEELPYNRLKNANMPLFHPPSFGSHG IRARDPATAPLTIPEPGPPKPATKINMYGIPGDTEDMLPVFDACIRVGKLDRAALVLK RFNAMGVLSGEERILLHNQYLRTSLLQMRTSPDRRQAEQLHKWYELEIRGKGLPQTAE TVACMLKASLLSERGSRLDRLVNRYMGMAPGQSGLRVLSMADILSDQDLAIITGICPT YNFTTEAEDVSDASAMDELDIETPSAVSSADEAVDVSRRTVPELVPTPQRGDGLATLK QGLHLLMDLEEVDMSKMSYADRYEIQLQIERDSIGSAIVRWRQANKNMQKMGINTALS PSSAEGSLSQHMGRWLDAMEKRLKEELVLIRTSENKATKSDQDLERCLYGPLIQQAKP ERLAAVTILSVLNLAALQGVDKGVVVSRIISNIAKLVQEEIEMQRKPGSRRKMKTRTG VTYSGSTLPSPSKESSDAPADATTPLADLCSVAQGLEETTRKPWSMSIKAQVGSVLLK LLIETAKVKVVTQHPTTKETVSQVQPAFAHLQQPRKGKKVGVLYLNSQLMEQLKREPV GDFIAKHLPMIVEPKPWTRFDEGGFLHSKTSLVRIKSGDDEQKLYTRAALECGDLDQV SKGLDALGKTAWQINKDVLGVMMEAWNSGEEIANMPPLNPEFEVPSEPDSSADPLLRK QWIRAVKLIENERSGLHSQRCFMNLQLEVARAFRNQTIYFPHNVDYRGRAYPMPTYLN HMGADHARGILKFAVGKELGERGLRWLKIHLANLYGLDKSSFDEREAFSNEHVAEIVE SATNPLNGSRWWLKAEDPWQCLAACFELKAALDLPDPTKFVSQLPVHQDGTCNGLQHY AALGGDTWGAKQVNLEPGERPADVYSAVADLVKQAMEEDVKKNNQLAKILEGKITRKV VKQTVMTNVYGVTFAGAKKQVCKQLDALYPDLGKECGIPHIVLSSYIATHIFKALATM FRGAHDIQYWLGEIGGRVCRALTSAQLEQVAGGYSAGGEAEGGRQRKRTRRAVATDKN GFDELTRQFRSSVVWTTPLRMPVIQPYRKSTMREIRTCLQAVAFPICDQADPVNRRKQ LQGFPPNFIHSLDASHMLLSAVRCHEKGLSFAAVHDSFWTHAADIDTMNCVLREAFIQ IHEEDVVGRLASEFKTRHRGSLYLSYIDSESAVAKKIKELRKNSNLSPKEEVLLEHKR NLLRLSADPWDLETAKKIVTPASVYEKMSGETAEIAADKEGIGLGDIPQDELDGQESG EEPERMLMDEDEGEFHSAAESTTSEDEQQQYLDDLRTGLFETHILDNKKKVRVPPRKG NPVPVWLPLTIPPVPSKGEFDVTRLRDSKYFFS UV8b_01001 MAALANQTYLSAEEAEIQQWLTTSERLRAGSHDRSPILDTLNRH LASRTTLLGSKPSRADVAIYETLSPVVARWTPDERTGERGHPNIVRHLDFVQNSPLFG LGLGDGEKLVVDPDDVRFVKPPVDAKAEKERAKKEKAAAAAAAAAAAAGTAGHETSHN NNNNNNNNNKDASLVDRTKEKVKEKVDRVKDAAAAAAAGHKPSKEKKEKAPKPQKAAA AAAPLSPCLIDLRVGHILKAVNHPDADSLYVSTIAMGDAAGNEDYVEYQGQVCRTVCS GLNGLVPLDEMQGRKVVVVCNLKPVKMRGVKSCAMVLAASPKLKEGEVDDHKGPVELV SPPEGSKAGDRVFFEGWQGQPEAVLNPKKKIWETFQPGFTTTDNLEVAFNAAAVEQLG KTGVGKLVTENGGVCTVQTLKDAVVR UV8b_01002 MDDTSSPSSGVESVLSEANDMTSAPSSPPPAASPPPDHDAQFTE DSLLKEEREARAENRRAEQDKRAAAAAGQRGRASNNNNKKKKKKKKAETRAEHEAKAR ELDALLMKSAAFSDILTKKTQVLGRVGSSLDGKTLGEHHLNMAKQPKCMVGGTMRDYQ LEGLTWMFEICSQGMSGILADEMGLGKTVQTIALIALLREQENYLGPHLIVAPLSTLS NWMDEFHKWTPSIPAVMYHGDRAQRQDIFQTKLMANLQAGRPSPRFPVVCTSYEMVLR DQHNLSRIQWEFIIIDEGHRMKNADAKLFQQLRQFSSATRLLITGTPLQNNLKELWSL LHFLLPNIFTDWEAFESWFDFSDLGDEKRTEEFIADQVKQDLVRKMHLILQPLLLRRV KQDVAAYLPQKREYVLFAPMTKEQTDLYNAFTDKEVDTRAFLEQSIFDKINGAPTRVD DDDGDDKPPRASSTSERARRRSASKENTTSLPVRPSRRGKEAAESRAAAGCAGPAPNA FALMMGRRSLSHAQAARDDAPAAARKSKRKSPPAAVAPEPKSARSSRTSTPSSTRGRT TRRGRTYAEADSDEEALSDDAFEAKLARELGSNDDDDGDDGDEGDEADVLQTPAEVER AETLELAKKQLAHKKLGNPLAQLRLVCNSPHNFYNPWAASADVPVDESIVTSSGKMLL LDRLLPRLFRRGHKVLLFSQFKTQLDILEDYSRDLRGWRVCRIDGSVPQDRRRQQIHA FNTDPAHNLFLLSTRAGGQGINLASADTVILFDSDFNPQQDLQAQDRCHRIGQTRPVV VYRLATRGTVEESLLLCADAKRRLEKLVIRKGGFRAIMGQKLAARERVDEDALRALLL RDGQVYETSGGAHVLSDQDLDELCDRSDDAYRRAASGLGDADSYRVVETGADSIRMTR VD UV8b_01003 MPFPTPADRQRRIIRLSQEWGIQLPPVSAPLTRPLKPPTFWTPQ DDQTAEELMQRRASDLAQFRRKSSLSKAFSSNNLKKGKGWDPREVLEVLASWIASAGS PGVAEALILKLAAGGVDFSGNQTKQKSSMLSRRKSVDAVVDRFRFLSLAVDGDQYDML QVLLPRADSYSIDKALSPAIRNGNMPIAELLVRYGACASRTPEGQDAFRQACVDQSRS DLIALLLRPDSRPSPAWASACMTDAARAACLDAVLHLSRSTADGDYNRAEALKSAIAL GRHDIALVIIMGNQPPQPTALGESFQALYENPSIDPPQKLAMAELLLCAGAHGDVVSQ ALENACDIQFYDMANLLAQYGASIEHNDAAVLKKAIARGQLDLVRSLLTSSAKLSPSL ASSCVPLIAKEAPFQARATLLTLLLDKGASGIPLDDMLIDAVKAGDVGSVDLLLNPFF PATSPAATGTSSDRIRPSTVLSRHQVASVDHKSGEALRTAVLRGDVLMAGKILAGQPA DETLSMVFPLTATLSPKDRYRMAQLFLKQSLSGPCLQAALRDAINEVPAQRDNEFIKL LLEHKADINFSQGSALTVVIKQKDLGLLDSLLRDASPQTAAARIMDAMDVPEPKERFD MVSMLVNAGAAIGTQEMATALLQTLSERPVDMSLLRTLLQQGGADVNLLEGAIVKKAV SNPDPKVLDMVFGYGKPSPSSVTCAFSEMAPLPSTDSKAWKLRAILAKCSTKEDLHWV LVHEVQSLLKAGGAKASLSSLKILLDGGADPNAYKAAALCHSVIGASSKVTDMLFEAR TPLTSASLGAALPHVLRIPDAKERLTLTKKLVEAGAHPLEVNRALVHAIATYTKDVHL QSVLAAAADTSDGEALALSVSKESPETADLLLAKSPSSAEIRGSLLGKAMGIRNRATR HHMCESLLKLGVTTDAASSALLVAARDGDVNLGDLLMAHGASIATKNGQAIVEACRSG SAEVLSILLKQDGRIAKSTLQAGFQAATEVRDLSKRAVVFEKLLGKGVRGDLVDAQLQ SAARYGEDGQAVLRVLLVAGADPNFNNGECVVAATRSAFIGNLELLLGLWDEGGSQKK VAQPALARALKACWSLGRDSRFRVVRDLFKAGLQVTEDLHIALNEAVNEDDPEPRLVR LLLDHGASPAANGCKTLVDAVNNSASAVLELLLAKNLLREELDKAFNAAFTTRNFDKW FTESGLETASLLLDKGAHGDSLSGALTLVMKRSTSETIELADRFVTLLIKHGADVNHN SGEPLQQAASRANAAWTKQLLNGRPTMETLSLAFQCIFDTALSQDEVLELFKLFAEYR DGDVRIDIMTVQQGSQPVLVRAISQYPRSTTILETLLDAGLYHDQATTYKICPDIEEA EEMTLLVWAIAQPQKRVSNGMIELLLDRGAKVNTETNLSRTTPLMLAIQNRRPDLVKL LLLEGAEVDVQDHLGRTPLSMATHIGGEISAQVMSLLLAAEPARDDGSLHNAARDLNL PVVKALVQSGHDPDFPSPLHQGRSALAELCLHGSDSAEMTGDRERSMQKVMTFLIDAK SDLSIRSGDKTLLQLCFDAADPVSTTRCFLKSGMWKHVNKPFNHHTANGYTYSPTMYI RKLLPPSSFSEPLLKILSANRASDVYYAASGGAQPDDAVGLPHDMAVQERARKARLER LAEESQEFSIAMARKREIASVEQQILAQKAEMEDMRRRKLHGEDVAAVRARAQLEESL ASAAHARRLQEQHALADSSIGRARAMAATELEADEARQRKALEWEARMNAERVDNARA LSSIRVGERQEVERLDKGAEQRIKSRLEAQRKLVESQEKLAKRLADGSHGSVGMSDAR RQIGYVTEMN UV8b_01004 MPIPFIMYVIGNGPPDWLRDNAYTILAVSTTLAVLYFLKRWTSG AMNTSEKNMHGKVVLFTGGTSGIGAMAAQELAARGAQLVLLTQAPPSDPFLVEYIQDM RDRTGNQLIYAEQVDLASLHSIRRFCTKWIDNAPPRRLDMVVLCAATMATPGGQRKLT EEGIEETWMVNLLANFHLLGMLSPAIKAQPFDRDVRVIMTTCSSYIGSPSLKEAADDD SWSPWTAYARSKLALAVFGTAFQKHLDTYKRPDQLPMNAKVIFVDPGLSRTPGTRRWL TRGSLAGLAVYLAGYLVPWLLLKSPFRGAQSILYAAMEPSLGVGPGGRLIKECMQVDF ARGEVQDDEVAKRLWEESDALVERTEKASAKARAAEKAAKDREGEKQQEQQRIDEIAG LVETIKKGRKRDKEAEQQQKAKEGKRKGKKAG UV8b_01005 MADVADGWLGPQPAHSTARSRDDASSADYSPRAGSPGSRSAREP SPFSAALCPRPDAAQRSPRKLILCFDGTGNKFHGDDSDSNILKIFRMLDRSADDQYHY YQPGIGTYVISGSLSHTGLRARFKSWCEKAKDSAIGSSFDQHVVGGYRFLMRFYQSGD EIYMFGFSRGAYIARFLAEMLDYVGLLCHGNEEMVKFAWKAFAQWQSRKPASNDGNDG NDNENDNDNDNDEQRDPAKVEKTRKMYNYMKGFRETFSRPVGRIRFLGLFDTVNSVPR FETAWMQRSKFPYTARTSARTIRHAVSIDERRAKFRQDLMYQKPPKHQHRAHILPRHH VGEMINRVRRSMEHPRPSEAPDPAPAPQQKHSSNLLSPGDKVARGRRGAVSHREGYAP YRPRSKSRQPRARGALADADNMSCSDASQWEQEMNDWKDEGQDIDEVWFAGGHGDVGG GWEALDERKSASHVPLAWIVREAIRAGLSFDLDRVTEMGCQDVFDGQVRPSARARPDR ASRPAIQVQDEAGNVESGRRDGQPSPETEDEVLISADFAPAADEAPSPFHEMMHKAHT SLIHDSLEYGGGLGLLAVTAWKLMEYLPFRRMDLQPDGTWKPIRWPLPCGEVRDIPDN VRVHGSVIRRLKEDQKYRPGNLIIGGGGRGVRRAGPTYGIGEWRCVAEPGDAIGEIWV RKTRSSNGDGGN UV8b_01006 MAYNQYGGNPYSMPPPGYGGYGPPPPGLNAPPGLGPPPGMSAPP GMSAPPGMQQANAPQSGRPGGLPANFQAPPNMPNINFNAPVIRLGTSTPAAEGGGGGG GGGGGGGGGGGGRSGGGDRSHGSGSGGGRPGLGMERGADRERGAAREPPQFLLPPTSD EKLRTIMLHQLPDGMRGDEGVQKLLGAVGALRKWESSASVMDEHKGTRFGFALFEDAE SLSNAVKLLYQQDIDVPAQRQAGTTDPMPEDDSFDGIEKRKLAVAVDPTSLKYAESFE EKRSDNPDALKRLEKAQAELKRVIRELFYPPISTDADKDGDVAMKNSADENVEVINIS IAQEDELADIPAEMREVVAGEIASFRERSNQRDLERLRKEEEFEEMERQRNGASRQTA GANNIPLGPRSSVPKAPSGPRGQNGVNRSVSFVNGEYGTSRAEEESDTSDTELERRRR KAQEAEDDKAYVDAERKWTNRERARQSALDRERDRENQEAESEQRKKDEQLERERSWN DEREASRKSHPYYRDHSSWVRKRAADRADEESKDDADRRAENEEQRREQAQLEKARGM ADSFLDQQAEEMDRREAASSAAAAVAAASTATATAAAAAAPQPFKLSLGAAAQKAQAS RLPQRRTIAEVEGLLDDEDTEQSSKRQLVPIQLEPISGAAAMTEEEITQAVRALAQEI PSDKDGLWSWGVKWDYMDDSVVREKLRPFVEKKVVDYLGVQEEMLVEAVEEHLRKHGT AAALVEELEGALDEDAEDLVKKLWRMVIFFTESEKRGLPA UV8b_01007 MRLAIGLTKPADSSKQSSRWSPRGTEPYRYVEWPWPIVPAHGGP SNAFPPAGRVNPLVGNNALPEPAPDAIASAASLEQDAPAPGARSSPDADASPYGAAPP RPPPPPPPESSGEAASGPKPTTHAYNAVDYATVDLSNLVNAKASDYKRSLGSSSNPLN QPNVRAKAVVGRTVFIADRLTPQSAPTPILALRVLERMCRDQKVKSKYHSQKFHERKG LRKKRLRSQRWRARFKAGFKEVVSRVMELKKQGW UV8b_01008 MAAVQRSRLRGNNTSSSATSVHTKRQLGTSPTIHANGGGHGAGA AAPLAVFLTNLRLLELDLLPDWPGITMETFASTTSAGALQGQRRRIQCVEWALVRLFE LWDPQEAARLEPFFPPLDQLQSVSLRSAILRALDTAKKNGILGRDSVIRKTMLDDCKG ERLEEVLAYFSTAVLKKVAQEDLKARGAHPPISVSLACENRGYSSDDSDLKALILAHK ASLGRALERRDEARARYRDFGDLVSVKERGVRRRAEAIRAAVEADCRRDALSNNAREE MRRLVRNNWSGNENWMETLVQGDSHAQGAGLLEMPFDRVWRRVEQGRLAEIEDSAGGL LEQLESRVRMQNERLARWDTFRRDTFGQPAQASASPLRKRPQQNRPVQGIDFRFSEHQ HCQVGNAADLRASNTSEGPRIVPKHKEVLDGLQAELAKIKRAGVTVSDFARKGTSTDH EASPGSVSPGLPGDGGEMSDLDDQAYEPEPIPVRTNRTRLQSLTRHAVEPQITALSEI FSHSASTRSSPSPRQDRRNSTRAHDVYIRPLEDDDDQDIEPPPSPTQNMANEILDSMD QQSPSPIKRTQPRPTLSLAQRTRLSMAGSHSPFLDEEPEPELPLGPAAPCDSAKGGPA PVSPSAEPEPLDLASRTRLSMAGFEQAQKKAQLERRRSLRRPRALPRKEGSCFPAVAE ESVRDHAKLAEELMLEEDMEAVFKSRPRIKASPVGSPEW UV8b_01009 MARPRNRSKKQQTEQHAIIPPVTNPCEPDPGPRHDMAVYMHGPD PRHDQAHSGRGRDVVGSAHALLYIGVVGARTRYLQPTGWQGARLHVPYVGSGACRAPT SGPDSVWSAF UV8b_01010 MAPAAKKAVHFGAGNIGRGFVACFLHNSGYEVVFADVVDSLIDK INSTPSYKVIEVGTDATSESVITNYRAINSKTHEEDLVKEIATADVVTCSVGPNILKF IAPVIAKGIDRRPNGNPLHVIACENAIGATDTLAQHIKDPRNTASHRLEDHHLRARYA NSAIDRIVPAQDPKAGLNVTLEKFFEWVVDKTPFEDVGIPSIEGINWVDNLAPFIERK LFTVNTGHATAAYHGYNRSKRTVYDALQDKDIMAEVRGALMETKNLIVAKHEFEEDDQ AAYVEKIIKRIGNAHLGDAVERVGRAPLRKLSRKERFIGPAAELAERDQPIKFLLDAI EMCLRFQNVEGDDESKELAQIMSDNSPEQVVSKVCGIQSTEKIYPQLLQVVKRVQDDS RED UV8b_01011 MECATPGSGYANLAGFPNHMNGPMMRWRTRTGGGAGVLTNLGVI SAVVNRPPWNSWPSYSYSYHGGYLGHGWDGSSRAADGACSGDVGTRRAGTVRALRHRG TSAVEIAPHALLHGEWLRAHLYQTHVLQSTREVAAHLTWLRC UV8b_01012 MAPANTLPAWAELQAHRDNVGKNFVLKEAFASDPDRFSRFARTF SSDGVSADILFDFSKNLVTDETVDLLVKLAKQAGVEKKRDAMFAGEKINFTEKRAVYH TALRNVGGWDMKVDGVDVMNAAGGVKDVLNHMKEFSEQVRSGEWKGCTGRKLTTIVNV GIGGSDLGPVMVTEALKHYGAKDMTLHFVSNIDGTHMAEALRNSDPETTLFLIASKTF TTAETTTNANTAKAWFLEKTDNKGDIAKHFVALSTNEAEVTKFGIDSRNMFGFENWVG GRYSVWSAIGLSVALYVGYDNFHKFLSGAHAMDRHFRETPLKQNIPILGGLLSVWYSN FFQAQTHLVAPFDQYLHRFPAYLQQLSMESNGKSIASDGSSVKYTTGPILFGEPCTNA QHSFFQLVHQGTKLIPTDFILAAKSHNPISNNLHQKMLASNYFAQAEALMVGKTDEQV RAEGTPEELVPHKRFLGNRPTTSILVGGSIGPAELGALIAYYEHLTFTEGAIWDVNSF DQWGVELGKVLAKKILKELDEPDKSEGHDTSTGGLIDAFKAYSKI UV8b_01013 MSWKLTKKLKETHLGSLSAFARSPSTSTITEKDDKTPSASGAVT PTAESAIAASEAMAQAPVVKPPKPGILVVTLHEGQGFSLPESHRHVFTSQHQGSLSTS NAASSVSGSVRPSSSQRVAGSYVNGSGRPHSSAGGFGAIPTNHGRISGKYMPYALLDF DKVQVFVNSVDGKPENPLWAGGNTQYKFDVSRVTELVIHLYLRNPNAPPGSGRSQDIF LGVARINPRFEERLPFVEDPKASKKDREKAAAEHAQRQKGDGHGGVEWVDIQFGTGKI KVGVEYVETRAGKLKIEDFELLKVVGKGSFGKVMQVRKKDTNRIYALKTIRKAHIISR SEVAHTLAERSVLAQINNPFIVPLKFSFQSPEKLYFILSEPLHFSDVVPPAAKDLLIK LLNRNPEERLGANGSAEIKTHPFFHAIDWRKLLQRKYEPTFKPSVVDAMDTGNFDEGF TNEAPQDSYVEGPVLSQTMQDQFQGFSYNRPIAGLGDAGGSVTDPSFVGSIQDRR UV8b_01014 MFAPVTARGYKGVEEGSIKTAGRQAPFFWERIGLVSAQCARRIG LADSIGGKTSEQEQRHGFVPGASKLMVGAKAFAAGWNEENEMPWHDGEQPYSVDQSTS VRCLRVSMAPHTPCSVLGDAVAVNVCCWMPFRPSAHRQADGAAGPNC UV8b_01015 MEARERQRRGWLGGHDSKVESRRRGGKAAGTVAGEHGSDSRGGF RRGGLNEYEVSSVAEMQHDVDCN UV8b_01016 MPRRSSRRREARGEAELQEQVLKHQEQAAFLSRSRAEPEERRSP MRKAEADARSARTEGKDPSISG UV8b_01017 MAEFELKISSSSPSILDDTHVLVHHGHCLFDARQFRKLSDVETV YPSSTAHKLSNVMLNWNLLFRKAQLLGELDLKQTLDDESLAERALSLVSKN UV8b_01018 MARSRQPVVLQNPKSTGAEAEAEAEAEAEAEAEAETVADPAPQA DHGMRSIESLRQGLALPLYLINTKMERQQGLSS UV8b_01019 MEVHSQEYRTAFAFEHSLTPVYMRVEIDGVLRVTARVLRSTLAI WQDLSTFAMKAEN UV8b_01020 MPAVKECMQTTSFKQHPDAAVALPAIPRRLDIIDIRSNKVDFNL KDEIASAFNSSDSGARKLPALLLYDERGLQLFEDITYLDEYYLTNYEIELLKKHSVEI AAKIPEGAMLIELGSGNLRKVCLLLQAFEDARKSINYYALDLSQTELERTLSHVPDFK HVSCHGLLGTYDDGVAWLKQPALVAVPKCILHLGSSIGNFHRDEAAEFLQSYADILKP TDSMLVGLDSCGNPDKIYHAYNDSQGITHEFILNGLANANHIYNQEVFHVTDWKVIGE YVFDDQGGRHQAFLSPVKEVTVLGSVIKPHERVFIEQSLKYSKIGAENLWTHAGLRKV GSWTKDDEYGLHMLKRSYLPLSKVPSVYAASNLPSLADWHSLWSAWDIVTKGMLPKQE LTEKPIKLRNACIFYLGHIPTFLDIQLTKTTGRPPTHPASYYGIFERGIDPDVDNPEK CHDHSVIPDEWPPVEEIEAYQGRVRARLTEMYEKEGQGPKNIPRSIARAIWAGFEHEV MHIETLLYMMLQSDKTLPPPHTGVPNFERMAKTAFEARVPNQWFDVPAQTITIGMDDP EDGADDVPFGWDNEKPKRKVKVHAFQAKGRPITNEEYAQYMFATQISQMPASWVSATT NSTGKHMPTNLPESFLQGKSVRTMYGLVPLKYALDWPVMASYNELAGCACWIGGRIPT FEEAQSIYAFVESARGVEVSGSTLVKKVPAVNGHLVNNGVEETPPSLVSLDDSPEPPL FVDLAGANVGFKHWHPTPVTSQGGSLGGRCGTGGVWEWTSSPLRRHDGFQPMALYPQY TADFFDEKHNVVLGGSWATHPRIAGRRTFMNWYQRSYPFAWTRANGNGTRVGVRVMKC TRLCWRWMWTTKFSLTHEGSKQSVFLIVPLPLPKAVGNIIQGICAPAPVPASSRIFHT UV8b_01021 MASAGSPKPATDALPDALAPRRPSITSTFDIVGAYRDILASDPE LTKPVAAIEALIALLHTVPSTTVFETLDSVKAHSERLKASVANPVPLTAGTDLFLQYL VTSLKSQQGGFDAVRHHLLCSGRLFAQRANAARHGVADAGWRFVGDARCVLTHGASRA VTKLLERAARSLRGRFRVVYVRDEARRRESDAVTAQLRRMGVPVAEIPPAAVAHVMGL LRQVNMVIVGAEAVTQNGGIISRVGTFQIAQLAAAARPKIPFYVAAETHKFVRKVPLD QRDLGFAQDVLDFSTDAASRQSEDDVDYTPPELISNLISENGVHLPGYVFEQLLSIYG SLNG UV8b_01022 MALVDPATGQALPGDAIQRVLLVAHPVHVYRIPPLASVQGHSAA AWTADPSRRIFLARLRVVETAAPGAPPKVDVVLEDPSGGGLFAAAPYAHPSAVEPVLD SSRFFALTVRDPSGRRAVLGIGFEDRAHAFDLAAALEDARRALGLRTRDAGPPAADAV AREGPRDYSLKEGETITAFALPPPPSADDARRKRRSLRDMGFDDGQFGEFA UV8b_01023 MLSTGEATSSSATPHALFSYAALYLMIKSSMGPLLAQASKASKQ LSGRGDEANHGQPGEFGSHGASSALNGKHSALESEPTATHNHDGKSQIQTRKDETWFH GRHHARPSSSSTTTTKSIFHPRPRHEIYMKQCQVSMTLQAHTLRLCDLMSRYHATMAT SVHGETRKIKRRARKQVGELRGQIKQAAEQEKDIFMRLSDLFLEARSREMLDFSPLHP SWAPGLDSGVQGGSNVDAAQEGPRSNLGLNGATAAFIPQRGLSLDRQTDSAVSIPTGT DDEHSEMEDGSTVSHGAESSGYRLAAGYVMTTGGGTGPEVRPRARRLSEDDAEVILGS RRRRLSLPDMVCAWPP UV8b_01024 MKLAAIGSRCAFLSRSQIKPAPHCRFLHLAPPFLLDDYTPRYLT LTSRDEAKKRSLAYAHLGNCNLCPRLCGVNRYETTGMCLVGDEAKVNVIAPHFGEEPC IQGHNGSGAVFMSGCNLRCVFCHNFDLSHRRNGMDLTPEQLGEWYMKLQEVGKVHNIN IVTPEHVVPQVALSILHAKQLGLRLPIVYNTSSFDSVDSLKLMDGLVDIYLADFKVWH NSTARRLLKSDDYVATAKESIKAMQAQVGDLCFTADGIAKRGLLVRHLVMPGKEHEGA EIMKWLASDISRDCFVNIMEQYRPDAHVDKKSRARVTGVASKEQEQTNVRYADLNRTV TDDEVSAVRQAAENHGLWRFNEAPRHEGFAI UV8b_01025 MACLEDRGISNQHDSLTASASTTRAPTLHRLAGAGRLAPTNEHR SVLVLQMARARGVNICDLFPNLSMWWTCYAKLPADRARQTDRPRSVRISEFQFRISTI DSRPRAVTFAVSTMDRVIGYLRNDPIRPRAIDS UV8b_01026 MASGHDPKLLYAVDDIKAYHIVNGNEQSLTPSGPQTLSLLMVPT SSGYADPSGIGAGEDDFYLHLHLPPELDLPLPATTQIYHQPPTSYLIPRWDLGPESGA FTRIEFPAVGSRPGIQEDVDTFETILAQCTAFLECAPPPKPGRTAPTHAAASQIDKAR EAAGEELPAYDPGNFTKGEAYVQGSLSSAAKGGRIVLVDEEDGSVIGELSDGYQVDDG AIQPGSKDPVEIALPTDASQAINVQPASAYHEADRMHPAYKKSSIVNMGVRASRLLIT TSDCVAQALNNQADHLTKKTQPNTKPVTFTPTTRAHIRKINQYSNKAAGLSAATVGSI VKVAQSFGAALSRRKDGRARGYDKDGNVVETYKPGMLNKSLMAFNTVVDGMEQAGRSL LTSTTTSVSQVVEHKWGPEAGEVSRDLGGGFKNVGLVYIDITGVSRRAILKSVAKGMV VGNVKGGGQVIVGGGEKPSGEEEAASREGSGSLKGTKGS UV8b_01027 MLQEILLSLSGHPSPLLRNPASPEADALAGITPPERQLLSSAGH LSDLVAKLAAYSAEVSASHASTICRAVATAVRAVHLDAFREKVLEVERGILRDDPEWV GAYNIVPLTAVMGEFQQWTRRLEWLWDTVRFVMAAGSPCRGAALIDRLRDEMQSGYRD VADAARSLVCVAETAWLKQVSAWVLYGRLPTFGTDDFFVQRAPGPAEDYICAADRLPS FVTGATAASMLFIGKSLNHVRAMNSASGADSAPADGAAHVSAKLSELSRLSFPLESAD FSKAIKAIRVSLSQNTLQKMLPLARVAEMMQLLRDFFLLGRGEFAMALTQEADERVRN RWRRAGNPAQRGRDQGWGGVAVRDGEVAAVLSRTWAVLVSLQGQHADEDEQLDLARSL VELHLTRSKPPAALMTGRGLDVEAANLLAASPFRSMLFSAPAALSLEVPPPLDMVISA SDVQLYSCINSYLLAMRRAHIRLTDLWKSTSLRRHCPAPRGASDGAAALRERWSHRAW LLRSSWTTASAAIFFLGETETYLQTEIVQGSWDSFQGWLLGDPDRDRDPKPAPDPAPA PAPAPASADPQALAAAHTLYLRTLVRRLLLTQPSFTQPLHALLTHIDRLVAHMHRLHA LFTSLDLERDAGAPDASAHLAREQAQVLASLRSVEHSVKRGIRAVVDALRALETDPDL LADGDGSPADDGLDDDDDAAAAAAAAAPEERAPVPGRLGGVDRLLMKLDFGSWIGQAG GEEDWGVQ UV8b_01028 MAASLSQHPRRSPRAATPQTLPQERPASPQSSYRSFTSSERSSE LSTALILARADDAPSSYHRGSTREPQRPRAGNGGSSWSCSRTRQGEKAHGGGEASPPP AMTYSPPSPPASMLSVDTYDSRFSECGLDHGVAHGYNASSAPDDSNPVRCQPPAQHDA IPKYLPEYRPGPAESPVRPSDPDLFRHLFPSMDRLSIRHDDGTPDGNMNLRVDTVVRP GSSRRRRPATIQLFHLRMHDLANREFSLRRYCRESGREVCFSKRTYNPASATHHSVSS ILRSVKAPFRRSNASDSSCLSFKTCSSSAPRPSTASTTAAKSAVSSSGGTDVSDGSWA RAPAVPPPSVPLVPTETIKLEFGNYARVEVSRRNPKRYEFEWWGHRYAWKRAHDKTLN TFSFHLVRDGVNRPVAHVVQETQSPSQIEEEKLAGGWIPPCYMWISDESVIEAMTDVA DIIVATGLVVLVDECIREQWPSDKKPTYGSAPLRVGPVIRRGLFSRHGQSSGKPFRLG KAVAVY UV8b_01029 MTVPFRVKALYEYSSPHDDDLNFNVGQIITVTEEEDQDWYGGQY LDDDGIKKEGIFPRNFVEKLEPTAPPRPTRTRPKREPDVARPAETVAPAPPPPAPTAE KPASVENVENVEISEPKPERPVERDIAIAEPEPPVTTVSAVPVASKPAQPALAKPPPK VVSPPVAPAAAAEPSGPNPRAGPPSASEKPLSNSFKDRIAAFNKPAAPPVAPFKPTGL GGGAGPSAFVKKTFVAPPPSRNAYVLSPREKPATSVYHREEDPEIKEREAEAQSQAER AGLVPADQSHEGGENDQPKPTSLKERIALLQRQQVEQARRHADAAAKKERPKKPQKKK PDTAAQGDEGVAETGEGALLSPSLERAETGETEAGTSTDEANVRSLSLPRRRASKGLA VDESHDGNEADMSGAGDTTEGQDDLTEREDSEEPSRNVRRRPTSHTTAATVPHHEAEA KTAATQPVGQQDEEEGEDEEDDVDPEVRRREELRARMAKMSGGMGFHGMFGAPIPPMA KPPSKKKTPKAVESAMEEEQDTEKNTLSRAGAPPIPTAMALPGMGNALAPSRGRHDEQ EGGEDDVGEDTPGPSRTAPHSLPARASGHRDDADVEEEEQEEQEEEERDGEAMQAPIP DTASPRRGLSGAPPLPGGRAVPPPVPTESRAPPPPPPLPAEIKAPTDGSESDDELSGG GRDNVPGQVGVHAKRSPPMPPQPASGLPTRPPPLSPRPDDLPAQSSAAAAASKRTSRP PPPIPGSAPALPPATSRPPPPPPPPAGDLRRQSTLDAAVATPSRPPQAGEEEDEEVTE YEGDYDTDIASSAPHKDALKAHARESSVEDNMLQSPVRETPPSIPPPVPSAAAPRAVP PPIPSQPPTLGDKRQSIDVPRAAPPPPPTPAKDAPAGAARDTDEYDPYNYASPLPPPA APPAGSTYSHRTPKIDEDKDYFPGPAAAVPGSSVPERRPPPAATPGRAAGNRPSLDLP RTSTSNRRSMDMHRPSVESDFIANDVDLAVQNGWWKQANQVPPIFQGRKDIHYESEET TTTNQGAKTVTRRDVFVLFQDYSQTIVTVRYDPYNPSDAELDQRHEAPPRALRQDQME EFHERYGRQISDAATSKKDTVVGDGTPQGFVLEMLRPLSGALPPVGTRSYGALVYANM ANASIQQQDVIRAGDIISFRNAKFQGKHGPMHAKYSAEVGKPDHVAVVAEWDGTKKKV RAWEQGRENKKVKMESYKLDDLRSGEVKIWRVVPRSWVAWNMQP UV8b_01030 MDGIEAKQKQLTSILKSSAAAQAAGARSPAQAAEIALAHAKLLQ HRKDLESDILDSLILLSEYPLVRHPACTAARPAQSDASGFKTHVRLFQPSDYDDLVQE RNVNGLCGYSLCARPRRQVGRGGGGGGGKWKLMGSGDIVRREDLEKWCSAACARRALF VKVQLNETAAWERAGIPDIQIDLLDEQRPAETEPDRAARELSSQRLDERRRLAAADAE TLALERGERRVAERAEKVQVVLREKATKPPRPEDASTWSDDDYLQIEGRGFSLPERPR PRS UV8b_01031 MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRICDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDYTQSESGQLE IDSETKDLLKHLGFDSIPVNVTQVTQNNPNERGNRRFGDRPRRD UV8b_01032 MGGRALSPGGALLRTSRLFSVPKPLPEPPSTNLHIGDHKSSTMT RQYPRHQSITSPISSREKGDWGYKRPFPLKSTMATSTPFIRIKQVDSIENVTDFASAA DHSLSLEKFQELRVAMSIPRSKELRLFSASSKRTDLWPVSVFEEDRDFTDPRGGKEDD DKRWKFRGPWLARLSEGDFNQYLRKAVHPKRAQFRALLKSKLAEDMTVRRNKNAMENG LPALPKIMPRDITEEQFTQYLRSLRNDRATLYALVSEFLDLAPLGAPVGVKEALSNYM YLEITSPYGESGPPSSHPSAGISYLRTVSFMENHPVYGPQARRTPVLARVVLPKIGYN RAKLGVGGFVADVPAGQNEFNVNYKPGRFQSSRKVLNGISHLDTTTFGGAKAFVETRT AHVDPSGKIILELREASSEGQVIVREAKGLVDIYDPEKRQSHSDG UV8b_01033 MTIKPARNPAQALFLERMRAAAKTAASISSSPALTFELVASCST TRARASILTLPHGPVQLPVFMPVATQASLKGITAQQLEATGCRLCLNNTYHLGLKPGQ AVLDAVGGAHKLQGWNHNLLTDSGGFQMVSLLKLAKITEEGVRFLSPHDGSPMLLTPE HSMSLQNSIGSDIIMQLDDVLVTTSPDKARMREAMERSVRWLDRCVAAHKHPDRQNLF CIIQGGLDLDMRRECCREMLARDTPGIAIGGLSGGEAKDDYCRVVETCTALLPQLKPR YVMGIGYPEDLVVSVALGADMFDCVWPTRTARFGNAITKHGVLNMRNARYANDFGPLE PGCGCMCCRPGEEGGTGITRAYVHHNAAKETVSAHLLTMHNVWYQLNLMREVRQSIVE DRFPAYIRQFFANLYKDKTEYPQWAVDALRRVNVDLTADA UV8b_01034 MTSSRHGPKPAAPAPVARDDSNASLALARSLSQLSLAQKPAAPR PPRRGSVAAANTPLQPPPLRSSSASPRPRNPSRSPSSGPGSRRTSCSGTPLLLRRASA NSLRSASGNNNGSSRSLSRQASYGRLRSPSARMTPPEEEEPPATASSIANDYFRAELG ALHGAAAAVATDTIVVLNDAVYGHRFSRPRTSRNALSTIVERPERIKASILGVSTAYV RLGGRHCHGDLPVDPKRDLGRVDSIPFRIHKTTRRLSLSSPAVTNVHGTRWMDELKLM CDSAESKLAMGGKELQRPDIPRGPDHPAPQKLHEGDLYLCAESLDAMEGALGAVCEAV DAVFGQGPRKAFVGVRPPGHHCSASHPSGFCWVNNAHVGIMHAALNHGLTHAAIIDFD LHHGDGSQSIAWEHNSRANAVGKAHAPWKKTSIGYFSLHDINSFPCEMGDEEKVKNAS LCIDNAHGQTVWNVHLQPWETEDAFWNLYETVYSALLDKTRSYLRNQAQKLREASRQQ PRAAIFLSAGFDASEWESSGMQRHHVNVPTEFYAKLTQDVVKLAEEEGLAVDGRVISV LEGGYSDRALCSGVLSHLSGMVGGARAAARQGHAARGLAHEMVQRIGVGGGGGGGDGG GGDGNPAPESQGPSTPYNPSWWASGSLDQLEQPAEPPSPPRKPRNSTPPTYSSPTQAS TARVADPVRMRRSLSSMSTGHPRAVQLRPPSPPPPEVSWVVAAKELCNVLIPSDRQTD SCRPEDLNAEASRARRDRQSLLLGIPPPAPAAPDRTASRMSLRERRTKAIGLIDEETA GENTLPKTRRRQTAAAATTTTTTTPMASPIKASQAAGSHFGAQSARRPSGRLSGAPTA LTPPREEVPPVPAVPATAPVPRRTTASRPTSRAGRTQSSDKLPVHKGRAASTRRESAS RDATGARAASTPARTSQQQAVAAGADTPTPATGFPLAVAGDDPKADMEDITRGMKKIK INLITQSQREARQKAMEEANCKAERGKNVHVHVHVHDSETMVKPGRPPTAAAAAAAAA SSSASTAPEEHRAPPSLRASQPRAPPTPSLSGDPTTPVEDSYPPSTPSPAPGQTVPAG SSLHMPHVAIQPASDAGRFSPPHPPEGLEPAAKRSSAYVPTPSAASPSLVTKRRQNSL FQYTSGIPFAPRSDENAARKRGASESTQPAGNAKPELKPTAHSLWPGPRPKT UV8b_01035 MAFTMHSHSGQFCPGHAADQLQDIIQRAIALGFNTIGLSEHMPR YEERDLYPEELPDAQASLQALPPRHEAYLAEAQRLQTEFRREISVLIGFEAEFIRPSY APLVRNLALAPCIDYFIGSVHHVHSIPIDYDGPCFRAAVAAAGGTEERLYEDYYDLQH EMLLALRPRVVGHFDLVRLKSERPDRDVRGWSGVWARITRNLRLVREQGGWLECNTAA LRKGLSEPYPCRVIAEEWVKMNGAFTMSDDSHGVAQVGTHYMPALSYLESLGVENLWT LERQPHHGLQKPVLLDKAVSIDEFRRHLKIIDGDKNCARYHDSRAQPGKNQPEEERCK NIQDK UV8b_01036 MLPLRWPEKRRVQGSSNSIARKERRNPPPDTAMLQVPLWLLALP RGRTCMPELNRGSCYRHDGHWPHPSARSCAPLTAGEDDSNQNISLDRTDTSLHPTPAL ISVPSPQGEQWCFAWKNPNFLMRKGAMKTGFMSSVNRSSSKSMNDDEEL UV8b_01037 MCSCSRRTLLIRRLGDCSVLRELVPETIGNIQYRFLDAHWRNDD DMDAYQMMNPPCCSQRNQQMEANFGSLTRQLGQQQLPSICPRSSRLARQPESLAAIQS ASADPSVSNLE UV8b_01038 MRETSRREIVAAEKIPPGALVEYEWLQEWNRSHCQNSQSENWKS SVLRSPEQSTPRLWIYLVFRLHRKNKTPNKRRRLPTQHHGIH UV8b_01039 MSRPKETRALPLCHVWVRSSSDSGKLSYSHPLSVAWGTQQQQQQ QQQQQEEEEEGWLAGTRGGNATRNTHGFGNEMAGPPEQARSQGRASVGNGKHGVVTFS TNCPVLCGHG UV8b_01040 MRHRNEAAPPAWLFSPDTRPYVQQACRPILLVAPQQDENGKLCG VRDGSGSSSETLAPAKGGGFEEPTGPQAAPLVVIRMQTGIWNLGHQLGPKSSCSKQKQ RTCERVGDDFNWRLWGVRRATSNKRRATSKKQQAASDEQRVTSSNRRAASDEQRSQKQ YQYQCSLPSWGLLEFRPVTLRRLIPSPP UV8b_01041 MTFASPGRKEQPPAASRPRNRSRKQHVKTPSAPPCPPHEKEVST EDDWTRVKCPKEKKRIQNRVAQRTYRHRMKARLGELQARLDSHERLRHQQPGRGHGDA EMPRGADGAFTAAAPDVVGMGHPSGISPSLAAAHAHAGGRKGSSPLAAEMAVLPGGTY DKVATHEPEPAFYSHNAQYLHSPPRGYPSPQASHGLLSPPARWEVEQPAEVSQDFLDC LHFQTELLDKLSTLGPEPAFAVQPQYSPVDALPPAVIGLNPSPLNYMEPFTPGRMDPL EYVYDGARTAWKAGYEGKARPSLPALDPLGGGGGGGGGFSSSLAATPPAQPCSADAPA ELAGRIEAVVRQVHAAGFESLDAAATAYYTAALGNTPLAAEQHASRSRRLPRAVADVF RATHTWPPWERRGFRDEMAKTARAMLHAESSAARASLAGQLAPLLDKPDLKPQALEAL KKTLKREFPTAWAFNAALLSGDGAAGGQTDGSNAVLATVLLQQLAGKVPRSQLVQVVE ACL UV8b_01042 MYPGGPNPTQVQYAPAGPKDLLPLVLIHDGGGTTFSYFLLGSLG RDVWAVHNPKYFDGAAWDGGPDEMARTYLDLLQREGLAGPVLLGGWSLGGFLALAMAH LVAQNPRAYAVSVAGLLLIDSPYHVARRRVTVPTGPPRLEALPDLVARAFANCDAMLH EWELPRWSGSRRSNAAGAAALGLALRPREVPHRAAGADAWSAVSARTYAGRGDERGGE GGDEAGAGAGAGAGAGPVAEGPPPPGVLVRCTRYAACAGDGDASASCLVDVYRDERLL GWDGRYPDFVAAVVDVDADHYGVFSRADEQAMARLTERVNLGLGLLDALHREAGR UV8b_01043 MARPGGAGAPPTPNGDAPPAPPAPARVGPHPGFISSSNQYSSEI KIRRMLKDNGCDPAREDTHRLQGVQLIDNVREQLQLPVRTFDTACTYYHKFRLNFRDA EYNYQDAALASLFVACKVEDTIKKSRDVLAASYLVKNPDKTIAPDDKIFEAPGKIIIG LERLILETIGFDFRTRYPQKLLAKVVRRVLGKSSANSRDFFATAYAMCVDMYKTFVPI KRTTLSMAMAVAELTARLRGEHLERVREFASSRRQHSRDAVMETMLDLLDLYVQHPRA TKLGARFDLNAFIDVKIRLNQDLDRTAKPRYLHHCHRCEVEDPHPLSSATASATDPTT QSNPWPADASIRRTARGQDGTMRFVFDPEAAMDEQHTVSKFFRDEYEEYEVEVEEPVP PPDRDRDRERERERERERERERERERERERERERERERERERERERERERDRDRDRDR DRDKERDADDGGSASHGRSRAGGYAGGYRDRGDPRRGVPYGGYRADRYRRGRIH UV8b_01044 MASLLRQIVAGPRARHPEAGLDLCYVTDFIIATSGPSQTYPQLA YRNPLDRLVAFLDSKHGDDWAIWEFRAEGTGYPDEAVYGRVRHYPWPDHHPPPFRLVP MIMASMRNWLHGGPLDDSAPTSAKQHPQQQQQQQQQQQQQQNGRPAGAPDADPPPRQS KRNPKRVVVVHCKAGKGRSGTMATSYLISEQGWTAEDALQRFTARRMRPQFGAGVSIP SQLRWVSYVDRWTRHGKKYLDRPIEIVEIHAWGLRNGVKVDVEGFVQDGKKIHVYHTF SKRERLVVEPGAPADASLGDMLWDLAGYTAAGGGSKAPEGADFADAANPPPPRGSQAP ASRPAAASPGPSPESPDDKKHQSLARRGPGLILQKVSAGVGNGIDKARSKTSSAEKTG PGPGRPEKSPGPSDHAEPGGLAVIFRPLKPVRLPHSDVNISVERRNRTHKSLSLTMVS AVAHVWFNAFFEGSGPERHGNADANGVFSIDWDAMDGIKGSSRKGSRALDRMAVVWRA VDAGDRGEEVDEPAEGQPVAQAEPANWKGVGAESGKDERDLGLRVQSEASEDVSRASS VKSVERNAANGDADIKGVRSSGPRGEDWGSK UV8b_01045 MAPPPNASMPLQERLLQLAKTLQFAWFIGHMTLILTTVRYAFSW MRINYYSGVAKFSYRTSFLAAAVTYGIVVYKTQRARAKTGNKMPGGVVGLLSDENMQY LLMALVWLLSPQYPLALLPYCVYSIFHVATYTRANLIPVLQPPPPPADGASPQRVSNP IADRIGAFVKEYYDASMSIVSGLEILLWFRILLSAILFQRRSWILLGLYTGFLRARYS QSTHVKNSMSQLGARVDSLVGAQGTPPVARQIWETIKNAGQQFHDATDLGKGAAGPSP AKKTS UV8b_01046 MSRTLPTRQAEELHKSIIAYLSANNLPNSAAALRQEAGLGQDVY DAATEKKYETLLEKKWTSIVRLQKKIMDLESRNASLQSELDNATPSSLSKRNQDPATW LPRKPPRHTLESHREMINCIAFHPKFSSIASGSDDCTIKVWDWELGELEQTIKGHTRA VKSLDYGGPRGATLLASCSSDLTIKLWDPSDQYKNIRTLSGHDHSVSAVRFVSSGAGG SYQSSASANLLVSASADKTLRIWDTTTGYCLKALRGHVDWVRDVCPSLDGRFVLSAGS DHTARLWDISVPSPETKVTLIGHENAVGCCAFAPPAAYAHLARMAGLKKPPTASSSAE FLATGSRDKTIRLWDGRGICFKALVGHDNWVSSLVFHPGGKYLVSCADDRTIRCWDLA QEGKCVKVLDEAHSHFVTCLRWAPGIQKDAGGAVNGGGKGTPEQGAENESALKRSIGP AETGDAQIRCVIATGSVDMTLRIWAN UV8b_01047 MPDPTNFTSITNATPDDITNTNATVDYLPKSEGTILQSPATAKD DLTGLTGPVGPIGPAGPVGPIGPAGPVGSAGPTGPTNLTGSTDLFPNYDLHHLIWDFC YKAKPGRICYKALKKTPG UV8b_01048 MEPTLEHLVAIPKKFQCAVDCRPQLCQAGLIKMAAPLATATAHL SETGESTRSLAIWAVSAKPLAASGSKHENRAASAARSDEATIRGTSEAFARIGARAVA AGNVRFTASLTLLKVASELETEPSDRVR UV8b_01049 MGLLDLLSRRSSTCDGPYDRSLSLHHDGRPVATSSTAFRTSRIE RCLSLEKLQNAADGEVDGHGSGLQESVSKRWSLLDARQAPMALRSHSSLSNIHPRTQK EARSPTTHSFSRLSSSFKRAARAPAHIDLLDAQGGMKPLDFRSRVQASGVRDYGEDVA ERNMGENGVDVQSPAAQDFYHRRRRGFAPSPSITSSCPPADQSNYDGSTYGGSDMGDT SYTEDLGFAPKQLSMLSPEPRREVSGVVAGQPPSRRTQSWDSRCIMSAGGPSLHRRGY CREYACPIDENERPQNLGRRWDRDQARGRGFKSGLAVDVSASNNLQHDSTRGAAPARS ISPPVVPRYRHGNKIATMQEQGDRQNTDFGHADVYEPEHAASTGRGQETGRSRQTEHH RHRKHEQRNHDARAAYESEDSECDMPIPNAPPRPARPRPAPVAPPSYHAEWQTAVRRA VEESLAQMPLSADLKFLLELSRRGLSLQDMPHNVGLQSPAAQRGSVTSMSTPTTDVFD YSSISAGRPQSRHTARTSIDSSVKLELHPRADYCSSLSRETALERANCTSPTMSTVEH DASSSSRSLSSPSPSAPTDTKPQPSTAADTSDHARGGQAIMETDDCECLTSDYSDADS FAEKRQQLASDGESLLLNEGKFGDISNSLPGIVNIGAAADCMICRILANLQGVPLPTG PCSHNGRMSKKQRLQALGYDYDSDESEQGEQGDQGDQGGPGAAKETAPPCSRKKKLIS GSGGGLRRLKLVDDRIEEDSEEERDPAKKLQQGSELRRKSGMGLRIPTSPLASVHGKV AGNA UV8b_01050 MAASPDIEQLLQSYVGELVPYTFHPGFVCLSYTISLFGTGTTLE LIRRRTSHRGYHNLLLLVGAAIAMGGIAIWSMHFIGNRAIYMLDGQAAFQIAYSASRT VLSLLVPILVLVLAFLGVSSNGRVRRGGIVIAGILSGCAICGMHYLGNASISNYAVSY NLGFLLGSVVIALLASTAALTLFFVFENTWSNAWWKRAGCAMGLAGAVSGMHWCAAVG TRYRLLSASPDEHGVSRQDSMIVVICLAISAGFVLTILAAYSTWVRRDYATKSQQVVV AAGVFDEKGRIMVSQDGYLPSEVVTDTYWPKSHDDIFSTSHPLFHWMFRASRNWATIS KVLPRMALHISRLSQASNITGRGSVRLVGEDGVLVENYDIILQELFCIAADALAAKTK ETLKGVGTLWDEILVTGHSLSLQGTPSSERSRLRSMEKLLSYSTAEKGAVPAQEDGRG TLMFLIRQVESKREVEKLEAAGFRFAEIHHVVGSVRSSMHIKSPDLESRLRNMAQQSR KTTMLSPGLHVGIFAVRARLDRCGGGFDVLVRKTAKNLLPAVALPNKGPLSPAESAFV QSLGGRTLDSVIAASEHCASSPTLETREFAGQLKAALCTLKSFLGDECFNEATLLPNN VQLPCSRINTTSDLKSASRCTLMAFQLVLPIHASLTASQCEFTALSLFKMRQVTYESS SDIVEFSHMVHRDMSSTALPEVAVVPPRFSLNLPSSSPYLTKTIDRVRSHRRHKTDPY LDTSKSQEGLSRTASSRLSSLCHGDEASIEDACKPPALSPGLNPDDQNGTSNDAAHSP PMQLHVYGGIMVSKQVSINFQETKEADTNPPQQSGSELIQLVPRSDSRCQAEKSFSAT ATGETVAPELANEAAFVDELLAMTMPKRFSQ UV8b_01051 MKILFFCTAHNSLSQRLYLALTRNQQHSVTVEYALSAQHMLEAA RLVQPHLVICPFLTSLVPQQVYEAFLTLIVHPGPPGDAGPSALDWLLMGDDGSEQDSQ RLLADNGFSPVGRSHWGVTVLQAIKEFDAGPVWAFEQFAVDIDDVRLTKSSLYRGAVT QAAIVATLAAIERIEAAADGADGDDGDDGDDGASAASAASAASAASVALQTLGAPISP PATPTHNRFCPRPSSPGKKQRRGPVSPGLVAREEYAELSVTTSQPFLGGETHHRPLLR ANQRDFDVTTHAASAISRRIRSADSQPGCLSGLFGPKLYLYGGIVENPTLLSCVASPA PPGSIIAVRDEAVCVATCDEKGIWITHIRQIKGKRDPALWPKVPAASGLQKLGLLDAG APWCSSRSVLPPTFSGQWSRSYHSTFQEIHVDFATEGNKRFAYLYFDFYNGAMSTSQC RRMVQCLDYILANAADSHESLPLAAVVLMGGDSYFSNGIHLNVIEAAADPALESWYNI NAINDVVEKVLRDFPSRNITSVAAMRGNCAAGGVALAAACVVVLAGPDVVLNPAYRAL GLYGSEFHSLSYPGRCGVEGATQILRSMTPLSAHDARDMGLVDRVLPATQPLHIAVRA HVQDMFASPDAARGLGAWKQKAALSPASLAHARAAELAQMSMDFWSARSERYHSRRSN FVRKCRPVATPLRFAAHRRAEGMLDEEERDEFDSVTCFVDRSQRELKRQLSNGMMRLL KDFSSGASEPASAPAAPPIFSCYYA UV8b_01052 MLCTPCSPLAESYLLLQSRDALCPSPSEERQAMPPDEQGSLSEP GGGREGNCGKASRISPRGIAGKACPKNLLTECRLRDEETWELWRWLYSECVRRKQ UV8b_01053 MRASLVALGSSLAATCARPQDSDNPLPLVIWHGLGDAASSEGLA EISQLADAVAPGIFVHVVNPTAGGGDDRTATLFGNVSAQVEAVCAQLARHPVLSTAPA IDAVGFSQGGQFLRGYVERCNSPRVRNLITFGSQHGGITRFRECGPADYLCRAAMALL RFNVWSSFVQSRLVPAQYYRPADAAELQDYLDGSAYLADVNNERQLKNEAYGKNLASL DHFVMYLFENDTVSIPKESSWFGEVSGDGRYTPLRERAMYKEDWLGLRALDKKGGLKF RSVAGEHMHIPKQVLNDTLSEFLGVYKGKRTGGLAGFESGEL UV8b_01054 MAPSKQVVASAPNAVASIDPDQTLKASKALLAHIRKAAKQKAQE ATKRNLLDDAQDGDGDDSPVWLTLTTKRHIADKARLQPGKIPVPHSLHADQTSTICAI TADPQRAYKNIIGSADFPAGLAKRITRVIDFGKLKAKYGQYDAQRKLFSEHDIFLADD RIITRLPKVLGKTFYKTTAKRPIPVVLSAKRPRVDGKRVKREAKGPGDAVNAGTPADI AREIERALSSALVSLSPTTNTAIRVGYASWTPEQIADNVDAVATGLVGKWVPQKWRNV RSIYIKGPETAALPIWLTDELWLDEQDVVPDAVQDGAEKANVGKKRKSLGDAADADAA AADAAAAAAPKKKAKKAEAVAESNDDKLEKQIAERKAKLKKQKAAAKKAMD UV8b_01055 MPAPLRRQAQQPGRAGCRQPTHGSVNRALVPPSWTLGSTRAGTQ GPTDSSVKGASESRPARPAATTCGICSVRDLLRHGRPAQPATAGVYVSRRHRRLGSRV IAPFCSAQLLLMAVAGMADWLATRVFGRDRWRRVQLPGKARLGMDGFGWPRRITNGV UV8b_01056 MKSSPPRSNAIMSAPGAAAVDQVALPPLSTSASASASAAFARPA PACLAGSKRPAPSSLLPAFEPFSSSPALPRPAKRPNIGGAQLKYPTPVPTSSTGILSS SPPPRPALARTTSERAPLSAVPAVELPENGESLSLGRSSNSSHLQLSANRLVSRVHVT ARYVPAPSPLETSKIEIVCHGWNGLKLHCQGRTWELFKGDSFTSETDGTDLMVDVLDA RVMIRWPRRSVSCAAADHPASLSDSSWDDSPPRPQPRGHSRLPSTSSSPLRRTARIAS PESPSPMGSSLSTSQRLQSLLPGVAARDDGGIDIYEDEPELPQPRGHAYPRGKHVPVG VSIKTDATASFSSDLSNVDDEEEEEEDDDDDGNNPDEENDPIVHSFGPFGANIAGRLA SISTTSPKQPRPLYRRPHNASSNETLARDGDGTPSVSPSPVKERGPATKLGPKPGDQD TPAPSIEVDAAVANHVVNQLAFSRLASTPLSTIMQNLPSEQRAGLTKDVLRAALESTP CIGIIPRQGKDAAGKALESEYYYQPDKDDDEQRRAVVDALRKPSLRNCRKQHKQYYWK RPKTP UV8b_01057 MESLSRRLSWENKLKHDSVQAALKTAFAHVAHGPRGTSLKYMNM SKIHSWSDELSGARPGRNIEDVEREAVDHLLDANKSGRKRQAEHWAPFDNIRKYLHAK RTPDCAAPETDLNATTLAEEPKSTKSFNSQEKGAQKPSDLQKYNPKKFDSPIAPRPLT SEESSKNYHDLDKYKPVEWNEPDGLPTQTPEQRSKQYKDLDKYASPDVDASNISADAA ASTNPKYDDLDKYKPTEWNEPDGLRKQTPEESSKSYDDLHKYGPATWNEPDGMPKQTP EESSKNYGDLGKYGPVAWNEPDGLPQLTAEEKSKQYDDLDAYKKPFVAADSILEAHEA KQQDFTARADPVAAKVEVPAENPATEYDDLNKYTPVTWNEPDGLPKPTPEELSKNYDD LPTYDQYPNNGPATPRLHPEEASKQYGDLRRYGSFPNAGPVTERVHPEDVSKQYKDVS KYPSRGFDEPKRSTHVHPEELSKNYKDLDKYDPLSFDQPDNSHPAYSEEATKAYKDLA SYEPVFHTKLDAEPAERSGSVPNSLQDYENYRQLDGNEPRTAAEIRSAVLRRARCNST HADAAGQTKDLADCKTHNTGRALTGSYAKDFPEEFATSWNTANSWSKSTLFPKHLADN DTTRPANTLGSDKDEFDLSSMDESFPSEDDRLQPALERNAGRRASVSGMSRSERISVE EDPYPSNLQRLEGSYAKERGGLATRPNLVRGDKGRSRDKDASGTDSTAQGPFERQPTL YKMLAYDPSTQSISVAEASSAADDSSAAETPAEILPRISNPSKFFPYFRVLQAEGYEV VSGSGHVLVFRKVQPGSVLQEDSGAAVESTATTGRSGARKVAGPVHSFVNDESRPYLA PGWEAWDKKTKRRRLGRKLVIGTAWVAGIAYAGSLMGEYLSTGGKSF UV8b_01058 MSLSNPLAGLTQGAGKEQQQQGADEKSVPRTVAGVVNEKGEIID KTGKVIGSIRDVKDVDEPQKLVGGVVTETGDVVSEAGDNLAKVHIDDNYTPTSVPEGP KEGAKAGRDWNVLGKAKSAMQYGQNIQNTYGKLAGLRKPTDEGEGAEKAEQIPGEAQK EADVGGRAEKPAQELKTASGDSQKEADVGGKTAKAKETTGDAQQKTADTAKGAEELKK PSGAQQKEPVEAPADKGEKDLKGVSDEARKKADVDSQAAEVAGAAEQAPEAGKGAAQE EPRGGAEPMITRSPRPVDEDRHVPEPPAGDTAEKKHDVSAVEDKEKEAAGRVQAEGQV GTQDKADKLGKIGDEGAGDKQLGGDKLGDVKARGDLAGDEEASEESEGGAKTGLEVEE EEAIVEELEHEEVADELQDTEGAVEGAAEEAGKAVDISILKGTTADKGGNLVDEEGAI IGRLVEGDAKQLVGKSADDQGRFLDDSGQVIGRAEPIPEAIEGAAEAANPSDLSILKG TTADKAGNLVDEKGDIIGRLVEGDAKQLVGKSADDQGRFLDDSDQVIGRAEPILKDTE GAAEEAAEAGKPLDLGVLKGTTVNKAGNLVDKKGDIIGRLVEGDAKQLVGTSADDQGR IWNSSGQVIGRAEPIPEDERDETAKDFAPFENFPDAVVEADGRVVSDGRQVGQVVEGD PKRLKGCHVDEDGDILDRRGNVIGRAESWDEPEAEPEPEVDQSALAGKRVNKAGNVVD SQGQIWGRVVEGDLKALIGRMCDKQGNIMSESGEVIGRAELVPEHEREGSREGPFAEL AGCTVAKDGTVVTPSGEVVGRLVSGDAKVLYGRPVDEDGDVLDKNGNVLGKAERWEEP EVEKKVNPLAGRKVNREGNVVDEEGNIIGKLTSGDVNICAGKEVDDDGDVVNAKGVTL GHVSLLEDIPTESEEEKKEREQREKDRQLAGQLAALIEQSLDKLRPILKLITDKVDRA ERTPKDELDEKQLVREVKPLIEDGSKILTETNGAVRGMDPDGRIQRQAKQRSGTKEAT PEEHHLAEVIKELTGTVTETIDNAKRKIEGMPHAKKELNPLWALLSEPLFQIIAAVGL LLHGVLGLVGRLLGGLGLGGLVEGLLGGLGLNKVLDGLGLGSITGALTGKKEKK UV8b_01059 MATSPISDAASRFGNPASPPRRPQHPSSNSRPSASPNRRESPRA PSGPRTTMPDTSPPGIPLDDGILVALEGKCVDEFGNILDWDGTVLGRAEGDLPSMVGR PVAKGGSILDAAGDLAGRVAEVLPPALTPEGESGRKKLKRDHRGNIYDQFGNVVGRMR TNSGGGSKATGVDDGDRGDSGFSSRGETGATGARPEGADETAALDPLPATPSPSEIYL DVKSTHDGIQLVIKIPTVFNGRHETMQCNVER UV8b_01060 MVIGLLTLTAIPTVTGVGQAVSAQKRQNAASKEQEKFHLTAVVE HHDGTEEPAGTCILKDGKLMLQGPGQAVAGGHKFCGFYFKYPSEEQHLGLVSSVADGQ PTLNWIYVNRDTRAVEHGTRKSTVGQIVGPWGWAEDERFLTLAGDPGGFVAVQEEPDG AAERWSVFWGRGGDSKEQGKGSQRDLRRVKLFRKPLLGMESSYVRDGGQ UV8b_01061 MAANAQAQDQPAEKNAEQQSQENGHHSEGEEDSHLRHAEDDDNG PETNNQATSNQSTSGPEDQAEQAPQPRPPKPARLDQQKRKRPLNEALTEEEMQSQIAR RRQPVQPVQPVQPVQPVQPVQPQPQPQPPPPAVVPQGQVAAQQDSKKNPLKLRLDLNL DVEIELRAKIHGDVTLALL UV8b_01062 MASDIRSTIDPDELPRFNHVLDMLRTSGEQYQMVYRADLRQVVI TYYRDGRLKTRRFKTTSHADEYFRKNPLDGGRRPPKGNQGNQGHQQGQQGRNGRRVVI SQ UV8b_01063 MHLLRSIIALATLLAPLAIAALTLGSAIHEDDVQRFNRLMKRVE DNGEQYETVYRDDRELVIIYVEKNGKITTYQVFRASKNAPAFFRMHPTDGGKRPQKGR KVGKGRKVVTSQSLGSRGLE UV8b_01064 MHLLRSIIALATLLVPFAIAALSLRNSIDATQLPDFDAFKERAD ANGWRIRPIYDQYENLINIMAFGDDHLLKASRLFLGNANAPQYFRQRPTDAGKRPQKV QKSQKSPQPRKGRNSH UV8b_01065 MRLLLVLAAAAAVSALPSKASYKRSPYTDTDKIAVDAAAGQKRS PYTDTDKIAVDAAVGQKRSPYIDTDKIAVNAAAGQKRSPYTDTDKIAVDSAAGQKRSP YTDTDKIAVNAEGPTS UV8b_01066 MVPRKVLQRWSGYSLLEGRTTQTANDDAETCCSADRATRPSRRE NALVCLLVANTMLFLMTAAMLASLRRPRSTELLNQALRATSSYSPVFDMIDLEPSIRR INGTVNPAGKLSIARQFPNPEADAVWENDIELIRPIPVTREQIVKMGKNPDTVAKLEN SVWGLGDDAYVAALDLFHNLHCLNALRQAIYPDYYNQSRVDTENQQSMTAIHLNHCVD ILFQHISCRQVPPLSHWTES UV8b_01067 MLIAGIINKWKESPNPLSFFFCEGSTKGGNASSYNAVLRGLLFE LLKKRPALLSEIRPEYEMKKEKAFEDVNRGELMKDLLKKLLQDSSLQNTVLVVDALDE CSTDRRSLTELIADISSSCTAKWIVSSRDWLEIKGSLKKAQGLVTLDLDLEKESIPAA VKVFIDLKVKELAKDKWNNNQELTKKVTEHMYSNADDTFLWVALVCKGLADPVLRPRD LENELRYSPRGLDNLYEAMLQRVQESSKESSKESREIRQRKQTLATACVAFRPLSFAE MGVLIETMEKYSESDVEDAVKCCGCFFICRDGYVSFFHQSAKDIILKQLNEFWLPGGA QQQHLKIFSRSDELLKGRLKQDIYNLNR UV8b_01068 MRSRFKILKKIELRPGGRKHDTRRIPTPPGDSTKQEKKPSPQPA SPPLETIAPPASPEVVEPANLEAVPLSLPEQIWRRAYDALKKDEPSCVKEYGKVLQKA QGGLKNWDIPKQIQKLAQSKSDEVRKMWMMIYAGMERSKKIAGAKGSLSSVVKIVENF KSVINAPLKFSPGGELLHALLVSDPEWDKQRIEKYKGGLLPNVSS UV8b_01069 MVLTSCIRVYTIMADQPQPSSPKRCNHAETRILSYQDIDYSGPL KPEISSLAAQLPCFSRVYGVRPLSLVLL UV8b_01070 MKRGPRGLGSAPGAAFGFSGASSDSSSLTYLAEPPSFTALSDPN VVVSLKNVLKKDSTTKAKGLEHLIHYALSHPFEQDGGVEEPLLHVWTRIYARISIDNS RRVRELSHALQLELLRSARKRMEPHIPKVVGPWIAGIYDRDRAVAKAANDGLLFFLTT PEKSAAFWSRCQAQILDFAIEAIRETKDTLSDERSTSAQDAETKYFRVVISSLSLVMG LLQRVDQASMDKFGAKYDEYFEQEAVWKSITSSDSGVRKTACHLLFACLDRRLPYGLS TKARQALVTGGLKTSQSGSALEYVRALTKLTQQDASIWTSPSNDRKSPLARLQAFISK GSQGSPSKYWEVLDELLTLIPADTLGLEAASKLASSVKTGITNREEPRTNAPYSWKCF INVAQRSLSQLPDNATLAFAREHLFPLLEQFLFPTSEGIGIHISPNAMSVLVEAHSAA IHSSSDVVQASADEWDRLGGILCANTTASLPEVSKEYKSSQEAIAEQGRRWFALVGEI FANPATHGPDLTDQTAAPSQRVISQCLSLLENRNMKPFGAAQILEYALSTSPHLFTGD SGRRLGVFMLGVADCGLERVATSASAKPLLSSLRIFGSMVGRHEEYQKVWGAWAEETL KLADGQVRNATLAGLLSQEEAAPFAMANQSLQDTLYALALATVARESQAWSLLNTALT HGALRSDMCGKLANELVARLSKSKSHAESVLRMLGIVAEANPGILSSDPVRTELVAQL LHLSELHDSSISPRVATIRALLDGQAHGRLPIVAIIRSNLERAAQQSLSLQTLVSQAK SAVESGAASWEDVLPNTNIWMEHLTPLLNAPINPSLSITSSLGGAISLHTSTGDEGVP LSCPRDSDGRSIPVRMALYTSQLVRNSSDVLSLPRQFQIELLYSQCLAVQLASDQIAC DSRNGLWLTLAHADSFFEVEQLVTASRVLLEDFISKASDWSSAQYAGNDPPSVVQGLV ELAVKEAGELSPRGVYSARVLSELLQALVNAHGMPKTVEERFLKLENLKATSETTLSA AGLVAGLGEAAQSSAAVSNFCNRLVSEVAAAASPEDPKVERLLVLLPLVAQTYQSTEL PVAKNRVVFAVRQITSWLEDDSALDDNLCASICRVLNSLLPCMKDVYGSYWEIVLRFC GQLWERAALSDVDTALPSIHASVKLARTLEGIPEPNDDLEDALKDFSPAKSRGLIELL RIERRSTSRPLEIVDGMLCREVEKLPVSSIPKPEQLFSLVASESHAVQTAAFGLLHRK IPAEQGQRSIDVLLDKTTARLPDELVSLLLDPPTLEAFPDELLSTFPSSIRGYLLSWK LVFSAYSSSSFKVREDYTEHLKTEQLVPPLLDFMFDVLGHSAAHPLNLDKENLSVREI CDYDVKLAEAETEEHSFHWLLVHLYYLTLRFIPSLFRAWCINCRSKQTRIAVESWTTK YFSPIIIKETLDGVEKWAQEQEAPAHDERNLVVKVSRPAKEVTAGYEIDESQATVSVK IPPSYPIEGVVVSSLNRIAVPDRTWQSWIMSMQGSITLYNGSIIDGLQVFKNNVVAAL AGKSECAICYSIISSDKRMPDKACGTCNQLFHRTCLYRWFQSSNQNTCPLCRNPIGYL GADTAKRRQG UV8b_01071 MSRDWKSSLSTVERLGIIQNLQASLPKEKRNEAIAIEQSAYQNS TTRDEYVAAVSATSPASPLLDAPPNPSAGTRIGRYLRCHPVAQGVTSEVYRCDNRALK VIVAHRNIEPHNPQREAAILSELSALRPPPEHIVSLVETFRDQEQRLVLAFPYLPLTL DALLAGSTKSLPSSQVVPIFANLVNALASIHKQGIIHRDIKPSAVLLQSSCGPAYLSD FGSAWHPRLSSYTERPANKILDIGTGPYRAPEVLFASKAYDTSVDMWALGVMLAEAIS SPPEAPFESRPAHEDGNQLGLILSIFKTLGTPTEEMWPEAKQFKVSPFELWAVFPPKS WEEVLPNADADMRCLVADLVCYESSNRLTAAQVLEHPCFTNRDGSNKS UV8b_01072 MAKDSDAPKVVDKGKGKAVEDSNDEKPALNGKKEDDKKDAAEEE LSEEDQQLKSELDMMVERLTESNSGLYKAALEAMKTSIKTSTSSMTAVPKPLKFLRPH YETLKKLYEEWPTGEDKSSLADVLSVIGMTFSDEDKQDTLKYRLLAPTSDIASWGHEY VRHLALEIGEVYGKRITADEPTTDLIDLALILVPLFIKSNAEADAVDLMSELEIIEQT PKFVDENTYPRVCLYMVSMVNLLTYPDNETFLRTAHNIYMEYKQFTQAIVLAIRLHDV DLIKADFDAAEGPALKKQLAFLVARQRIVLHLPETSEDEQEMNECLSNLKLSEHYKSL GKQLNILDPKTTEDIYKSHLESSRVAGMTNLDSARHNLAAAFVNAFVNAGFGKDKMML VEGEKESWVWKTKADGMMSTVASMGTLLLWDIENGLDKIDRYTYSSEPEISAGAMLAI GIMNSGVRLDSDPALALLGDAEKLHHSNILIRTACIMGLGLSYAGSNKEDLLELLLPI INDSTQDMQIVAMAALSCGLIFVGSSHPEVTEAIVTALMDEDRKSQLTDKWTRFLALG LGLLFFGRQEEVDVILETLKAVDHPMAKPTAVLAEICAWAGTGAVLKIQEMLHICNEH QEETDDKKGDELLQAYAVIGIALVAMGEDIGQEMVLRQFGHLMHYGEANIRKAVPLAM GLISPSNPQMKVYDTLSRYSHDNDPEVAINAIFAMGMLGAGTNNARLAQLLRQLAGYY HRDQDALFMVRIAQGLLHMGKGTLSLNPFHTDRQVLSQVSTAGLLATIVALIEPKEFI TSNSHYLLYFLVTAMHPRFLVTLDERLRPLKVNVRVGQAVDVVGQAGRPKTITGWQTQ STPVLLGYGDRAELEDEEYISLSSTLEGLVILRKNPDWEDGR UV8b_01073 MARRAESKHHVDATSSSTTSSTSSSTSSSTSSGFSWPAATRVSG SQLQQQAVPLKSLAATASHASTSRSTAPPTLPSPLQPTRQSPPSVQPPASTAGPSSLL PIRSSPSSSPGHDSAPSCLDEAITAPNLGLGDHRADAAEMVPKKTPILCAVRGNRPNS SPYGSVTASQDASDASDASDASPPRSDSEETRTGTGTGTGTHRLRQVGSHARSHKSFS SRRSTTTSRQSLRRSEDLDEAVLVSGLEGPFGLGEAPISSQILQSNSEDEAYEAYEAY DDDDDDDDVGSHNGPLLEADCSTQAFLDPDENSPHEIVRASVPPTDNTALSINTPRMW CLSVIFSILGSSTNLFFSLRYPSVAITPVIALLLVHPLGHLWDLLFKRPSDPEHVFVD GVRQQTAARGGRHPGQRTKQRLSWRLWLAQGKWNEKEHTCVYVSSNVAFGFAFATDVI VEQTRFYSQPAPITYQLLLTVSTQILGYGFAGMARRFLVRPSGMIWPGTLMSAAMFST LHKQDNKPANGWTISRWNFFYIVWGGAFAFYFLPGLLMPALSYFSVITWFAPRNVVVA NLFGVTSGLGLFPMTFDWAQITYVGSPLLVPFWAAVNVIGGLAVVMWIVAPIFYYANV LYTSYMPVLSTAVFDNTGNVYNVSKILTPEFLFDREAYNSYSRVFLPVTYMLSYGMQF AGLAALLTHTLCWHGEDIWRTWKRSLEEARQHARPSYRMASSEVQPRPTSSNGGGLRS EAYRRSSASTSNVDNLLSREDIHCRLMSRYDDAPLTWYLLTFVSMTAIGMFLVEYYPV HLPWYGLLLALAIGAVFFIPNGIIMAVTNQHSSIYLICQLICGVVFPGRPIANMVFVT YGYISSAQGIKFASDLKLGHYMKIPPRIMFVVQVVATLVSSLTQIGVLNWMFANVKGI CTAEALNGFTCPIARVHFNGSILWGVVGPGEFFGPGAVYRALVWCFPLGALLPIPLWL YARNKRHSILRKVNLPVVFGAMSWIPPATGLNFSVWAVVCYLFNHVIKRRANAWWAKY TMTLSAALDSGLAFGIVTVFFGFLYPGWMKSFKWWGTEVYKQGCDWQACSYRLVPEGE TFGPKPNLATA UV8b_01074 MASPSASAKQRLRNLVRQRLSSIPADSVAAQSRKIFDTLKNFKP YLEARRISIYLSMPSGEIQTDAIVRHALTLGKQVFVPYLHNSPPGMPDTPPRVMDMVR LKDVRDYESLQLDRWGIPSIDPASVHARQRILGGPDEHQPPQACLDLILLPGVAFDFD ESGAVRRLGHGKGFYDFFMNRYLAESSSSRGANAAKPVLLYALALTEQLLSGEPGEQV PMAQHDRRLHGLVLGDGQVKESSGTMTPDSLYRAAS UV8b_01075 MMASNGSHEAFTPSGVLAAVMTMRTGEKETKIKAHEFLERFQKS KGSWGTLMGILQSDAESEAILFAAITLRGKITYDLNTQVPTSELPALRNQILLLLKHF AHGPKPIRVQLCVCLAILAIQMKDWTDVIASVVQALGGSPESYACILDFLRVLPEEVT EGRKITLSEEELATRTQALLGDNTEQVVQLLINYSQSSAAASRNPQLMECITSWLREV PVMSIINSALLDYIFRGVTSDECGVEAAECLCTILRETSDIDESQDAVAAMFPRVLGL KPQIAVAAQEENVDKLQALTKIFSTAAISWVVGIARQPVQFRPLVESILECAARDAER DVIEHTFVFWYELKQYLVLDCYIQGRLQLVDIYSKLVDILLKHLEYPRPESGNETDLF DGDREQEEKFREFRHRMGDTLKDCCDVMGVTECLTKVLQAIQLWTQKHAGQANGSNVP HWQELEAPLFAMRALGRMVDKEESIVLPQLMPLLVQIPSHEKLRFATIMVLGRYTEWT AAHAEYLEAQFNYIVESFKTDSKEILRAAALALKFFCTDCKHLLRGQVLQLQTFYDQI LDKLPDLSKEEITEGVANVVAVQPAEETYRLLKTYADPLVQRLMAKANLATNEEGKLA LADHIQLITIFVQNVMPYVGPGEENPAVKYWQEVFPILSTVLDNFLDFSPICERICRC WRNMVTSYRTAMAPLLPQMANKLASSFNSSREGCFLWVTSAILREFSEEREHLDQATT EHIYTFFEAQTTAFLRVMADLQPKDLPDVIDDFFRLLIDALLYYPQKLIPSQLLAPIL QASIYALTLEQRDPLSSTLHLLRDLLSYGGDNPASSEGLPEAQAAEIKGIIKDLLMTH GADLVKQTMAGMMITFPGDCFADGSGVILALFEIMPAETTEWVAHTIELLPQGTVSPA EAQRLVTKIKEKLSSGDIGGVRHVRTLLQDFTTNYRRRNVAPRDGLGQLEAARFHFSG UV8b_01076 MPPGAPARAARPPGPPSTTQPPQSAGSTATTVATAGASSSSGRK RKPPHGSEQLSKYAKVDGHGCSSSGGCCDDDHGEGPSTAAASTSSSSTKKRKSRHGSE QPSKYAKVGGHGSSSRGCCEDDHGEGPSTAAASTSSSSTKKRKSLYGSEQPSKHAKVG GHGCSSGGCCDDDHGEGPSNYHDDDEHNNFNNSNSNYNNDNGNTNTNNNNNNNTNNNN NNTNNNNNNTNNNNSTPGTIYHPRGKPRERVKLCNFCKYHPAGIKMNEQRPCDRTSLK DKYFLECQNCSDFRFLTGDTSHKCQTDRKQDAWQRYSTHHPAEYPVNFYCSNCESKGW QNTCDADPFLNLKCSSCVTGCQGGARASASASASASATTTAKGKGKGKGKGKARAGPG LKPPDDDGDDGYGDGEDCEFKDRRGIPCFVHGIEMGPKPNLRQGFPRWFRQACDICKN LNKKSIANGCSWLKNRLAWDVPCDRCRERSMACMRSGLLVLPPGAVVVVVLPDLWCSS HKLNEGWAECRGSSPYRKNCVACVEGKHHCRAMAQQIEYSCAMCFQMGIVCRDVTDPD KFYPLLDLSRVGIGNFCAFSKCRNCREAGRNCDRQRPCDSCVAHGDLDCCSLKDSFNC IKRLDTPPGPVYYLALGYGAEGVDDEKDGSQLEHWIGPLFALYAIDGKPPGRVRKNKA AAGGSSSGMGYVLGEVDDDGTGIIEGAGAEAEAAGADKAPAAAARPSKGQAKSAPAPQ YVPRPMLNKMTLSSAAEAMRTQLLPPGPPPLATDPVFGGRRIDQLSVDDLRQWIRLKW KDAYPPNQHPKWNFTVGGAKEALENARRAEETGFDVFLSNPRPRPRPRPRPKYSFKTR SKSKPKPNPNPTAEAVPEEEEEEEDEDEDEEQEQEGDGEEEEEEYEEKHQDRQPPQPA RPANRGIARQGQAQGKHVLRAQDSANNGFFVPNPRSGGYGQAYNNPVDGNGFNFFTDV GAGVGVGVGVGVGDFGQGPSRPFYAGNQPASASASASAILGPNANAIMPWIDNFDECV LQSGIHNVPAAQPLLRTPTSYQQPFYFNPGIPPPGLSPFPPTHQSYMPRGPRMPSSLP SSNLAPWPGMLVPPPMYSVNNPENVDPRLLNLAVPPPVRFPAPAPLPTPFAGNAPPAP LPGPFVSNAPMPFPMGIMPHEPAGGAPEGDVLGGAHIQPCPPPPFHAAFPPPHSGLHS TGSTNTGADDVIHQGLDDLVWPGLGGGR UV8b_01077 MASSTKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIE DSYRKQCVIDEEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFDEITT FQQQILRVKDKDYFPMVVVGNKCDLESEREVTRQEGEALARSFNCKFIETSAKSRINV DKAFYDIVREIRRYNREMQGYSTGSGGGSGVNGPPKPMDLEDGEQQAGCCSKCIIM UV8b_01078 MPTRQDKNALRTIQTAACLVIGDEVLGGKTVDTNSAYVAKWCFS LGISLKRVEVIEDDESDIIEAVRRMSDRYDFVVTSGGIGPTHDDITYQSVAKAFDLPL KLHQEGLEKMKRLSRPHTLTPDFDWETDSPALRAKLRMVRLPTDETRNYSDQFLFVSD SLWVPVSVVNGNVHILPGVPSLFRQLLDGLKPHVLPRLVDPGGKGTTRVLISTPLPES AVAAYLTDLAARVACKGVKVGSYPRWGKKTNTVSLVGRDQQFLEGLVAEVEENVQGRR VGREGEGEDD UV8b_01079 MASESRLYTLSDETRHQLRKFRLTTSRAKDPQAVIYMIDKNTHE IRQALDDYDEARVYKSLDQVAGDLPDHAPRFVLLSYPLTTSDGRLSVPYVLLYYLPIT CNAETRMLYAGAKELMRSTAEAGSVIDIESAEDLDDVPEKLRNK UV8b_01080 MAPSLEEPEPVAEVLANPMKQKPQLVAPEPEHCPGPESQQAGQA DSCAGCPNQAICASAPKGPDPDIPLISARLRDVKHKILVLSGKGGVGKSTFTSLLAHA FATNPDSNVGIMDTDICGPSIPKMMGVEQETIHVSGTGWSPVWVMDNLGVMSIQFMLP NRDDAVIWRGPKKNGLIKQFLKDVEWGDLDFLLVDTPPGTSDEHLSVNSFLKESGIDG AVLVTTPQEISLLDVRKEIDFCRKAGIRILGLAENMSGFVCPKCKGESQIFRPTTGGG RGLADEMGIPFLGAVPLDPRIRMACDYGESFFDSFPDSPACLAFQEVVKNMAKQLNLD SSSVLPDK UV8b_01081 MSDSTVLKLRESLCSESTPLPVRFRALFSLKHVATTGEPATAVE AIEAIAAGFSSPSALLKHELAYCLGQTKHDAAIKPLRDVLSDLHEDAMCRHEAAEALG ALGRAENLEILKYFRDREGEELVVTETCEIAIDRIEWENSEERKQEKLRHSDFASIDP APPLSESDKTVEELERTLMDTAQPLFMRYRAMFALRDLASPPDRPTAIPAVLALAKGL SDSSALFRHEIAFVFGQLSHPASIPALTAALSNIEEASMVRHEAAEALGSLGDEEGVE EILRRFLHDDEKVVRESVIVALDMAEYEQSGNAEYALIPETASASA UV8b_01082 MAEMASYKANGSKNGVKPAYSHVALNHVINRPFTLQECLPYSPQ TSTAPFLPDIIPDPSIDSGSPSLCVSDLFPTQDFDKVNQEATSPLNGSKNLKQTVDHI LHDLNPSKRTQYKFPTVPPGARTLLPSTHHRSVSETLSPVTKAVYERVGNFFKATKPN ISSPKLVNGDPTYASNSSEHAQPSKTSVQPMLSNGPLQPRIEVAIPSKSSFDRSSYNL VPEIDASDHQRIIQPYDQLVVDALQYSKSNPKTNTGQVTVNETSGFRIELSTGGIKSD EYLEYIVAPDAPENLSVKRNQSGILGETQDILGESLDQRQRGEAALDALDRLMRSVFA AVGNALAMEPGLDHIVMLTHDQEATMTAATQQKVHTAIQKVIDLKTFNAVPLENLIRV MKLGEASLKQADGLDIRIDDNWDEAAVDSWVQQLSEVETALKAARTCLRILSGCREDK QLYSESVINKCVNIFKVVTEDIVIPLVELRNSGSSSGLFKLFQKNKKAIASVFVCCQK LSALLAELITKIELSETVVTTLEFTASKLIFVENAYLEKDSAVGTQKFDGIRSVAMVM LCQIFVIKPEQRQGIIDDILTSLEKLPVGKLSSRQFKLADGGSIQPVSALIMRLVQAS SGRIRVASGNVNGTSGRSLDAVALGEDDEDGQTLQTTQAKTTILSEEQGAQQPALAIQ ELEAVAAPLNDTAMRNASYVINFIVKRAMGSTKSGDTPYRNLLDLFVDDFTTCLDLPD WPSAELLLRLLMVMMVQLFEAPKTAAPAKNMALELLGTMSAAVSRLRSHVKRTATSLE SGDATTEYAKYLSDLATHCLEQRSPMEHIVAWSGPYRGALEYLQGKSSDDPHLSSAIS FLILDWATRIHVTYDNLEESDGERDKELGRSAYRLRMMVEDRNWLSSEYAFKSVPPNL AKFAFSILLLRSPFCESFGKIVNILLGSMASDQATVRSKSLKSVNQVLETDPSILDSD STVIQLILDCASDSSVQVRDSALGLLGSCIGMRPGLEPNLTPRIIDRFQDAGVGVRKR AMKLARDIYLRNHGKSLRSAIANGLLRRVQDPDESVRDLARQMIEEVWFAPFYANENT ASFETSLTDHVSLIIQTVKAGTVTEVLDKVFQAILKPQNKFAENQGPFNVCLRLVGVM FGLLDNPETEDASAPCGRDALQVLTIFAKAEPKLFNLEQIKLLKSQLSSFTGANELVA FRAVTVIYKRVLPQLPTLHSEFLSEVRLQLLKGIGKISSRGALDDLIACSHTVCELLK DFAPLGNLVASSLLAIQRLIKAPLDPKRLHLVAAYSIIVGSVGKHCDLDKQVHIFRDK FPGWKGDSVPKLIVDILSPFASPQQPLEARKAAIEAIGLVCQSWPRNYVLAKVYTAFQ QVFQDKLPALEALILKSFKEFLVTEERRSEAAAEANVKEKKKELTVMGGTSFDDVASA TTQRFLQDITRIAMSSQDEHAFLAMEVLGSINRQGLTHPKEIGVTLITLETSANRKIA ELAFMEHRSLHEKHETVVEREYVKAVQSAYNYQRDVVKDSHGATLDPFQAKLHLLMEV LKISKMKNRQRFLEKLIGQVNFDLAKLSAKEDVPPHVEFSRFIAENLAFFEYQTVGEL QTTVNAIEKMVTGTGATVAQAIESEVFNVRMDVEEAEQARAVPEADDPAPSLADGSQL EAPACSVRAPLLSVEPERLRQLTTASIILLSLWEVRTFLRRLYGMGTSRHDSRAKSVA KDLNKTPVKVQGVHGTKVWEELVCHMNGLVNQQCMADKCKAFVELMNVDKEFKVADED DGMDMDGPSTPSEGDEEEDGGDRSRKRKGAGTPGGRKKRARSGSPVRKRGRPRKQSAD RSDDDGLDGGWI UV8b_01083 MAVNHFKNKRAAHNINRNSGDNWNLFRHVSWSNRRETWSGGQLE SQREEAGGNGDGHDDAARLTHVSTAPTTQRITTPTNPSNSDAVASSAKGSHEAEIADE KPTSHCSIDDAPLGGVRNRRADLDGPSVAADGPLGIPPVTTDDDDNNGKGKKNSKPEK GGMIRHVQPKEPFTVANQLQRTFLNSWINILMLAAPAGIAMHYAHVDGKAVFVVNFIA IIPLAAMLSFATEEVALRTGETLGGLINATFGNAVELIVAVIALKDNKVAIVQTSLIG SILSNLLLVMGFCFFFGGLRRQEQHFNHTVAQTAASLLALAVASVIVPSVFEVAAPNV GQDEIAKMSRGTAVILLVVYAAYLFFQLKTHQAVFNEESQKVPAKPWSTGGLGSGAVK QGLAMPSGLMGYGMPAQDENQRLSKMLMPRRRAEDDEDEEEDPQLHFFVALGTLLVST VIIAFCAESMVGSIDDITQAGGLKEEFVGLILLPIVGNAAEHATAVTVAIKDKMDLAI GVAVGSSMQVALFLIPLLVVIGWGMGNINMDLSFDMFQVAVLFVAVLLTNYLIGDGKS HWLEGWLLMCLYAIIGVCAFWYPDIQLDKANGKGS UV8b_01084 MSRFAGTTPLGPPKRPRWSHLHQSSPVSFDGRSTDSPSSPGSTS THSTIREDKRRKSERYCSVLVNDGYSKDEALLNLDLFAGHVRPGMLMALVPLKGDSKN AAGGYGSLHKQTHEHADTSRSVSGAFGDHDSDVGHTFIFVAKDMTKEMKNRYPDIEVH LARHIADAFGFKKRSHVLLRPVDKDHPAVEATHVEISFKDQYLSRADMWRLAVGELTQ RTVYKGQSIFSLGTIKAQVTAVYVNGQTMHSAFFARDTRPIFRSESAKYILFIQMARE MWDFDSETSGEIMFNKVVNGFLPALFKRWEMLKVKHLVSIVLFARVEYDTGISADLAY SSLLHGYYTGIQPSGVRRPYKDFYRVVVSEMSSGEWTRILHQLKKEFNFFRRDISLHH QSVAAFAQMASEDDDGGKDGVPSPVKAESSLALHGNVLEAINLAASQFAHDYIDRDLA RTGISIVVITPGPGVFEVDYGTLQRTTEALVGNGIGIDLICMGAMPLHSAPLFKYRNP QYSEDGRGGQGTALLSRSFHSRDSTPNQPTPVIGSYQSLSGSFSPTKAFNLAGRIETL ALMGASEQYCYALPQWLHVSFWTGASEESLSYAGIALTVSNRVEQEDASRFKVRCRMY DLQMRSVLDANEIETAPLQTDPNYPAQQVQANAMIKRRAERLGEVVYMPPKHPPEALF DPVYGFQKFVPDRFSRMADKSLWKNLREYDDAKAKLTRNRHQHQHQHQHQHQHQHHHH HHHHHPASKHKTEPDDSGRRQQTKDDAGPFGTSLPERRAAAHTQSSRKASLAAADVER PVLPTARQPGPEPPCGTGKKAPSIRPPKFMRQFSLGNRGFGIAAPKVAAAEVRVETVS AAAVLSSNSLRPPSNPRSLAEVRPSTPQTIRNRASSVAGPMQRSETSDPGARAMPATP SIPISRDAFSRPSTAASQFQAELPPIVPAGRGIRREKRHEDSDVHFSNALRAEDAQKV YTSKLRAGVVPELPSTLSPTRAINPWLTLLNPSHPESYRIDDTVLYSRWQHVFPRTSD MKVQKWKTLCCPAAVPLTTEYFPSKAQFDAEYQRHPYNIDQNMDDDVVDEPKSRKEFM KELISMRFSQGFQAIVGPLVARAFGQKVVKIADIFSRDQPLDDGTSVFMSVGNTIHQL SCVNGTEVEVNIYLRKPTDSTVESDSFHPTYRPAIRTNLDSEYETRSIDIMTPKPERS WNTIDSYLAGHYDEMMDSLRFWRARFVLIPLSPRHPSIPRIHTGDNAEELRIEGIKKL AQLWQRNRYAPPSERRFQASAKRRSLLDIVYKTEDASVVIAAELETLPILESMDATHR KGQLVTRKERFHKANLNLAALAEAIQQPVESGGAPVRNRRWHLRLHSACFIGSEMTTW LLDNFEDLESREEAEELGNMLMVPSDARDKEAAETKPADKERGLFMHVERRHNFRDGN YFYQIAPEYAKPRPGWFGGGGGGGGGGGGGGGGGRRDVSSPAPALSDGGGGGGSDSPR PSVVGASRPPPLAAAAGDGKSPASFGPASSSSQQAGVFTFKNRPRVALSKMMRYDVDP RKRSYRPERIDLHYDRLHNPDSCYHIRIGWMSATSKLVEDAVESWEREASQYGLRLVQ VPIREACRITETNPFRKPYPIRLALPPPAERPDAYYDPNSLGPQAGPCNNFYQTAILK SFDFVLDMEAASNFPADVDVRYSWGKPDFLYTQYIHRSGALLAQITDEGNFLVLANRL CNRRISLLRNQGVHPEQQQQQQQQPPPQPPLAGTGTGRSISQMASSYAASHGGGGGSV PGSAPECAAAGGPMTSPQVRPTFHQFSSPVARPADGGGGGGGKPGAATARSGPEAVQA ELEAFCRNEAALAAFYKEMLDKGMQRPHGTPASTATTQSLAGLDPVPEASIPSLGLPP GVLGDRHAAGGDAHSAAAMASIRMSSPMAFLRRGSVQYDGMGLGSKGK UV8b_01085 MLGRLLHLGSGGSGAPLAEPNANPTCRPILSLESVQEDIHTRNL LFPDAEALYQHRHDQVFPLSTNPPTPGTATANVFDYSEDIELDVKDVRIIVMQDALGP ANSSLLFDSHPLPEPAPNAERSPARQDPRRAAIASRKGSLTQVARPLVIQAESPQLRH GAFDRRASMHGRSQSYAETEAQKAAREYREELATFSSCIFGNSELMAYKGTSTKVHVV PSDSRISDSAASSMFSDGRSSIGRSSVRSSKLSQSYTSQAASPAPGGGHPLMGNTSRQ VDRRKVLITRLFPVTLSVDDTNPNTTSHNRLSEEEANGFPFPASNEEAVHKKKKPLPK QRRTPMYAVVLIIQIPPPMSKVSSTHPSKSLFRESSSYNDNDLFSSSYNSTKAAWGMS GSGMCGDSVDSVEAEDRIDALTRHWDIIMRTLTHLQSLVATRLHSMLKHADSASPGPY PASSTSNTISRTPSMTDRRGNELSRAKPPKGTTKLVSLLPNCLANDEDVASEVGSARG RIVMGLSAARVVTGQGRWGIWRDEAIWTSKWAASMDRTSFFHNLLTGFLSTHTDWLQA LCESSYRKRAALARRARNEEDLSLPARTIIVSDNKMAARRLVFLLSAFLPANQQVTTA RAHRASTSASAGGYSNSPPTFIIPVLREESLRRKINRRSGLRRTSHSRTTSQSARNST TIPPQFATVGFDGNHQRRASDAASIRTANLPMPGTDIVMRKSSAATMTTIMLETTTTP HFTSFQHQRDNNQRRPRPGSSGSVAADDLKRSLRRSDSSGQPGGVSRPPSSGLKWGSL IGGLWNPRRRDSVDSETYSQGSSDLRSPVKTSFGRTEKLSETTVRESPEERASGNVIA ATAVAEGRDVEAPRDSCSVVRGQGSFAQADRTPDPTGAFESPVKTSINAEDGVIDVDV PFPDYITSFESAISSPSSSGYLSTPGLSNGLETFEQTRFAIEGDLPLNTAGWLNRFHP DFALQALPPQENLVDMVKAALRAEPTPTPAGPQATELSERWVDVSSVMIADTTTNSIS RIAYRRLVRPKSHLDRPSTHVGSVGPGGTASLAPSVLPYETQLEEEWIEEPIFNCDES LREAVDRVTHLHSGLSKGSSTNSSKAPSARHGSIESDSTMPDTLSETTQLAKPALDTP RAQCKTVILSALEDLFREAVDNRDSDHMGRGSDRQGRNRQSILRDAVRNWVASLDAVE UV8b_01086 MPQRAASPAASELEYDILDSLYPGPGDNDSQPQPAADGDFDFDD ILNPHQRAEDGGDEAFIAFQQAASFRKASNLKGRTVKKGGGFQAMGLNANLLKAIARK GFSVPTPIQRKTIPLVLERKDVVGMARTGSGKTAAFVIPMIERLRAHSAKFGSRALIL SPSRELAIQTLKVVKEFARGTDLKAVLLVGGDSLEEQFGSMAANPDIVIATPGRFLHL KVEMSLDLSSVKYVVFDEADRLFEMGFAAQLTEILHHLPSSRQTLLFSATLPASLVEF ARAGLQDPSLVRLDAETKVSPDLESAFFSVKGAEKEGSLLHILHDVVKIPLGAPEGGK EAADDAGSKKRKRGAESGAGQPTRHATIIFTATKHHVEYLANVLESAGFAVSHVYGSL DQTARRNQVDNFRKGRTNIIVVTDVAARGIDIPILANVINYDFPSQPKIFVHRVGRTA RAGKRGWSYSLVRDTDAPYLLDLQLFLGRRLVLGQEGGEPSFAADVVVGSLRRDMVET NVEWMNKLLHDNVDISALRGVAGKAEKLYLKTRNSASSQSAKRSREIVSSTGWSQLHR MFGQDVDGMEKARADMLAKISGFRPSETIFEVSHTDKSNSEAAEVMKQLRKRITPKNR QPRKLESEDRGADDDDDDDDDDDDVPAPVSVPSRRPESDVDSDDEMAEAGETNQAGCD SDSDVEVTVTNGSAGKKGRAEWRDPDVFMSYTPRTTNAAEERGYGVHSGGFVEAARHV TMDLANDETARSFGQPARSRMRWDAKSKKYVSRDNDDDGSRGAGSKTIVGESGVRIAA SFQSGRYDRWRRAQRAGGLPRVGEAEKPGAGAARGAMPGGARYKHKQEKAPKEADRYR DDFEVRKKRVAEARDKRVGRFRDGMGSSKELKGAADIRKAREEKARRRAKNARPTRKK K UV8b_01087 MAADEALEDKDALDALELEAKEFDKDAEIDRILRAFRLDAYAVL DLQPGVPESDIKVTYRKKSLLIHPDKTKNPQAPDAFDRLKKAQTELMDEKHRGRLDEA IADARMLLIRENKWTVDSPELKTDDFTKKWREKTKEVLIDNEHRRRRQMKAQMQEEGR EQRKQEAELDERKRKRQHEQDWEATRDERISSWRTFQKGKSADSDKKKKKKLKPIG UV8b_01088 MKTSLFTKAAAPVRSLAHSKLGCHRREIQQCVNKRAQLHTAAIR MSPRATCSRPRHSDMPAGKRAFHSTGSTAQKDPYQALGVSKSASASEIKKAYYGLAKK FHPDTNKDANAKEKFAEIQSAYEILSDPKKKEQYDQFGAAGFDPSGAPGGDPFGGAGN PFSGFGAQGGFGGGFNFEDIFSAFTGQQGPFGGRRGARSNPFQQEILVGDNIEVQASI SFMEAAKGTSKTISITPLTTCGSCAGSGLKAGTQRSPCKSCNGTGTRLHFMQGGFQMA STCGTCDGTGTTIPKGSECRTCSGNGVVRERKTITIDIPAGIEDGMRLRIDGAGDAPA TGRSSDPHARTQQGDLYVFVRVAKDAKFSREGSNILYTANIPLTTALLGGQVSIPTLD GSVKVKVATGTNTGDKMTLTGMGMKRLGARRGGSGDLRVEFKVNMPKYLSANQRTIVE MLADEMGDKTARRVMNVSASQNTARSDPGTHKNEGFLKSMWHTLTNHPAHEKEEGPKG SPTSEGKETKKEKPNEEPKKSDSS UV8b_01089 MAPPKSSRTAGDDPKGDSTTAPKEKAGGSNPTSAKMRRVASQPN ASQLREAAAAPTSGPAQHTTEPQLPTINWSTFHRDDLHSYRREHQLPTPTAFASTFHH TVMSRPYSIGRYSPTMIRKQEARRQSKESLEKTVRKHFNGLGIHENDVIVDFLYKIRS AKLPRVGAPGRQSQQRLEAVNRVEDLKNTPLLDLGLILSTISTRPSSAPKGRQAVDED VLSPSHQPESRREQTLKSSIMQGTKRGMVVAELLIHASHSVELQVNDGKRFTLMN UV8b_01090 MGAKNNHDMDSDPVELVAAWLTKEDGGRWRAGEWMSALLPHLAV SAADSSSPARVIFAFTCQEAHCNRLGNLHGGAVATLFDMCTTMPLVLVSKPGFWQLLG VTRNLNVTYLRPVPVGEEVIIETEAMQVGKRLATLKGVMRRKRDGEIVSICEHLKANI DAEPKI UV8b_01091 MEFVTALRATFDDNKPSLFELLSEQQLNSLLPPTLRYLLTVATQ RHPRYLLRMLNSFDELYALSMLVVERHYLRTRGGSFTENFYGLKRDKALRGEIPRASI AAPHIVRETLKLSSSDVWKNLAVLVGVPYLKRKLDESYEINAPRALLGSAYTRMPQNP TLKDRFGHYYRWFLTHMYPSVNAAYCFAILAFNLGYLFDRIKYHHPLMWLIGTRLRRM TGADLQAIEALSKMKPKPKSGNRPGWQSFFSPSAIASNALSSLSLLLPMSIFALKFLE WWYQSDFAKQLSRKATENIQLPPPVVSGVEKKSRSMEEKGLGNEDSDEGTPGPETDVD ENVTAEAAPVAISSMLPIYVVSCPKDTSLCPICRDDIVTPTACQTGIVYCYTCIHRWV EGTHAKQERFMEKRGRTWESGMGRCAVTGKRVLGGTEGLRRIMI UV8b_01092 MAYALATSYSGESLLSGFNWFSGADPSHGSVSYQSRSDAQAMGL YSVDQNTGVVRLGVDHTNTYALNQGRPSIRLESKQAYNHGLFVADFLHMPPSQCGLWP AFWAYGPNWPYGGEVDIVEGANDQYHNVLSAHTAQGCTVSSTMSSMFSGSQRTTNCFV GGDNVGCGYFSPPGDTAAYGDGFNAANGGVYAMEWDSDFIKIWHFTRGQIPQDITAKQ PDPDGWGLPTAIFGGPSCSVDNFFKDMSLVININFCGDWGNAIWGKTDGCGKFASTCS EYVAKNPQAIIASIIASIIVGIVVGTIVGIVGIIRIIVGIIVRIIPRVNTGVNIGVNI GTDILHYQST UV8b_01093 MPASMDPLQSLTHIDTVISLLFLAKKADDIDDTGDIDDTGDIDD TGDIDDTGDIDDIGDIDDIDDIDDIDDIDDIDDIDDIDETGDIDETGDIDDIDDVNDE DDVDDVDGRENVADADDPAKT UV8b_01094 MVVLPGFESRSLSLSLNRSRSRSRSRSRSRSLSLSLSLSLSLNL NLNLNLSRSRSRSWSLSRSLNLNLNLNLNLNLNLNLNLNLNLNLNLNLNLNLNRSRSR SRSQSQSQSLSLSLNLNLNLNLNLNLNLNQRQQK UV8b_01095 MKDLLVWLGLRRPNQWENLTMLDHLILSPLNYLASSFYRLVLHL RGTPFRPPRHKAAVRVVCISDTHDQTVDVAPGDILIHAGDLTNNGTAADIQKQLDWLK SLPHAVKIVVAGNHDSYFDIRSRCDDDRRRAARLDLDGIVYLQGESSVHTIKEREISI FGAPDIPECGPKSFAFQYSPAQSPWLSKVPPQTDILVTHGPPKHHLDLDLGCPQLLRE VWRVKPRLHVFGHCHCAYGKESVYWDDMQIAYERLLSRPPRGFFRDFIPNQSWVDMSA VVFHGIHSVLWKWLMGGPGSGQGSLMVNAGQMYKNTGRAVSRSIVVDI UV8b_01096 MSGLRQLYGKKSNGTASDNLGVDYVIHYKVPANDLVGAEAGFLQ LIQALTHVGLATEVRRGDAGSLLIFVKIASKNLLAQQVYRGRLQDWLQGVRTSGPNSD INRTLEDEPVTEAERLRLVYQLIVRPENEGGAGINQASPKWRHVADIFPLHDQAFNQR WIQKWTKKSLLDEADLGHVRDKFGESVAFYFAFLRSYFISLVFPAAFGFAAWMLLGQF SSLYALACGLWSVVFLEYWKKKEVDLAVQWGVRGVSSIQLPRPEFKWDYEAEDMVTGE PVKVYPYLKRLQTQLLQIPFAIACVVVLGGLVVIANSLEIFINQVYDGPGKQYLGFVP TMILVIFTPTFSAVLMKAANALTEKENYNTLDAHKAALIQKQFVLNFMTSYMALFFTG FVYIPFGSILFPFLDFWRRTAQTLTFSEKPLPTQQFRVNPERISSQMFYFTVTAQVVN FATEVIVPYVKHKAFAKAKELQSRDAHAQDHPEEADFLKRVRDECELEVYDVTSDYRE MVMQFGYLTLFSVAWPLAGCCFLINNWVELRSDGLKMALSCKRPIPWRSDSIGPWLDA IGFLSWLGSITSASIVFLCRPGTTARMTAWGGLVSILLAEHFYLLAQQVVRFVMNKVE SPGLQQERKERFMTKKKLLAENLGQEATAKAAVPGVVAGEKITKEALEEQARQASMQG GHGSCEELFWQRQRGMDETIAVGRKMMGQQAGF UV8b_01097 MTTLHQAGRPPPEDDLPPGTVLLVREDDDAAKDRRPSIVSSPVP SSDPNDPLNWSRARKAVNYLLVLAFSCLVFTALTVQIIFWQPMVDDLNVTFDDLLRAQ SANFVGLAVGCVIVLAKVVLVRKMTTVPELHVTNLLQGLGAAVTEAIVQITVGDLFFV HHRGGMNACNITMVMIGCFIAPIAAGVQATRQSWRWSYYSLGITNAILLLFFVFFFEE TKYVRLNEAPRESNTAPVVERQGRPMFAPQKPTSTESDDPSASQVMATTEKEKLAQLA PASGYVTSHTHTIDLSVPLKPLKERLALHTYSSESLLPCYYRPFIVLFSFPVVLCCAI QLGCGIMWYFIMLSVSSIVFSAPPYSFTPEMIGLLNLGP UV8b_01098 MPLYILHVPAVALCGGLVMFGVTSDRGLHWIWPSVGGGLLGFGL GSITDACLTWVMDSYVDITGEAFTGIVLIRNTFSIGITFAVTPWMQTSGLTSMFITCS LLSLAVSLTMLPMVYYGKRFRRATARRYRAIAASPKSI UV8b_01099 MMIDDAIRGAAGSENNIYGRTLNPRNTNVPAGGSSGGEGPLIAF RGSILGVGTDVARSVRIPALCNGIYGFKPNQWANSFRWPVIRRHGGCPSCPTISAGPM ANKLDDISPLFMSTVLGAGAGPRRYDATAHAMPWREEGGRQRVAPSAASTPGHEIVRL TNDENRRLSYGNRLAFQYFVYGPRKDSISPGWEPRHQVSVAEASSPMFTGPLSVSQGL DLYDETKQLEACSGQVQGRVASSLG UV8b_01100 MMDIVDELVIQPFREVVEKGKQAVANANANANANARDCRQDMIK EAERLVKVGERGLSRIETSCRKLHNEYGNAFIIALKENDEITECRKQLTDLLWDFEDY IDPDTFDAVKFQELQALNREAAPKVYNLLITMKLEASSLLYSQLSPPSSPHPSTLSPI QPTPQHSFPLIPSPQASMAAFHTDAPSVVEHPEVKDATTELLRLISSSQLSEMESHVP QVTLSAGEQQDMIASPSEQPIPAPPRPPSADAWDPHASHYLDDGKWDDQSLVDQRSTI FRSESPVDPALSPVCSISPQGQLRGSEQSFASVVGMSDNDTEDYSDYRHSGSSTQSSF STATSIATSRSKLSTLSPTIPEEGILSMSHGPRYNIQPLQLRPFLPPLGRRVPSGSVT RRVEDMGRQYEALRKPPSAPLPSPPPSRHYGGVKNSPTLSPRSGTSIPRGSPGLDAAS KLPKAEMESGLIPVEPEAVSAEAQVILSKKDCTIGPSSTFFKYKGFCEGAKEVVRGEI GVKKTKRPGFSTATTVAKCTGCLFELDFSQIEADVNKEDKGNFYKSGINYRLRFLQKS HLAARRVDDVLYACVFCVRAGRTVDESDATVFTNSKALFHHLSHHPRPLPEVPGIAVL DGGSIPAHLRNDYDIHFLEPPAAHAAQLNVSQIVGKATGVAKDQSRKLYGQRLLFDRS PALELCHGAKLTGIDWPEKHKGEWIFAWHDGVYASAPADIIKLDPPAPEDIKMGGSSI VRAKARWKFQQKDKEKDKSLWLKFDKNEAITNISYPYPEFWCWSGTNAKGKWGIFPKA FLEPCTIQELKTEGSDRASTLSSEKNKPSSVLSKFSSSKKRVPGRPPSIAESTSSRET LASQYSSSFSRGNFI UV8b_01101 MMMDGKFWRYIATAGGIFLAANGIHVEAASAQHYTDSAVAAINA LNQKWYNVQTGIWDDAWWNSANSLTTLADFASLRLAEANRLNLGGYIRNTFIQAQKTN VQTIKDVTKNGMVSSRYCLDHKGCMSKRDFIEKRGFAHFINEYYDDEGWWALALIRSF DVTGDYQYLEAAKDVFNDMQTGRGTNCGGGIYWNKERKYVNAISNELYLSVAAFLARR APQNETYLRTAIDQWKWFEKSGLINSKDLINDGLDSKCNNNGLQTWSYNQGVVLGGLA ELFRLTGEGAYLEKAVRIAKAAIKALSNPQGILEETDKCELRGGHCGKDGQQFKGIFV RNLRYLNQVAPHREFEQFILRNADSILAKDRNDRNQLGVAWTGPYVDATGPTQSSALD VLVAAIEFSSRR UV8b_01102 MDAPEADLDIKLQKISGDLIADLDRSLVACFRKPGGNGGAHGRS FVKARETFDSTTAVLDLFQELPQLLDPQLPKWIPYLAHLYLEYSQTRRRAKKSKSSNS SGLLVPADYAICKILYAFCKVRGEKVIVRFLNAEARYLELLLSAMEEAEGKSKQDAPS AWEWEQRYVVLLWLSHLLLAPFDLSTISTLETEESDAAVIKGFEWPSNLPSITKRIVP LALKYLSSPGKERDAAKALLVRVAMRRDMQQSGVLDALVRWSLGSLRHGKDEPPLQAV YFYLGVLSFLAGVLGSAAETSDMNQYHAPIFYCIHDLTLGNNDLSRSIIKLAIVRKVI LKIIRCVAVSLLRQSQQSMANTDMVETAVGYLLDSVSDNDTPVRLSASKALSVITLKL DPGMAAQVVEAVLESLNKNVLWTRPSDSGKETRPVRNLSSVNNLEWHGLILTLAHLLY RRSPPAKQLSDIIHALLLGLSFEQRSMSGASVGSNIRDAACFGIWALARRYTTQELLD VSTTSVFAATTHPASSTILQVLGTELVVTASLDPSGNIRRGASAALQELIGRHPDAVE RGIEVVQVVDYHAVARRSRAVEEVAVKAAELSIQYGTALIEGILGWRGIGEVDAQSRR VAAQALGALVAERAAAEGVDVLSSLTHSVDLVMKRITLLAKRQVEERHGLLLCLASVV DRIGASIQHSREPSEAEPLLIRKLVAFVSDALEDCRVTEYRKPELVAEGVSRLVVSSM PILQLAVFDQRAELTPLQTGQHSSSPAQPKSFLTATSQRRVSPSNLSRYNVDGLLTSL REVIPSWLSRNEPETVQLSSTAAIALAVFSTSQDRETMLQGWATTVGAKPTSRALTTG KGYFHALAMAQPVAAEQDHGADERDVASQALLSRWGKDDNVDTRVTILQSLIRSSLLQ AHTDRFLSLLVEGLNDYTTNARGDVGSHVRVQALRAVRSLFASPTRPERLQSAIKKLI PSILRLSAEKLDRVRLEAQAVVGAMMKAGDAERFRTLTLSSTEYFEALLGLVASGSSS RTVLGFQEGDEDEWMGELMAGFVTSADTGNDDLVVASRTALTRFCESSREHLELVCAS LVQNLRTRRADDRVAVPTLEIIAFLFHVGLLQASESTDLADLCECTRRAGYKTGSVRK LVACVKVYGAIATTTRVEQARGAEAGRAGRQQARKRLGALLSHPWPRVRSAVVDEMWG LSGFGEEGDAQLTSVDWSAAGKEQIRATVYALGME UV8b_01103 MSVYSDPPELRPFRLDKPTLLVCWWATSFCTLMILLRVSGRFVR TERLFVEDKIAALAVIPLNLRMVCVHYVLSYGTNNADFAAAELSSEQLRQKAIASGLV LLSRFFYAATLWTLKFCILEFLKRITDLTWERSHHVALVAIRWALVLTFVGVIVSDLT ECHPFSHYWQVLPDPGGSCRQAYVQLITMACCNILTDLLLVVFPIPLILKSNMAASRK LQLVLLFSLSLGVVAITVFRLPRIIHEHGRQQYRSLLASVELLFATAAANALVLGSFV RDRGVKKQKFRRNSGAADSFDRTSTNPRRPALHRHWGSDEDLFRDVGLGVDPELREQL DRADVERIGPAPRVMMAQYLAPDVDWQGANRRSQTERSDDSLFPDDALLKPALHGRRR AMSFLDVGGLLDDSVKGSSSGSCRRGSYTSSAPDPPTSPGTAPPPPPPAPASASKSGI HRGSTALLQDLGGLLGPLNARTSKARSSDVDTELQTIPQSREVQAVTCHSKPSLELMD PAGLLK UV8b_01104 MDDSPNDARSKEVVRLWRAWRTVHEMIADREYELAEDEVHISLD RFRDEFCNPDGSVNRSKLQFSARPSDAMLRKNTPPATAANPDPVPDCGPVWVEFLADK QFGVGQIRQFAKYTISNNYKTGIMVTHVPLSPAARKSLASVENLAKIECFLEDDLLVN ITHHELVPRHVLLSRDEKTALLKRYRLKETQLPRILQKDPVARYLGLKRGQVVKIIRV SETAGRYASYRLCV UV8b_01105 MAETAPPAKAPAADSQAARPTRPDEKAFNEALAKAEKDHKAAMN RLNAVKAKIDIALPNKNKDQPGPTQKRRQELIAQANEIRQKQAGGKNARTAKLDQIKR LDEQLRSRIAEQKTAKAKVSFKSADDVDSQIATLEKQINSGTMKLVDERKALSDISNL RKVRKNFGQFDDSQKQIEELRAKIKGIKDSLDDPEQKALSEHYNKIQAELDDIKAEQD AAYKGLSALRDERSQLQAEQQEKFTAVRKLRDDYYTQRKAFQAYEREAREKAKARREA EQKQYLQDKKKAEAEKKLADASDPAYLEEIRRADSLLRFLDPSHKVDKGPLTADTGLG AQPQRTVDESGLKGTKLVSKKDRDDEYSPAVKKGKKGKKGNAAAGNAASSKFFSCPPA VVEDCAFISVDPPMGSGDVPSVIEKVKAKLDHWKTDQAAQTQRNIEKAKKEIEKLERE EANGANDDKADKADKADKADKADKADKADKADKADKADTVADVTDGVKDASLEDKKDS UV8b_01106 MAIRQAEEHMMRSVYNDIRAWVRGHAQDYVLEYFRLLTERRKNA HSTHLDQITAHAFYHYNAPPHPNQIADAQATLKRGIDEDWQASVQRYPEVLEYFFSLV ELTLPGDSEPAVKDPPLSALNGQRKATRRSAEEGGGSGSGSEGRRSHAALGHVPFPTP SFSSRDPGLDRRASMPPARRPATARMPQQPATYYGGYA UV8b_01107 MPPLRPGCRRPLPPPLPLPLPTTRLAQPPRCRYSSSSSPSWPSR NDLPESRLNPRPDDYAAPSFADRAELSLFAGRGGNGCVSFLREAFLPDGPPNGGDGGP GGSIYIQAAHGETSLHKLARKRVIRAGRGSHGQGSAKGGARGQDVVITVPVGTVLREL HRHEPAAQEALAVRAYRALRKQQTPPPEEPGNRDPAEAAPPRQQQQQQQQQQQATDRK GRPKPAPGEAAEQADAADLELQDPSRQKWLLYPGMSRSDMRAASFPKLPRRQPLLQQP PAPVYLDLSRPTPRPILLAAGGLGGLGNPHFTSRQHPKPVFATKGDDAVSIKVSLELR LLADVGLVGPPNAGKSTLLRSLTNSRARIGSWAFTTLQPNIGTVVLDKYSGRPVAAPP GAHPGSLQPTRDGRPGPRTRFTVADIPGLIQGAHLDRGLGIAFLRHVERAGVLAFVVD LAAGNAADALDALWREVGLYAQMRHEEDCLRQVESHIDWDPASDSPPTLGAVDLANAQ RGGPTTAFAGPGPPSGLHIAAKPWFVVATKADLPQTRQNFLLLKAYLDEITSGQAKHP SGVEGAWTTNCAAIPVSAIHGQGVDRIVHWTLGLLDG UV8b_01108 MGKRNFRGKGRRGGGGGGGGRGNGQSANGWRDYAPFDKNNAKLE EYYNSLLQLPEEEKTQFWEALRRELPNSFRFTGSRGHALSVKRLLQTRYIPQIASIEH HDGRPVEAPKPVAWYPDELAWWMTTPKNVVRKFPPFSAFQKFLVSETTVGNISRQEVV SMIPPCLMDLRPGMAVLDMCAAPGSKSAQLLEMIHRGEEARVRKVLRTFAKEDGLDLG QETQDEVDADLEADPLDNGRATGLLIANDSDYKRGHMLVHQLKRLSSPNLIVTNHDAT QFPSLKLPSADPGAKPTYLKFDRILADVPCSGDGTLRKNANLWKDWQPGNALGLHATQ IRILVRALQLLRVGGRVVYSTCSMNPVENESVVASAIERCGGGGNVEILDCSDQLPNL VRRPGMRKWRIMDKSCRTWETWEEVEKFAREENDGVVPGRVSQTMFPKLEGTECHDLP LERCMRVYPHLQDTGGFFITVLEKKTDFKARNENEPKNAAAAASAAAGDSNGKPEAAA NNCDQETTTEAPAAAAASETTESKADGDASANGNKRTLQDQGSEQQAAKKARVDDAAD SSALTPAPLVLPAEPPSKPKKSGPPEEPFKYLDPSHPVIESIKQFYSLSARFPDDRYM VRNELGEPAKAIYYTSALIRDILTENEGRGVRFVHGGVRMYMKQDAPSAEVCRWRIQS EGMPIVQGYVGEPRVIRLRKKETLRKLLIEMFPRIADDGWRDFDEIGERVRDMGMGCC VLRVEPEEGDADFTERMALPLWKSIHSLNLMLPKEDRAAMLLRIFNDTTPLINNTLNK QQDQKTAEPPARVDDADGDQAVEDEHVEDLPSPEDA UV8b_01109 MPHSSSDGPLAKRQKVSAVVESAPSTAANPSRIFAPFRTVGLVS STSVPFTSIPLGTKSFQLTTSVGRALQTYDVRRGLNLVFVTRPETPANITATFAWKQK LFAAWGDGSNGEPQGFWVFQRGKKVDQLELPAHLAEPITQVFVFGNWIVACAKTRVEV FKTATLEHYTTLQTMAAKNGGNEITGGVTSMPTFLNKVFIGRKDGWVEIWNVSTGKLV YTILPPTPDCGSVTCLEPSTALSLMAIAYSSGTLIITNVLTDKPVIQLEAGSTEAAVG SISFRTDGKGAGHDGSKDGVMATASQATGDITFWDLNKGGRVMGVLRSAHNPPSRDGK SARGGINRVEFLAGQPVIVSSGLDNSLKTWIFDTTPFSPIPRILHSRSGHAGPVNCLR FLPSDFDGSESGNKWLLSGGRDRSLWGWSLRRDGQSMELSQGQLRQKAKKIGILASNA LAHGPTTLLEDLKAAEITSIAMSLNRDGGIGAIPGKLPVWQKGHGDSKKNVDAEISGM TGWESVVTAHKGDRYARTWFWGRKRAGRWAFPTGDAANVSSVAISPCGTFAVVGSEGG SIDMFNLQSGTHRQRFPSRLTPSQARQVRLQQLRQADDVAQLEASGGGRKFLPGTGRH TQAVTGLVVDAMNKAIVSCSLDGKIKFWDFLTGTLVEQLDWAPMTYPTSCRYHAANNL LAFSCNDLSIRVVDMETKRTIREFWGPQNTINDFCFSHDGRWVIAASNDRVVRVWDLP TSHLIDAIRLEKPCTALDMSVTGEYLAATLEEEPGVTLWTNKSLFKHVPTRQISEKEI GQVSAPTVSGEGNEGLLEGAFEDDKEGADHDDTTIAPSADQLSSELMTLSLVPKSRWQ TLLHLDLIKERNKPREAPKAPEKAPFFLPSTTGAKVPGQETVAHAKVDEGNSRITKLD KARFEEQFSTKLRVGAEGNDYGEFVEHLKGLSPSNADLELRSLSIGHGDEGSNELLHF IRALTAQLKARKDYELTQAWMNVFLRLHFDVVMESEALLGALKQWKSQQEKECNRLDD LVGYCSGVVSFLRSPRT UV8b_01110 MDSPDQFAPTDPSISSSSTALNPHEVQADSSSTGAERYRLLHQP SAATSQSRSSSQSHSRKISSSSSSVSISGTNKKNRYADSSPAGSYSDRSTLALASQAA PSPVKGSSYSRHSRTQSAASDAGSEVLVFEPTSTAQPFLSDIQTRRLSSTSAYSLASA RAIFNSSSSTHGSESGAPPRSVSGLMSSSKAGGIGTPPEPGLSNVTVTTSSSNGAMAN GQHNLTTRDPHAQPLDLMRRNQRAESNMRTQPGRSRSRANRRFSGSTSTSTHSQGSDR GPHRDREEAKPAPLGTIGICALDIKARSKPSRNILNRLIANRDFDVVVFGDKVILDEE VENWPICDYLVSFYSDGFPLEKAIAYVKARKPFCVNDVPMQKVLWDRRVCLRLLDKIQ VRTPKRLEVSRDGGPQVLTPEMVKHIQEISGIALEPVDSATAKRPETVQLLDDGDTLS VDGALLKKPFVEKPTSGEDHNIIIYFAKSAGGGARKLFRKIGNKSSDYVADLTVPRAI TDPHGSYVYESFMQVDNAEDVKAYTVGPNYCHAETRKSPVVDGIVRRNTHGKELRYVT SLSREEREMASRISTTFGQRVCGFDLLRASGKSCVIDVNGWSFVKDNDDYYDHCASIL RDLFVKEKLRRGAATPPLPSPEATEFDPMTRAMLQSQDREHQSVSVPSAASASDSGAN GQSSADQQQQQVGDVKSQSRNAGGSASGPESTLNSSHASTSASPLLQPPSELALPGTS TAASSLQSGTASTHAIQAPTSEEVTTAPAPAPPPPKNSWKLKGMVCVIRHADRTPKQK YKFTFHTDPFIALLKGHQEEVLLIGEAALASVIQAVDLAYDAGIEDRGKLKTLRNVLV KKGSWAGTKVQIKPMFRNKKSESPPTATERPAAKEYAAMSEKAAPSGACDGSACAGPF TAKRHDSLSGVTMSKFTAAEESLVLDKLQLIVKWGGEPTHSARYQAQELGENMRNDLM LLNRDIFDEVHVFSSSERRVSASAQIWTCSFLGRNDIPEDFITTRKDLLDDSNAAKDE MDKVKKKLKGLLRKGNERPAQFAWPENMPEPSEVQTRVVQLMNFHRRVMQHNYGKLYS GAASSLGAISNPSSERLSMDGSATSVSSLSHANAVNSIQTRWCSGEDAELFRERWEKL FAEFCDGDKVDPSKISELYDTMKFDALHNRQFLEWVFTPPKSMLEDEYAVKEGKDGKT RDSDDIRTSEDAKGERTTHHGTSEGSDKTDPSNRSVKNLFRRRSVLNSLRHMSDEAPP EQYFRLYKGTNQAAAKNDPRCEPLQELYRLAKVMFDFICPQEYGISDSEKLEIGLLTS LPLLKEIVQDLEEMQASDDAKAFFYFTKESHIYTLLNSIIEGGIETKIKRSTIPELDY LSQICFELYESETKPAAEGSSGDEPTFAYSIRITISPGCHVFDPLHVQLDSRHCIGCA PRRSLTPHIDWLQVIKTLRAKFNQVKLPKTFLAVNLSEAFTFEEQERMANESDALEMK ALPPKDLLSSSISLTKVEMASMSVNERRSGKTSTRRHAS UV8b_01111 MLSHASPFSTVPTSHFFLFLQILVSSWWRCCTAFLVKPSITRGP SLAQISYLRFPSRGLSPFLGSTYMTARFAVSYHLAEFPY UV8b_01112 MKVTAIYVYPIKALRPISLRKAQLTPQGVQHDRRFMICRIDDAE PSKLTKVQIDKNHDCGLFKQEIKGDSIHVSYMTPKEPVVPAHPYQRAVLQVPLDPATS DLEPADVDLHLSMVTAFRMGGYYDAWFSACFGFNATLVYIGSGRRPVLGTYAPPPDGA APQRGWLSTVAGYVAGGVPQASREKPWINFSDLAPFLVASNKSLDNVQARLTSSSVDM TAFRPNIVVDGESEFDEDFWAELSTHGEPFLTLTKMCGRCNSLNVDYSTGKVADGERG TLLKKLMSDRRVDKGAQFAPVFGKYAFLSEGRANMVLSVGDEVVVTKRAEDRPVFDWP RRTRGAQPQSYQYS UV8b_01113 MMQSRRPYYATQKCLLMPALSVIPFLPRFPDRMAGTRRRLSPAA AVDCKQPTQRDVGCWGTFSPRLLMKRPFLAPQRGWLSLRVMAPSCGYPIFPALEAQVP PTPILPSLTWPESHHGLADLMEADDGSMVTFIARPTYQICPSLMGLLILASFSPVSRT LQIKRHYIRAKSESRTLCAFFVDVRHPGDCKMVSKRHSQC UV8b_01114 MERGGVRELDLRKCTPGSVAQRVGCVLPGLRSSAAFFTCLRANL VLSPSALSNFNTPYLEPFSPLPPRSKPSPCPRVLVANEPLVSFHDIPTLSLDALESQD AKSEGLRLIADSVSEMRPRAAKAVVFHPLCLAAMAASWLALHRLVYASDPEKDAARAL MLASGITMLYVAAVRFVSCGYVKLADRIGLDWLRAEYGGERDVILGARVGDSLVGALV LRLESKGPAAASPKRKSRSRSRCRSRSVSLKGGKGIIRAWTVAPKHRGQGVGRDLLNE AVRLTRDRCGKDAQVGFAQQHAHSVMIMPSMFNKSFRRDEARAAKALDVAVAEWDVTR KKKR UV8b_01115 MPPLQVIIRGEKRRPRTTMPPTVASRGGVRSNWPSFTSSKTVPK TGAKVGSQAGPHGGKQLGVGGKTHGIARSRHRKILRDTIHGITKPAIRRLARRGGVKR ISATIYEEARTALKERLEAVLRLCVTYVEYRGAQTVTVDDVVHALKRFGRPIYGFEYM NATVKLS UV8b_01116 MGKVHGSLARAGKVKSQTPKVEPQEKAKLPKGRALKRLKYTRRF VNVTLTGGKRKMNPNPGS UV8b_01117 MADLEMDVDQSAPSARNESEMQTHAKATAVRSIEGWIIMVTNVH EEADEEAIQDKFGDYGEIKNLHLNLDRRSGYVKGYALIEYATLEEARAAIDGAHDTKL LDQTVLVDFAFVRPPPGKGGRGGRAGAGGRRQRSRSRSRSPAARDEDE UV8b_01118 MYNRESKIMRKLLGKAAPDSATGDLASITGTTTPIPTSYRPSKS QDAAYSAGVPISCFDVSPDRRAVILGGPHILQTIVLDDPSSSNFVFNDGIDIRTAITT RQSTGPRQNVVADQLNIADVKWHNGSHIYTACASGKVFSYDLTRIGAGDAEPLEYILM HEDSRQINSLDINPHLNSWLLTGGQDGTARVFDSNVTTPARHGVSFRQRFAPLRCIDP IKQVQWSPRQGHEMACCTESGVVMKWDVRQPSRPVLRINAHEKACSAIAWHPDGAHLI SAGRDARIHTWDLSNTADKRQKPKYTISVPAPVAAVAWRPGLWSASAQARRVAQIAVT YDETSSRRYGLSAVHIWDFARPTMPYKEMDSFETCPSALAWQDQDMLWTVGQDGHFNQ RDVAFARRVIDRQSTSAMAFSPRGDAFVLLDERSQSQRPRLLGAHHSEVMHRPTYSPS QGNPGLSLSRSDSEDDVLGSFLAPRRRVPRNRRLSGRGGVPLSTTPPSGASSFPDDFR QTLGLEQSVNITGLFKLQQAMSFGHVPAAVPVQVYHFLSAAYLDSLRLELPYVEGGRP LIERVGIIMEQYAKAAEVTNLYRLAQTWRILAFATNVLLKRRAQYHFETRVGQFQKLQ VDDGLKRADRLQGPDPMYAHGDDARGRAAGQRGSVDSRFHSIRSLLAEEIESTSNVPT PVARPADSSHNARSLDQDVMAHQHGKRLSPIMEPESLSLGPAAHESLLTPQGPRKRHD SQPISVGSERTEESDDISCTEGYDFYDAEALAKAIDVPMTAGKVGGKDLAFRGRAASR KDSDEGFGQMFSISNGTRRSARTGSSGDVFARPRFLRNQSDDAEREESGASSADSHVS SQALAEKHGRQVRLGDSTEEVFMISQTTRTDESDPSQTSHMSRDTDGDESNERLRNSA AAGYHGGLSPSAKKKGRKREATSPRCDARPYIVETDYLPWEYDPPYPHPLSGSQDARG KKGSTSPLDPHSLVLRALDFESKHSALNASAMIMLLKPLLPESVIDGHRARAILLQHH RRLTQMSLFVEAALLRNLCVQGWPEGFPDWGEKYAYIFGGAQQGDKMGLLCESCRKPR EVDPAAGAEAVWTCQRCGSIMGPCPVCGHRRAERPAHIPEDILPGPSELESERWLTLW WYCPGCGHGGHASCLQLWHGASEARSVEDPGAQFSDGCCPSDGCGHACLPGRYRGETM AARSDEVGRAAVDTSRAREEAKPGSRGASRRSSPGASFERSVRSDANDVVPQSKAVGV AREALNKGGGGGLGGGGGGGGGGGGGGILSSSPGRSTVTVDRERRKSVKFARTDR UV8b_01119 MHFNQIALSLAAASLSSATVIVPSADPGRYVDPGFYVEDPNSVG GQYPDGRVPGSVVVVKPTGPGNVIIQSADPGRRVDPGFYVEDPNSVGGQYPDGRVPGS VVVVKPTGPGGVVILTAPRPQPTGPGNVIIHSADPGRRVDPGFYVEDPNSVGGQYPDG RVPGSVVVVKPTGPGGVVILTAPRPQPTGQKPSIITVPNQKPSIITLHAQKPSIITVP AQKPSIITIHEQKPTIITVPGPKPSVITIHELKPTIITIPAKQPSIITIHEPNPTKPA RVFTNCAVTRTQTVYAGKEAGCPYNPDSMLCIADDAITLPCGCTSATAIATPITTACA PSNGAFNCRTAYPFTKTAQC UV8b_01120 MPTEAKHVVPKLTKGVLTTWPAQRRAPPPKSESKTSAAAPGKGI PRHTQPASRRSWAPSKEARRRITPRRSSKLQFPPAAGRRGPSLPPHAENARSPDDASR NASARWCGRRVP UV8b_01121 MRSAFALALALGASASPATTSTPAPPTPTPTAPKECAVTNTQTW YGGQCDGCRYEPDPNACVADDILTLPCGCTRATDVEAVTTTVCAATPGAHNCRTGYMY TTTRPGC UV8b_01122 MHVYTELVAPSAVTHSLSLPLTSATANNLVIAKGSLLQVFETKN ISAELDSQHRGPQSSAKDDDQHFDHRINDDDGLEASFLGGESMIVRTDRANRTKLILV AELPLAGTVVGLARVKVSNTASGGEALLLAYKAAKMCLTEWDPRRNALETASIHYYEK DELQGAPWELGFGDHVNYLAADPGSRCVAFKFGSRNLAILPFSRSEEDMEMGDWDQDL DGPRPLKEQPVVTNGDGEGHLVKSRYTPSFVLRLPLLDPTLLHPVHLAFLHEYREPTF GILSSTQAPSPALGIRDHLTYKVITLDLQQRASTTILSVTGLPQDLFRVVALPAPMGG ALLVGENELIHIDQSGKPNGVAVNDMAKQMTSFSLVDQSELGFRLENCAVELLSADVG DLLLVLRNGRLAIVCFRIDGRTVSGISVKLVDPASGGNLIKSQVSCISRVGKNALFLG SESSDSVVLGWSRKHSQEKRKRSRLLDPELALDVDDLDLEDEEDDDLYGNDSSESKPN QGANGTSKSGEVSFGIHDALLSIAPIRDFACGAPASTPESEEATLSKGVTAELELACA VGKESSGSVALLNKYIQPKVIGRFDFPEARGFWTMCVKKPIPKSLGSSAQVASDYDAT GQYDKYMIVAKVDLDGYETSDVYALTSAGFETLKDTEFEPAAGFTVEAGTMGKQMRVI QVLKSEVRCYDGDLGLSQILPMLDEETGAEPRVTSASIVDPYLLLIRDDGSVFVAQID SNNELEEVAKPDGSIKSSRWVSGCLYNDVQGLFRATVGEQSPDEPGHIMMFLLSTSGA LHVYELPNLSKPVFIAEALTSIPPFLSADFVARKGASKETITEILVADLGDAISQTPY LIARLSTDDLVLYEPVRHHEDGSSPTLLSSLLFKKATNSVLATSTPAPLEDEAEEPPR FVPLRRCANVQGYCAVFLPGSSPSFVLKSSKSLPRVVGLQGLGVRGMSTFHTEGCDRG FIYADTEGIARVTQLPSETNLTELGLSVRKISLGSTVSMISYHDPTGTYVVACATAER FELPKDDDYHKEWARESVSFAPTVARGLLKLINPVTWTVIHTVEMEACESMESMKTLH LEVSEETRERKMLVAVGTALAKGEDLPTRGRVQVFDIVTVIPEPGRPETSKRLKLVAK EEIPRGAVTALSEIGAQGLMLMAQGQKCMVRGLKEDGSLLPVAFLDMSCHVSSVRELA GTGLCVMADAFKGLWLAGYTEEPYTFKVLGKSGGKLRLLVADFLPEGEDLSLVAVDAE GDLHVLEFNPEHPKSLQGHLLLHQTSFCVTPNTPTSTLLLPRTLPPAAAAAAAAAAAA SNKHPPAARNTSRHILLLACPSGQLAALAPLAESTYRRLLSVTNQLHPAIAAHGGLHT KAHRYASDEPSSASVGVETAASSGRALVDGTVLARWSELGAAKRADIAARGGYDSAAD LRDDLEAVLGWSGLAYF UV8b_01123 MADAGLIQTSLIWITYAVAVGLCLLAAIITTFTWQTPRDRSAVV SIVAIISLTSLLATVLLLPVDIALVSATGSVSQGTKKDWATPERVGSILLTLKIVYYS LYSVDALLCLVIIPFAYFWYEEYDEIEFEEEGRTWQSRFLASVKYTLFFVAFVVVLFL LGFFVPSPGDPSHGQRWDLDYFKHLLAVSHAEKALTFALGLLVTLGTLLYVVYTGSGL ALMPVSLIKSAPAISAPQLSATTASQLEQNRERQRQLEMRNVGRQEGMSRKDRRELDA LVREEQTLVRRERLAAEAQGEGRSKVYQAWLKICVLLRPVKMLGGILLLVVSVLVWVS MLITGIDKAKNSVCKHRCGYILGRIHIFQPLNWAFVKLARVFPLDYVLMALLILFLFS STISGIAAVGIRFLWIRIFQIRRGRTAPQALLIATVMLTLVILAINYAVTMLVAPQYS IYGTQTFCSSKPDHPGAQPSCRDRPDLIKPCSEALNVAHAKDICTPSVMSTFLNRISI TWPFFGIIDFWAQFAFLGVFLIVFLTALVRSPKVSMTELDEDAEADEEESLLAATGRR FKATWDDVRGRPHGYGSGRVDGGDGTSSRA UV8b_01124 MPDDKALLERLRALGGASTSPVQIDVMERAKSPTSGDVLAARFR LLRSKADSPAPRQRQPLPAQDTNAPETTADDVDAVFKTDDNALEEMLAIGPPAEPQDV TALLDQLCASVPADVSHVCETDHSDVDQASQEVDDVIAQCKEEAELDSNPGETGDVPP FELPSAPETAPSCAAIDDILVRMAALRSVFTLPSVPTSRPSKPAKFSKLTSRTRYTDD DMKSWCTVCLEDATLRCIGCEDVYCARCWREMHVGEAAHFDHPSHRAVELAKDDDKEV ALGA UV8b_01125 MRFHLAFVLAHLLSTAAAGVIGPQGSVQDGNHLLRRKGGGSGGG RGGGGGGGGGGSGGGGGGSPFTGGFGSAGSNGGGFRGNTASGSNIGGTSRGGSGPTRA YGGGGYYGGGAASPYRSGAATAAGVGALAFAAGALAFWPGLWIYGAYLYPHRNDYSFY NSTAQRNESLPVVCACAKYAVCGCDENTAITKELVGNGTYSALNKSIINVGDYNGRKT LLINGTLPNGTTADGPDQETSAGVAMSSLDMSAGLWPAVTCVIAAILLC UV8b_01126 MAEQKEFSSFDESAWKAQDAADDREIARLLEESQDGANGGLKLD DAPFDQTDKAEDAQDFEDISDDDLPEEEPSAATSLELPGLTDDGGTSNDADDLFGEGP SSPTDTILGPPSPATRVRSADAGDESHPVDSSLSFAAINFGLDTHMNGAANQDPDIPA PAETVEDLLKATWPAFKKGRILTWSELLPPKKAIWKEKKPLKKPKPLVTSKLSLDLSA DQEKMFRIPGTATGSKQRAMDMSFAGLVRCEEDAVGEGEDTSQFDLDQESDSETVMGF RLRDIDLACEDFDSRILSVESDFQRYQAAEESSERKRPIEEQDEEWDAEFLADTAETA AEKHQRPRKRRAIQFGLPEIPRYSAPTFDNFEDATKRGSKRVQLDMSDPHLLLDAELH VRTKRTCPDSKVKRMANGNMGRDISQRFNISNDEAYELLKENHQSKVRATLGNISVEH SMPAIKLSWPYYKVKLGGTTDEYHRPRFRYKKFAGHVIKFEKPQHQRRKLMKGKAHEV FRWSRDLSLSDNSTAVLFEYCEPRPRVLNNFGMGSKLINYYRRKDNNDDEQLPKQSLG EYRMLLPEDRSPFSLFGTVDAGETVPTLHNEMYRAPVFKHAPRGTDFLVVRSTTGVRG SKWYLHKIDHLHVVGQTFPSVDVPGPHSRRVTNASKNRMKMLAFRMIRHSKSDNCQLS DMTKHIAESTDTQNRQKLKEFLQYDRDSGEKGMWRLKPSEILPDENAIRAMIKPEEVS LLDAMQLGIKELEDAGYDPRNANIDDDVVDVDVEEEEEDEGGASKGPKGVKKMAEKQE ETLADKMAPWKTTKAFIDACAQKAMLQLHGEGDPTGHGLGFSFIRTSMKGGYIEAVQG PLATSADAMEREKRANGGHAYNVKRQQAMYEEGIKEIWDKQKATLSDAQEHDDRDVVA TEDEDDRFNVHAAATPAQFEEGASQISGFTSSSRHPKKAIRITREVRLPDGTTQSRVE VVHDPVVISQYMKRRTEADLEIKDIYSSRPTGNADHDRLAGIRIKKELERLEKNKARR QAREQQKELHQKASSGDAGSPGAMDKIPTGTTRKCANCGQVGHIKTNKKLCPLLNGTM KTDKGALEHGGFGNYSAPTMGAGSPDA UV8b_01127 MGGSCRYQGRIIHVALGIEREQDKYASKLPHPYHCRYYLQYLTP RVPIFILPQVRAPRRISKSTSKSPYEKLPLV UV8b_01128 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VFIFGNNKKLYEYSSTDMRDLISRYQYHGGPNEHKGPADFNGGDDDDEDDGDGTPPRG LDGHEHLMMPPQFHGHVQPQFPQLRHHTPSASPPIPNGGPFQAQPGLPGHPGPRGTTP QPTMGSRPGSRNDVRRVVPNMAAQAHAAHPGITYMPTPPIYQTSGPPGMLGPQPTAQY PYPLQQQQPHQHGPPPPPPPLPQQQPQYAEDRRPAAPPGYTSQPHPRSIPTISRTEPP EAQLPNGNSQQHLPPQQHPGQHFSSPSLSERRPQDLPPPPPSVDPRPRTEPSDRPQLL LLNTDSAVRKLPQRRSHSIFTPIEENRSILSQHLASFSNDSQGLKSEAGASGLKRTQS ADIPSRGDASASPRLKRSSTQSSADKVRAASTSSGPEASFTPPSRSNSLKVGGSSGAS RPRGPPLTLQIPDGASEPASAGDESQSPQGPAITPTQAPRQAASVVLPPPSPSASALL SAGASGPPNPFARPPPAQNMNGETPVSALPSRFLNHDFLPSPSSFYPEWNFRGSDSNT LPSPLNFATPVVGNGPSFLRDDGQGTSGNYNSSTSNNSSSTSSAAATTASTTTTSGAP PPTSDPPGATKRKSPEVDGGIPSEHHSGPAEAKRVRVEQAWEVA UV8b_01129 MRPTLAVVLIAVLAPASLQQIMPPTSQQQRLPVQPPGKEDLYSD DNPPPPRIKFSSGTSSGTADDHDPSSPDKYHPSQIQPRISLAEAIGPQRSISSFGSVA RLRSPTSDLLSDPAAKATVLAPLNSAIDALPRKPWESPSDYSALGDRAYQGQQGQDRA NHNLEQFVKAHLVMRAPWREGDRATTWAGKQVWWEEKDGKRLILPDGVEVDRVVCRVA NGELWLLKGVMNYQ UV8b_01130 MGEFTNLRRGRGSECPLQEAQSRGRRRALVLPRATAAATGWLLA GCWLAAGWRVRSAWRPGGGWGVRAGGGAWLEKDTSRFESTSGYVQVRSGQVRSGPGQL APIIIDGCPWRGLLEANCGTCKSLRMPWLMALNHLIIHRCRQECSRPRGGPYKSNRHH RRRLLALLGPRYLQDLTMARFPLGTEESQRREKEDSDDNDNNDNNDNNDNNDNNDNND NDNDNT UV8b_01131 MAPSVIVVGGGLSGLSAAHTIYLAGGNVVVLDKQGFFGGNSTKA TSGINGALTRTQVEHNIGDSVKQFYDDTLKSARDKARPDLIKVLTYKSAAAVEWLQDV FDLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRIEELAEKEPHRVRIIKKAR VTSLNKQANKVTGVTYELDGKSASVDGPVVLATGGYAADFGETSLLQKHRPDTMGLAT TNGTHATGDGQKMVMAIGGNGIDMDKVQVHPTGLVDPKDPGSKWKFLAAEALRGEGGI LLNGDGDRFCDELGHRDYVSGMMWKEKDKNKFPIRLVLNSKASKTLDFHTRHYSGRGL MRKMTGKELAKEIGCSPDHLQKTFQTYNAIAEGKQKDPWGKKFFHNMPLDVNDDFHVA LMEPVLHFTMGGIEINDKAQVLNQDKKPFDGLFACGELAGGVHGANRLGGSSLLGCVV YGRVAGDSASNYLFQQALSGSAAGAAQRLGQISLHLDPSAPGKLTVEWANSSGSGSGS GAAAVQQSSAAPAAAAATGESAAKASEAFKIPETEYTMEEVAKHNKEGDLWVVVKGVV MDLSNWLDEHPGGPQAIKNFMGRDATEEFEMLHDDEVIPKYAPNQVIGRVKGVEPSLE I UV8b_01132 MAIAMGWQKPDNVAGSSAPAIMVGLFVASGGLLFGYDTGAINGI LAMTEFKQRFGGNCRSPSTNDTSPSAAAAAASLNLSICPRDASLIVAILSAGTAVGAL LAAPAGDSLGRRISLLVSVAVFCVGAICQVCADAMPLLLVGRAIAGVGVGAISVLVPL YQSEMAPKWIRGTLVCAYQLSITIGLLSASVINIITSKFDNSSAFRIPLGLQIIPAVI LTAGLLVLPETPRFLVKRGQNEAAGLSLSRLRRLDITHPALIEELQEIISNHQYELTL GPATYKDALCGSPHLGRRTFTGCGLQMLQQLSGINFIMYYSTTFFDGVGVTSPYTKST IINVINVVSTIPGLLVIEPWGRRRLLMIGALGMAACQLLMASFTTAAGADLRNVKETI LIVFCCFNIFFFAASWGPVVWVVTSEIYPLKVRAKSMSLSTASNWILNFGIAYASPFM VEAGPGSASFGPKIFFIWGAFCILAFFFVWCMVYETSKISLEQIDEMYERVSHAWNSK DFQPSWSFQQMVDQGWSPSGIPEHELQSTPSQTSADTTLGDSSSSTISIRHDEEHQTR RASSARDTKTLPVANVDFSY UV8b_01133 MLSFGHDHLQFTIYSDNYNSQCSKPPTSNLQRAKCLSVCQGVFL VSRPRSEAPDARARPLMSAINATPVQRRTGPPSGVSVSVSVSVIGTVVAIATHCHFIV IVIVIMLFG UV8b_01134 MANVFQIAVFGDLSVPYHSELRRLFSEKRDYVLATLFTKSYYAV KSEISRLPPSQRAQFPFSSNIEELLNADKESTTSNYALDSFFFCLCQISSFVSHLNRS GTSYPRASSSCLASRCIGLLAAVAISCSENVYDLVSIAPEVVALAFRVGLLVQGKTKS VTLSSGNGASCSTVIAGLDEPAASQLLNAYFDNKGAPALSRVYVSAVGSGTITLSGPP AQLKEFLSHHSDLKAGKIQVGGLFHSPSLYTDADVSGLVASATAHLRGRVARIPVILN GHEKQQELVGGETCQHLLEVVVSDILRHQMRWDLAAERVIRAIRRSGCSAVELLPFVA GSVEGLSSVLRATMGIDRVDVPNTAGVDSSSRGSGHADAEKQPARSKIAIIGFSGRYP EADDNEEFWELLAAGLDVHREIPKERFDPYLYFDPTCKKKNTSGVTKGCFVRNPDLFD SRFFSMSPREADQADPAQRFALMTAYEAMEMAGFVPDSTPSSQRSRVGVFYGTASDDY REINAAQNVDTYFVPGGSRAFLPARINYHFRFSGPSFDVDTACSSGLAAVHIACNSLW AEDCDVAIAGGTNILTNPDNWAGLDRAHFLSRTGNCNTFDDAADGYCRSDTVATVILK RLEDALLDGDPVFGTILGAYTNHSAEAVSMTRPHSGAQRAICTRILRSSNVDCSEVSY VEMHGTGTQHGDATEMDSVLSVFAPDTTSRKSPLFIGSVKANVGHAESAAGISSLVKV LLMMQKNAIPRHVGIKTKLNRNFPKDLVQRNVHISLENRSWPRPDPRVVPHGRRVFIN NFGAAGGNSSVLVEDAPVRPAPERDDASWPVHAVAVSAKTQNSFKENIRALIAYLETR PDVSLGSLSYTTTARRIHYSYRTAVVGSSVDEIRNALHDVAAREKHLSTAGGGPPIGF SFTGQGSQYLGMGKKLLSLPQFESLLAGLDGIVRLQGFPSILDVVSGKAETPIEDMSP VKVQLAIACLEMALGKFWIALGVVPQIVVGHSLGEYAALNIAGVLSDADTIHLVGTRA RLLEKACSMGSHSMLAVKASAAEASSLRSSSHPDLDIACINGPEDTVVAGSNSQIEAF KDLLNGRSVKSTQVKVQFAFHSAQVEPMLEAFRQACGAVVVNEPSIPVISPLLGRVMK SASDIGPVGDYLARHCRETVNFCEGVLSARNSGLIPDKMMWVEVGPHPICSNMLRSTL GSSTQTIPSLRRGEDDCKIFTPALAKLYDSGLAINWGEYHAGAQQTKQVLLLPSYRWE LKSHWIPYTNDWCLTKGDAPAPQLLALPEAAAAAAAAERRLFTTSVQYITAESYGAQE ASMTARTDVQHPDFREVLLAHQVNGRPVCSSAVYADMAYTMFSRMLEKSSVPFDKSDL GIEVADMAADKSLILNDDPSPQMLELKASVNWSTRQGSFSMSSISSADGKPTAKHAKC SGFFTDKSRWKSEWKRRDFLVKSRIQELRSSVHDDSGSVHMIKTGMFYKLFTALVDYR DSFKGCRELVMRSADLESTAKVRFNTPAGTADKWKLPPHWLDSLGQITGFTMNGNDEV DSKNQVYINHGWDNMKICGVLSDQTTYNTYLKMQPKDKGSYCGDVYIFNQDMDEVVAV YEGVTFAAVQRKVLDLVLPKPKAAAQSGAAAAAAAAAPSQRQQQQQQQQQQQQPAQPV AASQESGMDDMPPTLVPSEKKDVPSEKLKVIIAEEVGASISDVQDDAELAPLGVDSLL ALTISDRMLEELGLRVDSSAFISCITVAELVRHILGSSTPSSDSGPATPSITPLQEPD FGTSALSERIESAFASVQVESDRCSDTTQYGDEKADAVTKFASIKPLEAVEIPPATSV LLQGNPRTCTRKVWLFPDGSGSAASYMPLPDVDPAKVAIYGLSSPFIKHTATAKPCQF GEMTAAYVAEMRRRQPSGPYSVGGWSAGGLCAYDAAQRLVADGETVDALILIDSPNPI GLKELPPRLYNELSRLNVFGAEPGAKVPEWLVPHFKLFADILVTCKLRPWQAAKPLPA WALWARNGVDENQTIERWPSDPENMTWLLNRRTQAILGCNGWDELLGKKNITVGVVEG AHHFSMLKQPAVPQVSDFLRTIMESTGAGI UV8b_01135 MASHHQLLCLNILGFRKPGISTEDYRNYMVNVHAPLVAGLMEKY GFLHFTMSHASEQSPQLMDQLYDAQFANTASYDCCVQIVFPSIECFVNMKADPYFKQT VGPDHEKFADTKRSQMMIGWFSPLLINGQQTDSLSAAE UV8b_01136 MAKEAQSLHELDNMKEKEVDQEKKAPTSVGDQEEHDDPKKQASH SQNVSENGLVDEAAQEAPEDESQYPGPLAMAVIMVAISMGMFLVSLDRTIISTAAPTI TDEFHSPTDIGWYASAYSLTGCAMQLPLGRFYKFYSPKWVYMSLVFIFVIGSAVGAGA MNSNTVIVGRAIQGIGLGGVLSGSTILIAENAPLHRQPMFLGILMATMSISAIVGPLI GGALTTHTSWRWCFILNIPIGGAIIAVLFFFVKAREGKEQRAQGWVEKIRQLDPLGSA LLLPAVVCLILALQWAGSQYSWDNWRIILLFVFGGLLSIGFVVSQMLRPDTATVPPHV VCQRTVFGSFLFSAMTGGAMLVVTYWISDWFQAVQNVSAAQAGIRTIALVLSQAVGAI MGGGSSRLIGYPPPIMMISATFIAVGAGLLTTLNVDTKSANWIGYQILMGLGLGFGTQ QASLAVQTVLKKDDIPTAISLIFFGMQLGGSIFVCIGQNVFNQVFVKLLGQAAIPGLD TDLVLRTGATEIRQLVHNDADLSKLVTTYNTSVTSTFYVALAAGITSMLSAFLVQWKS VKNVEPVH UV8b_01137 MADAKAVAKAELKSKDFVPRGNKSSSPLNTTLYLGLRALDCYIQ YLILSRATGSFIIKFFGGSIIPHGPPVEAGFMHIEKLGLSGYRLLLLFMDILAAAKHF WFVLTVAEEAWTLTGAIVVGLDNIFFDTLNNLLFLCAATSAASSKAGGETLANPYLAT GFIIFAVGLVTECVCEIDRKVFKKNPMNKGKPYTGGLFSLVRHPNYTAFTIWRSGLAL ASGGMIYGMSIAMFFMWDFSNRAVPALDEYCTKRYGDMWAEYKRKTPFTMIRYVL UV8b_01138 MRATNQPRQLVVEKRRREGISSFSVMTPLYGNDMTEPLKMDMLQ FFRDALVQETGRHVDNDDFDALFSELGADPLVGVAVIERVTNSTGIEFPASLLRDCKS LSDIASGLRKMSGSGSHETVEKAPRAARPPREAPLPRGNVRNAFQDACSHVQEFLRKA GSANFWSRVYPAQRQLVLAFVNDAFDRLGCSLADMPVGTIVTYPRGVLDKHRRVFDGA IFEILADGGLVNVDPELGAIRTSTVVDKTPPQQILATIILEHPQFANLHRLLNVTGSQ FAECLTGRLDPIKLLFGRSKDLLQDFYTNAPMSLAASLHLVAVIKRLLADGEYRPGKS IDILEVGAGLGGTTRFVVEALIEAQVPFRYVYTDISASFFAASKNRYKSLPPGSSMEF LVLDVEIPPPETLLGGFDVVVSTNCIHATRNLGVSCSNVRKLLRGGGFFALIEFTSRM YWLDLVFGLLDGWWLFEDGRKHCTVDETVWEEKLQLSGFSDVLWADVEGDDKSSLQLL VACTD UV8b_01139 MQAAESAPGRIPGGTLTANIKATDSVFEQGYTDLSHSSWTSSRT FNEDIHVTKACLKMTSLTGLALLLCVLASQSWAARVQKTLRIAWEKGAPNGQSREMIF TNGVFPGPELIFDEDDDVEITVHNDMNRNTTVHWHGIAQEGTPWADGVIGLSQQPIRP GESFVYRFKASPPGTHWYHSHERMTLVDGLHGAFFIRPKRDMKDLWSKISNDPKDIDA MSKAALDPKLMVLSDWSRFTSEEYWKAIEDSKLLLFCVDSILLNGHGEVYCPPQEFLV NQTQWGPQHFTFPDQNVTDKGCFPAVEEGIQGPWVNQSLPEKIPAHIQSGCVPSAGSN YTVEVDPADGWVSMNFIAAASNKQVDFSIDEHPMWIYEVDGNYVQPHKFVAAAITAGE RFSVMVKLDKQPGRYTIRLPDSGATQVISGFANMVYKGAEHVSPPTKPYVTYGGLSGR PETDTESYAPYNISADYMPPWPANPPAATADEEYLLVMGRAGSSFQYTMNTNYLYPMD FKADRPLLHYPNQTVGTEDEKLVIRTKNGSWVDLILQVAVLPGDGAAFEHMMHKHGSK TWRIGNGAGVWKYKSVAEAIAAEPESFNLKDPGLRDSWLTMFSPVPAGGYWSVFRYQV TNPGPWLFHCHFELHAMGGMSIALLDGVDVWPQVPEEYAVRHHPSQGTQTLAATPNAS KPWYNGMLNFMQAVLGILPGQGSEELRR UV8b_01140 MGAFSFLRQVYDLDTLDTRFLSSSSTPYQAVIEARKDPRAAKEA ASRAQARAPPPKWQTPEFYLYYLVFLWAVPYMFWVAYDVSRPSDPRYHKYERFLSDGW IPGRKVDVSHAQYNTFRDNLPYMAALLIFHPILRRLWNAIYKPDNQREAKGSARLEQR ASFDFAFAMVFLVILNGISAVKVLVILCINFQIGRKAPRRYVPIATWFFNISTLFANE LCEGYHLRNLAAYISPPVGGASASGEVTDSPLMRTGAWLDGFGGIMPRWEVLFNITIL RLISYNMDYYWSIGGKGSSSLEKKQLDPANLSERDRVAISADPADYCFRNYVAYAVYA PLYLAGPILTFNDYVSQQKYKAASIERPRTIHYGARFLLVLVAMELILHFDYVGAISM ASPVWGDYTAAQLSLLSYFNLHIIWLKLLLPWRLFRLWALLDDVDPPENMVRCVSNNY STQLFWRAWHRSYNRWLIRYLYVPLGGASFGSWLAAARSTLTYLLVFTFVALWHDIQL RLLIWGWLIVLFMIPEWTAAYLFPKRRWESRPTEYRMLCCVGAVANVLMMMAANLVGF AVGLDGLQSIIAGILHDWSGFLFLIVACACLFVGIQVMFEIREWEKRRGATLKC UV8b_01141 MAVSCPAVFALSSRPWDPSRRFETSWLLPPWALFACRALISLYV FTTLFFVLGYLCAHPSAGACAAARRSFSYFTVLTYWGLGFYFLFAAVHTLTYARRGRP LLDAFPRPLQALHSLLYTTVVTLPWLVTVVYWGVLHKGPWFAADFEAWRNVSQHALNA AFALFEILVPRTDPPPCLHLLWLLAILLAYLALAFLTLATQGWYTYSFLDHDAVRGRG VVAAYVLGIAVGIAVTFGVVYALIWLRRWLTEAKMGMKGKFVEAHGACDVEMRANEQK DDGQAFS UV8b_01142 MLSCLRSATSFLTLCLALANLADLACGTDDTIFRVSRNPRLRER ANHSDACVLPLPRLIIIRQEDLPGDRSVPRLQFETQLNSIIYLAPGRNGVRFRDNSSH YEITQHILSGGRIQHTYRYFGPEANSDHYLIVDPNLRVAESRNVAQTDVGRVVVIPGH QAVTATYSPTQLAFLKFQIWHR UV8b_01143 MLSSTPLLVAALAGAAFGKLHTICGCQKWSDGPMHNDATITLGN SGCTPWVFAPVNFQGVSQNSVFQATGPVRFEGVYLQDIGGGKVMDGHTVYKYCKRAGA GDSACFECGSIQQNANGKFQCN UV8b_01144 MSKPSADCPAITFYDIAQAPPVEETCYAPNPWKTRFALNFKAVP YSTQWVGLLDIEKVRRQLGEPPCRQFADGSDFYTLPVVRDATTGATVGDSLDIALYLQ RTYPDSGAGDLLPAQTLDYSFTPSAPILVPLSEHQAKGEFAEYARFNASVDAAFTMHV PLMAQGMLFDPAEAEEIKAKFARRAGVRSWDDVALAAEARRPLMDSFRDALTGLAELF ARDGGGPFALGERASYADFIVGGWLRMAQKTLPRAEWDEVRGWHGGVFARLHDALDAY AEVK UV8b_01145 MSNTTVVFPAHPALKGLLESAKAYPSSAVVVDDEYGFQKTYPEL LGDLLQTRCMLLEQLRSSTRNGGNVLEEGDRNIGFMARSGYEFIVACLAVWAIGGTCM PMDPDISSELALTHFEKGQIRCVVSSKDCWQKVEAICNDARKRSGTAEAPKLIGVSCS SAALDWSQTHIQIDDGLLPDEDEACMIMGTSGSTGSPKLVLQVKRAWCPPPAAEPHQI SLSYRACHWTGGAKSLIDPVICGRKVYVMAARVGGRARAEDVLEAIKQHGITDVPFFD PLMLRLMKDVVACGGNKLSGEERQRWSSYFTSLPIIRCSAGVVDQSTVDFWKDLTGLQ VWTSYGSTELTCFVFDGPQTITGCLGKPNPDCQLKLSEGKSGEILVKVPTIFKAYIGD EEATKAAFDPDGFFKTGDFAEQQGDNLVYLGRMKTDLVFTGSHKFWTFGIESRILDLP YVEDACVLAIPVDETKQRCGVVLVFRQDSASPEPANLAKIRSDLSGSVAEFMLPTLAR VLDGASEVIPRTAAGKPRKWHLMKDYFGSVDGSVPVIVQSRIEECRFPGPVEYVEVK UV8b_01146 MLSSPAAKLCAVLLAGLAGLADAVTGSASDNAAVSVPVPVPVHV PVHQDTASPEAQIFANLTFEEFQLKVDELRQGKVKKRLPHGGELLNSAVANDLLEALH HICGSTFTYCGFEVPVDHRKSDGTRAKFTGEWHIGQNPLNNIYINEEGQGHVSFDFSG HDSSGSRVRRVENANSWACMGCSPGVLKITHFDSPTFAVGFVEAALTLDRSEVRVGKT EDAKSLARHVLATNSMVKPSREA UV8b_01147 MSDDCQACNATYAQGNLRKRLIVCCDGTSYGVDKGTADYASNVG RISRAISRVGVASDGEKVSQVVYYQSGVGTGSLTSVDRARQGAFGASLAENVCEAYNY LANNWGPGDEIFLFGFSRGAYTARSLAGFICQVGLLMPIHMDHFHEVYSAYKNRGDAA FQDTPWATAPLEPGELGTVPPQAGAPAQAHGSRSRSRLDHLRSIAHTHVKIKVVGVWD TVGSLSVVNWFGQAGDDAHFHSTKLSPKVENAFHALALDESRGNFPPTLWHLDSTCLG PDGTPMVNLKQCWFPGYHSDVGGQKAGDLDANSIDEITFSWMCDQLHGLLQLSGTVLQ KYILFRLGDTNFDLSNKKIRDLAASWTKIGWANGKLSDTNSWTAGWWVPSLLSTAKAS YKRVPGETKAFEKVNGTTKQIPCQNFREEVHPSVYHRYRASVGYKPAPFAGDEWTYHE SKDGKPAQWVKTLKGGQQVVLNEYLIPRSDKMKAGYRKAQGYDHWEGSLERTFAPKEV LEVQDLCLKD UV8b_01148 MPKVRLHSCFSASGQGNRGRFKTHQADVDMGLRAQDLRPKYKGW RGRYGTRKRAILWCPVKRDFAGWLRMLWHDASYWVWKVLRTTVPPGARTGEKDHLDTS UV8b_01149 MAVATHSSDFDFVCRMTPEGYVFPTDRLKQACAPGKVPLVIVAC GSFSPVTLLHLRMFPMALDHAKNIGFDVVGGYLSPVGDAYKKKGLAQAHHRVNMCQLA AKNTSKWLMVDTWEAENNLYMPTARVLDHFDWEINHVRGGIETTEGTRVPAKIMLLAG ADLIQTISTPEVWDARDVDHILSNFGVFVLERTGTDLETALGQLKRWEKNIHVIRQVI TNDISSTKVRLLLKRNMSIDYLIPQEVIDYLMSNNLYRDMDLQATEESKGKDKAVGPS NSSRQIELA UV8b_01150 MTSTELPPVNFGGGLRLSIFSPRVVKHLEEIETKIEPTITIQED DTDACHDEEEHPLELDAPKAANIPIHPAPSLQEAHLESLDDATSHHEEEHPAEINAPT PPPALENIPIHPIPSLQEAFSESLDEATNGSTADKPKLRELDAHGRRQALIAQERDEV PLDFKWRFRDGQKQHELAKLIAQISFGVYLLFQDMANSNAQVVTILQGHIDEVDEFLE VVLEDLAEATKDLNSRIEHLKLPLANVQVFEQLLEDRNFRLEILQGNEKIDHVLSRTN AALNQWDRDIDAGIQSTAAFVSWLKDEEDAEWREERPGVADVLDAMKGNAEGWLNAFD DMSDRVQEASNLIMRLSTIIGEMEKKAGEVSRRTWANIPPFTLPSSVYQDASSSHPVS IKSGTSSAQSTCRSSHWPHASIRSAGVSATTESIADINIEEDFPLPGGLPLLPPSRSL SRCGPDGHPSPQKPATRAHSRLTSRSKRGLKDQDLEPQSSLPREEPLYVLQPHTYTPQ SSESNPSPKLKQTATFHEPNNFSTERKKTLGIRSLELQTTNAYSPKSASSKSVPPDAI RAPPRPFVEEPSRSRSPKAASPRTNRSNPFDSAPSSDGESFMQSGLSHVDSEMGASTS RRPHLTHSSRSDHPQLYHPVRASPHSPLQQRLDTAVAARPHSDMGLRHQPSAIGGMST LGIVANAVHNGDARSQVSETRIAGNRTLKKKKSAFGWFKKAFSLDEEERAAFEARKAM QHEGVYYDPNSPKFLDGRRIR UV8b_01151 MDSSSVLDSELEFFRQQWLSEVRIKKGDVSSRQPQRRPSDGPEP PPTSPPALAAPRARPSRPAPVIDKQDHVLPDLNSVQDPGAPSGNADDGSVRLSSGKDS VSALDHFEEAMEKEAEGNMGESLKLYRRAYRLDNRVDRRYREKYFPSAPKLAAPQAAS QPPDARQAPSIDHDVPVALPLPTDDLIASFSNLQIEAPPSEVDGAPPPPCPISTLPRE ILIHIMQDVAYHDVGDFARLSVVCKPFAYLVATEQRTWRQVSLSTKFGFTAMHFHWNK TVEWVDIMREDAQWQDGTLLSVGQVAERRLAQNILTSKSLVPDPYPDWKQMFRTRPRI RFNGCYISTVNYVRTGQASTNQATWGGSPIHIVTYYRYLRFFRDGTAISLLTTAEPSA VVHHLTRDMLDLHRDNPHANLPSAVVQRAFKARWRLFSIHMDWKRDDGPDHRQLQDGD VTVETESHDPKYMFRMDLSLRTAGKAARNNKLVWRSHFSYNKLTDDWAEFTLKHDKAF FFSRVKSYGTGE UV8b_01152 MTTLDSRGQSHGGLFDTEETREATRGTATGRPGDNPVPDITAPQ KMISAMSGSLVTSLLVTPLDVVRVRLQSQMTPTPSVDFSRLALRTNSLTPAQTAELGV TACCREVFFQGNTAEFCLAVPRSEAFVQPAPSSASSASAGANCAVNEVQKKTFHSTFD GLRKIARHEGFTTLWRGLSPTLLMTIPANIIYFTGYDWLRYSPTSPMSRLSSDHAPLV AGSSARILAATAVGPIELVRTRMQAANSTSGSNHLAETFKGVREMVATHGYRSLWRGL TLTLWRDVPFSGLYWWGYETIRSGVTDMREKRRGRTFARPGPLQDARRRGRSEEKHME TFVDSFAAGALSGTLASVVTTPFDVGKTRTQVYRDGPAGAVGRPRVPEEMNMVRLLLH IFKHEGVGGLWKGWIPRTLKVAPACAIMISSYEVGKRAFHGVNERSLRLGNSSFRG UV8b_01153 MTKTAATQQSLTVVDNRTGRTYELPITHNSVLATDIKKIKAPAS RNDRPEDETSQGLRVFDPAYMNTAVVPSKITYINGQDGILRYRGYPIEQLVRRSSFLE TAFLLIYGDLPTAPQYRRWQDEIMSHTYIHSDIEGMFKSFRYDSHPMSMMTSAFATLG AFAPEANPSLQGQKLYTGAASGDPAALQVLDKQILRILGKAPTLAAASYRMRQGRPFN RPAQGLSYTANFLYLLDNLSGRQYQPHPALERALDALFIIHADHEVNCSTATVLQVGS SLVDPYSAVAAGCAALYGPSHGGASESAIRMLMEIGSPENVPAFMQMVERRERVLVGF GHRVYKNVDPRSKAIRQLADEVFRVTGRNKLLDTALALAKYAGESDFMRSRNLYPNVD FYSGLIYQAMGFPLDFYPVLFAVPRCVGWLAHWRQQMLTPSGVKIWRPRQLYLGEGER DYVDVEARQPKRDASVFDAPGQVSHGGDSKRNQLATYENERGELVKPKL UV8b_01154 MGIRYRYLQPRPSRARHLVVVAMAALLIGCLIYRVWASRGPPTT ANAYVFYANSDHYACSALVNAHILQHVIRTKYPVFIFTTDHLSPAMQRALQAGNATVI KETPLPLHAQSAPYYEGCLLKLAAFKLHQHDASIQRLLVLDADQLILRDVDRVFDLPL TDFMAPPAYWLQDLNLTSTCMLIRPGPSLWEQVAAAATGIASDKYDMDLVNDLFGRRE PRLSARFATLNSHWEDWNVPAWMNASSPRPQGRASDQDLQDLYNQTAVLHFTAAGKPW MYDTQQLRQMKPDAHPLLADQWVRWRSLAMQVCPSEIIDHGRVQQQRRDLFCPPPAGT WTCQFNARCHKAIPEILFTALKADAGSCAKPTPQNPYSTQTTFNRFYL UV8b_01155 MSPHVVSSDHPSIDLAKYAVTQNAFLPATSPPRSLSDPYYQPWE LIAQHLPALVDGNRIRESVRQLAVLSTYRLQSEAEWRRAYVILAFMAHAYIWGGEEPE QVLPPQITVPLLRVSSHLEVPPVLTYAGANLWNFVCSGTDFASLDELSLAVSFTGTES ESWFLLISVAMEAQAMGIMRRMMTALEAVEARDYGVVTSALGELRRCIRGVGALLDRM HERCDPSVFYHRIRPFLAGSMNMEAAGLPRGVFYDQGHGKGEWRTYRGGSNGQSSLIQ FFDLVLGVDHGGQPSFHAEVRAYMPGPHRRFLERVARMGSIRELALQEPAAEEQRALR EAYAAAADALAGFRDKHIAIVTRYIVVPSRQHQRRVADGDGDGDGAGAGAGYVSRRNL ASLSLSPRKGPGLTGTGGTQLIPFLRTSRDETLRAARLHRDVSCREEGVLP UV8b_01156 MQIQFQAFVDRLRSGASPEFPADAAALAFAQELDSQDALRHLRD EFVLPSRDSLRKKALDGRVPGQATLDDGASGTASHQQQQQQPSAAAASAPCLYFAGNS LGAQPRAVRDYVNAQLETWASIGVNGHFTSWPNSPLTQWQDMAEQCARQSCDLLGASA HEIVIMNSLTVNLHLMMASFYRPAGRRHKVILEWKPFPSDHYAIESHVAWHGLDPARS MVKLEPDAGGLIPTDSILRSIDEHADETALLLLPGIQYYSGQLFDMARITAHARRRGI TVGWDLAHAAGNVELRLHDWDVDFACWCTYKYINAGPGAIAGAFVHERHGSVAWPRGP SGAPSYHPRLAGWYGGDKRVRFNMDNDFVPTPGAAGYQLSNPSAMDLAALSGALSVFN KTSMRSLRSKALVLTAYAEFLLDRMLDETRGDATPLFTIITPRDPLQRGTQLSVLLRD GLLDSVSHALEENGAICDKRKPNVIRVAPVPLYTRFEDVWEYMQILRRALGLKTT UV8b_01157 MDNGNHCGAPVPYIRSRIANACDGCKARKVKCDGKSPCGYCAGH QRPQSCHYSPQRPRRPTRQKLGVPAGSRGAAKAIARPSLDPPGSHDARNSSATPTGAC GTSSPGVVDVDVDGDPTTTTTTPAGAAAAEDDTDVPREARLLFDAQGKLIFIGDCAPL SFFQSVRRLVTSRVGQQAFAPESSRYSVLENVPAGYSSRAQGSRAWGSMPNLRAVNLD DAVADYILATTGLVDLFDNSMLLDDVRLWAHRSHKPDDLTLIVNYLVLAIGSLRHNEA ASREYFEYAQSKAYATLSGSLSVGTVQAFILVSLYMLCSCQITGAFLCFGIAARAAYS IGIHRTEVNARFGPQLHRQRDRLWKSVRTVDLFLSVSMGRPPSASDVDCTVPYRNVDT DGNEVLDLLNASVQILLITETIVLEIFSRRKISLQLTEGISRQLRDWSARWLQQLKDV IALTESRTSAEVSGACQVLSSYYYAVMLVSRPFLMYELVTRLSDTSASPARPSLTSGK SKLADACIDAASMMVDAVLDLIERGLLNGRAPLLVCWLFASSLVLGVGLLGGFGRILE KYCRMSIQALDHFAKTDGHATQYSLIAQSLLATGLEYLERCELQERLRRTENSSQLFG LIPPGPRTAPGGTTPGRAVSQQRGPSPAPASPRGRESLGRPLLQHQGLQSVPTSPPRP GDIDSAFLGLTESLMQTPHDYWNGSLGNDGDAGAALNLFPLLETGGGIDLAHYF UV8b_01158 MPAPPALGALGVTFTVMRALQAVALVTIIGLTSNFISEMVNASY VPPSPLVGTLVVACITVVYTVITYILYWDSLLPLLVSTAADGLCLIATIVVACVVGRP VSYLSCPALPDGGSTANFIHSLFMNLGRQNYFEWVDPDKATCFEIKAVWGLSICLCVL YSMSAITSACLWKRIKGGGRPPRKDLE UV8b_01159 MDFNDLKSTVSNLTLYDLKAGFRKAQNAVMNYTEMEAKVREATN NEPWGASSTLMQEIANGTFSYQTLNEIMPMIYRRFTEKSAEEWRQIYKALQLLEFLIK HGSERVIDDARGHITLLKMLRQFHFIDQNGKDQGINVRNRAKELAELLGDVDRIRSER KKARATKNKYTGVEGGPTFGGGFSGGSSSSRYGGFGNESAGYGGFSGGVYGDGGGFGG ETDGYREPGGGRSEAFEEYDEFDESDRPSGSSRTARRTERAAAKKPAAEPAKKKEPEV DLFSFDEPVQASAPAATQSSGSGSGSGLAGLAGRSGSHHAAPAAADDDDDEFDEFQSA APSTQTAAQALAAPLTSTASSTAQFAAPKPVSAPRTANLSQLVSTSSSISPAPSSNVS SGANYSAFAAPAAQQPPQPAKPAKPAGFQPSGPNYFGGIQAQASKATPPGFAAMSSAS SSGPSTMANMKPVTSPGSKTAPAAAGGDAFGALWGKASVGIKKADKSTGGPAMGQLAK EKSSAGIWGAPAAQSSHGGGGASTGNDGSASGDLLG UV8b_01160 MGKQVFFDVTWEGPVLQDGKPTTTVKEQSGRITFDLFDDVVPKT AQNFRSLCTGEKGFGYTGSSFHRIIPDFMLQGGDFTRGNGTGGKSIYGDKFADENFKL NHDRPGLLSMANAGPNTNGSQFFITTVPTSWLNGRHVVFGQVADKESMEIVKAIEVTG SQNGSIKHSKRATIAKSGEL UV8b_01161 MPASAAGSGADADAAKLAVWKEALYERCKEAGPGNDLFSQDDLL RLDVIPNKDLLLLARVVQSLSDDKLFITMREASGQVLWKWRDAQEAHKYKQCSTDEQV MVYSLIDDSGGDGIWSQTLQKRLNMHDSVLKNALKQLQAKGLIAPFKNVEHPNKKMYI KASIRPSERATGGPWYTDQNLDEAFIEDLQRVVFDFVKRSSAYVSTHSGSRTQAPKKG VVKGAVKGAAVDKGRKRDADEMSRPPAKAPRTSAGAPPPPPPPRRGAALLPLPAGYVG YPTVRDIARLLSSSGITNNTILSEDDVKKLVDVLVWDNLVEPVKVAGKLGYRVARVAK QSTESWAGREDPTGRDAGPEPYVSPYTEAPCGKCPVFELCEEGGPVGPSNCEYFKRWL GLDLE UV8b_01162 MGESGKAQLGSVALNDSSPKKVAYFYDSDIGNYAYVTGHPMKPH RIRLAHSLIMQYNLYQKMEIYRAKPATRGEMTQFHTDDYIDFLQKVTPDNMDSYVREQ GKYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRQKCDIAVNWAGGLHHAKKCEASG FCYVNDIVLGILELLRFMKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPG TGELRDIGIGQGKNYSVNFPLRDGITDESYKSIFEPVIENVMKYYQPEAVVLQCGGDS LSGDRLGCFNLSMDGHANCVSYVKSFGLPTLVLGGGGYTMRNVARTWAYETGVLVGQE MDRVLPYNEYYEYYAPDFELNVRSSNMENSNSREYLDKITAAVIDNLRQTGPAPSVQM QDVPRKPFGGMTDEEEAELDDLDEDENKDVRMTEHRWDKQVENGAEFEPSDDDEMAWA NGATRNGGNKKNLSDFKKAEATDQDAGKSPKDNQDDAQQETAEAEAHDVNDDTIEEIG VTEEPLEKVGAAERGDEKEGPAPGKTDADGDVGMTDTATAAAEEDEGIEEEEEATIKK EEAEPGVVPDAAGAAAEKSVEEDSACEDTKKPADATEPKESKADEPSANKEAETAAKT GGEQQVTEAMEVDQKEKVQDKAEDASSK UV8b_01163 MERFRTLLGGGGMGMGGATHGTDNSNLIDNSETVYISSLALLKM LRHGRAGVPMEVMGLMLGEFVDDFTVKVMDVFAMPQSGTGVSVEAVDPVFQTKMMDML RQTGRPESVVGWYHSHPGFGCWLSSVDINTQQSFEQLNPRAVAVVVDPIQSVKGKVVI DAFRLINPQLLMMGQEPRQSTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKTALEEN MLMNLHKHVWTEALEMNDFRTEGDKNKERLQRLVSLADGYEKRVKEETELTKEQLKTR YVGKLDPKKHLEDVGQELIEDNIVAVSRQMIDKEATMPKKEGQAGDASGERMDIEEEE L UV8b_01164 MTVVPKSTRQSQTNFDLPIDGCMTTLLPSAWVDLDETTARAMGM LWWSPSRTNASTPYSWSKCSGNARQRPSKPVEAGPVPTPRRRPPNAANQAVWSG UV8b_01165 MQLRKAARVILVGAPGVGKGTQSERLLKRFPQLASISTGDLLRN NVKNRTPLGIKAESTMKAGGLVADDLMLRLISGELRHRGWLQNHGPPDIMTLSSEATS AAEIRPPGGDLSLDAFAAGHARSSSAQASEDPSASFILDGYPRNASQAHTLDGIVPIN LAVSLRTPFSVLLERIAGRWVHEPSGRVYNTTFNAPRVAGRDDVTGDPLVQRPDDTED VYRARFRKFEEASEPLLEHYASKGVLIEIQGMTSDEISPKLYREFERRFVVGSPRSDA TDVL UV8b_01166 MVSWNLFRILGDLSHLSAKGILIFAIHRNRSAEGVSLITQALYA LVFCTRYLDIFREKILWNFLFKIIYIVSSFYTIGLMQWVFPRSRERELAWKMGAGVLA VSAALSPFMMMIFEQHWRFRTWMWVFSEILEAGCILPQLLLLRQTTVPTVINSFYLLA LGSYRALYILNWFVRELDMRGQKPDAVAVIFGCIQTALYVDFAWVYYTRQRVKLRGGG VVDADDLRRGWLLRRIFGKNADSHDDEESAPALGGNDGRESRRPSRPGWGARGISVSA DEDVFHDDQHRDDEGLQERVDPDAKMQDPDELAKALDDDDDDGDDVGDEEGTATASSS KPSKNVPNGMRSGDEWRE UV8b_01167 MAGQSPQGRQPGGLRLEAGGGCWLLAAGCWLLGSTESSLEAQVG LGCAIGLTLDHWLPGCNQTRH UV8b_01168 MATAREARAGRLNERLRGAQRANVGDDETFNLDLGAMTMLQASV QSSSSPTATSSRRPQARNSTPVPHPTVDGDQRAPPSGNAAVAGEDELSSEAFLPGEMM PREKTPSVAESGMFITQDEPEPPSQPSSAPPYPPRREARALTEEVTESPAAKPGSGRR RPIPTNTSDAAASRNRSIPSSEPPSSPTLNKGRRSNVFVRPAQPPLPPVREGRHENTP PDADRNQPRRPTESDREPEYDEPSDEEAVDLGAKDAAKIIGPKRPRPSPTSPELGSED SDMTEEHTTTTIITTTTTTTDSVPDRKRKSSPATQRRPTKKAKTHESGSKKQRYSSSS DQNDDAAVEITVQRLVNNFPRDPNDQDDLQPEIPFANRGGETVVDVFAQVCEEVISST LTQLQQLGQQTTDPEKKKECRIKMRAIAAYREELNSRLLQHAIHLNHWHSLRRRLRHV QKEKLGLRDEIIRLKGEREQVALRMDAIRIKYEADSKESTARLHASALLHDIDLAVAK GREAPEMSEADEKLAELRNLDIQLAQISDLVSSSSSTGGLLQQVRDFNAFLERAANAL ESR UV8b_01169 MADFKDPTPAEEAAARAKETSEQAALPYKWQQTIAELNVTFTVP GNIKSKDLVIDIKKQSLSAGIKGQDPVIKGELPHAIHVDDSTWTLSTNSDGTKTVEMH FDKVNKMEWWAHVITSAPMIDVTKIQPDSSKLSDLDGETRGMVEKMMYDQRQKEQGLP SSDEQKKMDILKKFQQQHPEMDFSKAKIQ UV8b_01170 MSRNGTSSVSVGPAPSTGAGGAPSDEDETCPVCKTTRYFNRDME FLINPECYHRMCKTCVERIFKDGPNQCPYATCHKTLRLRGFKSAFFADLAVEREVDIR KRVSQVFNKVEDDFETLDDYNDYLYMVECLTSDLVGGTADARAKAEAQLAEWEAQHRA EIERNKRLARESDEARQKRLAAEQEAARQRRLRDLQQEVAEKASAARFREEMLDSLQS AEVGHAAEALDKVLLKKRGQQRRGPPAREGLASAPGAGLSIRGLRGKTRGGGAAADGD DDSRKPYDPFGGLDLAPERVDLSPDKLQGYRSEWVDVTRSKSDYLVGGYSADEYISRA LYEAFSGLGVFIADDKPGRSVPVGAK UV8b_01171 MSGSFEKSVKGATKIKSAPPKTKYIEHILVATHSGDAGVGEVFR SLQYRLRDSTWTVVLKSLLTIHLMIREGSPEVTLAYLAKHRSILGVGHFSDAQTQGRN IRHYANYLAERARAYRDTKTDWVRARESRLENLSVEKGLLRETEAVQHQLSALVKCAV LETEPENEITIAIFRLLILDLLALFQVLNQGLINVLGHFFEMSKPDAERAMEIYRSFT RQTDCVVQYLSCARLHEHHTRVEVPRLKHAPVNLGRQLEEYLKDPDFEIHRRQYLAEQ DAKRNGRSPAAPAKLDFAKSLSKPTSSTANSNNSPFPSVPEAKTDVNPQANKGPDPDL IDFVDAIEQNQTFMQISALPAGFPTGAAQFQAQPTAMPFPPTGFAQQQTGFVPSNMMS QQQNMGGFMPQQQPPQIPPQHQAQPLQPNFTGAGFGAFTPQSSFQPGALGAIPQNSEA VFQNPTQAVQYGLQQPPQAAQASPLQPTPTGTNPFRQSIMVQATGVQGNPSSFSSSPP AQPLNRQSTNPFARSPAQNNSPFQPSVPLQAQPTGTNPFAKNFQQQQQQQQPPAPSPD HQQQYIQRGLAPQATGTTNPFRHGAFVNHNTGMGWQHDQTAIGGGLDQLATVPVFPRP AQQTPWQQ UV8b_01172 MCTNAHTGPSTPHATRHTPLRATVDALAKGYGPEAIRGLSQGIK PPIPLTVLRCSFCNGPGFKPIENRSLKGTLAD UV8b_01173 MSIGMSNHRQKLRIWIYLLGVVVVLLVAFHKTEYGGGLGGSELA SIWRNSRIQQRKPRYVFVDLGANRADSLKTFLEVEDAKFKFSFPKPDWATHEQADIYL FEANPVFNEALVTAKETYQAQGLSITIFPTTVVDVKDGTRTFYLDTVNGDNDFWGSST NANHPDVVKSGSNGTELSAINISRWLLMNTLPKDYVVVKMDIEGSEYDVVPHMVEMGI WSVVDYLFVEWHKNVAGEEAMARGHAAKDKLISKGVQMPSYDSWT UV8b_01174 MDFYQANLAVLVSANAYFIWSNGRATKTQDVAAPIERPASSVLA EARKFQLGFLLPYSLAVAADWFQGPHTYAIYKYDKGIPEHLVAALYATGFVSGAISAS FAGGLADRFGRKLACLLYCALYTVTCLTMLSNDLFILFLGRFAGGIATTLLFSVFEAW MISDYHDKQIKACGLELSSVFSQMTTISCVVAILCGICGDFFVNAFGTRTWPFLAAAA CCVGAALTIMANWKENHGTQSEKGSTLDSMKSGLRTVLGDPKILSIGLISCTFEGTMY LFVFFWSAALNSARTATGSTASLPFGLIFSNFMSAMMAGSALFQVAQRRYRDTPEILM NLIMIASCCMGFAVILKDEIDLFWVFCLLEGCIGAYYPAMACLKSEHVKDGLRGHVYS ILRLPLNLFVVLAHSMDEEGDAHRNNVFLGTSAAPLIETIFKAIANHASPCPSWTMTT WSLVNTVARACRLAFASSHPTKVWCPIYSRSTQAAG UV8b_01175 MSQLRRCGVLLHASSNGALPSLCLRNVMVRSHTTSQSEAHGWRD RQAELLHVWNKFRAPDSLTSSPSDNVLTGFLGKRRNIGKHLSFADLTTTSGEVIQLCS RAEGGPETHAEFRQIPAFSPVVIRAQAASPTQPTSETQNEPTTKRTLYLQSIRALNNV PKDLIVTSDVQFPQTKRHLQIRFHPELQARLRFRSWLKGQLNRGLLEKGFTDVDTPTL FKSTPEGAREFLVPTRRRGTAYALSQSPQQYKQVLMASGITRYMQWARCYRDEDLRTD RQPEFSQLDMEWAFAGAAQVQQDVNDIILNALSQLQPSKTYKDVRGERIPLVSSISHG PIQAVEHPAHTITTLTFADSIAAYGSDKPDLRIPNRIHAIEDLEPYRNFVGMITHLPD PHVEAFTFSLKDCSPSETRKFIVKFMDDLPPALADNPDGKPQILIHDSRQPLSGFASL GFGYESVLAKLSGGKEVKDGDVVVFQARQKPRGQYCSGSTKIGEVRSALWKGLVEAGH MDKPRLGDADSLRFVWVTEFPLFKPVQEDEPGQGGAAGISAVHHPFTAPLSAKDLEVL FTDPLQARSAAYDLVLNGVEIGGGSERVHVADVQEFMMRDVLKMTDQRIKDFSHLLDA LRSGCPPHAGFALGFDRLTALLTDTPTVRDVIAFPKTMKGEDPFVQSPSKVSNEQLAP YGLQLCGKST UV8b_01176 MNKLANMRHWSERMSPNFGMGRPNIQMNGINPHPKSAAQPAGAI SSPLAADAIIHYSFNVPFASDLAGPDTEDIVHATTDAVLRWTHPADAPDDVKVHELPV HAQNLANLGRLCRDLSVGPLPIEAHVTSSFPKNGRGQVTTVCLSGSPELVHKSRETIL NEIPIAMRCTTIDIDGKLVCDLNAGVLKKPVVEAFDYISTYCGVDIFLLGPKLTPMID GMTGDSEMRMDKRWRVAIYGDILSSEHAKARVLIHIDTLLGRAVDATRIELSLHQLVC GRHRKNIKLIESSTGTAIYFPPLFSQMYRYCPQNATRRDPTDIFITGETSQAIELAKQ KLHETVSRIRLYVKDVTIPAAKIDSILLGRLDKVRKILEANGTYIMFPPLACQRTTVR VQGGEGLPVERTVRELMSLAGQFYGAGWYIQQPETRQAPAPADIRSMLSEICANAEAD LSYDKMSFTVAGSDDAVKCALTVISDHKFVSQSQYQIRVKIELANEHKEFVSGKKNGK INKIMGQSNVQIIFDGFNEYNFNIDVMAASYDSMRQGLSLVEQEMPASISFHVPDQYH KRIIGIGGQHIQRIMKKHSVFVKFSNAMDRGGMGREDDDIKVDNVICRTPARNAQNLD AVKNEILEMVDRADSEYTTQNASVDRLYHRELIARLPEIDELEQKYNCKINFPSTEEA SDEVTVTGPQWQVPHCVDELLGMVPDKHELVLARTPELVRFLESPNFATDLVIKLKAQ YEVELTVHQNPAELTEDGSPTMTLIWGFTRNNAGGLRDALDFLQAQMATATVDVTIVK GLIPRPKSDSFEDSLQYFDSKLLQHAPASSSTDSPVKTGFGAEVARERSSILDRLRKP GSMTSISAFLDRRKNSSHSMNSSFFKGSSNVSKSSLISIESTRSFNADRNPWNDSGVN LAEDENPWAPRPFSKHMDNKLSIPLSGDATPRHSARASGDSGRPSTSHSLNSGYPAPI GPFR UV8b_01177 MLHSVAKCVFRPSVKTVQPAWKATPCGIPRAAIALSAKPKLRDY QEDCIRSVLLSLKQGHKRLGISLATGSGKTVIFTQLIDKIRARPNGGDRTLILAHRRE LVEQASRHCHLAYPSKTIEIEMGSIHASGGADITVASVQSITSRDRLEKFLPSDFKLV LVDEAHHVVAPGYLKTLKHFGLDQKQQDSPTLIGVSATFSRFDGVKLGAAIDEIVYHR DYVDMITDKWLSDVIFTTVESSANLSKVKSGAFGDFQTGELSKVVNTDEVNDITVKSW MAKASDRKSTLVFCVDLAHVSGLTRKFREYGYDARFVTGETPKVERSEILEAFKSGQF PVLVNCGVFTEGTDIPNIDCIVLARPTRSRNLLVQMIGRGMRLHPGKENCHIIDLVSS LETGIVTTPTLFGLDPNELVDKASVTDMRGMQERRSAEQSSQQEASATGAAGSPMSPA EAVTFTDYSSVLDLIADTSGEKHIRAISQYAWVQVGPGKFVLSAPSGSYIRIERIQGD ATASSPTYRAVEIRALPPGVAKSPFAAPREILTAATFGDAVHGADTYAANAFPHTFIH RNQPWRRLPPTQGQLDFVNKLRGKANPLKATDLTKGKAADMITKLKHGARGQFARIEA EQRRREKLSSATDARQSRERVEVGPVMA UV8b_01178 MSNLISVSRHPLTLGNMESAPGFAAYEENASPPGSIAEDAELRM NQRHHSNDFCSPDEDSCYETDDTWDDTQSEGDSPEIRDLGSRSPMKRPSEETIETPPF KRQKDMLNREYLELLNLDIDEAAYRACSGDGFDLPTAQIGLTVWSALEKKQFFEALSR LGRHDLSEIAARIGTKSQAEVRHYLSLLEEARDSRNTIVRRSFLELGEYPAAVELSQQ CCHALEDAADALSVRHQQMDELREMRKWGDSWEITPSVAQMLEQRAGESASETPMPFA HLFHLSNWLQLSEQVFMNSSVPGSNWNYIDERHPSIWATALDDFHSLAVSVTRRLVQT TLFIGMSRIRAKRKAVRCTKNVVRKDDVKAAVVSLGMPLHSHERWRTSARRLRLDVYE EPPVSDVESTDEEPMTYEEVEQALLQRHGSAGKVLIPDDIELMTRSHDDSDYGSDSGG DDEDNETDCDLDDAADMGFDSEARGQEEETDEEECRINQDVNEVLHYSSVNIRDSRSA KQALRLQVIKERHQEKQAEEHDKYASFQIEAEMWRILHKKPPTELPKMRDPGRMCRAN LEVESVYPQGNNWASSLDYHEEWENIAC UV8b_01179 MPPRKRARGSSLAASASSARRGDDAMDMDTAAASETAAPSAPSK QGQPSFNDMWTDDQIASLFKGVIRWKPAGMHKHFRMIAISEHLRNHGFDPDIYQHTRI PNIWGKLRTFYNLDLIDEREYFDDDETSDKYIEFSLPRSCFLESMMQRAVAESSEAPT SPPELDLSPAPSQPRRRKRSDIAAMARITDTEDTEYGTDAPSPAARPKRGSRGRRRGV SYRHARTERADRSDRAEKTEKNHKAETTEEEDAGDDGDDSGPGEDQEEDSTEDGGTPA SRTTRAATRKSTAPKPPPRRTRSRR UV8b_01180 MIRETAETDVFAIPDFWKTSRCLDQQATIPTCCFGPTSDEPGQD EPKLLPLQPLNRDREGFFRIPESASAEPDDPKNAEELDQACSTDGLEGEVESEIDVWM DSASPSLGLATFRTWQAFYNGSPVPCRPSFLSEAGSGAYDALLHWPTDPLDLQNIDTP VVETRPYFSSLLALSLGRESVFFSKEPEKGTFKRTISTCRVPGYTWQVLQGVEALAME CGSTYSALGAFSQSLYASTSRCAVALASSIQRILEAVEYRVIVDGCRPQSLLQLQSCV QQASAVLQPLRDLQARTPRNSSDQEILSVVFQEAATREWSDKSIQDILYEMLRRVSNP WIESVEEWLGIRPEVGMPFTKASLGAVKGFVKVDVEVLTDDLGRRCEDVDFRLDADKV PQFLPMDIARSIFETGRNLRFIAEFHPQHPLSQPELIMAQRPPKAGWVFDWASVSHLE RHIQQYQSNLLQKMQQSRLDSSNNFCQSGDPQVGQTTGFALELFGLDEEVLEQRLLES MTRLNQPLKERCNTDSLGRLVRESSSRDEDGDLGVADDKPHWSLVPILSFGGIVSAQA RIVNRESLRLLFAAHGIQQHLRLQRDFQLLGNGLFCSRLSQALFDTDLETAERRAGVA LQGGVMGLRLGGRATWPPASSELRLALMGVLSESYEGDGRLEMPKTRGSWGYEVPSAA PLPGNLSFAVRDMSEEEIDKCMDPDALEALDFLRLSYTTPPELACIITPVHLMHYDRI FKFLLRILRMVYTVNQSYRDINSRHRTSWFGENAALRFVRESQHFVSSVASYFLDSGV AIAWQAFEQRLDKMRVELDDADACVPKAVYRPSQVRDMHSRVLERIMHALFLRKRQQP VIRLLEEIFAMVLSYAKRLRLQALGMANEEAGDDAETAALYAQFKRKLQIFITVCRGL SEKGRAGNQTLAEGTISDEAGIGDDGLIAQLLTKLDMGDYYCRH UV8b_01181 MARPSAAARNLSLMEELEKLDQSITLTLQEIDQNFSKAHRIVTT SIIPVVEHYGEHSRAVWEASKFWKQFFEASANVSLSGYEELANDDDSTMMEESTAIRD ETLTADDSPSQAKLMSSPVGRDATIHMDDSLLDDAELSGSTPRLPRTKTISADTFSSS MRLEAKGQEGDETTVLQDEQDSTVLFAQRTARLPDMSLTPSGLREHTAAQQQSGGRKK DPLLHRILDKTYRVRTTPHRPGSRASPLKRERDDDGEETKAKAPWHDDDSLLSSPEMA PPTLRSEVFMSPYKLLSRQRAAATAARGGQGPRTPGVSVQTPATGKKSRNALDPATAG RGRAPSGAGTGAAATGTETGNRASRGGPRYEIDWESGGDDDDDDDDADLYAGMSPPKT IQFALPPSKLLQTPAREASRRIVDDILIDAGADPESSEYSPTMVKMNEDMLNDSF UV8b_01182 MDFSPSFGRARYKRSNLQPSPALGINTTRHTLAPAEQPPASSKM HAANTQAQHGLVAHPVSSPHMFDRVGSKNLFTSMLGSRNSSAAPSRRQSAASSVDPRA KKVRPPLAAMPPFRFVN UV8b_01183 MEQQVHKPHRKSKERKQHTGDHNPKAFAFSKPGKLQKAAARSQD IKERRFHVPLVDRLPDEAPPRLVAIVGPPGVGKTTLLKSLIRRYAKETVSDPQGPVTV VTSKKQRLTFLECPNELEAMVDVAKVADIVLLMIDGNFGFEMETMEFLNILAATGMPG NVFGILTHLDLFRKPQALKDAKKRLKRRLWTELYQGAHLFYLSGVMNGRYPDREIHNL SRFLSVMKNPRPLVWRNSHPYTVIDSFRDITHPSKIEQDAKCDRSIVLSGYLRGTNFA VQGQRVHVAGLGDFTVSSMEVLPDPCPTPSTEQALAKITGKTGRRRLDEKEKRLHAPM SDRSGLKIDGDAIWITRERGFTLNPDADGAERGEGEEMIVGLQAERRLLGQMEDGVQL FSGGQKLTDIAQEGVDTGRKTQRRGRVAEEEEEDDGGADDQDSEEEDEGFVSGSADDD DAEARVEFNEGKMGKMFRKDAGRSAGEEDVVFADSDSDLGSLSGQEEDENSDDNAWDL NSDEEEAALRWKDDLAGRARKLHGRRRVFHTGDLAKYMYDENMTPAEALKRWKGEDDG GSGEEDMEEVDGDGDDDDGGFFKKKLPEQEEDSAEDRTIPLYDYEDLAAKWAEREHVE ALRKRFTSSGQAGNDDDDGDGDGDGDGDFEGFGDDDDDANDEGDGVFEDLEAGPADQQ PAPETAEDIAAEREKNARRKQELKQRFEEEDRDGFLNDKANARRQDGDGEDYGEDEWY DAQKATLQKQLDINEHELGRLEERQRAAVAGHRAGRYAKLVLEGVPAEFVARFSARRP LVVGGLTPTEDRWGFVQARVKRHRWHRAVLKTNDPLIVSLGWRRFQTMPIYSTTDSRV RNRMLKYTPEHAHCFATMYAPLVAPNTGLVCFNSFSPNAAAAAAAAAPAAAGFRVAAT GTVLGVDESADIVKKLKLTGTPYRVFKNTAFVKDMFSSALEVAKFEGASVKTVSGIRG QIKRALAKPDGGRFRATFEDKILLSDIVFLRAWYPVRPHRFYNPATNLVGWQPMRLTG QVRRDQNLPTPQARNSQYRKVDRETRHFNPLRVPRALAADLPYKSQIVATKKQKRATY LQKRAVVLPAGSEEKKARALMQQLLTIRNDAAARRRAKKAENRAAFQKKLAESEDKKE AREKRETKEFWRKNGRKRSAGEDGGGGGGKRRK UV8b_01184 MADTTPNGGSLLETTKNNAAAAYDSLANGPVAQHVRDEQAKTQT EFSNLAAARKTPSNPAATGQPLTHYHSFFSELLSWKNPRVSAIAYASIVSFTFAVRYL DLIRWAFKLSSVVLAVTVAAEFAGKAVLGHGLATQMRPRRYYTISEATLKNMIGDVHE LINFFVIEAQRILFVENVGASALACIAAFISYHLVKLVPFWGLSIIATTVAFFVPLIY KSNKELIDHHIKNASDAINAQTTQVRIVAQKQADQLTAMGKQYAGDYTGKVQEMLRGR SSPAPGPKPKQPTPAAPVKEPEFPAPPTEEPKAKQVAEPEGVVPAEPVVPEAAPMIAS UV8b_01185 MPHSSPKELICSSTESPTELGSLAETKRKTSMHAIEVERGSATF GSQTNKHKREKAKGTSSLNGATQSQDFTNMVTGETKESDILEGNSETGPVTPRMAHDS MVTVRLSEPPSLALSNTCAMSNTRLALTASPVPEEDPVGVVEEVGHDKMVRGEIQDMA PEPLPIATTRSLGDELGKYSTDDDESDFSDDNEEVNWEQLEKTEDEQSKDEESDNSTA LLLARLEQENAKLATNPKSVKVRNVEKRSSHKPRPPSMAQLQKMVNGPAPAALRYSML PPPPMTDLEFYAALVKDYQQTAARLPTLLSNKIRKGIPPPLRGVVWQSMSGARDSALE EQYDRFCGESSPYELIIGKDLGRSFPGVDMFRDPDGDGQRMLGRVLKSFSLYDTKIGY CQGLGFLVGPLLMHMPDKQAFCVLVRLMEKYDLRACFLPDLSGLHVRIYQFRELLRLN LPALSSHLEDIQVETAYVSQWFLSFFAVTCPLPMLFRIYDVIFAEGASETVMRVALSL MRKNEARLLACTELEDAMQLLLSRGLWDCYHYNADEFVQDFASLSSSVTREKLSQLEQ AYRESLIATANAARASDITTAASRFLGRIWASTSKNSTLSPGTAAAPTRPLSMLRRST SKQSIASTLNSMEASSESVNSFGSTIASTLSRDSASPEDESYSREATPVGRKTLAAVK TAEERYLHSQIEDLLTALSELQRNHALLTSQLQSEREEREEDRKAVRLLLDGLRKRAN NQGQAEDRMAAQAPQRVPVAAAAGYNDDDPTSTTGEPEPVTDAETEKTDDAPSTEDLS ELLDGVERRFAVEKRKHRSSLIQSKAQVRDELLKTKDQLATAVSHSQELSRRIHDMDQ DLSSLREQLRERHVYVRSLHQDNQRLEKQLHVTRARVSSSSVWEAHNQDADVDWAGKG KNGGLRELKLGRRNSTPTHGPVCSTRVSSLPHIQDSPLGKTLEGPTCPLDHEALLLEL VQAKTAEATAKQEAEEAKQKLEALRRAHAPLLSENHGSCGASNSLGAMGVLGRLTGHA TTASTDGNLKPFASAAAGASPNTGGFWGWRR UV8b_01186 MKFKGEASYGTTMFSSLLRRPKPGSRRVDIHHLPVSPSTAPPAQ RQVTSRTHATADFTEADDEDDETNEEYLVRFDEEAGPGSDQPEDDQDQDDDGGDEDAH ANVRRQGLPILPLFSATHLDSLPIYSITHSIRIIVSARTETSLSWDQLRSPQVSQFLV KPMQQQIRAQHFSRATLYALMANCLQFSKEGQRYAANAGISNTRAKVCELLALKLLKE YSTRELIDALAYDFYPLQGVSGALLPMSRDKASIKAMQAMTASRTSTLEVAIRASAKH FLAHPVVVQQLEAIWKGAITFYSSADTLHRESPPSPLASTDADSAKPDTRTPLLGSLP PKLGQYQIPPGRRTVMLYDPRQASMFKLSRLRVPRYRSFLSTISLAVLIGLFLAVLSQ RSVQITGLELVFWFWSAGFMLDELVGFNEQGFALYIMSFWNIFGLGILVLLIAYYCMR AYGVFLVDPHHWNAMAYDVLAANAILLLPRIFSVLDHYQYFSQLLIAFRLMAVDLAAV FVLVLIMCSGFFVFFTLSQNASHGGEVAYKIFQILMGFTPAAWDVWPTYNWLARMLMM IFLIICHFLVVTILITVLTNSFMAIASNATEEHQFVFAINTISMVKNDALFSYIAPSN IFAWLLMPLRYCLPMKHFVWLNRTIIKVTHFPVLFIIYLYERFWLAPSMFEPTDLVEN HGRSRRRTISFGDTAQRTAMFSPNVRVREESMAGFHKDRALEEVFRRVPDATTLRTQR RNERRKTQTAIRNWMEQHEEGMPSTNWPTLDSRAIPEWQRRMSVGWDRPSHRRQVSDV RSTASDPAELASNRGMSFNNRNAKRRPWRGVERVAPDYKDHTDADGDDELVTNDEDED EATNAGRSQQFEKHGVEGEEDYFTTSMGGRPAGMAPTHGSCSKGDGVPTPGRGGQPRR AAHNRTHSTNTILYNPEHHQADASFAASPPKRKALPLPRRPNTSGRNTGTASPSQGLR RHSPRRPPYLSTSKPRPILTPRGINDSGGVTQTAMMSLDPRDRLREVRRLPSVDLSIM SGHLGLDDNNIAMAGSFQTQMAMALMKDNRMRSSGGEAADHDRMGRLVLARMKTLEES FADVIKEMRDLKNSSTAPHSRRNSSGEEPRSGSGFGGGSEHDRLRKGNVTPRKAHGKR PASRRSTKEPRIGLYGKRDVKGKAKETVHSPSSEQGREAGKNSFATKGSSM UV8b_01187 MASADEPPSLRALFQEAESRRRALESAANATSPSYAAEVHAVLS LYASVLDRTGSLALFSPNEGVEDIATSSLPYLATNYTVAELVQRTPFAGPAQRKLVLR AARAAYERFLALVDGYGLVAGPYAKLLERYRDDEEGFAVAPAAGDAAARRAAKVASFR AERDLRGRLAALKEDAGRADGDGDEEPVRQAYLADVAYHTHKAFEGLDSLNREAEMLA LAPAEEPRHPAAAADPDASLRLDEPFLGGGGSGVVGRGGGPLLSRQGRPLQPFTLVGS RADLARGVFRPGHNLPTMSIDEYLAEERRRGNMLEGGTDPPAREVDEDDADAVDRETY KAREWDDFKDDNRRGAGNTLNMG UV8b_01188 MDSDVMSDPAFSLGEESDDFVPMKKSKTKALAKPAAKKMVQTTL KSKAPAKRRKPESDDEDLFGGNSSGFSHTPPSSKKQKNALPIKKSSGLPLAEVENDSV IVDEPAKPASKSSKTATEKYQKLTQLEHIIKRPDTYIGSVEKTDQQVWVFNKAASQME FKNITFVPGFYKIFDEILVNAADNAQREKSMTQMKVTINRESGEISVENNGKGIPIVL HEKEKMYIPELIFGHLLAGSNFDDEEQKTVGGRNGYGAKLCNVFSTEFTIELQDSEHG KRYKQTWTDNMQKMHKAKITSNKSADFVRITFKPDYNRFGMTEGIDDDLEALLFRRVY DMAGTIRSVKVFLNGEQIKIKDFKAYCDLYAKSIAAERTAEEGGNPTCTVEIDKDKTH PRWEVGFTVSDGTFQQVSFVNSIATTSGGTHVNYVADQITSHLLKTLDKRKKGHSLKQ SHLRNHIFIFVNCLVNNPAFTSQTKEQLTTKPSQFGSKCVLGDAFLKQIAKSEAIENI IDFAQKKADKMMSKSDGGKRARVSNAKLVEANLAGTRRGHECTLILTEGDSAKGLAVS GRAILDPDRIGVFPLRGKLLNVRDASIDQITKNQEIQNIKQFLGLKHKQVYTDTKSLR YGHLMIMADQDHDGSHIKGLLINFLQVQFPSLLQIPEFFREFITPIVKVWQGSNPKKP QRLKSFFTQPQYEEWKEVHKAELSRWESKYFKGLGTSSNEDAQVYFTNLDEHLKEFET MKPEEAELFDLVFSKKKADARKEWLGAFVPGTFLDHSTKQITYRDFVSKELILFSMAD NMRSIPSVIDGLKPGQRKVLYACFKRNLTKDKKVVELAGYVSEQTAYHHGEASLQQTI IGLAQNFVGSNNINILEPSGNFGSRLAGGSDAASPRYTFTRLAPFAKKIFSPLDEASL KHQYEDGARIEPEVYSPIIPMVLVNGADGIGTGWSTNIPNYHPEDIVKNLRRRMGRID EKDSEEKPFETMTPWFRGWKGSAELAGPDRYKFNGIAYQNEQNANEIIITELPIRMWT DDFKARLEKVISGVDGPAWIKDYKEFNDHKTVHFEIMVDEKHMPKILEEGLLERFKLT KQVATSNLVAFDTRGQIRKYDKVEDILEEYYIHRLGMYTDRKQYWLGVYHADYRKLKN QARFIREINDGDLVVARKKKAVLVQELRDRKYEAFPPGGQKTKTTEDEIGKSDDENDE NEDAAVAGGARDYDYLLSMPIWALTEERLQKLNNAIAAKKEEHSTLLAKSEKDLWCAD LDEFMVEWNAQLALEAELQTQIRRMGRRVSKKIGAGRNRKAKDDDDYAPEKKPKAKGK GGAAKAEPRPKLETKSAQRFAEMFSLKAKKEPNEDLVAISDNFSDDDFMALSRNKPAA AKASEPSQSRSLPASQSQSDELEVIRPTKRAAASKATTLFDMSSGAEDDDDDDDTLGD IGSMVKGTSKSTVPESSGRVGLFSMNKPQASQGSGESSKTQKPMPKPATSARDMDSQD EEETDHLLSKPPPLHKTATRDEDDAQMSDDELVDRAAKTTASSTSVDADSGLVDVKKK PRAKPAAASKAKTAAQPKKTKAAPKAAPKAAPAPKATTLSPAAKAYAAKKLGKGAKAI AQVDSDDEMDDGPSPAPRPKPAARARPGRAAAAKRPIIVDDDSSLMQDESDDPFEIDD D UV8b_01189 MLPIEQLLNPVKASRHGRDAYRTILPHNITGPQSDGSSMAQPLN GASPATAQSPINFPPYERIDDQSVQQAKKYQIIQFGRISSSCEHIPYNSSKKDFFAKT GRECIQAFKYNFQLPGKAKIYTAMWDYSTGFVRMTPFFKCMGYAKTKPSQVLDRNPGL RDVCPSVTGGAVVAQGYWMPYTCARALCATFCHEISGALTPIFGPGFPADCVSPASPY FGDMVISQRLILDAKAQKSVFSKHCASVDGGSHGLRRGRANHNPCTRDPPSASSAESG GPHDLGEAAHDAEAKPTRKRKRTSSEQQGQAHRRPPKAVARRSPIAVSSSALGVSGQP ESTAPACFKEDSTPQNLEQATRHRRKGREMKRDEPVDQQAQHSPRGGKS UV8b_01190 MKEQPTTAQQKQPMSQTEIPETADAMAKLTTAETPGTDDDAVAR HDDAEATKPELPAIKKQTFEDIMADLNKSPLFMTEMEENDDIAALQALSYEGTPLENG VDFKARGNECFKVRGYVDAREFYTKGIQILAREERARAGGETTKSPDGVDGADGPGEE VASQRAVLEALLVNRAACHLELGNFRSCWTDCAAALRLNPANVKACYRSARALLAVGR VDEADAVCARGLALDAGNAALRQTAREVAARARELGDRSRREAERRAVRARREQLLRA ALKARNIATRTTTKGQPPPEMGGAKLELVPDPDDPRSSLAFPALLLYPEDLESDFIRA FDERQTLGDHLSYVLPLPWDRRGAYTLAGVSCYVETADGGVLKLGKRVPLLKVLGTGK VEVVDGLLRIYVLPNDRAAGWVETFKRAKKAELAARQGG UV8b_01191 MQLRFWLTTPDNEWHFFICAPRIRRFSVDHWGSKPQPSAPNTHS HQLSKGWQLLHQSLCYVPTSIKKSAYSGVSSRQNSHSPPPLSLTLTRYIKVPNQLIAS DYSSELARGDCEVLVTP UV8b_01192 MALTKQVHLRNPDDFPTLQLFLLAIVRLAEPIALTSIFPYAWAL VKKFQIGNQEDASFYAGLLISSFSLAEALMGMYWGGLSDRIGRKPVLMLGCLGTMFSM IMVGFASNIWIALLGRAIGGLLNGNIGVIQTMVGELVTKPEHEPRAFAVMPFVWSIGT IIGPCIGGTFANPHGTWPGVFPKGSLFHDFPYLLPNLLCAILLLISIALGFFLLEETH PDMQPRMLLPADTYVSEETPLIETSDAMKRPAVDLRAETYGTLRSCHDEHEQDKLANL ATREKDGLLTKVWNPQVVGFIVALSIFTYHSMTYDHLMPIFFEDERRVDNGDGATSLP SIFNSAGGLGLSLCDVGMIMAVNGIIALFVQAVIFPIAAERVGVYKLFLIVTALHPIV YAIVPLLLLVPAALIFPAIYTCLAVRNMLSITLYPLLLILIKEATPSPSALGKVNGLA ASAGAACRMIAPPVAGYLYTYGSTINCTAIAWYGSVVAAIMGSVQCFCVPRRHNADKS EECATATSPCSEYAPMRSEVSDEEERR UV8b_01193 MAANGRTIHFGPFEVTKQVFLTTEHSFALVNLKPLVPGHVLVCP LRQHLRLTDLSRAETADLFGAVQLTQRMLATRYFPRPGDLLSGSFTVAVQDGPQSGQT VPHLHVHVIPRQEGDVGGQPDAIYARMASEDGNVGGALWDRDRRPVPGGGMPRIEDAL RRARTREEMEREAEQYMRILGEMGA UV8b_01194 MLSFILIQNRQGKTRLAKWYAPYSDQEKIKLKGEVHRLVAPRDQ KYQSNFVEFRNYKIVYRRYAGLFFCACVDTNDNELAYLEAIHFFVEVLDSFFGNVCEL DLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE UV8b_01195 MPPATSILTRLKVQLKLAIARLRMVQKRDEQLAKVQQRAMAQLL EAGKIDSATIRVENIIRSDITTELHEMLELYCELLIARAGLMEGPVCDPGLEEAVKSI IYAAPKTEIKELGTVRQLLGEKYGKEFVMAAMENAGGKVNERVVRKLSVEPPKPELVQ GYLEEIARAYGVAWPRRGTTTTPPPRELMGGSDEEEDDDDEDEHGPRSGGSAEQKVLA EPRTREKRLAKGDEELDRATPPRGLAGPKSPVTVTPPRRTTENIHPKVTLGSVELKPD GKMAQAAGRAEPDGAVPDISDLERRFAALKKR UV8b_01196 MNGTAASTRSKRKAAYSPRNSPPAKRAVNGKLSPGDNTPDVVDE VEYDDESDLGEHIHAAAAMYVGTPGSLGEWQDTIQKVVRNVVAIRFCQTCSFDTDSAL TSEATGFVVDPERGYILTNRHVVGAGPFWGHCVFDNHEEVDCYPVYRDPVHDFGILRY DPKAIKYMLVDGLELRPDQAKVGVEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGY SDFNTCYYQANAAASGGSSGSPVVSKDGCAVALQAGGRSDGASTDYFLPLDRPLRALQ CIQQGKPVTRGDIQCQFLLKPFDECRRLGLTPAWEAEVRKAFPNETNMLVAEIVLASG PSDSKIEEGDVLVKVNGELITQFIKLDDIMDSNVGKKIHVLLQRGGEDVEVEIEVGDL HSITPDRFVSVAGASFHNLSYQQARLYAVAVKGVYVCESAGSFRFDNTDNGWVIQTID HKKVPDLDAFIDVLKGIPDKARVVVTYKHLRDLHTLNTTVVYVDRHWSSKMKQAVRND ESGLWDFTDLGEALPPVPPVRRSASFIELDHMPHPDIAELIRSFVHVNCTMPVKLDGF PKNRRWGMGLVIDADKGLVLISRAIVPYDLCDITVTIADSIIVEGKVVFLHPLQNYAI IQYDPALVDAPVKSARLSSEHLTQGAKTYFLGHNRIGRVVHGATTVTEITAVAIPANS GAPRYRAVNVDAITVDSNLGSTCNSGVLVAPDGTVQALWLSYLGERSPCSQRDEEYYL GLGTPTLLPVISTIQSGESPRLRVLSVEFRAIQMSQASVMGVSDEWIKKVTQANRSHH QLFMVSKRTFERVDQPVSLLEGDIILTLDGKVCTTISDFDVMYTNEILDAVIVRECEE VRLQLATVPADDIETHHAVSFCGAVLHRPHQAVRQQISKLHSEVYVSSRIRGSPAYQY GVAPTNFITHVNGEPTPDLDTFIAATRKIPDNTYFCLKAVTFDSVPWVITMKKNDHYF PTMEWIKDSSEECGWRRVTYEGGQVFQGEAVDGVPPVVEDTDMD UV8b_01197 MDSSASTSEAKSLPWTEEAKYQFLLRIVAQLRQDGKAISWQKIN MPGRTPKSLQNMWTKINKTIAEMEQENGDATPVNKPSPRKKLPTKSKSVADQVDGEYV EDDDELPIKATPKKRGPAKSAGGSAKKARSRALEGEVGCKGDDGHGESDGEI UV8b_01198 MAVQRDYYDDLELPRDAEINDIKKQFRKLALKYHPDRNPGREQE VNAKFQIIQAAHEVLSDPTEKAKYDTTLGRSAARGPGASGVRGNPWANAGAQFPTPPR RTTPAKNAQQSGAQRWQTRFSTGVPPTAKQTHGLDPEAKKNAAKAFENMRKHSQREPR SSEPPPPPPRQPPRTESARQRAEASFGSRKSGYYPRSTVPGDEPPVTRQNYSSTERRD VPPPPPFRPGQKPMPDPLSQFRDKKPSFDTHQEDQQQSSGFPGNPNPASSDRNTERPV QGDGQTHRADQEVPPYTANSSRPGAESGPAVNRASYQTKSASTTDPKTAINGDGPSIF SIPVDDDIFSHSGTTSGGHGFTKSSLDDINTNFVKDQDPNTWQFRACTEETDANAESG FNPQGWSDKFGPQTFVPQQAPAPSASPTRASSRGNSKRSRTKATGATAVAVEEVSSDE DSYEWRGRNANAPPGPTATDGPQAMDIDSSCQPSAAEEAQAQSVRNIPVEPSRPEWRA GNLDGMSQGTAAPRPADIPLYSNAAGSEDSDEFRTTFAELKNVAPFSQQGEGLASLGG LKDNLPFDSKASDVPPVTLPRTQPLAFPAAPVAPRPPPALAVEGMTPTAASWAKYLTE FGHYLTLWDSFNGQVVDHFATRKSLIADMRASKGYGFLGTRGGGELQEYYNWVQQDND VHGRWMKECEEHQGRLQEFLAFRDKMKGVYT UV8b_01199 MANEQISLPYLAGLLLLSGLIIRYLFFSRSQASRSSRSPEDVRR SREAAVERIQQMFPQTDRRTLLWDLQRNGGSIQNTTERILAGRLETPPITFQPPPPPG QSASLGASAVSATSRAADKPSQPDLITRYNLTSKLDDGGQEESAGAKGWSSSREERQS ALQKRRDDMILAARRKMEAKIAAEKAMREE UV8b_01200 MMNRPGTVPQSLRGMPVNFGGQQQSQQPGRAVSNRLPNGKIANN GSGWAFGGNVPMGGVGFQNQTRQLGGNVSFAQSLSGSQPATPLDLSEFPSLSNNSQSN NANPASMWSSGGSRSLSGPVQRNQSTPVSSQQGGQEDLFSAPSSRLPSNQGSFRFGNQ SNLASAPQGQPSSVDDFPPLNRSGNADLASDRSGNLMSLAFKSQHGASIMHRGNGLLN ALSANSRASDARSPPGIGSTTRVQDQKRLGADEENRQKSTLRDIGLGKPRTVDGTSSS VLGAIGNDEASAKLRDEKESQAPEAVDPLAGMAPVDKWGIKGLRTLMNNYPDYHAMVV GMDPSSMGLDTNSQDLISTQVYSLFDDAPPRPTVNGHRVRLPDCYNVTNVQPFESKIQ NFNDDTLFWIFYSFPADAKQQMAAAELHSRNWRWHKKHQIWLTKDEHMTPQILSPNHE RGFYIVWDIDQWRKDRRELNLFYGDLDTTLSQAPTIP UV8b_01201 MDLDTDVPPELVDIEGQVQIDADDDVAVKVPITIVTGYLGAGKT TLLNHILTAQHGKRIAVIMNEFGDSLDIEKSLTVNQGDDRVEEWLDVGNGCICCSVKD TGVNAIESLMQKKGAFDYILLETTGLADPGNIAPLFWVDDGLGSTIYLDGIVTLVDAK NILRSLDDPSGQVQVQGQGDHDNHGPLMTTAHVQISHADVIVINKADLVTEDELQRVK ARIRFINGLAKLHITEKSVVPQLQGFLLDLHAYDQFNEEEERLKGHSHLDPTISTISI PVPRVRHDKVQDIDKWLRSVLWDSKLPEDEGGDFEIHRSKGRVILQDGSAKMLQGVRE VFELVDPPQTGETQPDQGKIIFIGRGLVEHDFVRSFERAVR UV8b_01202 MALAPSSPRLPSPPPPAEIQISPNSPSGGAPASPHAAQMEQSVL DANSRRRIHPGTKAADMATGPPLVPLGDLDSAFQLQEHLAAMHYHHTACSTQAIAREA ALQLAQAPTGVERTIWLYELCRFLISQCNSLIVGFLFDNPPCSATTCPEMRASEWQFL CAVHEQPKSCCAIDYCCHTLDWAANVVSDQKLFPSRFAVVSDPQSRNAGVKSLVNVFR RLHRIFAHAWFQHRGVFWSVESQTGLYVLFKMVCDLYDLLPAENYKLPPEAEGLEAPP PEPGVEKPCAILKPSLQQRPAAAPEDDSLHPGGRTNTRRHIRSSPSTGSAVTTVMETD EEDTENVTSKMQNLHVFNLQEADVAEVPVVVDDSTISGASQESSRPGHPAEADETTVE PSPPPPSSIPALKEEEPAVVVVDTPHPDEMSDSTSAKDSAELPEASRPPHVDDPGQIV AESAMTPPPTLKSSEIKDEPVGIVNAEDMTEKSGQVTDPE UV8b_01203 MCRSRRVKCDEALPICDRCRKGNRDCVYPDPPTSKSSQFRGSIK YSTKPFTDGGNGDSDPGVKPLETIVDEDEGEDVSPSAGSDSTWSGTKTISSSGHKLSR NASSESMSQDNIRKSPPSVPTLCGTDAAASPDSYYPMGGRADWSHLAPDYQHHLNYFA ENITNFHYSITYDEDNFFGRILPFLAVQHEPLLNALVGFTSYHAALQNPDGKLQGFLT YYNRSVTLLLESLTKKEMNNVLNLVTILQLLTIEEYLGDWVNLMGHQKAAFQVIRKIF TPVTVMQTAVGRACINWYTRYDCFVAIQGGFPTDLPKAWFNLMNEHYKSRMDADVDDI SSKISLRSTRLRSISYDMSILYARGSRGQITSEDFAREHSKITDKLFQWKTTWDKVLA DPDYLVTDFPYIKEPDPDDIVNPYTTGLLYHGLFFTTTLIHTEWASTMLMHLSQSPDM PSEKVFAEMAAHAYTVCQAFGAVESWPLKPKGALIPFMCCISIASVFLPQTPRNHMWI RRKFALLENMGFIQPTERRLKLAVLFRDPSCAHWWLPNEEGLTPVLRAIKLFADERNA AAVNAQHENIREVRHVFAKLETAELAFQQAQRDRVP UV8b_01204 MRVVEVIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVSIVFDNRDTKKSPIGFEEYASIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILAMIEEAAGTRMFEDRRDKALKTMAKKELKLQELRELLKDEIEPKLEKLRTEKRAFL DFQQTQNDLERLTRVVVAYDYVRCQEKLTQSAADLEEKKKRHKFLEKSADRLKSELFH LEEDIKKVRVQRDKEVKKGGKAQALEKTLKEHANELVRLATVMDLKNTSLNEEKDKRE TVEKLVSELDAALDGKTAAFNRAKASYDEAKDDLTKKTQDVESKEELLQTLQTGVASK DGQENGYQGQLQDARNRATAAATEQEQAKIKITHLQSRVKEEEPRARKAKEQNAGLLR DLDGLKHQAQQLEKELAKLGFEPGQEEEMYKRESSLQQTIRSLRHDSDKLKRQVANID FNYADPVPGFDRSKVKGLVAQLFTLEKEHVQAGTALEICAGGRLYNVVVDSEVTGTQL LTKGKLRKRVTIIPLNKIAAFKASAQSIATAQSLAPGKVDLALSLVGYDEQVSAAMEY VFGNTLICADAETAKRVTFDPQVKMRSITLEGDAYDPSGTLSGGSSPNSSGVLVTLQQ LNNISRQLNDMEQSLRDVQIVIAQEKSKLDQARRIKQDLDLKRHEIKLAEDQISGNSS SSIIQEIENMKASIAELQEGITQAKSRQAEANADVKRIEKDMKDFDTNKDAKLVELQQ SLDKLRTSLDKRCSTVKTLQKELQSAQLDLEQVSADLSAAREQAQEAELGIKAAMQDV ENLSKQQAALTQTHDTVQSQLDDEKAKLSLFDDELGALEEAMRSKNARITEEGLEMQK LGHQIERFHKEQQGAADNVAHLESEHEWIQDEKDKFGRSGTPYDFQGQNIGECKSTLR NLTDRFQGMKKKINPKVMNMIDSVEKKEVTLKHMIKTVIRDKRKIEETIVSLDDYKKK ALHQTWEKVNGDFGQIFSEFLPGGSFAKLDPPEGKTISDGLEVKVCLGKVWKQSLTEL SGGQRSLVALALIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVV SLKDGMFQNANRIFRTRFSEGTSMVQALTPADMR UV8b_01205 MAAMRPPRLQVGSEAWISEERNAALQIVQSEVEEFSYSARNELD WLNEHMAGIFNENETNFAEIFKTPGKLRGKTPRTANRAKLTETRVPLSEVFSATPLGV SSPLNKNQRAISRNAERSSPSPLRPQNSRLAVFSSKQSIHGTQDSGYFGSQDVAPVHI HMDTDFEDEETSCQMNAKPTTTMSPEKNPAGIACTDSPEKALQTAKQDQTVRISAEVS ETTAAGAHFHPDHEPGCDEAASAESQPNLEAKQIAQHTDDARSTSDGSSPIRPVVRKS SLNFASLPAREPLAAGKSSGARVSRSSHLDHGRASYYNRQTGGKSIGGTLMKSNREED QEHDLFAEDAAFSQTVTDDNKTYTQRLQDQINMLGQSQSKTAIFTQQSKTPAPKTASP LPLKTLQSTPGAFPQDDDDDWIEPPATVGLAADDRPSFSKSHSAVVVEGIQGKKTIGQ TDFVFSDNESAGAAEVCVQDRVVKQSYGDEKLASVPGIPIMDRTDEEPQLHKNVASFA KPGLMTVAEISGFDTQLRSPSRSVRESPLRQVKNKLSSILKSSRGLLASSAAISAEGK SLMSPSSARLGLHSIMSSESIATKQGLGLQIPQNTAVEAESSPSRTTRRTRASAERER EEKKREKEIKRLEEQNEKLEKMREKEREKARVFSKEQEKLAAMEKQIAFQKDYTPLSA QETLTSTKALDEETVSIDKDVDMDDASSAPAPSASHSGVRTHVVRSKEFKRPVKPTRE TQNRTKQAPTVIRVNTGSQHSQYRLSTAQPDTSSSSSSQSSHQVSSKVGKASLPPKPS TQSLRSAAATSRVKAQELAAKKKEQEEREAQRRRDAKAEIERKKAAAQEEQRKHDQLK RQEVERQRQQQQEQAASQAQNKCGAQRKAAIEKAKQTRAPPPAVRSQPNGPPDFSTFD DPALSRPPSRPTSNAPWTQDEPGRPVNAVLSNAGKSGAKRPLTQDKGDETQYKQPQTR GGAAYQSNDAKRRRTSEPADEETDGSSQRNIRGPPVRPSAAFKKELPSKSIFQAGYTN APQSNAPNLFKGPVHAYSSAQMKGVHPLDMAQISKGAIPFAPNPNAAGLAHKTPARPG PAANGGKSAAKSVPRSSPRFQNGETIELPEIQTDDDSEDDESNGVTVAPWADSPDLRM ALMRQETMDPSRIFGPPAPLNMEEVFNKSKDRFHKFRARTSSANWSGADRLTEEEVRK DLIARDKLRRDGGWSYEMSRDML UV8b_01206 MLRQGFRRCAGLAAVGAPSVFVRAQASLPVAQRTILSLSRNAAV FRSLPCALRLYSSEGAAVESPTPAETVAGEISNFADLSTLGVHQNLLKAITKDLGYAT MTPVQAKTITPALKGTDIVAQAKTGTGKTIAFLLPLLQRMIEEDPSLASKQASRRARS DDIRGIVLSPTRELAEQIAVEAQRLVKHTGLVVQSAVGGTSKSSMLRQTQRQGCHLLV ATPGRLNDLLQDPQSGIEAPNLAALVLDEADRMLDVGFEKELNDIVGCLPSRDQKVRQ TMLVSATIPDNVIRLARKMVRSDDFEFVQTIGENESLTHDRVPQHLVRLNSWTNAFPA IFELIDRESAKADEDREAAPFKAIVYLNTTAMVEMASELGFQRRKQGLMKLSTFSISS QLSQYARTASADRFRTVRKGVLFSSDVTARGMDFPDVTHVIQLDCPRDRESYIHRLGR TARQNKGGEGWLFLPPTSLSPARKLLEGLPLQPNDTLESAKVNAEAENLPEYHEKIKQ LTQSLPRKMLVNAYTSLFGGQIHRREEFVEDVNQWATVGWGWSEPPAVSHSFARNQGL LDSALNIQERSHARDDDFDHDKPRRGDRYANRSGHRSENSRSSDPFVEMGRQVRRDGP PNKRPRRFDSSRGSRRSSW UV8b_01207 MAAMLEFRTQGYNPYAVKYSPYYDSRIAVATSANFGIVGNGRAF ALGLTAQGVRVEKTFDTNDALYDLAWSEINDNQFIVACGDGSLKLFDLGVDDFPVMNF HEHKRETFSVCWNPVSKDTFISSSWDGTVKIWSPTRAHSLKTLPVGNCTYSTSFCPSN PALVSAVSSDSHLRIYDLRTPSSAKYHLVSTIPIHAAGARPGVNPGLAAASQPAEALT HDWNKYNDAIVATGGVDRIIRTFDIRNPTTGPLSVMHGHEYAIRRLAWSPHASDVLLS GSYDMTVRLWNDGSAQPSGPVAGAKPGVQLGVMNRHTEFVTGVDWCLFGVGGWVASVG WDERVLLWDSNMLMGPR UV8b_01208 MKSFLTKQACEPNPQPKRKLSPDLELDPDSNDDSTEVKLALLSS VYPALAPETLLDILLAHDGSVSQASAALRANTQRQRQRDRRPAAIGSQPSLKQYASPG DAASPSNKKMKARKGSTLHLYDPDDVAEHTPCTIIHHFLPAEDAKDLLKELLEEARSF EQITFKLFDNVVSSPHTSAFFVDSSEELTAQKSEYYYNGSQLTDVRRITPQLAKVKPL VRDAVNEQIQLRIKTRYPGGKKLKHQSSDAWMPNSAFVNCYSGAQQGVGWHSDQLTYL GPRAVIGSISLGVAREFRVRRVVARDGGDTSSAAESDAEGQISIHLPHNSLLVMHAEM QEEWKHCVPPALSIDPHPVAGNRRINITYRDYRHRFHPRHTPRCGCDVPCVLRVVQKK KKSLGRYFWMCHAGNVPGKKGCSFFQWADFDDEGNPVLDPGGETGRGGQQEASVE UV8b_01209 MDKSAKPGPATAQPSMPEQLEFPAAAAASLQPHLPPPQQQQQQQ QHDQHDQRAAAAAAAAAGPSRPFVTLTFATSLDASLSLAPGVRTRLSGPGSKAMTHYL RSRHAAILVGVSTLLADDPGLNCRAAGATCQPRPIIIDPHLRWTPRPSDKVLQLCRSG AGLAPFVLTAADAGEPPRQGAEAVLSQHGGKYIRVRAARPAQGSRARFDWTDVLAALA AEGLASVMVEGGAQVINALLSPACHDLVDSVIVTIAPTWLGQGGVVVSPERVHDDRGT PRPAARLSGVSWHPFGEDVVLCGKLGPATLSP UV8b_01210 MTPRITILGSLNMDLVSYVPRHPQPGETLTASHFSVSPGGKGAN QAVACGKLSRPSSLAGPPAAHVSMLGAVGDDAHGAALLDSLRSYGVDTSGVAVRPRAR TGVAVIVVDEPSGQNRIILSPESNHSLRPADFARLPAPRPDLLVMQLEIPLETVVRAL EAARADGVPVLLNPAPAQPLPDAAYDGLAHLVVNETEASILSGRPESDLDDAAGLESV ARAFLDRGVSNVIVTLGGRGVYYATRSGEKALVPALKANVVDTTAAGDTFVGSYALAV ARGAESDFDIHAAVLAANAASAITVSRKGAQVSIPWKDELH UV8b_01211 MTSYQPSHAQAQQSLGRDKPSPLRIIKRHRRSGTKDLANAIRKT STNDSQSTVSSVTHKAKLLHVSRRRARKASLINRDFWASSVDLSHHHIPSWEAAVASC RGLLRRSTPNFNNFKRRLSIGRSCPPRNASGGGMSSSGSSRQDGEANPCRPSSTSPSD EESRSTAEPRDRMRPQTPRASPQRLSPRQISRNSGGAYFLSPCISLTTHRDELSVDKA SVWVAVEITRKLSHISPLRPKATEEPDEEKLTGTFVHHNLDRFFDFGCLYNLTVDVDS TPNTTILEVIHEHSWPTTIYAGTSALLVVHVQLQTASTGRCQSGHGRCRSDELMEELE LELGNTQTELFTIKVCYQHSAFPKMVPDEGPRNGLRHLESRLETTATAALVRNSVSSV WSPRPETKQNSIFSIMVQHWGDEKAMTIRRQISDSHVLPRQRKAAQQAVATASSKLSA ATESSGDKDLFTLNHEPETNHFGASPPLCPSSDDGWRSWCGNLLDGSDVLGEGLRKPV SEVYYFGEQAIKALGTPAAPKPDSGRKDASGKMCAGMMLDAKVQGSGFWDWGSWF UV8b_01212 MHAFHLPAAGSMTLLGNLPSLQRQHLLSEFAGLKQTCPEGVLVT LAPGDPTLWSAVLFVRHGPYAPAVLRFHMSFPDTYPASPPLILFSTDMFHPLICPLTT YMYTTDVQEHGEAGAADRERLPPGGFSLRHGYPAWFGQRAGTPDASTARQGGRAAAAP GSGPRARGAQAGSSAPPDESSSDDSSSSSNTPRDWGAGKASASVAGILGYVRSAFDTA EVLDAVPLAAAGNPGAWHAWRTHRRREAARADAGHASPAADNDGGGEQAPPPPPTPPP PPGAGAREPGEWNWDGVWEARVKKGVAASLSESVLYSGSGVADDMIRFLPMAQADVDS LKETIRGMLGGAA UV8b_01213 MAGKKGENSKKAAGNARKAEAASQKAAAAEARHEADEAEQWAKG AKSNAKKEAEAAKKADLAKKKAEKDALLKEEEASLGERAEPKKSKAAVKKSRGLDLSQ LDGDAPSALSASGIDNALDALSLATGSDDSKIDKHPERRFAAAYAKYEERRLAEMKAD GSGVGLRLDQRKQRIRKEFDKSPDNPFNQVTAAYNATRQELEMVKEDERSKIERRLG UV8b_01214 MDPPRFPTCHVHVWREWELELACLFVCLQPPGGGVLRRPRRLLR SAFKPRPSTADRDLLEGISAGLRAVARHIRLSSDGLMQQQQQHGERWSADGHAEYPTR VPFRVRKNRAGRDGCDVG UV8b_01215 MRKYSPAVPAGGGKDGARAPPPPFPTRQMFVLACCRICEPIAFM SIFPYIYYMIQDFDITTDSSKISVYAGMVTSAFTLAEFATGVMWGRLSDKIGRKPVLL SGLLGTALSVLVFGFAPNLPVALFARALGGLLNGNIGVLQTTVAELVTVKEQQPRAYT IMPMVWCIGSIIGPMIGGALARPCISYPNLFRPGTIWDRYPYLLPNLFSAATVFFGVV VGLLFLEETHASKKQQKDRGRELGDRLVKLVQNMSICHGLRRTIEKESLLANNSLTGY NTAGRLGPTEADEPLPAYQSRENSPKLAPRAVSTSTPASMNGGSGLGRQRNVVFTKPV VMNIMSYGILAFHTMTFDQLFPVFLSTEGPPTGSKVELPFKFVGGFGLDTKTIGAIMS VQGLYSLLSNYMIVAPVTRRLGSLRLFRLLAFSYFALYLVTPYLALLPADMRMPAIYV LVVWKCTYATMAYPSNAILLANSAPTKEVLGTINGIAASTASLCRALGPTVSGLLYSL GLQTGYSGLAWWFSGFVTIIGAYLSSQVSESAQQVPDSAEEDSLLEERLLDDYEHESS V UV8b_01216 MQTHGPQFSSHLQGIKRQRQSSYDGASDRNSHAILIDQLVASPA SPSVGANGHASSKASQNSSNFRNVSACNRCRLRKNRCDQKLPSCASCVKVGVACVGYD PITKREIPRSYVFYLETRIDHLEKLLVANDIPFPPAENLDLCSRPFTDAASSTPSAED NVYSPRPEASNLADLRQHQALDALNAKKAPQNPALANIISPLKSRSLASASGVSFARV VFAAVQYSVSEQTGAADRPPGQRPSTSTSMRDSFFGLHTRPTIQPAPFPEREEGMRLA TLYFEHANPQIPILHRVEFMQTFDKAYQNVPEVLAARELYMMNMVFAIGSGVILGEPV KASPADNAKMGLGQPKNVHQPEEYHASAIVHLEECLSTSGGYLEVLQAVLLLANFALL RPVPPGLWYITGVAVRLAVDLGLHHEDTADMEAVPAEPGVDPDAARAAGIREGNAQDR GRRVWIRDLRRRLWWCTYTFDRLVSTCVGRPFGVCDGVITTEFPSLLDDELITRNGFR EPPNGEDHPSSKHVAHHYFRLRLLQSEIMQVLQYHQAQLARAASGIQGRKHPGTRSHL SCLFLVQFDSFRSWRIDIDRRLYHWKTSAPTKQETGVAFSTEFLELNYWQAIILLYRQ SLSVPAVFEGEYNTSDEVNSPSAFTAELGEDDDRIYLKVAEAGQKILRIYRQLHLSGL VSYTYLSTHHLFMAGISYLYAIWHSPLVRSRLTLDEVDFTVLAAKSVFSDMIDKCPPA ETCRDAFDRTAKATIKMASASGGFGVHLQQPRRQRRETTPWATSPAGSSMKAPTSHRH HQSEQHSPFQFDLSLSDSLSSPGMSAAGDMGAGHHTPPPVGKVEAFDTDPFMSSTSSG PSPVSISPLPISPVHVDGMGRKDEAGSIDPSLMPSPPPTARSNLPPQGGSGASFPSPP FGLQGSMSYPDANAHTMEFLRNLGGVPGGEEVGSVDQANLDLRLGMNWDGMHGEYGEG QQMNPFGTFFFGGQQGGSNMNGSGAGSNVH UV8b_01217 MTMTTTTTTAKDCTVPETQGRSNSGLGGPRGPRADYAVELENRM LIRQEKIHEEKLGRRDSRLGLRKMFARSKVGKDDDALNHAHLPAKPAGFPSSKAVADQ LRQCLHGENRSQSSLPANFACKPLPVAVGHVQDEQLQQHHHRAPSAKPKKARAEQAWK EPKMDWSLPPLFKAFPQAVCHATLPATCLSADAILRINGKKNHLQTMEQVGIMPTTLE GGGETLGGDKAKFKTRVRRNGTALGEDLEWTTKTYILATSGYLLQYSGQGAFDRLPEK ILRLGPSSAAFASDAIPGRHWVIHLSSSAIVDDVQTFDARSILSRLSFRLAERRTTAN LFLVFRDAESMEGWLASLRAEIEKQGGKGKSPEPGRPEHGGIKGSARQHAAQRTLIVP DLYRFSIPSGKTGYTAAMPLQEEPRYNASCARMTGSETHFSHLLDDVSTTTTTTTTTT TNSTISQDERQLDSLRDNRRRLSFSSSGQGTFLSSTDSSPTLSPTQDKFTEEAVEPDH DSGRTEEAAARANALKIATRRESTQTGYQLVQDVALRSDVRPETTTFTAKQGKRAISP AGVTPTPNFSVPHNCDRRFSYIKGQGTCKDTGTSRGRHGDRSAKTGRSSSAVMQSCHG VSDVADQSAARGMAPSCPTSPTFRASTNVSNTEQRWQPTCCDKSARCGGAVPRESTPW SAQWHRSTRQHASSNRAGKHQPSSSSAASTVSSCGSHCNASMLDDKFSFLGKVEQGGN CTSFLDMGDGNDDDDESAAEELSLAHDGAREGFIPSGRSWPRYHAPDSTVSCDDSNRW SAAVAASPRNGGRRNDGKAPSCWVGDCRSMASRSMAKIAAISGPPPAPPPTRALPPIP QRV UV8b_01218 MVQLVVAVTTLTAGRLQRGNKASMIGAPPMPQAYDAASGAPSLG KSRVSSPESRVPSPESTPRLAVMPGLPLWCCPGDSPSRFHGQDRWAPQLAAPELRWWF RRVIRLAVVVPVTAQFSYIAMYLQKASTRQRFRVKQTPHRLMIVSGSAHPSRRAELSG HPPSRACYVAIAHSAQCTHGSHRNGPRANCPRQTMLADCWSSATAPLARCMHPAIAPS RAIAQLPLSTVVGRDWSLWLLEAHQNGGPVADL UV8b_01219 MAINGDVASLPKADSPTGSPRLSSVSLQAAATMNAGLQREPSRR SSSSSLARNISSPATTSHRRSTVLMNLQLNDPSIPAPGEMAHERSRYHTSSPQPLSGS PLTADPHHHRAPSLGELHQELEAEQEGHVNRLLQMIRQQQLELQRLQATRPGNSSDSN GDDSAVAETPTRAIHGTAAPPNAAAGSFARSPGFPRGSMEMARAEMHHRRSRTPSRGA SPRLRATSMSQESGDWVLGGRDESAFYQAETQMLTRENQMLRHRIRDLEKQLAEAVPG SATGTEPSVPSQLTRTSTVAEERGAEAETKDA UV8b_01220 MLASKAAGSLALSRGHGGLRRHLHATSAPRADFTHAVIGGGVVG LAIARQLSQRPNTSTVLIERHAALGTETSSRNSEVIHAGIYYGRESLKARLCLRGKHL LYDFCAKHGVPHRRVGKWIVAQDDAQRDQLEKLRGDCAALDVPTRWVSEGEVRRRGEG VRARRGALESPTTGIVDAHALMAALRGLFEHHGGLVALRAPVTGVRPLGPGRGWRVDV RDASAAGEATASVTAEALVNAAGLGAVHIHNMVVPEARRRKLYFAKGNYFAYAAARPR VSRLVYPAPTPGAAGLGTHLTLDMAGRLRFGPDVEWVDSPDHLAVSEARLARAADQIR EYLPGVDPERLHPDYAGIRPKLTHGGSAQMGANFDDFVVHLQDGRDSWVDCLGIESPG LTSCLAIAERVHDLLYARPT UV8b_01221 MRQTEAPISARSRLSVDDTLRPPHTVALTMACNDDGLYFPTEGI VSKNGRKHYRVPVRSQHWQLRSLISAEKRHIVYFPGGSGSNHVQRLNTLTNECETIKL LTFAPRCLVADNGWLCCGSETGDFVAIRLEEALESNRQPLLGLHLDADTRQELSLEGA NREDPVLALFAHARRSNKSLIAKSMKLAKDRVNCVTLWFPPTSPAPFTGSYAEPVAVL ANNDKTVILVSLKEFDQNDKIDPLEVVEYPDFVNRAIISPDGRILIGILDDPYLYIHE RVETDPGTVASRHEARYRWELKQRVLLKSQRKDDRSDSRGSFAACFSPSGAYLAVGTQ HGTISIFDTTVLLDPCAEPLITTLKSSRPESGPGAIRDMAFCPGPFDILAWTEDRSHI GFADMRSNFVIRQIVDINVEADFEHVDILDRNTVDPRLLDNNAERRSTRSPPSGAPRA RRQAEILGHLNQPLTANETIVLEAIQSDRRRRELAIQIDAEGRLRGGPSDLTRTYLSS LRPSVNDGEASRPRQRSGSGSGSGSANLATGEAMPSYGEQRDAQQERARNARQLVREG SNSQATRISRRPEQRWMFERISEAVAAMRDQRDRQDSSYLNVLEILQGRERPSNDNDG EDPLLLTPLVNQVVNRWEESAIRGTLAPDHGVFEVPPSPDNTAGLAWTEDGRTLFIGA QNGIYELRINVQGRKFCPSITMS UV8b_01222 MLASRLSRALPRATPITARSAAFARSPLLPRFSRHQSTAAEGEK VQGAVIGIDLGTTNSAVAIMEGKVPRIIENSEGARTTPSVVAFAEDGERLVGVAAKRQ AVVNPENTLFATKRLIGRKFTDAEVQRDIKEVPYKIVQHSNGDAWVSARGQNYSPSQI GGFVLNKMKETAEAYLSKPVKNAVVTVPAYFNDAQRQSTKDAGQIAGLNVLRVVNEPT AAALAYGLEKEADSVVAVYDLGGGTFDISILEIQNGVFEVKSTNGDTHLGGEDFDIHL VRHIVADFKKTSGIDLSSDRMAIQRIREAAEKAKIELSSSLQTDINLPFITADASGPK HINMKLTRSQLEKMVESLITRTIEPVRKALKDAGLQAKEIQEVILVGGMTRMPKVAES VKSIFGREPAKSVNPDEAVAIGAAIQGAVLSGEVKDLLLLDVTPLSLGIETLGGVFTR LINRNTTIPTKKSQVFSTAADYQTAVEIKVYQGERELVKDNKLLGNFQLVGIPPAHRG VPQVEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSENEIQQMVEDSEKYAEAD KERKNAIEAANRADSMLNDTERTLNEHADKLDKTEVDAIKEKITALREFLAKSQSGES TATAAEIKEKTDDLQMASLNLFDKMHKARNESSSSEQQPEGENKGENKP UV8b_01223 MNITSFVVQGRDQALLYGDYSTYHRQLAKRLLSARKKLGIVTKN RGKFQNRGLAISEAVSSTNDHVHLLLLTSERAWAQAMSIKASRTAAKKDIAGRARSHI VSRLTKAAKTAEVLVDALSTSASGASIVDVLEAKSYASLMRGAMFFEKKNWELCLENY AVARIVYSALASLGQGDLFKDLLSETIDPSIRYAAYQLKTPRSVPIASIAKNSFPRSD ASLVREIDRIDSSILAQGGDSSASGGNADNTPRTITWRSREVQIEDARISLAWASVQT AKTRLADNIAQSTDQQPHKLAAHYDEVLMATQDAVDAAKQSIDELKAEGVAQSDARMQ RLQITRTAVNYEMISWRIGRNRVLTGQHDGAPEEYTQLRRKKAKATASGDADAQQNKA RELPPSKKLAKLKEKVALYDGTLQNLESVKELPGVAADESLATSICGCAKYFEALKCL SIARSHAILGNALNTLALINHAYSMVQEASSKIPPQTDNHHQRPLSIDVHPEAVRYLE KLVNGELQRYRAIVHLHNLRDAERAEADAEEPIEPPLIKRLHVYPRRINPRKIVEFPP KMELIPLKPIFLDVAWNYIDYPSRKYAEGASGSAPRGSTADQPAAQGDQTKKKGWFGF GR UV8b_01224 MSANGAPQPSQPPPWPSNETAVPLRGSRFHPEAANQYAPEQQAL NSNSRLSATPGRSSQPPGSMPAWNPAALLQPSRRGFSATDLRNLPSGRPHPMHNPMPN GPGRHSPSLNDQMIFQFTSTSDTSSSGHVSGFSTPTPPNGEASLSNGVGLWIERMNNV QHRSNVPHPKRRKTEDAQDFAARSNHIQVRSSSGMLGEYVKDGRLQADRQTVALAAPT VDLTDGTDDELMIIDDPKDEEVCYGMIKTNLNCSKVPSPKPGMQSLWGPSYNPGIKIV LKRQTGERSLKIQASDHTRDIIGLVESSSAAALSPLLDTNMRLRTDCRIPPQPKKPGE EPGQAISCSYALDIVLYGPQRYTRAVGSLLAKHSLKLIAPHMVQKGIKVCNPHVSEWR PPPPKVYPTNAQGTYSSVANRTVEEIRSEVMGVFDSLKRNDDLPTMEPDARILTPLLR HQKQGLYFMATREKPLREQSNKTAMVSFWQTKINARGEKSYSNVITGQEQRATPPETC GGILADMMGLGKTLSILSLVASTLDDAHTWEQAAVLQRPKSDPRLSSTGYAAAQSTFE PAAVTRHVKSTLIVCPLSTVTNWEEQLMQHVAPGSLSYHIYHGSSRIKDIRKLAEFDI VITTYGSVSNELGSRRKGKGGLFPLEEIGWFRIVLDEAHMIREASTLQFKAMCRLQAE RRWAVTGTPVQNRLDDLAALLSFLRLYPFDDRTKFNRYIVEPFKACDPEIVPRLRVLV DTVTLRRLKDKIDLPKREDLVVRLDFSPDERAIYELFARNAQDRVKVLAGVNTGKALG GNTYIHILKAILRLRLLCAHGKDLLNDEDLAALQGMSAELAISIDDDDDGEGPALSHQ KAHEMFSLMQETNNDVCVECGKKIHTGEGQTMEPDQQQDDVLALMTPCFHVVCRTCYR SYRERARNMLEPDQAAGPCPVCEAHVRFEFVELRRDDVDAEHDGPAKPKSRHGGKRLD KYDGPHTKTIALVEDLLRNRAASQANPSEAPFKSVVFSGWTSHLDLIELALNAASVRF VRLDGSLSRSARTAAMERFRQDNEVEVILVSIMAGGLGLNLTAGNNVYVMEPQYNPAA EAQAIDRVHRLGQKRPVRTVRYIMRDSFEEKMLQLQEKKMKLASLSMDGQSKALDKAE AARQKLMDLRSLFK UV8b_01225 MAHKRTASGNPRYSSRSVTTEERHLEQRSVTERTLEAHVERILK RNPSSPEKQRARSGTGGRKERDVRRQRSAEFRPRESVAEAGGQVATWNPVATLLPHTT APLASRVSMAPAASTVPQALQPKPLDELTLEVQEAAIVEDLLYVFMGYEGQYIRFAKG FDPAEERDRLTGPNFRVLPGLDPSLADLTRSMLDMATYYSALEAFVDVQSRAEFGAVN HALCASIRKFLQDYLVMIAQLETQFLTSDSFTVHVLNIHIMPTSQMMRQLYLLAHELL KKNGILDDESDEEDDEIENPDDIIERLREGGDLVPGSMTSKKICKGGVVLGLVTRRLE KMSGDPAARALLTSLLRDTSRPYMVMLNEWLHHGSIKDPHAEFVIKEQKSIGRERLEQ DYTDEYWERRYTIRENHVPPQLQGVQEKVLLAGKYLNVVRECGGVDVSQVVPDVPATF DDARFLENVNNAYAHANESLMRLLLTTHALPGRLQSLKHYFFLDPSDYFSYFLELGSS ELRKPVKSVNTSKLQSLLDLVLRQPGSVVSLDPFKEDVKLEMNDVTLIKSLQRVVNIT GIEQGEALQPVSNQPAENDKNATGFNSLELDYSVPFPVSLVISRNTVWRYKALFRYLL SLRHLESLLSTTWQTQTRGISWAHRGSNRGLEFWKRRAWTLRARMLVFVQQLLYFCTA EVIEPNWTKFMSRLSTRDGEGDRPSASASASGLPARTVDELMKDHADFLNTCLKECML TNSKLLRIHSKLMQTCTIFATYTNWLTRELEKADPDLAGPEKPSTMTADQWRRFQAAR AGQRGSSASSQPDPSSQPDDDARARVNNLSEIIKKWESNFSRHLQILLDALNHYAATE SVVLLSLCARLSTANQGTEFAGRGQEDDLG UV8b_01226 MFMARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSQ GVVLGVEKRVTSTLLETSSVEKIVEIDRHIGCAMSGLQADARSMVEHARVECQSHTFN YNEPLGVESCTQAICDLALRFGEGADGEETIMSRPFGVALLIAGFDEQGPQLFHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHKSLTISDAETLVLKTLKQVMEEKLDAKNVQL ASVTKEKGFRIYTDDEMATVVERLPAN UV8b_01227 MDAEQDQKAKKPAAKKRTKTGCLTCRKRRIKCDETKPRCKNCIK SKRPCEGYTQRVVFKDPANLSHHGHFGSAVYPPGSRHHGSSLGPHSSSHVSAQASLQP IAPRPTPFLSTHRHPITFALRHAQALPNTEQGPLTGHDTLPMPNPLLGSELSVSQNCQ SVSSYGAQGRTTRDRFQSEMQIELVSQDSLPSRDLNQQNNMSRYDQAAFEDEISLDES DDEPPDPQSLAVRGYRDVLKPYSTGGTEVRTFSAFAQNYALSEYMDYAHSSELRRHDM QTIFMHFVSVTGPTMSLYERDPASLASGARFDGDATLDNNLWSYTFPLLSFNHPGLFH AIMATASLQIATLQNTPATAALRHYHCSIRRNARNVSTPVRNTKPTTVATTLLLAYFE VWSSDHTKWCNHLLGGRLLFKQIPLRKMSRVCLPVKRMRHFQSPLSAGYCVGPRREPV DLDYGLLSTITGRRVSAEDYGLQDGQLLDEECLWTTEKDIENYDILRDLFWWYAKMDV YQSMLGGTKLFMAYEEWTQCPPRAPLSSISAIYGTYDHLILLLGRLASFTSKDLSRKR RAFRAKGGSFRGASSPSQFPGIVPTHGKFHAPMGFTASSTYSDESDSPGDSTTPESDE SAEQEWESIRQAFETFEKHLDARFKALNSEYADRKETPFGTALQYRTYSVAGIWMNYY MGLIHLYRSRPNMPPAAMQAAGMAAPSTAGYAIQIGRIAAGLTDDCSQKREISTTLAA ALIESSFCLFVAAVQLQENSQRCWVIQRVYDIARLTGWQTARKIAIGCETAWIKVSQL GLGPQYKRPKHLDDVPMSVWMNPRKLDQRIRDLEKTEESRLVLRQSEQTNLALGLLGV ETDLEVLDLQEDR UV8b_01228 MFAVPGWSVSVDDLRSETASAANGPSATNPKKRKRNGAAGRDSQ VVTPSNVADLYQTLVAGKAGKDDGAGEAAVKGASGAGKRPRTENSAAATAGFAASGSA SGENADESKGKKEGKGKNGKKKARQPPRGDDGGASSEQCRAKSTAKASSTPSAPDAPA PPLAQASLPPAPTKLTPLQASMREKLTSARFRHLNETLYTKPSEEAFSLFQESPEMFD EYHQGFRRQVRVWPENPVDSFLDDIRSRARAKPPAKGRPAPPPSQRSKMALPRTMGTC IIADLGCGDARLSESLQRDKSKLRLDILSFDLHSPSPLVTKADIAHLPLEDGSVNVAI FCLALMGTNWLDFVEEAYRILHWKGELWIAEIKSRFGPVRRTAAVVEHSVGNRRRSDA ALSKKAKAAREAAANAVHSEDLAVEVDGSDDRRRETDVTAFVAALQKRGFVLQGDRSD AVDLTNRMFVKLHFVKGATPTTGKGVKRQEQAPKAGNRMNRFAPKWDEEEEEEADDKA DNDETSILKPCVYKIR UV8b_01229 MTLETLRESVRADTNIPTSSLHIYHNGRLLTDETKTMEQLQVPD GGMLAVHVRHLRSDARVADPPTSTSMASQAQPTPQRSRLQTGGGTDSELIRLQILGNP HLREQLQRQHPELAAAVDEPARFSQILQSSQDRERSEREERQREIERLNQDPFNVENQ RRIEDMIRQERVMENLQSAMEHNPEVFGRVHLLYIDVEVSGHKVKALVDSGAQATIMS PACAEACGIMRLIDTRFAGVARGVGTAKILGRVHSAQIKVGNLFFPCSFTVMEGKTTH LLLGLDMLKRYQATIDLSRDMLIIQGEEVPFLGEADIPKDEEPVEHEPTIPGPAGTTI GQETGAVLPPARAARDPASLPATASGTQPPAGHHAAATAAPGPSPAPSLSTAAANPAP VPRVTPAHVESLIAMGATREQATQALQAADDNLEVAAGLIFF UV8b_01230 MYICFGCVHSPGTNVPRPLFRGGNQSSCLCITGIDFSLLSSLNS LCQGFPIRRLHGCRTIASFVDNLIPADPLTSLCSRVPGVGDFTQLSVNIAVHQVLAVR CMPTYFIPLPEAFTSADKNKRGPKGS UV8b_01231 MDNKQRRLDSDMSHDPHALDDCAIGDSDEDDEEVVQAQRSLAQA RMQKQKSPEALRRKVIPFHWAPMLSPLTSADVNACEALEHAALPDQSQACTKDQIEYC LRRCGNICFGLFNTYRPCDAQSWQIRTLPFARPVETGRVDGSMRVMFAHIIATLGKRL YVTDHDILIPHNWRDPSASCNSPLGHQVSGRTICLHSFSVCPEVQGVGIGKTAMKAYI QMMNESGIADRIALICKESLIGFFIQVGFTCVGKSETKVAGPDWHNMVLNLPGPQGPM RFNRPDKNKSV UV8b_01232 MARQLAPYKQEFLEAAVNGGVLKFGNFELKSKRISPYFFNAGEF HTARMAGAISVAFAKTILDAQQNAGLEFDIVFGPAYKGIPLCSAITIKLGELAPQNLD TVSYSFDRKEAKDHGEGGSIVGASLKGKKILIVDDVITAGLAKREAIDKITKQGGIVT GIIVALDRMEKLPAADSDDSKPGPSAIGELTKEYGIPIFAILTLDDILEGIKSFASED DVKRLGEYRQKYRATD UV8b_01233 MAPWLLQKRRNETVSVDAIAAMYDAELGLFMKKHRLPDGGYELP IDGRDKLSKHERNCLAQPSRREVEGPRCTLHGTSRPSMP UV8b_01234 MAEVFGTVAGALSVAALFNNCVDCFEYIQLSRHFGRDFERCQLK LDVANIRLGTWGETLAINDDPRFATDAPDDRDSRQVQAILEEIGLLFQTVQKSSKRYE IIVSQDELMRFEDKDMPPRHKRTGLMKKAAWALCDGKNLDKLVEPITGFVDDLEKLSP VKTTPRKLVELGIDEVVDEPSLKVLQDAAAGTDSVLSEAVTEKIQGIAGRHYVKHIKG EERAKYGGRGIFD UV8b_01235 MTNKPRPECERRWRKQNSGWKQLHSSPQLQRTEPNRCIADLRLT DPRDDKRCIEQTKGGLLKDSYGWILDNDDFQQWRNDEQSRLLWIKGDPGKGKTMLLCG IIDKLKKQTANLVSYFFCQGTTDSRINNAMAVLRGLIYLLVEEQELLIPHVQKKYNDL GNRLLEDVNSWWVLSEIFTNILQDPNLKSTFLIIDLLDECVTDL UV8b_01236 MKTTWKDIPPVPTQQEFLDIVLSRTQRKLPTQIRAGFKISRIRA FYTRKVKFSQETFSEKFGAILESFPRLQDIHPFHKDLLNTLYDADHFRIALGQLSTAK HLIETISRDYVRLLKYGQSLFQCKQLKRAALGRMATIIKRLKDPLLYLDQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLRSITRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAIAHLRSAILYFMDLSEQCGYTVQAQIQLFKSIKP LFSNKLVFLVVNKIDVTRPEDLSPELQAELEGLVKSDNVEMLQLSCNTQEGVQEVKNS ACERLIAERVNQKLKAGTSNDGAIGGRLADVMSRIHVATPMDGQTRETFIPDAVKSMK KYDKQDPQRRKLARDVEEENGGAGVYSVDMRANYILDNPEWKYDAIPEIFDGKNVYDF VDPDIEAKLAALEEEEEKLEQEGYYDSEEDLGDDDEAEVLRKAELIREKQQLIRNEAR MKKRLKNQAIIPRKAVKKTYSELEDALDQLGVDTTHLADRAQPKEPRRGRSMSRSRLA TEDGEAMDVDQSARDRLRARSKSRAPTTNRREDGVADELTRTKAERIAKLNQKRMNRM ARQGEADRHTTVSLEKHLYSGKRGMGKTSRR UV8b_01237 MDEIAKEYDVVVLGTGLTECILSGVLSVKGKRVLHIDRNDHYGG EAASVNLETLFKKYGTCKAGEEPWNKYGRLNDWNIDLVPKFLMSSGELTNILVSTDVT RYLEFKQVAGSFVQQGAAPKATVAKVPSDAGEALKSPLMGIFEKRRMKSFIEWVGTFD VKDPATHKGLDMNTCTMKDVYDKFGLETTTKDFIGHAMALYLTDDYITTPGQAPDAIE RIRLYGNSVSRYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTTVDELLFDGEKA SGIKATMKNGAEEMKFETKAKMILGDPSYFPNKTKVVGHVLRAICILNHPLAGTNDSD SSQLIIPQSQVGRKNDIYIACVSSAHNVCPKGYWIAIVSTIAESSANHHLELQPGLDR LGKIEEQFMGPPIPIHEPLEDGRKDNLFISKSYDATSHFETTTDDVKDIYRRCAGEEL KVEGLREGITVSEE UV8b_01238 MASPIALRHHTGCLSRRSANLTAVALSISRPRQAAFSTTARQCK RKTRDSNKGRGVSSLYGSGPREHLSMSDIPLPKPRDFQPKIAVDQDHGLWGFFPEPGK ALWAPHETEEHGRAWTVEELRKKSWEDLHSLWWVCCKERNLLATSKAELARGKLGFGE REIDTRNEEVTKTMRAIKHALTERCYTWQDAVEVAASDPEIDLDAEDGQVYKPGAYEN DVETEANLTDNVRDAPPAHEPPKAEKDVCR UV8b_01239 MTPVLRARQSLPKDRFSTYFGNLRSQPYNDPNSARGFSHSFRTI AWNPLGTLVATGSSDKTLRVWNPEKPHVKFSTELKGHNASVEKVAFNPTKDAELCSVS ADGVVKFWDVRTKACFNEVKGLGEALSLAWAPDGETLVVGNKTDSIFVLSPRQSTHLA IHQQNVPTNQITFCYSGTKVFVTTSEGKIRILSYPSFKPALSVQHGEEEQEFMLNGHT SSCLTAEMQPTARYLATGGSDSIIALWDTNDWLCQRTLTRMTGPVRSISFTFDGSYIV GGSDEGNGLEVSHVETGEHVHTFKTAGSCPVVAWAPTRYCLAYSDLGILRIVGVDVDR K UV8b_01240 MVGSLDDCGSPSNGIGIGNQTVTVRDTVPDNSSVPKPKRLACMI CRKRKLKCDGVRPSCSTCSRLGHPCAYDEQRRKSGPKRGYVKALEERLKQVETMLKTQ DPAPPKTPNPGTKSISVPMPRTHNATSATPNLGVSNPSIGLGPERDIDRWQQQFGGES PQGATMDDFNFNSSIGMPMDNVGEGNFTWEMIGLGLEEPLPPQETIDELHQVYFEKVH PSMPMIHRYRYLAAMNLAPNQRPPVCLRYAMWTLACSITDKYSDLKDLFYHRARKYVE ADYIRGYGEHMISVAHCQTHTLLAAYEMKMMYFPRAWINTGSAVRLAQMTGLHRLDGT GLDVKQCLPPPKDWTEREERRRTFWMAFCEDRYASIGTGWPMTIDERDIMTKMPSSEE AYDMSRPEQTQTLAECTAPSGAAKLSSFGGVVLMASLFGRNLVHLHRSDEDDLDHDLN GPFWKRHRQLDSILLNTSLGLPIHLKLPSGLSNPNVIFTNMSIHTSTICLHQAAIFKA DKNKLAASVSSESKVRCITAANEIASIMRTISHMDLSAMNPFISFCLYVAARVFVQYL KSRPDDDQTADSLQFLLSAMSALKRRNPLTESFLVQLDIDFEALAVRIPKLRNAFPRS GDSPHVGGRKDDNGGRLCTDPETIQGMMAYQSECHFVEGTGDDGNPANAHDTAESLCD NVGRGTASSGGLGGPAWLSASRGSATVLTPSSGAMYEKSGEGQDGQGSSDAGQSNGPT PNSSGAGSDARPHLASGMGAARQENAFNPSPISPHPSIINTGGPMDANAHQNFFAQAS GYNMGPGLAGQQQSSGFAMAANGWTDMNGPPPPAAMTPVGEGVLRALMNMGPMDAMDL SAWDSGQDSAMRG UV8b_01241 MPSDLASSRRTNRGFLGSDSSALSSQDKQDRHQLRYELDLNSWN LRIWGVAASGFLTDSYNLFSTNVIFSSIAFVYFPDGGQWCSLLINISTLLGSVVGQLL FGFLADYFGRTRLYGIELVLVIVPTLGAATCSRGVDNLSFTGLFVWWRFVMGIGIGAE YPLSAVITSEWSSTQSRATMLSSVFLMQPIGQALAQLVGLWVLLGLGSAKKLRSLQCG LNSAHQDECRQAVDGVWRIVIGSGAIPALLAIIFRFFLYDCGLYSLEVRKKPDVALLN TQRVYGTPSGVGGPHLQSQNTLHSEASPRPAPIQFSRQDLYNYFIRDRNWHYLLGTAM TWFFLDVSFFGVSLDNRKTLSEMWATGELVPLDGRLECWRSSIPGGHPTVPQWAKTRM PLWQTNIWDPCATIYDVLINQAMHYLLTVSIASVAGSVCFIYFANRLPRRRWLTASFL ILAVCLIITGGVYYAVHRTAAAPATAVCVAICHFVFNFGANTLTFIIPAEIFPTCYRC TCHGISAAAGKLGSIVAVLLVYGINSTFKSDTRQGLIFLLFGSVAATGALFSWLFLPD VQRVVEDDGKSILETKDLEELGEGRERARQNGETVTVEDKWDNLRENRKKSLKRRGLH QRQETLLP UV8b_01242 MAAFSSSSGSSFTSSSLGRPPRYSRSYVSPVDPAWTPTSAVSTL SAPSTASSIAPQNSFRPLDLGPHGYQATIVLFQDTADERTVYLGPWEVIGSEQRRVLW HCSYQNEMLEHFLPADIPSDLHPHTLHSRHRQYHDRSDMERYVAFTEPHRVRYVSDEG LCIHDQYMQVRYEFTSVDGSTQFQGDLRRKDMIDFYDVDVIWTNLHGRTDGFGKVKGV GAIQRLKMWRDRYTTSHSLSFLANKTSGLYREYDVHIFDGELRSRDDRANTLRLNVRS RPQSAPGEAPHTRFSFARGVRPRLRSTAHSRQNSSDSNPWTPPSVDIRYLSIQFSSRH DYRRFLETWLYAHSSDRDFQSVPFPPNHFELPSGEMMAGQAAELESPDEQRTSEPVTG PAGSDERG UV8b_01243 MAQNTKKIILSINAGSSSVKVSVYLAEKHLRPQQIAEAQLSGLT APPAKLEYSRHGEPIVKDEEVSTEVVGQDEAFALLLDTLVKDGELREVGSKHDFSVAC HRIVHGGDYTESRVINRDTYRHLEELGDLAPLHNGAALEIVESCMKQLPGATNVACFD SQFHATIPAHISTYPINPAVAGKNRLRKYGFHGLSYAFMARSVAEFLDRDLNQLNMIA LHLGSGASACAVKGGRSWDTSMGLTPLEGLPGATRSGSVDPSLVFHYASDVGKLWPAW TEHLHISRAEEMLNKQSGWKALTGTTDFGAIAESDEPTHKLAFDLFVDRVCGFVGRYY VSLEGQVDALVFAGGIGEKSSRFRDEVVRRTNCLGFWMDGSRNSGRLGGVVEDIGAKD GRHRVLVCRTNEQLEMAREATKNEEMWA UV8b_01244 MYPPALLFILAVALGAPTAEATQPLALVYRGPSSCKGCSEAAAT LLQSSPQNFSVTFVGPNEGTGISADVLSKAAAYVQPGGPDLTAAYNELQDHAPEIRDF VNNGGRYLGFCLGAFLAGNSPGFDLLPSGASTDAERKMDNSQVTGTEDTIIQVDWTFR TKVSAFSRGETAKAQWIYFQDGAVINGLPDDGNHTILGRYSQNGNVAASLTPYGKGWV AVTGPHPEAPKDWYKAYRIENPDGMKFDIGYDFVNAAMEAGPVPTGTSGTGTAPRPTT SEESAWNSLGRGRGRITLQNPIGWLVRGLTRFR UV8b_01245 MEARNAAGRRVSLLNDENPGYGNPKLHQHQHQQLQQQQQQQQQQ QQQQQQQQQHQQQQNHHHHHHHHHHHHHQKQQQQQQQSLHFQVSAGHTLSRSYASTRS SSSPPITPELLRSDSYDSQLSNDPVSPLTPSVDYAYPRGVMYGSELPPKRPAYVDSSR STSYDDDITPATSAAASERPGKRYPCRYRDTHGCEKTFTTSGHASRHSKIHTAEKAVQ CTYLGCQKKFTRADNMKQHLETHYKDKSRSSATQRAQKAALAEARRSSCHGRGRSSTS ATATGTVSSQEAMQCESDLYASSTRPLTSPATSTWETRTQILPILNRPTANSGLDALA MAVACQEGSART UV8b_01246 MSTVADELLNDFGSSGDEAEDIDNDGLVHDANHSHAHDDAMDVD GDKDDEEDGDEKGNDMTDIDDAEATKAKVEKMQLGAVKDVRSVASLMATLEPVLEKIA HYRSQAPSQTINVGNIEDHPEYHLLTQSNSLSTMIDGEVVLVHKFIRDHYSTRFPELE RLVTTPLEYAKVVSIIGNGPMDSESIKSLQTSTSNPMSMGLKAVLDGPSLMIVTVEAT TSKGHELSAEELSRVRQACAMMVALHKAKQTLADYVQSRMNVFAPNLTALIGSLTAAQ LLNAAGGLTGLSKTPACNIPSWGSKKRQAGLATNIGVRQQGYLYNSEMIRGIPNDLKK QAMRIAAAKLVLAARVDRIHSSPDGTTGDQLKSQCLERLEKLTEPPPNKGLRALPVPG DKPSRKRGGRRARKAKEAVAMTELRKAQNRMAFGKEEKEVGYGTGDNTVGLGMVGQQN DGRIRNIQIDQRTRARLSAKNKGWGGASTVGGAASSIGGFGQTPGSMDLRGKGLRASG VGSTVGSATGIASSLAFTPVQGLELVDPKVQAELSKKRKAEEDRWFKGGMFTQVNGGS STNGGFKVPGLPASKRVDTGSTKMAPPPVPQK UV8b_01247 MESSRGPPRVKNKAAAPVQISAEQLLREAVDRQEVGIQAPTQKF SDLEELHEYQGRKRREFEDYVRRNRVNLKNWTQYAQWELEQKEFARARSVFERALDVL PHNVVLWIRYIEAEMKSRNINHARNLLDRAVTILPRVDKLWYKYVYMEEMLGNIPGTR QVFDRWMQWQPDEVAWSAYIKLEKRYGEYDRARAIFRDFTMVHPEPRNWIKWAKFEEE HGTSELVRGVFGGAVEALGDDFVDERLFIAYARFESKLKEYERARAIYKYALDRLPRS KSTLLHKAYTTFEKQFGDEDGVEDVVLSKRRVHYEEQVRANGKNYDAWFDYAGLEETS RDADRIRDVYERAIAQVPPTHEKRHWRRYIYLWIFYAIWEELEGQDVERTRQIYATCL KLIPHDKFTFGKIWLLAAQFELRQGQLTAARKLLGRAMGMCPKDNIFNGYIELERKLF EFVRCRTLYEKHIEYNPANCQTWIRFAELERGLDDLDRTRAIFELAVSQEQLDMPELL WKAYIDFEEEEGEYERTRELYKRLLEKTDHVKVWISYAHFEVNIPEDDEDEQEEQPLS EAAKARARRVFELAHKSMRDKQLKDERASLLNAWLSFEKEHGSAEDVDKVQKQMPRRT KKRRRLDDDTFEEYFDYVFPADDQQARNMTNLMAMAQQWKQTGDLAGS UV8b_01248 MASYDPYPRDPPRRQVREDRRDDRDPRYLDARDYARPLHSRDLV PRTREDSDLSVEEIRRDFPPPTGRNIRRARSADHERYYRESYDYRHDPRYEPSLGHRH DRGSRRAGPYYYEEDERRHKRKSMTKQDKIFAAVAGAALLAGGKEIYDRYEAKQDGVE VQRNPLSSVALAGFGALAGYQGAEFYSKQQAKKDQTAHYILHRGRDEPLSDYHHYSDN DDYDDDDDDPRNKRGHRNFLENALAATGLGAAVKTLTAGGGGHRDRPSDDVRSRGGSP ARSRAGSTHSRSAGAAANKIQKAAMASLLAGATEAFRVAKEPGGWKGEKAKRILTAAV GAATVDAAQGENHGKLGLAESVIGGLVGNRLINGSKRDIEEDRATGRSRSRSRARSHA GGGGGGGGGGSGLATLATAGLGALGAKAALDRRPRSRSRASSGSRSYDSRDEYPDRRR RSRSVVDKARSGLAKLGIGGTGGTDGTVAAANDHRRRDQDDFDDRASPRPSPRYSDDY DEDPRYAGGSRARAADYEYERSNRGDGRRDSPRRRGGSSRGYGSESDLGDSEEEKRKA RKMKGKQIVTAGLATVATIHAAHGIYQSMEKRNARQRAVRQGRLSAAEAKKLKTTAMI KDAASVGIAALGIKGALEEMKEVKETTHECHVFQEERAKRHEKREQRRRKSQQPGGGQ HQRSSSWAPSRHRDGGDHDGVHYGPWYRDDNPYGCSNLPAPPMGHDR UV8b_01249 MSSSTYYGVHTTYVRTPYKSCAYAPARPPLQPQVAASSPRLTQV AASRQTQILKSPSPPPSPTLAFQVPKVRMYVPAAYRGTTLCISFSFLPSAKRPRPREE KFQSELLHSAALEPVNGPRPDPHLGRSQHENELNQGCTACPADIVTSFSSGTTSIAHQ AARNDSLLPPMPQLQTFPHGGSTHRHTVLGARDARCSVLDAR UV8b_01250 MKHSLGLVAFASLAMASDPLRRDFSRLYPIPSQPPEIDVSDTPR LTILPVSFTPTLSDTGTATEAPTTMGSITGNSTSTAPATTATLATAQTTSAPATTPTN SSAPTASATSTATQSQVPNPAAAPAIRGTFAAAVVALAGLAIAI UV8b_01251 MAAASTFSYAQAAKGQSTSPPTSGPNPASQPQGTPPASAPEHPV DSSQGSHVVETRPHAVGEKQDVVSNVGSESDLRSEVAHERRSEIKRDDESGRTDRPWR RTEKGTRSSSTTTRSVDDQERKPRKSKKSKGSSDKQSNDQAAASDKVKEIALEVPKVE LSEAPIPSVNIWHQRKEQQAKVKPSSLEDMSNGTSAHEADAKKPTKDPAPISPAKENI PTNGVKPSRKAAGESGRPERNGSRGSRLADKDGKAVLPPSVDDAALWPTPEITTKEEQ KKPAAAKSAVAPEKEAQDDGSQGKRTKEKWVTYEYVPSVNFETQLPQMRNSKPRGGAR SANASRAAPSAPAQQSSEKPTTGAGTNNAIKPSESKERAKESNANSSKTTDLPPAPKR TSMDVNNAAREQKRTPFQTGSDKSKDTVATHPSQPHTNNARSEGRSERGRGGYRGRGA HHPINTHAHQHNGPLNYAHNGSVPGRPQGPYSPPPRQGAHGQGFIPTSQRGGRGRNGA GTNFHRMSLPNGATRMPPIQAALGSYEFPMHPMPAVPFQQPPQFWDNMVLPVLKNQIE YYFSIENLCKDMYLRKRMDSQGFVNLHFIAAFKRIRELTQDMAMIRAVCEGSAEIDFV VGEDEIERLRRRHGWQNFVLPMEDRDDFARNNGPSHLTFKNRPFNFGPQFNGSIPLPF AISPQLGFPPQSDGQFHQFVESLQSVQHVNGYVNGSAVNHGSTQLSANVPDFSPSRSA ALGGQDFNATQNHAVEKTNGAGLTNGIHAE UV8b_01252 MKMNDSADGTTHQTSQRRWKHGKLAGFQMLLVHRRIRSSNQSAS AKQHWSHPPDVKCSSAPRFQRLQSSGRSGLASRACFHDAPQRRTRRFKVSGNDLILHN DIT UV8b_01253 MTSVSFRDSLSSLGWSRRQDEPVSTAPDTGLLASIRNLNPFQDR GYVRLPIAEAGTGPGAPLPAPSRREEEEGWFVLSRWDRLLVFGACNLAALACFVICFT LFPVLSWKPRKFVILWSFGSLLFLGSFAAVMGPMNYVHHLLSTPRLPFTAAYFGSIAM TLVFALKLHSTILTLLSSLVQLACLVWYLVSYFPMGSSGLRLAATFGARQATSWMSG UV8b_01254 MAQHGYGHFASAPNGGNIDPNDLAMNGGYSPSFSNGNNFNSASS NAPNGFSSGNAVFGDDELLDGLASPSDGQPGFHGQGQDYGGMNIGFNQGPFASQRGSG LQIDPSQINGFSQTPDGDPIQSPYAAGFTAQFRQMQNNHSLGGSLQSPMSYSGSPLTA GDMGADGNDNHYLKARARMSQQMQQKPSAARSPMTPKTNSIHGIPIGSQDSSGFGPQS MRPSGSHEKSPSAQWGNTPSGSIPASYNSGFSSPMQQGMMPINEVMLKGGTSMPAKLG VAPGAVSSQEMKRKRRRESHNLVERRRRDNINERIQDLSKLVPSHRLEDEKIRKLIQN GTPLSPTLTGMSSPGQATSSLAGPGARRAAGSNAGNITTGLPIEEKDKGPNKGDILNG AVSWTRDLMWMLHLKLQQQEELMNTIAELGGHFPFELTDDERRMQTELMEAISKSEAG NLSYSRTAGSGLRVPTHTDYRGDSVTSLAANLDAIGITPEENGNPVGMARDINDTAHF WNDPDDDGSGHASLKFKEEDEYDMELN UV8b_01255 MPSITSEKFLAVALVINRSRDGPAFVFHYPPDVQPVTNHPKQTT DATATGAEEDILLERLSQPLGRDAAVANGASKHRHHDDHLMTEAGSQVVPWESVAGFP TRDLASILTPARSYHKKLFQLSLDPMHCISYPIHVPVDGKWKRNKKSSRSKPARQAEE QPAPPSETEPPPSSVVTSPEPPKDKDGKRDEADEEKRSPMTMFNLVFILNPNKSEDKE LVDSLYHNVVKKVNKAYKYSQQHSEFVWKESKRILLAKDRAREDETNMSVLWKELLQT YSLAASVHDIYEAVSRNKIATLHLDTPAGVLTPSVQIPAPFFVSDIPPQHNHNQRGLW LTTANSFLSHEQLDDPEFLDKNFALLLLDDEKKIIAELRADRDPTTVSMIEFARLAKP TVSFYQVGQSDVLTASQVRKYAQHFIFWRRAMAIPPLHARDVYIVSPNCNLSRLPQAT AEWQRAFPLAPPLPNFLSELSYAPRPFKTFCPSKPHRPLYLRMLAWLLRGGWVTQLCT FAYVVVWPEILYEVEYEMEAEELAAAADEARRQHDGVVSQNGSNTSPARQTAQDGAAK GRDGSPNSAVPAPAPAPAPAPSPAQTGDPASGLTPNVKGEPSPRSPAPPSATTATTAT ATATATTTATTTTTSTVEHVAEMARLERIALKAHREAADKATAHARKPAPVATLHPAV NDAAHLAGLTPHIILDAKKATGKESRYLSAIAHRFQDGKVRNAWQLMSKYFNGQCALE RIALQEKLKRKEAWTLLTSMSEFLLCTRHW UV8b_01256 MSPAAPAEHGDVRFIPLSYDNSDSQRSAIRLILTLLPEWAKDEA HVDFVRFTDGITNTLLKAVNRRPGLSKADMEREAVLLRAYGNGTDVLIDREREAANHE LLMHYNLAPQLLARFGNGMLYRFIPGAVAQPKDLADPPILRAIARRLAQWHATVPCIP DSSLRRKGSCNGDSSNDALIAKAAAGKPIPTVWTTMQKWILALPTDTEPRRERKALLQ KELGELIERLGQRPGLGHDGLVFAHCDLLCANVIIHRDNEAEPSVSFIDYEYGTPSPV AFDIANHFAEWVGYNCDYSAIPTHPQRLAFIREYISTYAKLSGDMMDEEAETRKLMDE VDLFRGVPGFFWGIWSLIQATISHIDFDYASYAEERLGEYWAYKSEVDGSRAASGKEP SLRERRWASDE UV8b_01257 MQQANQDFLHADTHPHVPTERETHDYSIRFTYSGSLDTNLIHTS LFPLARIPTSLVVTRLSPGVACDLGDEAVTMSGTGAELAPSLDVAIRQLLDQQAHIQS RLSVLLAAQHGIDMTAELDMLRHKLRVLEDLVHHHDLAPKIPVLSSAEEARALQYRCE CLEAVCLQHDVDVIEPLKRSFSSAPLDFASWVEKHIDLHDSILRSGRESQGRTAIFRP NWMPPIKCWDERCAHYVYGFSSHQQRDTHSLLHQSPAKRDSGFSAETASSLSAVSEQR CLPIIRNRQHSIQLPSVQTGNFPVSSQLPEVSPSMTPKEKDGIPTNHTLFGTYGPRAA RRTSIDAEIEPQLPPLKRSRLSQPRLQSIGELQLFRDSEPCLRCKIAKKKCDSSRPCS YCSANSSTGKEEHWAVLGCCRSPLTSFAEMILPRPMSPRQTRTPTTSPVLHRRGINEY LQTACCFPEHLTSMVNSSLDQTDAFWWSAYLDSKHNVDDGMSGFNHDLPSQAPPSLSA IASSWHAQDTAYDIFQLLRLTRLLCDSRQSEEASFPLLYNAKLLLRETIFYALLHPDP NFPIGSTFNQQNLPEGLDLEEQAGLVEECLVRFLLSFELACCSNPTNRPREAVAGFLS VCIFSATCALLLDMAPAATPSSSFQQSLRAATGSSGQNLHGLYRALVQLYCSSGPPLG EGWEGNLTHDESSLYYSINRLIRTEMWAAAGIESSSDFLLRLGDGYVEYLGFNGFLRQ RKPNGFTWQPSSTPALRPVREELRSEPAPETSPGLPSWRPGFEDDVPLLHRRTSELGP SRGQETERCRRHTVGEAPAGPGRGPGPGQLESSWKMPDSPSRFRTPYSRTPLRRVYCD KCNEYPEGFRGEHELRRHTDAKHSALARRWVCCEPESARDASLKPVVSLSSCKACMAQ KQYGAYYNAAAHLRRAHFSPHRGGKASGDWPSMSVLKDWMREVRKPVDPTQAEYLSGG EDDGDNSAMEVPVSGPGALHERPSASSSSRGYAISPVDDPWRRGSSAQPSQAGARPAD NRSQCPHPDCGRVVKDLAAHMLTHQEERPEKCPIATCEYHTKGFARKYDKNRHALTHY RGTMVCPFCPGAGSPYEKVFGRADVFKRHLATAHNVDQTPTNNRSNSLAHLLDGHGSS AAHSGGACCSICGGRFATAQDFYEHLDECVLRVIVPTTTVSTSDAAQGHHSDRGGSVS PLQAEGSSRAI UV8b_01258 MGFESSDSDSGASQRHHRPHAIPGEIPIPHGPPGDHDSDDFTLQ HATADEQRIFSHVTRPDDSYTSEGVYWADLPWMQRVRFVSQVDGQAAAKELSTIGAMM KKDPLSPISWYFRHAVIPGAGLGLEGYVLFSIGNLEPLFKKVWPECWDKHQVCSKNWI ASVTYLEIIGIMVGQVFVGVIGDWVGRRWGLIQDAIIMFIGLLMLTASWGLTLQGWVI CYACSLFFYSLGVGGEYPITATSSLENAVTAGKLSTKEDRLHRGRRVTMAFLMQGWGQ FVNQVLLIVLLVIFNKGTGSPPYSTSAAQYAFRLSFAFPAIGTLWLVYYRTWKMPHAS KQLAQAKNRTNVTGYDVTALRYCFSHFGGRLLATAGTWFCNDVFFYGNKLFQGQFIKV ISDNPDSLMTNWTWNLVNITVSLAGYYLASLLIDNKLYGRKMMQQVGFFMCFLMFVIP AFRYKYFSGPEGIHSFQAMYFLSSFFNQFGPNSVTFLIAGEVFPTPVRATAHGFSACL GKAGALLASVLYNYIDDQTKFYVVPWFGLAGMFLTWMFLPDTTGLDLKEQERRWRYIR DGKAQEYHGVAVHPQHLSLWERICGLHKTYDPEADWKAKIADMRSEWEAVQAARGPKE AEGDDDHGMPDDGEFSSEIHAYFKRSSPKNPASARGVLGEKAHDGEAR UV8b_01259 MERTLSQDIRNEREELREAAEQTLNAIVDLNLDGSIRWVSPSWT DVVGTPFDEISGKPISDLIVSENKCIFTDVIVSMRKDDSKSYRIRFTVQLGPLSKLRD GSEPARDPQSQAGSEPQTAHLEAQGIMVYDSTSGDESHTMWMLRPWTAPQEIQIDLPD VIVDSLGSGAELLASYLTRLAETGGDESGTRDPPASVLCRICERYISPWWFEKHTDLC LLEHRAEMDVQMAQENLAEHRHAIVKVLDALEARKGRLMIGEQQTGGPLAEYKGMPIG PPPSTQSSPGTSLARSRERTGGFGHSRTRSFAIRRPQARIVELLMDLCDTALEISTPA IKETSQQDEEELRTQSPQSESRISQVLQWQSPSTNTLEQEQGLALLCSDTEKVARAKV DAVFRHRRIIEYSERIRIEMAVLVQDCIDEAMRKAARIAAGRLSDSTEDETEEGPQEC LPEGNVEGCHANEEHRGRSVENASKQSVSGPSALAAALRQMDITGSIVSRRASLVGST GSSSPKECPTPRSAADNGSVLVGHARHNRRGSSLLYETELAEGHGSFRSSSVTSRHAP RTESPISEFGDLRRAASTRQHNRKSLVIPGASSPMRQESPSRSTQPSSPLRVKPKANP FPEGVASPEASPMFPTSEFSSPVSHPVRHHRRQSSAAVSDFIMRAPPSPRLSANQAPP QARAAQPSIKDFEIIKPISKGAFGSVYLSKKKSTGEYFAIKVLKKADMVAKNQVGNVK AERAIMMWQGQSDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLIKVLGGLPEEWVKKY LGEVVLGVEHLHERDIIHRDLKPDNLLIDQKGHLKLTDFGLSRMGLVGRQKRALNSET TDATPDLLKHGPFCRSTSIPSSRSTSLDLHGPSQSPGGTPIMTPDTGNVGQPSYFSLS TLSPDNRRVSSSHRSDSVGSESLAHLLGSFTLTDSEPGSQLLGTKIPLEEEAVIQGSP DMIASQPNIRASVDGHFRSSLPSSNMMPPPMALFDPEDTNRRFVGTPDYLAPETIKGD KQDETSDWWSVGCIMFEFLYGFPPFHAPEAEQVFENILARRIQWPDEADCEPISDEGK DLINKLLCVDPKERLGSNRDEKFPSGGEEIRSHAWFEGISWDTLLEDEAQFVPQPENP EDTEYFDARGAVLQSFAEEMEDQMSPQLSSGGTEYPDRPHDALSRVRSQVNSMNRKLM PLHIPPHVREIKPRRLSEPVAADDFGSFTFKNLPVLEKANKDVIQKLRADALAAQNKQ SSVSPGGGGSVTSPAPSLDGSPVLSTHLHRSISNTKASNRPQSPSGYSHSNSSPSRIS QPSSPLLVSFVAGQGAEGRRKASSNSSSLSHQSGAAAQPHPGLDVPKVPPCLTKAMTT IAASPIKGRGSTPAPVGPSPQKLASTPRHGSGSTTRSRSLTVGSSEASPIACDVVQHH RNRRSQVFDMSPSSSDNEGDKHNALLRVQRRRQSSRRLSQIAFDNGPSFRPLDVLICE DHPVSRMVMEKLLEKLRCRTISVANGSEAVRYAMSEIQFDVIFLEYKLPQISGADVAR MIRETKNANSHTPIVAITAYLKELQAPHYFDSLIEKPISSSKLTEALRSLCQWQPPSP SRTTSGPLPYSAQMGVRKTNTRAEESPTSGSSAFPGRQSSAVVSSREDSITSSMFGGD SESLTAEDVPVVISRKATGDCWDEGGLGISDVDFLAESPKPIIPSLLTQQSAPAQMEH LRAGERNRPRGEGGEKKSAEGTESADDEDDDCGVSRGKHRRCSSKPLQGKSVLPSSKL GIEMMRADSHESVTFGSEGTPETVTHVATTPSQELATPILSASKATDKSDPGQSRQDT HAHPLSRCEQEPSDERTGLPEWADLTPRAPPWSRRADAEEEATPRPACK UV8b_01260 MPQVVEMTRPRKDSELEEDEGPRRSGRYAQFLGGANGQGSGRDR SGAQRLKVCGRLADSDEDVSAVSWER UV8b_01261 MARHTMSPWTHLRGIVITLPWLLYLLLADVLLSLLLPLAIFMPR AVYRASSKLAESVWAWIQLIFVSVNGAHMETSGDLLPEGESAIVVANHVAWSDFYMIQ ALARQASMLGYCRYFAKSQLKMVPFLGWGLWAMGMPLVSRNWLKDKAELDRVFSGIVN DEFPTWLVSFSEATRYTKQKFQESQAWCKKTDKTQPKHLLYPRTKGFIATVQYLRKAS HVNAVYDFTIAYQCNGVFQVAPSMWETLSVPQLSLASRFKFHIHARRFPLESLPYSDE DLAQWLEQRWIEKGDWLESCKQAWAITSS UV8b_01262 MADSLDYLKICDSCPAGDGWGPSVTSETTLDGVPYAPFSKGDKL SRMADWTAEGKDRDRGRNQYNRNYRDQQAYGASHAVSFNAPPAEDESSFSIVSNTRDS TKSRYGRGAVFTRGGRGQRGGRGDARGGRGQFQRVGGQRQGYDRGARSNAGTRGRRFG WKDYDKPTRNRDASVNIKADWELLEEIDFNRLAKLNLDADDGEDLDDYGFLYYYDRSF DKQPVKGAEKKLTAIDRAAYNVTTSADPVIQELAEKDEATIFATDSILSMLMCSPRSV YPWDIVIVRQGNKIFLDKRDNATLDMVTVNENAADAPLDAADGGKEGVNQPNALAEEA TYINHNFANQVVNESETSKVEMSHANPFYNSSEDTDPPASKAYKYRRFDLSTNDEEPV YLVVRTEVDAVQKSAVGGEDQLVTIRALNEFDSKAQGSGGALDWRSKLVTQRGAVVAT EMKNNSCKLARWTVQSILSKSDIMKLGFVSRVNPRSNDKHVILGVVGWKPRDFANQMN LSLSNGWGIVRTIADMCLKREEGKFVLVKDPNKSILRLYEVPAGSFDDDDDNEDEQEE VEKGEDNE UV8b_01263 MAPHQTAPHFIFLELRPALSSRPIAYVPASTCWSRCARFIQVIA HSLHRRSSTLPVNQRRLSDSLASSLVPADPNSNNVQSRILPRWQPGWLSPASATQLRP SPGSVWSSSRSILRAPSGPAAHAVSASSPPSGFSRSTWWQQQLLDGLSCRPLLLLCCR RRLRVLHRLLRMPALSSLRSKMARKKKWPASHLAPNSSQPGDFYSFTSSLPPGTDLVQ QSTTEASLISHISPQQYTIESQYPSSVFFVRAGFLHENDSFKLAPKD UV8b_01264 MASLNLSINGPSIKSSYNAVVNGPLPAIASATYAQWALFSVQAP LLNAFQDGGSKESILKVESTGDGELADLIEDFNEGRIQFAFVRVKDPNTALPKNVLIA WCGGGVPERTKGYFTSHTATVAKVLHGYHVQINARSANDLDPEAIMQKVADASGAKYS AGGSDGFARTAPPPVKSKPVFTPTSSGSGRVIDPLIAARSRRDEAVDEDGWGADAPPV TRTQVEKVESAYKPVKVNMAELTKQKPEPSRFNTTSREADGATDVVKGGYQPVGKVDI AAIRAAAAKNSTDDRPTPVKGAYEPVGKVDIAAIKARAQKPALDRQEETAADPKPFAE RAASFSQPAQSERITAMPKPKVANKFGGASTFTGTKAPTPGGLGFGAPPAPAAAPVGA ASRTFADQSGKTPAQLWAEKKARERGSDVGSASSSPAAPPVTAQKSGGEGWKSGYTGK SWAPVQAPGYSREGNVDANSQQNTGDSQRGANEDAADGSGGVSALKDRFRDASLIGSA PSAPSTRSNAEDFSSPPPVPASSRPSGGFALPGLPSRPAPADERAEEGEADYSAYETA AEHREPSPIRVAAPVAHAPEPEPEARPEPVHEPEPEPEPPRRALPPVSAAPEPDPPAP AAARAAQPEREPDHGAVSHDAAGGHQAIVMYDYEKAEDNEIDLVEGQHVTNIDMVDED WWMGTNHLGETGLFPSNYVELASEEVAHTATAPAPAPAPAPTSSAPESASRSGPSAPA VAGPTATALYDYEAAEDNELSFPEDAKITNLEFPDDDWWFGHFNGQAGLFPSNYVQLD S UV8b_01265 MSTITEITTPSQWDETLSSLPPSALLVVSFHAPWAAPCAQMATV LSTLASEYPVTEPLGTKWVSVNAEELSDISEAYDVTAVPFLVLIRNGQVVETVSGSSA VKVRTAIETHALKDVPATAVAANGVEQTIAEDKAGEANADPVKQKEELFKRLGHLVKA APVMLFMKGTPSSPQCGFSRQLVGILREHSVKYGFFNILADDEVRQGLKEFADWPTYP QLWVDGELVGGLDIVKEELGNNDSFLKPYSLSGAADAAP UV8b_01266 MPVVADRGDSPHGFTLLLPQLLYLVRYFCVEAHQSTPCQFRPLY VKQVISTISPPFSHRRCTLLSVEGVKDLRLRRGPSQKCLPPSLRTLYLARQTFWHGAT LYLLCPLDRNA UV8b_01267 MVGFLLTRRNRKCDRKHPCSNCTKREGTDTTACSYAAPSSRKKS QNQVDSSPGDMQSRIDRLEGLVLQLVHNVTSANTDGARNSISTSHSVAGSGSSAQQQV RDGEASMADDDSDVDDHLAKSIGFLKVDAEKGKSMYIGQEHWHLILSDIAEVKNYFTS HRKELETSYEKVKSSKPALAKGGPTLLLGAHPASEEELRAELPPQSSVLALCSRYFNS MESAVGIIHSPTFLQQLRNHWQDPSKTPIMWLGLLYSILCLAMLSYHKVGDEPAEWQG HTLELAAEYRLRTVQCLMIGDYTKPVEYTVETMLLYVFGEYTTRWDADIGLWMIVSLI IRTAFRMGYHRDAKWFPSLTPYQAEMRRRTWAVVRMIDVAFSHQVSLPSMIYDHDCDT QLPTNIYDDEFNLETKELPPSRPSTDATPVSHMIAKARLCHELGNVLQATSRVYKGVP YDEIILFDAKLRRIMQELPPHLKLGSLASSRDTVPTIVAKFSLDILYQKIMCLLHRKY MARARQNPRYSHSRRSAIEASLQAMEHMQTLHRESQANGRLRTVAWYVNSIATREFIL PAMLIVLDLHYDNLAEQSGPPDDAEGAFRWSPEQRAKMMSSLEDAAMIWKSLADGSME AFKGWKTVEIMLQKLKEPIHSSKSTESINFVADSMVTLASSAAALDPTTLSPKPAHAP TGGLGDFKTELSPFMNSNSSAFMGMEFSLPAPNFGEGTAEGMNPQLPLSIFTDTEPNL NNSFDWGALENYTQLANWGADQSFQIYGDGDVKDQEANMMNTQ UV8b_01268 MAINASNVQAFQMQGRSLDTLTTGNPPLDQKKSSRCDAPTIEGQ KHSRNAIDRKRKPAGSALANAPPRSKRVRFKQHEQNQGAVAASRASDGSALSRLPVEI WHHIFSFIPPLTLGCLLSVNKLFNSCLDPSSKFGSGSQSLAGDPCALPPLRPDAIWQA SRRRYWPEMPAPLKGRSELEMWRICCSRVCQFCNLSEQPDMHDVRAGSNRGPGPKGVA VVFPFFIVSCGQCLLERSVQELDIFLASSMPIILLSGLPMVFLTQENCIIPPHLLSSA SDPFQTQPVKVFWNEHLEKMKSAFEVVKGLGSPVAEEWVKGLGADGKSLLSDASRWEK WYFAGGVNRMRKARHGASSHRPVSAQHGGQPILVAKPRNLPKGGNGSEKSKLVLADVD GPTGSLYRATPRNSSPQQTVESRRCSKRLQSTCQSKDGQHTNMEPKRVEKEADFVGLQ PRVEAAVVSNAQSCETGSGKLAGAERGETQGPLKAEISAYADEIIRDEWKNGDAVTGK TAPQFAADVLLYVRKRFYAATSPKVNSGKALGKAPAGKPPGGLRAQKLTLEHMRWIFD AKIEPRVACLRKDLFLCSKCQGTKLYGFKSVVQHYAAKHTTTLSAGNVVVNWRAAWPQ LSIFDPRPGDHASSWSKPKAPKSTNTKPKSAPRVSLTSAPADPRSVSTHHFREAGTYS QPSNQTASISHSASFGPPATPIKSSCSSPHPHEQEGLYSHPPVLSGPLATQEDLVSRV ARDVWDKMGSLVSLQRGYEDIKLCVVIHHVSKSLEQQCDEARQLKVFMMGLRRQDLLI PLRSVGGLSCKTCRESNPAARHRKMGILKLSQHFINKHHGGQAKQPTGKQLDWRVDMI WLPKYPAMKQLPALIRHDKVLSGLIHAALPWIFGNEARAQEPCSLDVAPISRQVAHEG QRHPSSAPANEVSEPSYIGCSTAPSLNAEGFETSARPASLPSKVRIPELHHGNSGHDI PPNSGVIDPSGNQRNTFVGRQYPLGPGDMYSHHDRPWPQPVYQPPQPWDHPAYPNHQA QSFPPAEGFETRQVSDPRGHYLVRRPVGGVALHGHYDPRRREAYRHYEQFPAHNHQAS DVFPPPARASSRLASDYEEYDPRTPAPHPWWC UV8b_01269 MAALGEDLLTTVNKLQDLVFNTIGSDSLDLPQIVVVGSQSAGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINVPEDDSTPDPSLDPYRSPAAARRSEWAE FHHIPNRRFTDFSDVKREIENETARVAGSNKGINRQPINLKIYSPHVLNLTLVDLPGL TKVPIGDQPTDIEKQTRNLISEYIAKPNSLILAVSPANVDIVNSEALKLARHVDALGR RTIGVLTKLDLMDHGTNALDILSGRVYPLKLGFIGVVNRSQQDIQGNKPMEEALQAEM DFFKHHPAYRNISTRCGTHFLAKTLNSTLMSHIRERLPDIKARLNTLMGQTQQELASY GDMHFSGKEHRGSLILQQMTRFATSFISSIDGTSTEISTKELCGGARIYYIFNSVFGS SLESIDPTSNLSALDIRTAIRNSTGPRPSLFVPEMAFDLLVKPQIKLLEIPSQRCVEL VYEELIKICHTCGSTELSRYPRLQAKLIETVSDLLRERLGPASSYVESLISIQRAYIN TNHPNFLGAAAAMSNVVSAKQERERKRLIHEERERREKRRLKELNADGAEQTKDGEAA ADKENSRKQTSKPGRSLSPAIQETPHGSLALAVNGIRSNSPSRLNGQGLGGARDTFLN YFFGKDGIAQNAASPGSPAMAGRHISQPSELSISQSIRREDKMALRPAATSSDDYDPT TRSYGLASHLGESNEPAMTDREAMETELIRALISSYFNIVRESIADQVPKAIMHLLVN HSKDVVQNRLVSELYKESLFEELLYEDDGVKKERQKCENLLQTYREAAKIIGEVL UV8b_01270 MHAPSFWAAMFGLASSGAALSPVEAYGNKFFNKDGSQFFIKGVA YQLVPQDPLVDTEQCKRDFSLMRELGVNTIRVYHVDDDGKHDGCMKAMDDAGIYLLVD LDTFDTYIEATGLYWNSTQYERYSKVMDAFQSYDNVLGFFIGNENIAKKDDSPAAPFL KAAARDLKAYRDGKGYRKIPVGYSAADIVQLRPMLQDYLTCGGNSSEIVDFFALNSYS WCDPSSYKTSSYDKLEEYAKDFPVPIFFSETGCNVPGPRLWDDQDAIFSSPMVSDWSG AIIYEWIQEQNHYGLISYGPPVDASQQGENVFDGFARKGTPTPVIPDFDNLKMKWAGI HPTGVSKKDYDVKKISTRPCPTSTAGGWWQVNGNVVLPTLGETLTGTFTTQASATGDA SRTTAKASETGSGTKTGKDGDSGASVDKSITVLGVSAAAIVAGFALLL UV8b_01271 MAAEPASATGSAATSAPGRPYIKQESEPTDGLEGRYSAPPPEPT SKRPFDDISATSETSEAKRAKTETETEEASLEDGLALLVQNALSNVEDLIDQFSSNSD NVNPDVETPSDPMEVDAIPPLPDSPVAQPAFSLEPQKFIRNVNIHALGNLALSILLIL LQPPFDDTIKLVRGSESDKKHAFCKLQSSFHNVRELYSSEPILSSDQLEFRDPHRRAL VEVANLAQLGSWLVDGSPQALSDADDNFLEVFQCQLSDLPHGMTELYLGIKTQRAIEF LVEGEPDEPGEPSRDVLGDVLTRGLENTLKEQHGEGVLTSADQSFVSSVKTMKETLQG EIEKQSEPGALREKSPVEDLLRTFLKHARGRLKALPDLGNKLGVSIELDDDQVAPESD AAEAPGNVDLDLDDLSSFFERTASGLVQNALAGLTDEAAATGSVEGGEASTQNGDAEH EAAENSAPQTNGKIDLMTDYKELEALVAESTSNYVKTTLHGLSPVPYQPTVAAATSTT ESMAAQLPYLTQLQHHQAQHPYYAYSQPPSEPVPPPAPGENLPPNQTFPSAILYDKAR QAALSKSSAHTRREGLHSTRRPWTQEEEKALMAGLDMVKGPHWSQILTLFGQNGTISD ILRDRTQVQLKDKARNLKLFFLKTNSEMPYYLQAVTGELKTRAPTQAARKEAEERARL NSEEDQAKLQGIMALAGGLQHAPHGRQSASTASAVGTGAATPAQSAGAASAASAASAS GAAAAGQTASSARNHGSAQKAEGGVVGQNAQASLSRPVATPASQMRPGVQANTAHLLH AVAQAHQRPHVQLPPQVPRPQGQQQPQQLRTPAASQPATTTTTTTTTTTTTTATATAA AAAATNGDGPGNGQATAPAPAQKLHLPAPPQQPQQPTTASVNKSAAPAAAPPPPSPTA SPAPSLPQQAPASASPAQSPPAPQTVQTAQPASCSQSIPLLALAQQTQQQQQQQQQQQ QQQQQQQQQASEQPQIAPAQETPPHDPPPQQEQNDNATEARLLEGLQAVVAQSLS UV8b_01272 MACHDLPQTNHRSPEKREPGPADARKLRAPQAVNHGHGLVPETA ETSSSTCSHDDQALISWGPDDPQNPHNWSTPRKAFVVVIIVLMVFNSSMSSALPSMAI PSITAELGASSAAAGVLPISMFLVGYIFGPLIWAPLTEHYGRRCLTVLTFAAFSLFTM ACAVAPSWPSFLAFRVLTGLFAGAPIATSAGIMADLFPDHTTRGRVLAIYMSSILWGP LIAPVISGFSAISIGWRWTFWIGFIFAAASFCLVAWLPETFAPTLLARRAQRLRRPAP PSCRLLAPGELQERTLAQLLANVITRPVRMLASELIVIATSLYVALVYAVFYMSFQAF PIIFRGLYGLSPAETGLTYLLIGAGGTLSLPVAWSWDMALAKAQKRGSWWSGREEFRR LPLACMGGPLFVVSLLWLGFGAKTSVSFVVPMLAGVPFGLGFMLIFTSMLNYLTDAYE TFAASANAAASCCRSILAMVLPLATTPMFRQLRVAGACALIAGLSALMCVIPFLFIWK GPGIRERSSFCIALKEQKEKTQQTRQDARRASAESARARGPGKGTDVVIIEVV UV8b_01273 MSGSLSRCRRPACRLQILLWRQPRAAARCLSTSGTCFAAGDSKG SQSSVSIRGGSYTKDAAWFNIPANVLHATSRSLHLQKDHPVHITRQIIESHFPQPTYR YYNEYSPVVSTRQNFDSLGFPANHPGRALSDTYYINATTLLRTHTSAHQADTFRANQS DGYLISADVYRRDAVDRSHYPVFHQMEGSRSWDRTKVPDGDVAAAVRADMEKLPKHDV EVEDPNPPHDEDRNPLQGCHSAAEAQAIGEHLKRSLEAMVVDIFSRAKAAAAKDDAGF ADEPLRMRWVEAYFPFTSPSWELEVYYAGDWLEVLGSGVVKQTICNNAGVPSRLGWAF GLGLDRIAMLLFRIPDIRLFWSQDRRFLAQFQGVSDRLETLKRFAPFSKYPPCPKDAS FWLAPASASAAGDSSTRGSFHENDVMEIVRSVAGDCVEHVELIDEFTHPETGRRSMTY RIVYRSLERTLTNEEANGFHAAVRRALVKKLGVELR UV8b_01274 MVAPAGEQEPAKPAPARKLTREERVEQRKKKMGPSVRYPFWFGG SASSMAACVTHPLDLGMPSNLSAAVRLQMRKGDAPRSMSGTFVRIIKSDGPLALYNGI SASLLRQLTYSTVRFGVYEEIKSRYTKSGREPSFPVLTAMAVTSGFLGGIAGNFADVL NVRMQHDAALPASERRNYKNAVDGMVRMAREEGPLSWFRGWLPNSSRAAVMTAGQLAT YDTFKRLLVDYTPLGDTLTTHFSASFLAGLAAATATSPIDVIKTRVMSSTQKQGIIRL VGDIYKTDGLRWMFKGWVPSFLRLGPHTICTFVFLEMHRKAYRNVKGLDEKKL UV8b_01275 MDGMSYDPMVMDGEPEQPQVTISAADTTRVDFALSKTNLSFANS IRRIIQAEVPTIAIDLVEVEVNSSVLADEFIAHRLGLIPLNAKGVNELNYSRDCDCEQ YCEQCSVTLTLHARCTSDEIMKVYARDLVVDGRHASPVGSPVINDPEGLGCLIAKLRK DQELKLTCIAKKGIAKEHAKWMPTSAVGFEYDPHNKLHHLDLWFENNTDPNKEWPKSK YAEWEEPPQEGEPFNYDAVPDRFYFEVETSGSMEPDQIVQGGIRTLQQKIGALLKGLD PKKYGGEEAAEFDGPRSPDMNLDGGTTPWQDGGYTTPYGGNMTSYGGNNTAYGGGSGT YGTTPYGQSSWQ UV8b_01276 MKIKALSRSLASHQPAGSDAAKVPRNLDSALHPFERAREYQRAL NAVKLERMHAKPFIGQLGRGHVDGVYSLAKDPNSLERFASGSGDGVVKVWDLTDRDDS IWNTTAHENIVKGMEWTRDQKLLTCAADRTVKLFDPYNTQPEAAPISSWLGAGAFTSL SHHRSRNAFAAASSVISIYDLERHTAAPEVLKWPTSVDTITNVAFNYVETSVLASCSN DRSIVVYDLRTSTPVTKTVLHFACNRIAWSPMEAFNFAAASEDHNVYLFDMRKMDRAL NVLKDHVAAVMDVEFSPTGEELVSASWDRTVRLWSRDRGHSRDIYHTKRMQRVMAARW TPDARYILSGSDDGNIRVWRANAAKSEGIKSSRQRQALEYNEALIKRYGHMPEIRRIK RHRHIPKVLKKAGEIKTQELKSIKRKEENERRHTKKQFEKRRSEREKMVLAKEK UV8b_01277 MSSGEFHEYSGDEFGASGLFDLSNSPDTLQSLEAIGTTDQKSFL NPQELTTTGPFPDSPDGSYHDSSSDSASSSKRTRSSHSSETPATTRDTTTDNSASIKM DWEDAGFTGFHEDDGTFPFGREADASAMERLYGFGEQDDSFMDRSFDFESASASPDAQ PPAQTNTTLPAKPSLEADKSQKKTTQAKKSGQGKKPSQAKKTKPKPTGHKKQGSQFST PSNGVVAPASREVSPMSVIVASHTSSPAGAFFSSPSPLGSQMNGNTIWSSRVDVAAAT APEALPAQSLFGNQLGQHISMPQPIPLYNDCNKNNAAYNFTGGYELQILPTPLKSRVE TQIPIKMTLSPLPPGVTKLHLPAHTISKPKLLARPSPERASDTLELYVSLVCTSAMES GDLKRKALERAASVPQGYLPNLDDEANAPQNGGDVRICNGCITRERKRAARKKIKKPD EEKVWSQDEERRIIVFNTQEVKEWQSPSAVKGVIGRHDPLAPSRAMQIDAPMRIACYC RHHGEKMGFNVIFTIKDFQDRVIAQAMSNPIMITDDHKTHPMAQVTTPQVSEAVTSTT IPIHVPQAMDIGHMMPGAQNGAFQAPPASSDSSTTRSGQSPFQSSASGKTTPTMSNAA VTGRTLSRPSSPNQGGPAKKRKSSSSRVPNGLTMTRLDTSPPPASQSASSRMSAATSP FSPNPSPFQQPDQVFGAANGQQVFANGPPTPGSTEQQLPFFSTRSASMDNLPLAQLYS APASSHPSRAPSPNGLRNNIANGLQNQFTQSLAANLYSLPLGVNQARAPPVMHKIIPN EGPKIGGIEVTVLGAAFFQGLEVWFGEQKATTTTYWGDSSLVCLLPPSPVAGTVAVTF KHHGVPGPQNFSMGKQPPTFKYIDDNEDKLIRTALSVLGHKMSGQLVDVSDLARRILN DGSSTWAGGGGPGGPSPGGPMFNHATASHEHLESQLLKCLDLIDLDDSTHKTRLDLKR PTGHTMLHLACSLGYHRFVAALLARGANPNVRDKGGFTALHMAAIHNHPEIVRRLMLV GADPTIRSLSSLTAADVAQSRAVLRAIRHSERHVRSRSGGSLHSRTTSATSLRSLWEP ITRTHTHEEPIYTDASEESPEYTSDDLEDEDPDEDMYLTMRRASVMRSEEGSIELDND EPSQAGGGMASPTTALAAALKDQVQQQLHQFQQSMTLHLQNFAHFPQMPALPGMAMLP DYQAYLQRVQQLMPGMSGPRPGSGGASGENQDISSYKNNGGAAPPPAYEDIFPEKDLD RKEESAVRAVAEAEADMKCAALYDEATSKTMSQEVKEDAPEVLKIGGKSAMTQEQMQQ FLRAREIKLKSLGSDWNLFFIWIPLLLVMICAMLYSYFPSLFPFLLTSIRAVVQAGQS RVSRLMHSAADLVVQA UV8b_01278 MTRSLEHGLNSRDESQSVAATANRLIRKLYQAAKPTTYPKYAFA IRRMRTVIISSSPRQHWTRAVFTTATAYTACAVLADSRYDGYLSLSSAGTSIDCEPDE TLTEPNYYFHVPGVAQYDLVADFNRSVFPHGKFAPSMVMRQRQDLQSYWSTSANDLGW HRLVELPTVLWARRQPVYFPSQMNFKLWHAPPGRPPRRLSIDSAPSSAPPIFVQHIAR QTNLATQPAPAPKMEIRNRGLEAQIEAIQHPFEVNIRGLTTTQPAVEYTLQEWSLQRW L UV8b_01279 MADTIWAVPLAVTDFNVAVAFLGGFISLFGLVSYLLKENYYMSE ALISLLVGAAFGPHAADWIRPLSYAACSRDGLTDAQCDDRLMSITLNFSRLVLGVQLV LAGVQLPSKYLWTQLKPLLLLVGPGMACMWMATSLLVWGLAGTPTFLHALAVGACVTP TDPVLSAVIVKGKFADHNIPKDLQDLIVAESGINDGLGYPFLFFALYLIKYIGSGSTD GGVKDAMGLWFALTWGYTILMSVAYGALAGWIAKELLRFAKERNFVDRESFLVFAIAL ALFVLGTCGMLGTDDVLACFIAGNTFTWDDWFRIQTKDDSLQPTVDMLLNVSIFLWYG AYLPWEEFADNAIVPLRRLIPLGVLVLVLRRLPWVFGMHKMIRQIGQVRQAIFVGFFG PIGVSAIFYLLVSIDFIKAHLSDQDGVPRADVKDLAQTIKVVVWFLTVCSVVVHGLSI PLGKLGYLAPRIHRILSESLSDTLSNPNSIHRRRRIPGLGRFLSASPGDAENLAARVR RGSVPITRTGGGLAPSKIKYDGSGTAQARRAGSDDARAGGPGTWTPGTSARREIVFID QVGSEVG UV8b_01280 MRVFQRRSISPVRLSSIFSKLHHTGIASVDKTGNDIETLPPSTE GGEHYLTDDDMNKRSAAGAAAATAPKKRKTDNGPKFYAVQAGFRPGVYLTYTECSAQT AGFKGAIFKSFTSRSDAEAFAAGKKVAASSDEPERFYAVAVGNPTGIYTDWNEAAAAI KGVKGPKYKRFGSRKEAAAYIRQFGSREAVEALGEASLAEPTLALKEATAPKASAKKT AVKTVPGVARPAENVLQIYTDGSSLANGKAGSRAGVGVFFGDGDARNVSERLVGDPQT NQRAELMAMLRALQIAPTGQTVQIISDSQYSINCVTQWAIGWKSKGWKTATGENVKNQ DIIRAILDKMDERTKAKANTYFHWVKGHASDRGNTAADRLAVRGAKLS UV8b_01281 MADDAPKPGSHDALVNASAGEPAEASSRVVAPAPGVLPNPLIDD LKKTHLNKGVLIPLKTTQEVRQDHAIVHAYITRAPTKSANEVITALRGLRPEGGANPL PHLRTCAKPADLPAHLKTQFMNDDAVGRQIHTSKSTWIYIIAGERSDINKEDLLQSLL SIEALEKSPFIATIPIPLLAPTSQVQAAMWSAQFWPTVYRKNNPLGPHPSMVARGTDE IKQDASLWMALAHRVALQAKESGIGEAMGAVIVQRGERGAELVGLAGDARKHQECYGA NLNNTMTHCVLRAISMVAQKLVRHEHRASGISADRACLQYDCFQDQPLIEVERQCFEQ EHPNKDGYLCHGLELYVTHEPCIACSMGILHSRMGKAVFCHHMARTGGLSSDDRPDGG GRGLGLFWRRELNWSLLAWEWERENVPPLPLIDPTTHV UV8b_01282 MQNQLGATSSHLPSSPTCSEPEQSLAVQPPPSSSSYPANGIHHG HSLSAPIAFPATTAVKVKDLTDTPPLSQSVSSTAPSSPRIPPLRQNSGTQTPRVRPHA TTLNIPGMTRSRVSPDGRIPQRDVAAKLVIVMVGLPARGKSYITKKLQRYLSWQQHDS RIFNVGNRRRHAAGIKVAAHANLAPEPQCLDPPVEAATILLNGTPASSRPMAPEDAEP TALNLNGGADGDDHHDEVDQTAKFFDPKNEKAAAMRDQVAMETLDELLGYLLNQGGAV GILDATNSTIKRRQQIVDRIRAREPKLGILFIESICRDPHLLEANMRLKLSGPDYRNK DPVKSLEDFKARVAAYASAYEPLGEYEEDNDLQYIQMIDVGRKLIQHRLKGFLSGGIS TYLSSFNLSPRQIWITRHGQSVDNELGKLGGDSALTERGHCYGLALYKFMTQRRKEWL TEQQSNQAKANFPPVRGDNTPPYPDMNKELDEKNFCVWTSMLQRSVETAEYFDADDDY DVKNWEMLNELNTGQFEGMTYEEIARKYPHEFHKRSKDKLNYIYPGVGGEGYLQVISR LRDMVREIERITDHVLIIGHRSVCRVLMAYFMDLTREDITDMDVPLGMLYSIEPKPYG IAFHAYKYNEARAWFDELPNYRPQKAARGSV UV8b_01283 MSLFGQQNAGGGPSLFGQPAQSGGGLFGSAQQSKPATGGLFGGG QQQQQQQQQQQQQQQQQQQQQNQSGTSGGGLFGQPMQQTSASAAAGGGLFGQSSQAKP ATGGLFGSTQPQPQNGTTSGGLFGQPAQNQPSGGSGAGLFGSQPQAQQQQQKPSLFGA STTTSLFGGGSAAPQTTGFGATTARPSLFASTSTTNNPLQLQQPQQPQQNASLLGGSL WGASTQTVQPPLQAAQSQQPAGAYFDSLFAKTQKDGGANPNSADLPSLELGLGDLRHR LRKLQSRQNEKPLDGKAHYLLAGSGVDPGAAAKDLSTLDAQGSRVERSHGHAPSELDV ETYLSNLQTRTTLDMITDGLERSIRDFDNFLQDSVAMEWDAQRKRIYQHFGIKPRQDS AAAARESQGGFGRSRRKSHPAPSARSGRNSVLGNPMLQRSVIGAPSRIGAHPSQSQFS DVDHSTDGPGALKSRGATEDRALRERQAKLAEKVRTLNAARIARRPYPVLAQLAEVEQ KSHDPHAPLVVEAYRAVMEIVGEDAQAETTVNGATAEERQFASMYLDENPNSARSVEM RRRILHGSTAFLEKQFLREVESMIAKHPHEAKLGGLPDITSKIKAYIRLRHARKDLVP DNTELQQIQGEFVWAVVFYLLRSGHVSEAAQYVNDNNNHFRGIDRTFATYINNYAASE DRRITNRKLLDRCTNEYTQRSRNAPENSIDPFRMASYKVIGRIELSNRNLDGLNTDIN DWIWLQFNLAREGDRTVEMAGESYGLAELQSSIREIGLKHFPKSASEDTSSSGSFGMF FYLQVLAGMFEDAVAYLYLFSYVDAVHFALALAYYGLVRPSDPMSAPNELRSHSVKSL PQINFGRMLGYYTRDFRAADAVSAVDYLCLICLNRDLAGEAGQRQSNLCHEALRELVL ETREFSKLIGDIRPDGRAMRGAIEERGPLIGLDAEADFVNTVTLQAASFADESGRTTD SVLLYHLAGEYDTVVAIVSRALSEAISLEIGEDAMRLVPVKPREEQRQQQQEAGGSLS LASIDDPVELAETMMAMYERDAMFFRKIQEQNKVACRVLLEMSSIKKLVEAGQWAQAL DKIRSLEILPLDAAGDASTIRAYAARFAGLAQPVAVNVPNLLLWTVVCCVRQRTRLTN GQFSGNEGTRRMMVEQLKRMTLDLTTYTSQLRYRFPPHLHEALARATAE UV8b_01284 MPKRSTTLDKGPGAVREWPCRPCVSRAENPDDRCCKQRGTGVAC FACAAVGKTCQPLDRSALYRAEVTALLDAQERYANGDGEDDDYEAIWLAAKQAIRDPE VYPRRSFRGAASSASFGDGVGSHTRELLDIGERIATALERSVVLLQRGVDLLEMRLPP APTDTASRGSASRGSASTANSISLKRKAPELESDGVINITIH UV8b_01285 MDTDGLPPVSRPPNVSDFYIGVDVGTGSARACIIDQTGDIKALS SKDIQLWQPQTGYYEQSTTDIWQCICECVRQVVSESNVDPSHIKGIGFDATCSLAVFS TDADKPVTVTGPGFANDGSDRNVILWLDHRPVEETELINSTKHKLLKYVGGKMSIEME IPKILWLKNHMPSDQFARCKFYDLGDALTHLATGGEARSFCSTVCKQGYVPIGVDDSD KGWQQDFFETIGLGDLSKDNFERMGGVHGVNGRYFSAGESVGTLSRQAAYQLGLPMGI AVGSGVIDAYAGWIGTVGAKVDLGDDELNANVPHNDLSQAFTRLAAVAGTSTCHLAMS REPVFVPGVWGPYRDVLLPGYWLAEGGQSATGELLRHMLDIHPAHNETTALAKAESKF LYDYLNCHLEQMVEKQNAPGIPYLGRHYFFYGDLWGNRSPVADPNMKGTMIGLDNDKS TDNMALWYYATMEFIAMQTRQIIEQMNKSGHEISSIFMSGSQCQNPVLMNLLATTCNM PVLIPQYVHAAVVHGAAMLGAKAASHNRDDGSEPESLWSIMDRMSKRGRLVEPGTDQG EKALLDAKYEIFLDMCQSQQSYRNKVDKAVEKWGAEFGG UV8b_01286 MGVPRSSHTKHRFDPTLEKLHSLQVTWSIISATLQYFREPRFQM PDINKKSSKQQQQQQQKHRGDIDSLAMAKLNMRGFLENILCTSAFHHAHSRSHRA UV8b_01287 MDPTAQPINYGQRSFRRRPRRPKPADEEDGFVGPGGGEGESDDD DDAEERVGTPQPPILTRWPPPGISSNDQLAPPYAMELIARGAPAYIGHKRAVPHAPAH YYALYATTSSTKPDDTDCMSIHESMTPLMTLRLQGAGRPTNPWETFEQPSCAFRYGFR PGTITLNQWVSMSSSLPPTIALRDPGILPRPMDLFRILERLKELQAGLEDDDETLLYR ILYKRILRDPDRILSPHRTLDKQITDLLLVLSRPDWIDFTEPRNQVVTRFIFNAEYVN VAMYKKFFHQLLLSLELDLRIHSKQHGEWAKEKLLAQIPPTIRWNLALARRWRENVRV DGFGATPEQTNLRYKLKKRQVKVLKKFAQAMKWPNLDSTMENLRTHDEEFRLDLISSD AFAFFSGLVLPGPTFPFLIMNTLIDLDPDSATDNLALLSHTYPQCGFQYRNSHTYWSA SSIVGKVLAPTCCSVAGWVGPARPTADLGRSQIARIRTRRPKHQDRRIGPEDVESMGE RSDCLGPTAQSYPVIDYTLVVPDEDSFSVVDTVRIELLGFKPVVTMAEDTYANGPRLF DATVQFAIDGVSWPLRLMYDVYFVSAWPCSQPPHPLFFDYIYRVVKADEIVRVRDWGG LYYRNLRNDVSASARSSPAPPFYSMGFNEALDFIDDDGDDEKVLVVEAYGVPDNEVLA RAWCSHWGLSAVVADIRKTCMACAIREAYAATITVLILVDGQHDQGGNHGQ UV8b_01288 MRFGKTLREAVYAPWKDKYIDYSKLKALLHEDKFDDDTVPWTED DENRFCDEIFNVQLEKVARFQEERVDALKQRVDAAFEELKELAPSEDNKATPRGEAEI SKLKTLEKQLDDITNEVKEVKKYSSINYTGFLKIVKKHDRKRGDRYRVRPIMQLSLAQ RPFNSEQGYSPLLNKLSIMYFAIRQQLEGEATPLDLNNQGETHNGERYTAHKFWVHPE NLLEVKTLILRRLPSLVYSDQTSKEVDGNDSPAVTSLYFDNKKFELYSEKVDRQSDTS SLRLRWYGQLNARPEIFVEQKTADAKGISQEHRFTIKDKWVKPFIDGEYGMEKSVQKM ERQGQPGEKIESFKSTVAEIQNFVEARKLSPVLRANYVRTAFQKPLDDRVRISIDTDL AFIREDTLDPDRPCRNPKNWHREDIDNSSMTYPFRNINQSEVSKFPYAVLDIKLKGDN NRKRPAWIEDLMSSHLVHPAPRFSKFVHGVASLFEDHVNNLPFWLSDLETDIRKDPQK AFEEEEERRARMANDAIAVGSLIGTVPNSYKAAQSSPVGKSYLADRMAADAKAAAALS TSLRSRGGVGQGGEEGEPSNSQQQEEPTRRPGGYGTLSSVLPGLSLSKYARAKRAQKA RLPEGVVEPKQWIKNMGELKVEPKVWLANERTFLKWQNVAILQGALAIALYSAAGESL LAEVMGVVYVMIAAFAGLWGYYMLNVRRGMILERSGKDFDNMLGPLIMSVALMVALIV NFVLQYQKAFARFGDGRSNRTETVSEELR UV8b_01289 MAFLILVIGDLHIPDRALDIPAKFKKLLAPGKIGQTLSLGNLTD KHTYEYLRSITPDLKIVKGRYDVEATSLPLTQVVTHGSIRIGFLEGFTLVSTEPDLLL AEANRLDVDVLCWGGTHKFDAFEYMDKFFVNPGSATGAFLNTWGEAEDPTPSFCLMDV QGISLTLYVYQLRKDEKGNENVAVEKVTYTKPVETAGTSS UV8b_01290 MARLNEAPVSSESLETLRRKMLRQNRDLAKSNNVRALRIRELES ECALMLSENLGLRTRILELEKQVEDNEGRRIADHALAIKAKLEAQLSDWGALLSGLGL EPPMKRRSPEIRNSWKQRISFAASRPSPSKRRLRDIARDIEELGSISESRPLARQSLN PEQIRALQLKANSADLESPSKRRIEEEPVKSDSPSQPTLSEANISSPRSPIVPPVLLA SPKAIRRAEISLQSPEKKIHDPATRPPKPSTQPASPAPETIAAPAKTGAKRKFLQDES ENFSSRLVTNENAPPPAVVEKVSIREKAGGKTLNELAHLRKETREKQTASGNVRKPLS IKSTNDDISSPKKNSRPVVLDEVVIAKSDKAKSKPTKERATCKARNETATKKEAMPIP DATIPEGVSLSRSELTTPVTEAILMSPNSPESAPRGDGSRGDTPPPADILLNGESSRA SRRSRATVSYAEPNLRVKMRRPTKELFDAVTGEGKYARRTSQCEHLSTDGVKVKRESD VEDLLGRLPTVNTEANLSEPGSTPGSPSARNCTTSDVSAATKAGRPRRSTAQSKAVNY AADMSLEVYDEEGGIVDVYEFMSSSPQIDSEEVAEPDKPSRRRGATTRRRSAAVDSEK TIGAKERGASRRRSMMV UV8b_01291 MSYPTRSLSAQMRPPSGAAASSSQSPALVARIEEKKAELQSLKE LQDLSRAVANQMEALEQKLNTLSDGTEAIAAVMSNWHNVLRAINMASTKLAKPSGDTS MENGSKSDDALPQTLVRIPTEHAPTLQAQAEAIETAAAEEDSAVTT UV8b_01292 MARIMAHHNNTSQSLSFDILSEESPPSNLRHSTATTTSSLKGDE VLRELSDGQIQSRITSTRSPVSPLGCASPSAKSPSKVSTIPDSGQAKPQTFRRSIRLQ RPQADCETFEPLVAVPEESSSIVETSDLDATTPVTAAAVLPTVVPDGAAAVCHGTGSS ETADSTPSLQDQVAKHLAATPLTQDSFPSPPMSDSRGAGIPTLVSLAQVPPPPYPGTP LKVPEVVDMVEQAISPYLAVLSEHSSCAVDDKLDDTIFVKADVNQGACRNSPCEVFAE GTPIAEKVRISHIPGKAVGSTIVFIREPSG UV8b_01293 MASPHVDHRPSNPIKLFVNGGTILGTHQDSSVSYPRPVDIFYGI PYATAERFQVATPCAPVPRGGVLDAQQPGKHVPFPMAPFETEEGILRLNVFKAARPAC GAQCPPAAKLGEEAGLSLTGRDESGSNLPVVVYIHGGAFNAGDALERNLASLVAWAPE DIVAVSVEYRLGPLGFMSEGVAGAELNLGLRDQRTAVEWVGRWIGAFGGGADVTLMGV SAGAHSVGHHILHPDPLPFYKAILESGSPTARSVLCPEHPRVRAQHASLRRRLPGDQA PAEATLADILQAWMQVWDEHADSVTWPFQPVIDHDMVPARPLESWNALLGSGSGSGSG SGSSRLARLSVVTGFCSHEGTRFVPEDAATDADFTSFFTTLIPSLSADDLARLRSLYP DPVTDPSSPYRNRGGEGAAAAAVAVGPQFTRLHSAYAHYAYICPVLHTAHLLSKAGAR VYLYEYAALSEPFGAASHGDQAPVVAHDPDALTAAPGLARVADEMVSRWGRFIASPAG ELPDGSWPRFESPFGDDGSSPAPGRGSLLVFGRGNDEAAGRGGAGVPVQTRLLTRREI EQCAFWWDRMELSQGMGERGSK UV8b_01294 MSDSNRGSATSPATGGGSSQSPPSPASSSHANDATTSPQQPPAT SSSAPPPPPSLSSPSPSPSPSPQPTTSSSSPPPAPTTAPASSAAPAPSPSSTSSPVAP STQEPPSSNPPAPSSSTPNQASQSVPVVTVTPSNAVTTIQVVKSIAIPSTTPPSSASK VSSTSTPAPINPGEDGSGGGLGQPAKVAIGVVVPIAAIALLALFGLWWWRKRKARQES EEERRKEVEDYSYNPNADPTIPAVGMAPDSYEMREDEGTGYRGWGSTTAAGSMGRKAS TTMSGGMTTGAFSDGGGQNRGHHPDAKTTDPNADGSSSPEGEILGAMGPSAANNRGGD VRRGPSNASSSYSATGRSEGSDGGMYPNGGTYYDQYGQNPYGDQRPQELAGQAVIRDN PARRNTRIENPSHYPQQSAGIAQNF UV8b_01295 MDLDLDLAEPGQWPGTWPGTNWGPRFTRWGPRGGSSSWEQPTAR ELRGNVLLRRALAKQRNATQRNATQRRGSPLFTVLLGRKLPVDMYLT UV8b_01296 MTDLLPPTIGVPGDISLRTNTPAGLLTTVCTWEVRRSGARAFFF SKVPSLEQALPPLPPPEVKRIDSIDTNRDDHPIPGQAVNPQPDRFPFGSQQTTCFCFA ARLAKGAPFLGPTSSFVFVAGNNNNNNSNNNNNNNNNNAKWNTSVQPAAQTTWSRTLG STECNPGTWKPSSSTCNVQDKGTSVLIFRFASHGMPSPPNGCSAQRQAAANQAKPGHF VRNARLCLPQPNPMPICACLLVFTFRVLPRPCASLSPPLQRAESRLCLARQRLEAAYP DGWNFAPVLWPL UV8b_01297 MAVADSPERAFGPNSFNGDGYKMQPRINLRRSFTSADTSSRTMW SVWRRDGKPCHDGPDYNTCEKGINTNVTNLAIILGVVIPLIVASFVLLYLHRRNVKKL RQEDAQDPHRDLDFGMGETGGKAGKRKSLFGVRGEKSSHKSNQLSMDMNLSSPYLLPP NVHQSHESVHSLARTFPSDQDPYRTVNQYTNSDAGSMRSFKPSRDVSFSHRSKPASVR TPSMGTSRMPPSRSDSMPKAPSPPKDRSDPFATPTAPEPTHQFPLPGDAKDSLIRPVD PIVPEIGNVVYPDEMPGGTDLPDIQVPPRALSQESHYGLPSPPFEHDKPMLAELPAVS DDNHRDARDDTKGFDFLTTHEAQPLGLGLNVVIPKINSPTGLNDVHVVPGQAVSHDDA GHVPTYALDHPADGQFNFRSQQQSCDGLAVPQQQNKRLSVGFRPLPPDEVMESEDPEY RANRIRSFYKEYFDDKEAAPPMPGTEHYEDYEAGYLGEAAYYDADTNAFVMPYAQPVT RRAMTPPPAGRFRGGPGGAGPRPGPRAAARGPHGSISGMSLPGGPRRPRAGSAFSPRP GSSASTGMRGRPPKKSLPPPTELHTLPTPSKLKDDSFAIFNATDFAPPDSFREHVSGR SQSPLGERRNYQLKVPVASPLVTSFDELSVLPSPHVLRKSSTFTNLDFAPPKKFKDAD TMSDAGSIRSNKSGVSAVQLDAIRRGAGRVSRLPGDTVFTSAALEDTLKPQWGMRP UV8b_01298 MVDSSLLDATFIPALHKAPALLPIAKYHDELLFVIETYPVTIVI GQTGSGKTTQLPQFLEKAGWCSDGNIIGVTQPRRVAATTVALRVADEMGCEVGKEVGY SIRFEDVTSPVTRIKFLTDGLLIREALVDPLLSRYAVIMVDEAHERSVSTDILLGLLK KIIRKRPELRVIISSATLQAEAFLNFFTDSNESHTAAEAQKGSTGIGKIVSLQGRSYP IDILYLDSPAADYLEKSIGTVLDIHAQEGDGDILVFLTGREEIDQAIQTIAEKVGRGG KEYANLQPLPLYAGLSSEEQMYVFDKPLPGKRKVIFSTNIAEASVTIDGIVYVVDCGF VKLRAYNPKTGIETLTATPTSRASAAQRAGRAGRTKPGKCFRLYTEQTFQTLPDANPP EIQRSNLAPIILQLKALGIDNVVRFDFLSPPPSEPMSKALELLYALGALDEYAKLTRP LGIRMAELAVEPMMAKALLSAQSFNCLSEMLTIAAMTSLGDSVWFYHDGDQKSMESSR RKFAAEEGDHLTLLNAYIAFVTKGKKEPRFCHENHLNFKSMTRAVSIRAQLKRYLERF NIEVSESLTTPRSEADTKNKAEQIRRCLTSGYFAHAARMQPDGTYRNVEGGTVMQAHP SSILFNRKADWVIFHEVMETGQKTFMRHLTKIEKSWLLESAPEFYTLSSARTV UV8b_01299 MSTPAEVHKHVTETGAESPTTARPLEMDDDDVQDISIIADDGAA AAAAAAKTSAVSNTSSTSTSHAIASTPGTQLNAKNGSTNAVPPKPPRPLTETQKNEMI LKEAFPSVDNAVIKAVLRASGGKVEPAFNALLEMTDPDAVKSDTEEVPPPQPPRPQGR RAQMSQMEADELYARQLAEHYDNVGAYEARTSNRSRDAPQSQGWDDERQHSFLDDDLP VIRENLRKGFLDTQTKVNGWISNLRKKIEDSFDETEEHTQQQEAQSFRRQGDVSRRSG DYERYDADPQVLSDDFAGIKLSADGSAQGRPMVNSGMHRPPPPAAASSRPGNGRRVGF KDEAEEINVYDASPTVPPKDKHPNFGKVSKWQPLSTVEPSPIADNDPFSLGDSEDEKE VGKEKPRDAKTDDNERLKKAAAAEAKADSLVKPADEGRKES UV8b_01300 MKENPFAIHQRPNQGSPSITREQAVNSLFHITELLGPSSSLVPR PQGISSSVSGSPDPFRTFDIFEIPIVLDDLTIIARLLRRHLHLSFFTSSLTLLRSSGL VIQPSDWQPCQAPGCNMKFGKQIQKRQLEVPEYAASFVNYKALKKLIKKLSATPTLAA QNEVHGATGPVDSQAALQANKATFFFQLERELDKVNAFYLQKEAELKIRLKTLLDKKN VLQTRDGISRRSSKFTTLEEGFQQFATDLNKLQQFVEINGTAFSKILKKWDKTSKSKT KELYLSRAVEVQPFFNATVISELSDQVTTSLQELGAWSDGIQVNFQATGHVVTCQHFM GTDEGDADTLLLDTVITGNPEQLRELLTKMQSASTLSDGESSLPDRVTRTFLTAVHDA PEEALRVLLDTGLVDLQSYDDINERNCLHQATIYGKQYVLEWGLEAGVSVERTDVYGR VPLHYASLHGRLDMLETLLNVNPATIDLIDHDNFTPLIHSIIQCHLGCVERLLARSAR IDPVSDSDHVPLNLACERGSEAVVELLLKQGAKILPDAEGLYPQHLVARSGKSSQLLL LLKQFGVDLDQIDKLYGWTPLVHAASEGNVDCLQALLKVGVNANIVDEKNLPAMYYAA WEGHMECMKLLTPFNARTRASPLVSQSSLGPTGSSSAPGPMSLDPDAIPILELPPPII PLRRYGHNFLDTKTVVQISFDDTREQPLMFFQDGKYPAARLTISSKVSDLIPKNIILP FQEDTRVVSLQVDNLDSFSLDFDVFPTYGAKIIAKTVALASAFKTQQAGISRCCLPLF DPRLRAIGQISFSTQVIKPFKGQPLEITDFETYWKATSQFNQPTNAVVTGSSLSGDYV RLFVQYTSDGVPVVWPQWTIACGGLEIPLCRLSLQQFEAITAQNASRAHLPGLAARTV DKIAEIYHILATAGVTLKDALAILPRGINVNLQILYPTQEEEEVFALGQALDVNVFVD ALLTVVFDHARAQRAQCHSPTVARSVVFSSYNPHLCTTLNWKQPNFPVFLCNDLGRED VAEPYTPRTSGRRSTSIKEVVRTAQSNNFMGLICYSRLLDMVPALVDAIKSQGLALVM DKSTDPAGSNPLMDPFPRPPKGVDGVLRSHGILRFNDSLDM UV8b_01301 MAETRAARARLRRTFRYPDDDDDLHRQPEAMDEQEQEALIDRLS AQNALQNTHFSRILLLVAILATLPYLPLLANSRHAVLAILSITSLLSTAYLLHKLPSA ETGIAPLDAWTRSQDALAASSDMARQIRRLRGGLRCEKSPLELYLPYLNAVLVLMLVL MGLVIGNGGGSFAWVGEGNLPAIVYAVVLLAKFVMAEVDPERELAGLTYEYRGA UV8b_01302 MASAGKDTMSPEATTTPTSPRRGSRAGAGAGTGTGTGTGTGTKR AADEDSPGRGEQASSSSGAAAAAAAADSAAAGAKKKKTGSGRGVAYLTPEQLAKKRAN ESLERRIQELQDLKPYQDLHSVKREKEAVEQENAEIRRQLSAVVKTLTQILEIGSASS TPHAPVSQVSSQPKSAAHSPRTARSTSPAASGTPSDSHPDEAMAQLHLQRRQMRQGLS IGGERLALDFLLRPGQQAARVQAGPDGAQDAPQYHHVPMKHDWAASSRDRDLWASPRA SSVADSTGHESSHQQAGSPGGVAHPNSRPPFHQPHEQQQQQQQQQQQQQQQQHHQQKQ QQQQHHQQQHQQQQHHHHHHHQPSQPGSSSPRGSVSLHEAAVRNCEPTCPLDTLLLNF LTERRQRLAEGLAMHEVIGPRYPSVSSLLNPAGNAYSHPLSKLFTDILAAFPDISRLP ERVAVLYVMFLVMRWQISPTRDNYERLPQWMTPRPSQLRHPHPAWIDHLPFPAMRERL ALMYNPGQYHLDQFFIPFTATLRVSWPYEETDALLLLPDSDEVVINPVFERHLRNLDN WKLGRAFSRAFPSLTDTFTLDQSA UV8b_01303 MIKHSTVSSTDAVETKRHRRDKRNSPSAVEVQAESTSACACRPK HIHRSGYRGVCLESSKPGKRLVSFGRSMLEIPGYYYDEARKKYFKIEKAQTAPSQASW SADAVKRRKLQDSSDKEARRRTQLVKRHIKRPALGAHVALAGLLNRETEPERGPEDGR RRPWDEDVGAAAWAGGLQDKAGIAFAPGPAPARAANMPCLWVGGEVAYATLDEETLVG AYTSTDENDRLLTFGRDDGLLADEPWRSPRLRAEMIRCPQMSSIAYHEPTHRMLLTSR EPEHSCGLYLFSPPTEGGAGGARGRWLLGEATHYQRLSIRHRLRDEWHVHKCTPAPPS SNLLCVVGTDAGILTVRADETVAWAGPAPGPSLPAGDARPLPRDIFDQDFQTSNPSVL LAGGRQPRLWIADLRAPPPSSSSSSAAAGGGGGWTHVRLASSVAHLRSVNPHQVLVAG LQHHMALYDVRFLAAARPNDGAAAPLLTFAGYRNAAHFHTGWDVSTELGAVAAAHDDG TVRLFSLRSGRRLRSAALDRVQARAPLKALMFQTAARERLPSLWLGHGHVLKKYSFGV ADLDDEA UV8b_01304 MADKVGAPALDTNIESGKFDEKSRQQIPAEHVPSKKAAVEEEDD DEDIDALIEDLESQDGHDAFQEEEEEGAPGGGRVVPEEMLQTDSRVGLTESEVTARRR KYGLNQMKEEKENLILKFFSYFIGPIQFVMEAAAVLAAGLEDWVDFGVICALLLLNAG VGFIQEFQAGSIVDELKKTLALKAVVLRDGTLKEIEAPEVVPGDILQVEEGTIIPADG RIVTEDAFLQVDQSAITGESLAVDKHKGDNCYASSAVKRGEAFVVVTATGDNTFVGRA AALVSQSAGGTGHFTEVLNGIGTILLILVIFTLLVVWISSFYRSNPIVDILKFTLAIT IVGVPVGLPAVVTTTMAVGAAYLAKKQAIVQKLSAIESLAGVEILCSDKTGTLTKNKL SLSEPYTVQGVDPDDLMLTACLAASRKKKGIDAIDKAFLKALKYYPRAKSVLSKYKVL EFHPFDPVSKKVQAVVESPQGERITCVKGAPLFVLKTVEEDHPIPEAVDKAYKNCVAE FATRGFRSLGVARKRGEGAWEILGIMPCSDPPRHDTARTIHEAKNLGLSIKMLTGDAV GIARETSRQLGLGTNVYNAERLGLGGGGDMPGSEVYDFVEAADGFAEVFPQHKYSVVE ILQQRGYLVAMTGDGVNDAPSLKKADTGIAVEGASDAARSAADIVFLAPGLGAIIDAL KTSRQIFHRMYAYVVYRIALSLHMEIFLGLWIAILNRVLNLQLVVFIAIFADIATLAI AYDNAPFSQTPVKWNLPKLWGMSVLLGVVLAIGTWIALTTMFAGGENGGIVQNFGKMD EVLFLEISLTENWLIFITRANGPFWSSIPSWQLSGAILVVDIIATFFCLFGWFVGGQT SIVAVVRIWIFSFGVFAIMGGLYYFMQGSAGFDNLMHGKSPKKDQKQRSLEDFVVSLQ RVSTQHEKSS UV8b_01305 MGLGTPEPPLRSGWASAWLAPARASSSHVRDKRRLGQLSSAQLS SAQLTLNRFQRAALCALGPLRKFHRKSRATSAPVASW UV8b_01306 MPAPMWPAIVSASPGLRKRLRARPRLAWLVALCAVGRCAVGRCA VALLRCCAVAAGGGRNRKPTIHPSTRAINHQP UV8b_01307 MAGAVTDLEAGLQAMLNLKPPGVSGSRITSLTSLCVSNIQSESV LIQKIYTHFKKAPGTHKLGVLYVVDSVTRKWLEQAKAQGQTVNSSAPDGTYAAGVHRV TELMPVLMNDILQTAPEEQKEKIKKLLDIWEKGQTFPAHMIESFKQKLTAPLVPKAST TPPGSPPASALAALQGQPPASGAPVPPNGSSILEALANIARQNTSAPVATAGNPNLPA PAPSYGMPAAGRLPPPVASSTIPVSQQQTHPSYPPATQPVNMSSLPFSIPQMPGHGGG AGAGAQATPLPNNQSNPAIPYNMANSTAPTGGAALDSSVQQQILLIKALADQGVPFDK IPALIQSMTANNTNNTAPANGAPSAFQPPIPAAQGTFSTAGQQPWGPPAPVSGDGRDR AYQDGVRSPRYHGRSRSRSPDRGWGGSRDSPRGGRERPGHGRNSPLNGRHDDHDRNGR GGNEYRQRSPPGRRGRSPTPDNSNSNNLPRIERWLEYDPSLPAGHIKVFSRTLFVGGV TCSEAELRRIFSRYGTVQTCIVNKDKRHAFVKMLTRKDAVAAKENMEDNRSIDLPLRT RWGVGFGPRDCSDYATGVSVIPIHKLTEADRKWILTAPYGGSGGSAIETGLSVEEPDI EIGAGVSSKAISRRMQTDKGGSNGPKSTRNREEDPGRNRRGRDGAVARRGGGDRGSNG TQSSMASGFPFGIGTLPNGMPNFPAGFSFPDPSASH UV8b_01308 MASVTRLSNSALRASLKPQAFNGRTAAYTAARYYSAKSQTLKER FAELLPEKIEQIKSLRKEHGSKVVDKVTLDQVYGGARGIKALVWEGSVLDAEEGIRFR GKTIPECQELLPKAPGGKEPLPEGLFWLLLTGEVPTEQQVRDLSAEWAARSDIPKFVE ELIDHCPTDLHPMAQFSLAVTALEHTSSFAKAYAKGVNKKDYWGYTFEDSMDLIAKLP NIAARIYQNVFKGGKVAPIQKDKDYSFNFANQLGFGDNKDFIELLRLYLTIHTDHEGG NVSAHTTHLVGSALSSPFLSLAAGLNGLAGPLHGLANQEVLNWLTEMKKVVGDDLSDK NIKDYLWSTLNAGRVVPGYGHAVLRKTDPRYMAQREFGLAKMPEDPMFKLVSQVYKIA PGVLTEHGKTKNPYPNVDAHSGVLLQHYGLTQASYYTVLFGVSRAIGVLPQLIIDRAV GAPIERPKSFSTDKWAEIVKKL UV8b_01309 MVATSAQSASLTEPPLEKKPIKFSNLLLGAGLNMFEVTTLGQPL EVVKTTMAAHRGDGFATALGRIWARGGPLGFYQGLIPWAWIEASTKGAVLLFVASEAE YYARAAGASEFGGGILGGITGGVAQAYATMGFCTCMKTVEITKHKMAAAGVQPQSTFQ TFMDIYRREGVRGINKGVNAVAIRQMTNWGSRFGLSRLAEGWIRSATGKSDKDKLSAW EKVVASGLGGGLSAWNQPIEVIRVEMQSKKEDPNRPKKMTVGNTFRYIYSNNGIKGLY RGVTPRIGLGVWQTICMVAFGDMAKTYVEKLTGDTVSAKH UV8b_01310 MSLALTATAPRVVIDLTLDEPPATPLSPAASPTSRAVIVPVKHH GPVLLSDGPPAKKRRVEIGDMEKSPELKSWDIAVQTVKTLFKSSPLLQKGDLGDIVLS NQVVADFEDRAAPVVQQLAAQPRYRQVKPKLELTPSMPLAHLPAQPVPIPSRPLLLPS PPTLPLPAAQSQRCPRPRPPADLDTTTSSQIPRPRAPLRQRLHRAAKLDENTPAGDRW TFLKAKPYLDSKTRGEIARGIKARIPLPTNGAGLSVPYHVDFSPREVAQMVEIVEKTF CMYGIPKTKAGLVRILNQLPVVTVPNVIGDSISGRTRGDVWNFLSDLRSNTLCSGSPR VLSLEKTNLQGRAPNRRVNRVPALLLARETEGNAGFGRMRNYENFQNQFKVCHEDELQ VIAEFTNCAGDISAMSWLPGDKVMCGTTAHSDAHNQQYNKPGNLLLYSTKRGVLQALP DHRIPRPAVDKGENSTEAMRQSQDPWLYSSVVATDYDKATGRAFTSSFDRTVKVWDLS GDSMVVTATWPHQGNVNFVAAAKDGSGRVATAADVPTAAIRVYTVDADNVQDSPYHTV SCTRNDAAGSDKWAYVPATMHWGRAPGTQHLLAIGYSPRSFTHDDADIPEDKFHSGEI FLWDAARRCQVPVLTMTTANVFEIIWHPWLPRFVVATSPSGLLVEAGTRTNIHLFQLD GERDDGAYSEVQKLDCPASDVNELTIMPNSLLHAYITAGCTDGRIYVWDTAQGDRPIH VLKHGYPLDDFTCDREKEDTGVKFTAWGSTADRFYTGSSDGVVKVWNVRRRHRPLIGN LLEAPGPISCGAFSPDLSKLAIGDATGRVFLLSIDKRDALEEHFVKLPGTNRRIRRPQ PFTPHPEPPPPPPPPSSTGGSTGSTGSTGSTSPGRGGTTRETPSIAQYSRERFLLSRQ LSLHPNPTIGAVQGPKYPLTGLYCLAAHASCSPDDPLLPEYERMQRSTRDASLGQRPR RIRRLKDAAARLDPAAADEDARRHAANASADLDLDAIPADVMQDLVRSGAQLSLDGDE GWHFDYDESPSWLASDV UV8b_01311 MAPAKDVAALQQELGAIPPPGSPYGVPVPGSERPGRSAVYRGWR FRDRELITTFDPAIRSLHDLFEASARRRASKRCMGARMWNPTTQTWGDKYEWMTYGQV AERRKNFGAGLVEIHKSIGYPKDKHGVGVWSQNRPEWQITDFAAASQSLYTVSLYETL GPDATEYIINHAELPSVVCSLSHVSKLIKLAPRLPTLKIIVSMDPLESGELPGLSKRD VLNDLAAQHGIKIYSMTEVEKIGLESGRPTRGACWDDIATINYTSGTTGPPKGVVLTH GNAVAANAAARLGGHVKEGDVHMSYLPLAHIYGRLIDHIAFSEGASVGFFRGDVLGLV DDLKILKPTGFISVPRLFNRFNSAIRAATVEAEGVRGALSRHVIKAKKMAMKAPPGQA SNTHFFWDRIWTRKVRAAVGLDHAHTMISGSAQLDPDVQEFLRAAFANDFQQGWGMTE TYATGTVQIKGDFTTGNIGPPMGDVELCLESVPEFDYTVDDKPNPRGELLVRGPAVFR EYYKNEEETKKALESDGWFHTGDICEVDKLGRFKIIDRKKNVLKLSQGEYISPERIEN VYMANTNLVNMGYVHGDAKESSLVAIFGVDPENFPAWASKILGRSVTADAHDLRSAAQ DAKVRQAFVKILDKIGRKHKFNSFEKVRDVYLDVEPFSIANELLTPTLKLKRPQAAKK FHAEIEAMYASINSAPAVGAAKL UV8b_01312 MGRKSSPEPRVNHGWIPRPVSCPSCRSHLLLASSMHKQDVGSTN LPAKPTVDATADGGGSRFSETSPGGGPAARCLPVPAQLTLRRDASRPAVPRYKQHGLP GQTSKLWAILNPTQTWAPCAQQRPLGVTNTRHDRYGAGAGTWSVDDRAHGAS UV8b_01313 MGQLSHIALRVLQGALAGANLGLSAYIVNYHGVNNLQSPPEAVG FLLFASILSILSILYLELAPRFLNRIAHPYASLAVQGLNTICYFAGFIAFAVFRGYLA FCQGDECSASRGDAVIAAAAFCAWIASTIMTAKQMIVGGVEARRKAVQMREVQLSRGD UV8b_01314 MSDLSKNFETLQLHAGQEPDPTTKSRAVPIYATTSYTFDDSAHA ARLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAALATSSGQAAQFIAISTLAHAG DNIVSTSNLYGGTYNQFKVFLPRLGITTKFVDVDKPEDVAAAIDDKTKAVYVESIGNP RYNVPDLEAIARAAHAKGVPLVVDNTFGAGGYFIRPIDHGADIVVHSATKWIGGHGTT IAGVVVDSGKFDWGRHGRRFPQFVEPSEGYHGLKFWETFGNVAFAIRARVEVQRDLGA ALNPFAAQQLLLGIETLSLRAERHAQNALALAKYLEGSAHVSWVSYPGLESHAHHKAA RKYLTKGFGGVLSFGVKGGGAAGSQVVDGFKLISNLANVGDAKTLAIHPWTTTHEQLS EEERLSSGVTEDLIRVSVGIEHIDDIIADFEQSFKAAAAAGPTS UV8b_01315 MRLPALALALALAGAALAADITLHLGRPANPSALPASTRATLSA LGAHLSAPLSSANTFVFRNVPPGSYLADIHCRTDAFRPLRVDVLAPRDGAELVRAWET FRGNDWANTGEELLPPREAGAGAHAFQVRSAGPKTYFVDRPQFSVLSILRNPMILMGL VSMALFFGMPKLMENMDPEMKAEFEAQQRKSPLNAVLGGAAQQQNPLGSFDMAAFLAG SNKNDDSSKNDDSNKKDDAGRDEPVRR UV8b_01316 MDEFAPPAGPPPPKAPEVPAGWVARWNEQYKEWFYVNVYTKKSQ WDKPTEPVFPVDDNAPSDPPPGYEPGKNAPVTADVKKDPYQDRTDDKSGLPAAAHALT SAPDQDAQLAAKLQAEEDAKARGGPAPPAGHGNPGGGAADSYTQGYQGNQSQQQYPSE LPPRADSARGKDRGFLGKLFGKGKNTQQEQSSYGGGYPQQQQQQQGYYQSPPPQQYGG YPQQGSPMGGGYGGGYGQPPYGQPGYGPQGGYGGGYAPGPYPQAGYHQQQQQQPARKS GMGGMGMGLAGGALGLGAGVLGGALIADAIHDHDQAEYMQGYEAGQDNAYDDDFGGGD FGGGDF UV8b_01317 MFSEYASRFLAQSQSRLSGFAGQGDNDWLPSRPSRAGRDVGRGN PAHRSFLSRGYAGNPYQQNGSGSRFGSLGFASRISAAQDAPLFHSTVDDFREEDDEEE REREAADLFALQRSRRVAAASKLAESTESANSHGSIEDSAREDIVNSPYRERAFGRGI RSSWNGTRSSHQPHPAGDTLFEEENEEDPGSSRENHDNGRSPRAKSSRMVDVGLDSPA GGGDEEDPLESLLGGEAATDSGPPPFQRFKSQPEREPFMSRRTSTAESDLSSLGRYHA GEHEAEAAQPDTFAVEGELFRHDPFFAWIFLISLAAMMSTFVLVWLHTSPQKNPVGDT IYSTLQKSFDMLAVDTVVAVFVSFVWLATLRSFVRPLVSVILIAVPVVTLSLSLYSFV SSFKGRTRGSSLQDSVMRWVALVPAASCVLWLWLVVKGRRAIQQAIDVLQFSSKILAQ NSGLLIVGFGCLGIVVAWTWAWLAMFTRVFMGGYFSKSLVRFVIRLSSWWLGAAFVLM YVWTLAVINAVHRATTAATVSQWYFHRNAGPVTPSRDIVTAALGHATTTMFGSICEST LLSLLIRAPLLFLPRRMGMAVSNVAAFWIPTPVVALTNPLTVTYAAIHSQSLAVSARG LDQMEIVSPSIPTTTLTPRALRLRGQAGGLLPYRLAKLLLVATRLVMATGLGFAGWVM TAKQLRVQLPDGVGVRGSAYAYVVGIMAGFIGYSVMGAMEGILSGIVDAVLICYGSER RMERGHGRYCLEAAYLFGERRTGDDDVGYV UV8b_01318 MASTPWDYIAKLVCIGDSGCGKSSLTIRLCEGRFSPHHDVTIGV EFGSRIVPVGPPHTKPASLLAANPPASSSDGLPEPPRDTSKILQKHMKLSLWDTAGQE TYKSVTRSYFRGASGALLVFDLSRKQTFQHVTDWLNDLRQIAEPDIVVVLVGNKADLT QQEENRREVTKAEAEDWARRNGVLEYVETSAKSGENVENAFMRVAERIFQNIQAGKYD LNDRRSGVKGPSAGGNRQVKLAGDGNTSSTGGCC UV8b_01319 MAADKAAAASGADLGDGLRKRPVNNTNRPSPQPAMTESEDVKKT AKKVEKTLVETLIEWEFIIAPVLFTLLAMFTRLWKIGLSDIVTWDEAHFGKFGSYYIK HEYYFDVHPPLGKMLVGLSGVLAGYNGSFEFKSGEKYPEELNFTFMRAFNALFGILCV PMAYWTARELHFRRPAVWLVTLMVLCENSYTTISRFILLDSMLLCGTVATALCWAKFH NQRHNSFELEWFFWLFMTGFSIGCVCSVKLVGLFVTSLVGLYTIEDLWNKFGNTKMPA TTFGAHVASRVVGLIVIPFLIYMLSFALHFAILDRSGPGDAQMSSLFQANLKGTEVGK NSPLEIAYGSRATLKNMGYGGGLLHSHVQTYPEGSKQQQVTCYHHKDANNDWFFYPNR REEDYDPESPNLRFIGDGTTIRLVHAQTGRNLHSHEIAAPMSKSDKEVSSYGNLTVGD EKDHWKIEVVRDVSSRDRSKIRTLTTAFRLKHEVLGCYLKGTNKNLPQWGFKQIEVSC TMENNPRDSYTHWNVEAHWNDKLPAGDPGDYKSPFFHDFIHLNVAMMTSNNALVPDPD KQDDLASYWWQWPILHVGLRMCTWDDSIVKYYLLGNPIVYWGSAVSLGAVGLIVAWYL LRWQRGFRDLEEKDIDHVHYSGIYPAAGWFLHLLPFVIMARVTYVHHYYPALYFAILT FGFLVDWTVRNRSSVIQNATYGTLYTIIIGLYILYIPICWGMVGPNKNYSYLRWFDNW KISD UV8b_01320 MSSLVSDFIINPVLRQARRLSEISRITLTGDGQERASTAGANAP SDSGIAEDAIHEPAAPALETSDVYGLDPRLETTRLTRSLRSETRAEIGLQDTSESPST TVDATDHDRLAFPLSPTNRRAIPEDDGMRELRSRIQAIIAQNVSSEEKARHMHELLQE GYRASQVAGQTPISTPIAEEELSSLACETPASPGPLESLKSWYGQNSEQAAAAGEKFV LSESDLAPTFAPIRQRKDSGDDLVNQIPSGPLDPPAPLGCQHYERNVKLQCFTCKKWY TCRFCHDAQEDHALIRRETSNMLCMLCGTPQRASDMCIGCGEAAAHYYCNICKLWENR KSKPIYHCNDCGICRRGLGLGKDFFHCKTCRACITTSIQSSHKCIERSTDCDCPICGD YMFTSPKPVVFMTCGHSIHKKCYDQHMRVSYKCPICNKSLANMETHFRNLDVAILSQP MPPEFRDTRATVLCNDCSGKCVVPYHWLGLKCSICQSYNTVELQIHGQGGQGAQTATE RVEAGANSQTQANFETQRTAVTPDAAALSAGSSRAVTSISSRRRHSSHGIELLHRAPD RFARSLSPLGSGVESALPHAVAEADSDDDILGFWRGNRSQEDGDDEEAGDDGSESSED SDGLLDFDDDDDDDDDDDDILLIGHR UV8b_01321 MMPEPDKRANAQQAVDILHEISTLLNCQLDRRAISICVSMIERG VNPEALAQVIKDLRQEAQQVEERMAIKHGQR UV8b_01322 MATSFEPSFSASGSRQTTALIDPSVADTLPSINFGFDDLRDRMA KFTAKFDAFIEQGRKRVLEERNQFRMNVAEIQEEQRAKKKEIEIIHVKTSTYQQNLQK EEAETREMEAAISSLSAQRDAHLVKRDDFKKQISQTQAEIESRIAAQRAHAQQQEAQQ RFNVPELDFWITNLCLRIEGAGQDDRLKFVFTHIDEKNWEREAWFELSTSSRDYDVKH CKPKLERESVERVLEKVNESRELVVLMKGMRELFVEAMKS UV8b_01323 MADYRRFLDLNLGHAGILTYIPSDDPENGPGILHTTRLTSKAPD FKMTGSSAELYPPSKDTVTRSQCKSWTERREQERWLSTYQPELFVGGGDAILKFLKQD SDQPEETKVTGNDGSLLALGEMTDFRVPSETKGTPLLAVATGKTGELLRLARIDESKW QWGDDHDAFVHLSVTDPALKEEEAIWAGDGLPILNVKSATTHWKTGSIRWLLVQTQNS VTILQPEYHPVPIPDSANPGMPPFRAPSFIAPTPLITLSHQETGGYELSSVCFNPPSA ASPPQIAVIDQRGYWSIWEVLGTWQVGKKTVRLSLFKCGNIIDGFMPSLPQQSRYTLQ RHGILPFGASDSPDEPTVSVNRDEAIRRSAKPSRHMLLWNSLRIDIIDLEKSSRLPKV DILKSSRTKPDFILDIQSSPANRNHVFVLTARQVIWLDLLPELTDPKQARKPRILLTC SHAGLGNADSKMSLCQTSGNDPFETLVLIYGASLNQVAVYRFSLCRETLLPQWHRHIT CLCRDNDKLSSKRPQFISILPSWVESSLVPQEPGPGAKYAREKVEFYQVTIVEEDLSV QYCICASSTDPNLNVALPTFRIGSTRLEQRQYWRKRRRRYLRRLQNAFVIPDGMTDRE LESLVQPPMAERDYEVGRLGGGEDEHCDEGDEDSCRPVTTSKLELISQTIASELLSAV DHGQCVLPSELIGLVQGTIDRGLAKGSQPLISWMQLFPEVSQVVPCNDTGEGSEANIE KLLENAVDPVIITQLRRRPVNEPPTSLLEYPTLLRQFSELWLDPVASLLSEEMQQVRK TWVCETARDYFLSSYGVLVQSVPLFGQFGAEAAEEADGFPASTRRCAWSAQTTPRIAS SSPLQSRSASPGDDSVGAAFRRLQLLAPTLKPGPLGSLRQAKVLSYWPTERGVDTDDY VSSVALATEEKFSHAKERLRRIEAKRKAQAEKYALPSLVRQGFPPSDDVGEGESVFER RQRPTQILSSQQGIAQGSSQSQGFAGPSMTMSQPVSGAFGDRKKVKKGRRKSGFR UV8b_01324 MSHCHDEHVGHGGHGHDEHDHSDDVAPALQSSLYQQINFDEITT LNESTRDAGKAVVKKTWAERLDPEPELESDADEQLLMTVPFAAQVKLHSILIRTSPAL SAPKTLHLYINHDNLDFSAAEELDPVQKLELSQTSEVQEIPVKRALFGKVQRLVLFFV DNFGQGDEQVSRVSYVGFKGEWTRLGKAPTSILYEAAPQPGDHKLKGTSVNEMGSGIG GRGPGM UV8b_01325 MVPRRGIRYGWSTAPPRSKHRRFNQPNSGLPALTTGPAAALKRR EKTTPLRAGVLATKVGMTSTFQGKTRVACTVLQLDQVQVVANKTRARHGYWAVQVGAG SRPARNVTSPLLGYYEAKGVAPKRELAEFAVRGPEGLLPVGAQLLPDWFQVGQYVDVR ARSRGMGFAGGMKRHGFAGQEASHGNSKNHRTIGTTGPSQGGGSRVLPGKKMPGRMGN DWVTVQNLKVVRADAELGVVLVSGAVPGPKGRTVKLQDAKKRKPPALPHREKALRELN ERHPDADAQLQAAREAHLEMKERRRSKPAAW UV8b_01326 MLSQLLQRRLTAPLRRRKPNAASSRPASRTGLQQRWITPAPRPG DGPLLSRRADRQLPEVSQVRFRWGRSLPVFLAIIGVCSVAIFNYQKTSSPVVASTLYA LRKNARARDILGDDVYFKHQIPWIGGEMNQMQGRINIHFTVRGTRGWATMRFASHRPG SRSLFQTTEWSLTTQDGEWVDLLEGGDPFKGLLGGDGLVAGLEGVDDVVDAHALRGFR QQGVLNK UV8b_01327 MGPPRTAAAAHLLAQRGRFQEGSMNDRASAAPPVQFLGSLERAA LERRAQLDARLSAAAGGCGGAEEHRFGGLWDGAEEKSSSRGGRMKIFGQMWVGVRERL RLRRDDDRDARGKAKPAPSPSGGTRTGARDGPHALRHEERPTRDEVFANYHQLVASGF FSSHAVLSTRHAPPSSSSPHHHHHLHHHQPATSHHPQGLDPQWPLAPVRTSPVPSAES SNNGSGVVHGGNPCTPSRQCAAASAVCSPMSAASSRGTKRAASDVPDESDNEGAAQHD AGEDESTLAHRFLPKRLRITAARDISLPKLTSVASRKNMRAAVAAARRSLSAGAHAAS GQPEHREPVELADKGPLSPDAADAADAADAQQHGAASRRIKRPTSARNLRSGKPAVVT VDKEVLSVVPDVNMGIPSVPVIPAKFTYGEDRENDGPWRGLRR UV8b_01328 MQLKLLCSVALAASVFAVDADPLVATLNSINNAYAAINQGIRVW TGDYTGAVALISQASKLATAIAQTPAPDPSTTPTDATLQERQAALDAVAKTLDDYLEI AYAVKPKVERVIPPIKALVVAVVKALQDGFSALAKIYLAAVPDGKKAASQAAFARIDN NLQTVIRLYSS UV8b_01329 MPSTAPSPTNAQRESVRIHRITKENRSLWYQLTVLQQPERARAC GSGMKANSDRRPVDPPPVVELRIIEGPSVEAGKDVTFDYNANFFLYASLEQARVMAHG RVQNTAATNPPILTGVPASGMAYLDRPAEAGYFIFPDLSVRHEGYFRLSFSLFETTKE EKDFDLEPAEPDLPPGVDWRMEIKTQPFNVYSAKKFPGLMESTQLSKTVADQGCRVRI RRDVRMRKRDGKGSGYDRREDDYRRRTVTPASEEPHGLHTRSLGNNNNNNNNNNNNNN DSNGSNASQQRVPYGADTPRRVSVVDSHHPPPPGYNAPPPSRGSHLSFGGNHANHATP QYAYRQYPAPPQTSTHLPTTTHYSSALSSPYIKSEHSYPQRGRSLSSTCPSPCSSPCV KRESSYEQVACTYHAPTSPAVSDSTSGREPQRNYSLASLPPQKPPRQTCASSLAPLKI AALVSPSPPLPPIEAQTEPLPPPPALPTGGKRKHDHVFTHISLPVYNCQRRHDTECRR IQGMTPDPDQGLYTRADGKVEFVQFNQFSDVFAG UV8b_01330 MARPQNSSILTQLRNAKALPEQALALQALKNDIVGHVQKKEAWI GLGVLEPIVRTLVTNRSPSKLNGKDANAHLLTRPLSDEEYVRLQALQLVASFANGGPA FLNPLHAARVVPAILTNLSPSSKPPQIVVASLRALVDISTAASLALPPTPIDMHYLAS NVFSPPNIESLNMMLSMTSSNYMYQCQITLASTLICLLCREDQHQNALVNGGVLDSLA AQLARFAVADGLVVPKAEELAYNDGLSDLFPEPASPGARIGPILEAISCIMGDSKYRA NRLIYSPALLAIFPSVKFEPYKETDENQGVTAMDFILPSLPAARSKAQSCAHSLFPTP DRSDSRTCSRTSLSKFGTSAVWDTPLFHSAVNAPDASNEDVESPLIPWLIHLTRSLSD YDRLMAAAVLAALFKAGLGRKGVRETTIGLLVVPVIVGMIAKNDRDGLESVEPSDTRR LQILEKGPAILARLISDSEYLQKAAFDCDGVKILTKLLKRSYKPVADGGQPTFWSASP DTGMDVESTSPMARLGDGGRNPLLAHRIILRESTLKAIGALSAGKEDYRKALVSEDLV PYVVESLCEHPRKPRSAKDKKPANEPAQSGPCPAYGTNPLSVIIAGCHVVRTLARSVS ILRTALVDHAVDMPIFRFLKHAEVNVQIAATATVINLVVEVSPVRELLTEKGVMKILC EHAHSDNAALRLNALWALKHFVDAVGPDLKKACLDQLEPGWLVQLICDDSQEASVFAV PAKGSYGDDFDQDMDMRPWDEPVRWFCGSNGVVEELDASRSSRLRQAEDRLMSVREAE LNPARKARSDDVAIQEQGLDFIRNFIGRPQSGVSSEDACETTEMIDCLLSELGEDRLF DMLASKLRSKVQWRDGALGRETRVMHPQAKIMVAVICILVHMAASVPRHRQLVMAQTE LLKLLAQQAGSKDRSVRASLCHLVINLTWQEDESEAQACSQRAHELKKLGFHAKMDTL RHQDRDLDVRERAKTAAWQMEQATY UV8b_01331 MLETSPVLAGSANGSPSSFRNTHAASRSAPQLSECHHQPSAASS AAAASATSAAASAKAAKAAEAAEATHPRASPSAASTDTACNTPQRPHRAKPHNASTNA ARQHGVAATRLNTSGTSPSPRPHHVSTQRPPVASPSTNGTSPTQPPSTTSPHGEAHKV LSPNKRRVCSGERNDPLTRRGGEARGMPTSPPASTAPKRPRKDQEPPKILPQQYELCA VEDVVELIAHMLAELIATNDAIRISSGGLTRFHSRTAPGISVQDYLHRLARHATLTPP LLLAMVYYIDRLCALYPEFTINTLTVHRFLITAATVAAKGLSDSFWNNTTYARVGGVR VAELKLLELEFLYRVDWKIVPNPEVLVAYYRGLVERTSQYALEPEGSSDETLEDDGDE VADDADDDDILQQQKH UV8b_01332 MRAAALLSVLGAALTVHAAPQSVEIFVQPISSSSSSSHPPLPLA GIIYDLAALASSSVVSYDAPEIPDSSDLIRVGVYDLKTKSWASGTTVASTENFAKGYS PVIVVNVDSKGDVLSASIKGVRIDAGHTRDFGPKVVVLTEGQGAQPILNKPVVLAEGK KAVEEEEKTFLQKYWWMIGIAVFIALSGGGGVEQGK UV8b_01333 MVASGCNSSANMPSDPSKTVLPLLLVSVVLQEKTGFKTVHVAID QPIVEKHPNIAFSFQGNVRGLRKETRM UV8b_01334 MAENGASVPAHSSSEETGFAESKGKGKGKAAEPASHREDAAMDE DGEDDVVDEDEDDDDDEDDEDDNAQAGIDEEDGLEEIDLDNIVDGGRRTRGTTIDFAK AAESLPADEDDEDDEDFEPPSEDAEMAD UV8b_01335 MSQRFSASSLHQRDSRSALFDGYPGESTPQRGPSPSVNANANGG YGYGYAANGGYGVERHSYRSATPNKKGQYSDAVLNELESQNDAQVAGILGKVRTLKDM TVAIGDEIRDSSALAEKMNDSFDSTRLRLRGTMNRMLLMAERTGVGWKVWLLFFAAVG MLFFYVWLF UV8b_01336 MLATLPDFTPRDSHSLWYTTSRSTSQPHEPHDTHHGDPSNHNHG PGNPRSRGHVVERTALALLAADEQYMHRRRVNVQNFGSSWLRPLGIPKTLHQMREEKR EQEEHQEALRREQLAQELAEAEGAGMPEEGMMDDVQLDGAQDLDDEIPDADADDPFAM GDGSDEEADEEQASPGDGSEFDHEVLRQERQNDLMAARMRMTDDAFREALVRGDPDVD EMYGGEEEIEEEHQGHMLDEDDFAHGGLDNGLDMDANLDDDVPEAESGLYEHTDSEAE LSSSQDGGDDGHDDQDAGFVRRAADLGPPQSPILRVRRVSGPRDSLDLSTLLSHDESS FMDSSPAQRKAR UV8b_01337 MGLLAEFDDRHIQVEQEAYHPYEYQTENNDSWAGALPVKQGLYD PSYEKDACGVGFACHIKGKPSHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTSIP HRFFVKNFEKEQDIKLPPLGQYAVGNLFFKPDEETLEESQRQLEDVAESLGLRVLGWR RPPVDSTLLGPAAKSREPIIAQPFVVLASAYGSGTVPETTDLEKFDERLFERQLYVLR KRATHTIGLKNWFYLCSLSNKNIVYKGQLSPVQVYSYYHDLVNADYEAHFALVHSRFS TNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVMNSDIFKEELELMYPIVEDG GSDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQGNEHMDPKKAAFYEWAACQMEPW DGPALFTFADGRYCGANLDRNGLRPCRFYVMDDDRIICASEVGTISVEPESVIQKGRL QPGRMLLVDTKAGRIIDDKELKTAVANRHDFRSWLNKELLTMSKVLGKLETTMDLQAK PDAAPLQEDPLLLSFGYTHEQVSLLLAPMAADEKEALGSMGNDAPLACLTQAPRLLYD YFRQLFAQVTNPPIDPIRESIVMSLECYVGPQGNLLEMDSSQCGRLMLPSPILSIPEF NAINNMSSVYPEWTVKTIDLTFPKSQGVQGYINHLDEICNEATAAIEARDRILVLSDR KTSADRVAVSAALASGMVHHHLVSNKWRSMVALVIETAEAREVHHMCVLLGYGADAVN PYLAMECVLKLNRERLIKKKLSDEALIRNYIHSCDGGILKVMSKMGISTLASYKGAQI FEALGLDETVVERCFRGTASRIQGLTFELIAEDALRFHERGFPSRYTVGIKALPESGE YHWRDGGEPHVNSPAAIANIQDAVRNKNDKSYEAYSKAEYEQIKNCTLRGLLDFDFED ATPVPIDQVEPWTEIVRRFCTGAMSYGSISMESHSTLAVAMNRLGGKSNTGEGGEDPE RSERLPNGDTMRSAIKQVASGRFGVTSAYLADSDELQIKMAQGAKPGEGGELPGHKVS KSIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSSPRSRVSVKLVSEVGVGI VASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGRVV VQTDGQLRTGRDVAIACLLGAEEWGFATAPLIAMGCIFMRKCHLNTCPVGIATQDPEL RKKFQGTPEHVINFFYYVANELRAIMAQLGFRTINEMVGHVELLRMRDDLRTHKTANI DLSLLLTPAHKLRPGVATFNVRKQDHKLYVRLDNKLISEAELTLDKGLPSRIECDIVN TDRAMGTSLSYQISKRYGEAGLPMDTVHVSIKGSAGQSFGAFLAPGVTLELEGDANDY VGKGLSGGRLIVYPPRSAVFKAEENILIGNVCLYGATTGTCFFRGIAAERFAVRNSGA TAVVEGVGDHGCEYMTGGRIVILGRTGRNFAAGMSGGIAYVLDIHNDFLSKLNDEMVE TGPITEPTEIAFVRGLIEDHHHYTGSELAARILVDFNRALPRFVKVLPVDYKRVLEEE AAKAAEAKRAEYSLPVISGMKHKKEEKPAKLQDLEEAIGDSKAEKKRALVLDKTKGFM KYSRRAEKYRSASTRTKDWAELSSRLDEDELKYQSARCMDCGVPFCQSETGCPISNII PKWNELVFQNQWKDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINDDPVGIKSIECA IIDRGFDMGWMVPQPPEVRTGKSIAIIGSGPAGLAAADQLNRAGHNVTVYERADRLGG LLMYGIPNMKLDKRIVKRRTDFMAAEGVNFRTGVAVGEQGHVSLADLRASNDAVIIAT GATVARDLPIKGRELSGIHYAMEFLHKNTKSLLDSELGDNAYISAKDKHVVVIGGGDT GNDCIGTSVRHGARSVTNFELLPQPPPERASDNPWPQWPRIYRVDYGHTEVRQHTGKD PREYCIMSEEFVDDGSGKVRGINTVRVEWTKSPSGGWDMKKVEGSQQFFPADLVLLAM GFLGPEARVLGDGIEKDARKNVKTPPGKYCTNVEGVFAAGDARRGQSLIVWGINEGRM AAREVDLYLEKSTNLPVTGGIVKCSAQEVRSLVAAA UV8b_01338 MSNFEDFADHDLPAIDPYKVLGLDRQAEANQVKSAYRKAALKHH PDKVPEGRKSEAHAKFHEIALAYAILSDPGRRRRYDTTGSTAESVVDGDGFNWGEYYR QQFRDAVSADAIQDFADWYKGSETEKHDVLLAYQECEGDMDQVYERVMLSNAAEDDAR IRAIIDEGIASESVPLFEAYARESRRKRAARQRAARAEAREAEDYARELGVHDKLFAG RGARPKAGGAGDDLAALIQGRQQDRSAGFLDHLADKYGATGRSGNKGRGGNKGRKRQV VEDDGEPSEEAFQAAAARLSASRTKKAKRQS UV8b_01339 MAAVQPAASASSASASSASAPAGEPPIQTQTQTQMQIQMQIQIQ QPQRAAKRAFRDSPGNSSLKKWKPKRTKRERNMTEGSSEEVLRRDIEDLLASRGVNLQ SSDHGEQEQQEQQEQEQQQQQQQQHEPLPQQGTETEVDVVELSSTGDGLALRPGSDQV YVVPFTVPGDVARVKVHRHVLHEHHTVADFVAIVKPSPLRDDARVRCRYFSSCGGCQF QMLDYAEQLRLKKTVLEKAYRNFSSLAPGLVPPVGDTIGSPLEYGYRTKLTPHFDGPP GSRPARKNRPRGAFESCPDIGFMRKGQRKVLDIEDCPIGTSAVRLGMARERARMQREY QNYHRGATILLRENTRRYPKDQAAAAAAAAAAAIRAGEEAGPEAEAGQAESQQPPPTT DQPVPLTATATATATATTEVEAEDFVDVKSCETDSKATTTEYVGDHVFTNPAGSFFQN NNSILPRFTAYVRDRILPPPSSGTPIKYLIDAYSGSGLFTITLASAFQHSTGIDIAAD SIASARSNASLNGLGDDRCSFIAADAGELFGSVAYPADETVVVLDPPRKGCDADFLAQ LRRFAPRRILYVSCNVHTQARDVGVLVRGDGQGARYAIESLVGFDFFPQTGHVEGVAI LNRCEAQSAGHSAAAKDCPANI UV8b_01340 MRKSETHSSDERLGMMQRTLGSSANQAGVNSVNWASWANWVRQR SAAASASASASGGRLAHKPSHQWPHPKWTRHGLF UV8b_01341 MTLPCHLLSFLLASALSNNHVRARVLQEIVPGPSSEAFNGAVAS ESVECSLIGRDLLARGGNAADALVGTTFCVGVIGMYHSGIGGGGFAMLRDANGQYEAV DFREAAGAAASEDMYQGNVKGSVEGGLAVGVPGEVLGLEYIHKKYGSLPWKTVMQGPI RIARQGFKVSSDLVRYIGYAVRGDPHNFLLEDPNWAQDFAPDGQMVQVGDIMTRKRYA DTLERIANYGSDIFYTGELAKAMVQHIQQRNGTLALSDFRDYKVLSRPVRNTTYRGKS LYTVGAPASGSITLNILKTMEQYDRADTRDTNLTAHRFVEAMRFGYGSRASLGDPGFV KGVDQFEARLVDASHVRRTKDRISDHHTLPVHDYDPEHVDLPQSHGTSHIVTADKSGM ATSLTTTVNLLFGAKIMEPASGIILNNEMNDFSIPGVPNEFGFEPSEANFIRPFKRPL SSVAPVIAAHPDGTLFATVGAAGGSRIISATTLALWHAIEHEMTMKQALLERRVHDQV LPNTLLLEYDFDNRTAAALIDKGHNVTWVQPGLSAVQAIRRLDDASFEAASEPRQRNS AGLSI UV8b_01342 MADTDQTPTGGKSSFSSRQDPKTLAGAVLIVASVPLFLVLLVPI ILNRLGRFLGFGLRKKSDGRRAQLVSLMEEEDERYSKENPAVKTSPRKSNSHQTQKDW DGVIGFFHPFCNAGGGGERVLWAAIRATQDQWPRAKCVVYTGDHDVNKEAILQRVQSR FNIHLHSPTLQFLHLSKRHWVLASTWPQFTLLGQSIGSMVLAWDAFSLLVPDVFIDTM GYAFALGLCKFLFPRVATGAYVHYPTISTDMLESLDPNSAAGSQGVNAGQGTGARGLA KRTYWRLFAKLYSWVGSTIDVVVTNSTWTQAHIRSLWGPYRAQKDRNTPIAVVYPPVA VRELEQEVEVSEASEEKREQVVVCIAQFRPEKNHEMILQAFAKFVRTQSKASEKARLV LIGSVRGDQDSKEVYRLRLLANELGIKEKVEFRLDASWPEILECLRRASVGVNGMWNE HFGIGVVEYQAAGLISVVHNSGGPKLDIVIEVDGEPTGYHATNEDEFAEGFEKALSQS KPLLMRRRARKSATRFTEEEFAKKWVAATTRLVELTI UV8b_01343 MSSFHRSSARLVPLARASVQQPLHPHAITSLRIQPSKRSFHLGA VGDAVVFTADAISAIHNAGLPWYLSIPLVAVGVNFSFRLPVQYYTRRVVMRRRELTPL VSAWSSRHAASVPRGQGASGEKQGERLWRLRVAGLTEKSRKRIYKTWGVQRWKTLAPF LSMAPFVIVSEALRRLCGAPMGFISHSLGLASADKVSATLPEASRLFDAGLTQGGCLW FADLTAMDPFYALPLASSALLARTSWGRLSRDQLRALLSLDGSKTLSTPMARIQTGIG RALLLIPVAPMLFADLPSAIFLYWTTTFALNEVNEAILERIVTKRDPKLSMVKRTPPA LPYLRG UV8b_01344 MSYDQLSTLESGRQPGGYTDDPDFQTLQYELKNKLQKLLTSNRE LSKGVGSLGTKKDNPRLRERVNKGLESTRELCREIGQGVKRLQTWDDLTKQQKYEQTK VSSDFQAALQEFQDLQRKALDKEKASVSAARAAHESESHGQAAGGTQEQEQQQLLQQQ ELSQLAPQDEVDFQESLIIEREEEIRNIEQGVADLNLLFRNVAHIVAEQDAGIMTLAD NVDRTHEHTAQADRETRQAARYQKAARNKSCCLLLILGVILTIIILAVVLG UV8b_01345 MAAAPARRAPYKDTLQPALHRRFSSTAILLLVISYLEALFLASW SSYFWSWFPVGPAGFRTLLIFCCGLSILVLRIAHYHVGLKTAESGLQTLGSSLRSLST YETAFWYSISSVLFSLVFLFSMDESANLKFITSLSGDRLRLNERPLFLACYLSICALV QTFSHYKHDIDHLDLGTSKRTAHRQQKALGLLPASFQAVLLQLPGTLAACAKQAVSAL TICLFAYYLVLRSFAWGWALAFLRPIYNLPRTSAVPYTWPTDIYLLARCIYAGTLMNF VWSAGNTAFSVFMVTEPLKNGNPLTSESKDPNGSLLNGLKSKKLSIKSFAAWELFLIA QNFDARRQAIFSDIDRKDGPMWSQVYAFCMNLLNEMETRVDEFGKPSPSAAAQPAQAV EGEPRVPAALRQDPILMKKNGASGLMGGVEKAWDEIARAPGSSPVSELSPLAKKTWRD AKDKMLSKRQQEVLSAEHIRSELEGWTARLMRIDLIGGLFRHGFRTRLAGTVLGTPYA EPALYSHAIQALCLLAAHSLAEDQYGNVHRDVPSIVRTLTTAIRKVEGLKQRFPLHWT DPSGSKDCPEVEQVLDALRSGLERVVAKFEPYSNDLRLSRGDLRLAKEAMTKPEAPPE TCAPKQKEADAAAKSIPRPKPKAKSKPKQAETNNSDSTNARRMETKEKEMEQVR UV8b_01346 MTTRMRYRRLFSRFLYGSIYVLLVLILLGLLLITPGDAIERSLS NGQKYNVLILTISYVVTIVTVGFVYALRLYIGKTAIAAIPKAWVPIDRGDVKDAVYAM IHVELSRSAAIAYEARPREDAPGEPTESESKAGSVDRTARAGSKRTRTVAEELGLPLP LPPSRRVWGKIEHPGWASPHSPDLRNLQYSSVVSELPDLIEAKALALALAGSAEGADV AGGAQAEEAARLSPEAAELLQRTASMNLPLYLDRLCSVGVVAADETTERFLAQYEHAR FSNRPVSDQRFRELMHLFAELLRAMQPPDPGAAASPGEGSFAWGPPESDMDMDIDDDA PLDTNPPSPRSSTSRPATASTDNSAALAAPPPPPMRTPSAHAWSFRTAPNTPGSRRTG PLSRRSSENSLSLSLAQTRRPRGGVRSRPSGLSLRSQATGSSDGGSVIRLATSDDGGC LPYVYNPRPTGGIGKK UV8b_01347 MASQPSLVPAARMALLNQLSLHASQRASQVRPPAQPAQPATPAP PSPAAPPAPPDDELLRTSGLNDGLGYAPDPSAREAALRDAKLRGKLLGKRKSKAAQGK AQGAAALAESESDDDEGRSSLGRRKKAKKDGGEQK UV8b_01348 MGTRIVPVPALAITMSSTSTSALDAMDVTSSPSTLNAEPETNGK SISRPNHAPGNDQVPCAALPSNMPAPPSATSSTVHQPKIVQTAFIHKLYNMLEDSSIQ HLISWSSNAESFVMSPTADFSKVLSQYFKHTNISSFVRQLNMYGFHKERDVFHTGNPD TTLWEFKHGNGSFKRGDIAGLRDIKRRASRHALVHRETNFPKPPSSQPGTPAEPVPVP PDTVEGRLANLEHTLYDVSARLQRSEESAHYMHVRNQATAETLARLLHFTQELSRAVL SFLPPDSAVHRDVLTLQAEMQRQAETIRSPDELHEAPFGSRQPQYFGNVDNTPVSPRQ LPQDDTRRQAGGLTVPQTQARGQPLYRPTVPPNLWLGSRRAYGSIGGSSSSTTTTTAA TTTTTTTTQSSPLRNAAAPPAPGPPHPLSNVETPPASLARRHTAADIRAHGWQPATSH FSGSNVPGPWPPSPGRGTLPEDQRIRESISTYSLQAPTHSHPQSRPTTPPHPPPPPPP PPPPPPPCLPPHVPANGVSNGADAFGGWSWNLAGSRDGKGLLFKDSSAPPTRRGSMAH ILNPSDTAERSEEDDDLRGDDDRKRKRIH UV8b_01349 MDSTSALRPRPRPAHTQGTTRCAYTSDGVRLVTVGSNNTIRLYK TGSDGEPTNIDDCQEQNVSIATGKDSFIVGSEDGTVSLYSVEGAHFERFLLRSTLPIR DVALTRDSKWCAVASDELTVKIVNTGDMTQVRHLREHSKPARHVTFDPHGRLASLSCT DGIIYVYSLTSEEPELIRKVDGVIGSFDSEAEVSTRVVWHPDGRAFAVPTPTKDVQII SRNDWEKQRCFANGHLSDITALAWSPNGALLASASKDGKVLVWETKTQSVIQRYDYSN VIDLAWHPSKNILSFTTTDGEVYIYSDFLPEQLVPLLKLNAQPAPFIHDPLSEISGNR QLPPSNGHKAAPPIPTRPRRHSMGSIGSMLDDDDEDDDDDGWVINDDNAGYVVDRGSK RMRDPSDAWGDPASKRRHLMAPQHHEAFQPGSTPWRGNRKYLCLNMIGFVWTVDQDSH HTVTVEFYDHEFQRDFHFTDTFLYDKACLNERGTLFCSPPKDDAPAYIFYRPHESWTQ RTDWRTQLPRGEAVTAMSLSESFVTVTTSANYVRVFTLFGIPFKVYRPKSCPMVTCAS WRDYVLTMGNGPVGSDGKTRLLYTIENIKRDEICQNEDIVALPEGATVKGVFFSDRGD PCIYDSTGTLLTLLHWRQPSRASWIPLLDTKLLPRLVSGRKNETYFPIAVADEKFLCI ILKGGDQYPYFPRPLLSEFDFSIPLSSPPEDKAGTRKKKKKKNKRGSLHGEDAEMADT GGSGDESGGGGDDDDDDDDEGESEGEENKDGGGGDDEDDETAESRKLEQQFILKGVQS AQLRDLVDATSGNHAQRSLLSRMELEIDKTLLQLLAVECRQGEDRGMRALEMVELMRD RTGRMMEAAGKVAERYGRTILGDKIRELGEKRVSGEAETP UV8b_01350 MQSANRDHFFETDASQAQRARKAAKAGNKHGDPVELKSKILAAI PGPTSSPSSIFVAESAGSIRHVELNSPDAQATTYRGPKAPVTCLALGGKGSKTIFGGC WDRSVWSWDVESCRVGRIYSGHSDFVKCVLCTQLQGRQVLVSGGADKKIIVWDVESGR PLHTLQDPATNMMAVQHLALDPVLSTASEVVLASASSDPRIRRWKISLDRYEQLAESF HDRPGTERLTIEEHHTSVYKLVFDVDGEDVDLWTASADGTAKCLSRTLDFVADEVLAH GDYVRAVQPTENWIFTAGRDEQVKVWDRTTGKLYCALHGHYEEITDLVLLRNTQGVPE KVCSVSIDRTIRTWPVQKSDLDHVVRQMEDLKRPSVNEIETVETLLTAEEEAELAELA DD UV8b_01351 MARNGKRRSCFIVMIRALNGYAVASTTLGQQSQLATLTTASIDH LSSKFHRSRLAGPGVDEGTGTRELTTCTVTTLILPEEFQATNISWDADTYLVPTSEGS LAKKKVMPHRCG UV8b_01352 MAIRAGCAMGSIVAFEGTPDVVLTQLRLLPPSPYTLILPSIMPY IKPRDGARCFDARDFIRQVHLAFQARNQAARDFLDGSTADRKRLVFLNGGTLGAQALC VKKIMEYETQGDQAQAEYIFQQIAKDGLAGPDENDTPFPGMLNRSASDDSDYPSYGHV LEEDPITKAMRAADALDRQTANLQPSGDLNFTMPSRKRSSSLPVYGYCDDFDDSSTPY YVFGAPPNQEDGTAEVFPDSLRATPNQRLSVMQPTPESPDTTQPRSDLPSPSIYSTSG VGESHDPNAHGRQLTTSVAPSPASETSSMSFSEHVVFGEASVLDMRRMSKRNSLFRAK SLDRKYSADPRVRHFGMPTESWLDESDTPRISQHTLPTTLPSQMQNAQWLQTVVDKPR TVVVKAQKRPDEMEPVLGWEEWRASNSVGKVESRYVDRCTNTRYSFATTPVFEPILSP VEDLIVFFKDDAQDFPLESAISTFRNRRYPVLSYSPAPSDVENMAESLPGTPTPLSPT AADPLSINIKSPDAALPSLDDCDPFAYAPSKCQGPKVAELAAAVALARRPTPSQASVP TISTFHEQRVHEFSISCSQTAVSIQNSLRAVLGEHFPPDTAGYGPLQFSPLPEFDGLW KPILRDKEPNRWQKDDGSRTQILAIGPQRGVEKKYSLAMIGRLEEVGRKSCEVSKTDR VDFRYLLAKAMQEFTARRLADQTDNPFTNSNLLATLLIPPLETYLDLHSEVQYLLLTY PPEHLSTVLALQNLVGVDVLKVAQIVESIREDDLTLTHTRRRGSIDKKSDSKPAQPLL LSRSSSTYISPSQENYWLTCSASEEDIATFVSRVWNIDTEQDESSLPSEQAAEKPRKK RPPPLSLEKEDVKETSVLVLQSPLSSTRMLVPPPEPESSALPVRALSIADSIETSKML KSKSSRSNTRATNGPRGGPESSKGFYMNDDSDDDMDERRLMPVCLQKKVAPKPSSRKA LKFLGLA UV8b_01353 MGPYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDF KIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQWL QEIDRYATEGVNKLLVGNKSDMSDKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQA FLTMARQIKERMGTTTANNTKPSVQVGQGQGVGSSSSNSCC UV8b_01354 MAAPWRNLTKTAGAPPSLQAPRLLSASNAAATQLPSLAHLVPLV LAPVPTCPPGSSPEHGLQIPSRPLTQTFFDRTAPSSTGRDNSKDDSRDPNKAKLGKTL RILQERLPTLLQSPLPQDILAPNISLRLFPSTHPHLPTVSGKVAYNAALWTSPIAWNR VPIIGNVKLEILAERVTSEPLTFLPRRAGAIPEQLVVRWCEKRRCDGHLPEPGGIARA LWRGRGADPNKAFTGLFIFDFDSEGRVLTHTIEQAQEGGNWESGMGAKFVGLTDWLLG GMKTGDDNPIPTFKSLDKVT UV8b_01355 MENLSISDTLPTQGGPAPPPGGPPYAPAQLPPQMFTTAAQLLDL TDKKLMVVLRDGRKLIGVLRSWDQFANLVLQSTTERIFATRPGCDPSTPQGYYADIEH GIFLVRGENVLLLGEIDLDKDDDMPPGFQPAEMEFVKKLAEEKRCAEKAREKKRLKKL ATMGFEGENLGEVVL UV8b_01356 MSGPGKETTDGRSMQSRTGRNKQRYNSKGERLVAGVVPLSPDQN FVLLIQSTRRKGWVLPKGGWETDETCEEAATREAWEEAGITIQIDYDLGTIDEKRPPK SSKDRARYSFFQATVLAEIEDWPEKHKRERQWFTYGQALDILSSRPELQEALQRSTMN QL UV8b_01357 MQTPEGASSDYNYISPIGYAKSSRCGYCGTRSQSFSYYAKATSL SPSFYQTLLDRCWRRSGKLLYRPDQRRSCCPHYTIRLDSGRFRAKRDQRHAVNRFNKF VLGNSYLEAAARLHPKSREDTRKRDNHFSLVDTIHEAEYDRVKFPPNPEHKFHVTLEE DEFTEEKYHVYDNYQRVVHKDPPQDRSPQAFKRFLCSSPLRRETMVTADGRQRKLGSY HQCYRLDGVLVAIGVLDLLPDCVSSVYFLYHESIHKHAPGKLGALREIALASEAGYRW WYPGFYIHDCPKMRYKMDYSPQEIQDPDSLDWGPLDSSVLDYLDRHPFLNLSLLRETR LPNTPGRDVNNDEMGDSKKPRGSSTNDEQTVGDCRELTVNDGEGCDDGDDEDVRSLFE SGMPGMKSLSEMMQVDLDHIAVKALATGPLYETSDLVVWDSQTMTEWPGIKASVAELV SIIGPDLMDSICLDMTR UV8b_01358 MATQQTLLSPAELAYLHSSLSLTPPVRPDGRTPTQFRPLTAETG ILPGTNGSARVCFSDGTEAIVGVKAEIEKTPGLHDGQEAGSGSSTTTTTTTTTTTTKS AGDGPRARGDWLEMTIEIPGQRDDEASTVFLAQMLREALLADGEFAKKLWINRRFHWR LYLDVLLISPPLSYPLPLLSLTTHLALLATRLPRLKSEGDEDPLFDDDWESSTFLYPS DGTSAGSRRPPITLLVIAVGGNIIFDPSKEELAVAETALAISVAEDGGRRGAGDMDVD SKRDLHLLSMRTIDPPSRLTPPGVPNSVNVATNGAQAAPGPGVTQQKQGKEDESAEGV WKAPIGGTKVAVLEAIMDVVLEKGGVAEQVLNALEGVDLM UV8b_01359 MATKTVIPRFLLPLQGPLWRGIRIPPSQNVRIRFASSSDQPPRP IVLEKPARFNPPSHGSRLKRNAVPKHYGPELTAAEVAAQNKREYPGLMSPEGTWSHWF WNSRLLHTFITMGALFAMAIYTFFMNYAYNSPFKELVPPVSDLWHHPSYFFAAWKNVI ALHEKDKATKAAEHRTRHLDDVAKRRYFMKAHGIETKDPISMVFGKDEEKSEQELEAA ALGKELATNAETDETPAPKKKWLGLF UV8b_01360 MAGGKGGKGGAGAGAGSDTKGKDIFKTRCASCHTIEKDGGNKIG PALYGLFGRKTGSVEGYAYTDANKQKGVTWDDDTLFAYLENPKKYIPGTKMAFGGLKK EKDRKDLIAYLKKASS UV8b_01361 MAPGLARPRLTLRSRVERDVYTVLKQLEAASGDGRPFKTVGAAY DAIQHSNSSLKRQKKRPLEDAIDRVLLFRKQEADDSSDSEAALDEAEPTRQADGRFLL NRQMTKLWHQPSPSSAEATSMEPSPSKKRRVQEEADEVCKEPRTVDTTVNGNISEGAA TSAASKKQQQQQQQQQQQPKKAQKPSRFSLENLDEQLPLAGLGDVYDVLLNIAQAALK FGDYYQKHLVDRRLAITVAGPNKMGKKMMVRNLASTLAVPLVSLKDCFLDADKMEKNV VEAFDAAIAQAPSVVFIENVDHHMSAPGSPQHNEHHSRAVRILVSQMERLRRMRDDNV NVLAIATTSKLSNVDPALFDTDLFEETLEVKIPDYEARKDVLRAVTARWTLGQDVDVD EIARITHGYVPGELFRIATEAVDAAIRRLGEGEDTELTGSARDAAIRARDRQVLSKNY TRLQPRAAASMNDFVHVIKKFTPSLRREGFTVIPDVTWGQVGALDAARQQLHRSIIGP IKRPEMYARWGLTQNAGVLLWGPPGCGKTLVAQAVANDANASFILINGPELLNKYVGE SERAVRELFSRARSSKPCILFFDEIDSIVPPRANSSTESGARVVNALLTELDGAQDRS GVYVIGTTNRPEMIDEAILRPGRLGNQIFIDLPTPLERVDILRAIYRTRVQGPSATAM EQLAGIAQDARCDNFSGADLSALHTKAAETAMERWMRDETTSEEITGADWEYALQHTR ASVKDPDSYRARNLML UV8b_01362 MRPDKTIHHITHRLPDCSNTSTAGSNPARICIMGGNTSKVTAQD KAILDMKNQRDKLHQYQRRITALTDKETHVARQMLATGDKKGALLALRRKKYQETLLA KADAQLEQLEKLTSNVEFALIQKDVVFGLQQGTKVLKEIHAQMGGLENVEKLMGETAD AIAYQQEVSDMLGGQMSNQDEEEVEDELAALQAEISGERRSLPSVPNAQLPPPQRIGE EPEGHERSEPEPVLAA UV8b_01363 MSPSIDVEMLKALGLQDQDARISSHASSQFSSTFKLTTTTDGEP RNYFVKIGTGKDAAVMFKGEYASLQAIHDVVPNFCPKPHAHGSLSTGDKHFLMTDFLD LDSTAPGGSGLSLAAKLAKLHSTPAPPPKHGDTPMFGFPVSTACGRTIQDNSWTLSWA DFYANYRLRAILNECIKNKGDDAELTESVEATAGRVVPRLIGDGTVKGITPVVVHGDL WSGNHSRARISGEHGSEEVVYDPSAVYGHSEYELGIMRMFGGFGPRFWEEYEERVPKA EPREEWDDRVSLYELYHHLNHYAMFGGVYRGWAMSLMARLIAKYGTQQAVS UV8b_01364 MFNVGRSRALASALNASKINPSVRLPGLAQQKRALSIHEYLSAD LLRKYGVGVPKGAVAKTAEGAKAVAKEIGTEDMVIKAQVLAGGRGKGTFDNGLKGGVR VIYSPHEAEMFAQQMIGHKLVTKQTGAGGRLCNAVYICERKFARREFYLAILMDRATQ APVIVSSSQGGMDIETVAKESPDAINTTYIDINTGVTDQIARGIATKLGFSEQCVEDA KDTIQKLYKIFIERDSTQIEINPLSETNDHQVLCMDAKFGFDDNAEFRQKEIFEWRDT TQEDPDEVRAAQSGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGQPANFLDVGGGA TPAAIQEAFELITSDPKVTAIFVNIFGGIVRCDHIATGLIKTVENLNLKIPIIARLQG TNVEQAHKLINESGMKIFSIDDLQTAAEKSVQLSKVVKMARDIDVGVEFSLGI UV8b_01365 MKHMHFDISCSIDTHAVFQMMALPIPNWSISAGLGALGVVVSIF ALIWTRHRAVDDRIRLTGGARAPVLARNLISATRLYVGIAKHQYNNRLVDWCIETLDR TGHHYAEFSLTGSKRVILTREPEQIRSILATNFKNFGHGPQWHRLWRPFLGNGIFATD GQRWHRSRSIIRPMFVKARLRNMAIFDNCTNKLLSVLPPSGTTVNMKDYFYRWALDTT TEFLLGENVNSLSSPDHEVAEAMAVAQRIQMYIFVLNPVAPLIPKGDYYRAIRRIEEF IEPVIQRTIASPPPPPQQQVKESSCQAGAQCSLLHSIAQVSRDPKVIRDEIMSVLLAG RDTTAATLSWAMYELSHAPGPWARLRSEVLRELGPRGMPTYETLKNMKHVKNILNETL RLHPAIPINMRQALEDTTIPGAPGQPDVVLLKGDTVTINTMGMHARKDLYPQVSRTFA DPAVFTPDRWDHWSPAPWTYLPFHGGPRTCLGQNFALTEMAFCLARLAQRFERLEYRG DWHAQTLRADIIGTPALDVPIAFFEA UV8b_01366 MAVPAARRGSPQPTSADVRAQLERIARSNRTDFEKRVWAALCRI PAGRVTTYGLLARYLGSSPRAVGNALRRNPFAPEVPCHRVVATGMTLGGFKGKWPRGG EGITLDEKRSLLAGEGIQFDDRGRVASCPWSGWS UV8b_01367 MSHLLWKYYWENDVDRFRRLLAPTCPLPQLVTRSPCGGASSGAL PGSSPGAASSSPRTTPKSRKASAHAPGGFLSNKLKDGGASLSRSEINSRDHAGLTLLL RAASSTHPSAKDFVEALLNHPAVDLHVQDPESGWNALHRSLYSGNVSIARMLLAKERI DLTSHTSSQSAVGKVGQLIKTKDHEGNSPFDVYNSTIAARSLKGTDTAKRFDDESDYE DRDDCEFANLTANIASGVVPTVSGHEFYMFGSNKNLSLGVGDENDRQYPERITLQRSD KLMSRFYEMYLADRGIELPSTPPALYDIPTLIRCPPLIIRDVAMSKLHTAVLTDDPVS NLYICGVGRGGRLGLGDENSQFKFVPVEGPFEDRKIHRVALGQNHSMAVTEDGELWTW GLNSDCQLGYALPPLMRLDEEPMSLAPRQVFGPLKKELVQGVAASSVHSVAHTASSLY CWGRNLGQLALMDADSRSLDVQPTPRKVAASLLSTPIEMVSAIDKATTCLLGNHTVWV FTNYGYNLVKFPYPDVFRNHNLSATSCSSRQHSKSRHCNIKSVASGGDTIAAVTARGD LFTMQLNNKLENHQAAGSTTNPVKIKSALTQPKRVWDSRKDGVACVSVGECGSVIICT QTGAVWKRVKRAKGKIASSSNPADSKKKDFKFERVPYITDCVAVRSSTFGAFGAIRKD SRVMSTDIGITAPSLWDDIGSLLCLNDFEASTLAPDGALGSGWNAAIAREQPGSVPHE ILRSFDVEQDLLQWISENSPRFHELNMEVRTSLNPDLKLPVHGWLLAGRSRVLREALA EYRIKGCTDAHPDAISIERAGNRALVTFVGIDIYTLLNIIVYTYTDAIVPVWRYMREA PSLAHRFRQVRLELMKLGTRLEMPKLEAAARLQAGVEPSLDVNLRDAVADPAFFDDAD VVIELDGDCILAHSQLICQRCPFFEGMFRGRSQGQWLACRRDPSLQPEPELVRAHLEH IAPETFHYVMEYLYTDAGVGIFDDVCTGSLDEFSELVLDVMGVANELMLDRLSEICQC LVGKFVTTRNVANLLNEISPCSVTKFRDVGLEYVCLQLETMLENSHLDGLEDDLLEEL DQVVRNNQLARFPIVRSGRAELLLHERYPDLALDVEEERRRRAREMAFRHSQREEDKK TAGSYKTRFGSLDEIAASTPDKHRRKSKASRNEPFSPTIRPRDSHPDLIFNMDDDERP RTAASIPQETLATGSGGNESNLDSMPTRLPERLRAVGSSSHMTPGGQPSRGRDSPRPF QGPGGDQRVQAISQPSPTAPPARASNGPWASSAMPPLKLDLKNIMQEASSSTSALTAG LASQADKLAASNRPQAKMSQKLRKRQQMLLQAEAQAAAEKEKATAAPWETVDSAARPA PWRAATAAPAPAPAASAKASLKGAIQPCRGETALFAKPLVAAEANAQVSKRRTASPDT RFSGQGRANSLPVEPNGPSSSPSPSPSQPKGKRPPLVPHSRSYIKPEPKVEEAALGRS SMADIIGQQKLEQLRVKEAVAKRSLQEIQEEQAFQEWWDQESRRTQEEEAGRLARAKD KDQAAANRRGRKGRGRRPMARARSGSG UV8b_01368 MRRRRNKLFTFCAAVIVILLYRVLQNSWQGQRLGRTDPRQPLVV PVRSEDRHEERPQPPPTTEQLPQKNAGKQKPKIDHVAQKAANDEILGTTTPATQTGGD RTSKGASHRDAPADRTSVGGVAGFNPEPKTDTEEVNANQVEAHLKEPIQRLGTSNAIP TSTSTSASARSSPTTPKEHWIRPKEHFPLPKESIITLPTGSRKKIPKIQHVFSPEAEG PKKKRLQRQAMVKQEIRRSWAGYRKYAWMHDELLPVTNRSRDPFCGWAATLVDSLDTL WIAGLKAEFDEAARAAETIDFTYTERSEIPVFETTIRYLGGLVAAYDVSGGASGSYSF LLDKAVELAEILMGIFDTPNRMPILYYEWQPESTSRPRRARRVGIAELGTLSLEFTRL AQVTGQHKYYDAVDRITNGLVELQKKGTLIPGLFPENLDVSGCNKTAAVGRSWRAAQN RASSADTLHDLKESRGRSSIMMPTDDDRLERRASSLSQQREIDAALVDAIRGESRSRP KFQVPLVDDGTDDELDCAPQGIEPGMIGYQKYHVGGGQDSAYEYFGKEYLLLGGKEGK YQKLYEDTVEGINKNLLFRPMVPGDWDILFPAKVGVSPSTRDQPNPEYEVTHLTCFVG GMYALGGKLFGREEDLNYAEKLTNGCVWAYQSTATGIMPEHGTVVPCPTLAKCAFNET VWWRELDPYYGSREGEAVYGGKSGAELDDLDTEQTGVSKAVKPLAAETSQAVHESGGP AAASASTSTDYLSKRAVIPDGSVNQRGSSSGFSPEDELVLNTKGKTRKAKQHDSEFSN EREAEYPGRKDKDAEKHGSGFSTEAEVGNPELNDKDLNQYDSEVSTETDAKYPKLKGK DAYRLRSRPSTIPEYRPGQARTSETRAKTPLNSHREYVQQQIKDGVPPGFSGVLRTKY ILRPEAIESVWYMYRITGDPSWMDKGWTMFEATMKATRTSTANSAIYNVLSKRSSVTN EMESFWLAETLKYYYLLFSGPDVISLDEWVLNTEAHPFKL UV8b_01369 MVASITRARQLNKGGPTGRIADRDKTAAFLYLVRICTLRLGWLC VLALVTSAFWDKKWRLVLKPIKQQQAKMQSRPSIARYTAHYTSVLRWGFQSIWKGLFH AIQHALRRNHLQGLISTRFAPVSSSPKTVLLPTLMRFRNSPRFIRRNICAS UV8b_01370 MMNIPPPPPRYPGVLPGAAAGLMIPPPPGPPPGNALSQQTTWHG NYGKLYDARNGLNIPPPPPSGLQHQPYNPKLHAQIAAAHVIAMPPPPRPNDSMSMSAT YIPTGGTYGEGVGIPGLGPSDPSTSQSSWYETSNARPPTDDANARDRLYQSSVSPFAS TGAAVSTVPLELAAQWPLDTVLIWLAKNQFSKDWQETFKSLNLCGAQFLELGSMHGGR GNFGMMHQKVYPRLAQECFNSGTGWDQTREREEGKRMRRLIRSIVTGKPVDPSKMVSS HNRASSVTAPSGNNALESSESPNTPLNSAGTSGSGSFAARQFSQNRSTTMPALGNGPT NPDSDHRLGLKYIDTDGSRKLSSTDNANAESGTSRGETGRKDSPHGSPVPQQQLLFSS ATAPVLSTSPASSKFGHRSRNSVDSITSNAAIYGSGVPADAATIFGRGLTTAEAIATS RTHELRPRHSPQESGDRSAGLDHPASAKDSKSFLSFLSRRKKQKDDGSFPSPDDFDPP ESPVATAKTLPGVYGAFNGSDSHLERLGFNIAGQQKDHVAMAQRLKRTNGARTLVLAT TDHWNYRMCDITEEESASEIRRAICANLGLTGFEQFSIFATELGKFDHAEALDDQQIL TDKRVKGDSNGSLKFFVSPMKAGNASTSHQAPASLSPDYIPPGVDEDTYNRSRQRSSS SPPSSRANHPSERIDEKALAKEASEYRAEIERKQQAYLAKRKKANKKSSATAPEVAAG YGIVGRNVDFDQPRISPFEDKKPEPLLPQRRPPAPPSDPSATLIKANSLSRRPGLGTR SSSSSTDSTSSPRYPLSTAASEFEAADSHRKTKHPQAMTGNGGIGAALVGIGRNMGAV GQSSASGLKITVTNCVEPSSASPAGNSSNQQQSAGPKSVSPGVVSPSSSRSQTKGSTD CCVSKNSFDEPDMDWNDGEVTFSKPPSPLKSSARSANPPKDDDSDDSDDGLFAIPLAG RSRGKTAGAGANTSSNEQSDADKRPNLTLSTSRKSKALSVSFQSPHSHASTGTAESST DGGAKTCTSSRRTPGTPGTDAWDSDEKDTQISRRKSFIEKDVWANRPPTDDLLNNLDD FFPNLDLDEPVLEESASGDLPPLPTGGFENHDGSDFGASYGAAVANDAPADMPPSREP SLYSDGDTLGSDQSTLKAVELPISSQTVAQRSIRRSGGLGRMKSIREVARGAHEANKR FTSVSQPVDSKSQASLIRRKSTKMFNANIVQIRPDQRGSIVVPEIPQDTIPRRQTTFR WFKGQLIGKGTYGRVYLGMNATTGEFLAVKEVEVNAKAAGGDKAKMREMVAALDQEID TMQHLDHVNIVQYLGCERKETSISIFLEYISGGSIGSCLRKHGKFEEPVVSSLTRQTL SGLAYLHREGILHRDLKADNILLDLDGTCKISDFGISKKTDNIYGNDKTNSMQGSVFW MAPEVIRSQGEGYSAKVDIWSLGCVVLEMFAGRRPWSKEEAVGAIYKIANGETPPIPE EVEDTIGPLAVAFMMDCFQVNPFDRPTADVLLSQHPFCDFDPNYNFYDTELYTKIKDA YQTT UV8b_01371 MASFRLTIEDGQFRDGHGRQVVLRGINVAGDAKLPSEPNQPSHI GDDFFNGDNVRFHERPFSKQDAPTHFARIKRYGFNTIRYLFTWEAIEAAGPGIYDDDF IQHTIEILRIAKSYGFYVFMDPHQDVWSRFTGGSGAPLWTLYACGLNPQSFAATEAAI VQNTYPEPDSFPKMIWSTNYHRLAAGTMFTLFFAGKDFAPNCILDGVNIQDYLQSHFI NACAHLAKRINEAGDLLDQVVVGWESMNEPNRGMTGYVDLTVIPKDHPLKKGTCPTMW QTFLTGMGRACEIDTWDMGGLGPYRTGSTLVDPRGEIAWLPEGYDDSKYGWKRHRGWK LGECLWAQHGVWDLSTDTMLKKDYFSKNPRTGKTIDYPEFTNSYFLDFWRKYSKACRA HHNDCIMLMQYPTLELPPLIKGTEDDDPLMAFTPHFYDGITLMTKHWNSTWNVDVVGV LRGKYLHPAFAIKIGETAIRNCLRDQLATLRQEGLDRTGKHPCLLTEFGIPYDMDDKK AYKTGDYSSQSAALDANYYGVEGSQIEGHCLWVYTVINDHERGDQWNGEDLSIHSLDD KLPPLSPLPKSALVNQSATSLLKLSTTMNNKSMGDDESVTPGNIQRTLTTQSISSSLS AKDPELTNAPGYRAAEVFIRPTPTVVAGNVLSTGFDLRRCVYELKVSAPKPAGDDAPT VVFLPEYHFVKDQCEISVSAGRWELGFDESEGPILQRLKWWHPEGEHTMRVQGVVRKH NVPQGSAEEAGYLEQCQQGYGFNLGNCTLM UV8b_01372 MRRSANVPMNPTPKRECSVKYDASCYGQGFTADAKRFDKTDSGQ EYPCLLFLLARTISACSTMANSPANLRKFSSNYRERVSAHVRSRRTNDRAVIENPLAH LTDLELQADVRNFQQRNLPFVEYETLLRAARVGKNIRLYDEAARQPQEFDERNALLVR LTQKEKKALRNEKDNLFSERGMLIVIGTVSLAAFLQGFVQSSFNGASLYQAQYGLTEP RVPNQVTLDDWKLGAANASPWFSAAILGCPLSLPINYWFGRKGGISVAALMIMCSSIA AVFATSWTALLGIRILNGIGMGIKAVSTPILASETAVGFWRGSAILAWQIWVAFGIMI SFGLNLLFTLAANESTTFRLIQGAPVVPSLALLCIAFFVCPESPRYHLMKGPNYSVEK AYRVLRRVRNTELQALRDMYVVYKAIEQENMDFGDLDEHALKSPGFFWVIRDFLRQYF QLFEFRRLRNALISTSTVNLAQQLCGVNVCAFYSGTLFSSMAQGSVARTIPMAYSLGF GAVNFFFGLPAVKSIDTLGRRRWLLITLPFMAVFMLGGAMSGHISNVDTRIGITACFL FLFSVAYSPGLGPMPFTLASESFPLSHREAGTAWAISINLGFAGLLAMFFPSINSALG QQGSLGLFSALNLVALVLVFLLVEETKQRSLEELDHVFAVSKSEFMRFQTTSYLPWVI RKYIFGSLKPRPELYRDFVWGSVSMDARDLVSTHVESRSTPVPEQTAQRQRMPVPKPP VFTDTGRPDHAAELEEIYPPGVHVPYARNQQDGASSK UV8b_01373 MSGVKAALKSINDAIRGQKYDDAIVQAKDLVKRDPKNYQGLIFL AFALEKQNQLGEAEISYKRATELRPGDAQAFQGLIKVYEKKGVEKFSEYQHTVVCLAQ IFHQAQDLYKAQDVVDKFVDYVRVHGDKLQYADALWIQLPESPLYAILEGRFPRPDKT YETIAYLLEEFEKKRKNTLVGDRRTRLGAKLSEVKAEVKWEIYSQSKLEHIYRQFINW TTDDELRRTFEEKLLHYCYDRLLVTPAGDMKAQEHAKVCGLAKDMVAIKHPFKLAWDI AIEWQDHKEIGDWDSNLLRDYCHFFPDSDLYKVIISFLTSNFSPFPALDETAKPTNVS NSPDDSPDDSEDDDDDGGVPTSFVPLTDEDRLMMISEGITGTESVLAYRIVGTYFLQT GEYESTVEFMRKARLFLSKEGVKIGISYENSDDACALCLATSLIYYQSPRHHQEAKNL FDKVLERDSTSTPALIGVGLIFEEEEQYDQAIDFLERALKRDDSNIRVKSEAAWVKAL KADWSTAKQELFECLALLDKVAAANKELLSDTQYRIGCCIWKLDASRQARKQRKGECA YAYWLKALSSNMNHAPTYTSLGLFYKDYARDRKRARRCFQKALELSAAEVTAAEQLAR SFAEDGDWERVELVAQRVIDSGKVKPPPGSKRKGISWPFAAMGVAELNKQDFHKAITS FQAALRISPNDYHSWVGLGESYHSSGRYVAATKAIVNAQSLEEQQASSGVSHDTWFTK YMLANIKRELGEYDESISLYQAVMETHPEEQGVITALMQTMVDNALTSVERGTFGKAI QLAIDTIDFACTTTGDAKQTFNFWKSLADAISVFCFVQSRMASFPAAQVRMLLEDGHQ EAYALLATVDKVGTDVVYAEGIYSHDERLGVDLTRCIHAVILSCKEAIHVSAGDVHAQ AVAYYNLGWAEYRAHSCLPPHLRKKYSNYVKAAVRAFKRAIELESGNGDFWNALGVVT SEINPAVSQHAFVRSLHLNERSPVGWTNLGGLALLSGDCGVAKEAFTRGQSADPEYAH AWLGQGFVSLLLGNVKEARGLFTHAMQIAEASSVPTRKHYSASSFDHMLTAPASTTVT SLIQPLFALSQVQSLNPQDLTFGHLSAMFQERTRDSLSAVRALEKLCGAIESDYEMTE SKESLARFTLARTDLARAHLAAGQYQEAVECGEMALGLSSDESESELSGEQRKMARLS AHLTVGIGQYFAGANEEAERCFELALGESDNNPGVTCLLAQVLWAQGTEASRDKAREA LFGVIERQPSHVQSVLLLGVVALLDQDKGSLEAVVEELQGLRTSDQVTATQQSQIGEV LRSIAGTADGHGEQGVMHQVQGDVMLHPHLPHGWSALAQVTGDMNAAQMALKVAARGL PPRGSLEAEEIAQAYAGTGTAADAQRAAFLSPWQSVGWTALAAAAEAV UV8b_01374 MDLATQLRHQLIAQSLPPPSQPLLESLVAARSPPPPLPSLVATA RTRLLACDLSSGSGSGGGGSSSSSGSSVVDPSQLWPFPPGIGDVHVQEAALGRDTHVQ VVDIENLSLSRWEQIEELEAIERGETTRGRRVIRVADDQAGGPAGVSASASASASASQ NATHRLVLQDCKGASLYALEAERIPAVGVGSISIGCKMRLRAGTPVARGTLLLTPENC LLLGGKVDAWHDAWVAGRMARLKEAVGAAGPGSQGPGNHGPGNHGPGNHGPGNHGPS UV8b_01375 MSTIASPRDPSTPLRRLPSGSAGTPTSSGRTSVETARPGAAASP AQPPQPSAAAPSKRSNRAALREYYKLRAPPRVEIPGSEVPPSDLDAPDFSAQDYVARV ASSSGLEDLLRLYTRVVGEVRALDAEKKALVYDNYSKLITATETIRKMRASMDPLNPV ASTLDPAIAQIYAQASSLREKLRASVPAPDSDEGRRREAELRTRRTRELAVEVAATPQ RLRHLVEGGKMQEAKRQWEMPKRLLVSWHQRGIGGDDVQAVIDEGDAVFTLKPAPEQS RQRLSG UV8b_01376 MSEQGGRWGAWQVQPSGFTQLVVKAMQKLYPEELADRSWDNVGL LVGNSKADGRKPKVLVTNDLTWQVAEDAIAQQASVIVSYHPFIFSGLKSITNDDAQQA TLLRLAQANIAVYCPHTAVDAAPGGLNTWLADIVSGPHASKRSVAVPCTNAPESHSPA GYGALGWFETPVALPDILRRLARGLGGLKHLMVASPFGADVRSTMIRSFGVCAGSGYD VLKKADVDLLVTGETSHHSALRAIQQGRTLVQVFHSNSERGYLQNILRHRLELELRAE VPDAEVVSSLYDRDPFTILGLGDLDL UV8b_01377 MSQITDAAIREAITQRLQAVHVQVTDMSGGCGQAFTCLIVSPQF QGLNSLKRHRLTNAALKDEIAAIHAWTAKCQTPDEWERDRSKAGPSLDGTVGGQVAGT TA UV8b_01378 MAVNRIRGAFAVPRKGETFELRAGLVSQYAYERKEAIQKTIMAM TLGKDVSALFPDVLKNIATGDLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPSMCI ENGFLETLQELIGDPNPMVVANSVQALSEISETAPETRALVVTPATLKKLLMALNECT EWGRITVLTTLADYPASDTKESEHICERVVPQFQHVNPAVVLAAIKVVFIHMKAVNPE MVRSLLKKMAPPLVTLVASAPEVQYVALRNIDLLLQAKPDILSKELRVFFCKYNDPPY VKLQKLEIMVRIANESNYEQLLAELKEYALEVDMDFVRRAVKAIGQVAIKIENASPKC VQALEDLISTKVNYVVQEVIVVVKDILRKYPGYEGVIPTLCEHIDELDEPNARGSLIW IVGEYAEKINNADQILESFVEGFMEEFTQTQLQILTAVVKLFLKKPGNTQKLVQKVLQ AATAENDNPDIRDRAYVYWRLLSGDLDIAKSIVLSKKPTISTTMTSLPPSLLEQLLSE LSSLASVYHKPPESFVGKGRFGADEIQRAAIQEQRQNAADNPIAASVAATANGSGSSA TQNNVENLLDIDFDGAAPASHEQQSATATPERMASPAGGASSGSMADMMSMFDAPAAS GGSSSGAGAALPGSGSGMNDLMSGFDGLNFGDAGSAQPLPPAMQMQSMQASGRGQTQQ KDSDDLLGLL UV8b_01379 MPSPAKKRKLDYAGKNTAGQSKGLEFFFSKQRHNAEHVVSPEVA AKLGETSSELTDEELARKLQAEWNSEIVNENQAGLQRPQNHDRPQASVGHTQTDCSLA QQDAASSKGEQRAAGILASTLTLQSTGMAEDAITNTIPLDEPPLTFEPSKYTEPLRRH WVADGGNACYALLTRCFVLVSGTASRIKIVDTLVNCLRILIESDPSSLLPAVWLATNS ISPAYISLELGLGGSAISKALKHVCGLDNRSLKAIYDKHGDAGDVAFEAKKKQSFTLR KPKPLTIKGVYQSLVKIARSQGQGSAEAKQRIVDRLLQDARGGEESRFIVRTLCQHLR IGAVKTTMLIALSRAFLLSRPPEASFAIRPTDELSKLKKEELAEVWGRSEEIVKACFA RRPNYNDLIPVLMEIGVTEELLIRCGLALHIPLRPMLGSITRDLSDMLTKLQGRDFAC EYKYDGQRAQVHCDSKGKVSIFSRHLELMTDKYPDLVELVPKIRGEGVGSFIMEGEVV AVDRESGHLRNFQTLTNRARKDVEIGSIKVDVCLFAFDLMYLNEQPLLDRPFRERREL LRSLFVEVPHHFTWVKSLDATSSDSESVLDFFKSATESKCEGIMVKILDNLVDIPYLG GSEGQESDKSDMASTAKKVKATPRRKTAPGGEGNEAKAGSRRKPLLATYEPDKRLDSW LKVKKDYNSSFETLDLIPISGWHGQGRKAKWWSPVLLAVRNEETGMLEAVCKCISGFT DAFYKSMKQFYDDGEEGGERKNTRAQKPSFIDYHGPSPDVWFEPQEVWEVAFADITLS PTYTAAMGLVSDERGLSMRFPRFLRKRDDKSLEEASTNEFLAGLYRKQETKAASGNGR EGKKGLEGEEMMDDEE UV8b_01380 MNGEDPPERPDYITNIINGLERYNPEAVSSLETYLQEQCEQKFC DSNANRTLLKLYQLNPDRLKDEVVTNILVKAMTLFPSPQFSLALHLVNPSAVVSGELH EAVTKLRSLNSQLEGAQYAQFWASVDGDDLCADLIADISGFEDVIRHRIALLVSQAFR ELKLTHLESWLGLSEDATRKFVTDAAGWTVDGDGNIKVPSNPDNEAKKAEIREDVNVE QFSRVIRRSWEDTL UV8b_01381 MASYDSDSSDGEFTETNVLLGYASTDAEDETISRLGGTPEWLDA DKPPSAALARCKACNDLMVLLLQLNTELPEKFPGHDRRLYVFVCRRETCRRKAGSIRA LRGVRIWDKDVLATTSIHAAPKEEELEPKSQGAALGDALFGKGPGGSSSSGGNLFSGN ANPFSTGSGTSMTNPFSTSSAQSPLSSQPQQDPPAHAEPKEKPATSPGLSKTFAETLS LDTTSAKPPQPYEPWPDALSRPKPYPTLYLAEADYETLEPTSAKIPANARIEEADAPE TVSSLDKEAFESSMDATFQKFADRLAQNPDQVIRYEFGGTPLLYSKTDVVGEMLSRGS IPGCPNCGGRRTFEVQLTPNAIAVLEADDLGLEGMEWGTIVVGVCDKDCAPRHVEKDE PGYLEEWAGVQWEELAKQN UV8b_01382 MSAAQLLNPKAESRRRGEALKVNINAGIGLQDVLKSNLGPRGTI KMLVDGAGQIKLTKDGNILLREMQIQNPTAVMIARAATAQDDICGDGTTSVVLLVGEL LKQADRYISEGLHPRVITDGFEIAKSEALKFLDSFKLAKEVDRELLLNVARTSLATKL NSTLAKSLTPAIVDAVLAIYQAPAKPDLHMVEIMKMQHRTAADTQLIRGLALDHGARH PDMPKRLENCYILTMNVSLEYEKTEINSGFFYSSAEQRDKLVESERRFIDAKLKKIVE LKKELCGTDGKKNFVIINQKGIDPLSLDVLAKNGILALRRAKRRNMERLQLICGGVAQ NTVDDLSADVLGWAGLVYEQTLGEEKYTFIEEVKDPKSVTLLIKGPNQHTISQVTDAV RDGLRSVYNMIVDKSVVPGAGAFQVACATHLKSDSFLKSVKGKAKFGVEAFADALLII PKTLAANAGHDVQDALSTLQDEHNQGDVVGLNLETGEPMDPELEGVFDSFRVLRNCVA SSSSIASNLLLCDELLKARQMGRAAGPGPGMDGPDDHM UV8b_01383 MLNPTFANRLSWLYAVGNTPATSLTRNVPIGSDVDVLSLGCGDV RNILYTSYTEASSVPRKIDITCCDYDEKIIGRNVVLVTLLLDGNGDVRPGHLWDIYYH LYVEDAAAEIVLRQVQKLIPLLESLQRWSTGPYGQIVRLCDEHSLEDARHVCQRILDG AQNRQNDSHARRFKSNLERATEARRILYKSDFTNLTGMRSAAPVSLHSMEDIAEASKT YWKCGMVSPDSKPGSVPNPMFAGLLSRNEVYHYGSDPVLGYHLATAFAPLTENSPLRV PDQKSATKVAAAAKSQFYEWVAAFREIAPKRLVLRFVVADALACCHTLQHLGATGKPS ANWYRRQWELKVLQLQADEYGPKGKGPTLFDVVDTSNLSDHIGVVNLIIAASPLLKRQ PWATLCTETLCKRGTSQREAVGRILCGNPTTSSLLLGVSLVQYWSNAKCESHVDEMFM GALGSMGASSRHQAEETQLHSRLAWKRDDQFSGHPNGYGEFHVEVSALVRVLFQIYLH MFSSESYRVSEEIFERSTAYKHFHRGSFSSFLKVVKHRVKTDWQAVCSQLLDKISQDR TLALSTNHLQELGIQMYLQDVSAEAWLPPENNTFLSVGPFRHWKSIPLAVAVTVVIPR PAINRLYDVSKMHELSSPTLVASLRAGPGSSNQWHNVYSDVQIVFGTVRNHARDENTA VVVEQDEHGWNGNASLIASFMVPTGVLQVDPVDALVGICVAPSGQAAMLYAQVLGVDM TVFETSISAASDVFVTSMMPGQTGHRVVCGGLQPLKVVEDDAGAGFAEKLLLEVPASE SHFTTITGRLDISPDKARKLLQDKAPIALRQNDPFTVDVLFGAKKLSHTLHFPLPVTQ AGNRLRVARKSGYVEVVAPIASPNESAILSDFVYPTRLNTVGLPAALNASHVNLDALP ILDLTKKSQMQWLVTLGSLQFSSREKKLRAEGMKEGGTVENVRVNFKESLFTMCMVAS GLQGGQTGLFAINHPKRGGIHMLLLVSAIRVDSDNSSVVLDAAVIPLTTEMVTSGRME AFLLVMRTLKCCNIIVNDEELILWKKVMPALAERCRMYKHHRYCEYKRRGASIPLSTD PGQKFLCTCGHGKLPTNFISIPEWETAAPNAVRIAISPTFAVPLVEEMVGMGEGVKQL APTSTCRSCASEKAKDGGALKRCMRCQAVKYCSAECQKKDWKKHRMECEKAAE UV8b_01384 MLSNPPTSIQARQRQHRRQNSTPSAFEGMNISQLPNAISRRQTV AHRRGLSLDTRRQPTTEQTARLELDKVRMTTNNTGLAITSQHSVSREAQQQRTQARPG PHQLQYVSMASTDSDNFLISPHATPQTQRFDAACFDGIPVAFPYGAHWNMMLQKNQEN FANNMAAESKNFDPYSNDSALSTPNFDGPTGQAWPAGDVASRRNSKRISDGIMDRVSK FESMSMDDFQRPTTPPSQNGNDRFPPTPLDTPHDRTGKQGTRPERFSDEFDESMEETI RPNRKNRSAQNAQSAFAEMRRQTEGWAPVPSPPETSTIPNCKTFNSLQMQDADFMNMK ALRNEFAELEDPPDVLKYESDVGQSASDFSRRPSPEPQNVGRLDGAAFEGGRDFRPSL KSASSASSRTGSLHRRTDSVASIASAASIADINIDEARTDTGVTLEEISQYILGPEAT DGKWTCLFEECGKKFGRKENIKSHVQTHLNDRQYQCPTCLKCFVRQHDLKRHAKIHTG VKPYPCECGNSFARHDALTRHRQRGMCIGAFDGVVRKVVKRGRPRKNRPDMETRTDKS ARTRRKNMSISSLSSFSGYSDSSAVNSPDNGFDLMDDMMDLEVASSSITRGMGSMSTA PMPTLTPRDMKHHHVTPSPSVVSVQSFVAPEAMTDSQPPQSATPARSVASHYNTPPEL SQSSSPPPGQFFDLEASSATGANDLAVIASTGGLMGSSDLSGTLTIALGDQDDLLLQF GQHDGLVHLDRDPSMLLMNKFDDDFEDTVSMFTNSDDMFFGCT UV8b_01385 MSGNTMRGAPNRNQGRGSIPFASSPTNSNIPRPVLDSQPSEASS SVSASRQKQSKRDEAIRRKLENDLSKKKHLTNRARHSRKAPPGTVLALKPSPALQIKP STTVSEAAQLMAAKREDCVLVTDDDERIAGIFTAKDLAFRVVGAGQKAANVTIAEIMT KNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGVLDITKCFYDAMEKLERAYS SSRKLYDALEGVHSELGSTQPQQIIQYVEALRSKMSGPTLESVLNGIPPTTVSVRTSV KEAAAMMKENHTTAVLVQDGGAITGIFTSKDVVLRVIAPGLDPANCSVVRVMTPHPDF APMDMTIQAALRKMHDGHYLNLPVMNAEGEIVGMVDVLKLTYATLEQINSMSSGDGEG PAWNKFWLSMDHETESIMSGDGSHSHHHTNLGSRMMSPDVSRDRLGDSVAPGDSASHV GAESPSRSVSHDVPEQNPAHVPFAFKFKAPSGRVHRVQVVASQGMESLVTAVAGKLGN EVDTIGGVPVVEDGKMAGAGFALSYMDDEGDTVSITTNNDLLEAILLARQGRRDKVDL FVHDPEKPPVATPAPAENSAIPTPPTSTASGLRERRRGHEVEDDEDDDEVEDDAHIRR SRKTRTAPAQEQIIAGVPNDLLLPGAIVTLAVVIVGVFAITRASSR UV8b_01386 MISRKAAVRHGCTIAPSVSKCVVQRLLSTNVPMEANTDAGYNAN VSWRSPQLPTIQAKGFSAASSKKRKQKMVQEVIMSLGSNSAQAHQGAAWTKPHEFGVS GTVLTPAEQYAEFQRIQKKTRSLGSKLERRYVPEDLIRNPPGPEDLSLELLMASQAHM GHNASLWNPANSRYIYGVRQGIHIISLETIAAHLRRAARVVEEVSYRGGVILFAGTRK GQMEIVTKAAEMAGACHLFTKWTPGAITNRDVILKTQATKVIDQLDSELDGFDLYKGL ARPLLPDLVVCLNPLENYTMLYECGLKNIPTIGVIDTNADPSWVTYTIPANDDSLRAM AVVAGVLGRAGQKGKERRLRDASRGKVSWRTSPELTRHMKKEIQAAVLKRKEVMGRMQ TNVEGFTEDEKKLMRLRNHEVDLQVSEDEMVSMMGEMALDNGKDITGKTAAPPASSAK QPERLESQDADVGTQLRQLAQQEGDVEPSVTGDKA UV8b_01387 MPAVMDDPTSPTIHRVSGQPPFPDPSSPGLPADIVPCQVTLRDR QTVATIVPFASRHQLPRSLLVYLCDQLNREIEGGDTYPMTDPFTVDGFADYWFQTFAA VMLLGNIGSVDDVVDYKDWAKECLGSFFIKPNYPGRSSHICNASFITTDASRNRGVGR LMGEAYIDWAPRLGYTYSVFNLVYETNVASCRIWDALGFKRIGRIKACGNLRSHPDRL IDAIIYGRDLAPGESEELVSEERFDKIRFYLKYGKYPSDAGRAEKSRLRSAAIHYKLL EGDKLMFKDKEVISDPARQYEIARNVHACTHGGINKTTATIAEKYHWSRIKETVSDVI RACVDCKELGKTTPTSGGGRKTVSASTPTNASSAPPALVGAASSSTPTDQHQAAAASP SHQQPSPDGSDMSARRRVLSLEPSHAGLIAALPRPDAASSPSPYANPSDISVLPPSHA GQTSSHLLGDSRARHHDPMLHGQPSAHDSVYPIDPQIISHHDHHHHHHHHQHHHPTQQ DDLHFDHYHPHADFQALLNATEDVVPHQDADSPEDAAAAAEAAAAVDRDLEMLIEHDH VDDHDSGMQDLDEGDRSVEHCMVVLLD UV8b_01388 MSFGGQTPTIIVLKEGTDTSQGKGQIISNINACLAVQATVKSTL GPYGGDLLMVDENGKQTITNDGATVMKLLDIVHPAARILVDIARSQDAEVGDGTTSVV VLAGEILKEVKDHVEQGISPQIIIKGLRRASQIAVNKVKEIAISTNEGNQRDTLKKLA ATAMTSKLIKRNTEFFTEMVVDAVLSLDQDDLNEKLIGIKKIPGGSLTDSRFVNGVAF KKTFSYAGFEQQPKSFKKPKIVCLNVELELKAEKDNAEVRVEQVSEYQAIVDAEWQII YKKLEAIHKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVASEDMERVVQATGATVQS TCSDILPQHLGTCGTFEERQIGGERFNFFEECPEAKTCTLVLRGGAEQFIAEVERSLH DAIMIVKRAIKNHMIVGGGGAVEMEISAHLHRFADKNIPHKQQAIIKSFAKALEIIPR QLCDNAGFDATDILNKLRVEHRKGSTWAGVDFKNEGVADMMERFVWEPALIKVNALQA ATEASCLILSVDETIRNEESAKPQAPGQLPPGAAQRAVRGRGRGMPRR UV8b_01389 MQCRVPGQLGASQKQLGPFDNGSHQSGYAASTPGRTAKDDQSNF ASCQYVTPRNIYSHSSCKLAEGLAAFPNQHLSTNIPQPNSNIDVRGLTENYKPLSMGF PSEFSIVADTALWPVVWSSSSQKSVVPSPSLVSGSGSMGIGRVGCGVSALWFIMIREE NEELVLDELTAVKGILNRSRWPLECR UV8b_01390 MGDEQSIRAFGPARSTVRGSPLSASDLSLYNDYFKASCYLALGM IYLRDNPLLREPLKREHLKLRLLGHFGSAPGQIFTYMHFNRLINKYDLDAYFVSGPGH GAPAVLSQSYLEGVYSEVYPEKSLDLQGVQKFFKYFSFPGGIGSHATPETPGSIHEGG ELGYSISHAFGSVFDHPNLIALTMVGDGEAETGPLATSWHSNKFLNPITDGAVLPILH LNGYKINNPTILARISHDELKSLFVGYGWEPFFVEGDELDSMHQAMAATLEHCVGLIK NYQKNARDGGKAYRPRWPMVVLRTPKGWTAPRKVDDNYLEGFWRAHQVPITDANTNAE HMKVLEDWMRSYEPDRLFDENGAPIAALRTLCPKGQRRMSANPVTNGGLIKKPLKMPD FRNYAIMVDQPGATMTGSMANFAGFLRDIIAQNRHNFRLFGPDETESNKLARVYEAGK KVWMGDYFEEDANGGNLSMAGRVMEMLSEHTCEGWLEGYILSGRHGLLNSYEPFIHVI DSMVNQHCKWIEKCLEVEWRVKVSSLNILLTALVWRQDHNGFTHQDPGFLDVIANKSP EVVRIYLPPDGNCLLSVMDHCFKSNNYVNVIVADKQDHLQFLDMDSAVQHCTKGIGIW PKFSSDTGKEPDLIMASCGDISTQESLAATDLLLNYFPDLKIRFVNVVDLFKLIHPSD HPHGLNDVEWLSLFTDNTPIIFNFHSYPWMVHRLTYKRPGSHHLHVRGYREKGNIDTP LELAIRNQTDRFSLAIAAIDRLPELRNRGAAARQTLLDEQISARNEAFEMGMDPPALT KWTWHRDGLWMKATERAASHAAK UV8b_01391 MGSSVDSQTPTAVDDRPDARAHPRCRSPSQSSVCKTSNRDDGTG VVDLEKAHAHAQPRQTPPPPAAAADFPDGGLEAWLVVLGGWCALFCTFGLVNCTGVFV EYYVSVPLKQYNSSTVSWITSCQAFMMIFCGTIFGRIYDNYGPRWLLWGGMFSYVFGL MMVSLCNEYYQFFLAQAVVAAIGSSAVFNACMSSLVSWFLKRRAFAFGTMVSGSSLGG VVLPIMMTHLIRSIGFPWMMRVMAFMFLALMSVACLTVKSRLPPRPRPFQLSEYLDAL KDARMSVTVVALFFFMWGMFLPFNYALLQARAAGTSPTLIPYLLPILNAVSIFGRIIP GIVADKIGRYNVMIGITFLSAVFCLAVWTPVDNTAGIIVFMIIFGFSSGAFISLGPTL IAQISDISQVGTRVGTAFAIMSFGALTGSPIGGAIVSAQGGSYLGLQLFCGFTMLIGA CFFVAARFILVGPKLVKV UV8b_01392 MRQSSSLVKVAARGLGFRRPGTFVCWQCRAIQISAAPSTDASKM AGDALGAPFDSFGDMADARFEVLGSPYSMLSVTLSASQKLYTRRGTLVAVAGRPDNAQ STLSLLNPLTRATLGVPFLYQRISSTTPVTALISTRSPTTSLSVLHLDGTTDWVVAQR NALLAWTGHTLSLSLRIQRGLGLAHWGSTHVTGRGLAALSAPGQIYQLTLSEGEEFVA HPGSVVAYPVSRNAPQPFRFKSTSLRLQVPSLTSWIPEFELMKTVRDSKTYRFLSRAL HDFRTVARRSIWGDRLFLQFKGPTTILMSSRGVRVVDALTREQVEEIADAPAGSLPAA VEQAGQPRTMTTMTTMTTTTEGNEAGAGVAGKLHVASAPNDGKVTFDDGKELKETVR UV8b_01393 MSVRVVARIRPLLDQELNKDIIVRPDCTEPGKPNTIIKIPSPKN VAEEFSFAFNAVYDQSTSQETLFTSEVQPHLKSLFQGYDVTIFAYGVTGTGKTHTMRG GLKLADRGVIPRLLSGVFRRSKKMMKDTQGKTTVKVSLSYYEIYNDKVFDLLEPVEKR TPSGLPLRAEASGRTVVAGLSERACEDLRDFEKLYIEANNNRVTASTKLNAHSSRSHA ILRVKVTQTSADTVQESTVSAIDLAGSEDNRRTDNDRERLVESAAINKSLFVLSQCID AISRGDKRIPYRESKMTRILSLGQNHGITVMILNLAPLRSYHLDTLSSLNVSSRAKRI EVREIENEVVFKQLPKSTHSSAVQRQPLRPLANALSAHNVHNGNASSKASGDVTARPI KSFSVYTDKARQSPNKTMTPLSTTLPRPVVNVSSVARPSAAHKRPWEQESATARPSKV ARPTLDEPSIMVTASQIEAMVEKKVGEILAARSAAENSAQQRATPAQTEIGEAMQRRL EALERRIQSEERREDSKSDGLRFLLAARQHREKGEDALALRAYEMALPFFPGQAKLIG KIQRLRSRLGVTGETTTRTMRSPFGSPLRPGRRARRPRLTSTMERSGSEQEEAGIEEE LLDDQTIRIQLPKPRSSSMAKLQVYDDYASETTTVTRARSSAPPQGLVGC UV8b_01394 MGQSLLDRIQAKLEIFRLEQRYTRRRNRRSTFQSNAIYVDGEYV FQTPSSTGSSADSSQARVNALHGEMMSPNKSTTPVPGFSTDLRTEASTERKRLKRFSS IPSFRNAFGSKEKSSVVDRRTSMIR UV8b_01395 MRFIARSLLLGNVLFAKTALCSSHVAKSPSSLAGNGIVQKYIVE ISEGSSIELTKGIIRSNNVVVQDKFDCPAIFTGAVIEASVDNIDSIRKLPGVVSVWPV RTISTPSSPLPGKIVSQKQPKSQSVHRWTGVDRLHAAGIKGRGTKIAVVDTGIDYTHE ALGGCFGRGCKIAGGYDLVGPTWNLRSERTHPKKQDNDPMDFKGHGTHVAGIIAGHND WFLGVAPEAELLAYKVFSDEPGQSDTTEDVLIQAFCDAYLDGADVITASVNRPEGFVD NSWALVASRIVHKGVFVSIAAGNEGEQGPFFSGVGSNGLNVVSVAAINTTGDPQMDGN SSISRPTAAYFSSWGPTNELLLKPDIGAPGCDILSTYLNQEFKTDSGSSMAAPYVAGI AALYISKNGGRELHGSNFAVDLANRIVASGRNVAWSTGEIKLNESAPPYQVGSGLVDA HKVVSYTTELSLASFSLLDTELFKPIWDVNITNNSNKTIRYSFEYESLPGVEIYDGVS DIKRLSKLQPLRISPLVTLPPNASLTSGQTKSFRFKFTLPEGVNDNMLPLYSGKIHIK GSNGERLCMPYGGAAYDTEKAFDTMFDGEPMIDGWHDGATWSFDPGRTPADFANLACR LSYPCVHLRWDIFERDWTELEWNYPLEIGKDRYIGSATSVRNSDKYLRFDASVIDIDD TISFPLMRVPRGYQRFWWFGKLANGTHIAPGNYSMRIAALRPYGDPTISDHWDIMDMD RQSVQILPRNGTTPANIGFLVARK UV8b_01396 MRSSTFPTFALVGVVAAGLVARQTPAPSSTSASAPEITAVTSCH LHESQVFCMAGVTEYLVQTTPTQTTDIPAQYTGCHRHGPETFCIAPNGDDVEIKLPAV GGHDEHHGHGSDTKTGERKNCHFHAGVEHCVESGESEGEGEAHTSCHAPNRDYNIGLR VGLLFVIMATSALGVFGPILLHKALPRKLSLIFTVLKQFGTGVIISTAFVHLFTHASL MFGNKCLGDLEYEGTAAATLMAGIFLSFLVEYIGQRIILSKTRATPSFSPETRSDLWL STEIVSILVMEAGILFHSLLIGLALVVAGDSFFITLFIVILFHQFFEGLALGTRIASI GTSVNVHLSPPAAGRSTKEASSEIDKSPTMTSPTEESIDTPISFEGQQTFSMKKKLGL AFLFAFVTPIGMAIGIGVLQKFNGNDKSTLIAIGTLDALSAGILVWTGVVEMWAADWM TGSHGHKAELADADMFTVILSGLGLISGMALMSFLGKWA UV8b_01397 MASRPELKLDDEGGFIRFYKSLPDAGDETIRIFDRGDWYTAHGD NAFFIAKTVYKTTSVIRQLGRNDQSGLPSVTMTVTVFRQFLREALFKLGKRIEIWESA GGRVNWKCVKQASPGNLQDVEDDLGGQVESAPMIMAVKISAKAPEARNVGVCFADASV RELGVSEFLDNDLFSNFESLLIQLGIRECVIQINKGEKDKDPELAKLRQIIDNCGVAI AERPAGDFGTRDIDQDLARLLMDDKSVNLLPQTDLKLAMGSAASLIKYLGVLQDPSNF GQYQLYQHDLAQYMKLDAAALKALNLMPGPRDGSKTMSIYGVLNHCKTPVGSRLLAQW LKQPLMDKDEIEKRQQLVEAFFADTELRQRMQEDHLRSVPDLYRLSKRFQRGKANLED VVRAYQVVIRLPGFISTFESVMDEAYRDPLDAAYTTKLRDLSDSLGKLQDMVEQTVDL DALDRHEYIIKSEFDQGLQIIRRKLDQLDRDIRAEFHEAARDLGQEADKKIFLETSHK VHGVCMRLTRQEAGCIRNKLGYQECSTQKNGVYFTTKKMQSYRREFDQLSLNYNRTQS SLVHEVVQVASSYCPVLERLAGVLAHLDVIVSMAHCSVHAPEAYVRPKIHPRGTGQTR LVGARHPCMELQDDVQFITNDVEFTRDKSSFLIITGPNMGGKSTYIRQAGVIALMAQV GCFVPCSEAELTIFDSILARVGASDSQLKGVSTFMAEMLETANILKSATKESLIIVDE LGRGTSTYDGFGLAWAISEHIVNEIGCFAMFATHFHELTALAENYPQVRNLHVTAHIS GTGDDKSKREVVLLYKVEPGICDQSFGIHVAELVRFPDKVVRMAKRKADELEDFTTKH EDNALAYSKEEVEEGSAMLKKLLNQWKEQVGSGEMSQEEKVAKLRELVAADSKLLANP FFQSVKAL UV8b_01398 MDHDAKPSHPLPAEADRPYAPHDVLDETAKTAAVGLGSGLFLAA IRNAMSRRNVGAFAVFTRGAPVIGICAAAPAAYAFFSRTTMNLREKEDAWAAAFGGFM CGGVLGLPSRKMPVVLGLGGAVGLVQGALYFLGGRIDSFKKESDEFERKEILRRMTRL PVEQTVAEIGEGRGIHPPGYEERRRERIKQTYGFEVNPVKATVEGSH UV8b_01399 MPDPDSAAPGPTSQDAATSQDATSQDAAASPAASQDDPIPLQAP GMSKNALKRLRRAQEWEDGREDRKRIRREKRIDRRARKREQRSLLLAGGADPAVVFAK RSPARLVPVAFVLDCGFERYMTDKEGVSLASQVTRCYSDNRNCKYRAHLWISGWGGKL RQRFETALEGQHRNWRGVGFEPGDFLAAALQARAAMAGDRGGGEMIDALEAAAGRDAW VKGEDELEPFPLPGPQPALNEDFKDVVYLTSESPYTLQRLEANTTYVIGGLVDKNREK GLCYRRAVDKGIRTARLPIGQYMVMRSRQILATNHVVEIMVKWLECEDWGEAFLHVIP KRKGGQLIGDGDGDGDGDGDGDGDGGQDAVPGDGAEEQQDRGQAGEGNKQDADRRAEE EKADVQGKETA UV8b_01400 MPHSITAQAAQAAQDVKNEPLDDSLHTDMDHVDMEQDLDLPNPV KNEVKLIDLFPDHDMDDDDDDFPSSPPKTQQPSSPPATLPTDVTTLSASDPEVMRSFY QRLFPWRQLFQWLNHSPTPTNDFGNREFAFTLQNDAYLRYQSFATADLLRKDVLRLMP SRFEIGPVYNTNPRDRKTLRNSSAFKPLAKELCFDIDLTDYDDIRTCCSKANICSKCW KFMTMAVRVVDVALRQDFGFKHIMWVYSGRRGVHAWVCDRKVRSLDDQKRRAIAGYLE VIKGGSQSGKKVNVRRPLHPHLARSLDILKNHFQEDVLEVQDPWKTEQGCQKLLQLLP DRVLNESLRRKWDAAPGRASTSKWADIDTVAKTGASKNLDARALLEAKQDIVLEYTYP RLDIEVSKKLNHLLKSPFVVHPGTGRVCVPIDTKDLEDFDPLAVPTVQELLGEIDCWK SEASQDEGGKGVSDWEKTSLKPYVDYFRSFVNGIMKDEGAVRAKRERDQQDSVEF UV8b_01401 MSGAEVAAAPGGSNNASEFVRKLFRMLEDPAHQDVARWGKGGDT FVVVEGEKFTRSILPKHFKHSNMSSFIRQLNKYDFHKVKPSSDSETSIPGGNLLEFKH PYFRADSKEGLDNIRRKAPAPRKSQVAEDFTTSQHVSVISEQLTATQQQVQQLQELYA EVSQTNRLLVTEVMTLQKMVKAQKQAQYEMLNHLAAYDPRNRGMPGQPMNSNGNGERD DVVPELRRARELLSSVAPDTVSDRELERIHGSYAAASSESAAVVTPVSMPLMHDPMTD LSRYPVYPVGQTVGIDPFHSDHIHKIPFAIPNDGTPSTMSSETAVSAPAPVSSTTPTA TSSSDRSASLWAAKKPRILLVEDDQTCAKIGIKFLKSMDCEVEHAGNGADAYTRVSNT PRDHFDLMFMDIIMPRLDGISATMYIRQHCPATPIIAMTSNIRPDEVNGYFEHGMNGV LAKPFTKEGMLKSVKNHLSHLLKDTRGVHADSSGYAVGHHHVPYMGAPGNLKYEPTTS PGATTSGWSPGHMRHGNVDQWNGMMNGGGSQYSMGRSSFSRSEHDSPPEKRPRLNTSQ TNYG UV8b_01402 MRMHNEQNFCRHRLSARLRSSLNPPIRSRFDLSTTVNLPPKAPS LANAMSHKGKNSAAKGKKPAQAGVESKSEDVLQAVVLADSFQDRFRPFTLDKPRCLLP LANTPLIEYTLEFLAMNGVSEVYIYCGAFTDQVEDYVSRSRWSTTSRSSPFAVVQFVR VADARSVGDVLRDLDKRSLVDGDFIVVHGDLVSNLMLDGVLAAHRKRREASAANIMTV VLRSGGQQDHRTKTNGVTPLFAVDAKTRRLLHYDEMNPLQSDRYLALDPAIPDELSTE FEARADLIDAHIDICTAEVLALWSESFDYELPRRHFLHGVLKDWELNGKAIYADVLDH GYAARASNLQMYDSISRDILGRWTFPFVPENNLAPTQTYQRHANGLVMEHNVSHAHDA CLSNAVVGQDTSIGPGTSISRSFVGRGCIIGANVVLEDSYVWDNTVIEDGARISYSII GDSAVIGRSCTLPQGCLVGPRVRIGEKTSLGKNAAVSTLGPSGEAVAENAAALGPSTN AALFVDPHDGDEQLDDRDPSRLQRGLIYSLAGCNISTSSISTLASDSESDDDADETPP SAGAEELSSRSRLSSFASDDSSGNSRSSSFHADAVNGLLDALRESKDFDSAKLEFMGL RLANNASDDMVRKAVAMAFARRAAELLTPEHGGLEVAKAAEKSLTFSKGATGFIAEVG VGGQEAEQVDFILALQKALRGMRSPEPANLGTLLAAMLQQLYALDVVEEDGILAWWED QRAAEGEAMAALKDKCKVLVEWLENAEEEDDDDDDDDDGTDDE UV8b_01403 MRTSGLLSSAMASAYSAYHGAARAAKATKAPWAPVPPDGSPFTV TALSRWSSLDKTLPAVGKIKALHVYDFDNTLFKSPVPNPAVWNGPTIGLLVTPEGFHN GGWWHDNRILAATGQGVEKEEPRAWEGWWNETVVQLARLTIKQPDALCVLLTGRSENA FADLLKRMVAAKGLDFDLVSLKPRASPSNQRFQSTMHFKQVFLNALMETYREATEIRV YEDRPKHTARFRDFFQEYNRLQGVAATRGPISAEVVQVAESSARLDPVTEVAEVQRMI NLHNDTVVQQSKLKINTSVVITSYLLNDDDSKKMFNLVDIPDHVARDELKIHSDNILI CLRPCPSYILDKVGGMGSKMLWKVTGTACYNNSIWAARVRPALSTARYHTDNPVPLVV LALVKGARIADAAKIAYWHPLPKGQSFEFETTVGEKVILRVDREDHPGYDQQSASAGT TSKRKHAEDEGWVARNAHGPHGGRNEPRGYHSGTRGGGPRGRGSAFRGTRGSARGGGR GAGRGGGRGKGAGYQYRSLDDVDPRNQQGWGHGPQVSYDDAYPRLHQHRSQGPPPTGP KASLGRGGSHHGGGGGREGGAGRSLASGQASNNADLESYY UV8b_01404 MSRPTHVITPVRPQDVAEIGQLSSDAFMNDRQTQMKMLGKRPYD MKEITLSSLPGMLGNPRCVAVKITDEETGKIMGFCNWGFRGFQPEEIATFGGEPPRQP PTNETEAGTESQDKDKGKAYDGPRSSDSEAEPEDDPISRLQALTGGDLEKWMEHIMPQ GTRCIFVIGLAVSPAYQGRGVGSALLRWGTSICDKEQVFAWVHSSEMEWPMYEKSGFR VARVLDVDLDEYAPAPPPNKGPGSQWGHYMFRYMTYVPGRYQARTRSGE UV8b_01405 MLPLALLAPALEAAAAAAHSHGLPPPSNLVTFGDSYTDEGRYSY FAQHAAAPPVGALLPPSGNTASGGYSWGRLVANATAAKYYNYAVSGAMCTNNFDSRTL AAINAPFPSVLEYQVPAFESDARNRSLYPDRAARNTVYALWIGTNDLGFDGLQGDRQK PNATVATYLDCVWSVFDRVYRAGGRRFVLLNQVPLDRAPMYAASSSAGNRANTMLQYT TAVNTMFAYGAPLHVSLRRRWPGATLALFDVHSLFMDVIANPGGYLDAPANVASSWRV CASTCVDSKSPKSVFMWLDSLHPSERMHQIVAKNFISVVNGRSKYATYYL UV8b_01406 MGAIDHPPLAGLRLAGQEEGITAARHGRRAIGSRRPRCAITAGS SPSAGGCAAPVDRGDRPFNLLAVCRSQLPTGSARAYPEASQRRPRGYPEPIHRLPADY PQTTRRLPRG UV8b_01407 MSWFGNDSDQAQAYQQVTERPHEAKWSHELIGGAAAYEAAKAYE DHVARNGHPDDHAKAKEILAGAIGFFVDREVETRGLDFIDREKAKRHAQRQAEEGLRS EGRY UV8b_01408 MKGQLLPLFGLLAASVTALRHSESHRKLLQYLRGAEPVDYLEAQ GGPSVIQAREQPDKASNSFLNDKTKKYAVDGAKLPDVDFDLGPSFSGLMPISNKDKLD NLFFWFFPSDNEEFRKKKEITIWLNGGPGCSSLLGFLQENGPMQWRPGMSKPLANPWS WTHLTNVVWVEQPVSVGFSKGKVTIHNEDELAQQFMGFWKNFVDTFSMQGYDVYIAAE SYGGMYGPYISSHFVNAKDTKYYNLKGLMVVDGISFDSDVQSEVIVESFVAQNYVLMP FDDMTMAAIHNVSEQCGYRDYLKKYYAYPPAGPQPSSTPWTHKLANGTVVYKDGCGNL WDFVFRKALSNNPSFNIYNLLNFSPAPVYDPLGDKPYFNREDVKKALHAPLDIQWGEC VDTPFVQYKDMSAPPSLRELPNVIDKTKNVMYVQGGMDFILPPSGVLLGIQNMTWGNK MGFQSRPSAPFYVPRYGYDFNGNKFYGSTFAEKSGVLGTTHEERGLTVVVTVQAGHEG PEFAGAASIRQLEKLLGRVKSLSDTEPFTFPQLRNIPQDKKPLGKGTYPIPFLGTAPC E UV8b_01409 MLSFPKSVLVGLSVSQGACAWGRLGHATVAYIAQDYLTPDAAAW SKGILHDSSDSYLANIASWADEYRATAAGRWSAPLHFIDAEDSPPSSCSVDYGRDCGN DGCSVSAVANYTRRVSAARLGGGERAQALKFLVHLAGDLTQPLHDEAYQRGGNGVAVT FDGHQDNLHADWDTYMPQQRAGGGQLADARGWADDLVGQVASGRYRDLAAGWIQGDDV ADAVGTATRWAADANALVCSVVMPDGAEALQQGDLYPAYYDAVVDTIELQIAKGGYRL ANWLNMISRAARHGRGEAAGAAAADEPSDLMGRDFLPDPRPMSRAQLARAAMDGSCCG KGRDGHEH UV8b_01410 MSASVFRFLTTKQVKRLYDAHIARVQPTQPTYLESATYSPQQHK RYGEQDLFRLAGVLAQKIILNHAYQDGNKRISLLAADIFLKINGYQLQSELFYSRRPR PAATERPRRCCNDPVGR UV8b_01411 MFRQRTSSQKPGDDLLANFRQQFPEVAAVTSEGAAQSVAQTTTT SDNPTINLRPQDQRILSVDNDSFRDQDPTPRASHEPWRFTPSLLDPNSYAFNAFANAQ PGYYTPTPDGNNTLFHPQAGDLHTPTLGVGGIGTPLSLPNSGESLHSGAVAIDMSGFQ ALQPHQFHHFNPFIQGPPPQQAFAPTSFVHQDTGYETMEQDGPVLEAHPPKHRVSSMV PTALQPQKTTSRMPVSHALPPDAEKFRFHSTLNAPTAMIKQPDEIPITYLNKGQAYSL SVVDRNAVMPLSPGTRYRTFVRVSFEDEEQRHKSSLCWGLWKEGRGTNEAHQRGGKLQ AVEYVEASPPADADDKRPSIELESSSFDGFCVTWTPGADALPEVSIAVRFNFLSTDFS HSKGVKGIPVRLCAKTSLAAADGSPKGDEASPEVCFCKVKLFRDHGAERKLSNDIAHV KKSIDKLKQQLAQVESGMKDVGKRKRLGSAAKVSESQRPGKVQKHKRTWSMSSASSAG CGASPMTLEEDLQMKLQALQDMFTSPRPVSILYLRGEDLDDPDLHPVSLPGDMSPPNK RDVRDGPNWQARSAKSSVTGSMISPSPSSLSLASQASSAWQNTDKSTTGKALEQLSRV NRTDETGTLSGWIEALGVDSSYRPPSEAAEKPVACFYVQYLGKSEQERPSYHRAVYLS KRTLEEFNGRVASKWGIDPAKVFRSIHVVEGGLEVEMDDDVVGELREGQDMRLEVEEA VEQPAPTKREWEMALDESGRDSDGDGSAPPARGFVLRFSF UV8b_01412 MASPLQFAYRTQKTIGVFDAAPVYEPLAGFTKPEGNLRCSAYSP CGRFFGWANPEAVTVIDAFGGNVVLVLPLLNVYELGFSPQGTFVITWERPGKEEGGDA TKNLKIWRTVEEGVAGGDKQPIGRFVQKQQGSWNLQYTADEKYCARLVTNEVQFYESH DLVTVWNKLRVEGAANFALAPGSQNHAVAVFVPERKGQPAVVKVFNVPLFQNAISQKT FFKGDKVQFKWNKRGSSLLVLAQTDVDRSGKSYYGETTLYLLSTNGTFDARVTLDKEG PIHDVSWSSNSREFGVVYGYMPAKATIFNDRAVAKYSFPLAPRNTVTFSPSARFVLVA GFGNLAGQIDVYDLQKDYRKVCTIESGNPSVCEWSPDSRYIMTATTSPRLRVDNGVKL WHVGGTIMYNEDMVELYNVLWRPAAAENVAAGDPLNPVPSPHESATAYLGTVKTPSKP AGAYRPPGARGLATPLHFKREDEGGAAHFVSNGTQNVGHNGFGRPRRAVPGADPADAS TASSRAVPGAETVGDDGGKKNKKKRGKKNNQEGRLEGEANGGASLAPYDNRANASGGS PERRGPANSNGRDHRSRSRNNGGDRSRSHTQQHGQRVYPVNQNQGGATVTPEAADAGQ HADAKKVRSLQKKIRAIEDLEMRLAGGEKLEDTQVKKINTKSAVLKELEALETAT UV8b_01413 MDPTLLIGDIVERDTLEKKPVVFPTPPLTSTGFPQHKKRWRTSA FRQQRSETGGKGTALPSDQSRGNSSPGARRSQTQFPGFDDMERMRIDQENRQKLASMT PQEIAQAQDDIMTGFNPALIQRLLQRANIDEPSPSPFDNPQQGADREAPESQESSAPQ SNPAQSTLSRSAQESVQGLSESTHPKTPLGINAATSGGSGHTKTVDDYDEDSAPAQIP PELFPITDQPRSIHFPAAPNLPDLDPSDPNFLAALHEKYFPNLPADPSKLAWMAPVPS TDSPADQDSPYYPHPEISVSALRFDFRGRFLSPRVSRSIPSTKGLHHHGEAPEAAGYT VAELARLARSAVPAQRCMAYQTLGRILFRLGQGEWGKTENDPIATGIWAAVKKGRVLE SLMEAAAGEGGHRGSRAYATEALWLFEKGGWKETFKVEACHAPTEWKEHGMGSKKQGA UV8b_01414 MDKDRGEIVVGDQSQAHRSLAFQQYQEPSPDNSATSGRAPPQKR YDFVDGQWVQLTAAGLPRKKPGRKPGTTLKPKTADSPDQAKARKQRKPRDPSVPPLQR KRKIAPASEADGDSDTSRTAFNHHNMDTTSLSQHQKRQHSESSPKMAKREGYPGSMQS ILNAHSSATTSPSASTSIPVRSSGLSYDPIRGNYDPVRETLTSRISNSYSSTSGSPRG PTQTTNRSPSIASLIEPPARPATSPGLSKHGHAADSAHGRLQAKDSSSIPPSTSVVGA RHTTSANSARDGVPAPAQKPVVNHANFTTISNGPIRKASPKIKPALGVSTPRTDALDD FQDGEGRSILDFGKARPGEELQAPTIVLSIPIEAGETNKYVNFMRLAEDRYGWDALHP RLAANRDRKARIAAATASLEKTELGRESGDEMSVDLSDGEGSNPENGVASGPETQLKP KKKRNFKEDQYDVQDDFVDDSELLWEAQAAASRDGFFVYSGPLVPEMEKPSIPHERPK RGRGSRGGRGSRGSTRGGGTGTGTGTGAGAGAGSGAGTGTGAGAGTGTGTSRGGGPGS RGGSIARKPRITKAERLQREREKAERESMAQVSKTPANGYVLQPLTPAHPVSELGA UV8b_01415 MEAASARAAARDRWTEFGHAPSQLQRFIQGACSPENYEPNLALS LEIADLINSKKGSAPREAATMMVSYINHRNPNVALLALSLLDICVKNCGYPFHLQIST KDFLNELVRRFPERPPMRATRVQAKILEAIEEWRVTICETSRYKEDLGFIRDMHRLLS YKGYMFPEIRREDAAVLNPSDNLKSAEEMEEEEKEAQSAKLQELIRRGSPEDLREANR LMKVMAGYDTRSRTDYRAKAAEEVAKIQAKARLLEERLEAFKPGDVMSDGDVFSELAS ALQSAQPKIQKMCEEESDDHEAVARLLEINDSIHRTAERYRLMKQGDMEGAARVAAGA PPLPAPKPSSSSSPPGAGSAANELSLIDFDGDAAGNADGNNSAAAGGSSQANGLENDL LGLDIGGSSSSFSPEGNIALGFGANQNIPGPALLSSVTRDNSASGNMSNLSSSFSQFA SFTSPATSQTGTPQPSLQEQPRPPAHHPAPADPFASLQLTSTSTPQQSAPTVSNDDGD DEWSFSSSLPPPPAADKPREHRGTINESQLRIDFLANRGGSGPRSIPITMTFSFSNNS AQALTGLHFQLAVTKGYELQLTPQTGRDLAPKQSRGITQHVQVWHAGNRSRKVDSVKL RWRATYQVANEQKNETGEIPEVSIA UV8b_01416 MVRHKKDFAARGKKGSRGAYGGGATHSRRQRCDNGDGGGDGDGD DGGGQAAPAPAPPAFKAACWDLGHCDPKRCSGKKLMKLGLMRPLQLGQRHNGVVITPN GRQVVSPADRGLMDQFGAAVVECSWARTKEVQWNKVGGKCERLLPYLVAANTVNYGKP WRLNCVEALAAAFFICGHADWAAQVLAPFPYGRSFLDINSSILRRYAACRDAAEVKKT EAEWMERLEREYARSREPGGADAWTTGNTNHMAMPSSDEGEDDGEDEAGSQDDAESDS DARSDRDGSVDAIYLGKQPLDPRPGEAAATHHEDGPDGQPRRDWDPFDISDGSDDDAA MEEIRRKVLSSKTFSNPNPESDEKRKPAVIARPQQRQQYQVDLDARPDSDNESSGEED DNDDSDAPDDDEFDHIIEATPVTDKIGLAKLEKERRQATTTTKSFSSSTVSAPQTW UV8b_01417 MLRVRAWKGRGGPPFVCASCRLQLSLQCSPSARGIFSTSRLRAC DNGSKGPSATGFAGPAATSWGSFAAFKKPQGASGLPSASLLPHEMEARKRSGVIIKPA PRQTPDVTTPASGNGEGGGVQTRATSGTLETHQAFVQKSKSVLADVFKTVRDPQSAVT PDSRRPAGGQKPDSSPATGTLAPGPSGNAPSTLSKPIPFGGAPRQIGWGTFSHRKSQL ENPENESSMRSDMTRTKEATQKSQAKSASNGVADKPPPPVQDFWGELDTRLTDIRNGK AKKRQNSTGAITGSKNDAENSSSSNVDAMFSTQNQRRKSRFEIEQEPKPEDRRLKKQK KGGRGRRMEDEEDWDDESIHRYEQKRRRKAEKEARKEHQLQEEQPAIPIFLPEYISAS NLAQALRQRLEQFLVDMGEMGFENVSPDSIITGETAALVSMEYGFDPTVDTGSQRDLS ARPRPEDVSSLPSRPPVVTIMGHVDHGKTTLLDWLRKSSVAAHEHGGITQHIGAFVVQ MSGGKQITFLDTPGHAAFLSMRQRGANVTDIVVLVVAADDGVMPQTLEALKHARSAKV PIIVAINKVDKEDARVDQVKADLARHGVEIEDYGGDVQVVCVSGKTGQGMPDLEENIV TLSEVLDVRAEPDGMAEGWVLESSLKQDGKAATVLVNRGTLRPGDLIVAGKTWARVRS LRNEAGTELSEAPPGIPVEIQGWRELPEAGEQVIQAPSESRAKTAVEYRLEMAEREES SAQLAQQEQRQRERAAAEAEAATGQDGAGQADSGVLYQNFTVKADVAGSVEAVCGSIL ELGNNEVRAKVLRSAAGPISEYDVDHAAASQSVIVNFNVPILPHIKQRAEEARVKIID HSVIYHVADDAKAVLSDLLPVNITSRVSGEADVLQIFPINMKKKVVNIAGCRIRNGSI KKSLPVRVLRNGKVIFDGNIETLKHVKKDVMEMGKGAECGIGLEGFQDFQVDDQIQAY EEVREKRALQ UV8b_01418 MAPARRTLAAAKASKSSRANGSLPTPFKPPPDVLQPFIEGLSED HVYITHVDSKPASFKRKIFLVPVAMNLAVCFVFLWRMRYIAPWYWKIVQSGFGWPNDM TFAVASSSWKDFAWEVLKRGITMFIDFVLFVFVWPWPVEFAMGQAHGNPVLWRYSVGF RDKEIYVRRSRDWDRAVDDIFRDGESNKMLFAHISKATSPMRQEQKTGYLLMDGQWNL DWAAMIHAHEMVDGKVAALDAFKNVVLVHHKDFGWMCYDLKASIAADEDEKRRKVFAF RNALAEIGKEDVFYRWVEMVQFDATQPGGFGPEQQEATAKKIRDLFEKANVNFDELWQ EAVGEAKL UV8b_01419 MSSSGLTRRRGAGGGGGLGNAEAEASNAASARTNASNNNAKDSG PETNYEKSENGHTIAFDPRDMSESAERSKQPKLTMMEEVLLLGLKDKQGYLSFWNDNI SYALRGCIVLELAFRGRISMEKDPSRRRFPPADRNIEVIDDTLTGEVLLDEALKMMKQ SEKMSVSSWVDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMAT HPVADGGAKEELRRRVRNVLTQRTVVLAGNQFLPDNLEFRYLRTICMVCAAYAANVLE NALSTLGHEARERAFAQTDELLADYSQWPFGKKATSNGIGANLPQVISEEVGKAKDKE LQLEVVAACLSVFTRLDSLL UV8b_01420 MADSSLQDRLRDHAKAFDALLSLIPAKMYYGDDKASQWNSKKQT KEEASAARRRKLDPDSELNRNVKQVMDERAKNKRKLKELESQEQEEAVNGSDDDDDDD DSYKAVEGVEPEKPGEGLKRKAAESNKKPKLTAEVSDQIATSESIEPKPTLSKKEAKR EAKREKKAEKKAKKQSLPQNSRSSPPVAELDSHHEAKEAEDKGSKRPLDVSAQVEKLD AASVSGLESEPQSPTFDTSHVKEASAEQASTTTSVSSTVTPSEKPRHIKIPADTSAIR ARVAARIEALRAARKADRPQTRQQLLEERRAKQAQKAERKKEEKKKARQEAARLREEA LASNSPGVMSPTVEVDANSAGGFSFGRVAFGDGSRMSHDLSYVLNQGKKKGPSDVKTA LLKVQNQQKRLQEMEPEKRAEVVEKEAWLTARRRAEGEKILDSESRLKKAKNRKEAAD RKSKKEWRDRAKGVEQAQKERQKKREENIRKRREDKLLGKAGKKKKKGGRPGFEGSFG AVRSQK UV8b_01421 MAGGSATAARGRAGKFNKPTRGGGKHYSRSLQPVDAEGNHVSMW STEAKDQPEDEEPDEDDSEEGSDQDGPSNDATGSENREDRKALKKARKEAAIAKQKSR TVEVGDMPSSDDESDDGMPANPNHSKAARKQAKSTDEVDEIAEGVKNMDAPGNRKERE ALEAAAAKERYLKMQAEGKTDQAKADLARLKLIREQRAADAARRQAEKEERDEQEKAR KAEIEAKEAKKREAAAGPKKPSKKK UV8b_01422 MVIMEVTPGHLFPRPTNLLVNRNFGAPVQQGDAPPAYKDDHSPP QYLLTALPWSPLRQLHNGNILEYHDLALRADGIIRYPGDSPDDLPRLGIRGRIWLEIK RDVLEREGVPVDLILQQHPEVDERHVVDGLERTSRVNQLSQPSSTASIPSCSCGTPPA ALVGKITISLARDIGRVSFPVHTVHTNTEAYDSYAASCDRVWHYLKTSPQSTRQRDEL AQRSKAEAQAKGERGRVASEESVFLTYGTAKREKGGNVPPVEIFLSEPRAPRCMQIRW UV8b_01423 MFDESSGQVFKEWTVPRQSLLRGSDRDWSRQTPYPLLSPRGTKG PRSLVDMAVHLVANNIGDITERHLDGFPTRLLWRVWRLLEARQHICQPTDELRIYIQP LSSQPADFITHLVISGGCRFSTHELLCLSSLNNLGALELIQPREELQTEFMDVNDRLL RGWTETRDPFPLLRVLRIWVSRGITQESLQWVSKFPSLALYDVMAPKSDWDLFRDFAL DNGWELADHHHDGLLLPSLIFSASMGELRKTILARDLRRVDSELISLSSGSCCSVKFV PNGQAPSLLDYLTDATKASVPTWSTEATFATLSEARPCHRVAFEAWAFWTYSYLGQLG ADQDLRLRGVEPHFQACAGPFVLPSKRTASVYLGHNSRGGIDTMPSYAPHGLFSTSKI AFIRRGIFQTAAEPTTPRNVAGKVSHGGRNEQKEPRLRSRKKQKLNDMLQSLIQ UV8b_01424 MASAALHWRTLRAFSRHATNPSAGLSRLFSISLRRSEIDKIYPS AAEALRDMKPNSTLLCGGFGLCGVPDTLIDQVLQKPEITGLTAVSNNAGTDNVGLGKL LKTKQVKKMIASYIGENKTFETMYLTGQVELELTPQGTLAERCAAGGRGIPAFYTPAA FGTVVQTGDLPLKNKADGSPDEYSYPKDVKVFNGKSYLLEHAIDGDYAFVKAYKADKL GNCQFRLAAHNFNGAMGRNAKMTIVEAEHIVEPGEIPPEAVHLPGIYVKRVVQSTSKK NIEKFTFAKEEDSDASARAALGTGDAAAKRERIVKRAAKEFKNGMYANLGIGMPMLAP GFVGADVQVQLQSENGILGLGPYPKKGHEDADLINAGKETVTLNRGAAVFGSEESFGM IRSGRIDLTILGAMQVSATGDLANWMLPGKVKGFGGAMDLVSNPSKTKVVVTMEHTDK KGNAKIVKQCAFPLTGRACVSRVITELGVFDVDFAHGLTLVEIADGVTVDEIKSKTEA PFTVAADLKPML UV8b_01425 MESESKRRKLDHGSSGLRHAGLINFESPSSAQISTASTFVLQTE ELLKEAKLDYGRHLKDADAQLFQLKTIVDSIGPHDPIPIAEATAQLEKNHRIIVPYPE PRPPKDSAYKVSYSKPSQCNVVGSYVSRTMVKNQPSVSIDMVAQMPSSLFQDKDYLSL RYFYRRAYYVAYVAAHVRKAIGEAMTFCFEFLHENPLLPVLVLRRPLIQGDGNGGAGG TVDKPPKHSAKENCFSIRLIPCAPDNLFPWSKLTPAANCTRINDNNEKKGEKKGELMA ASPIYNSTLNAERTFIQYLRVLTHAKNECAAFLDACVLGRIWLQQRGFGSAISRGGFG HFEWAVMIALLLQMGGRNGQSALSSSLSSAELFKAGIQFLSTTDFVTKPYAFAATGLD MKTVREPGPVMFDPVRQLNVLYKMSPWSAALLQMYAKSTSDLLSDDAADKFQPTFIMK TDVAFQLFDAVLEINKLDLAKYSAYPDRSTVGWKFGWQVHKVLKKAFGDRAEMIHVQL PALDEWPLSSPSSKPSAKLVVGFVFNPANMSRQMEHGPPAEEEKAAAAFRQFWGEKAE LRRFKDGSILECVEWSSRLPSQICQEIAVHALQRHLQVAQDEVARLGSGFSSLIGLSH VDREAFDSSRRAFTAFENDIRSLDQLPLQIRQLSPVSPSARYASLEPPSVAFHRDTVE PMDVNLYFEASNKWPENLIAVQEAKVDFLLDFGRRLTSANDKIVTHLGRENRDMGPEN LAYLDVVYESGAAFRLRIYSDVEEKLLQHQVQNKTLDAHVRDDAARALASLSWQYSTL PLHSQTIATFCTRFQPLSPSIRLAKHWFRSHKLHGLFSDELIELIVLHVFLQPYPWKM PSSPMTGLLRTLLFLSRWDWREEPLIVDSAETVTGEERWAMRRGLQAWRKRDPHMNTL VMFVATSHHHSGMAYTQTGPSKLIASRMTRLAQAACKLLRGRGLHLQPASLFESSLQD YDVLIHLSPKVLGGVVRESAADSSAKKHSQYKNLDHRTGKMPLAIRSHPSAVLLHELQ RVYQDSVVFFSGGPRDPVLAAVWNPKLQQEQKFRAGLPYNFCRVAATNTDVVEMNQRA ILLEIARIGGDMIRKIEVVDA UV8b_01426 MSATPRLRSGFPATPATGTRRQSAQKTPSTVDTVSSQSTTAKSP TLPLAPENVSSQRTTSEPVIPLYFLDAPQQRLYAFGVYVLLWAWKLYDWLQVIEDGDS SWWLFLKWIFIDFAFLFGLPELRIPWLELSQFLVTTLFTGHLVLNYMLMFNIPLPWQT WLLGLAKVLYDREISISEHNVKVSSILNNHSLIMGKQIINILPEGSAVLNPEGIPYCL GQKDKTGVSLPIYFNATVPAEIEIARFDIETNTEETIKVSSREIHKVARAIRDQSADP NTIGFNWQFRVKKPGVYRLGKVLDEYKLEVQRAIKETYVVPCPEARILASDSAQRCIR DLSDLSLEVVGTPPLKIMYSRAVNGKNHDFRFQSLQPEGFVSPLIAGANEAADGETDN FSWVRPAKVTVGLNESLTSAGEWEYSVDEVHDAFGNVVKYAKSGQDAESGNPKGLTQS FTVRQRPKIRMDGCDLRRPLKVAKGSAKEMPLKFALSGPVEHTSHMITWEFSPLDTLS SSGDHGDKSSVFSHSAKNSRDHPLISEPGLYTLKSVFSGSCEGEVDEPSSCLLLNPLE PHLSIRSEDIPDKCAGNSIGLRVNLDLVGTPPFDIRYEITSEGQVERKTHHVAGLRSQ LELIPKRAGRHRYVFTSISDDVYKNLALPPQDNTLEQEVKPAASARFVQARDVINACL DSEVEVNVVLNGDPPFNLEWETVHEGKRRTERANGLVEYSFRIKTPALTKGGEYILAL SSVQDKRGCRTFLQDQIKIAVRRQRPRAGFGSMEKKNSVRAVEDSTLKLPLRLQGEPP WTVQYRNLNEPEKVLTNTATGPNDHLDVKSKGVYEIVGVKDSQCPGTVDPSAATFQVD WFPRPKLSLVETDSLKGSKQGFTKQDVCEGDIDGFEINLQGSPPFHLSYEIRHESLQG SNSMTRREFDAALFKTSISTDTSKAGEYTYTFTGLEDNLYSSDKNLQPFVLRQRVNAK PTAKFSKPGQSFKYCMEEQDHEDKIPIVLTGIAPFYVEVEIKHQSGSGPETYRIPSIS SNSYEMPIPREHLRLGVQQLRVRRVRDAKGCQQKYEMNAPSIQIQLFDAPSIYALESR EDFCVGERIAYTLSGTPPFDISYEFNGRWNAKSPTTNFRRVAEKPGDFTITSISDKAS ECRAAVNLRKTIHPLPSVQISRGKQSRVDIHEGSEVDILFEFWGTPPFEFTYTRSSNA KRGHRSVVLETRHDISYEHSKVIKASQEGTYEVVAIKDNFCSFSTQQVAEKKDRR UV8b_01427 METPRRNPKRKLSETADVADGIPDDPLGEACRPLTPQEIEDWKG WVELESEPAFFNIILRDLGVRNAKVQELFAIDQESLEAVAKPVLGLIFLFQYEPGHDE KEQQQDTESGIWFANQTTNNACATVALLNILMNARDIDLGQQLTEFRERTRSLSTSLR GHSISSNAFIRTIHNSFTRRMDHLNADLCLENEVEDASKNSASSSKARKRSNRRRQST LEYGYHFIAYVPFDGHVWELDGLQSCPLKLGPVVDADWTAIAKPQIEARMFQYQGSQL SFNLLAVCGSSLLRHGQTIATMVAAMQVMQMHFKDGHNPMFNNLVSSDEHLLDMTKPT QLTEFNLTLSDIQNAELPAELKLVISRPSWLVEEDACDVFREIQSQLKAAINEYRIEL SNIREDEGRVRSRKRDYGGALHCWVKKLAHRGVLEDIIKMSV UV8b_01428 MSLDRVPDEIIQHLLYYVPPEDNLQHFQLLCRRLRRLANQGLLW RHHCCHRFKYWSSEHGFRDKLRQAAATVEWKQLFLLRARRNRLVSQLFDGVLKSKLGR LKRMEDICRLGYDAKDFLLKQCQIHESAPDVLARRYYGNAVLDSIHRSIAVEEWYRLR LDHDSLDAQAASRRLERALGAFDMFVLHDQPGDLDDISQILDQTVARFKASRADLSEL TTREKALALNRWVRTNNLTGLRNPDRNDRNLRNCLIGQALRHRDHDSIPLISAAIYCC LASRLGVNAQCCAFLSHSHAIVFARPGETLDGHPVGNGDEQPERMYLDPYGVDDEVPV SGLQNRLAQLGWLAGADAFLAPVPTLSLVLRTARSIEATFARILELQDRAHTELSQLL RGNSAMNVEASLYSALWSFLMLTPPETFEWDDRLEGFLGRFAGAWPEDAWLVEKYLRP MYDSLTPLRNGFARRVNRGLGDPWELCSFVRKQDDVAPPVFRRNSAGNQSVPFKIGQV FRHRRYGWIGVITGWSDQGARRLLVTNSRDLNGQFGTSDPAAQPGLPVRLPNQFYFMC FPSTGSEPHVVAPDNIELIHDPTDIASDMFPMAGKFFKRFDPETCTFVSNIKEQYPDD UV8b_01429 MVQPGLGSALDTPRTNIGDATYLNRQPDFADISQEASFQSPGKD GDLLQQLRNGRSTGISLRTPRQRGPLADRRNLPPSIGGAEFTPLLKSATRNSIQKQGK ENGAAMSDTPGLSKIDEDDVTALPRMDGSMYSVSRNQSYLDNTLPHVESSSVASTPLV MQPRRGANKGPLQDGNQLSLREQENVIDRIEKENFGLKLKIHFLEEALRKAGPGFSEA ALKENTELKVDKVTMQRELQRYKKHVSTAEKDLETYRQEMLELQEKTKRRQTDETQRL KLEQLQKALEEREANIDNLQRLLDQGRNDDDQLERLRDKVEDMEADLREKDRQITERD DELDEVRERVVAAEDEAKEAQRRLAELNEMEQQTEELEEAKETIQDLQTTLRQLEQQI AEVKDTADNAISQRDRAERDLEELQEEMANKSVVTKGLSRQIEEKVARLQEELDRSGQ EYADVEKELSRANEENERLRAELDETKNGQDEAQRGQRLNMARIRELETELLAVADEK DTLQLRHESLMVESDALQHEVQRLEGEVEELQKHTADEKEYALEIEKDLRQQFEDELE RLNNEISNLQAEVRERDNLYDNDSEKWDVERQTLVSDRERAEERAAGLQRTIDRLREA EGSLSSKEASLQKAMESEMERHKSEEAVTARQIDDLQDALETRASLLTKLRNELSTAR DELRQVQIDYQAQVNKVVAMEDEIELLRLKTSAQGTPGRMSSAVKESQALQDQVKKLK ADLASTQNSLAEARAKCDELQGRLRQQGCPENDGLQMDQERLDLRAAKMKLDNEVRRL TDEKRSLKESLAATEKALDDARDNACATEQSLRREIGQLQSKARSVSPTKSNSQGPLA AHHQRTIRELEQEVGFYRDELSKLQSAGADEDDAATNVSSLRRDLATLRQKELDFLQR ESSQRSLVKQLEAQVADLERQLHESRVSRLARSPAGSAAAPSSRQVAALQQELSDAQQ ALDGLKTQSTEAERRASKTLEELQCQLNDLEDQKLVLEEVLEEAREQAEELAAHNDKA MRRLRHKLDRAERERDAAVASQSGEQGRQLRQSQAEMSSLGSSVRQQQELIDGLAAAE AVLRRKLEKARSERAAFRMSAEKLRRDMQLLQKSGSAAESSDKHALETLVRAAESAQG QHKKEVKGMVMQMEWMQARWEREALLRSDAAFAKGFVQLQLDVANACNKAQLRELEHI RTHLLQSKKPLAVSLPANNNNNNNNKAASGTAAKPSSIRPFLAMARFIARMRVSSRRW AEQEHVRLKLVAARDEQRRVKRSKQFKIVRMES UV8b_01430 MAAYPGYGGGGYGGTQQPHYQTNYYRPSPQQHQAQAYGGYPPQG QPSPQSSHDYQQPPPQQFAYQHYGPPQPQQYIGQYSQASPQLGYTARPSQSPGHPQQH AGYCPRPATGLPPPPPSGLQHFGGGAPQGYAFQYSNCTGRRKALLIGINYFGQRGQLR GCINDVRNMTAYLAEHFGYRREDMVILTDDQQNPMSQPTKQNILRAMHWLVKDARPND SLFFHYSGHGGQTKDLDGDEADGYDEVIYPVDFRQTGHITDDEMHRIMVRPLQAGVRL TAIFDSCHSGTALDLPYIYSTQGILKEPNLAKEAGQGLLSVISSYSQGDLGGVASNIM SFFKKATSGEDAYARTLATKTSPADVIMLSGSKDDQTSADATIASQATGAMSWAFMTA LKKNPQQSYVQLLNSIRDELATKYTQKPQLSSSHPLNTNLLFVM UV8b_01431 MKTSVVALAALLGLASAQNAVVINSCSETVYVQSFPYNGGAPGP LTTLQPGQSFSEQFRPSGSTIKIAKTKTLNRPLFFGYSFSSNPDYAYYEFSTEWGNPF AGNRNVLSPGSGCEAFDCQANDGQCYSTPAHKKVYGCPRPVNLTAQLCK UV8b_01432 MRCERCSKVLKRDRRNRPLKPKLPLTQTAGRATSSQDDDPVLSG SGLRKLNIHGHSCEAASEPGDSEPRQPAGKLACRFHPGRVANKTWTCCRRPPLSKPCS GNTQHTPRQYPNGHLERNWRFYETPSERHASHVAAVALDCEMGVASSGDSELIRVSVL DFFTGAVLLDKLVYPSVRMAHYNTRFSGVTWQAMEEACRRRNCLFGRDAARQAVCELV GPDTVVVCHAGHGDLVSLRWIHRRTVDTLVIETRRREIELACSKVRQDSEPAASLPHE VGQGAVKAPDAAEREPAARQDGLSLKALALQRLKRAIQVSGRGHDSVQDALATRDLVQ WYIANPASS UV8b_01433 MSRRESPPSSHVEVLLAQQLASQLAQSLGISVASLAGLVLVAFW AWFTNMRSRRKPGALQLLASESDVGLSKQHRKHGASRGTLRDAAGNFRTRCRKHDGPF PPNNAKTCAASRHLSEATSLKGQRVPTRSHLDSLAGRVAPAHSHRCNAHNLLLIEEAM QMSNSSAEQQSEVRSDPF UV8b_01434 MVVQIVSTLLAHVLCTFTLSGDVSEDVTLRLACSVSVFGLVVFL LPFFSQRETGSGLCLHAVWKLQQHHGRSQPRHKSVYGAKKKSPESTVAAKTLVLVDGL LPCPGSQAKLALAPSVEARESDSIPSSPCQAKAGFPPT UV8b_01435 MFGAFPINPRVPSNIDPQDIPGPTPITLPRYQPFAQDDLLVDQS QSLPQLLKQTGRESIVLGATGLLAIGLDLKLDVDLKHLVPPASCLPDFHQWDKLTAEE AQNQGGKHQFPLRNGVTSPGVQVYLERRRELANTNEDAFRTVRRLSPPRGKQQARLGN AYEFFRCLELFTAFWDDPSQPEQLPPSPELTGSRDDEAADPDPDHDHDHDHEPESHQA SAAIYRTCSGEAMPAEYRQTLLNAFVKLVAYDFGCSVSVARTEPRLHFSSPSGRRQRK SYTSSDCHFVFQIPRARETARAGVVHGPVAAVSTRPTVSFTTPDAEAAQSQDLAREVV AALITAQHRNREGREETRFGDQQWWTTRHRWGGGVGGPIGREIEKEAVASKAEAGAAD KTNQTDNAGDGPVKKPRKTMPIYDRYRKVRPPASSWDQKARYEAIGKVKGAGYDDIFL ISSLFHHLSILRVRVPFRLLEVLDGSPEQDATRRSWGRVEAWRSPWFDFFDVGQRIRA MQLVWAVMAYQMRRDD UV8b_01436 MSSPWKKQPEDVVDSILKRAEVSKIARRLQNRLALAQFKTKHGW EDLTLDSIEPKLEEEMRRRRLCDGDVLSDSSSSASDLPYPTRTLMSSPLKAPLFSDAV GSSSGSSGHRKRTYFASFDHTASSPSKRFRASPTAHKSLAASSSSTWRDTHQLAQSSP IKPRRQQHFTTSAGPDVSFFQQRRMTAALTSPNFAAPSDDDDDLLPAHSFTMSKVRSS PPRTPPMQTTRSLRTKRSRENLDGPNPAKSGEEGADLLLYLAASPSPAVKSNRGRMEH LSTPPPKNSKLDLPSSMMTTPGGGNLFPNTPGQGFDFADFVNITPSPAQKPWRTPGPP SSARTPLSVARRRLTFEEPLA UV8b_01437 MLHQRLQLSAVTKSLQRLALQQYTSRFYATKQLKTGSEGNAVST GVPTNTTETSGPQSAILRTYKPRTPGVRHLKRPINDHLWKGRPFLPLTYPKKGHGKGG RNVYGRVTVRHRGGGVKRRIRTVDFERWRPGPHLVDRIEYDPGRSAHIALVAEQATGR KSYILAAEGLRAGDIVHSYRAGIPQDLLDSMGGVIDAGILASKTAFAGNCLPMHMIPV GTEVFAVGSAAKRGAVFCRSAGTSAVIVNKNEETRDDGTKIMTGKYVEVRLQSGEVRR VSKNACATVGVASNVHHHYRQLGKAGRSRWLNIRPTVRGVAMNKVDHPHGGGRGKSKS NRNPVTPWGRPTKSGYKTRRTHNRNKWVVTPRPRNHGKRRDKRSSTE UV8b_01438 MPPGTRAARQRVENDENSTRPLTRAKSAALNADEAAMPSKGALQ PKKSAANLGIAGNQRKRTALGDMSNVNKPDAIEGKKIVGKVGFTSKAHPVGIQKSSAR PTRTASSAAKEPKKTEVRRAGPGSLAAPKRKVASSTHNKEQEDAVVEDAQPARKRANK EKVVDLVQDENRVKGVQVDKEETAELSDDKKIPDGVHTIEEDDWDDPLMVAEYATEIF EYLRDLECRSIPNPQYMSHQDDLEWKTRGILIDWLIEVHTRFHLLPETLFLAVNIIDR FLSEKVVQLDRLQLVGITAMFIASKYEEVLSPHVENFKRITDNGFSEAEILSAERFLL STLNYDLSYPNPMNFLRRVSKADNYDIQSRTIGKYLTEISLLDHRFMAYRPSHCAAAA MYLARMMLDRGPWDDILAYYAGYDKQEVQPVVDLMIDYLARPVVHEAFFKKYASKKFL KASILARSWAKKNAPIFGITDTELSLDQIS UV8b_01439 MDGSQYPTNGINGSSGAQTYPSPSDISPNQLQTGSPLPQTLPPL QPPTAAMQPLYGSHPHTPRTSTPNTPTSASNLPSYQNTSQPAPRPAVYSMAQNPYPPN QGYGTSAPMMPQTTTAASHAQPIAPAPAGGRGPPVLRPMPPGGIMAQPGVSSPYGPGS MMQPNNVLHEGDQPTHVVGSQGRRGILPSAPGRPAAPTAGTGAKNNIIPVKDADGKFP CPHCTKTYLHAKHLKRHLLRHTGDRPYMCVLCRDTFSRSDILKRHFQKCSIRRGNPTG ASHLSHPQAHVKKNTQAQKAAGLGNEGDMQHLNGMNNMPADGMVHPFGIVPVNDGMSN MPGDQNQLSRSNSHGGHPQDRNNMAPSMGAPQPYGANVSNSMNNQQMPSYSMPPGQNG MPMYGGSNGNQQSGLDWSQMFQSGAHQPLNSNLFHPPNRGQTQIATKTGPNHDSGAAD CSSSDPVRYSLWGLSPNTQTSSSQLSNQILNFLYPPNEAIDPTLTGMNPYFSPDNTKD FIDQYPHFHKHVPLVHPSTLQILEAHPGLTACMCCIGACYSKRVVLSDVRDMMEALWT AMERDYHILSEESLQDGHVAQVCESSVEELQAVLLTSILHLGNGTWQQKQRALHKWPM VAAQARRLGLLVLSGDASAYSVTRPRRWKPGSRETMPAEFDWVAWIGQEKRVRLMHAL LAYDAISESVFGCPAQFDPSEAYIPLPCDDAAWNARSRDECRRALGLIQERSSTAPLF SGAMHRVAGPEFQAARHVLFDRGVELKPGSINVLGKIVLGITLIATIQQAFKTGNVNL TLSGGELMSLDWVVPMQGDNPWTCPPMPPQARLNLDSETASLLLSAVDKLQRSWHQDM AAGIPYDGKSDAVVRNGLTLPAMAHYLLSRAPCADSETNNKARAGGMCNAWHFVWGKI SELGSNNNNNNCNNNNNIGDLSCEVQEANKHVGDEEWNFELAGIFGSVPHESDGAGTA UV8b_01440 MLKAYKNLSPRARAGLGAGIIAWSLAGTYLSDRAEETFGFAPSD QDREALWKWAPKITAVEKPKPSPDGRPRPFASHLQPSKGLAWIGIKQQLLVTPSAQLG AWLFFMSSIITRKAESLNIVQFHGCRA UV8b_01441 MQRVSSFLPSWDKRNSSSGPAKPPPASGFFGWAARNSASINSND NAKTQITGNGSSSSSSSSGSSGSDGNGHLSNPAGNTLLPKLKSPGKSLAPINVSAANG GRIEREAFWPSTLDVECTKAARILKSFCTDGYLAPTEAVTSASAANSNPEEPGSPAKQ MKKIPQRIIQNAAGIAIFTCMRSGLWMTGSGGSGILIARKSDGTWSPPSGIMLHTPTL SFIIGVDVYDCILVVTNLSALEMITRPRVTLGDDVKLNNGPLTSMNSDEPHFNWKHLD NTVLAYMKAHGQHQTAYLQGCILTERGNENERFYGRDVTQMDILAGNVARPVQETTPL FEVIKLAEGRTDYDRAAVGLTTSEPAPGDALIATPKSTSGHQPTTSSFGIVKADDPDP FGVLALEMAGLEIRQAGSRLRPTSSQFEVNSNPRSPTLSRFDRQSMDNCVTKSNRASV RSLATVKSQVTDAGTQTDLGAGNGPEITPSPGRSEDGLERAFVDRIPEVQEDDDDEDA VDYTAVDFTPVKHLTWQQSTDLLQPELPPIPARSRPKSTATCMLDEEKKGSTADASSA DREAEVEDDTNDADDEDDLGESDEEPVIFEVAQVQPARTRAVVSRMIHAKGNVVNIPR RIAPPLPTRSPARNSRCAQSDVGAETVKALNPLRQAFSEADLRNEEEEADKRKRKAQE TSLRNSAGLAMGESAEMARTRGAPVAKGIIHFRDVFKRDEKSTRSALADESAQATPSP EATATTDEPAYSVSQGRFVLPQRSSKRQSRNSQASVQEALAGGESLAAAWDAAAKMPP PEGTEAKGPLSQIEASESEYGSVYQMTEEEELLIHDAHGPNDNSDSTMSKRHTSSILT GLTEDRWSIDRSSLTTPTSDRNVSVVEYTTEEDTPKKMGGEGQLDDGEHQLKETGNEG LTQRQSKATLRLVETSVY UV8b_01442 MSSSAGKWREEQILVICPGSRTTMAQLGCAELTPPTRRLPTRMF RDGRGWRPYHTFKRTKVVGGVRTEEWVEDVDQDRGAVWPIQGGRIVQMDAFLAFLEHV HGLLTTTYHNTPIMLMASPQWTRPDCEAIAQYVFEKTRTPALCMIHSGIATQYGLKWP SMTVVDIGYEKVDVTAIHDGRVVNQGDVRSAGPGSRISGGEVFTQRLVKLLKGRHFTH DMAEQLKRSNICEVLPYAAGEKGLVELPQDNLVSTAAASAASAVSASAAASAAAASAS AAAADARKPPELAKPAEAAAAEENGENGENGENGEANPGAEEDGVLDVAAIVTSGQTK EFLAKKEKEKGKPGRKPKAQQDADAGPAKPARLPNSKRKLNTFFYEQVIQELVPPEAK QNGAAEPSAETQPPSLQQQQQQQQQLVQPAKEVGESGAAAIPSAAAAAPAVGVASDEP QETPERTMDDAASSAAHLSAPPPAAAASSAASAAAAKEAPSDEAPKPEPAIPAVPAVP DTPEYRPKRVRRDIEVGLERFTFADRSEIDRIVTAIYRTIQGIDDMYMRPPCWDNLVF VGNGARLRGLRENILQTLHARHLVSPSTATMFTSELPSNVATPTGTGSQTPTGSFTGV PHQLPASGVNPLLQAATTASTLGVGAASASASAAAAAVATPQPGSDAAGPAAHHFHSQ TPTSIKLAALPTYLSEWTKNGFEEAMFLGAQVAARIAFSLHSNMDAQSVEAQRLMSLS RVDYK UV8b_01443 MAGGGKQISASPVFRGRDLLSTSAQHTYLSSSPLAEQFLADDIA ACSDDDADHGGLPDAPDALVDGNHPARGHGMYRRPSGVAYGGTRPVFNAQRVDEPILT PMERKQSRDAERSLLRDNHVLPPKHLPGREEEKHNLAARLYRRLYRRLFSTKLAREGI HDEHHVAFPGHVVPATERSPLLNGQPEGSDSASGSGDDLDQQWEEAVASGRVHTTWQR EAKTVVAYSLPLITTFFLQYSINVASIFAVGRIGKIELGAVSLANMSQAITCLAPFQG LATSLDTLCAQAYGSGHKQLVGLQCQRMACFLMCLSLPVAVLWLFAERILVYVVPDAE TARLAALYLKVMIFSIPGVVLFEVGKRFTQAQGLFRATTYVLIVAAPINVLINWLLVW RMGLGFVGAPIAVAITESLLPILLFLYIAFVDGKQCWGGFSKRVFANWWVMIRLALPG MIMVEAEWLAFEIMTLLAGQFGTEYLAAQSVVVTLSSISYQIPFPMSIAASTRVANLM GAGLVGAARITAKVAFVAACVIGTLNLTIFSTLRFRLPLLFTDDPGVIEIVARVLPLV AVMQVFDGLGAGAHGLLRGIGKQSIGGPVNLVSYYVVSLPISLALSLGLGWKLEGLWV GITVGLVLVSSIEYVYLLKTDWHKAAREAAARNAAG UV8b_01444 MTELPPCTATPHGLATTGHPKETVLQPFGPYLRITMMDAFRKRE RAEDMGQEEQPSSLRTPVSPPRKSRRLEVAHWKSPWQLTRIRDLPEHVNRDAVSLKDI LGDPLITECWEFNYLHDISFLMDAFDPDTRHLVDVHVVHGFWKQEDLNRATISVEAAR HGNVKLHVAPMPEMFGTHHSKMIILFRNDETAQVIIHTANMIAKDWTNMTNAVWRSPR LPKMDDETEKAALPKDYADLRIGGGERFKADLVNYLRSYDRRKVTCGPLADKISHHDF SAVNAALIASVPGKHPTNDLSKTAFGWAAIQRCLQTVRCKPSVSEIVIQVSSIATLGA NDTWLQKTLFESLKTCKDEPAQRPVFKIIFPTADEIRESLDGYSSGGSIHTKIQSPQQ VQQLRYLRPMLHHWANDSRNGISLPPESRMKNGGRNRAAPHIKTYIRYNNVNSIDWAL LTSANLSKQAWGGESVKSTGETRIASWEIGVLLWPALFGSNASMVGTFQSDFPGGDDS ANETGADLIGLRIAYSLPLQRYSQEEIPWVASNNHPEPDCFGQKWM UV8b_01445 MRLSAWSLLASAAALVRAKENSDANKSVSQGTVFDLKPVPPLLE LSPANWNDEVNKTTWLIVKNYSPYCHHCQAFAPTFQTLYEFYYTSSTSDGSSFETFYD FRFGMLDCTLYGDLCNDHDVLSFPTTILFRNGQKFDQVVGAKDMDVVSAMIEKGLEKQ QPGSRPKPLVLPKAGDKESPKAKTGDSTKKAPAETGTSIDKASKETSGKEASKDKGTS GKETPKKSNAKASQKPFGSGWKVPTAGELEKQKKPKKPTVTPNEEGVSVSLTAESFQK LVTQTQDAWFIKLYAPWCSHCQAMGPTWQQLAKTMRGKLNIGEVNCDKETRLCKDVQV AAYPTLIFFKGGERAEYNGLRGLGDFVQFADKAVDLAGGVPDVNATSFKALEEKEDVI FVYFYDHATTSEDFEALRRIPLSLIGHAKLVKTNDPELYKRFRITTWPRLLVSREGRP TYYPPITPDEMRDKNAVLEWMRSVWLPLVPEVNPSNARQIFNGKIVVLGILSHVDQNA FSGSLREMKTAANEWMDRQIQEFQLERKKLRDSKQMRIEEAEDREDQRALRAAKAIRV DMNNSGRKEVGFAWVDGAYWQRWIRQTYGIDVKDGEKIIINDEDNRRYWDQTVTGNYI MVSRTSIMETLDKIVYGPHVIKHKLTVSTVEKVLLDIKSAFVEHPYLSLGCVIGMGFG TLSWLRGRSRLGRGGHFRLDNTMGGIKELRGGLLGANGNPNTKAD UV8b_01446 MAHAAIVIPFDAKQHSHLTPYLAAIHASCITQDRIIATFLPPLS HEKLLTWWKERIAEVNDGKRFIWILVIETDPSARLKGPEVMGVVMLSTPYSETGAFRG TVEKLLVHKNFRERGGARALMGALEREASRMGRKLLLFDTETGSAAEAVFRKLGWVES GRVPAYGLGPTGELKDGVFFYKHLQ UV8b_01447 MRHAGFVVGVAAALAGTAAAGLYPGMTPDNHTCAIVEPVLSCSC EAALDKVKDTCCTETYGGLLVATQLWSTFTGLESHGQLYPKHAWGIHGLWPDFCNGSY TQYCDLSRQYDPKPSPNTTNNKPGGTPVPAYRGEPIDQWFGPYGKLDLLAYMNRYWVS QSDPNWVFWAHEFSKHATCYSTFQAECYGPKAAQHSDLFDFFETVITWQRRLPTFRWL ADAGIRPSNSTGYTVSEVQAALTERFGHPPFIGCGGPRFNETEAGRGSADNGRTVLNE VWYYYHVRGTPQRAEGRKLDAAAAGGRLTTCATAEGAVKYYERTEGSEN UV8b_01448 MAAPPPNGHEQGAPLPMLLPGQRPTPEQIAEIQRRIAEDAEKAG LTVPEFIERIKKQSMEQQRMQMMQQQQQHGPGGHVHGEHCNHDHGHGHGHHHQHQAQP IVPGPPNPQALAVAAFLKGQDLKQRTSILGGERKDMFRVKRALRALQSPAYEKARKKN PLLPEITDRASLENAFKLLPLSMLALRVCKIEPELGANGKKPKRVKGQWNVRIEQQQD AGDDMYYVWLYEGSQIKRQLYAAVALVLIFIVVLYPLWPLVLRQGVYYLSWGLLGLLG LFFLMAIFRVILFCVTYFAVPPGLWLYPNLWEDVSFMDSFRPVWAWHESAADKKKKKK AKTAARSVGPNPAFAAATGQVAPTAATTTGTETQINKSVLQQRHYEAPKVEELADDE UV8b_01449 MGDRLTQLQDAVDQLAQQFVACLHFVQRRHDLETLGPNDKVRDA KQEPHQKEVDPLPPDEFAAGLKELSRDLIIKEQQIEVLISNLPGLDNSERDQERNIRD LEEDLKAAEAQRQEALKERDQILLHLDNVIRSIRRP UV8b_01450 MSMSVINGTRRDMPVLFFDIDNCLYSRSTKVQDLMAELIDKYFA KHLELPFDEAVRLHKEYYTGYGLAIEGLVRHHQIDPLKYNAEVDDALPLQDIIKPDPA LRRLLDDIDRSKVKTWLFTNAYVTHAKRVVRLLGIEDLFDGLTFCNYAEQPLVCKPHP DMYTKAMKEAGVSEVQDCYFVDDSFLNCSKAKDFGWTAVHLVEQGLPPPTTKASQYQI QHLRELRRVFPQFFKSTSA UV8b_01451 MAQAASAASTLPTNGLSLNRLAEKEAYELQQYNKIIRLRDAIIA GKHPTITVPRSLAASPKPVPLHNEGPRAAAEPALHGAGGPVATAPLTRPDASARHEPN RDSDSLEKSDQLVIAELQLQRQRLERALKDEFEQRRSSFKVGQAEPSSDLDLSHVLAK ALDLVQADAVAPSASASASASAAAAAASSADGENLNVVADTTSDSLDESTFYSSRHDT PDSHLTSRVRTLSESQDGANRRSQHEQPSRQANTHVERPSDPVAGTIASAHPPHPGPR SSHAAADDARLTSQISVVPGLNNYIHGATTGPSVLRHSKNAVGKPDDLFHERAQMATM LASSKSQAQAPPHTTRPADGERLDSHPPSPLIRNHSLQVVAPQPTHPSSLSALAKASP IVPEATSRRSTVGTTAQVVALRTENNNVTSPDSSSQGGKKRSKKKKRKADKQETDPET VPRIKPEPRSPSPLNAPSFTRPTKRQRQVQGPPAELGHAPRYNHGNAVGNAGIGYVAP SGRASAVPVGHVGGSGYPQQQQVYSNSAATGESSYSGYYGEQWVLAEEAVDRQAHPAD LAPQYSTRPVHPPRAVSQVIVADPYSVGSRPYREYHDGSRSTHAEGEAFIPPPRPAPS RILVDAYGREYIEPPQHTASRPYAVPPPPPQNGEQEIVYERLPSASLSRHAAPRQFED GGSIVYRAPAPPPSQVYPVGRRLISQPEYAAHDYRDERQREYSARPPLAAQGEFVQVL APPERRYNGDEGYGLRPASVRPVEAVRYQMAPDFGRVHAARPELHGPPEYRASVHPDG RREVLQPYLREYHPSQEPVMRRSYSVRPVDGAQSGQLGGGVEVAYLERPSGGAQEMVY TDDARREGYR UV8b_01452 MFARFRTAGRSKSQASEGDAPDSRPRDYDKQWASKYLLDPLTAP EPSQETGPGTSHVNPYRAAAGSRPGASHLRHDDLEPGPGRMRRGSSPSALPTTSACLL QQHLHLHRYPTPPAPAASPADADLALDWSAPLHRRPLPSTDDDPLHHHHHHHLHQHLH QQLHQHQHQLLHQHQQLQQQLQLRQQLQLHQHQPHRHHHPQRRTQSPAGSASLTPPAS PPPAYAQHFRSSSCSCSCSCSRTGHSCSYRNRSQSQSQSQSPSSFWSLETPDADYCAP SSYPLPLRHRLGSRPAAIATPPPPPPFALPSVDTGAILRRRSSIRERYPGDMSHRPLD MIRNDAMAADRPFRHRKRISETDTIDALDTIGGAYHHGGPYDATLLSRNLDKKSSPVA AVEQSNLEAIRATPRENIIDSLTRHVPLQGTASIPAGLPDMSGRLMQYDEGADLMREP DAPGGAYKRWDSGLQYHPDDLKGKGEPSFTIEQELGKDKQQRRRHRSSDPSGFEMQSM SQDAEASNAAAGIQRSHTTGKKLSGGLRRRFGSSRRKKDHPEDHDQ UV8b_01453 MTGEYTATANAPQAVPNGGELLPEAAASSSSDSLLQLSPIKRLK SGTVTGTDTWALGFGIGFRKHTQLPAKDEDEDLFFFADATLTATGKKTRHRATWNPLI DAIVRPRQTKLGYLLRSQGPRSYLGLFRDERSRYIVPASHLCVTSPWRPTCS UV8b_01454 MAMGLVDYSSSSSDGDEDVEDSPASKRRKLADGPDGPDGPDGES RAQLPPLPAAFHDLYASTVRQSVVDDPSLHQGRKRLNPHVVGNWPSHVYVEWHPSKSQ HHVLESLVRKTRQLVGHGIHLHSLLTSDLGTELPLHISLSKPLSLAGSLKDGFFARLE ESVRRSGVAPFSVRPAGLAWCKSPDSDRTFFVLRVVTASDASPGGGGDMRQPSGPAAN PELMALLARCNGVAACFQQPPLYQQTRSESADAAFHVSIGWTMGAPDEETCLGVLKKL GDDVGRDICSWRIGVDGVKVKIGNVVSHVSLSATRKGRIDGDGLDSLFGV UV8b_01455 MDIDMSRRNKAPRPLSDAERARLDEYIDSIHYSARYSDSEYEYR HVQLPKAMLKAIPKDYHDSSKGTLKLLWEEEWRAIGITQSLGWEHYEVHEPEPHILLF KRPLNYQPPQ UV8b_01456 MKSASHLFYLSVFSLWTSSALCAVGEDKGCAINPKSIVQDACAS YATLEKLNERVKPALDDLTRTTDFFSHYRLNLFNKKCPFWNDENGFCGNIGCAVETLD NEEDIPQVWRAKELGKLEGPLAKHPGKQEQRKNPRRPLHGELGEDVGESCVVEYDDEC DERDYCVLEDESTASKGDYVSLLRNPERFTGYGGEGAHQVWDAVYRENCFQRSSFSHS ANLGMSLNYNPAAQDFKQVMDAAGRQAQLQAQRALQPNTPFVAHTGYEVDDECLEKRV FYRVMSGMHASISAHLCWEFLNQTTGQWQPNLQCYRERLHGHPDRISNLYFNHALLTR AVAKLGAHLRGPRYTFCTGDPGEDGATRAKVDRVTREAASIPEMFDESLMFVNGEGPS LKEDFRNRFRNVSRLMDCVGCDKCRLWGKVQTNGYGTALKVLFEFDNKSDDIPVLKRT ELVALFNTYARISESMRAVGEFRDMVAAAEAAEAADAAGEGGAGPSSGSGKASAAGPD FAVFTTEDEDEAAREHDGQGDASQSPAAKLAKHRATALWVEFLEMQRRGPESDSIWDQ VAHEIRLLRQSFKVVVHGWFHGSILLCRVVVNEAHRLWLYFLGLQPGPGFITYSWTPK DKKSDL UV8b_01457 MTTPVDAKVIEQLRAQAEQDPGLPRANPTPAFWQTPPHGSLSDA RSETLPRRADYAIIGSGVTGCSVARTLLEGAPEGEQPEGEPFVVAVAVLEARALASGA TGRNGGLLSSFVPGDYELLAERFGHAQAVKIARFANRTLERMHQLAGSSEELRRASDI RRTCDVVCLQDEEAWQGARRSWESYADKVPEESGKAEFLTPEEAKERYNVNAKCGAMV LPNGAFWPYRLLTGLWEQLLGRFGSRLTVDTGTPVTEISHCASTDPRYPYLVHTPRGV VRARKIIHATNGYAGHLLPRLRGKIYPLRGTMSVQKAPPLLGNRGRTQTWSVAGGGGY DERSEVVELGLYYASQNPRTGDVLVGGEKAHVAELIGADDTRVGTAAGDNLSTLLPRL FAGVWGDEQEQGQGQGRGDEQGRGDEQGRGDEQGREREREREREREREPEVRQMWTGI MGFTADRLPLVGSLPREFTGRGEEGGEWIAAGFNGYGMALCWSSGEAVARKLLGMEAD FLPEACVASRERLGDERRMDVAAALRVFLGGKP UV8b_01458 MTVDTWQSQQAVDSSQQPTANSRQPTADSQQPTADTRQPAVTFT PRRNLIIIRCSATRSSCSTSKF UV8b_01459 MKYTAALVALAAAVMAAPNPTYGGEKKCTNEKPQVTCCDSKGGL LGIGGLLCNVELINLGDTCSGTVYCCDAGTQNGLVNVGLNCLKL UV8b_01460 MPTPEKHARVGVAVVILNKENQFVAGVRKGSHGSGKWQLPGGHI DWMEPSIFDTARREAREETGLEIKPIKIFANTNDVFAEEDKHYVTIFVLAVLEDPDAQ PALKEEQKCEVWEWKTWNDLRQLEDNDLFLPLVNLLAQKLDLEAICKVDNGSMTAAQD GIEQPNPKLDQTGAGAVTK UV8b_01461 MSMSTLSQHRRPRLLPQNRKLRHLKGISLRNLSFAPANLQTADD AAIVRSPNKLGSLHGAGQLLSSRSSDTLRTDGLRAEKRRPKAQPRRVSLSLANSNPFT RQKTLESLVESSVGDVFLSLHVAQCLEPVYISEVRHRSSNFDFRFFDLSAESASVSRS CLLTVRLWSKRPQQSSWVFLLEELIDLRKLKFIGTLIDRQFPPNALVFHLEDGLYSLD FPSKTSDPKPAPVVATSSYSELMKLANLEASIQDAIYTQRRIMEQINQILDESPADPT RAAAEQVGLAEKYVGWQRRANQAAERRRDQLRETLRARVDAIARGREAQALAEEDIAN NREKLAASVKLAQKTEQQIQGQRRRICSELCDVFPISPIPNAPPLSFRICNLPLPNSR YDAATAKEISEDVLSAALGLVAMLTKNLQFYLSHPLPYPLFALGSRSHARDDISHMPQ KQPQRRDFPLYLPRGGSTVAQWRFEYGWFLLNKDIEALCASEGLKVVDIRHTLPNLKY LLYVCSAGTEEVPERKKGGVRGLWAGRLKGRMSGMPLPQADGESSSGSSSTAAESRRG SAESETMSQRGDELRHGARKGNGGREREELWGADSGIGLPFGDDAKFTLRTKGLRENI AS UV8b_01462 MSSLRNAVARRPHRERAQPLERRRLGLLEKHKDYSLRARDFNKK KEQLKSLRQKAADRNEDEFYFGMLSRKGPGSRINNGKSWDGTVEGDRGNKSLSVEAVR LFKTQDMGYVRTMRQVIAKEVARLEEQVVLTRGLDHLDEEEEEEEEEDDKEGLAVRRK AKATAPRKIVFVDSEEDREAAAQGGRAAEPEGRSIEDGGENEDEDEDEDDDVDEDGAE VTRAKWLRRLKRQLENAKQKLKALGDAEVALDVQRAKMAKTATSGGYTRRGKKIMVRT RKR UV8b_01463 MAADEPRERLGVPSRNPLPLSASQESQVRDIFYARVRKQCAEEI KAFAACALGRTLTVSFACRAEHRLMNSCMKEHATREEHDAAREEWFAMRMERHRQRER KAKVAAAQEDFMREWWGLPEEVRLSRQREMEKLGRAERVGGMPARERPRGPEAST UV8b_01464 MQAAAENRPDGHVLETPIWITIIRGVQFFLSLVILGLAGRLMHD LYLDEFGLSVSTAVLSWLILSYVILTEKVPSWRAAYHVVAVLVLEGFLVVMWLATFAA VAARRATFSVSVQVGACYDDGSAINSKTCTAKRALYRRDYLFKSGQAMMSAIAGLGAL LWFLYIATFVWTAVMFFRGRKQGRFPIGVASSSEPLQMEPKLEQGAPMTPMMQQHQQR PAEPQPTGEYKQTAGNQEAYQQHQPRPAGQYQPAPSPYQQQAAYQAAQEPQAYHSSQY PQQHAHRGSELPGTPAAHQAYTPPPATAQPPAEDSYYPQQQQQQQQQ UV8b_01465 MAPPTLNRDELSNLSFVLHKPLHVSYQERPKPTLQSPHHVVVAV DYTGICGSDVHYWRHGAIGHFVVRQPMVLGHESAGTVVETGRAVTHLRPGDRVAIEPG YACRRCVDCRAGRYNLCDEMVFAATPPHDGTLTGLWSSPADFCYKLPDAVSLREGALI EPLAVAVHMVKQAAVQPGQSVVVMGAGPVGLLCAAVARAYGASAVLTADIVQPKLDFA ARFASTHTYLSRRVAPEDNAAAIKCLLGLPRGADAVIDASGAEPSIQTSLHTVRRGGT FVQGGMGKPDVTFPIMALCLNEVTAKGSFRYGPGDYELAINLVASGKVDVKKLVTATV PFHEAGEAFRKAAEGEVIKILIAGPNGDKE UV8b_01466 MNQIVHKIKKSLGHDTRPAIPLADPKVQSPAAARPDDKHSPRLQ PSSSPPCENHNVRDAAHSPPSVLSQHLGPPIIEHNYPKDSKWRRHSISAQEQHYLR UV8b_01467 MSTKHRHGRSNSLQLMLDLEKQYMFDRLHPNPAQPNAQPLPLPG CGTEQPFADHRNVQICCVEGDVLKSGGKEGRIRHDNSLPLQLPHLAVNATEHLATSPP ELLMEGGKSFPPARGASSAAQKHGKHAKVVAFEFPPKETARCHSPTWEAYERRKSEKR LGRNESTNRRTTRLSKKPPPPSSATLLQQALASESDAARGRRRERADSTAAVNSSSQE RKPPRKDRSRSSSFVSMLRTPFEFRRSSVDKGNDSGFIGGIKLEFQRYAAQQHAVHGN PGHGDSNLHPVLRKGNPEFRWSTPVKSPPPPPSGPASPAGADNVRRRYPPITRVNAIH LKTSSLAFPASPAVPNAETAENWRAKAGPEPGTHTGSMMDANQDTRRPDGVAASTAPG GGAAESGKQQKSLSRAVHGSRPQPLSSPLAPSPPSPVHVTSAAGSSPPPKIDEPKPDE SKRAEEHGNNDSLLSTSSGAATGYRTAPSSPPPPAPPRRSSKRYSNVSLAESIPPLPS PTLRQQALLSNAKSCPKQADPPVQRSFPLLGGRPKNKTIQPIKTEEHVLSRNSRRTSR SASGGAVGLLPTSSSEDSGSDDHSTSSLSTPATSRPQSERELSLVSCNKEEAAEQDGN AADVPRLNLASTTYPLHSAENSDVEGEDAIQAAAEKVLAVFNDIPVQKPGPVERCSSP SSLAADMSFGPLLPAQPLNIPPRKRGAARASLGNTLSAASYLEEARKQPPAAAPPRAP KQRFGPPASFVLPDDACGAGDGRRQPLTPALTGSDVCGQSPHRRPAPVLGAADRLPID KVFVECCSCKYYHDMPSHLYAAMSNPEGVFSPADRYGFSGALSMTVRCPWCQHEMSVR CCAGIAATVHIRERLH UV8b_01468 MVMALPSTIRAVHQPDKLSPRLVLTTTPLPTPSSPAEVLVKVAA TAPCYSELTWAAQYPESFPADKEPVPGQDVAGTVVRAGPGSSFRPGDEVFCRIAATRP GGLREYTLALESELALKPAALDWVSAASVPLSALTAWQALFVKGSLEKSALFGDEAAG QRNGLKKVMVTAAGGSVGGFAVQFAAAAGAAAVVGVCSADKAEQVVALGATAVVDYKR QRLQSWVQENPEDRQFDLVVDCVGGDAMADLWAAVKDGGEIISVSDLPDRMRPAGNTK TLKKSEFFIVESLGAQLAEIAHLVGQGRVRALVDSVYAFEDFQAAFDKLDRRTAKGKI VIKVGMSQDGA UV8b_01469 MSESKCPVQAQLNVAGGGTRNRDWWPETVKLNILRQHTNVTNPL GEEFDYAAAFKTLDFEGLKKDLKALMTDSQDWWPADFGHYGGLFVRMAWHHAGTYRVF DGRGGGGQAQQRFAPLNSWPDNASLDKARRLLWPIKQKYGNKISWADLLTLTGNVALE SMGFKTFGFAGGRVDAWEADESVYWGGEQTWLGNDVRYKGDKNFQKRELESPLAASHM GLIYVNPEGPDGNPDPVAAAHDIRTTFGLMAMNDEETVALIAGGHTFGKTHGAGPATN VGKEPEGANIEQQGLGWNSTYGTGKGPDTITSGLEVTWTKTPVQWSHQYFEYLFKYDW ELTKSPAGANQWVAKTDDLIIPDAYDANKFHKPKMLTTDLSLRFDPAYEKISRDFLAN PDKFADAFARAWFKLTHRDMGPKARYLGPEIPKEDLLWQDPIPSVSHALIDDKDISAI KKEILNLGIPVPQLVSTAWASASTFRGSDKRGGANGARVRLAPQKDWEVNNPAQLSKV LGALEDLQKRFNQSGKTVSIADLIVLAGVAGVEKAARDAGVDIKVPFTPGRGDATQEQ TDIESVNYLQPLADGFRNHGKSHGAVKQEHLLVDRANLLTLTAPELTVLIGGLRVLDT NYDGSAHGVFTSRPGVLTNDFFVNLLDPTTEWRQTGEDVFGGFDRKVGAKKWSATRND LIFGSHPELRAVAEVYGSCDGSQRFVKDFVAAWDKVMNLDRFDLQKGERKRASSRL UV8b_01470 MAESGSELPVEAMAQLLLDEETGEMVSKRELKKRQQKRARKTTA AATRAEKEKKNPKKETTQQAQADEIRTDPEAMFKQGFLADIYKEIPAKEVVTRFPPEP NGFLHLGHAKAISIDFGFARYHGGKTILRFDDTNPDAEEQGFVDSIKETIRWLGYTPH AITYSSDNFQKLYDYAEQLIELGKAYVCHCNDAEIKRQRGGHEGKEGPRYRCDHAEQD VSTNMEKFRMMRDGKYEPRAAFLRMKQDITNPNPQMWDLAAYRIPKDQTPHHRTGDQW KIYPTYDFAHCLCDSLEGITHSLCTSEFVLSRESYEWLNKSLKVYEPKQREFGRLNLN GTIMSKRGLAALVDEKIVRGWDDPRLYTLNALRRRGIPPGAILSFINQLGVTTSRTFI QVARFEQSVRKYLETTVPRLMLVLDPVPLVIENSIDPADAECRIPFSTKDPEMGGYAQ RLTQTIFIDRADFRETDSKDYFRLAPGKTVGLFQAPYPVKAVSFTKNASMGQVTEIRG IFDKDGKKPKAFIHWVPEGSRSVQVRVHSPLFKSDNPMQAGGGFKSDIRPNSETIYSN SLISTGFDEVRRTGPWPKASNNSESDAPESIRFQAMRIGYFTVDSDTTEDHVILNRIV SLKEDNAKN UV8b_01471 MSGQYQGYTSYEEIANCEARIRALSREKEGRLSRYNDSIACYNQ DIRDGRSQKTIKKSLARVKKFEEQIGDIEVAMFDAQRKLAELNRRLRQENVVTTITGE IVPAEIQANIAYQLYQQQNKPRRR UV8b_01472 MAALVLFVVAFALAAAAPVPVQEPGSHGNHSGTHLLRPLTFGRD GTFQLSIFEDLHFGENAWDQWGPQQDINSVRVINAVLDSERATNLAILNGDLITGENT YLGNSTHYVDQIVAPFVRRGLSWASAYGNHDHSFNLSASGILAREQAFAGCRTRSMVS GRNAGVSNYHLPVYSPDCAARHCAPELLLWFFDSRGGAYFRERDSEGRRVAQPDWVDV SVVDWFRAENARIGAEFGGRTIPSLAFVHIPTNASFALQQERGTGSVHPNYQPGVNDD YPLAQQAQGWCADGRSDGGCAYGGQDVPFMQAIASTAGLIGVFSGHDHGATWCYKWDR LVPGMTVAGNGVNLCFGQHSGYGGYGSWIRGSRQVRVSRAALRHGWQAETWIRLESAA VVGHVTLNATYGRDWYPATPNDKTGCPTCNYTVISPGPRRR UV8b_01473 MLVAPRLSRVRPRIAWLGSLVFCLIIWSLLSGSRRAPRLLTKGA IQQRFPLAWKHIQLSDKKGGAWFIPKSWQGEHAEPQNIVEAAQLASETAKLVPYSNIP LIVHQKWNDNDLSRLNDQLLTYIETWLRYSISANDTYREMAYFWWVDSGVDMLVKEKE KGWVEDFHKLFSQVEKVDIFRILVCKWYGGIYGDVDTVPLQHPADWIREEDVSLWTDS VTGDTYGQEFQASDEQKTKDPPRPVNLLLGLEADTDPKSDRHWRMGYNFPVQLTNWAM ASARQHPVLYRFMDRLRDQLKRESKEALQTSSGRQAKEHDPLTRTGPAAVTETAMTWL KKQVGLRWNALTGLKDGGRAKLASDVLVLPITGFSPGRGRYGNMGSKPYTHPDARLAH HSMGSWHRFDAVVEYGKFCRTFFGMCKEWSKVSSA UV8b_01474 MLKSHYSRNQPAWRYRSILGAALIALILAAWFGRHLLYTTWTLA ALRLFWHDNASQFILSESNDDFDVTFATYDRNQISAQPYEDLVPPILHHIALGDNEGR WKSRWGHAVQSCLDIHPGWESHIWTDDNAGRFVSEKFPEMKELWDNYHYPVERIDALR YMLLYAYGGVILDMDLKCKRGLGPLRRFPFVAPEAHPTGFSIGFMMASRGNSFVGDIV RNLTVYNKQWLGLPYATVMFSTGCHFASVIHVYESNRTDLKILPGPMHSLNGRASTPI FDHLGSSSWHSYDAKLIVTLGSRINLIFFFFVGVALALFLRRKSLLRRF UV8b_01475 MVRKLSNAVQSLSRACHWLVATRSRRRWLVRATLIAFLLPLLLQ WALAYVLGSDARLLPAELLQAKNLLVVTAHPDDECLFFSPSILGVLDRNKHMRGGLVV MSTGNNYGLGETRKKELLGSCEALGIDTTRCVALDHADLQDNPRVWWDEDKIKPILKE YVEKWSIDAIITFDEGGVSGHINHRAVSSAVNQYVAENEKAPAAYMVVSVALPRKYTF LLDLPLTAISFLWRIAAAIFFPSGSADAKYSTRALVANTWHRYVMTRKAFASHGSQYT WDRHLYMIISRYVWFNDLQRVVVKGTVR UV8b_01476 MPWKNTLKSLKSELEAMIKPRDEEHQQHQQQQPPPPPPPPSLIA TCRPPGPSPTFHTYWVPRFHPDTPVNAEWNAKLGNADGWGNQELEHYTAAAENSFHTV NGQLVIRALVNSSSACPEQRYTSARLVSRRTFERDQGVLTAVVLSPCADGIWPAFWLL PQEPFNWPVDGEIDIAETWNGDRENRTCLHWGRHTEPQKHRVLGTKIPDMHCRPVRYD LAWQQPGGRAGQGRLIWYIDGRPVMKAPVPAGTRPMRDMTVLLNVAIGGNVCGGKTPP DGCYDMVVYTMYLASELEHGGWGRFQADWDCGGTPSGNPY UV8b_01477 MAQPSSGDRQTDAFLQAHPEVLVGRDALEKAEREFGDDTFSIVN KPTGSIVLVQHFNPDTLERLFAVALVSDERAEAYYREQNQDGDEADETSCAGCGAGSS RAAVEGPDRFLYTRMVTCNEGCPEAQVGRMWPA UV8b_01478 MKVDGKTLLVAASLFIGLGAAAAAASASEAEVFLAENPHVQVGR EALAEAEASAGPNTFSVVNKPAGNVVMVQEYDADTHKLVYAVALVDDAKAEQYYKKHN KGGDVKGKVMKRYGSSAERCGRSEAADEPRVIFERASRCGQFCSRSPSCTAQRRCPSC RYVGGNCRHQLSCQPRNV UV8b_01479 MQRYGMRSFGNLAVFDDSASSISMELSANSHQNVGNLMPTGGVV YESFDGPPEASHEHLSQQK UV8b_01480 MAGGMGPLMHQYNASISYDSPLYKEGILGSIAFARANAKSGMTS KDDFAEIERDLHDILKEWEADAFVIKPNESYC UV8b_01481 MPRHDLYHLRFDLHQHFAAKVYTSGSAVTGQVSLCALRDIKFDD LEICLVGISTTQNFLHHESGAVAATFMKLVMPNLRRDFPPNRTFLAGREYSFPFRFIV PSHLAMGACRHKCASPVVREQHLRLPPTMGFWDGHDQSPQMTQVRYAVSVLATKQSLL EHLQTRVVEGSHMIRVLPAFSEDPPLEISPLDDRYALSKSKTIRKNFVASKLGRLTAT TAQPKALMLSPDGHAAGGTLCRIKLAFESSGSHVLPPKINAISGKLVTHTYFDISSMT RLPNLGNCSACELPCPYQYTTSNKLFTLAMADCIDWKEEAYHASWEEFMFPSTVPPER RASAATCPEGVGNPKRLVSEIDVRFSLPEDSRVVYLPTFHSCHISRAYTLDLAISVGQ TFTTLSLAVPLQIGVEAFHPLQRSAVPQLEAEVSFARGQDHGRRNTYAGWPGSMWESD NELPGYD UV8b_01482 MTSRKIEAATYTHGHHASVLRSHTWRNALNSAAYLIPHIQPHMK ILDIGCGPGTITVDLARLVPQGHVTGLEREPKVLEQARALADEQGVPNVDFVQGDANA LSYAQDTFDIVLCHQLLQHVKDPVGILREMRRVAKPGGIVAAREADFGSFAWYPEVAG MAQWQLLYRRVARANGGEPDAGRMVHAWAKKAGFSPGGISCSVSSWCYSTREEIDWWS GLWSERTMASGFAESAIETGTATRAQIEDASGAWRRWGEEQDAWFAVPSGEVVCRK UV8b_01483 MHRKLLVSAALSVLHGTVGARDGGDRHRVAKCLARHGGGGSNSN SSSSSSVYKTDFPGVTWDNDNWVLSTTALQQGRFQSRGSVANGYFGINVASVGPFFEA DSEARGGDVSSGWPLFSRRQSFATVAGFWDAQPGTNGTNFPWLLQYGYESVISGIPHW SGLVIDLGDGAYLDATADDAAVRDFRSAYDFQAGVLTWSYTWAPAAAGAASYRVRYLL FAHKLHVNQAVVQLEVVASADASATVVNVLDGRAAVRTDFAASGEHRGAIYSAVRPAG VANVTAYVYANMTGSSEHVDWSSRTLVTGKPYVGSNASSVAQAVRVRLPRGEAVRVTK YVGVASGDAFADPQDAARKAAAAAQSRGFHRSLRSHVEEWAAVMPSRSVDSFADPASG ALPRDRYVVDSAIMAVANTYYLLQTTVGPNAQRQVQGAPVNADSISVGGLTSDSYAGL IFWDADLFMQPGLAASHPQSAQRITNYRAKLHRQARANAQTSYTSSRNRTVFSANSAI YPWTSGRYGNCTATGPCWDYQYHLNGDIGISLVNQWVTTGDSRTFRDHLLPIYDSIAT MYAELLAPNGSSWTVTNMTDPDEYANHVDAGGFTMPLIAETLLTANAFRGRFGLAKNS TWDAMAKNVLVLRENGATLEFTTMNGSAVVKQADVILNTFPLSFSTNYSRQDSLNDLD YYANKQSPDGPAMTWAFFSIVANDVSPSGCPAYTYAQYAYQPYVRAPFYQMSEQIIDN ATVNGGTHPAYPFLTGHGGANQVNIFGYLGLRLLPDDVIHINPNLPPQLSYIRYRTFY WRGWPLQAWSNASHTTVQRAEHVEPLDTADQRFANTPITIDAGPQDGATYELPVSGAV VVPNRDIGRINTVPGDLAQCKPASSPDAHRPGQFPMAANDGATSTKWQPQFASNLSSL TVALATADVGKPVSGFRFNWAQAPPVNATVIFHNCTLDGSDFADLDTAASASKHPDYT VVLRLTNVELSLPYDAKAARLDAIAIPLGNTTNVTLSEAVPAARYATLLVLGNQALGE VDVEFKNGTGATVSEWAIISEEQKQADEKKNRGNLGRSLTWREKMMLMPRSDSHRH UV8b_01484 MRFPAAAAALVAFAAGQVALAVSVNPLPAPQQVTWGASGPRIVG PLQLRTNSNNSNSNNNNNNNNNAQVVADAWNRAYKAITTLRWVPQAVEKPIPKFEPFP GTKPKRHAGEPLTSVDVKVSDWSADLQHGVDESYSLAVSDSGATIDVTAKTVWGVLHA FTTLQQIVVAHGDDGGLIIEQSVAINDYPKYPYRGVMVDTGRNYISVGKIKEQIDGLA LSKMNVLHWHITDSQSWPIRLQSYPEAVKDAYSARETYSSQDVLEVVSYARARGVRVM PEIDMPGHSSSGWKQIDKDIVTCQDSWWSNDDWPLHTAVEPNPGQLDVLNPKTYTVVQ NVYAELSKKFPENFFHVGGDELQTGCFNFSKTIRDWFAADKSRTYFDLNQHWIDKAYP LFMSDRNSGNKNRRLVMWEDVVLSPDARAKKVPKDVIMQSWNNGVGNVAKLAEAGYDV IVSSSDFLYLDCGFGGFVSNDPRYNVQANPDPTGAALSFNYGGPGGSWCAPYKTWQRI YDFDFTANLTDAQAKHVIGAASPLWSEQVDDTVISGKMWPRAAALAELVWSGNKDPKT GRKRTTSLTQRILNFREYLVANGVAAAPLMPKYCAQHPHACDLYYNQDIVK UV8b_01485 MGGPTRSMAGGWVQLAGSEIAGGGFMGPLKFGPESSADDADAPA RPLTDPRLVQSKTPSPTSGVSCRLGWTWQMQLDCPGPKVWFWLVN UV8b_01486 MHVSKVLGAALLGAATSSPVGGKREDSFQNEMIASHNYFRAQHS ADDLVWDENIAQDAQNWANTCNFYHDSAGENLGCMSSYDFWGQFTNAWGTERENYNYD DPGFTPETGHFTQVVWKATTSLGCGWAQCSGGHDQAFGYYVVCKYDPPGNYNGQFGEN VGRQVQGQPADAYMG UV8b_01487 MGNVRMTSLPADRAVASHDCPTCVKRRIKCDRSEPACIKCASRG LGCPGFKAIYLKWDQGIASRGKYAGRLTPAAKTKPAPGSRGHMHGHGHGRDRHQTRTP SVDREQDPVASFSSGVEKNRAMRRPQQTSTAAASPDPTTDRNVTNSFLSASMFRTLIH HFCSKAVSRLTWIDQPIHPWRTIVQRLLQHSTCVQLSVASLAAAHLSMTPGNSKGQRD SLYSTYCLLRDQALRILSRKMRVDLQARLPAAGQDQGRVMPATEILASMLALCYTEVF VPGSRDWKVHLQACRVVINLQQLEDWQKASRDPIFTFLHKEIVDLEILTSTTAFDEEA AAAAVRPLPTLSLQSASADCGWAFTPLIHELTLLERDRYSLQKVSSCLPSVDMDLWCR RIEQAYRGTMSSPRLTSCARSQALQQSFQDIARAHYYATLVYCYQVLAAQDAKTPDVV EGLVRCLFRDIQSIGAGPTDDLHHDLFFPLFIAGVESTSSRERQVVIDNLFVDSLSRT GIWCNYSALQFLRIFWAPTADLEYHGNWIHFARANLSAIGTFIVF UV8b_01488 MLPLLFLLCTTSFPGLAHSTDPAPISPRRGDLIRHSEVRTDLTI DEFLAEVSRRKKQTSPSLPLYKRAPLGQESRNNLEVNAVIEELHRVCRSITDSHRSTG GKCRWEFGSGWEQQGENREPKTTREIHCQIPEQAGSQRSALRRCPQGQVCRIRRGYNY AGDWVEFPFCEDEIPFLRDKDNFVAVYSVSKPGPGGAQAVSYHVDIDWPATNAPGRNA FFEDTSGKKGWARSWSCFFCPPGLVTIHSHLPAVAFGHVV UV8b_01489 MPCLILTPGFHDDKSPASLARSTVLSFLLWFLFRLSFEMHLVSA LSLFAASAAALAIDEGASSLQGRADPSPQGEDLTTSNAATCVKGLKTLGYYGNWDIYA AKYFVTDIPAERFTHLSYAFSNIKTETGEVVLSDEWADLQYAYPGDNTKAPGTNVYGN VKQLYLLKKKYRNFKTTLSIGGWSYRMNFSPMLASRAKRETFVKSAVKLVEDLGFDGL DVDYEYVKDRNEAVQMADLLRRLREALDDLAKRTAPGYKFILSYASPAGPEHYKQLAF DRMNEWIDYYSFMGLDYMSAGVSKNSGFMGNVFLDKKNPAATEYETQSGIEYYINQGK VPSSKILLQNAIYGRAFNETKGIGRPYKGAGLEGSLGSAGIWRYRDLPIKESKDLKIV NDKAVIGSYSYNKTAEYLISYDTAEIAKLKAQYTRKMKLAGTSWWEVSQDRTDDLSLV KTTIDEYGGLGALEKSPNNLKYPNSKYDNLRLGFPASS UV8b_01490 MAVYIQDGMSEGTHVQDDGIGPGNGSTTRSVSRNISRQSGTLHH ATDSNLRHRISFLERHHATPPPQSFAVAGIDNEQPRTTGVTKRPDAISVTGKATLGPF CTF UV8b_01491 MAPSAAATTTTQEQAAPSFFKLQFGNYKELDATDLDKDVETGKV GNNGAKYPHYLPTWNPEQKYPPLETHEHYEHGKDADPSYPNLLPQGTKVSHLTPTIGS EVSGIQLSSLTAAGKDELAHFVAKRKVVAFRNQDFADLPIQDALRFGSYFGRHHIHPT SGAPEGHPEIHLVHRGEGDRGAAEFFEERTTSVAWHADVSYEKQPPGTTFLYILNKPE TGGDTLFVDAVQAYRRLSPLFQQRLHGLRATHSGIEQVHAAAVRNSIMRREPVVHDHP IVRTHPATGDKALFVNPQFTRDIVGLKREESDAILKFLYDHLAYGADFQARVKWEEGT VVVWDNRVTQHTALVDWEDGQRRHLARITPQAEVPYETPFEAGQ UV8b_01492 MHGLEATHGGRTTPRSTVDACLVNINTSQAAWMPLLHIWLLADA ERRLILACEPPATPGRSPTEIATADQPLYQNAT UV8b_01493 MKYSLTTLAAVAPQMGLALVGNSWSFSGSPRNGLRDITFPFNMA GAAHDSGYYFAQQFSFIGIPEVSYCGIQNRPNVHGKSIVHGVFSTFQGGATTTDKNCH LGADYGPGVSCAVDFVGDYKHTYNIVVRHRNDTTWTGTAVDTVTGHAVHIGSFTLPSD AGGIDAGGQMGFVEYFPWNGGTHKCSDLPKNTVTMYSPTSKTAKAGKGTMDQPYEYGD CVGQVDFSTSAAGSNGWKIAVGF UV8b_01494 MGFSVEAEHPPEPHVSPDQPTAASAVKDVASPGIARIEAISSVL TNLDRAFIFLGVFLVAFVYGLDGAVRYAYQPTATDSFGHHSLSATVNVIRSAVAVGAQ PAAAKIADVFGRVELVCVAVFFYVLGTVVEAVAKDVGAFSGGAVLYQTGYTMLILLIE VIVADITSTRARLFFSYVPALPFLVNTWISADVASAVLQGPGWEWGIGMWAIIMPVCA LPLVISLWAVSRRAKRQGLLGNYASSFRQLGFRSLALELFWLLDVVGVVLVVAVLDLI LVPLTIAGGDSSKWSEAHIIAPLVIGTLCVPAFVLWELWAPHPLVPFGDMKDRSVWAA MGIALFLNFAFTMQSDFLYTVLLVAFDFTTESALRIVQLYSFTSVIVGTVIGLAVYRI RRLKVFVVAGTVLYMAAFGLLIHYRGSANGAARAGVIGAQVLLGIAGGMFPYPTQASL QVSARHENMAVLTGIYLATYNVGSALGNCVSGAIWTQVLPSKLSENLDPINSTLASAI YSSPLLNYGNYAVGTPERTAIIASYQSVQKLLTITGLCLCIPLICFGLALRNPKLNDN QTLAKGSGSRH UV8b_01495 MRVVHLALLLQVACAGSLGSRQGKRCGIDQGRAACPKGLCCSKE GWCGNGFEYCSSPACQVDYSDSCDGNIRPPGPSTRDADRGKLGSVPYGEAIYHCEQYG VIALTYDDGPYEYTSHLLDLLQKHQAKATFFVTGNNLGKGRINDASLPWRSLILRMER EGHQIASHTWSHQRLPLVSDAQLDNQVIYNEIALADILGYFPTYLRPPYSASDDRVDS RLGELGYHVTYFNLDTEGYLHDSGEAIGISKGIWDGAVEGKDAGGTKWLGIEHDTVYQ SVYNLTEYMLESLVRNGFRSVTVGECLGDPEENWYRRVGDA UV8b_01496 MYTDSKLIILAALAATALSSRLQPRQTADLNACLQVIKTVPTPP PAILSVLLDESVTATDACSLSVPSSLSGQWASYTAAQYSWYKANEGQLKSNCAAYSEY LTETGRGCSGAAAIASATGSAATTPTSSVTGTATGTASTTPATKTNGAARDGGVAAAA LAVGLALAVL UV8b_01497 MKFLPPTVVARAAHVAIRSQHRQFSVSVPYWRSAPKKKKKSSLA NRPPPGKPFAKSLNAPKSLARVAARSRADSISLGHDPEARLRLDVSKKHIGRHGVFRS TVLHRLQVVLNMLAVHQHAGTSFKRDELSRQGALFMDAIDDAFSLAEKNVTRRDRNPL FWNFRDAFLNRDIKGLTKEIQYSFQSFIFREKFSKEIEESQNRLLDFRFPHEWFPATR TMQRTIHVHVGPTNSGKTYNALKALENSKSGVYAGPLRLLATEVYSRLKAKGLPCALI TGEEVRIPEDTDRYFSSCTVEMVPLNKQFDVAVIDEIQMIADAERGNAWTSALLGVQA KEVHVCGEDRTVPILQAICASIGDKCVVHRYERLSPLKTMDKAIQGDYSRLKKGDAIV GFSRLSLHVMKRNIESYTGRRCAIIYGSLPPEVRVQQAALFNNPDNDYDFIVASDAIG MGLNLEIRRVILESVTKFDGNQHRSLSFPEIKQIGGRAGRYRTAQNTGVGSNEEEPEK VGLVTTMDRTDLKSIRRAFEVKVDDIQHASIQPPAAIVERFASYYPPETPLSFILMRI KAAARVGPRYRLSIGSDALEIADIIQDIPLTIYDRLTFCYLPVALRAEGAVHVLRALA RVVADNSTGDLLDIQEIPLEILDEKLENYKGTAQEYLGRLEALHVAINQYVWLSYRYS GMFRNQALAFHVRQLVEEKLISTLDRLDFTDKQLEHVRQNKRFQARSRDLRTKAVGEE VTVDAGSEWPEPHGRPADEHKEGAALSL UV8b_01498 MASSSAPATPLFYSCIAHKTTILAECTTSASSQTSSLASLILPK IEHSTPQKLTYTHGQNQIHYVSEAPSEHPNHPAAGGLTFLVIADASLGRRVPFGFLLE IRKRFFEQFPESSDFSDMPNYGAGSFNAELRSLMVDYGTTSGGRDDAIGNAKREIDDV RGIMTKNIESLLERGERLDLLVDKTDRLGSSAQDFRVRSRGLKRQMWWKNVKLMGLLG LVIFLIIMVMVIAIKNAVST UV8b_01499 MRGRTPQDGDAPAPRGLQSTMSSRLRASASSALPRVQSRSRLAS FRATQPSYNLITGGDANSRPSSRQSQAEESVRPGSRESSKENMAPPDADEYEKYRKEI EALKAEIGTLQYRMQTAEQEKEIALSQQAHKMEQALRREQDELLQRQAAEAEQAKAAG QFESVRAELEELKDRSETEAKALERKAREAQDEARLLQEQLEDLSTAKDDAARIADKK LNDMRLQITALNNSMQELEQENQEREDNIQQAHSTLADKEIYIARLEADVLRLKAQSG DAETMEIIRRELTEQVQHIWALEATNRDQLSELKHLRAVSKAVEIVEEEKQSLQRKLM AAETLETELAEACIQRQRLEDERLAWTAYLKYSTFDSPEAVARALVEERLASASHVEQ LGALRAEMAALQNKMQSLEDERAQLKEQVEAAKTSASSLSMDKMHMCIDGQRVLALKE VEYLRAQVKAFEAEDQAMRPEQRDRARNEVIQELEELLDCYKSVMQNIQRNLSSAEAK NGAEPTTPQQPGNKRSRSERDDDAQEQMGQLMRKNRKLQDEVSSLQTKAAMLERDLSA NREQLSAAKEHSSTRVLSLRSNPTSDYEAIKQSTLEALKKENSDLLAQLRSKHANSAV PVIPTSVLGAMEREIAAAKAETASAQKSARRLKEVWGSKSQEFKEAIFSTLGWTVTFI PNGKMRVESTFFPSQTDEHENSIVFDGERGTMKVGGGPKSPFARRIGDQIGFWVREKG CIPGFLAALTLEFYEEHSKTQN UV8b_01500 MVPVELGLMAASAARRLTAASAMRSVRILPRGVLISSCRLHRPR RQMQRCSSTSSASPSRSASSEPQAHAPTSSSPRKKESAGGKFNLYGDDWEDSVDFAIK SFEDLPHRLFGVNQHMIINYELKEALRLMLRQFNAPIVYCFAYGSGVFPQGDISRSVT EAEFRAVHPKPPEALVKAQNGCPKMIDFIFGVSHTQHWHSINIRQYRQHYSAIASLGS GLVSRVQNWGAGVYFNPFVEVNGMLIKYGVTSIDNLVHDLTTWDNLYLAGRLQKPVKI LRDHPQVRLANQHNLIAAVRAALLLLPPQFSETDLYSTIAGLSYMGDPRMALPTENKS KVANIVNNNVVHFRRLYAPLVKTLPNVDFATPCRLDDQDWVLDPKADSLLQQDMDPVK RANMVRRLPQKFRSRLYFQYQKKLSISRAQFNEMMQASADDEGTSIKRRLGGELEQRI VADDPRQLREIVRLVIKRTVNWPSTTQSLKGVIMGGWARTMRYLGEKFSKWNKGRMQQ KQLPPSTSSSESEPGKSPPSEQNPAKREKHD UV8b_01501 MDDTARTEYPAMLASLQPTQAARVLNDRVKRIDKLNVDIADWLQ ERHRIEEQYVQGLRRLAQNHKGPDVHSELGLIQGPWSRVVAGVESMAKSHHILSESLE KDVEQSLRTYAQPRHYPSVHELARNLTTLAKSLEKHNKKADKGTSHIGETPSQQWELQ APHIFEVLQAVDESRIDHLRGVLTRYQTYEAEQVQRAQEITARTLAAVIEINTKDEIN DFASRTTAGLPPPPTRSSTRPSSTGGRQPSIDQGLPLPNPNTTRSTLPTNIPPPTGGS ASQLSQEDDFNEQLPKEQPKESKLRRLGTLFGRRRQSVHAGFGSLSPSKPGGATFGRL GSSHGRSISPHTSSTNLHDAGRLSSLAETPRHPANAQDEFTNGENRQREGVNGVRTKE GAGAEGANGTRSADLFDTPPLSGPPPFQQIEKPGEPARDSEGYTIRAPMDDPISQAQR EAAGEEADQLLRLNIQNQPVEEEDPEAKQAALSNVAKSLQIGPATRRTSAIRGRRDVR NTIYVPPPGLSLSSTDSSLPAISASPPSTGSFLTRPPALNALASEASLAGTSDSQSVR SGHSLRSLVHAKHPAELNGPGLQGSIIETVSAVFVDGTLKRASISGEIAFVNNDADAS SKKTHETIRINNFPKLERIGPNRIFVQNASLDQPDQYTLDLSHLSRTSIAFSYKVFAE EADTALLGKHCPILLKPVWKPQDDKLGLLLQYQLHPASSFPCPVALHNVVLVATYDGK ASGAQTKPSGTHLKDKHLIYWRLGDVTVTSDVSKIVCRVVGADGTCPAPGHVEARWEY AAAAGDQVGSGISISRPADRSKGKDPSDDDPFADAGAPASPGPAWIDVPAARKLVSGN YEGR UV8b_01502 MKLDTRAMRHLASEDWRVLTAVEMGSRNHELVPTALIEKIARLR GGASSVHKSISALAKVDLIARVKEAKYDGYRLTYGGLDYLALHTHATRKDLYSVGTRI GVGKESDIMIVADEKGTQKVLKIHRLGRISFRTVKSNRDYLKNRASGSWMYLSRLAAM KEYAFMKALHEEGFPVPSPLAQSRHTIVMTLVDAFPLRQIATVPDPASLYADLIALIL RFASHGLIHGDFNEFNILIKEERITSDDGVESIKLEPVVIDFPQMVSMEHLNAEMYFD RDVECIKRFFSRRFHFTSTTPGPFFKEAKKKLAKCGLKRLDASVEASGFTKKMLKDLE AAIKEQIEKRDTIGFSENDEAESDDDDDDDDDDDDDDDDGDGDDEIDELDSLGKQDSG GDEEGDSRVYESLLGQDGLVASTPFSGEADDAAPEEVSNAKVETVPPHQSMSKLAI UV8b_01503 MDAGSNIGAAFLPNPTGRHLHGHVAAHPAVDSMLSQFAGLSLGG LGIPSNTGPMPMGAGPAYVLGPDGQYVLAPMNHQPLGMNHGNENPYSGFGIPAGTYGS PYVGLPMPLMPYTPGRPNVAQPRVDRGPSEVPGLENRRGSYSTTESTPATPFYGTVSQ RDVGPRVASLDRSAYTTPSPQQLGLPALQTDITKPAIAVVPDRTIDDFLKQDPAVPRA VPAVFTPPSQMKSLEQSLENRIPGNRNVYIRGLHPTTDDELLYKFASRFGPVETSKAI IDTGTGACKGFGFAKFFDVQDSEMCIRGFHRLGYEVGFARESFNSRLKAEGDEGSTNL YISNLPKTLTEVELGAIFMGCTILSSKILRDSMGNSRGVGFARFESREICDEIIRKYN GLGVGEEGLLMNIRYADTPSQKELKRVTAERRQFRTNEYNIGAYGTPLVGMSPTLYNQ QSQWRRALPVSRSGLSASSNEDGNAMKHSGTRRGLSEIATTANVNVTAPASMSSDSDE SVTVHADATAVVAVTNGKRSPSPTGTQRNEK UV8b_01504 MHVTIGLSWSCNSRTCEAANTSRLSTQKKTASDISYGRILSTRL LTYDLFWLALTTLRLLPLYSKQASQLPQQQLICIFSVLVANLPSAASDWLRPSRSYTS KVDILLH UV8b_01505 MAELDTLDIVVLGALLLGTLAYFTKGTLWGVTKDPYANAFANAN GAKAGRSRNIVEKMDESGKNCVIFYGSQTGTAEDYASRLAKEGKSRFGLGTMVADLEE YDYDNLDTMSGDKVAMFVLATYGEGEPTDNAVEFYEFITGEGVAFSEGNDPPLGNLNY VAFGLGNNTYEHYNSMVRNVDKALRNLGAHRIGEAGEGDDGAGTMEEDFLAWKEPMWA ALADKMGLEEREAVYDPVFSIVDRDNLTPESPEVYLGEPNKMHLEDAVKGPFNSHNPY IAPIAESRELFSVKDRNCIHMEIDIDGSNLSYQTGDHVAIWPTNPGDEVDRFLDIIDL KDKRDKVIGVKALEPTAKVPFPTPTTYDVIARYHLEICAPVSRQFVSTLAAFSPNDEV KAEMTRLGNDKDYFHDKTGPHYYNIARFLAAVGKGEKWSNIPFSVFVEGLTKLQPRYY SISSSSLVQPKKISITAVIESQVIPARQDPFRGVATNYLFALKQKQNGDPNPSPFGHT YALNGPRNKFDGIHVPVHVRHSNFKLPSDPAKPVIMVGPGTGVAPFRGFIQERAKQAQ DGATVGRTILFFGCQRRSEDFLYESEWKEYKEVLGDTLEIVTAFSRETSKKVYVQHRL KERSKEIGELLSQKAYFYVCGDAAHMAREVNTVLAQIIAESRGVSEAKGEEIVKNMRA ANQYQEDVWS UV8b_01506 MGPPQGLPDSSQDPPKLSMRTGSIFNDEPDREPFRDQTIRPSAF TIPSRPSPHSRESSADQTVHAPSIITSPRAQGAPSRQGLVAAVERPIDHSKTAAYGHH RQTSIVHGIQHSRNGSVSSMSTSPLSPQLIAAAGLAADRSDLQSMGARLDMESSALPS RPGTSLAGPTLGPVGSIPMERSASAADIPLQGMTQRRLERMHSRSRREHAAHQSHSRP HRDDQKTVGEYALHVLFTSFIAQAEEKLSECITVPFEPEPQIDRVCGPGVDPAFDQLI VALGHIASPKPKALIDSMMLWRKSKSDAANEARSQLQQLRGIQSGGPLLRRNTEPFQP GLGAGVSDSTNPNSATLSTKQEFVAQQERRSTVSIYILCRVLLEVICQSNLASITPEM EDKLESIIFGQLKIADTEQLLLSPLKLANWNLFAQLLGHMSGINFAGVARRFIEDLDG SLQERSIKSPTSSTSRDAEGKIELVLGGMKHLRIKVSPESAWEKSCEFLVSLGRLFSK SHGQKVKSAFCQAIDMLLLRIAAKASNSHLMHPKWSEVVAATRDRLAQMFIKPRHWAV AFPLTATLLCVSSPETYSSQWLQLVLSVQTKVKDRFTKPLCLQVISRLIWTYLYRTND NCQTAIRKLDDVMRLILPTSKRSIVASETAVTEPLIQIIRIIGFKFPEYCFRNVIFPL INAELFTSNKELKVEQLDPDRIVVGIRAFLCIMSDLEKGEQGRPPFPQFYDASPSGDR WPTSPVLAFAPEEPLSNAVASSREEIVSRPVLTHVLGDGVREYYLQFCKILGKITIVC DNTFGGQAALDEKFNSPGPKTPISESFTFSRRDEHPSAADQKQAFYELLHVAVQALPR CLSADIPFNSLINLLCTGTAHVQHSIAESSANSLKAIARQSHAQQVTMGFARFIFNFD DRYSTMSDGGMLGQSHIENTLRLYVELLQIWIEEIRHKTREASNQQSDTNKSEKRALK LDLSSIWAEVDQAEAHGLFFLCSQSRRVRYFAVTVLRLITDFDKALGKDTSKQKDTLR LINILENDSNQVMNFNDEYLSLAERSRLHRGRQNANSQGAVVELCTSDVSYDTTLWYK IFPNLIRIAFDRCPFAVTICRDLICNRILQMYKPIVFVSEPTRGLYYGSDAGGRVGTR SPATQPESMVEQWKLYLIFACTALADPGSLPLPAPPPDGQHARKTSKPASAGKIVTAR TLFKYLIPLLSVSSASVREAVVVSMGSINIYIYRALLEELQGQVSRCNDEARARIHQR TNSSPRRNRKMDLLRTEITHVFKLTSHFLKDAQVYQSEFFLTNLTLYAKDLKLFLMDG EVQMDWEFQKLRRYYCGLMEALFEGINRTDDPSRWMTFESRKSAFSLMEDWCGFSPNQ TQIRVREDTMRQSLIDQQAMGERGVVTAAMEIEKRNLRTAALSAMAALCAGPISITTE SGVTLQFDMRRMLTWLKAIFNSGSDKMNVIGQRALKNLVFYNQEYPYLLEHCFERCYL SDVPKVLESYFSVAIEVLQQKPGYPCPFWRSLALCLFTLGSEQSEIRSKSSNALRTLE TRQQRNSKIQDFDISISDKTQAVYKLAQFEISKRLAKQYTELAFHVFSEFTYYFKDLQ PVAQRNMVAVVLPWIQTIELKLDANGGPTAQSYVVLANLLELTIKSGGALHNEVQALW QALATGPHPGNVRLILDFIMQLCLERREQNFVEYAKQIVVFLSTTNSTPGIKVVEFLL MQINPKAMVPNEKRESVPPPPDVNSFPYCADLSKALPVGTKQAGFSLGQLSLILLVDL MVSPVYLTPDNVPLLLQVVTVLWDHYTPLVQDQAREMLVHMIHELVISRMDEVAVGIA KETIDELVEAIRQHDRTVVWAYEDSNGKVDDRENKVPPSMEYLTAEVVKTFQATFPGI KEQWGRLSLTWATSCPVRHLACRSFQIFRCVLTSIDQFMLGDMLARLSNTVADEDPEI QSFSMEILTTLKTLLVKLDPDKLLTFPQLFWTTCACLESINEREFLEATEMLNELVSK LDLRLPSVRRVIADGQPDRWDGQFDGIQPLVYKGLRSSLCWQPTLDTIDKLVQLPPDP LIGDDSRLLFAILANFPRFLNELEHASLTEETARTAGLLLAEADKLGLESICVALDDL ISGRQQDSGEFLNQLFLAFREHFLPQHEFGMITFLIGLLTNSLSWVKVQTMRILCVAI PEVDMRNPELAGHGSDLISPLLRLLQTEFCMGALQVLDNIMTMSGSHMDKHHLRMSMT RSTSKAVRKEYERTQSLFGIPEASGWAIPMPARKTEATRANIHAAFYMCQSTEGSSTE ATSTTEVEFQDDDFPYGYFETLDRTETMLSDEGRGDGTAGDLVTKLDSLDDFFDEDSS ATTDDDGRSSRTVTEFSPESFESATLLYDEQILPILHEASSTTSFQNGFVDRPMGLSS LSRDIMAVNTMNPGAFTAGMASSRPTLHCRSITSPSAPASYQQHTVGDVGTDDVYLSG GFSDADDERPRARTGLKSPNLGSRGPTTRLPGSRPRDDNLREPTIPSVTPRVVNKAIQ SNARGAGYVL UV8b_01507 MGTILLPHLITAWHVDQAILSEENRLVVIRFGDPNNNPDLDIMD EVLSKVAPMVQKWAVVYVCDISKVPDFNHMYELNTNEEPFAVMFFFRNKHIMCDFGTG NNNKMNFVERNRQDVINILEAVYRGATKGKGQVNSPKDYSTRHRY UV8b_01508 MATFTRGRKSLGTLWGGFPSRHHSRARCRGTRQFTETSSRLSQD DRRDTSGIKLHYPEAPSAQHSSLPSFLEYASRTGLDEKSTVYVGTRYEYQVSRSFSRY GFALRRIGGSSDHGTDLVGTWNLPAASEHAPLRVLVQCKAGNQRVGPQHVRELEGAFV GAPAGWRGPGVLGILASERVATRGVRDALRRSRWPMMYVSCSGDGAVSQMLWNQRAED IGLEGYSVVVRHDAGSGGELVLLQDGKMLPLLEEQEQ UV8b_01509 MPSTAGSGDKVAESLSNLALDPAKSISEASKAKSKKQLLDSWED DDEQSDSEAENETSAPAQACTTGLSAPPPTPSSSKYGSIPGWSEATEAAVDIPRGVDG LKRPEKTDAVARRMIAAGLGLKAPKQTEEQKAYQRSIREHERKKREQQRTEEQRKRDE VDKAKAAVWDD UV8b_01510 MITRCRLTGRQLLERSIAHKSRAPASSFSRRRIWSPSCYGRPVC PSDLPSVRHGLVCAGFSTSAKLGKKDDKSKKDHFFDSSSETLTEPLSEEETKANSENK RPEAHQAAAGEGKPEASSAKSDTGNKSPDGKSSGAAGSSAAGGGADSSSGSDGGRRGR KSAEKALQKPVIPEVYPQVLAIPIAKRPLFPGFYKAITIKDPNVATAITESIKRGQPY VGAFLFKNENEDEDIIRNVEDVHDIGVFAQITSAFPIHGQEGALTAILYPHRRIKLSS LVPPRTAQKSDDKQEIDSPEVIPQQQHAQPEGEPQEKKGDVVASFEEGVVEKKSDQVA EKYEPTSWLKRWPVSLVNVDNLVDEPYDPKSPVIRAVTNEIVNVFKEVATMNNLFRDQ ISTFSMSQSTGNVTSEPGKLADFAAAVSSGEQNELQEVLSCMNVEERMQKALIVLKKE LMNAQLQSKITKDVESKISKRQREYWLMEQMKGIRRELGLESDGKDKLVEKFKEKASK LAMPEPVRKVFDEEVNKLAHLETAASEFNVTRNYLDWLTQIPWGRRSAENFGIPNAMK VLDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSIARALNRE YYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQTENPLILIDEIDKIGRGYQG DPSSALLELLDPEQNSSFLDHYMDVPVDLSKVLFVCTANMTDTIPRPLLDRMELITLS GYVADEKKAIANRYLAPAAKDAAGLKNADVNLNDEAIEELIKSYCRESGVRNLKKQIE KVYRKSALKIVQELGDKASPAEEEAPTDEGKAGLEAEEEAAAAGQNGGSDGAAAATQA AEAETGEKPREALRVPDSVHVEIGKDNLVDYIGPPVFTSDRLYDVSPPGVSMGLAWTQ MGGAAMYIESILQSPLRPSSRPGLEITGNLKNVMKESTTIAYSFAKAFMVKEFPENSF FDKAKMHLHVPDGAVSKDGPSAGITMATSLLSLALDAPVNPTVAMTGEITLTGKVLRI GGLREKTVAARRAGCKTVIFPKDNMSDWLELPENIKEGIEGHPVSWYDEVFGLVFKDL DREQANRSKVVDKKRVALEKEEHDEDED UV8b_01511 MADRGGSEAEPHPAVAKWHSDALANHLDLSTRDHLPLVADPDQV LEPNPSATARPTLRSSHDGGSRRVVTGLPRSQTFERKLSEQREHLEPVPPTADERKAA SADVRSFDLRNGAGYSDPRSHASGLVGSHLDPYASESAYHSASEHGHYQLSNQSLKPA LLDSITRRWDDPPTDSYSVSDAASVTASQHEAMIADELERKWILNLSMHFRDRSKREK FFVTYREKDHLWRRVTISLDYRNAPPNSLEFELTQTRYQREKSAKIYEAIRESLSDIQ FYDTVTNLKLQTTDGRLHVHVVEDGNEIIHYPTAHQVCHLNCRRVRERDLFFDAHMSG FVYKVRVQGRTLIKKEIPSPDTIEEFLYEVNALSSLRYSRHVVQLYGLVVDDKEQVRG LLISYAEQGALIDIIYDNCKERNVGLSWQKRQRWARQIVEGLADIHESGFVQGDFTLS NIVVDASDDAKIIDINRRGCPVGWEPPEASPLIEAHHRITMYIGVKSDLYQLGMVLWG LAMLEDEPETHGRPLMLGPEINVPDWYRQVTEICLSVDPRMRLQASALLEMFPPDTEE LAEPVVVDNDAHSSDGHSIGSLPASRPYMIRTVEPAGGWQYSSQRYTAPSPDMYEPYY HPRGRSPPSPLPSHFGGCESPHKVYSSTSWAANRSVRPSYSDVAEGDANPDGNVAETV LRPETPLSLGKHDIDSAAYIPADVKLEDISPKRMTASAADKKRSLGDGLQMLSTPRLS RKDTVVYAPVRIGSAEKEAVTHEAGVLTAFSAKDVAIHGTDGSQASDLQELRTSDSDF DGGVSLESEVDQMSKDAADHPALIAHPTRDEGLSRKENLLAAVAQGKGTSVVVDSEQS IEEEETHQSNSLPASATMRRRGYDSAASGNPDTKNGGLPYMRQQSLPLSLAGIGSGVN FEHDAKAHGESIADDDLQSALERPATVQAFTGTTVSQKLRMKMRNMDHEYSNFRSSQG UV8b_01512 MSSSSSDVKAAAFPDGTTDYVPLRSQKTDLNKVHISETPMTWSN WHKHVNWLNTTFIILVPLAGFISAYWVPLQLNTAIFAVIYYFNTGLGITAGYHRLWSH TSYRATLPLKIYLAAVGAGAVEGSIRWWSRGHRAHHRYTDTEKDPYSVRKGLLYSHLG WMVFKQNPKRAGRTDITDLNEDPVVVWQHQHYLKTVIFMALVLPTVFCGLLFNDWVGG FVYAGILRICFIQQATFCVNSLAHWLGDQPFDDRNSPRDHIITALVTLGEGYHNFHHE FPSDYRNAIEWWQYDPTKWAINAWKMLGLAYDLKQFRANEIEKGRVQQLQKKLDQKRA TLDWGTPLEQLPVISWDDFVSESKNGKALVAIAGVIHDVTNFIKDHPGGKALISSAIG KDGTSVFNGGVYNHSNAAHNLLSTMRVGVLRGGCEVEIWKRAQFENKDITYMNDSAGQ RIVRAGSQVTKVSQPVASADAA UV8b_01513 MQQSQSIKLTFCRHRISIHHLSLSTWRIALSLFSSVLQRYGTIV VLESFAVLDGCLIFDSSPQPSGVLPYHTRLEFQTKTRHANIKKREH UV8b_01514 MAAASGPASPLSEKLSFDLNLQHGHKDLVQAIAFNTYGDRCATG SVDGKIRVFNRHKDGTWRLCDTWTAHGGEVLELQWLPATVYPNLVASLGREGWFRLWA EDPAAAPGRRFCSGRSVGGRPAFDTRSTRAPYRSFSMKHNEDSRHTYLALLATDGRLT VYENDQPENLSEYTCVDEFAVCAKPSRGEEVSFKVRFDPNPEPCYNALRAGVPVDSLG LVVVGMDAVKVYRSRDTATASLGVAQAQKEFYLAADIAGHRGLVRDVAWAPGNIRGYD MIATACQDGYARVFRLDTPLPDNDAHPSWSSSRLLRPKHREQQGGGGGGGGGGGGGDA QDPSQLQRQLQLHHASSSSSSAKDDAAAAAAAAAESKKTLPSTLSASLAKSGSLSHRQ WSGQQGQVHHTHREISRLDSHRTPVWRIGFDDDGQILGSTGDDGKLVFYRQTPDGVWA KSSELVMVKTRMVAP UV8b_01515 MAHLTNPLATPSQLSGKFSLSSLPQDLWESVFIATQCLTQAAGQ LLDLPQSVTAQANVLLARYWLVDSPMAHEFSDVSAAAVYLVAKLGPLPRSPRDVSNVY SYLVSSRSVLFRPGHAPPACGPRPHLVSEPDYLAFQTRLLALEARILCCLGFNAHVAL PHALAVTYLQTLDFLSQPRAAVSRRAVQYLNAALLSPQLLYLTHQPNLLATAAVYNAA RDLGAKMPECEWYEVFDGDREELGFLVVGMRSLEGLMRRRKEDAPHLFDGMPTRSFVE EEMSKRGLRVGENGGAKGSQEDAVMRSMDHRSLGADK UV8b_01516 MPGSEPSSPSSAAPGPSMGAGPAGFGDNRGIKFQIRTGNARWTC TLQDRSHYERQKAARTNSTDSVASTSSSSSSSSSTTSH UV8b_01517 MPVVNHVVLASGAVAAVSVAVAAAMAMYENPELRRYADDIRRRI AVALHSLGDGLTPPERAPRFNRPEDADGFLHSSRGTGAEPGVDADEETRRRQRDELLF WNSQRLKNKRDETLPAAGPRAGASGSSFDDFLRRDQTAEQGAYVFNTGAGADARRLSS GLRHRGPAPARHADSPSVHASPFADEHGLGSDPVARPPASEEHSSDLYSATTRDNDDA QSNTLSGSPALVDLSPAAAQPVSPPTLERQLADDEFMSAGQEDRHEAYASIQAWAQDS SRSFYSPLPATPVAPVSEPEAVSDGQLTPTDSVSVIGSGDVDPAGGAQGRAFDVLSES EGMLTPASWSEVGSVVSEY UV8b_01518 MTLHEAETAPTPSPVPLAPPPADEHGHEDKHEDKHEDKHEDKHE DEHERSARRSKPAHHHKPKPAPFPLPKLRVEFRDITHPGARLFFGAVHASDVVTEATA NVLRLLYRCPSDPATTAPPTRSVTFVFRDMPGVAYTTGSELDDDHKEIHFALGHIANS SRSTLGKEIAGVVTHELVHCLQWNARGTCPGGLIEGVADWVRLRCHLVPPHWKREAGA KWDAGYQHTAYFLDYLEARFGEGFVRRLNEKLRHEAYEEDTFWSDLTGSTVSSLFDDY QGTLKADK UV8b_01519 MADKLTDSQVADLLAILRSDSPLDAKVQFVTNVKSGIKQHNVPE SCVAQLFDGLRTASSSQHAVLVNAGFTALNHLLTRLSRQDPKLLAREAPRTLPLLIER LGDQKDKYRSLAIGSLNTLSGVAPADVERFVRNSALAGKHPRAKEGGMHWLLQMHQEQ GLPFRGYVPVLMELLEDADGMVRDTAKTTVIELFKTAPNTAKSDLKRQLKNFKVRPAI EQAIVKALAPTGGRSETPSDGFAQQPSQPSRHNLAASVPNLGCERSVTPLPDAQVEAV EPQYVNTNRELDDIFRDMALFFEGRESEQNWMKREQSIGTLRRLNAGNAATDFQDVFV SGLRSMLDGIIKAINSLRTSLSKEGCGLVQELAVSLGPAMDPMVELLMQSLVKLSAGT KKISSQMANATVDKIISRVTYNQRLMQHIWSASQDKNVQPRTYATGWLKTVLRKEAGH KNHVEHTGGVDLMEKCIRKGLGDANPGVREKTRSAFWAFWGVWPARANAIMADLDSTA QKLLVKDPSNPNSPKKAEEPARPGLGLSRSTMASSKPSLREAMMAQKKATMAAAKDLP ARPGSAMAHISSPVRTTSSSSMHSTASAKSAATMRTRPESTLSVNAGGMSVAPMRPTR RRPEMAARPATAGPYSAREDAGLEAGSPESIKSRHATPNKPKVTTPKKTVPRTRPAGH MHQSHVGEPSIPSPTFRHPTSKGVVVSPRGSPSTSKYSQTSPPSVSLLKLSEEAKAPP HLPAHPCVSSNHELEGAAPSLEQQQPQQQPAETRRATPSAAANSQEEELEPQAGTLSR ELKVYEDPFTDEAPLAATPTLGVSVLEDKPVNEGAGSLANGNDVLSAADQRAESPDKT GQNSKLIDSGITKIKNKNLEVHGFRKLQSLIRDSRTALADDKFEALFLGLFRYLEDGL PGMTAEKVQDVKAQILSTIKLLLKRERDMVQPHISKGMESLLQARGAYDTRAHIVSGL ELLADELVTIGDGSELVAVLTTRLQSCSDGTAEGCRTLSMGLHVLKEMLEKRAEFAPT EGEVTRLTALAARCLESADSGVRMDAVKFCVSLHDRVGDAGFWESLKDIREDPKSLIT YYIVKKRREQGGGC UV8b_01520 MAATAEEYLDPLDVDDIFPCRACGEILEEGKAYELAGSRWHIDC FRCHTCGTQLDSDANLLLLGDGSLICNNCTYSCTACGCKIEDLAILTGEQAFCASCFR CRNCKRKIENLRYARTSQGIFCMGCHETIMARRRKKSKAASAAKSREKEAATVIEKSL PALPPNAIPPNAFSNDRVDPDSDTPTELSPRPQAQTASSSRQPRSPARSDSGSTKMEA LSLPATTYRKNRNSTIYHSADSGPGDGAESFFIPVALDPSPGTVSTPRSASDNNTAEG GRRKERDYFGAPKGSSPEKRDDSITSTPHIAFQEKGRQASSDHDAARLMPGKFSKASE QDQGKTSSSSGDEFKLQDAPSAKKGPSTRSNSSHSSPLQDSSSSSSSSSSSSGSSKTA NGATRKDGHANSSEIGKASEPRTSEDDDAAKGTTTTTTTTTTRQGQQKTIIRKDVPSP VARSGSRPGQFDQQPGLSDTYTQPRAAPPPPPGVQDHTSRKGSASTKEQAEPKVSPKL PRWSAGGDFSMDEDMARILGTDEGSSSILRRVSNAVRHGRTGSIESTYHHHPSRMSHS RSVSETTRGTASPGWPKSPAGDQHDETSNDVGSPVGLSSPDDAVVLKRQLRNSEQRVA ELERQFTAEKDMKKLDRKLVEKRKTVSVLDTQTEIMIRQLEVLAGYVERAKDTRTPID PRELEDSAIKEFVKKLEAVKQAMSEAIERLHEERDQLVEEKDQAMADRDRALMEFEQM SSKNAQLADMNNDLTHQIQERFKSQIGDVKSPNGLGIYGSGKIGGGGGGGGGSSINFE TSSIATGATLVGEEEPIVESGPTVVHVRKGQAKKFNWKKGSKTMAQNVAKGVNRAVVA FQQNDRERMQHPGLAGDSIGLPYNMTVAQVEATGPAGFAAQNKQQLDPAARQGFGFFG KKNAVSKSSSAGAIAAAAIAAEPPSTLFGSELVERAEFERRQIPAVVTRCIEEVELRG MDQEGIYRKTGGNSQVNMIKEGFEKNENFDISDPDMDITAVTSVLKQYFRKLPTPLLT FDVYERILESNAIVDEAERCNHLRRTFASMPERHGDCLEFLMFHLHRVAQREPENLMS PKNLAVVFAPTIMRDLSIEREMTDMHSKNTAVQFVIENTNRIFDDV UV8b_01521 MAPKRPLDSGELAPEAKKLKRGFRVGPDNLPDGAWRRKVTKIKK DLIHKAKVKKQYGKIKAREQQKSPAGLRGEDADDDGADSGRGMDGQPNQEEEDEQVQI HPARELMLKDEEMAQAGATAGEATTSDGSRRRTRRPGYYDKQLQKAEERRKEKEEREL EFQRRGEERERKLAERQRFKKAMARTVGRDGKKKLGRESAILLDKVKRLMADK UV8b_01522 MPIFQDDFDSHHHLPTQPALQESLSFRPTSPIPSHLSHQSLTAE LCEGLVSDSPRRPSTPGIDDDAAAISSRAELIERLKKGESPTWIPNRHLESIFQRRGS PAGRHASSPDFQRLPSAVQMTPGKADNVATTLAVERLQEGLSIGRPRSALHSGDFTNS HAGQERRPNRCRERVGSQLAPAAPWIATSPPLDFASLGFETSMPFHGDPGSFPSVAAS PLSSSLSSSFAYHPPTSPLVQSESNEELDFPKASSSLDLSSRELDGNLRGLGSFSSTP FASPSTRPSVTSLGLHPGRREPYQAHQPRRSLASIPSFGAQGANQQSPALFRARRPSI GSDPSPLHNASMVGSYEESILRGRMSTTPSKPFDFLAQIGVLGKGKCKPSLRCPPHVT LPFAAVYYSYGGTSHGRSLCDDGPSPYVGQIDLENGLSNSEEESRSKRKARGRYFDQK PASVAESADVMMPDSSDPEDRPRPSRPKRRMGSPRTPPGGSYRIPEKGQVQIIIKNQN KTAVKLFLVPYDLSGMEAGTKTFIRQRSYSTGPVIENVPNPSETDAQSRPMLRYLVHL HICCPSKARFYLYKGVRVVFANRVPEGKEKLRIETTWPEPRFTPYKPIRVMRPPVAMT SGPGALLAAEKARRRRSLGVSFGAAAPCRALDTADGLELAGSGSALSIEPVPFRLPCR EPHADSDASDSAASYPAAGLRSLASSQASRPSTKDSAGRDAGLWDASGGLPYEKLNRG DFGYGGHAFSAFAGTGTPGGTEGLLSRRLRSLGVNRPQPPESAEELA UV8b_01523 MLERTAATLEYRTIQRVLSKPGRSSERLRQLHTGFWQHGASAIE LSSTWPASIRALQREEEAVDPAAPSPQTGLLASAFLLDFLYPGGARALLRRFYPRMPR PQDGARPDGVSQTRNLFSHSVATEADAPVLFRCGDGASRRGDQVRQYATQSTQSPSHE QLGSAVERNPSVPEPQQHSSATDDGHSPSSRGAGIGILKDLLSRPQERSYHDVWDLYC RLDGEQKQAMRAAVTVYLSTSSNIVETGRALSLLRQTPVDQWDDDLQAAGVLLHLRAG NKPAAIEQLTTGLTLRLSRAGLEILLQDALQNKDWPALLKIWLGYHSILGPKTDSIPE PQINERYWTANIPDLANLYFAFERYLEAETVEPVRAINLYADTRLGLEALRRWLAEQA LRQPCSPRQARAILRIWNNQGLFQQYLDRMLRRWKEGSETRAGLAILSDIYSDYGKLD DTKTPVSLLQKLFEFYYPANAAGLTQVYRDWHQAWGDLDQWGYEKFLKFYSATGDVQA VKDLWARYTQKFPNVLTMPMGFRSTLNLYAQIGDVAGAEQEFRTMTDKYGIRPDIDSW NSLLKCYTKTDDQARAVECFEQIQKVSHPDSFTYAQVMAMAAKRGDMPTVLGYFDQAQ RQGVLLSKEMALSLVMVCCHNDRLVDAERICAEFSERNTTSTLVWNQLIYFYGLKGNL DKCQELLMTMKKYGLEWDNQTHEFLLQAMVHVDQIQPAYRLLQKARENGMFPVGPEHF AVVMSGAVRAGQLSLAEAVMTQMRWLGLAVPFKAHVSYVEAAVRRSPSAERTEALAKD LVDHLLAMLPSQRPRQAASGADPPAWTAPGGLVELKKQTKHVGRAVFLLVELRAFQTV EQLVTAYMEAFPEYKERNTLPPEIASALMLGYLKDGRFDRVREMWEQAVDAALATCAS PEGTIYPAHQYELARPLNVVAKAFRETNDGRGLLAAVEQVTSAGFRLTNTNWNLAIRY LTEMGLWEPAMEWCEEKLMPRWGGWAPATESPEERRDLKNTRVLKASKATVLGLQRQW LKLRKLAAWSADVSAKLLDIEQRHPALHQAFTTTDYELLPAALVSPKRRSMTKAIRDM LKPLSHDELRATKKALQRQLRVERRGKTGAPSSRTAARRESRQTLRAKGSPNEEALPE KKPATEAQDTAGQTSQPAYRVKDSRNEEAGPEKKPATEAQDTAGRKSQQLAQLAENSR NEKTILERKLAAKARRAANREKRRPLQDEDLETVKMILKRKLAGAGDMSKRAGDGGER IRNGDNTGGGGQAPGHPGGT UV8b_01524 MALLPEANDVLRDTLPTGYPADQDVSRGRKRSRSLSRAVRSKSL RPDESSTLRGRSPRRATSPYPLPSRNTSPAALRSPTSQILLYNQLRRARREHCPSRIA SPTEQTLGRRHRTRSPSRGVPRRHEAVRSPDTLSRLRNEVLFERDEGLQSEPPCTFGV SMQQQV UV8b_01525 MSRTSPVDTTSPTGSVDYSATDIVDAWRTQRLEFIKIDQSNQDI REALPQTEQDPVVLSLASSRALRPIGEKDLDDQLAAMSNALLGVAICLRPPEGEGEGE GEGEDKPHASHASPKPHKGRKPTIIGTMCIGWGGVDPRTAHHRSAHMGIVLARAYQNK GYGREAINWMLDWAFMHAGLHTVCMVAASYNQRGIHLYQDIGFRLEGRRKETIYFNRG WHDELDFGMTENDWERLRGRVE UV8b_01526 MPRDRPPTTGYERLAQADLSDDSDADLVQSSASLQPAAAPRYTP ISQPRHRSGAISPQTHAQRPKYRKRAGSSGGVDIKAINARLERWADEIAAKFKRGRGK SVAGGEEEDEERLEIHHSVFQPPEGVRPVTAEGLAETRGGLMSRSEFEAIVESVRVAI RQEVHPSMISQGSSGSYFARNPDGKIVGVFKPKDEEPYAAGNPKWNKWIHRNLFPCCF GRACLIPNLSYVSEAAAYVLDSQLRTHLVPYTDVVWLSSKSFHYPFWDRRSFYRKKKT LPPKPGSFQVFLKGFKDANVFLREHPWPDQYWSGFRTNDTHRNRKKRWTESCRPSTSG PPDDADSSDDETLAAAEDSTDPPKFSWTEPMKQSFREELEKLVILDYIMRNTDRGLDN WMIKVDWKAAKVSLASDPVHLNMETGQDEDEDGHEDGDELSRPRPVDLEQMPQPATRA PYPYQSQRPMNASSNGAASKEPAISIGAIDNSLSWPWKHPDAWRSFPFGWLFLPVDLI GRPFSQKTRDHFLPLLTSTAWWAQTQIALKRVFQVDQDFQERMFAKQIAVMKGQAWNV VEALKTADHGPLELTRRAKVCVWDDLVDVPVAVPMRATSSELRRNASARQSMDEEADI AGSGGAAAAAAPPPREDAATDLLGLQSAPAGMPRSGRFDAVPSLHEDDAAPAAAERNP FNGHGLGHGHGASRSLNVYEPARHYGPRQQRRYSFASPAAARRTSSTIAQQLYADSHD LYGGDDLEGDLGYAAAEGQMGNQRKVIVERLEAVKSRNPVFTWC UV8b_01527 MDAEDGTVPLDESFTETVEYHGRLFQKYALTNGVCFSPVDEDEV ARLELMHSVLCRVFDNRLIFPPVTSPRRVLDCGFGAGDWAIDVAKHFPSCEVIGIDIS PHMIPEDQPPNLDLQIDDLNARFTFPSAHFDMVHSQMVSGGIGINRWRGYMQDIFRVL RPGGWCQMVEVYLNAQSDNGTLTREHALSKWSSHYLSALHPFKNPRAALQLTQLMEGA GFAEVESRLLTLPMCPWSSEARERDIGLANVENIPLLLRSLGLYPLTELKGMPVDEFD NLIGQAMAEAGDASYKAYFPLYVCIGRKPRR UV8b_01528 MALKASPSLLRPLRWSPLVGRAPRSPSSPLDRLAHRVYSASTHD APPPPLLQKLKADLKHAMRAKDAPRLSVLRAIMSASLNASKTSSPVRTDVQLVALMRR IQKSVQDAAADAENAGRDDLVRKEADQLRVLDEYLAGSGVRTVDEAELRSLVHEAVEA SRGAGTATKSLVGDVMKRLAVALEGKDVDRKAVADMVRHAVGA UV8b_01529 MNRANISSGSAFEAEIGYSRAVIVDDWIMVSGTTGYDYASGRIS DDVAQQAEQTLVNISEALEKAGSSMADVVRVHYMLPDRNDFPKTWPVLRKWFGDVKPA ATMTQAALMKEEMKIEVEVTARKGSGHGAARG UV8b_01530 MAASVLSGAAFGAAMAAAGFHEPSVVVSQLRFENWHMMQAFLTA TATSAAICALAKRLGLLDAAPRSSSPLGLFSPYDGNIIGGALLGAGMALAGSCPGTLY AQLAGGARSGLLALAGAAAGGMLWSGVGAKAVARLRDRRGVRPLPGTVSDRLGVTDAA ALLLLEGACAVAVAATALRTPAGPGARGGLLIGGAQLVSVLARGSLVGVSASFEEAGG LACRLLGGAAAPAASYAGVAFASGVAAGAWALLQLAPGLAAAAADAAQRAQVPPAQVS PALALAGGLLMAVGSRLAGGCTSGHGISGISLLSTSSVVTIATTFAVGAVVAPMAY UV8b_01531 MPRLLHVQKTDGKPGQVYYPIKIKEVPMPKVGPSQVLVRMEAAA LNHRDLFIRRRLYPAISFDTPLLADGYGTVAKVGSAVKRKALLHAPVLLSPTLAWASD PTGPETGAVVIPGASAATAAGCAQDYALVHEDDVVPAPPHLGPAEAAALPLAGLTAWR ALVTKSANAQAGRNILITGVGGGVALQALQFAVALGCNVYVTSGDQAKIDRARQMGAR DGVVYKLDGWERRLKDLLPPHRPFVDAVIDGAGGDIVSRSCKLLKPGGVISQYGMTAG PRMEWPMQAVLMNIELRGSTLGSMKEFRDMVAFVNYRKIRPVVSKTVKGLGNLDEIDE LFRVMDAGAQFGKLVIEINDHSKSKL UV8b_01532 MPSTHEKEKPWDTDDIDKWKIETFTPKDNTGGTFSEESSFVTLF PKYREVYLKEAWPLVTKSLEKHGIACTLDLVEGAMTVKTTRKTFDPAAILNARDLIKL LARSVPAPQAVKILDDGVACDVIKIRNLVGSKDRFVKRRQRILGPNGSTLKALELLTE TYILVHGNTVCAMGGYKGLKEIRRIVEDCMNNVHPIYHIKELMIKKELSKDPELANES WDRFLPNFKRKTLSRRRVPHKVTDKAKKVYTPFPPAPEKSKVDKQIESGEYFLGKQAK ERAVKEERKEKQQLRKEEKAKEREAEFIPPEEDRPKKKRKKSSE UV8b_01533 MSKAKGAAGAVDQIVKLIVGAGQASPSPPVGPALGSKGVKSMDF CKEFNARTAHITPGTPMPCRVTVRPDRSFHFDVRTPQTSWLLLNAVDAPRGKKGNRKG AGKPGHEKVGTISLKHVYEIAKIKHTELRMSGLSLEGLCRSVIYQAKSVGIEVVA UV8b_01534 MKRAIEDGMHQVYYRGHKVQYQKPTVSLAHATLRKTVCGITPRH VPRHHDNPAWAAPQYGVDVDDEDEKNSIHSFMVACTAASSLP UV8b_01535 MALPTPAEGHDERHDTADSSHFHVDMARGSSKPEEEAPAVRFAS TTEEISPLFSGAEKQHGGDHTVSEVAAEQLKAFTKCLHGRPLQERRMNTFQFEAFSLP PSRVPSREDQSGESTRLPTPNSSGFDSPHGSPRLSALASPPLTPAGSGPLAEKKISRG TEDKAPSSHDPHIITPQASSTHDKSPALGERQALPHRPASSDHVARRSSSVEDHKSHR RGMFGIGSGSVPGSRDCSPSRSSASNYYSKPATPGGDANDPYAKGKRPPPQQHPTRHS IDPRFVFSRKKKHGSPGNSRTSVSEKRGSVLFGKYDEHVASDGSSGHGPHGHGSMADL KRFFRMPGHHQHHGHHHKKRDSSPASGTRTPPTSRSTTQQLPFSDDHGLSSKYGKLGR FLGAGAGGSVRLMRRKDDNTVFAVKEFRARHSYETERDYNKKVTAEFCVGSTLHHGNI IETLDILHEKGRWYEVMEYAPFDLFAIVMTGRMTREEVRCCFLQILNGVTYLHSVGLA HRDMKLDNVVVSDKGIMKIIDFGSAHVFKYPFENDSVPAKGIVGSDPYLAPEVYDSRE YDAAAVDIWSLAIIFCCMTLRRFPWKIPKMTDNSFKLFASDPTPGHDPTKLISQSRST SQSSSTVGRELGPEDEVKEKEKGHHRHHSHKANHHHGHDGKDGPDAVPGAESQSQNHD GTGERKEVIRGPWRILRLLPRESRHIIHRMLDLNPRTRAKMDEILDQDWVADTVICQQ LENGEVVPADDHTHVLEPPASQQQQQSTRSTKP UV8b_01536 MDAANHAGLTIDPVPGTQSHYSSCPQTLCKLPQPAPSLITMATS IRSIRSLAPLLDRVLVQRIKAETKTAGGIFLPESSVEKLNEGKVLAVGPGALDKKGNR LPMGVAVGDRVLIPSFGGSPVKAGEEEYQLFRESEILAKINE UV8b_01537 MKLGFLGLALALSASAHTLFTTLFINGENQGDGTCVRMPRDGAT ANGPVHPITGNDMACGRDGAKAVAFTCPTPHRATLTFEFRMHPDGREPGPIAASHKGP CAVYLKRVDDMYAADDVAAGPGWFKIWQDGYSNETGKWCVDRLIENHGFLSVRLPAVP TGYYLVRPEILALHNAARGDAEFYTGCAQIYVEQGPDGALEVPPGKDARIPGHVSPDD GGVRFDVHARPLPAYVVPGPGVFAPKGQGQGQGAPTRRAKQTAGKRPGDCVLKNANWC ARPVPGFSDARGCWTSAQNCYDQGERCWRTAPPSGNVNCKTWQDYCGSLEATCTSAAA SGPPQFRGGEETAALPEKAAKPWNDVFSRSPRIRRPSSEA UV8b_01538 MPSHRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFQNVEQHATEGVNKILIGNKCDWEDKRVISTEQGQALADELGIPFLEVSAKSNINI DKAFYSLAADIKKRLIDNSKNDQAAGTGVNVGDKTEGGASKCC UV8b_01539 MLLPPRCLPRAAALARPRLLSTSTSTSPAAAQSHGPTWPLLRKR RRPARSRPHPHQQQLPSRPRPAPAQPPPLHDDLAASPDPRIVLILQALSPSLNPSDFY RLSPSDLSSWKSVIRKVQQQRNPVTLEPLGRYHISFSSAAAAASYRDRLLRLHSLARR KLRSVNGLWEGAVPAHLRSLAGSDPETELEGYAVTSGSQETVHIERKRMPPTGKWLKH LQEIVDQVGYGEKPPAVLVQVYPPTVTALEFGKHVQDHGVSRGCAWRVSPPQALKQRR QQPGPAAAGADGEPDDRGSTEHDASQSGPSESTSTMNDAPDKVKGRFVVVCANENEAR RFHRHWNQRTLTAVSEHAKTTPCIVHASIINW UV8b_01540 MVKPSIDARVRPLANPALEKASLLGAARIYVSKDSLIALTNGLE SGKPCLVDKLDDQLGLPRREASLWVLPDKNLSPNVVMMTRAFQEATGFKIGDQVRISL LESMPDADEVVVQDVGEDPGLGQGNARHPAGWEFSLSLSMERAELVFPGMVFEGVNIN KLRRSFKVLSVNSQTGNVARFKLSSTTVRIVSAGEQQTAAAAAERASPTGGELVVTRV PGLASQVGTINRFLRAFTRPFWIHDERESCGFVIHGGSGTGKTLILQRVADTGWGRPF WVKPSDKLAAVRETFRQARAAQPSLVLLDGLEDLIAKDRPNREAVIEALGEELDALSA DARAAGALPRVVVLATCQDYMTDVPPKLQKRSRLRENTALPIPRASERLEILRFFDPP IRPADRDECLLSVSQRTHAYNGDDLANLVLNAKKILGSRLDEQGADAKDPAPGAHLLT RQDMDQALRITRPTAMHDINLKPPTIHWQDVGGQEALKKVLSRMIKNTKNTNPSSCRV LRNPPKGLLLYGPPGCSKTLSAQAMATESGFNFFAVKGAELLNMYVGESERAVRTLFE RARAASPSIIFFDEIDSIGGQRGPGPGGAGAGAGAGAGGGGGGGRSSGAVNMLTTLLT EMDGFEALTGVLVLAATNRPEAMDPALMRPGRFDQVLYVGPPDRAAREAVFHVHLRGL SVAADVDVGEAARLADGYSGAEIQAICNEAGLAVLDRYDDDDTGTAKLEIGMADLLAA MDRTPRNITQSMIDGYDAWSKQFRRK UV8b_01541 MAHQVSHQATDKKRVKVYELRDNDWFDRGTGFCTATYAITDDGC KDPRVIVESEDHPERLLLETKIHKDDGFQKQQETLILWQEPGSGVDMALSFQEAEGCA LIWRFVSNVQQTFPNNLGGDDNLSDDLAVEVPAAINLPAAELGNLPEIESSMRIISAS ANGRDALAKFIMADDYISKLIPLVEMAEDLESLPDLHRLCNIMKTIILLNDTTIIEHA VSDDCVLGVVGALEYDPDFPSHKANHRHWLDNQGRYKEVVRIEDDQTRQKIHQTYRLQ YLKDVVLARILDDPTFSVLNSLIFFNQVDILQHLQSNTGFMGELFSIFTSRRRDQKKK KDAVLFIQQCCAVAKSLQPPARQSLYNNLIAHGLMQVIHFGLKHGDVGVRIGATDILV SIIDHDPQMIRQTTYRQMHDNQPSLIDSLIDLLLVEGDLGVKSQISEALKVLLDQGIL PQGPELVRLNGELPGRPRPQPASDPQQELFLTRFYERSAPKLFKPLLDLEHQADLSFA VQKASMFTTLVELLSFFIRQHHRFSRYLVMNNNIIPRVTQLLKSPEKYLRLVAIRFLR SLIGMQEEFYIKQITEKHALSSTLNVFIETLPRDNLLSSACLELFEFIKRENIKDLMK YLVVNRRESLTGLSYMATFRDILLHYDQTQGYTANMDYFLEGEDDMPRKPPPNTRLME HITVDASEEEYWNTSDPEEEEEEQHHDAMSNKMLPSNGPSTPSKPLVDYSSDEESDPN ASLEGDAVVEGTPSSTPSGLPTVSPPAERLSEKRRREEDEEDELGKLMQNKRRNSSSL EPSVTNRSPRMTPRRKSLGGASGNGKIAINLSTSVRTGGSRSGDET UV8b_01542 MGPCDLTVKDTAIRRCLTLLAIKTIARLYRYDGPCVPISPCVIV KKGKSIDVTEAATMMFIARQTSIPVPRVYCAFVRKRSTYLVMERIRGKTLAAAWPGLS DLEREHVLTQLRNIVQELRALPPPDCAVQSCVGGSLRDSRIPRSKPRFGPFPSIPAFH LWLRDGLQLPQCPDHTDDDKWAPIKRMIVMQDREPSPPVFTHGDLNPFNILVRDGKIV AIIDWEFAGWYPYYWEYTSAWLGNKTRQAWQNLIPKFIDPCPDELGMEAVRQRWWGDF UV8b_01543 MVSNKKRLYVALYPSGVRGNDERKYHWAFLVGPKDEDKAETPGL RCHVKNHPVNGWVYEEAELQNVKSTSNLLARFIIAKIEDKQGLLRILRKTAVTQGDPN FRCRTWMADALSRISRAEPKVVGTSELDWSRIEREARRYVEKKVAEGRYREAERMMEP KPTWDMLQQKEVIP UV8b_01544 MASTSTPLARGLGGSGVGRCSMWTGRQNVACDDDGSTSGRIPNS NALRFQKTLPSKFRGQAAPPSADCPDRKLGEAKRATEDRLARSLVENAGGPKSPMTSL AALVPRKGEPDGGTRRTTAITHVTRRSHSPGIRISTQPAETGGVGDPAALNSPTSRPR LLLVQLPYPLCVLAQFGRKPLTKGKDSGGWGSPTPGSRGRWHGFG UV8b_01545 MSIFSSLRKSRQQAKEHNAKAAEQKKKEEATVPYKHVPTHAATD AFASAPPSWREADRPRILEQNRRRSSMMASGHHMNMPGVPRVGSSLSRVSYPGQGVSP MVRMSRAHSYVGVSPYPAGARDSQEIMYSAPDVAYLPQLSLKGKEVPRAYDPQRISPA SSKGEPSPVESFSGSNSSQDELEMKPCKPTPRTPEGGTAHRLHPSHARRKSDASVSRH ASPSPVKTQHTSLSRDSRPPPSTRGFGHIPASASVPPVMAGILPGSLSSQHLSPQHLS PQHLSPQHLSPQHLSPQHLSPQHLSPQHLSPQHLSPQHLSPQHATATGQSGGSTPSPT NTLAPSSRQGSASSLVGLSHTTTTKPAAAANPLSSTSNRHDHSWSWAVPSEPASDFAS SAAVGNGAGSSDESGAGMAYAGRPQPGFGQRQPAKPNRQQDTGLVKQNVWGAQDAVTP PPRVDHSRMPPLAAPEHLVNVFPEEAGYDVMPPPGGDNKVHKSSGGRLVKKKKAQRWS NAKTPAVAA UV8b_01546 MQRAGAESPSFINDSPTALTASGQSTSHPREGFHPALGAFLPGS SSRGQAPARNVELYSPLGYLTHGFMQVGSSQQPIPPALDMISSSTEASRMAPPPTHLA ALADNVSLFDHHLDHLDPQKDSAASDRYWLHTQGPLPATQSYSMLPSPGVASPAVRTR PRLVGRFASDHAPRAVNCHDAPFLSAVIAISQARMPQPTLMTPHR UV8b_01547 MISSNLAAPLQQLWSWIQATPVHLLLACVILLILSGLALLFPLL HLVAPKPRPVIPCEKTYVTSSPTGRPTAPRQLPCWYDRWLAERRLEEKRRDGPHGAPR TADTCTIEPAEVRLSVVFPAYNEEKRIRPTLEEAVDYLDKHIGRDKSARASLPLGPGA RRHHHCASSASAATTTSKEPGGYEILIVNDGSSDKTVEVALDFAGEHGLDDILRVVTL VKNRGKGGGVTHGLRHVRGAYVLFADADGASRFSDVAKLMEGCEEVVDGSLRGVAIGS RAHLVGSEAVVKRSALRNFLMRSFHLVLTILTPPATSRIRDTQCGFKLFTRESLPDIV PYMHTEGWIFDIEMLMLAESAPATPVIGSDGSVIGTSPGIKVAEVPIEWHEVGGSKLN VLQDSVKMALGLAVLRASWMMGVYRRRLT UV8b_01548 MAAAATAGPTPAAVPAAVPAAADASRRRRDYHEADVVVVGAGVF GCAIAYALANQGRSVLLLERWMKEPDRIVGELLQPGGIAALKKLGLGHCVERIDAVPC KGYMVLFNDVPTMIPYPTVDDGGRVVHAWGGSGRRGKRPEGRSFHHGKFITQLRRSCL AHDNITVVETEVVKTIRGELTDQVLGVLAKTADAQTGGKKPDYFFGHLTIIADGYDSK FRKQLLDAKPVVRSKFYALELVDAVLPQKNYGHVIIGAGSSPTLLYQIGTHETRALFD VPHGLPEAAPAAGGVRGYIKNVAIPALPASVRPAALRALEDGKIPRSMPNSWLPPTRQ TANGVVLLGDAFNMRHPLTGGGMTVAFNDAVLLSQLLDPSSVPDLEDVKAVDQAVKVF YWRRKSMTSIVNVLAQALYTLFAANDRQLRALQQGCFEYFQRGITDGPCGLLAGIIQR PSILAYHFFSVAFLSLWLNALAVMGSGPLGVLKAPLALIDAVLILWKASVVFLPLVWR EGFR UV8b_01549 MSEATSEWDSPEVIAQYARNEAHVTFAKHFSSQLQHLPQEVRDM ATPSWVFQQLGLMDLPIFLATGNIISPLPVLVGDEFDAETRFTSPVQEAIANRSIANR SITNRINAIRTIASQSMATWRPVTLTIATHNISNPTQTHTSKAKEYVCWGRAILACGR UV8b_01550 MASKKPVPSKAALNALRGVLLTTSCSVILLAEERRRRLQIARSA IDNARKLHSLQSYRGPVAQADSHASWQGRLADVDHTVLSVASFPRPRTSTRRRGRAHL IGSGNNGAHCDEKGATAPPEKGERNSGPDTASSLPYRSHSPSMVDLDSLKLASFEPVR RRPAAARMLPPRDFSTSNMLPAFAPDHYALSKPTETPYTEPAVRSGEGDQPGEDAEDA SSEIDAIKTARLYLEKLAGGPSTRQPDFSIKFARLYLEMSKGDFSTPRPLYFKAVPAL KWLLKDMEASESDIDKSNLREKIDLAGTVLERVASFGPPPSLHIARILRSQATRLFRA VSRSCSEKLATTLAQALPLCEDPLGLLFHFMAIVQTGNHQKALRETLLLLSQYPNQHR YSWSRGMLLFRVLYRHAKSQQVDFPQTRQLYLMLREAGLFEQIAVPRSTEYDIRRLMT VLAFECGDCGFARSELQKLDEIDSGGLASDVRLQKWLIVGKADEGRWSEVLSHIEMLR QSLAPDYWDFQLFLGEVADLFSAHGHKSDQLECFLRTAVTEYQLKLENRWIWAVLDNH AAHRQIQSVLSWLQFCAGAGVLMDASFQWTFFYWGRKYWNFSDEMIRKMKRRLRKDSV ATRRKIQRRLRKYPFAVRREMKSELRKDSVAARRKERLRTDSVAARRKKRLQTDSVAA RRGCDAQRNMAFAGRRAQFILRRAVVKHLKGPDPDTHGAARLIHVAHREGRDVSSALT PLLITQLKRGGNPWRLINDALRMGVRVHDRAYNKAAQALSTRGDHQGAAKMCEVAARE NGKGQLLYNQYNFANLVFAYTGIAEYKALQSLLSRLTSEVRWWHGSRTCKESIKLAMK NVARRIILHSANSEYHRQALIHLDNALLHVKSCRPTWKCRQAVSEAYIGLAGRRLDAS LKRLRAMQKRQGKSKGRRGRRQSDEVG UV8b_01551 MNAALPCRKAPVSPLSRYRLLSPTASVRVSPLCLGSMNFGDAWA EWMGKCTRQDTERILDYFYEQVRNFIDTANSYQFEQSEIWIGEWMRKRGNRDEMVVAT KYTTNFRDGPDQPRIMANFTGNGTKSLRVSLDASLRKLQTDYVDILYVHWWDYSASIP EIMQSLNQLVASGKVLFLGISDAPAWVVSKANEYARNHGLRQFCIYQGRWSAACRDLE RDVIPMCKAEGMAIAPWGSLGGGRFKSDEARGSNDGRQLEATEDDIKISKTLQMIANR KDTVITSIALAYVMHKSPYVFPVIGGRKVEHLRANIEALTIELTEEDVAEIDGALPFD LGFPHNFLWGRQVPKSPQDIWLLSTAGNFDYVENPRPINVRVNGEKNPSTKSFSWRWL PYRAEKPRSEGKWLPQPGYPWS UV8b_01552 MAPVRALPPPGDAVSAVAFAPADSGKLLVSSWDKKVYCYNVAND HPSTSAGHLAREYEHRAPVLDVCFGASSSEAFTAGMDWTVCRLNLETGVQTVLSKHAA PVRRVVYSKHHNILVSASWDCTLNLHDLSSPTSAPIRVALPAKPHAVSASPTKVVVAM TGRIIHIYDLDAMARLFASGATDLKPWQQRESSLRYLTRAVACMPNDAGYATSSIEGR VAVEWFEDTAESQARKYAFKCHRQPAPDGDGDVVYPVNALAFHPVHGTFASGGGDGTV ALWDAEAKRRLKQYQKFSNSVAALAFSDDGKYLAVGVCPGFETGQEDYSGAGATSVLI RELGENEAKGKGAK UV8b_01553 MSLTNCRFYEEKFPEIDSFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDMVKCEERY NKSKTVHLIMRHVAEKTQTPIETLYETISWPLNKKFGHAIDAFKLSITNPEIWNDIVF PSKAVEDELKLYITKRLTPQRTKVRADIEVTCFGYEGIDAVKTALRTAEAGNDAEEAN ADKQVKVKLVSPPLYVLTSTSFDKNQGITQLQQAIEGIRKSIEGAGGNLSVKMEPKAV TESDDAELQALMEKRERENAEVSGDESLSESDDNIPETI UV8b_01554 MWARIREHIRAPRNHIGRAIAANLVGFATWIPVMAWFNLHVAEL TLVDGASMYPFMNEDKDSTLRRDLVLNYKWSPLDGLQRGMVVTLRSPVHPEVTAIKRV VGLEGDVVRTRRPYPVQTVRIPQGHVWVEGDGRPGSSLDSNTYGPVSQRLLTGRVTHI VYPWRKFGPVRWWEHGRRLVE UV8b_01555 MKGGDVEAEGRPPYLHAMIAGGFGGSTGDLLMHSLDTVKTRQQG DPNTPPKYTSLGRSYYTIWRQEGLRRGLYGGWVPALGGSFPGTVLFFGTYEWGKRFLI DHGLQQHLAYLSAAFLGDLAASVVYVPSEVLKTRLQLQGRYNNPHFRSGYNYRGTVDA ARTIVRSEGAAALFHGYKATLYRDLPFSALQFMFWEQFHAWARAYKQSRQIGMPLELL TGAAAGGLAGVLTCPLDVVKTRLQTQVTTPPDDQAVGKLKEAAAAALQDQKRRISTSS PSTHRPQPGAMALRTSSVLTGLKVIYQTEGIAGWFRGVGPRGMWTFIQSGCMLFLYQR LLHQLKVVFPADRDEPRVHLAA UV8b_01556 MGSTPRTRRKTPAPNAPASNTGSNTGSNNTKAIKVKQEEEEEEE GKRTKTSPVAALDAGYESDAPIVIDSDTSLELQREKESYPGASTWAEDEEHLFEILFQ RGERPILPGHWDVDFRGIPVVDTVFQTDNDGLPPVVYARSGKDFQATTALLRLIDLTS SVRTTVQSGLRDKAPLVIKRSLDRYLAWAAEDGGFSGLRIVPNIFTAVLDPQLSEQDI TAAMQRRMRALATMQREFLKVDRDETFWAVNHVALTRDNASAALLDKYLLDMGADKDQ QDQKPMMLDRQEEEAGPAADQDGPAYRRVPPVVYGLFVLRSSVFLLTVDSAKGDTAYV SFHVDVHFMDRHQSVWNALTVAIPVCLARDQLVERLDEFEEWPVEHEGESDG UV8b_01557 MAAEPAIPTTQIRVASPNGPVTRTILQTPLRDALASEIPVIDVS AIFGSSLADRQNVARQVNHAATNIGFFYIKNHGIDPSAIDATYQAALSFYRQDLDKKL EATMTQSSHYNGYRAPDTQRLNPEEGIDVRESFSVSYDPRDDPQVSSLQDIPPGAMQH LGIEHHHFSKTQHVPGFRQAVKAYFAACLSLARALTRTFALSLALPETALDGKVAYPN ATLNMNYYPPIPSSARLPLAPTDFDATRVSIGSHTDFQFFTILWQDMVGGLQVLNRDG QWIKAKPIPGTLIVNIADLLQRITNDRYVSTIHRAQNWSGKERISLAFFWGFGLHETC GVLDSCVAEGQEKKYPEVRCLDWLRKRVNDMTEVERESKGP UV8b_01558 MAEAVKAAARQLPSFRLGQKKVFLPNHVITLLRKEHLPPNEACF QVPLRFTKLDLRDYLWNLYNVEVTKVRAYVKQQPLTQRDSHSRSWYRPQSQKIMTVEL AKPFQWPDLPTDLEPWSHELWTMREGLNEKRREQQANKSEFKIPLKSAEPLSRERREL AALAKKMVEGEVKWSNDVVLDPKWDALLAKEGAAARPKQAKALTTKE UV8b_01559 MSTPSQRNAGTPSSIIFQSSLHNRSVVLLDIPRSLEEAQVPPGQ QPPRRVYCRPPPRDPFPTPDPRRHGRRRRGSRAPDWPAQAPAAQINDLMTAASVRSAL LFLRDNHPGPYHLPRVCAPDNQDNQDDPGNSTTIPVPNATALHGSIAQLGREFLETAP AFKLVVLDPPWPNRSARRRAGNYATVMNLAEMKALLTSIPIAARLASDGLVAVWITNK TDIPELLTRPGGVFASWGVELVCEWTWLKITSLGEPVFDVDSTWRKPWEKMLVAKRIG APAPALLRPKVIVAAPDVHSRKPSLRGLFEEILGESYPALEVFARNLTAGWWSWGDQV LQFQGERYWQQKEPKATSRAGP UV8b_01560 MPTSSTFADHASMTELARDKVRQHVGATASAPLAKHRHDPPVCP TDEDTAPRNNTRSWDYVWRSGVAGGVAGCAGKTIVAPLDRVKILFQASNPQFAKYTGS SFGVVAATKDIYRAEGAMGLFRGHSATLLRIFPYAGIKFLAYEQIRAKIIPQREYETP LRRLLSGSLAGVTSVFFTYPLEVARVRLAFETKRDARSSLTSIWRQIYNERPAAKPAA KGVSEAAGSLVDPRGGLVNFYRGFFPTLLGMLPYAGMSFLTHDTVSDLLRHPSIAKHT TLPKRRTQASDKPASLRSWAELTSGGIAGLIAQTCSYPLEVIRRRMQVSGAVGDGHKM RLGETAVLVFRERGFRGFFVGLTIGYVKIIPMAAVSFYTYERMKLILGI UV8b_01561 MGRQWRHQPIACQSCRRRKIRCSRKFPCSNCTSRGISCLQFYAP ESHAEHSPVTGPGPGPLALPELPQQQQQQQQQQQQPRRQDHNHDAKAHQQRRASEQDS PPLPGADIQARLARLEQCVSLLGVSEQPRGEARVACVPSPNDGEPSAAPALPSAVQHL SEDALQLGSRCIHLNPSTRSSQASLFSDVGVVYHLSPIRAIRKPCCILQDAGWAGGGG SPQICFVLPFYHEACLILQTFVADCATSCFRAPVPSLLLSIQGIYASIQQQIRVNTED LLLLMAMTAAVTYVCSADDSVCTLYSNHSEANAHCSTWVEAGFALSDELKRGGQTGIV CLQGHRVLLMVSCYLEGMSLRNRSLLTTSIAMARAMGLHRVDLPGQDHTLRPAMDLET ARRIWWDLVVIDWLLSVFPGSHEQVYTIQPNHMAVNKPSVVGVVHEIDAKQAASPTTP FQLQQYQELATDVAYFRARIHLAELAREYTDSSPISAARTKNDTYQHLLDYEAKLDAY QESLPQVFQPCARSNYAPRPKTQQLVLQRTQLNCVIYITKCILHLQYMALHNADPRYA PSRKTCSDCARDIIRMHRNYRTHCPWIIPKLKTTTFLRALILANAVFLLDLCSGPATA SLEKDRPDGLEGWKLLGLMWGDSNLVDQFLEFATHMLAKYGVCPSTMAELTEALPAEV RWRHLANGSAYESPAAVPPPGEAGLLLPVAAPTETDQKWQMLGADFDPRTISWDNVLW GFDAFM UV8b_01562 MSFAVEVPGEANPLTLEDLCRALQSATSNDTTQRQAAGQQLSAW EQAPGYYSSLQGVYLDKSAPNNVRFLAIITLKNGIDRYWRMYSQSKSGLKPEEKDLIR SRLLQGTVDEQERTLAMHNALVIAKVVRIDYPTDWPGALPSIIGLLRSTKDGNQQQLF GTLQILLRVVKELGTARLRKSQTALQSVTPEIVYVLSEIYAEKSASWLSFLTSNQGSE SHVSIAMLNSLFTLKTLRRLVISGYEKPHSDESVQKFWTLSQDQFGQLLGFVGQDAAV PSTYKDVVGKHLLQFTKLHINMADRHAASFSLLPNSLPLVHAYWDLVSKFADVFDTSG GIRHGSGDGDASKAKTEGPLQERLALKGLIILRTCVRIAFYPQQTFKYRSPETKLEQE RARATIKTELLKDDLVISMVNCIITHLFLFRRSDLEAWEEDPEEWEQQEQSEGSAYEW EVRPCAEKLFLDLLTNFKQLIIPPLLSYFQTAQSPQSDIATKEAVYTAMGLAAAHVAD VFDFDALLSSTIANDARQQGGLYKVLRRRIAILISQWAPVKLADSSRPLVYQIFQHFL NPNDETNDLVVRITAARQLRWIADELDFSVEAFLPYTSDVLSQLIHLIESSDIDEAKL AILESVRILVTRMEEQVSQFGDLLMSALPRIWQNSAAEEYMIKQAVIAIFAALVMSMG HTSQRYQSFMIPLLSEAARPGSDLHVHLIDESLELWNAILMQSKTPLAPEVVELAHMA LPLLEYQQETAAQALAAIESYIVIAPSSMLEDGLRRQVLSALAGTLDSNSREQVRLGT VCVEHLIRAATELGGSKGISVVIQDMLETGFLSKIMANLHEAWEAHQTTGPNRKISRL NAVTEGDYLAILSRLALAEPSIFAQMLDAFGGPDQVWPWLSSEWFSHGRSMDHTERQK LYLLGLTRLLELPPPVQELALAKLQDYFDLWTVVILEVQEGVLGGRDALVWDEVQPTE YDTPKTLAEQQSAARDPVHAVPTFEFVSARLRDLVARVGGEAAFEEQWAVNVDKDVLA NFRTVVASASRR UV8b_01563 MIRSAPAPRAASSPSLARWTCRACLARRPYSRKPDAREPPDHRR LGLQQELFITSVYSPGSPIFLPHGATVFNRLVDFLRKQYVRYGFQEVITPTIYKKALW AKSGHLQHYADDMYSVTGARHAASKDAENRRGGPCCGGQGFPTEADDAEADDEYGLKP MNCPGHCLIFAAKPRSYRELPVRYADFGPLHRNEISGALSGLTRLRRFHQDDGHIFCR PCQVEGEIGRTLDFVKGVYNVLRLGVSYRLALSTRPAHHFIGTEEEWDRAEDRLKRAL DASGMDWSINQGDGAFYGPKIDVVVKDSDGKEHQTATIQLDFQLPKRFELEYQAPAPE YEARGETTTDPALLAEYGPVRPVMIHRAVLGSVERLMALLMESYGGKWPFWLNPRQAV ILTVNTSQPVLDWAEEVKEILLGLRKEAEAGSPSVTGPPNPTGLSVELDCTARSLGSK IRDARSSGYSQVLVVGEEDVKNRQVSLGKERMSPTEMRQRLRSMADAFE UV8b_01564 MSSPNDASISSRENGGAGADEKPRLTEEEKKQNHIASEQKRRQA IREGFDRLTELVPGLEGQGRSEGLVLKRTVEYMRQQIQERRTLIDRIELAGGHVDDDF KKVLNDPNHSRPKG UV8b_01565 MTDSTIASNPPPPDYTQAAAGNVSNALRPLGPSRRPVQPLDLPI LRHLNSKRVVLASASPRRRALLQQVGFRNLEITPSTKPEDLDKAVYGPYEYVAETSRR KCLDVYQTCLDTHLKSIPDPDLVISADTVIVTRDGRILEKPRSEADHIRMLKHMRDTG MHRVLTAVTVLAPREDARHPGYCILTHTEETKVYFFSEANGLPDDVIEAYVKTCEGVD KAGGYAIQGIGGMMLAERIEGSVDNVVGLPVKRCLSMAEKVVFRQDMDEFDEENSETE ELL UV8b_01566 MDSKRKANGHAAVEVDDRSAKRRKLAEFDLSKAESRESTTAYGN AFLEQIRRTQDKSGRLVATYFEKLLPRAGNEEYYKRTRMPIALETVEEKLSKGYFKNL AELESYFKRMIANAKEFYPRSSAVFDDAERVRKALSNYMTKNNPAYQTRNYQAVATPL PPENGEEEQGQEEEQEQKQDEELDATDDDAKGEDEEKEGGNVKEEEDRGEDEEEEGEE EEEEEEEQEEQEAEPTSRRRPIILKRNGPGRLSRNSTGFADSPRRAKPNGRPDYEYHN VPFKGLSFQQAQEKLVEELLRYKEPEYDGFFEPFVNLPPRSLKDYYRVVADPLSLKKL QKEVLGIQGRGEPTGISVFKSWSALEDRAKLLWTNAYFYNEEGSEIYDLAQELEKAFN ELLNKARAAVQEPTQAKIKLKVGQNGAAASSKRVSIHVGGRASTVDSPAPQTTQSVES PSSGSLVNGTAKQTAALNAPPSASLSVSSPSPSAHPGLKAEENSRLSPAVSGQTTTKT VMGPATPMAQPPATPGPPLMQSTNPMANGYLEPKRLRAPGKGIQDALISRLRIQLHPS TQAEQPVLLDILPLPREMSQSATVNLPASINRVFILAQLPDFLQDRQYSLWLLVDKQP LKPCLQPLPNQLLQERAFDVMLHPGINVIEAHLIAAVPRHERENAGGSEVELEIFTAF ANVLRH UV8b_01567 MLPYAAAAAIRRASTAGLRITTRTVPSSGRQISLRLFKRDAISH KVPAQLSRTVATPKSQVFLRRLGHVAFATLSFYTCWQLFAWVVIDPLLDWAELEWDNL SEKEKREMEASAETDEPILFLPFPFTTKEVGQPPYKGSDPESSVFSALNKDRKARNEI TAELAEFIRRGVEKNPTYLWLLGGKEVRIRKVWLDIIFPQVPPPKHHISGIIIDDDGI YWGDRPIDSLAAGRLNMVLYPKAVALSVWTFVKSLCRETYQGLAEAVGSHTEPPQESS WQTITANRIVKAQWPKAAKAPNSAEKPSDLPVSASFGDVVGPYIGADSQLDPRLKGAL RDAFSTFTKNWKPLKEPPGRGCVRVDGLVELQGTVRVMGVYVVGWYDPKRKAFVSIQT GLKHVLPLQKRLEQD UV8b_01568 MKLSAGLLAVLVGCAAASQPHAEVFILPAIDTSSPPAITPSLAR LLLLQRLASSGQEPSLQDVPNGVDSENAVSLLNRFGKSIPPLFSGAKTSRPSQLVLML ENMNKEQMGELRKALGMSPALTIADPPADTSHKDLVELDLYRVGALDGNKCSVQQVAN PLENCWGGKHSAVARYRISEDPEVLQQLAKQLKQLVQLSKYGDLQTTIVALPGSKGSG KWTDEQQELRRRQAEQVISAINQTAKHPQTASSVKAEPVSYPSSGRVQSCYDSEAACN EATRNCTGHGSCMDKFASGGGGKAKDKVACFSCHCQATRSEKGSLTHWGGASCAKQDV SVPFWLFAGFTVAMIGIVSMSIRLLFNVGEEKLPGVIGAGVSRSK UV8b_01569 MLDMADHDQADEHSQADSAKVPTAKWGAACAACAMAKAKCIRSS PDAGAKCDRCQRLRKECTGQVHRPRKKRQAKPSRTAQIEERLNGLVNLLQASGELSHT NGRSSLTTLSDVAAREHTAMAQDTPSASSAASGHASTSSWVIPETYNIHGIPGCLCRP EPGIAPPPPDPDDVLLECFRTQLQPAFPFVIVPPSVSAAELQTSMPFLMAAIRMVTSV KSCRSMSAQMFRLVSHVADRVLLQSARSLEILQGIVVMIAWHHYHCLMHSQVNNLLAL ASSMVADLGLNCVPVVRDQARIVVGMPAEPSGRTNDERRALLAVWFLTCNLSLTCSRI EPLKYTPYIQNCIRVLENEREYESDMALVYMVRIQRLTERIVEITAKDRGEEEIPGIP SAPMSAYIPALQSEIDRLRSRLPPKLRNDSLYLNYLHTASLFLYEPPAVDIDLVNSLA QSITAGSIGQGTQLDKLYLASTAIRSWFENWSLIPASSYFRIPVCNMSQLIYALTMLG RWAQLATPRTVYEEGTPMPVSESGSMYASDAHMATNAVSERKAAVRFGSGEQRTYQGS DADLVQAVASLQLQLQSQPGLGINIPEILSTICERCEQANHLLQQTFPGDEKADNSMW TFCALKIRITRMKLERWAEGVAAAAESFGRVDQGNQAQQWRGSYPQTPSATTTIPSGV PVNSGFAQDQTQIQNFLENTIWTSDMLDTMDTIDPTVWFDGYLDWGSLVMNPMGTSLG AAGPQV UV8b_01570 MSNSLTSSSLESFPAHVQGALDLVQHQGISYAGPHGMASPAASQ DPPPWWTAFPEPRALVHALEPSDVAQLVQSLPSGFPSSSKGFLLVDVRRTDWQGGTVA TSINLPAHTLYQTRPAIYQLCKQAGVRTIIFYCGSSNGRGPRCAGWMQDYLDEVGDAS MTAAVLKGGIKGWQKEYNGEMMDGYEKSFWGEQKA UV8b_01571 MAPAQPELKKYLEKRLFVQLNGSRKVIGVLRGYDVFLNIVLDEA VEEKEGGEKVKIGMVVIRGNSVVMMEALDRIGGDDRQHHHR UV8b_01572 MSSFGAKRKAKVIKVDEEEGSSGGSSAGVEAHGAKEEPTKPPLL GSKAGRKPFRQSGLRKTFSVAGGDDPGAAGSRPDGEEDSDGPVVVRPSVNRHGSAKQK PKGAKPRLSFGGDPENGNGNSEESTASRTLGLGKRALENSAARRGIALRTLPARSAEG DDRDDRPRYSKEYLEELQSSTPSTPRDVSTLHASDGDEMELDPSELEGALVVELPRAP GPGQQTQILSEAEIRERKERRARLAEEHDFLSVGNDDADGFRGKKDDKGRLIAEDEDL GEGFDDYVEDGGLSLGKRAERERRKRERKKMAELITAAEGHDSDDSSDSDAERRIAYE ASQSKAGLDGLKKPQIDASEERLQVPSKITPLPDLGACLTRLRATLQGMESELKAKSA GLEQLRKERQDVDKRKAEVQALLDETGKKYQEAMMRGKMDPNAASQQGPGIDILGDRG LDSLGTTPSTLEPVGTDVL UV8b_01573 METIAEHHHVIILGAGLSGICTAHVLREQLPDHDFTILEGRSVL GGTWSFFKFPGFRSDSYMTTFGFRWHPWPHAHKIASAWEIVDYITDAAKRDGTYDRIR FNHLVTDAEWRDQDGFWRLTVENQGRVMIFAADFVIACTGYYSYDQAMPATIPGIGNF KGTVAHPQWWPEDLDCSGKRVVLVGSGATAFTIVPALADKVGHLTMVQRSPNYAAAVP RTSWVDSLLRMILPISLAHKICWWKDIVLEMLITQLALRFPSIARFSLRRDAKSALPN DCPVDVHFKPRYEPLRQRLCLCPDGDFFQAMHRDNIELVTDTIDTVTSDAVVLQSGRR LHADVIITATGLYFELMSGISAKVNGVRIEPGNHYTWRGSMLDSLPNMGYVLGYVLQS WTPGAEAIARLLVRVIKRKEEKKAAKVMPVLPKRKDLPRKLAVDMNSNYFVKAAGRIP KVTGHDPWYGRTHWVRDMWSVLFGDIDKGLVFSGFDEHAKIL UV8b_01574 MASADELKALGNKAIADKNFDEAVEKFTQAIALQPENHILYSNR SAAYASKKQWDNALEDAEKTTQLKPDWAKGWGRKGAALHGKGDLLGANDAYEEGLKHD ASNAQLKSGLTSVQKAIQQESGGFGGDPTGGLGQMFNDPNLVQKLASNPKTSAFLADP TFMAKLQQMQRNPGNPQDLFSDPRMIQVLGVLMGVDMEMRDSDPRDTPMTDAHAPESK RPEPAPKKAPEPEPEPEPMDEEALEKKRKKEEADKEKALGTENYKKRKFDEAIEHYSK AWDLFQDITYLNNLGAAYFEKGDYDKCIEACSKAIEEGRTIYADFKLIAKSYARIGSA YEKKGDLALAVENYNKSLTEHRTPDVLNKLRHAERAKTEAAKKAYVDPAKAEEAREEG NKKFKENDFPGAVAAYSEMIKRAPEDARGYSNRAAAFIKLFEFPSAVDDCNTAIKKDP KFIRAYIRKAQAYFGMRKYSDCVDACAEAHQVDLEYHQGANAREIEQQQQKAFGAMYS ARDNETEEQTRERLMKDPDIMNIMQDPVMQTILQQAQSDPMALQDHMKNPGVRSKIQK LMAAGVIRVGGR UV8b_01575 MDGIGEAAKSDSHWTSIPTNLLLAELQRRNTDGERPQCGGIQQG RYDTVAHVFALLLILALSTLACGLPLLSRRATTGRFRRNIIFYCQHVGTGVLLATAFV HLLPMAFESLTDPCLPYFFSSGYKPLPGFVSMVSAIVVVGVESYLTARGAGHSHSHTH HFFDHEDSDGDGEFQTVPLDDHPGMSEQRARNHGPEDRALDDGEATQGLVAGVSPLPE STPVMATVTAAATAAATKRRKSDDSDFNDGGDSDLDLEMHELHPTSADGGTHRNSGAY SSLKKPSVTAGATGPVMSSQSPEEVRRQLVQCLLLEAGILFHSVFIGMAISVATGPAF VVFLVAISFHQSFEGLALGSRIAAVQFPRNSIRPWLMVLAYGLTTPLGQALGLIVHNM YDPASMGGLLVVGFMNAVSSGLLLYAGLVQLLAEDFLTEKSYRVLKGTRRLQAYLCVV GGALLMAVVGAFA UV8b_01576 MWSAGFTAALTYLFLGANLFRRRSPYFHGRGAPARGWANRPMEL VQTPWATSQKDDLFTTAATHMALLHNAIIRGFNSIYLQAPHVQTDDVDDFVAYSLAWH KFVVSHHDDEEDRLFPDMIGVLGDHAILGGMAAEHESFLPGLKAFETHLTSRNATTGL AAASLVAIMDSFRDDVQNHLHHEVDVMAAMAGHRNAPAAHTPEGKLAADRLKRWGKST VTRAGYRDVVPFFLLNTDRTFEQGVWARWPRMPEPVRWAMVNVVGMWHGPAWRFSSCD SSGLPRGLYALERLADRDGAEAKSEL UV8b_01577 MAAQAPAKSNSTSPATQAGDANDVPELSFGMLTKDADKQEAMKL VADSIAQQRQIASFSVIAHPACLAGLLAGCASIYRLNAHGDFGSGLLMMCGLILAYLA GVRLLTASYIRLAESFKWKEWIASPQGQDDYILAARFGGQIIATLVLRIAAPPGRAKT AAAAKASCPPSVRGGRGVIRAWTTRQRYRNRRIGGDMLRLAVLTTRSRCGDGSHVSFD VDHANSARPLHDMFNRPFAKSEERAAQALKHALEACDRGESSFRTGAFVQDDEFGHDQ RLACFVRPVQGGLVWPWLIDGNPPEKQQPPRDTLLGGPALMRGSCPSRNSKQATMSPL PP UV8b_01578 MARTSFAEPAVAVAKSPGGNSAYHNFHNDFLHVADLNERRRLAL AEVDKAPFGWYHVRACVVAGVGFFTDSYDIFTASLLTLMLGIVYYPGVGKMPTSSDTA IKLATSAGTVVGQLGFGLLADVVGRKKMYGLELVVIIFATIGQALTSGSPSCNVIGLV IFWRVIMGIGIGGDYPLSSIITSEFAATKWRGAMMAAVFAMQGLGQLCASLVMLFVTL GFKHSLSGASEVKHCTGDCHVAVDRMWRTLIGFGAVPACAALYFRLTVPETPRYTFDV ACDVEKAHSDVKTYISCHPEPGADTFPRVAPAQSGNGVLEVPKASWRDFGRHYSKPKN LGLLIGTAGSWFCLDAAFYGLSLNNAVILEAIGFTTDAKSLSSVYQLMYNTTVGNLII VLSGAVPGYWVSVATIDTLGRKTIQLGGFIILAILFVVMGFAYSHLGKNGLLAIYVLA QFFFNFGPNTTTFVVPGEVFPTRYRSTSHGISAASGKIGSIIGQGAISALRTTGATAT DAEPWMDHVMKIYALFMLLGCFTTLLIPETKRRTLEELCSEDDCAVIHASRGSKATEE YELVKRG UV8b_01579 MSSVSSVVSAATTTVSSAAATSTIRAAEQGGVIDGANPSKYDPQ HPIILFIIQAGLIITVCHLLHWPLAKIRQPRVIAEVLGGIILGPSVMGRIPGFQDAIF PAASIPNLNNVANLGLILYLFLIGLETDVRFLVSNWRVATSVAVAGLALPFGIGCALA YGVYHAFRGDAGLQPIKFSVYMLFIGIAVAITAFPVLCRILTELKLLDTSVGVVTLSA GVANDVVGWILLALCVALVNAGSGLNSVWILLSALGFLLLLLLAIQPALYWVLRRSGS IENGPSQSIISLILLIALASSFFTGIIGIHPIFGSFMAGLIVPRNDRFNIRVTEKLED LIGALFLPLYFTLSGLSTNLGLLDSGAAWGYVFATTFAAFFSKIISASLAARFMGLVW RESFTIGVLMSCKGLVELIVLNIGLQANILSTRTFTIFVVMALITTFATTPIVSVLYP PWYQQKIEAWKRGEIDWDTGAPISTASRSSDSKAPPAPVERVNRLLVYLRLDNMPSLL QLLALFGDQSPPQPETATAKEADEAVADASSPAETAEKTVWAHGIRLLQLTDRDSSVM TVAQVDDYSRHDPVVNTFRTVGQLHNLAASGEVAIMPESRFAEALATKSAKMSSDLVL VPWTETGAMGDAQVLASTSIDDKIGSSYGSFVRSVFELKDSNVAVFFSRGDAAAAAAA VESKGKNGERSKLLRTYSFGALRDDLPAAPAANKPYHILLVYFGGEDDRLALRLVLQL CEKSRATAKIFRAAASAAADDDAVDNASSRLPQSVASRVKFETATGHTTMEELLQYAT SETESDVPESKAGPKLLVVGRRDGAHVDEGKLVHKSRDDLRTCLGSLAGSFVAGGVRA DLLVVQAKTAGESS UV8b_01580 MVVDTAYYDVLGVQPTATELEIKKAYRKLAIIHHPDKNPNDPTA HEKFQNIGEAYQVLSDTDLRKAYDKFGKDHAKPQEGFADPAEFFSSIFGGEAFVDWIG EISLMKDLTATMDITMAEGEDEAQTTQDDAEFPGTEAAKKQSAKEHADKTSTTTPAAA TPGGAHGPSSSPSSSSQPSSSQPQPPPPPPPTVVVDEDKTATAPAPETSAASGPTPIP PPRSDATSPAPSSSSRSRTQQIPLRPALADKAHDDVAHQAAEDELRQKEKKKGGLSKE QRELLAALEQERALIRKQRVETLSQKLLDRVSVWTETDKGPDVTKAFQEKMRLEVENL KMESFGLDILHAIGQTYVSKASGLLRSQKFLGIGGFFSRLKDKGTLVKDTWSTISSAL DAQQEVEGMAKMEAKGGQDWTEEKRVEYERRVTGKILTAAWRGSKFEIQSVLREVCDT VIYDKKVPLQKRLERAQALVLIGDIFVKAKRSPEEEGDYLVFEQLVAEAALKKDKDED RKKKDKKEKHHRHAEEVANDAPNVPKT UV8b_01581 MRLLDRPSASQNTTPSSKTSQRASNSSSSSSSSSRRGAAAAAPA SPPPPPPPSIRPKPSRASLPPVPKAKPAKPAPPPPRAPLAPPRLPAFRAPAAAAAPAA AQAPKSARQSRWTATQALWTRTRQASTQQPRPPGPVPAVHSASASARASARLLSTAAN ATVPSGGASSSSSPPPPPPPPSLRSRRRHHHAASAAVKTPPSLASVLIMPVGDRPRPP QPSGAAAAAAAAAAAAASRPLNRNPLTPKIAAQGPATPLATCRRPTSSSTPVLAGGQL ARDELASPVNNLLATNVTPRSGPRQNRVDSAHSTPSATPNPERAGDQWEANSNGNGNG NSSGGGRLGLGLGLGLLPPAHDQSRHSSSSQGDLVSDPNDCSNKFFYASDAKSLQQQA APPRPAPVPQKPASNSFFYASATPPAAGSRNVSPPANSSISMLQLSQSPDPGVASKFF FANGAPDTSLRPGNGPPLTCASAASSAPKTAPPRSLTGNSGAAPVALAQRPPSPIKSA SAANVQACRTATATSPMSSKRTSWASPAPASQPQQPSIAQRRGSVDPASREAGGRRKR TASTVAAEPLAIARFSMPPPQSETAPQSPPLSPGLPHPAMTMASILQVAEDLREDAEL RDGGAFPPEAHSPTKSLFDVSLSELVANARRERKVQDLEITNASLEAINRTLERQLRK QTAELRRYRRLSRSGALSVASSRVTSLAFTEPPTDADDTDEPEPETEPETETETEIEE QDDPDSLDDSDLESNGTMTTEDILLPNVRLEARRRRDERRLQLDLTKHQELLIDSQKM NQSLKRCLDFTQALIKEGQKALEYSVRVSDIKLGGRILAPLDEDDEEAGASSSFHGDV ATRADGPDGLEPPWPKSSQDRDSGIELPADGNS UV8b_01582 MKLASSPTKLESRTTPAPPPARLLHRRALRLGRAMGLLGISLLV ASVVYVIVRPPGWLWLARPFSRRPARHPAPVADVPGRRGSSPPAESDPDAAAADDDDC APAPAPAPPATKGAVKPPNGSAASAGPVPSSRRDAAAPPDSPTTPKASAATPAQQVPI LSLSQQVPAPHGASVSASASASPCAPSTLMPPPPLPPPLTRRLPSLAQFPAANSAQRA RGPVPGRAPLALEAPGGLAPPPTISSRPQKPSRKVTLEPGHSPLDWARVSGPDADLRG VVPGTSYLRVTPSMLRRHTGRLGRDAWMALNGKVYNITPYANFHPGGVPELMRGAARD GTKLFGEVHPWVNYETMLASCLVGLLVDEPQATLQESDMDRMD UV8b_01583 MWLIESSDAFNGRRMWLRPGKTYLFGRTASEPGQLAISHTTISR KHLTITVAPVQDGHAHHLTSRSTITVEDLATKIGTVVNGKKLRGSKYVASGEELEVTM GKCPSKFWIKWFPVVCTFSFTSKELQTDPLTSLRQQFEQLDIKLLTDYSIEHTTHVVS RKRNTAKGLQALINAKFVVTETFLDAIAQAAQPGNGGEPCDLEQDFGQCWPDALNHLP PRGGEPVQHPDSIYAPDPGRSDVFEGYTFIFYDSTQYGNLVAPITNGGGKAMLCSVMP GKTQVDELVRYAKEVAGEKGLGSFDDGSEGKGVVLVRYLPAKGDNIGWYAEFVTSVSL RLDHRPIEQNEFLEAVLTKDASKLRRALEVEPITGSQDDEAGAALPAETSPATAQPEP AAVDRQQASRNRTRRTAKRRFVGFDDDVDDGDADVSMVEPGPSSTQQDPAPGQLHDDE GGLFVSQEPDAPLSQPAPESANGSPRKRRAPAEDSLMEGMAPAAAKFKRQRLERGGEF APPSPEPSSQPIEGPARVKRAKMDVLAMAARHREEEEARARAEREDLANLPDDIDLAE IRRLNIVEDFEVGVPDRGARTRERDIADGRWNPKWNGVKNFKKFRKRGQLVGRQPVRT IIALQEVKTKEFGIGDNYWLEDGEAERKKSVVANSRAGRGGAQTAAGSAGTESPPKTA ATHVVISESEREGEDVASDGTHAERLTGPAATSSTKITATPSQVRSAASSARNARTTQ EKRPAPAASPASTNQRAKRLKPSLRPAEVADSDESEDDLKFKFGKRR UV8b_01584 MAVQTSTNSLPAAIEKESDGLGSIRAPQLHHHRDSSLMTTTGFA AAEGGSPLPGLWKPYEHRKLANPAPLGLCAFALTTFVLSAINTHARGVKEPNVVVPLA FGYGGLVQLLAGMWEMACGNTFGATALSSYGGFWISYGILLTPSWGITSSTGPYQGHV ASPLGFFLTGWFIFTTLLLLCTLRSTLMFFLLFFTLDIAFLLLACEQYANDLGNTAAA LGLQKAGGVFGFLAAFLAWYNALAGMQDSSNSFFQVPVFHFPWSDKAQEERKKSDRAL A UV8b_01585 MIGRQRGGRGVADDSDSGGCAGILFHRRRAAENVGTKDWTPVGS CRAEQTSGALEIVPLELVVVFSARRRRPRSPSPPCCAVPSLARAGAGAGAGDPGPWTR HGKTVGSSVVRTE UV8b_01586 MFSSSSLRLSLIFLRRCCCLRIKRTLALAATLIVGLILLGASNL ADESGSSKTRTLNPRQFQLGGMLAGNALQALKPSESRAQLTEGSPQSLVSSHPELDSL EALPTETSDPNSSNATQASDEPTEALDLLAALTAAFRTAIRNKHSLERSIIATDSKPT VQSTSSVMTSRYSGSMVSISRPSTLTNSPSFAEVKGQISRRLAYNASVSWISGRGVAG SDTPEENLALSLLDYMSNLVADVAGINSKVAAGLTDSLLAALPVDRNSIASLAASTAG QASISTESAIPFILPAVAKALGTQAGESFSLTARTNVTQTFIDIVRKGSMIINQIVAT SILDRVPLMQDTLTRVADVVYATSAALNQTVCAMSLNDTDSQLEVVVPCASVELQSSV KVLTLNPTGPTSPGQSVPTAAWNIIPMANPQGYSILSDYGQSAQSTWGAVSLSSGDAT EHTAQSLVTQQPASCTGASSSAPALTPWAASSQPCSISSLPPYSTLGPAVETIPDLGH ECNKCLNGCFDPPSETSAQAVVPHDGWSCFYCARVSSSSSTMAAKSWPCACTGSASVM GSTATTTTSAFDLPDSKAQPSCKMGSGIPNWQYVGCFQDAIARTLLGAKPLDYMHGAM SREICIKHCEERGYLFAGTEYGHECWCGLSIRDDGVRLPEGSCNVPCQGLETEPCGGS WAVSVFKRLENEASDTEVRPSYGYANAEAASSSTSMVASSPSPGPLEMLLSRAIIQEE QNASGELGLDRRI UV8b_01587 MEFNSASPFPEGVISFLDTDLYKLTMQCAVFKFFRDVPVSYLYT NRTPEKTLSRAAFRWLEEQINKLGNISLSPEEYRFLETHCSYFTEDYLEYLREFRLRP REQISIRFTPTGVDSGSDSDVGSIDIKIQGSWSDTILYEIPILALTSEAYFRFMDTDW NYDGQEDLAFDKGMRLLEAGCIVSEFGTRRRRDYHTQALVFRGLVKASKAAEAKGYPG KLSGTSNVHLAMRFGIPPVGTVAHEWFMGIAAIFDDYKKATELALGHWVGCFGSNLAI ALTDTFGTPEFLKSFSLPVRTVEGGIPADAFKRADGTAKTYAELFTGVRQDSGDPAEY AKLMRNYYDQNGIKDKKVIVFSDSLNIERCLEYKKISEGLGFQPTFGVGTFLTNDFTH LKTGKKSVPLNIVIKLSSAAGRPAIKISDNAGKNTGDKDLVERVKKELGYVERDWKDG DERCRWGKEERAQA UV8b_01588 MDVHRCRFVPYQPSAINAVAFSHPKAKSTKHNSVARLAVGRANG DIEIWNPSSGTWLQELIIRGGKDRSIDGLVWVNEPDQDLGDGRIVIGKSRLFSIGYTS TVTEWDLEKGKPRRHASGQHGDIWCIAAQPQGEKPQNSGAMTGQEALHSNKLVAGTID GELVMYSLEDDDLRFQRSLVKSPTKKAQMVSITFQSRKVVIVGCSDSTIRAYDITKGH MLRRMTLGSDLIGGSKDIIVWSVKCLPNGNIVSGDSTGQLCIWDGKTYTQAQRIQSHK SDVLSLAISADGAAIMSGGMDRRTILYQQNAGAGQRWGKVWGRRYHDHDVKTMATFEH GRFSVVVSGGPDANLMIVPLKEMGRENHRMMSNLPQQPPVISATKARFIVSWWEREVH IWILRKSAVELQLSPNEGVDINQNRKLLKTIVVKGDSNVSSATINQDGTLLFVCTATD VKAFKLVHQDPVKASDVRLSTVELPAKLSHLGATQLRLSPDSRWLCVVKEGSRVLLAR LEDMSADSQAPSVKLQRLSRLRRLVPRYILNGGLGSYDRNITQISFSADSSMMAVADL AGYIDTWVLRDAHQRLQKNQEDDVAEKTANVASDSDASSEDDDAVASDGHDEWIRNPN AKLIPKLPASPMVLSFSNHVPGPEGSANDDGSADYILAAITSSWNILAFHPRQGSLTP WSRRHPRKSLPGSIRDLLDLPKGVMWQESRMWVYGVSFLLMLDMGQDLPLSSQLEGSD SQLAQGMKRKRVGLSTGAGGKMGRESLMPSQIRKHGANDKWEDIDVAEKPEVDESDSD DDMPDVDTRPSRLSPAANGEEDVILPTTEIATNEPKKWWMTYKYRPILGIVPLSSASK DLEVALVERPTWDIDMAERYFGEEEWER UV8b_01589 MPVEGVTFACGLLGENFRPTNFSRLRQHAQLRLYFCFALARGLG SNQTHSESEPFGHFFLPTRGSVDPYLTLDLAVIVILFINGTRDILWGLPPLLQEG UV8b_01590 MEGRGTSFSPDDSLSSNGQYGEEWARDLRLKFEGLLRDKRMNDL RSCTRNHSPSHHSSDLAAQSSSADPAARASSTEDRAGKIHGRENSQTPPSYSCLRHLP KIPTAPAAGDRDSQKFRNLMISLSLTPTKYENPGLLDEALQTIPLDRIYGEAEEECQV LQAEAESMGDGRRPEWGYQDCVIRALLRWFRRSFFTWVNNPPCPVCLSPTIAQGMTAP TPEESACGALRVELYRCSAQNCGAYERFPRYGDVWRLLQTRRGRVGEWANCFSMLCRA VGGRVRWVWNAEDHVWTEVYSEHQQRWVHVDACEEAWDSPRLYAEGWGKKMSYCVAFS IDGATDVTRRYVRKSESAAERNRCPEEVMLYIMQEIKNIRRSNMSKDERFRLEKEDRQ EDDELRRYVVASIAQAVTNLVPGAPTSSSGTSRTAPTHGQDVKVPPEQLAQQQAGSPA WLMAQQQSRDQQFEPPHDPRQR UV8b_01591 MPLLPALLLNDSLEAEAGLLAPNEERKRRRDGLHFKWTGQSVGD ESALLPDYWALHDHKTCAKGRDIASSKPNDHGDTELASQSRRLGMSSQHDPSIFPCNN NVS UV8b_01592 MVTTRSQKSSSDRAEPKGATDEHGASRASDQRARRNLKTSRRSR GQKRHDDAPSAGKQARRRTAQNPATTATTTSSSTATNGGARTVLEKGIMYYFVRARVD TDTPKSIDDIARGYLILRPVPQEARLRDGPLARRPSRLIALPKKVLPGSAKDRFMAFV EKAEAGDDEIREQFLEGHEYETKTAGHRRRPDATPVGEGVYVLTATGRESHLSYVVTV PSEMGDLQRAVGLKPRGSLLISSKNPEFKGPASARLPAGPDFPKEVLAKFRSLRWAPT QPEFLDYPRAQVLLIGDKTPLGKEVEEGTGDADADADDAVGALDELEDYDVRRMRHLS EDESEAIYRDLHSQASSLPGIQKELLR UV8b_01593 MTLKRKRSEPELCSSPSSVLSSPPSLGGRPVPPHAFFNMPPAHL NSRTFKRSRDNRPPEEQVHQHTLDLLYSAQQRHHQHTRRDARAPSPSPSPLPLPPDRA RAHPHAPSRQGSLHSFWDIASSPAAGPSCLLAERSGPCLPCLVAPASCEDCGAGLDGA EPESLPCGACGKCVCFSCSVSDLGERKRCLQCAGRKPWTGGFGCAGAGVSIC UV8b_01594 MDALRSAVQPITRNLPTPIRDLGVSIVGEECYQALVLDVDVENT ECVKYAVSKGLGIGIIAASSVVKVPQIVKLVRSRSAEGVSFLSYLLETSAYLITLAYN VRNGFPFSTFGETALIMGQNVVISVLVLNYSGRATVAAVLVAALAGSAACLFAGNVLD MKTLSYLQAGAGALGVASKVPQILAIYQQGGTGQLSAFAVFNYLAGSLSRIFTTLQEV DDKLILYGFISGFLLNAVLATQMVYYWNAPSPKAQGKGKGKGKGKVAKAKPVEVEATS TATPRKGPTTRRRG UV8b_01595 MASPYSDATPGSAVQYAYMFEKDKGPTKQLDSLLRAIARHVILE IGDKAETHLTPSKLAAFYKAVGGNYDSLFVDMPHSAISYMWQVTGCQHTLQPTGNDFE APSIPALTFRGFSRWESLEILLGPEEHVPFIQYAVKNWNLRHPETGQDFPADLPASCF PAQADQDVDRWHKTCAAKLWTAAESSSSKDEGTHPKPSPSNEKPEPKFAHVHVHAREA FASASSSRTRPTDGGYFARPMSYAHIPSRYASQRRPERSPDRFRRDTPLNDRGRRRSF SDHAAAEHATDPTPSRGYSPAYSDAKVKKTSEGRRHSHPRQPSYSESSDEKPGQDHRD KRRHHLDSPPPPSVRRFMRGHGTAQAQGLSNGSSSPRPSRLDTRTDETKRANGPSPLD SLRTKLSETVSSILPNGLSSDRPRPGSRQNSGTGAVRPRRSREHLRSSRLSRSYSGTD TDDSDGGGLPESDARRRRRILEERERESEKERERERERERERERDRDRDRYLRGQARE DWDVDREQQAATMRREKSYLRRRETQRRTSSHADVDRQREPPEWEPRDRQDRQDRQDR QRERERDRERFRDERRKWGRRPSPDIDLTSPAAAMASRQAHAQAARSGLSVPGVVQTQ DAGKARTSTPDPPDPFASSRSRLASPCPPFLPTSCPVSLSSPACYLASTLEPSTDAMK GRPVEDLVYDQLFAKPKASDPPNFQAFLQRNLITEVRQETQAFYGHLDTQEAKYPGLD YTHKTHRIRLWRWPWHRRLFRAFDALRLTPAEIGSLTKWEGTKWAKDKFEKEQGVVIR DTTADDISDWVRPSEAVDDADGERSPPAAAAAAAAAANIVERERPGTTRTVGRGLPGD DGEEEEEEQEEEQVSALEEESDDDDDDDDDDDDDDDDDDDEFESVGVDLNERLRDRAT RREAGDTTLVLDEEWEQWLKNAIDSGELTLLTEQMTEQMLSRVSSMVDIPAALIPPGM LSAARSGQWAEIPEGLHPMLARALVAETRQASPASRRRRSVSDAARRLPVPVPVPVPR TPRPTIRLPSAQDAF UV8b_01596 MGDAAKDDNSTKRKASQDPSSPATSKRVKHEQQAEEPADEDAKP AATGAARPIPFPDKPAVVEERNGEIEFRVVNNDNERESLIILTGLKCIFQKQLPKMPK DYIARLVYDRTHVSIAIVKKPLEVVGGITYRPFKGRQFAEIVFCAISSDQQVKGYGAH LMSHLKDYVKATSDVMHFLTYADNYAIGYFKKQGFTKEITLDRRVWMGYIKDYEGGTI MQCSMLPRVRYLEMGRMLLKQKECVQAKIRAYSRSHAVHPPPREWRTGSGGGGGGGGG VRPIDPLDVAAIRASGWSPDMDELARQPRHGPNYNQLLHLLNDLQNHQSAWPFLVPVN RDDVADYYDVIKEPMDLSTMENKLEADQYATPEDFTRDAKLIFDNCRKYNNENTPYAK SANKLEKFMWQQIKAIPEWSHLEPEK UV8b_01597 MDSSLHEVWQAAAGSPFLPVVGKHSQFVVGFLLMLLGLSITGVF ALNRSLVHLTTLGIPASLAFAFGVIYMFCAVGVYI UV8b_01598 MAPDKPPIFRAVATSTRPLHQLLRCINFAPKVHVQITEEGIRFA ADNSRAMQGVAFLDKALFSAYSLNLPVNHHDDDDEPPELPIFQISLPSFLEVLQIFGA VDVAAKAQKAEQDAYRSNLRNYRPDAFSNQVLGISGTCTLVYGEQGDAFKVTIEESGV KTTAGLTTYVPDLPEDIPFNREELAFKVIMPSRSLLDSLAEISPAAPDKLSIVASKTS PFLTLTGTGHLGSCAVDFARGRDLLETFTIADRWCQTYKFDFIRNSTEAMRVANKISL RGDAQGVLCLQFMVDMEGGKPSFLDFRFVPCIMSDDEDSDDGGNVDMDQE UV8b_01599 MAAIMQSLPASLGLGRSSPSPNCRYPNDTAKHHRYSRSTNDHFA ARIAHKPSAKSLSWLPRLGRSRPASPALVEGVAVTVHDNSDSTLRNREQQPPWAATHA PRQNVSSGAAAAAAAPSHSEKPPASDWGRRFARKKSQLSVRFCDQALGRDSHPAETSL LPRSASAEPTMPIYQTRRHAGAQLHDRWCYSPEPARTLPLLETRPQAPRDASKLSGLG AGTTSWLLEGQEPSVALGNTRSAASVPGSKQSTQWNNRASTASVSPRLDKALPTPPEY TAYSTIESTRKRMSKQPDSRLSPKQAKPSRRSVLNEPSLPDGISENGHQHQHQQQQQQ QPPPRSGRGSPDSVASASSQQSDASATTDRASPSTAAVANAVVHIAFSVGSPTQHQHT FAPSPSPPPKNPERFLVSRRNASPPLAAISEGSEPRRRQPRDPGSDAIIYLPSTALCK AHPTFRHGHIAFLKSDAADADAAAADAAADGGGLAPGPCDDYESDLADDMAEWFDTFG FQTHGQLISEDPAPPPPPWVSSSSSQSLRSASPSTLNSEAEPPVPMMPVPVPVPMGPL SPPEPVRFFASQSPPRKWTPAPTALSVRDAQRTQCRLRSNSSPLPAA UV8b_01600 MLRRPPTTLHITAEDIAAYEDRRATEALALQHRQAQLKMQGVQQ SPGRAGRRTRDERLGAEMDGWPNMDAAPHAGCDAVL UV8b_01601 MFRSIALPKPLKDGRLRAPPHDIAAWILGPGRRMLTTVLARTVH QIRRNMTSSRLLSFPHFLFLFWMAILLWGERWIFETKVHRCDWANWENWPKGANPHHL VLVADPQIVDPHSYPGRPWPLNPLTILVTDNYLRRSYLAVQRHLRPDSVFFLGDLFDG GREWKTRQGTAFVDPKWGSSRSDTEKKWLKTWHRKYGEDFWIREYMRFSNIFFKPFNE AGGVPGPYQRGRKLVAGLPGNHDLGFGAQIQLPVRDRFGAFFGETSRVDVVGNHTIVS VDTVSLSADTSLYKDSHDLKPIYGPVHEFLDQVQASKRKAVQEELAVWHAKETDVKLR HKVEDVDHADLTRVLRDPGPGAADFPTILLTHVPLFRPPGTPCGPRREHWPPSKASLK PDGTVDASVRDDRNAISVSAGYQYQNVLSEDDSVKLLQKVGNVVHVFSGDDHDYCELV HSPAKGNVREITVKSFSMAMGVPTPGFLMVSLYNPVDEEGRPARGPSEASLQTHLCLL PNQVRTYVKYAALAIVSLALLCVRALLVPVLRLTPFALEPETTPAASALPMYRDAKAK VEPPEHGASRASSPGATTSGARGHSAAANGSSARWSSKKSKSSQRWGWGGPEKRGPRI KLDNHFYDGRKPWALGRRTAELDTMLRELWTTAWRLTWLTASYWAYLASKG UV8b_01602 MDPEGNYTTRRQDYSDLARLEEIHNVSARRGGGGPPPVPQYVNP ALSRAEAFNGPSERRKKFAIDRSPLQRLELTLDSMTKEEKRVRVQAAEQRAKERAARR AAEAAKTRQGESPGSRLLAPEPIHGQPQISPAGLISKSQPRSAEADRRGQSHLPPHPR RQSESFQTSHDPDMFDSVYGGPPLESIPRTRLPEDETSSGFPKRNLSFRERAARNDRE GMATETKPPPPPSQQQQQQPTAFFSGAPGDGVPLNRNGSHKLRKNPPPEHWNHQRAQS TRERGAGAGAAVDPKSSVNSRAYNVNKNKDLPPVPAMYAGPQQALQRRATEPAYEREY GSDEEYVPHAARGKALPSPAQDLEAKEKAQDTAPAGGRLEPQDSGHSVESGPQHRDSN PAFRDPIDFKPGEGLYKPPVWLDEFENATVGTLGGTLLDLTDDQTAGDDKKKAWWESD GRGKNSSYSSRPRKAEAFDGEYDDAESTRFKPPLFLHCGPLLRYCGIRQEKVPIRSQK NSVVSDREMWRGSVMIVTRDAKSSYDIAPMLRLFVQDIDLLPPPPHQVDGDLPAEYVD PIAGHPKLGRRGDTLYVRPVEHLEEAKDLSRDESDDGLFERSRSPPEVAPPDGSAELP QSFAGRQKRIQIDGEKLQKYKDVRGFRLHAERGCTFWKFNIEIELREKQQRIAYRINR GPCMAFWVPARAQAMNIMFHSCNGFSSSVNPDDLSGPDPLWRDVLNTHQSRPFHVMVG GGDQIYNDSVAYKCGLFDEWLDIRNPQHKHGAAFTADMQDEMEGFYLNRYCTWFSQGL FSLAASQIPMVNMYDDHDIFDGYGSYPHQFMKSPVFTGLGAVAFRYYMLFQHQSVPNE TENTEPSWILGEQPGPYIKELSRSVYVSLGGKIALLAVDCRTERTEHKVVENKTWEKI VNRLYAEVRRGQVEHLLVLFGVPIAYPRLVWLENILTSRLMDPVKALGRTGILGKALN NIDGGVEVLDDLNDHWTARNHKHERSIIMEDLQDLAIDKSLRVTILSGDVHLAAVGQF YSNPKLGLAKHKDPRYMVNIVSSAIANSPPSNMLADVLNKRNKVHHFDQQTDETMVPL FYHGVDGKPRKNKSLLPHRNWCSIRAWSPGSTPPPTPPLTADDGSFIPPPAMANNPGG GGGDGGGAGGGGLFRRFSLGGNRNRVGAARSSTSHPLEGSRTSVRGDRPPVSGGLNGL FRSISRRNSSHVPQRAKLNRTLSLGSGESRSKRLFGFGRRGSSSRPDDGGINGQWGDY TDEEGGADDDDDDDDDDDDDDDFANRHAAQTAGVRPSGLRGGGTYEDNEYSEDDEAHF TSRPPQRAQTMGSRPGMAAERGDGKDGMMRPRPFHRTPTGLSVKQMRKAEQYEVDLEG GLDICLNVEVNGKDPAGITVPYRLLVPRLHYEYDPAEDELQRPVAEARKTSGFKRFLS FRKKPEKPKVGGEKEEGEHEEYDYPSEASYDVDPRR UV8b_01603 MAVAAEAIHMDHQVDLSTIPISPNGQSQGADFKDSDKPVTVFHD KDNFNVKHPLQNKWTLWFTKPPSGKGDNWNDLLKEVITFDSVEEFWGVYNNVAPVSEL ALKSDYHLFKAGVRPEWEDPQNKHGGKWSYQYKDKRSIDVDSLWLQIMMAAIGETLED EDDGEVMGVVVNVRKGFYRIGVWTRTVGRPVTGRGDGDVAGGKGRSEKKGIDILMSIG RRVKEVLELPPSEKVEFSGHTDSAVAGSTRAKAKHTV UV8b_01604 MHSTPLPRLPILEAIAKHDPDSRAVIHSASGRAFTYGQLLGDVR KARDRFYEACGKSDLAGQRIALLVENSYDYVVTLLAAIAARSVAVPMSPAFPDPELQY ILNHSEASLLVTSPKFAAKARQVLSTELAARPTYLEMPKHLGRGKIEQASLEVSDPAG AGLMLYTSGTTNRPKGVLIPQSTLTAQSHSLIEAWNYTPADHLLHVLPLHHIHGTVNA LIAPLFAGSCIEFMFPFNADAVWKRLAAPFVSSRDPRSVNVADGTPGVDGVHGSGANG SESCRPKVSFFTVVPTVYSRLLASHKSLPAALQQAAREAVSPQNLRLNISGSAALPTP VKSAWQTLSRGNVLLERYGMTEVGMALSCGLDFRDRVDGSVGWPLPSVQARLVDLDSG QVIRPGEEVDANGRERSGEIQLRGPTIFQEYWRDPAATAKEFVADPDNQGRWFKTGDV AVRRAVPSASRSQPWTRGPLYFIQGRLAADIIKTGGEKVSALEVERELLSLPDVSEAA VVPVPSGNWGQKVGAVLILSPDAVHKWSPLGMRRALKTRLAHYKIPQVFKIVDHIPRN AMGKINKKQLAKEIFADECSGDEA UV8b_01605 MSSTPMEDAIRAKVTAAFNPQTLEIYNDSHLHSHHKAMQGSTSK ETHFRLVITSDAFKSKMQPARHRMVYALLQDEMAQEGGIHALQLRTLTPEEEERQNKK KATATQAKADAAETT UV8b_01606 MTLASSPRQNIEALLGRRAMTIIPKDQQKILSKNGSWKVDLTER EGVPLVPSHVLETVSETFLRTPKQGLEHKTPAKSSQSRPQDGNILQSSSSSNNGNGNN NPPESVVKNSKENQSSPGSTVTSWAASSPPRPSPSAAPSTFESQVIQETPLLGDGTRN HSPRRASQSHSRQVAQNAQATGEEEKDNVDDEEEVALEMALPQAHEESDARVNLEAAR LQVASTPALTVTQATESKTPSCAQPHQQVIPDSVTTSKTAILPQQKPEQRPRRRTKPY GIYDHAPRKSESSSTRLPNTWRLPDVESSMSTCSSSVVPGTRATSSTANSHRDPSPGA PLPAKDTLQPNPSAKPPSNNGAISATEPPSNSMRDKPSHQEPVLEPAPTDLFSQFVST YPDYASTHSGSLKNFVKACLCLEYLQSQRALRPCLYDDFIRLFSGAYLKYVMNAGPGQ EPLPAIEWFSMQDAPPVFTKMIITRKNLESALGVYPEEVARARRFIAHEQAGSETLQK KAVATQPSKPIRTSDATDTDASSVNSSAYIAIFRKTGESQETGRSSRSIP UV8b_01607 MASSSASKPAPPASPAPSVAVSSPISFRNRRGSTNSILSTIDKE RLIHALDEIHTSASRSDSLTTFNDYAPPPEGIPDSESRTGASDLVQGLSGLYNRFREA VGGVSPTKTVREGKDGKDNPLQALEAASKRSSITPSHASRPSISSLTRVDTNATLTTQ STSAPVLTDLSSPTFASTSADSSRSQGPQSTKPTTIGLMAGPRSSSSSRQSLPTKATS SVIADPTIAPPANLKRNASRSTIRTEDSVGPGSSRRSLSRAEPQAEHAPLRDVQDSRL PPRAGRSDASSIDGSLDAPFSPVKATVPTTPSAVPGRHHVRKPSVSSAMLHVHDYRRT PAVIDRISRSRSPKFPGSRDPSSDRGHSAAASAITTSAHGSVHRDSFGQGLQSRYLHP DSGRVPGTSRGHERASEQMSAQLDKMRRQVLSKEFWMKDDTVKECFLCQTPFTAFRRK HHCRTCGCIFDSKCTTVVSGEKFGVQGSLRVCKNCLEVITRRFDGSCSEDSGDERSFL PRIFGPYNPKESSETVTSKSKPPSVAAGSEGSEESRPLATPMMAIPATRRVRDSANRT SAVLEIDAPQLSRPSSSRSLRSLTTSGRPQSSAGHKRHHSKHGFLGRFKPTQEQQAPF RKGIDDENAKTPKFPAFHDDNIIDPDLADYMSEDSSGDEQMGIFATMAGPDAQSTSYE NDRAGFPSYVNQTRKHRQRHGEKSISGMSYVSRGLYDETSGPNSFLNHRRSARRRNLS NVSASGHLHSSPRPKSAVYKDPSASSEMIFGLDHPTHSGTQLMRSDSLRKRMLPKREL NRSSLKHVDRLLHQLLDDAQIPNPGAWQKSLVPILLQLTDDVTPDVAKGEDMDLRHYV KLKKIPGGRPGDTSYISGVVFTKNLALKSMPRRITNPRVVLVTFPIEYQRQQQQFMSL QPVIEQEKEFLRVVVQRITNLRPQVLLAQKGVSGVALQYLSEANISVAYNVKDTVIEA VARCAEAEIIETLDMLALPVKVGRCSAFEVRTFVNNGYPGRKKSYIFLSGCRPDLGCT IALRGATGELLRRVKDIMEFMVYVVYNLKLETSLLRDGSVEPPEDSETSLSNSLQGLN ESFRSTNSGGECNKPGLTMIINHASSESEPPSQVTADSASTGAEEADVSAQPGAAQSQ EPAKLVSLHANHTHVATDSQVPDDVPMPTFYSDMVAKYETKILSASPYVKFKQPYLLM RAREQERRLLYLRRLRDQDAVEEDSEKAGRHRFQLIKPEMVEKIGQRASRQVMEVLHA VHDAEYDKALFNYQTQTRQWETYIQGNLDLFDPYSHQNIVVLFSVICTETKIPCVEPG LVAINFYDEQHVDTGMDADCTLGQYIEDLAYSKNDICNSNGCEKKLADHHRTYVHDEY RITVFVDHVANPSPRRPELGDGITMWTYCKLCKKDSEEVAMSDATFKYSFGKYLELLY WGQGLRIKNVEGCPHDQHRDHVRYFSLRDSRVRIHWDPIDLLEIVVPRARITWKVAND LKLKNDIYNKMEERWGKFMASVRARLKSIRTESLLPEKAELCKAEVERLMKKTQEEQP AVIRQMQRAYVESKYYEVVPFNKIIRDMLEKAGEWDQVFAKFEADFLGDRDMRQITMM QLKKIFTDNESKDSLASNDGTGSAADSDDRPSQTFTEAADKSTQPTEYTDTGMDNSAI SSPPLAEKQEEEEGKLDVSPQGAIEPVEGLETTVSPELTATSAAVPQNTAGTEAVSRI PVPQNPTSARPPQPSVGAGRSPTDSSNFGQSLSEKIDQMRREQAMQNTEDALMTPRPG ASRTPGRHSGSSTSPTLVRAPSQPARVLPKSQPAATRAQGGGKDNMVPAATDPVTETP SEGSIKVDKKLSDRLGLTALKSRGKSAASGIPRLSHKKKESKVSTLARHFEQLSREFE KERIRDRKERAASLGQPRARLPRTSTKAIVQVYDDVAEAFEEHGPSNEQFSGRDSDQF QNKAAPQKAEEDAFPKPEPVATLPTPIEEEADGKVGEEGEEGGGQKTENGAVPEGETS QATSDDEAATSDIESSIADEFLPDIQELAEALEPSTEIPLELPKHQKTSLMKYLTNFW AETSASGWPPLEYPINPTDHIFVDSDIIVREDEPSSVIALALNSDDYKNKLSTIRREA QGVIQREVEGPSDGEAPSLPTSEAGDYMVDETELEKSLLRATGTHLKYQFKEGAAMMT CKIFYAEQFDALRHKCGVAERIIETLSRCLKWDSRGGKTKSVFLKTLDDRLVLKSLSP VETSAFLRFAPGYFSIMAEALFHDLPSVIAKMLGFFQVIIKNPTTGTDIKLDLLMTEN LFYDRSPTRIFDLKGSMRNRKIQSTGEQNEVLLDENMVEYIYESPLFAREHSKKLLRA SVWNDTLFLARQNVMDYSLMIAVDEERKELVVGIIDCIRTYTWDKKLESWIKDRGFAG GGRNRPTVTSPKEYKSRFREAMARYILQAPNCWHLFNNPQLSTNYSRARFEEPEAAAP UV8b_01608 MSIDLNWETLTSGPDGDALAERVRCFIHDKFQTVPLPRFIRSVS VHGFDFGDIAPEVGLKDITDPLPDFYDQELEEGESDDGLGSDEEAAAPPAADRARQAA AGGTSSGTSSGRRGLGGIRAALRHEMLGHDLGSPLLGTSTPGILGGPGLNYFQSHLGT GTHTPLAAVAGAHLGNSWMSPGALDSMHSHHAAASHHRNPSTSSISVDNYSGGLDAGP QPLREKSSVSTLAPSASRPPTRDTHVEAQEEDEEEEEEEEEEEEEGEEEEEEQREGGE EREAHAAAPVPEGPGDDGLGLGRHRREPRVEDVQAVFRIRYAGNIRLNLTAEILLDYP MPSFVGIPLKLNITGLSFDGVGVLAKIRKRVHFCFLSPEDAAAAVGSVDEDEDEYKGK GDGGRSEKATTTATADGDQGDGGRGPGRFGGLLQEIKVESEIGERDGGKQSLKNVGKV ERFVLEQVRRIFEEEFVYPSFWTFLV UV8b_01609 MIPRQGYAPTPHSYIPNPSFSATINLDEEVKLAETRAERDLQDS LAELFSIIVTLDELERAFLKDAIPEAEYTEICERSLRQYKALLADDTIAREFQGLEEF KAKWDLQAPRATERLRVGMPSTTVTASSSAPGAQAVSGGGSTSGVLILEATQEFITFL DAVKLGMLSKDQLHPLLSDVIQSVNRVTDQDFDSRGKIVQWLITLNQMKATDELSEQQ ARELELDIQQAYQGFRRTLT UV8b_01610 MGPGKKNVKATKKFEKKHLRGVLDKRKDAARAKQRQQVKEKKKA RRADDADFFKGPKAADGAAPKREPHGGLKNKKSGEMSVDEFFQGGFEEIVGAGGCKPG RLGKRKRSSQDVDQDVDQDAEADASDGSGSGSGVEAAGRRASDDDDDGADDDSADGDD AQGGMSRETMDKLAEKDPEFYRFLQENDPEALDFDDNTDLAEVDELSASEGGDDSDSD DDDDDDDEGAKEQKPKKKRKTAEAEAAEPAQADELTRPRVASWRKAMQDGHSLRAARQ VVLAFRCAAHLNQDGGDGDGDGGDAPPRWTINDPQVYHDVLVLALTQVPAVMNHHLPV KESAAGKVYVPTETKKFKTLSLLLRSYASSVMHLLGTLSDDKTVQLALSSVTPVLPYL LSFKKLVRALARAVVGFWAQPASAEATRVTAFLVLRRLVAIGDKAIREAVLKATYQGF VQGCRVTNHSTVRGINLMKNSAAELWGLDPAVGYATAFTLIRQLAVHLRNSLVNNRNE SFRLVYNWQFAHSLDFWSCVLAEHCCPLREAQAGRESPLRLLIYPLVQVTLGAARLVP TSAYFPLRFHLVRSLLRTSRATGTYIPLASPLLEVLASAEMKKAPKASTLKSFDFAVA YRAPKSYLRTRVYQDGVGEQVVELLGDFFLLWSTSVAFPELALPVVIQLKRWVKQARS RDQGNKNAKLVSQLVLLAQKLEANAKFIEDRRAKVEFAPKHRQQVAAFLKDFDVAKTP LGAYVVAQRKVREERSKMLEDARKEDDKKRKEDEAQAQAQADEDDHTADEEEDSEDGH DDDGDGDEDEDDE UV8b_01611 MNANRANPFNSPPSSTGSHGTVTMSSLFSEPEGESTRRLNEDIA RVTAPKKLPVNWDAAHRKWPEYFSKPKTNNQPPVSDDTTDTRPWHTRCKENKPPAVSA VKFTLEDSTQDIWPGTRKTRAVMQARVDDESDMPSILDTTPARPTSHYGAPKSQQNQS PMSKVHTRAPSEPVSKQRSSSVTDALNRLQRASLSPRDLNQESPHMSSAKSSLTAVPP SPASIASPGHNTSNVRSFFMPDISHLGDFVTGTLKFSGSMKNGVPIFVKHGRVHDRQE KPIAGAHAQVDGIKVPHDEEKIFVSMDMIREEIVSLQAAHDKVQEYAEGLQLQVERLE AQLRHGKVPGGYNESGKFTAERNRLELEVATLQARLDEASRKLSTNTIESESVAHERD RAISRLQDACDDISKLTRKLSVREKELSTSQKQLESSEQMRQGNDALRRDLVSLKHSR DALELDNVSLRKDNETLRKEQKRLRDEVDSLRSARGGQDDDMLQAKIMSLQSSNDRLT EENEDLRENLDGAHHELDAAREEMDSLRSKVDSVIQEKSALQEDNESLVRHNDKYFNE NKLLRRENSGFERSVRDLHEENLKMKEEVDFLKQQLDHCRPPHNDDASGRIEEKTEEN MTSAYFVPDITVRTNDSRPGGGDTETKDMPTLPEVTSHGYTLEQGAREVPRLASHSRQ QRSESRPRTASKGVAFSIPEKSAPRNKSSSNMANQGSKRRQADEPHGEVPSAALDLDL TNEMDDTGGLQSEDYTNQDAAISLNLSMKDARFVPHNRDLTSQSNASRRGSSNTRPAQ KHIVQVEDATKEPDRSNSHDSCPALSTEARRVLDGLCKHSCRNCIVCSRITSHGGVVS SAEAASGKKRVNVPRPVPVTDRNMTGEDLTMRPSQPPGHALALVIKGLEDEAEHLQLH LTRLQSEYNRSDKATGRRDRLRLAESIRVNLKRMEVKNDQIYSLYDVLEGQKAAGQAM TEEEVEMTVLNITGMTVRDVTQMSEQLTWEGIQETA UV8b_01612 MSVMRMLQSLNALSCRVLLVLFISTAAGISVAELPPCSVLRQEA PHPCRPPAESFLSAQNDAAPCCRDPRILEDAYSALAVLQNVYYEATNGTWPTSIDWTG AVVETIISGMLSTMTKALDSVEDEYVWTQKEDLISTLYSHVTHSFFGQNATGILDQAY DDILWVVLGWVEAIKFVRLHSSLHHPGRHQKCLDLPEKLASALRNAPWHGSSWVCAFA ERARAFWDFAITGWDTKLCHGGMNWNPRLVPYKNAITNELWISASISMYEYFSNDKFN ETCLSSKGFPTNDQAYLTAALVAYQWLKEVNMTNSQGLYADGFHVDMGKPGNVECDQR DEMVYTYNQGVVLTGQRGLFKVTGDPSYLEQGHLLIQNVINSTGWDLGKNAPMDDVDE PNHGKLPPWRGLGRYGILEEQCDAGGTCSQDGQAFKGIFFHHFTAFCDPLDAQAFPNY AKFNQSEYSKVKAAHEKACRAYLGWTKHNADAALGTRDSMGRFGMWWGASIFRRVEAT PDTDGINHTAPNATDYRNRGTPLDSVWGPRAAWQPGSKNLLGPCTNPSPGPESSIRGC GVSPTARPLGLQILCSQSSMQAGDANDRGRGRTVETQAGGLALLRAYWELSQRAFPHK AVLCPEMQQIKVGSSLESSLYMGVAVVTAISCPPWRRIPEQSEENHTPDAAEPKEACA TIDGLEAF UV8b_01613 MTPTVDMPSLLGHVQPNGVEAEANGHRRPETPAGRMALTDYSIN PSPSSEETRARLRKAVPEDLLLPNGYPDYLRMIASATSRVYEACKVTPLTPAPNLSNR LECNVLLKREDTQPVFSFKLRGAYNKMAHLDPAVSWKGVVCCSAGNHAQGVAYSARKL KIPATIIMPEGTPSIKHMNVARLGGHVVLHGADFDAAKEECARREKQDGLINIPPFDD PYVIAGQGTIGNELFGQVNMSRVEAIFCCAGGGGLIAGIGFYVKRMAPHVKIIAVEAY DANALSQSLEKGERVVLDQVGLFADGAAVRTLGEETFRICQDVVDHVVEVTTDEICAA IKDMYDDTRAGLEPAGALSIAGLKKYVAQHPSEDSRRTLIAITSGANMNFDRLRFVAE RAAVGEGKEVLLAITIPERPGAFAKLVDTIMPYAVTEFSYRYADKDVANVVLGLSLTA PASQRGQELRMLIDRIRNEAMTVTDLSSDELAKSHLRYLVGGRSDVANERLYMFSFPE RPGALERFLKTLRPRFTISLFHYRNYGGDIAKVLAGISCPDQDSGELSQFLKDVDYPF EECTSSDVFRTFLRA UV8b_01614 MSAAASANCEYVMAESSVPLARLSLDLDSGLWILDSGLPSGRTE MLPRVFRQLSTKAWWKLGGSSGEQQAVRHALGAASTRPHQTAPGACEGAYKVFDMQAS LMMDQTIAVSLLPRLLDNSTSAFPPDQPS UV8b_01615 MPLDLVARIRSFPLFLSAPEEFLVAIGNHMKPQINGPNDHIITE GDDAKAMYWLVRGVVAVTSRDGEATYAELKPGALFGEIGVLMDMPRTATIIARSKCLL LVLKKEDLQFVMPKFPEMEKAIREEAQERLFLLKKQRQERGVSLKSTTPDCPTRTTVP GKVSTGESGTIKDGAVINTKKRKSPSPGVIEDPAVSSAISSGFVNIRKTLKELPLFSD LPPEILHYLGLSVQPRTYHPFTDIIRQGCPGNEIFFIVQGEAEVVHEPPKRQTQSVDT AGIVQPRPRLKAGQYFGEVASLGLSPGRTATVRSITTVECLMVTGEVLDELWRNCPPD VRHQVEETARLRLQTQDEDTAMVIVDDDKERPSRSDPSTPSAAVKGSMPNLTFTSPSS QVSSSKDDTDVMEPKDPDPFLSVDMENLRNRRRQSLAPLTPQSESPVTGRPNGYRPSL VDLTPIRFSSSESSSESGVPAKRARTATGGSVSPPAVPSLTADIYVRIFKHFDIKELM RLRLVSRHWRDLLTASPQVCNDVDLSHYNRFVTDHVIIHVLAPFIGNRAVSMDLNNCF HVTDDGFAALWHQCGKNVRIWRMRSVWDVSAHQILEMSENSKQLEEIDWSNCRKVGDN LLCRVVGWVVPESPTVKKSVVKPNSVQKRQDDSKHKAQSAFCPPPGTVIGCPKLKKLD LSYCKHITDRSMAHLAAHASDRLWSLSLTRCTSITDVGFQSWAQFRFEKLTHLCLADC TYLSDNAVVALVNAAKNLTHLNLSFCCALSDTSTEVVALGLAKLRELRMAFCGSAVSD ASLESIALHLNELEGLSVRGCVRVTGKGLENILRGCTRLQWTDVSQCRNLEQWLRAGS IAKWGFDDRAGKPATSADLWAASANEGIGEIAPPKAMSVAMLTTPSFLPRSGLGFVSR RARQPVRFIIEKSVPGLR UV8b_01616 MSFTVSVRQGPVRLAQRLPELPRPALGSAAPLRSLHRRAAKPAD SFFSSRVPSAAAAAAAARNALGRAGSARSYYQEAAQQAAPAGSNARKLLVGGAVFGGT LVAINAVFNRETREDGGMPLYEREYLNNTFLHTGLGIGIIGLTARQMVQSGFVYRLMV TNPWVVGLGGLALSFATMIGTRSVSPDNYVPKYALWTAFNATQAAFVAPLLAFVPAPL LARAGLYTAAMMGALSVVGATAKQEKYLYIGGPLLAGAAIVAVSGLAPLIIPATAVRT LAFSESLWLYGGLAVFGGFTLYDVQKILHHARLAQAGVVRPDPVNESMALELDFLNIF VRMVQILMMQQNRRK UV8b_01617 MTIFPFRLARPFARLAVAPDGPAAAAVAVPPDAQRCTLAAGCFW GTEHLYRRHFTGKGLLDVQVGYIGGDARDPSYRAVCGGKTGHAEAAQIIFDPRQVSYR ALLEFFYRMHDPTTRNAQGPDAGTQYRSAIFFHDGEQERIARQVTRLADEQWWKGGIV TEIAPAGRWWTAEEYHQEYLGRNPGGYECPSHFLRPFPPLQ UV8b_01618 MAAPPPILPPLQPQPQPQPRPRQHPQEEPPRPLGLSGQEASATD SRSISGPGGGADAGVLANGRPGKRPAARGTAFYPRKRANTACQVCRARKTKCDNRRPS CSYCLSVGAACIQSAFDLSSFDPASLKILDRLDDLERLLRANAAADACAALATVPGLA ASSSLPFLLQSSHLASPCGLDANGIPTSCSNQGQAYDPGHGISSTGTSASKQVPTSDR TVQDQPVLDSVLPPRIDRILEWPILCGSKTHGSPVYRVPPDAVCSPVGASSLAALVDM ESHRIYRLLDNFFLYIHCKNPILDESSARRMVGRAFLDGIDWSPASCLAMVICALGCI ATPFGPSPETRIGTQAYADSQVFFQAAQKRIGIMLARSDIVGAQCLFLSGVYMMMVFQ PIYAWRFFSQALAACQHFPFLAKAQDMPTGTPGSPAPACMGRQDTQEQALYWSAWKSE RELRGELSLPDFDIHHSGSTLYPPFFPAPPAPPPDSPDGPDSEAQRSRASWLFYLAEI SLRRLTSRLCSEVLSLRQRYASNSAFLDVLADVTAEYEAQAQEWSDSLPGELSIRSAI DEDGIARSVLRGKLINLYEMVYWPFVMVALSAAAGGQSLKPQYAELARRGLDTHMHQI RANEPGFHHRHHGGFFMIRACARSAFSLVAAAKSGMSMPAEWDAAVHKVIGMMAYWEE EDGDVAAWRSRLEREAASAHELS UV8b_01619 MHRKTEPVTLEGRRLDVQDVQHVHLKHETVRINRTLEHELAVRD VFANHPALVWWSFYWSMAAVGWGFDAQVNGGMLGVASFRRDFGYLYQGQPVLPADWQT AFNTVSSVGQFFGGFLCSWMADRVGRKPSLLVGVAVVTGGILGEVFATSRAAFVVAKL ILGLGLGFYLTLAPLATSECAPVVFRGISTAGVQLGIGCGQLLSNAVIRGCGEWDSRW AYRAPFAMQLFFCAFLVVFLPFAPETPWYLARAGRREDARRSIRKLYGSGSGFGSGSG AVDLDLDAKLVALEATIAAEEAAKSDQGAIIQCLQGTNRIRTGISTGVFACQHLVGII FVLGYSTYFFQLAGLPAGQSFDVGVGVTACGLLGTVCSWFVINSHGRRRIFIGGLVAL TAILLLIGVLDVVRTGAAKWVQAGLTVVYAFVYFASLGAMAFAILGEASSTSLRAPTI ALATATQAVMGIVFNLAIPYMVNPDQGNLRGKVGFIFGGLAALATVWSFFHVPELKGR TFGEIDAMFQAKVPPRQMASHVLGDVGS UV8b_01620 MSTAKATKKSAFSCEPCRRRKVKCGGEQPMCQRCAARSDDCVYK LNPTLSYTQRLEERIRELEDQVSNLKKSPPSLAGSSHSSPLVSSNHDGTSQATGRDTD DQGVSRSFRTLKVDDKGSITYHGATSFFNLPSERNGFGLSDVYFLHTPSIDTDSQRRE RLISNAWHQRAMENLSEIPEPFQYLLNVHWCWIQPLFNFIYRPVFTRDMQCMGPYYSH TLLNAVISHSIRWGRSDASTRRLLDESYQGGAVFGKHARNMLFQELSSGVCTIPTIQT LLLLSAQECSLGNSTQAWTYSGIAFRLIDHLGICVDSQRFPGSVPLSDEELEIRRRIY WGCFFWDKLICLYLGRSPSLQHTDISPSQVMFDDSAEDDVWVPFGTPQTDPSWKYPPT TAHSASCFMSMCRLSLIFNEILVHMYDPLSQNTDTEIQECLESQEPLLRQWWHQLPVH LKIDAAALPALAPPSHIVTLNCLYHVFKILLYRPTLTGRGQRGNDDTSPVERYLVESV TSATSIMAIFDLFCRTFTMQYCVLSLAYCVYIASSIFLLQVQAAPGDHQAMRKLTYCI QCLQQVRQISPVIECALKNIGKELTEVGIPFGGNSPQSPPQPRKSPTAAESSVPRSGP AYQPSPETSASEPNISHPGYQPSFNQYFGPDAMSMGPGVFETMSTLEPLSIRVGAIPE PELPPIYG UV8b_01621 MRFASRQVTGLVTARYLSCQILLHKRFCASRLFCIKAVLHQGCS ASRLFCIKAVLHQRLFYIEAVLRRGCSASRLFCVRAVGAPEAIF UV8b_01622 MPFLDPSDSIASYGLDSATGKDVAQLAKGPRYQQHAQSGQINKT SWRRGLGGLPGVVNDDAAAFKPAPSATDDMDTAPSQSSYNVASTRAPVGWI UV8b_01623 MTKPHPAAGWQAKYDQLFRTEKSAQPQFYPRKQRTKTPTPTKRN QKRRLFGPWQPEPAQGASFPGCRPQFNGQACPRLYRLDWIQVISISGEYRMTDMELFT IRDAVFVLMAHLKTERIRQD UV8b_01624 MRPLRFLALGFASVGAAATLSDGEMNTLLRTDAVSLAMKAQPMF LMGQMLRRQPCIPTFATNGSAQARPSKLCDWPDAACDCRAPNVPVGTPLPSFPVYFVY SQCSNSSVRVAYNLFYTKDGYTPENLFGHPLDWERVVVVWNRTRPDEWSPSRLFLSQH TGYQRIDWGRIKNTFATGDSARPRGGCDGVKNLDHPKVYIANAKHDMHQEKKTAFVDV LSQLTDNAFRSDDWWYFPQRGDYILADLSTSIGRLIASFDWHEADASPNKVAAKLCSA UV8b_01625 MAAASLTQGDDADLAHIIQLQLEEVEQAIRAAAGKGKQPAGSQT DQQLSLDLFLRELQDTQNFAADCRMARSMQRAVLDDGDALAQSQSEERAAEDQKASVS LGNGEIEPSRAASSPESLAEDDEFLQKLACIYITGIDHGKNDDGKYADDETETETETD HQPESSAWAIRRRQKRRRRQQCEACTEPKHFAELAAAPCGHKYCRQCLTHLFHDAMID ESLFPPRCCKQPIPLEKNRLFLDAVVVQQFRNKALEFSTPRRTYCYNPGCATFIPPAN HKNDVAACDGCGCHTCITCKKASHRGDCPDDEQLGQTLQLARERGWQRCQNCWGMVEL NTGCNHMTCRCGFQFCYVCGARWKTCGCAQWEERRLYERAVQIDARDREPEDHVREEV APEMGEPLGHGRQVRLQTLMQNLRRNHECEHEHWFNRGGPRECEECHDVLPIFIYECR QCHIMACRRCRYHRL UV8b_01626 MAAPVHHINSPEELRTLLASTTYVAVDFFADWCPPCKAIAPVFE KLAAQHGRPAHLVFAKVNVDRVPDAAQTYGISAMPTFLFFKDGAQVAVNGNAMIRGAD AAALGAAAEKLGGLAASKAEEAA UV8b_01627 MKLLSWLASALCWCQLSRHAAAKASTPSDLCLPSCQNFVRGLPF RDSESSPAATPQLCVSRLAIISVYLCLEIYCDKAASEPELAILNDTCVAAGGAGIPPL SIISNYTAGDIERLQHVDVGDEIPRGQPLTEPAVPSFALYKSWLDTLDAVGYVNRQHY AYGTAMILFWLAVVAFGAMARITSLALRCLGNSSRRCTPNSWLKRHLVLPATFGYKSA TAARLGTIPPRIQTLTLLAFALINLFFSMHGYRIVPVNLYFPTRTRQILRYVSDRTGI ISFANFPIVWLFGMRNNVAIWLTGWDFGTYNNFHRWVARISTAQAVVHSIGYTVLVFH DGGWEYYVAWWTHQMYWVAGQVATVAMCALVACSIYWLRRRRYELFLVTHICLSIIVL VAMLGHVSIFNGEYDALFWVPVVIWLCDRALRVLRIVLFNPGVTPTTAAATYNPATNM VRLEIPCRSRALKIKPGTHFYLSLLDHGRAWESHPFTVASVTSPGAKTPCEQLPLLDT DRAEAEEQDRGGGAGGAERRARLVTFLIRPFDGFTARLGQLAAAEWPRPASLRVTVDG PYGHGLPLHLFDRVVFVVGGSGVVVPLSYMKALAEAGGTRSVQLHWAVREAAFAAELV SADMAEAAAQTSFDFSVDLYLSAETAGGAAGGTDTPMPGRVARHCGRPGVREIVLSAS EAAGSLAVVVCGPAQMADDARSAVVQALGRDDCLCHVDYFEEKFRW UV8b_01628 MRSKNLFKAALLSSAVSGGVCSSTDGPADNLGLANGSLKFTTAH FQVELVRDAQVLVSLKLADGSFDFLPRDVLSHRTGNGQYHWGDVTYRYRETGSKLWID GDSAASRKPVKNLLRGNRGDLTGAELGPSLPSSPLRITRQWSDLSGDLGLTFAIRNHG KKDVELGSLGFPAEFNSIFTGRSAQNMLSTCSLADPYIGMNAGHLRVTPISGTGPALV VTPIGDTPMTAYRNLIEPFFNDTAYGSRTFEGFYEWQVLTKAWAENEWKGAEPWNEPT ARVLKPGSSYTFGLRFSLAAGGVRDMDRAVRDSGTPIALGIPGYIIPQGEPAQLVLNA PSDVDYTFTAPGNAVTVTQVKPGTYQVTPRANTFGRVRLTIRYKDGKYQTVHYFVTKA GSTAVADMGKFLTTNAWFKDTSDPFGRAPSFMTYDYVEKKIVLQEERPWIAGLSDEGG VGAYLAVAAKQAIQPNADEIEKLEEFVAQVVIKKIQTEAHAVRKSLFFYEPSQVPGYT YRKDINWDSWSTWNKAEAYSTKRAYNYVHVAALYWSLYRAGRAYPTVLRQQNWNWYLE QAYLTTIRSMQSDVDFNRLGLMGETVFGEILKDLSREGFSEKATKLNESMQSRANQWN AESVPFGVDKLWDSAHQEGVYYWSRHFKLDATASKTLNSVLGYVPTVPHWGWNGNARR YWNNIYAGKMPRIERQIHHYASALNSLVLLSAFRDNSSDTYLLRAGYGGVSGPVSSIH QDGYVAGSFHSHPDTLQWDGITGDYGPGFLGMALGIGTFIVDDKTWGRLVFGGVAQEK DGEVTVRTTDPVRRRVYVGPLNLQLEIDAGIIQSVIYGTSKRSLKLVLTQIPEAASTN EAVLWVSGNWALAGPDAVRRRGGWVVNLEDPPKAPGSNNAGSNNAESKMASQASGGKV HVVELKPQ UV8b_01629 MKIENRTFLISGGASGLGKACALEIVNHGGNVAILDMNQDAHDL VKEIGSSARFFDCDVLKTDSIAKAVQATADWAKQVNKPIGGVIPAAGVSTPATILDRE GSAFSMDDVDFVLGVNLRGVLDLVRQGVAEMAKAPEGPDGERGIVVMVASSAAFDGQK GQVSYSASKGAVAAMTLPMARDLARFGIRVVTIAPSLFETRMTSMMSDKVRKSLEATY EFPKRSGQPAEFAGLVKHCIENVMLNGTVIRLDGGSRPSKI UV8b_01630 MTRPRGYSGTSEDSTGAAREQELGSMYDYLAKIILLGPSGAGKS CLLHRFVKNEWRVLSSQTIGVEFASKIIKVGTGARRKRIKLQLWDTAGTERFRSVSRS YYRGAAGAILVYDITSHASFRGIQPFLNDARALASPNLSLILVGNKLDLASDSLVDTS LHPPTPSSVGSHSTITAATAASSLSLSTSNTTTTGTLYGRERCSSIMAANHERATVAP DGRETSTVEVTRWASTAGISVVTEVSALNGEGVDEIFNRLARIILTKIELGDIDPDDP MSGIQYGDGGGWLTASDGGSIKSSMTGATVDDNLAGIRRRRRGRGRNQNWGIREWEEV FTLSSRSRRGGGCC UV8b_01631 MSARVVIPINTNWHFKQAGKDDSAYLPVAQFPTQIHLDLLHHKL IPDPYVGKNENGVQWVGETVWVYKTTFSTPEIGGRAKAVLAFDGLDTFATVVLNGKTI LETDNMFVSERADVTNVLSSGEDNELVVTFDAAYLRGWKVVEQHPEHKWVCSNGDSSR LGVRKSQYHWGWDWGPVFLTCGPWRPVNLELYESRLTDLYCEFDIEESLDSAQVVSHA VVEGNASRVRFDISLKSKSVASETVSVIDGQAVATFKVQNPELWYPIRYGKQPLYAIK ATLIDGGAEVDTVVKRVGLRRVELVQQPLIDQPGTSFFFKINKISIFCGGSNWIPADS FVSRISKERYYDWVKMVANGNQFMLRVWAGGFYEEEPFYSACDELGILVWQDFLFACG NYPAHPEFLKSVEREARDNVRKLRHHPCIVIWAGNNEDYQIAEQYKLGWDPNDAKPEN WLKSDFPARYIYEKILPGICKELMPDTPYHPGSPWGGQGTTDGTIGDIHQWNVWHGSQ EKYQNFDKLVGRFVSEFGMEAFPSIKTIGALLPNGKADVEAFAQSSTMDFHNKAAGHE RRLALYLAENIRYTPDPLDYYVYCTQLVQAECVASAYRLWKREWRGPGREYCGGALVW QMNDCWPVTSWAICDYHLRPKHAYFSAKREMAPISIGIKRRETRHARDRYTRVHVTTE TKVEIWASNLNLEDLTADCVVKAWDVESGKQTYSDTVSAKQLLPGNASTEIACLNVPV VKKDADEESRTVVAAYLHQDGKQIARHVNWPEPLKYLHLRKPRQLKAELAADGRSVEL SAEVPVKGLAVECEDDKVRFDDNLIDIVPGETVAIGVRGASKDARFTTRHLNMLH UV8b_01632 MCCERGGAAGGLARQRRSPASRQPAARIGGTHGRRLGHPASLHH VAISCILHRGTTNSRGSLAFPGRPRLIHRPLRSWQASSPPGRQPWPDNRDFSSPGRPG PPDFARPKRTAGSLRPRSLLADMSEHPAIRWRRRPPCAGPARPGGGGGGCGSPTDPLT AAIAYPARQTRPLCREARPPDGPAIARLSHRKGAASPTAAVAGRCVKTRLLCRRVRAP PAPAGSRIAAVMARPTALPASC UV8b_01633 MATKKPKAAAAADDNLDELFQGIAVDAKSKKPANAKAPKSASAA SKAIGDADILADLESQLASEKPASRPHTPRLKEGGGGGGARRPPGTPPAGEDRSAAAR KSTDSARSLRASFTPSATSSELHEAEKKGTVEQLHQQHQQHQQQLAGGGWWGGILSTA TGVMKQAEAAYKEIQQNEEAKKWAHQVRGLSGGIDVGTLRSYGDELRNRALPTFTNIL HTLAPPISSHERLKIHITHDLVGYPSMTPLVHGVFARVMSQVEGGDLLVVQRGHESGS RRHSDGSAGWHDGPWWRQTDSPRELGSVGGIMEGTKLCRANAESHAAEYFAASGGIEL AKMRATEDLSESNPVRTSDVFLAVQAVTTEPDKTLFAASAPAEKDKASSAVQDQDGHG GELVRFAVFVLDPVHEIEFSTVSQSLPLRWLRWLDADTAAADTAADTADTATTAPTTP VLLTPRSSDASQDASYDARVPEEIRDILDSGGVDPREWVAEWLEETLSLSLGVVAQRY VARRMGVGEGGRGRGKKRAEELAEANAGEAARAGVF UV8b_01634 MAHASSAANSPQTASHGRRGSKSLQQAFTFSTPHAAAAAAAAAA AAASSNRAQTFRKRSRTTDGYGNPDELFDDDSPRKGGHTLRKRARVDYTFEHVDDEVV VPSSTSSSRANAKKRAPDPAASDLDGFYPSAPKRRGASLGAETAAAASARRNSAAHKS VAGSADPPDDEDVKDTIEVGISFSDLGESPEMRRSSRSSLSSPHRSLDASLRSAPAAA NLPPGLCPDDTVTNHHRAAASHQPRTETIDPRLCASPPALFPPFDPASEPVKAQPEAA ELQNAQVPARASPETPAQVSGNTGVGQTSYNLPTNGQLADAVAVPSVAEPETAGHRAE PAPPLQVPVNEADEPKPSDAAESQKAVHVVSAADEAPGATGSANEVEKPPAANPSSPQ PQTNPEPVDTSPAKSVTIPRTAQQGDEGDDQQQKQQQQQLRQRLQLQLQLQLQQQQPL QQQPQQVDITAEDERASTTQPSTSLDVPVTMPSISVQEVHDEPQKTQQPDVAFKDVQD GPISNASKPSPLAVAVEAKPVQDLEPIISEQKDASKTKRSSQSGPSKPQPAPAGRWSY LTPYIDGEYVTYPEKKARTDDETAGDEAIPEDKDVDKDADKEVNDIEPLMDDNDDTPD PSGFEAQTPALNTPLRGSPAPDSSDPTASNSPAPAGDDGDEGDVSESQEPPESRRHFR YRKLRDPEEYVSIIENYEDMSTAELYEVLEAINVSLVQWQSEWTDLGKVVDDHENSLR RRLADSKYESKTRNLAQHGVNHEEPDFAVKGYKAKEKELMSETRYLQGQDRIMAATYG FEYDPHPSKIGRQNPETQQVGIMTRGRSLRNQPRQTVKASEADEVTGKRQRKPVQHFD PATENASRSSTPVPTRCRRRRNVNAADDDAHVAFGSSFNGDGNSDAESAAKSRRRRGA HPSNSSAAAAAQAPNAVKVEDEDGEEEEEGGEGEEGDAQQEGTGRSGRRRARQSVRYD AAYAGMADDKSQPEPKLRKRHMLTLKIPRCKNFSEPSSAITDNGDSRPSTASSDSSSH TAESSYSFRPKRQKRFRDEPNESEEAMQAPPRKRAKRSVPQHLHGGAEPSFALDHPVL NAAQGGSARKVHRIKVVRPGGGDARNGTPSSAAGADGDDKPKDYKNMTKSEKMSASMK SRWANGNMAGAVEKRKATLAAKKAALAAADSRIGTIAPKPKVKPIKKEPQAHGHAPAG YVPAVPGIGYPFSANQ UV8b_01635 MAKISRGAPGGKLKMTLGLPVGAVMNCADNSGARNLYIISVKGI GARLNRLPAGGVGDMVMATVKKGKPELRKKVHPAVIVRQSKPWKRFDGVFLYFEDNAG VIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM UV8b_01636 MRNYVEPLRSERSLRIVVMMLIKRYRRRRYIEAISLLRWKAPQT LAGEQMIFRLPKQTDQHRTRTIMAMGGQGQHFATLHASALTTDRLASCNVQLTDDRRS QESPPSNGTRWYQDKGTIQRQQRCLRALDKASPAVYGVQA UV8b_01637 MQRNTTGSSTAAPSQRQGGSATGYPAASPEAYTSPKLAPANPMT PVLNPRSCVTCRRRKVRCDKQKPCSNCRRAQITCIFPAPGRAPRQTRPKDPNAPPKTP GHREAELIQRLKKLEGIVEELSGQIVEPAAKLPTASSTGTGSPENQFGIDGMVQRHMR PSLDPFDPFAGQDASPKEAESSSTQTGAGGGRPKEKQPNFGRMVTDEGRGTCRYVSNG FWSQLNDELDAIRAETQRLTDEDDDATDYEETPSDSLATGLSVASDRHAFILSYRSVD VDLEKYHPLPSHGTFLWSVYQENVEPLVKLMHVPSVDLTLCNARRNCGKLTPGDEALV FTIYFAAITSLEPDDVQTSFGATKDDLISQYRFAVEQSLAKANFLDTTDLAVLQAFTL FLIVVRRHGESRFCWALTGLLIRIAQGMGLHRDGTHLKLPPFETEMRRRLWWQILLLD LRSGEELGTDMAVSERFYDTLMPSNINDADIGPESTEAPIPREGKSDMAASLIRTELS GLSRRVVASASAMSSLCPMSDQPSVAERERMLIEVYQRVEDKFLQHVLDETDPLYWVA SIIARLIVAKACLMIYQPMLFPGSEVELSEEIRQRVFIAAIEITEYGHKINTDPRCKQ YRWLYKTYTNWHAIAFTLIETCRRPWTALVERAWEAITGYDVDPLELAKKSDHAAVFL PLRRLFAKARKHREMEIVRLQANQDEARRLDFAERMNPAQARFGPVPGAENKMDQVRE HWWSLMRTTGTSSKPPSTGRPCASKESSSLSRAGNEGKPFVPGLSSSIDLSTAAMEYM DGVMSQPNPNIAELWNFNRLVDNEVNGTGSAAPQAAVPNQLCGQDTAGHQGALAMQTE PPKHDDLTSYLWFNAFTGMSNKTDAWNDEPDMLGHDFNWQDWSQSIRGLEMESTQTGR RWKS UV8b_01638 MADNMDESRRAMINGQRSPRRRNPTSARVDPHNRVTKPTRPGPS SSAKKKKKHAVSYLTEEEQSRKFVADEDQFVLKQSKKKADIRVREGRAKPIDRLAFNL RYIDAERDVFDDDESDLDIALGSPADIICTLNLAQLKELESDIASYHILETDRRNRRY WEALRTLCVDMQSKVDANAREGRVVNSVVDDIDKILSPKTYDQLEALEKQIKAKLRSD DDIDTDYWEQLLRHLHVWKARATLAKIYDDIQEQRAEKMRARGPAAAAVPCDPMPTIS YRDPAARSSAAAAAAAAAAAASAAAEASATAAAVQPVDVSDSSNVTKALYEREAARGI SENEEIFNAEEELATVTKPQWAYKYRARKPRYFNRVQMGYEWNKYNQTHYDHDNPPPK VVHGYKFNIFYPDLIDKTKAPTFKIIREHGRRRGESFAAAGEEDTCLIRFIAGPPYRD IAFRIVDREWDYSSKRERGFRSSFERGILQLHFQFKKIFYRK UV8b_01639 MMGPLSSDSVHAVSYTNIGDGGQDPSGRMQEFAAAARIDYKPAM AFAGLSSKQPETWCLSPGSISVKTAKAKAAFMPSYSPQPRAHGTMAPGQAAAAGQEAW PLTPLGSGSDGLDLSYCLQDAPAALDDIKAGYFAPASPGGWCAPGPMLYPARPATAEM AVTAAGAKMTSCHPHTAPDEARSSSAPAPAPAPAPAPAPAAAAPLAAFAPISAADLIL DCLDEFHLPLGPSGAPSPGQLWPADLFAGPEASGTSPVARSVASLTDSPPASAGGSTP GDAPSAAQPPPPDAQSAPCSASPPSDTNEAEAACGGERSDEPYAKLIYKAFMSRPDHS MTLQEIYQWFRENTSKAVTETGGWQNSIRHNLSMNAAFTKRDKASSTSPRSLSSGDDS KRVNEWVLEDWAIRNGVQSTTRYRKTNYARRGGPGRVAVSPWTVEHSAKRALSGRKGG CATRNSRQRKRTYCQVIPLEHTEHNAQHEHQQQQQQQQHQQQHEHEHQQHQHQHQQHQ HQHQQHHDVLDSCGGGRQSCSPSAAELYEYAARHEGAIPPGISTRFDAAPCFASPLGA AGDYGLEASLGAGMPRPPHEREEHLLASGSHLHQQHQQRLLAQHHHHHHHVVACDEPP IGGPYARLPSAHVPAGAAAGFADPQRPGACRAFPDANACAASPPAPTSPPRPPFHHHH QDLLDPYVWWSHHSQCL UV8b_01640 MAGTRAGVWHPLPASACSDIPILLVSMDLGSSSYVVRLSDMANI WEESLERKGICMRGWSENISIDPSDTAENMATFLSCLRSALDPDYKGHHTTDLTISPA NPTDAGEDGLTIKVTCRLPGFEPFRWPMHLSKQPPSTIGTALVLPLIQAYSNKMQQVG SLIHVIKQKDAVLTKLMDKIEAMGTGLEHVFTGLSGRKKVTRATAEERIRGLAPFNQS VWQDELETEEMGPRNVSDLIKSAFTDASNTSYPGLEVDKSPELDRWWKDFRSTSLRTQ QKQSELPVSKETLYPTEKDAAGLEGDDEFQVQSTPPRLKSTTHGAPKKTDVATVDTPT DDEEDCVMPSKNAEPALSDRQRQSETTTRSSQFDVIGGKQKLVSPLSPSLPLRSNTAE RPVDDIETASEASDDNDDATAELPESSSVPSPKSPPVASGSKKGGLGRIGGRAVNSQP LGKDEPVAKPSNADATSHKKLGTIGGRVSKSINLDRDDRGRVITNSDKSSVEQRLRET SEERANRKREELKRELERKAAAGPAKKKRRF UV8b_01641 MSCIRSASSILRLPIRQNRKVLLISPSNIYICKQGLSVASRTFS ASMPSKTLKRKSKSLPSQNGHSVKRARTTNGLREPHPLAAEAEKHGIVLRKYYPAEMS NDRARAYNNSEIPRPIEELVSALEDTAEDRMNIPVKDAVIHWFKMDLRCHDNRSLALA SQKAKEAGVPLICIYIVSPQDFEAHVTAPIRVDFMLRTLEVLKQDLATLDIPLYVETV EKRKKIPDRVLELMEQWGSRHLFANMEYEVDELRREATMVRDFSENGKSFEVVHDTCV VPPGRLRSGSGNQYAVYTPWYRAWVAHVHDNLDLLELYDPPCKNPSSSRKKFKALFDC SIPDAPANKELSAAEKKRYHSLWPCGEHAAMQRLHKFCEERIGSYSNKRNIPSDDGTS CLSVHLASGTLSSRTCVRTARDRNKTKRLDGGHEGIRVWVSEVAWRDFYKHVLVNWPY VCMNKPFKPEYSNISWSYNVDHFKAWCDGQTGFPIVDAAMRQMRQLGYMHNRCRMIVA CFLAKDLLIDWRMGERYFMETLIDGDFASNNGGWGFSASVGVDPQPYFRIFNPLLQSE KFDPDGAYIRKWVPELSDLSNKEIHDPYGRGAGSKAKKNGYPKQIVDHKDCRDRALSA YKEGIANGM UV8b_01642 MENDRGEIVDLYVPRKCSATNRIIKAKDHGSVQISIAKVDENGR AVTGENHVYALCGFVRAMGESDDAINRLAQRDGLLKNVWSAQR UV8b_01643 MTRRTDWTPPPARGSTIVISDGPSSDVATFEQDGQDHARPFGTL VASYRCTESPFEEAAPYQSDGSDTKRRKLTGSATEKIGRPTPGAAAKQVAKKKAPRKK PRTITAIATAAYKTASQAEPADTPPQTITPQPLIEVAKQDFVFGTSSQLAREQSPTFL RSLQKATQEFNALEEVPFRSPLNSDAIEPPERGLKLWGAAARDADGDLFDIQVIDLME EDSAVPQTARDADPYGYFRAEDRSSSCLHDRGARAAGSAVTEGKGYQSDTLPGYIDDR SNAADPSNRSELPKMRPINRSSSTTVETISSTTATARTSQRPQSPGRQPLPGSNAGNP PPPAWESYTDAQLCKTLSRYGFKPIKRRPAMIALLQQILIQTNQVAPQQVRSKSTVGS RSSEAAATKVITPKKARGRPRRDVNEEAPAQDPPPSAQAPETPKRPRGRPRKGSQTTS PTKAKNSEQESKSKHAGQVAAPRKSKTKKVTEIDDSESELGSMPSSSPGSSPGSSVSG ARQIDLAMSVDEDTELSLAMTPTDAQSNLFSHISEAVTTAPRATDPARPSWHEKILLY DPIVLEDLAAWLNSGPLSRVGYDGEVNPVEVKKWCESKSICCLWKVNLRGKERKRY UV8b_01644 MPQRRDASSRREVREVQEPRPSSSVLLLSPTNEILLLHRVQTSR SFASAHVFPGGNLDAFHDGEIPGAGSPARHRDGPAYRMGAIRETFEETGILLATRNGA LVDLSVEQRTEARKQVHGNEVRFGDFLQSIGAVADTAGLTPFTRWITPASMAKRFTTQ MYLYQLPVSPSREGPPSEMLVPTADGGVEHTAAQFAPVQAFLQRAAEGGIVLFPPQAY LMALLGRFLAGPPAAAADPEAADPEAAALHYAGQRSRLAAFLGQVVTADTERGRRHRT AGIAWADKAICPYHVLLREEDGRVVLGLDKPGPELEGTDRGGDWERVALVKFGAGGPS DVEIRMREDVVGAAAAAAAAAGAPAKTGSRV UV8b_01645 MLSALVVALALAVHSVSAHFGLIHPPWRFDTLSKAGEAKYSQWT YPCAGVAYKSGNVTDWPVGGGALKLDLHHAWTYVFVNLGLGDNATNFNVSLTPEFWNV TGKGTLCVDKLPVPVAVEDGTPASLQVVTVGESGSALYNCADIRLVKDAKGPANCTSS SGVKVSSVRQQQQQQGGGGNSSGNGTTGSDKGSGGGVVVSGANIWALVTAAGLAYGFA LVI UV8b_01646 MAAEHGTEAASEGLSEERTEPFPESEIDARFKGIRVEEPGAVPG DEHVPLDVLQIEDHHHRQGIRILMRPLTRLNSTRSVSPGSDNCQWLALRAEVASSDQP EHKVLAVTQTSKDIKVSVRIPGENQHDSSRPPLWCELYYDPASDKVIFLNKSDVPLSL RKVSQPHSDSSPPASESHVINPGLIKALRPGTWRINVRDLAVLDFRILEKRPVTLYQA RPALPHNQPSSSLTSSSSSSSGMKRALSPDVDDRRVKRRISDPPCQADDGVIMFLRPA AEPLVFPLPNGRETKELSASNGHALLDAEQGETVAVPGVCELDEYQLTKRDPIASTAL SAVYTANHSMVSDDIVTVKVLKTRVANAADKPPYVHERNVIRQADMWLRECQSQEDLQ HESIVRYYGGDARYLSLYMEHVDAPDLTAPNRWRNRQSDYFLGTRQDAVRILRDIASA LAYIHDRQLVHNDIKPANILYSPERGAVLCDFGLSTPAANSPSGGGTPYYVPPEFIGT KLRGPASDVWALGITMLYVLRKIAFPDSRARRHHAKPLYWQIAGINNPNAPYKQYGNG HPAVSQMRAWLEEMHETREKLSAKDRLERIVKDMLTPNPNHRITMKKVLQELLLDPAT VG UV8b_01647 MEDASWTWPAWKFGMKRDDLFTTLHDQYNTIPYSLQDPEAFHLD VYEISRDADTVDKFHQMMADRRLMRLRELNDSLETLAVEIIANPKLMGTEQWQQALQF FRTKSYDSIVRYFASYLPDEYLDRHDTHSTTSASLSETDSMSTAASSVDDVPSPFVDD DDFFPNGHVMTAEPSCSAAADEAVQREFGRLGEPLSPPHSEAGQPELPVSSPASTNAE SSNECSTNPPSRSMSFSGSESGHVVPELIRQRRYLDDDDLDETLQVDVDDDCDTAITS LCDSIECSSAADDGAIHVDSQAEPEEGEALEFDDDEDLPTAQHPADELDYLDYTTPFR TYDSLESDTPTPRPEAATAAFHLQSRSANATVARPSRGRSPSQRSASPKLLLLASRGG ASPTRQVRRSPEESLSKIQKPAQDLMRKRPKARRRVGLGSEGEMAAVFDEETTQGQKK GWLGK UV8b_01648 MPERRGEEAAGDGGCEKAASRRRVDEDLEPGSVGVGVGGGGCIA NRLQYWIGIKLVGLLVLGVLLLVTTPGWPRGKAPARNAAPEARALPLDAAAPVTVAGF DDGAPAGPWPGQETGGQRRAEEPRRPVRRSKRAGRQ UV8b_01649 MAQKPKLSQVKQRALEALRNDANWNRVARCIGRASRRLPSATAD YLAEKLPVAQWLPHYDYRWIVRDAIGGITVGAMLIPQALAYAKIATISIENGLYSSFF PPALYFFLGTSKELSPGPTSILGLLTAEIVADLANEGHQPNHVASAVAFVVGIYALGI GLLKLGFLIDFVSSPVLTGWISAVAIVISLGQLDSLLGLDSPSGAGDIVRETLRHLDR VKPLTLCIGATSIALLCALQHAGRRWGSNSRPCVKFLGTSRAVVALVVFTLVSYLCNR NRGGDDFLWAVSRVDAHGLPRPKGHEGALLKKVVSRSFAPLVAMSVEHLGAGKAFGLR NGYNVDTSQELVFLGASNMVNSLFGAMPTGGGMSRTAVGSDCNVHSPVNSLFTSGFVI LTLYQLAPALYWIPKATLSAIIITAVSHLVASPSQFYRFWKMSLIDFVGSMLGLWVTL FTSTEAGLATAVGFTIVYTLVRLAFPRWTDAPTTEPSDAGRSDVSAPSSVDVPPEAYL VQYTQDILFANAERLKASIIQSVKMHFDPPRDTVLRADNKSTARAWNPSGEKRILKMR KRKGITPISGDRAPLRRVVLDLTRVSFVDCTGIMSLDEMKMEVRRYMGPEVAFRFVGM ADEVRERFRRSEWEIVLPGQKRGKNDDVLYSSLRAALLDEASDEDEPAREKDVEAASA UV8b_01650 MVGIKPAAAQLLPLVAALAELCGALPHHDSPQQRLAKGLELAGR YARNKPYTPGHRDSGDHFVDPIGKDLDPRPWRNGNGASVLGPYNRDRSRQSPDMIRPP STDHGNIPNMRWSYTDSHVRIEEGGWTRETTIRELPTSVELAGVNMRLDKGVIRELHW HKEAEWAFVLEGQVRVTALDYEGGNFIDDLKKGDLWYFPSGVPHSLQGLGENGTEFLL IFDDGRFSEESTFILTDWLAHTPKSVIAKNFKLAPQVFAHLPAREKYIFQGSEPGSID EEKPKGRYVKKSTYRFTHRMLDQKPKETSGGLVRITDSTNFPISKTVAAAHVVIEPGA IREMHWHPMADEWSFFIRGRARVTIFAAQGNARTFDYVPGDVGIVPKNMGHFVENIGN ETLEMLEIFRADKFRDFSLFQWMGETPQRMVVDHLFAGDEENGKRFWDSVKDAQKDEV KQASDVDNDDDDEGEL UV8b_01651 MASPGDRERQPLLGHKPASPSKLARCRRLLNADVSRSRADLILL LCYVVTGLLDSASTQAWGAFVSMQTGNTVFVGLGLASLAYPPTSPRLYKSGTSLLSFC AGSFVFARFHRYFSPQRRWVLCASFAAQTVLVAAAAAIVTLRRPVPQDERDGRALDWT VVVPLALVAFQSCGQAVTSRVLKYNALNSVVLTSIYCDLFSDQDLFRARNGDRNRRAA APVALLAGAVVGGLLSHGSFGIQGALWMAAGLKSLMVVAWLFWPAEDDASC UV8b_01652 MTVKQLAPYGTWPSPISVDAVIHKTRNLTSPRVSRRTGRAYFVE STSQGRQTIIEVTRGGSLRDVLPDEYSVQNRVYEYGGSKYDVLPDGRIIFSNRDDTVR LVDPDTGDVSLVLASPASRYSSFCSSSTAPRVLAIEEDHTFDEPSRVRNYLVAINVRT GAAKRVAAGADFYFIPQCSWDGTRVSWVEWNHPDLPFTAGRLFVADWAADGTVENARL VAGDQRESVAEPRWGPDGSLFFAKEEGPYRKIYRLRPGAESQEEIRLPGLDDAEFGEA GLGEGSRTFVPLSKDVLAASAVTRGVGRLIAIDVTTGSWHYLIGPDQLCHMSGDAMAR LDDASVMVIGSGTGSHQAVHVIKVADARGNKVVRESTDEHFPALCYSRPEPLRIRSAG TTRRRHIHGFLWMPRNARFAAPPSDLPPLIVSVHGGPTGRAGSGLDLRTQFFTSRGYA LLQLNYTGSTGHGRECRESLFGRWGVVDADDVVEAARHLASRGRVKHGAVGVTGASAG GYTALQCLSRHAGSFAAGVCVCGISDLESLGRATHKLESHYTELLVLGDGAGAGAGAD EKRRIYRDRSAVHRAGAVTAPLLLLHGQADTVVPVEQARVMAAALRALGRDVDIVEVA GEGHTFAKPSSAEVWLVEEERWWREKLLRGGEGAPA UV8b_01653 MSTIQQLKNFIRHGKQARTVNINDDTPRKDDIPAPPAPPAVNQE RKLNISDPALHHHIPHKEPLEAYSEAPGDAQNRAAQAGIAAAHRVEPAQDVDGNSRGT SKKRVDDASLAMLVAEENASRTKFPRYPGLERWELIEKMGDGAFSNVYRARDLLGVAG EVAIKVVRKYEMNSLQGDKHLHPDFKKAPKAAERSNILKEVQIMRQLDHPNITKLIDF SESKQYYYIVLELAPGGELFHQIVRLTYFSEELSRHVIIRVARALEYLHEEKGVVHRD IKPENILFYPIPFIPSKVPKPRQPGDENKVDEGEFIPGLGAEVLTEKVARGQYTFLSP WWDDISQSAKELITHLLTVDPEKRYTIREFLAHPWIQGTGPTPQERRRSEATLRAFDA GNLDAKRYDFRSPGVVNLREVFDVSYAVHRQEEEGKRRAQIGARAGGMGKPLGGLNEE DEEDEDRMQVDPKGANRALNAPAAASTHALEQSMRHANIRDQEAHTRGRERERAPEKG YGQHSAAVTAAARQQVRDRNRHKGPFELNLENATLLGKRGKKIPAMGA UV8b_01654 MGIDPSSNVPTNTRLPSDTSKNNQVRAGGGKASQRHGGACGEGD GWLTICAATHGNGKPDIWRHASSRAQRRRCRNEAADGGSSLACQYFVLGQPPVCMTSA ADGARRRAVGLSGVCLGPGLAWALESGAAVAAECSRLRLRGRKGGPATRILWHPPSAS FLDLLRDSAVMTAVGWSSLCSLAVRQQLSAKQRVPAGQRSQKNTGGRNMRHRPVLPCL GVQGLIADLVETTSN UV8b_01655 MPGCISPLAQEPGALKYGDGDGDGDGRQRRHDQGTDVIWPGVRR ARATGSSSFRSTIDVDAAKRSQTAAKQTHDARRLAALVLPLARDALDIDIDSRRAAEQ SELELSTHHGLQLNSCSGGSRNRSGSGDSNDSNDSSDSSNSSNSSNSSNSSNSSNSSN SSNDSNDSSDSSNSSNSSNSSDSSNSSDSSNSSDSSNSSNSSSQLKVIPRSPPVPRPP SPTSIQRSHPKAINVSVESDPRFGPAEPMPEGGASFIGTTLAATRRPVSDPSATGADA PHRVVIMRFIVRLSFVELPQGTQVQVEQEERFSRGSLPGTATAIMHARSALLPVSLDK PGPETRCRFASLLNGDRKGLLLMECLGDSRMRNLVLLIPERGMARPLSAGEDQSACWL LLERENPEAAVGILARGRAQNRVTWAHTTVSLGDRSLFQGRRRAGSDTTVHRWVHELS ILIWSDEHRRGARQESRFHPTPPDGPAQTGMRVCPRTGSSPTYAATWTSGGHGLKWWL AVEET UV8b_01656 MAEHASGKLRPLTAPDTADEVGWDPQDLPPKDEAHLDTWRGWVV VAAASCSLFTYMGVIYSWGILQANMADTRGYSLTALTFVGSLATSFMVSACILVGKMV RKFGYRDVALAGAVFAGLGEFLSSWVVGHLWALFITHGVLFGIGGGLTILPCSTAPLQ WFRKHRGLATGIVFGGGSLGAAAMGVVVDKLVDKVGVEWTFRLLGFLLWAVCLPAAYC IRQPHTSTSLVPKLQWYRWREPEFLVILLGGAISCIPVFVPPYFIPVFAKSVSQSGST AVIALTAWNLASTFGRVFAGYAADSFLGPLNSIIVSLFLSGVSGLCIWPFVTSFGLLI LFAVVNGIGCGSFFSLFTVAVGALFGAENTMGILPILWQAWIFGYFLGTPIAAGLYSL AGAESGVASYRPAAYYAGATSFAGMLFMVALRLMKTNKILARA UV8b_01657 MRKKNHDYWFLIPRRKLGSAVGRRHVKKPQLSRCLGNETQPRLQ CGPSTSSPSEYESAWFITAYFIAHPPKDLSRNSSPTTPESLNNHT UV8b_01658 MSASAAVARRAAVRNPLLGNAQVLSRGLTPALMARHVGRQSKVS ALALLIPGRFVSTDHHRPDTHTGPPPGFNMEQAKKPLPKGNNAAAAKDGDAKTASAGT SQPDTTTEEAQMTELATKMDNLAHEKEMTKAEKQEKKLTMWQKVKKEAQHYWDGSKLL ATEVKISWRLALKMAAGYDLTRRENKQLRRTVQDLGRLVPFSVFIIVPLGEALLPLAL KLFPNMLPSTFEGEKSKEKKATVLRSTRKEVSTFLRQTLKETGLPLSQATAQKEEFAN FFRKVRSTGETPTHQDVIKVCKVFRDDMTLDNLSRPQLVSMCRYMNLNTFGTDMMLRY QIRHRMRQIKRDDKAISFEGVDSLTVSELQMACAARGIRTHSVSPARMRTDLQSWLDL RLKEGVPSTLLVLSNAYMYGQGSGEGASQVDALVGVLSSIPEELFHEIELEVHNAEGA ATNKQRLEVLKEQQELIEEENEQNEESQSTGMATPRDVEDIDEKEERLHTAEAAGVEE KLASEMVDAEGEQARLVEQQDATTKADKK UV8b_01659 MAVSDHNHDQENFSNVSWTEHATDAATPTPNPHAPTASSAARRR DDPHGLGAEKLECTVGSPLKENDGSKDAFISYLITTHSTFQSFQKETTTVRRRFTDFL FLYKQLSRDYPASAVPPLPDKQRMEYVRGDRFGPDFTARRAHSFQRFLTRLSLHPVLR RAPILHSFLESPDWNATMRSRSARASLSSDATGPSGVFDNFADTFINAFTKLHKPDRR FLEVKEKSDRLDEDLGHMEKVIARVVRREGDLETDLRDLAEQFQKLVALEPGLEPAVH AFAASVEDTAQHLHQLRDLTDQDYLGSLRDMQAFSLSLKNLLRAREQKQLDHEQLTEY LNKSTGERDSLQSGHASSGAGSFIRAKIEDVRGVDHEQARRERQRKLELRVEELTNEV ERARATSDMFDDEVVREVADFERIKRAEFKAQLGGLADAHVAFYGEVMDIWGKYIREM EKEGIAAA UV8b_01660 MKVASLAGLLGLAAIACADPVSRDYVNNDYYVLHLDAGAAPEQI ASRLGLRHDGVLGSLSRHHVFSAPRSGSDVVKRQLSDQALRRRSAPGESDILDKVLLS RKQKLRQHLHKRVIPPPPAGAVLPRAGNAAAAVDWAVKKQSSVMERLQIKDPIFKDQW HLFNPIQIGHDVNVTDVWLEGITGKNSTVAIIDDGLDMKSRDLKDNYFAQGSYDFNDK HAEPAPQLFDDRHGTRCAGEVAAVRNDVCGIGVAYDAKIAGIRILSKPISDADEAEAM MYKYDLNQIYSCSWGPRDDGRTMEAPGVLIRRAMLKSIQEGRGGLGSIYVFASGNGAA SDDNCNFDGYTNSIYSITVGAVDRAGQHPYYSEHCSAQLVVTYSSGSGDSIHTTDVGE NKCAVGHGGTSAAAPLAAGIFALVLEVRPDLGWRDMQYLAMDTAKPVADEHADWQQTA IGKQFSHVFGYGKIDSYELVHKAKTWKKVKPQAWFFSPWLHVKKAIPEGNHGLIVSFD VTEDMIKGANLERLEHVTVTMNVNHTRRGDLSVDLISPANVISHIATSRKDDNKKAGY VDWTFMTVAHWGEKGVGKWTLVVRDTKENEHQGALVDWHLKLWGEAKDASKATKLAMP TDKDDEDHDKMQTVTAPAVTSTLGSVPSPTHSSLVQPTDHPERPTKPSSTPAEASPAS PAATASSSTAAAAAASASASSSSSSSWIDKFPTFAASKKAWIAGAASLIVAFCLAIGL YMWIARRRRLRNDTRSSYDFEVLDDEEGDALAAKERGQGARRTRGGELYDAFGGGSGD EDDDDDEFGSYKDRSAERLAGADDDDDDDDQYVIGGETDDEDDTKLLDKKQ UV8b_01661 MASRGGELPSFTVGDHVKFFAAGALAATSTHAAATPIDVVKTRI QVDDAMKGLNMVRATRSIVAKEGAAALLTGFGPTAVGYLVQGGAKFAGYEFFKKQYIA LGGGPEKAVNHRMGIYLGASATAELLADIFLCPLEATRIRLVSQRGYAAGLASGFTKM ARQEGLAGFYSGFVPLLFKQVPFAVGQFSAHEAVNEMLYRAMGPERKAKLSSLESTGV ELTSGLAAGAAAAVLSHPADTLLSAINKGAGDKNQSATSRMFQLAAEFGPRRLLLTGL GPRLVMTCALVSGQFVLYAKCKELTGAPASIEIHKEQAL UV8b_01662 MASEDEVETFFLNGTENDKGLGQYTPPASFAQSVRILKGSGCCD DSSSMAGKHQYNSMSDSDEAKSPLMALEHQETRIPDLVHENPPWVETSRASTPGETRP PSVSFSLQSYLDDENDLEKRLVGAAELSPGFQAHHMVKQEVRAQGSNHKAATAASAAL PGLETTNVDDGGLSQLPAMSAAEEDGTDALTHEGMSLGSHSPRSTTTRASASTLSSKG SSNDQALAPGSVSSRHVAIHRQLEEAGLSGLGDGSHSFNLYHRYESFDLADVLFFYFP SFIFFLKSLADDAAATRAGTLDTKRWRVHKKKRMVRRGMREEGQQKK UV8b_01663 MPFTPALIVVDFQQDFCPPDGALAVPHGRAIAPAVNALLALPFA LRIATRDWHPPDHISFAANHPDADAAADAAAVATVVHPDDPARSYPTRLWPTHCVQGS PGAQLVPELHLARVHAVVDKGRHPRVEMYSAFYDPFRVSDSGLAGMLRRRRVTHVFVA GLAADYCVRATAEHAVDEGFVAYVVDEATRPVCPGEWAACREALARHGVGLVSVAGDE VGRVRALGGSASNAASDAGDEAAAAGGPDVRMCGDESASAAV UV8b_01664 MVSTSSLVIHRLSSPPASKPSAHTQSAFCLDQTVAEDPRKNKCR KPNRRVTFSNNVDMISSSFLPTRFRGEPDRSAISPAPSPVGKKITPLLQFLSKVACSH PIHTVVVIALLASTSYVGLLQGSLLEGRATLGKADWSSLVDGSRDLRAGPDTAWKWLP SVDQAASAAARVDHLALLTFVFPDTLSTDSRSSAPRSHVVPTPQNLSITPLPATENSF TTYTQDSILAYSIPYDQAPEFVAAAQEIPDEDAQETTTHHGREKKKWIMKAAKVNSRT SLVQWLSNAWVEFIDLLKNAETLDIIIMVLGYLSMHLTFVSLFLSMRRMGSNFWLGTS TLLSSCFAFLFGLAVTTRLGVPISVILLSEGLPFLVVTIGFEKNIVLTRAVLSHAVEH RRTQGRDGKPGKESDAGSKPQGIISYAIQAAIKEKGYEILRDYAIEILILSLGAASGV QGGLQQFCFLAAWILFFDCILLFTFYTAILSIKLEINRIKRHYDMRMALEADGVSRRV AENVAKSNDDWSQTGGSGSKSTTLFGRMRSSSVPKFKVLMISGFVLINAINICTIPFR SASSLSTIRSWAAGLGGVVSTPPVDPSKVASRGLDAILAAAKASGKATWVTVLTPIKY ELEYPSVHYALTSSIGDAGAGSGDLSAQFDNYGVGGRMVGSLFKSLEDPMLSRWIIVA LALSVGLNGYLFNVARWSIKDPNVPDHGIDRNELARAQRFNDTESATLPLGEFAPPTP VRTEPVTPALTDDEGEGLQMTRTKPTRSPYPSEHRSVEELERLVTEKRTHELNDEEVV TMSMRGKIPGYALEKALKDFTRAVKIRRTIIARNKATSELTNGLDQSKLPFENYNWER VFGACCENVIGYLPLPVGVAGPLVIDGQSYFIPMATTEGVLVASTSRGCKAINSGGGA ITVLTSDGMTRGPCVSFETLERAGAAKLWLDSEAGQNTMKKAFNSTSRFARLQHMKTA LAGTNLYIRFKTTTGDAMGMNMISKGVEHALNVMATEGGFHDMNIVTVSGNFCIDKKP AAMNWIDGRGKGIVAEAIIPGDVVKSVLKSNVDALVELNIAKNLIGSAMAGSIGGFNA HAANIVAAIFLATGQDPAQVVESCNCITTMKNLHGSLQIAVSMPSLEVGTLGGGTILE PQSAMLDMLGVRGSHPTNPGDNARRLARIIGASVLAGELSLCSALQAGHLVKAHMQHN RSAAPSRTSTPAPSPMTPVSLAMTSAQEKCNMSAAAQQRSKR UV8b_01665 MPPGSVAKVGQLETFSLPETVSGTLSDRATAKAMIDAWRRDGIF QIATSPSQQRLYDRATAASRAFFKRPPAQKRACVNDSSYAGYVASGEEVTAGVADYSE IFTVTKELKSSEYRVRNKWPCHGPCPWPDDGMRAAMTGYMADWDRSGGKVLQMVELGL AVPPGSLTKYTRDGWHHMRVLRFPPRHCTNGKGKAGRGIGSHTDYGLLVLAAQDEVGG LFVRPPRRGESFANWDESSAGMMEDEPGWVYVPPAPGVFTVFPGDMMQYVTNGFLRST PHKVGLNARERFAFAYFHEPNFRAVVRPLPGYDAGQQPVDGVHYGTHFTDMALRNYPR RLSTTKLVREGRYAMLRGDSLRSDMGAVDPLRNSSRVSRHCGQLLTAG UV8b_01666 MPPRKRADDAADDATGEPRPKRRSFRQAAAAAAAAAQAKGAGED EEPKRPGKARRKDAKPAQTQTPATAATPLTSKTSTCAPRDAARRVSEDADADAIPTTN PEAPRHQGEWYWLMKAEPESRLENGVDVRFSIDDLRAKTRPEGWDGIRAYAARNHMRN MNAGDKAFFYHSNCKEPGIVGIMEIVKEFSEDASARRPGTPYYDPSSTKENPRWSLVH VEFRKKFAVPIPLKELRELGKPGGPLENMQMLKQSRLSVSRVSGQEWEALCRIADEKA AERGLKHETG UV8b_01667 MYTLSNVAQHRLAGPDDVYVLAVDQTAAGLAALSSDQQLTLFSP ARLSDGPLHQWPTQHGNVTALRVFEADTSLVCTAGEDGTARVWDLRQAAWQGACVAQL AGAPSPILAMACDPSTRTVALGTELRNHTASLLLWDVRAAPRPRAHYQDLHSDDVTEL AFHPSQPAVLLSGSTDGLVNVYDTRVADEDELTVQTFNHDASIHRAGFLSPTELLALS HDEQFAVYDMAEGRGGGGDVAALRLGDLRTALACQYVADAKAKTDGSGAVIGAGAQDR QHFELVFLAANEGRGPRWVLDRGSSVGLPGGHGGELVRSFCLVDDAQLVFTGGEDGSV KAWRPGH UV8b_01668 MVKPLSFKGDKKPRKRKRAAAADRGGDDPGSDDDAHDDSWVCAD AAADVVGPVMLVLPTDKPSALACDANGNVFALPVENIVDGNPASAEPHDVRMVWVANK AAGTDSTRFKGHHGKYLACDKAGLLSATSEAVSPAECFAVVVVAAADGAPGGGGGAFG LQTLRGSYVAARTARKPSAAPAEVRGDEEDGAAASAALRIRMQARFKPRLKASREEKA LARISRRELEAAAGRRLGEDEVRVLKRARREGDYHEKLLDFKVKNRHDKFG UV8b_01669 MAKQAQAVTVSLEDLSSGNISFETLQEAFGPDSLGILVVKDVAP EFAHLRRMALSYASYLGNLPAEQLAKLENPEAKYLIGWSLGKETLRDGRADTFKGSYY ANCAFYVDPALECARPTAEFSPETFPDYLSPNVWPPEDVLPGFKPALTDLCRLIIDVA VLVARACDRFAEKEIAGYPRGYLERVVSTSTTTKARLLHYFPQDMAHNDGTSEDDWCA EHQDHGCLTGLTAAMFVDEEKVNAAGLPAAAAQGGRAHPHLPPLEELPASPDPSAGLY ILSRAGETYQVRIPRDCIAFQTGETLERITAGRFKAVPHYVRGVRAAVSGGAIARNTL AVFTQPNLGDVVDMPEGLTFGHFARNVVDRNTVS UV8b_01670 MVCLNKADKGTFRHQSYNQSPPALAAHATTRQDLNGIANLRQYV AAGQKASGGATAGLAGQTVSSEKLRRQLPGDSALATTGLERLWSWITWILSVLIVSYL FSRLRAPTCRCASSGTPSIPVLSARTHETDLPPKLVKRSSCATGGVNKDAYNTGLHAA ALFIIWFVSSLACAFPIAAAKFPGLRIPRRFFFAVRHFGTGVLIATALVHLLPTAFVS LGNPCLGTFWTKDYEAMPGAIALLAIFLVTVIEMAFHPSRHGPPADMVARRQAKDRTG VESADPEGHSLGDMGPLHGLPGSMARGLPQLNRAAPMDEISLQAPAGDSTPAKISAES ARPTLEEPTLTPSQKRDKERLQCILLEMGILFHSVFIGMALSVSVGNDFIVLLIAIAF HQTFEGLALGSRISVIAWGSRTWQPWLMAFAYGCTTPLGQAIGLATHSLYSPESEIGL VLVGVMNAVSAGLLTFASLVELLSEDFLSDESWRRLRVKEEEEEKKHSLTGRVNKHLS RPL UV8b_01671 MTFAQNVYKAFYEKGFRTWHALKVQACLHRSVPLHCLDIHHLFP QHPYFPFGLEPDETGLATAITTWKPISESCHVPAFEQQFQLSLSVARPTTLVVTRATD IRLPPLLDDGENHLAVLVAAWAYALSARWAAVISRAAPLEYLDSQAAWQDSTSPSSAA TVELGLVSDDAARWWAAVLAPGQGWRASIPHHRGHLLSPWTVTWKSEVDVVLSRCSAS TALDSHSPPSFEAAVQYIEEYSALHSARLQNRAALATALLLPLAKLENRRIMLPLPRM GPGSQPGVSGPQSVGQEYLPQLDRLLMLSCNMRGIQSILGSVFYEPGIPSNVCGAWLQ GTFAVLKSEQARKIDVLARMIFKRSPHISFLWLGALISGVHKNFTNCPFALIGLNRID LHEAAWTGTLLSFIQEPVSFTPGNPGRITRADECRLAYLGQGLSESKLPPIFPYPPPG TTAVEDLSLDVRLHAACSGHHWLRCSKIKWTCAGGRVVTQEQSGTGGALQPRNSSGSG SRCGGDVSVGVDYSQFDSESNLSGSVTRNIFMWMREQDGFPVAERGIYQHEWFEDFSD DDSVWCVEGDAKSTTGRDVAVRVGLWASRVMTKRCNSL UV8b_01672 MKFLLPLALLTAAAAAAAAASQSCSEEDHAEISGCLAAISSREK NCASDPICLCANSVQLVHCFVRCPGGALNEMMEEKSRRLCAVKKGPSL UV8b_01673 MAVPPKFAGHKLHLAPPSPSTHTFELYVDYACPFSAKLFRTLTT QVFPAVRDRPSWASSLTFVFRQQVQPWHPSSTLLHEAGLAVLRLAPDKFWEFSARLFE QQTDYFDVSVVNETRNATYRRLAKLAAGAGVDEARVYALLEIPDKAADEESLNVGNRV TDDLKVVTKMNRLVGVHVTPTVVFDGVVQDTSSGWTVDEWKQWLDKNVV UV8b_01674 MGSLAAQAGLLVCALAALSSAAAPSGADVGVLGQKPLEPILFQE IDNTSPRRPRGLDVWLPTNAPNATEYRAYSSKGFAGGRGISIITTRQRIASFAHVSRS PDTNTHSSGLFEKREVPGKGRGLFATKWIRRGDRLFTETPILLIDDSVYVKGVSMVEA LEDEAIGKLPPDAQEAFWSLHAKPSVAHAATARIDPNAFEIPLGQANYYGVFPDISLI NHECRPNTAYEFDAKTLTHSVYAIMDIPPGAELSLTYIDVTRTREERMERLRHGWGFN CTCSSCSLQAELSDASDKRLVQIDDLESRLGNGGWEFASLEMAEALISLYQQEQLDIW LSSAYGYAALMHCAEGNYWKTIRYAHLAVESHLLNASPSDIPLANMRKIAKDPTKESC WLIRVRGGTGTDDEVQSR UV8b_01675 MPQPRKPTLGEKLDLLPALASILFAAFAALLCAFRRGPSDEPSL LLHVLYAGTRKLGSRLNSSQLQYVFPDTEEAYSRQAKAHKLAVQTVELPHGAKGNWIG DPDADYVLIWYHGGGFTLPATEGHLNFWTKFIRDRKDRKPSLAVFFPAYTLAPAARYP TQLTQAVEALRYLVDTARRSPSRIILGGDSAGGNLAAGVLSHAAHPHEAMEPLTLAEP LAATVMMAPWTALNETPVRLAGYPGGDCINPGALAAWTRNYMGDAAPDYYTDASLAPP EWFRGLPTKKILVLAGQNEYLLPSIRLFVEKLEAGYGPVEFHIAEKEAHIAPFLNLLF YYRTPTGQGKRLQSWLQETVI UV8b_01676 MADAGQSSITVAVRVRPFTIREAAQLQKTDDSPVFLGDGSLAGA PAPKLHQRGLRSVIKVVDDRCLVFDPPEDSPVQRFSRSVVPTSKKVKDQVFAFDRVFD ENTTQSDVYEGTTKTLLDSVLDGYNATVFAYGATGCGKTHTITGTAQHPGIIFLTMQE LFEKIEERSQDKSTELSLSYLEIYNETIRDLLVPGGSTAGLMLREDSNQAVTVFGLTS HHPKNVQEVMDMIIQGNEYRTVSPTEANATSSRSHAVLQINVAQKDRNADVNEPHTMA TLSIIDLAGSERASVTKNRGVRLTEGANINKSLLALGSCINALCDKRQRAHVPYRNSK LTRLLKFSLGGNCKTVMIVCVSPSSAHFDETQNTLRYANRAKNIQTKVTRNVFNVNRH VKDFLVKIDEQMALINELKAQQKDAEKMFFAKFRKQCDKRECIAREGVQRLRAAYDHS HGERQERITWMKKLKALERRIGLLSGWLAAFDTVCDQRGDEDSMPQNLSVVRKTAQGI LVELESSRQHIHQKLGKTSWERAIETALSHSLQQLDGLEGADTGEKASLTREAELLKV NFGREAYREVLEHERAGDVAMMQMLLTAQFDMLASLSDTLAMDEESAVAHAKEIVHKL LDTGFAAAGQVVKPEGPLPVVEFVPPSRKGTPKRKKGLASYMKPLAPPALAAVQNEHA FASPMKSSPRRCKVFSAAKKGVCFTPVKKAKKAGVRWRDDESIDGTLADFASTPQQMD ATPDPSRTKKARAASSPELSCLAEKEPTVEMPGPEVEAEDASDLADDSSTLSIKPSRF QAGFLSKSSRMSSAHSQSSPLPVPPTFSRSLSYGSPQTERQSPLRALLDATKSHNVSP PSLPVLRSRLSPSQASKLRTIADENNPPSQQGTPSSSSSDSDSSLIDGRKLRCALQSA KGRRPSALGGPASGSLGRRVSSIASLAERVTTARPSMPAALASSTNGISRARRGSLER RKSPPLTCSPEFKGDRNLTAGQARRMNLGGSVRVENAGGSSSPKNGVKEAPRRVTISV GSTPAGQRRQEGRGATWR UV8b_01677 MDMASTTTAAAMPSRPTAGMGMGMGMGMGGGTGCKISMLFNLYT IDACFLSSQWKITSAGMFAGSCIGVFLLALSLEFLRRSVKEYDRFLVKQHRAKYAGQT AKPSTSDSATSKDGLQAQAPAGAACVRVPPFRPNVWQQGVRALLHLLVFFVAYILMLL AMYYNGYLLICIFLGSFIGAFVFQWETLAGAQENTSAAQEATVCCG UV8b_01678 MGNCVSSDGRDGRAAARQAQRFGWQKHSPSRVCSVGAAQRLTQD GRIVAACTEYSSVGDGPCTNPQDDTLTGWNIPRRANVQQHHCRQPCPPPPPPPRESRE SRETAGWRSVSPLSSNAPGDLGTISDLSDDDDDRAGWRAR UV8b_01679 MASAVVPQEEHRHPSNGDAAAQSAAETELATSRRQRLSDIFTIF CSGFALISDGYQNNLMTMSNVLFKKEYPHEYTSDVSTRVSNALLVGEILGQVVVGLTC DYMGRKTAIIMTTLLIVLGGIMATASHGATIDGMFWMLTVSRGIVGFGAGGEYPAAST SASEAANEHTPKHRGPVFILVTNLPLSFGGPFAVSVFLIVLSAAGADNLSTVWRVCFG IGVVLPLSIFYFRLKMLNSKLYRRGAIKRQVPYGLVMAYYWKSLIGTCGAWFLYDFVT FPNGVFSGTIISSVASRSGNTLRSTAEWQLLLGAIALPGVLLGAFLCDRLGRKNVMML GFSGYLIFGLIIGCAYDQMTRIIPLFVIFYGLMQSFGNLGPGDMLGLISSESYATSVR GTCYGLSAAIGKAGAAIGTQAFTPIQNRFGKRWTFIVAAICGVAGILVTWLFVPKLTG EDLAKQDEKFKTYLVENGWNGEMGEDDFKARAEDVEDVPGGLGDKAVKAAA UV8b_01680 MGALYYLLHPNQLRSIIQWKLWHDPVHRRNPNTESETLRECFRF LNLTSRSFAAVIQELNHELLVPISLFYLVLRGLDTIEDDMSIRLDEKVPLLRNFHKNM EIDGWQFHGSTEKDKELLEKFDVVITELKKIKPQYYAIIKDITVKMGNGMADYAQNNE IIKNGVGSIEDYELYCHYVAGLVGEGLTGLFVSSELGNPKLAERPNLTKSMALFLQKT NIIRDIREDWDDGRRWYPKEIWSRHVDKWEDLFDPKLQDKALACISEMVLDALKHCEE CLFYMAGMRDQSVFNFVAIPQGMAIATLELAFRNPDVLKKNVKITKGDACQIMTECTQ NLYTVCEVFRRYARKIQKKNDPRDPSYLDISVRCAKIEQFIETLYPRQDPKKLTQEND ETQKASTTNTGETMAMFGAVILCLLGVSGLMIGTAWLMGAQFPNLFKEARTVYDNFKG ASNPAITGIRDEL UV8b_01681 MASVRGAFLAVFLLWIQLTAAAPISLLHGLFGGIFGAGNNRIAQ GNSQGGGGRNSGKSSTPVSSSPASSQTPSKLNLPVVNFGAADIIPNRYIVVYNGSFSS EAIDAKMTSFSAAIKKRNLNKRGLGDRLFSTEIIPFKLNKWRAMALDADDSMIMDIND AEEVEYIEADHWVKTQATIAQTNAPLGLQRLSKASPAEQSSYVFDESAGQGITVYVVD TGVRASHVEFQGRATFARSFVSGAQTDDNGHGSHVAGTIAGATFGVAKKAQIKGVKVL DARGAGQNSGILNGLQFVIDDVNANNLRGKAVLNMSLGGSKSPALNRAIQAVFNAGIV PVVAAGNDNRDAADFSPASAPNAITVGAIDAQTDTKARFSNFGRDVDIFAPGVNVLSV GIGSDIDQKVLSGTSMASPHIAGLAAYLMSLQEIEDPGQVVQLIKDLASRTGARVVNN MLGTTPLIANNGNA UV8b_01682 MASSSPRPRPHDDWGGALPPTSPTNLAANAKPLGNPWSQTAVRQ GVSQQAKQWAFGRAPPGLPGQSWSNPRLLLTAYIHDPSRPNGTPKTWDNRQPRIQSVV ELAASPYMAPGEGG UV8b_01683 MASADSASIVIVASQNPVKIGAALDGFSRMFPTSTFKVRGVSVP SGVPDQPFTDQETLQGAINRARNARNAEPGADYWIGLEGGVDAEADGGPMQSFAWVAV VGKGEAGRGERVGKARTSTYYLPEETARLLRDGMELGHADDLVHGRTNSKHKSGSVGI LTGDVVNRQSYYSEAVVLALIPFKNAQLTF UV8b_01684 MGLVFSYLAYYQVGLGLAPANSQQPTGQGFIPIIPISSLVIALI YSTPLSVPQGVPTPQPKHYARLCQNHSSIDWSCAPATHSSRWAVICKSHLES UV8b_01685 MEEPSRAAGDSDLALPVRGGLPRDGFRKSDNDVLVHDLADNGFT SIMTSSMVTAFLNAASSPVSPGQSSPPLEQAKSPVIVPPTRPSSTPFPLFDSRIGPLC YIDDPEYGTHVGMHDEPPSLASQGAIAYQSQPSKFVELPIEVHEAILDHLFGYCVSPT SSSAMRMSSLTRPWCSALRHCRRRELADLALVNSVWRSLVQQRLYRHIKLKATVESID SANIHLAGKPHLSCHVRHVEIWFPVFQPRYGPLALTSALAPPTVTFDGLTNANYTLPV NNCTVDEVFRFVSHTLPSVKVMTLEGGERRKAPKVVYFGRPADTLSLGSLEPITSVQT LVTRGQWNLMRDSNDFRTILSALPNLRDWQASYSKPKSKSYITVAEFVPSLPKRITNL SLSLENDYRREGMVAPFYAKVAMKTHICTRMAEVTSRLEHFSYTGRICHRFFDVASRL ANPATARLRSIDLTVKNCCRHSSNYLDSGSGIQELGFIEAFEKLVLSALRSLEKFKEI QYLRIRFVDLDSILPPLNPYFLMADGQCSGVWSDQIVAEMTRVRPRAVFPELCESFGS ISYSKDGRMVIMPEYPRTRITSLKLSNYRSLATRITIQ UV8b_01686 MNQKNTNNANAHDALPPHADVGIKINIAIAIAIAIATPIPVGIS TPIPVPIVPPPPRPPVPRRRRPPPRPPRLRRLPGRPLRRQVHRHRLPRLHRCRPPRLR RPVALRPRHVPLHPPPRVAAAPHRRLPPPRQARLRPRRPPRRLARPARPAPPGFPPPR AGAFAALWLWNPMVAAISARGSCEGLLGVLTAALVWAVEARRVGLAGAVLGLAVHFKI YPFIWAGAVVWWMDRERMGGPRAGPAAAPEEPASLGALVRGFVSPDRMKLAAVSLATF MGLNALMYSVYGTPFLTHTFLHHVSRIDHRHNFSPYNTLLYLTSAEPASSLRAESLAF LPQLLLSCVLIPFVLAKKDLATSMMAQTLAFVTFNKVCTSQYFLWYMIFLPLYLPGST LLRKPAVGVSALVLWIAAQAAWLQQGYQLEFLGRSTFFPGLWAASLAFFLVNCWILGI IICDGAVTHDKLHVE UV8b_01687 MSAGVKRDRDGNARGKGQDLPRGRFHSMFEVFRDELDEHHDRRE RIVKASRDVTAQSKKIIFALQRVKHLNQELPPPTAQDVDTRLAEIARLLQSVAPDVSS INRYRYAWNLRCLEELVEALSLMHYLRHQRLLTPQEAQSAIPDVTLTAHDYLYGVFDL FGELMRFATVTTAQHGRMLGGGGDDDGRTILADMQELGCAFELLREVPTKEYRDKMEA ARQSVGKVEKLGYGLAVRGSERPSGWVPDVKDERGAASPPRG UV8b_01688 MDAGFHREACLAEEAEMLDMAKRISESRGRPTQPPVAAEPPTSS FDHFSNPSAYQSQWDAIYQDQLDDLVEDQALLDVPGPQAGSAAVAEATPGLGETHSDA GSTDEAAHVALNGLVHPDGGAALPEVGMPLGGLPGLGGQLPSTAPQMTPHSAAGQNLP SAVAAAAAMAPQGVASWNMMPQPTPFVNPQSMPDFSAMSQPFAPMAPPAIPQPAFAMA PHPMPGLGTFPPAVNLTEPQTTSGSAGFPQTVPHMALQGAPGWNLASEAAVPAMAPRS MDWWNTMPQPAFTAPQGMPDLNTSSTPFAPGPPRGIPELISVPQSAAATLPPRQPSSP LDEEASLRGLCHYSTFQLPVPAKPGGMLGRIDDMHVRRLLPLADLQPYPIILVHGDFH TGQVWIKKPDFGDGWSTYFTDKGFTVYVVDLPARGTPSEEDRTAFLEPPARLDVKRVQ TELTASEKNPAFMHLRSVLHNRWPGTGLQGDPIFENYYAGLVPLQMRKEYRQSMAQDA LFALLKHINRPAVLIGQGAGGTACWLAADAAPELVCCVVALEPSGPPGGTAEVGRGGA ASSLARTRRDAQTRAYGLADIPLTYEPPVEVARPDANGNIKPPLDLSLYVVDSRDNRK GANSYWLLQRGDESENRVELDDDGNPIPREAARKGTIRQLAKLKQVEHHMVLTSDTSR HSVFDGATVHFMEQAGVRTCWIRLGGKMDIKGNGHLMFLEENSDQIAELAFWFIESRL PGVRINMPGGLGKRFGFKVPHEEESGMEGRCVDGVWGQPDMACGVAF UV8b_01689 MSESTPLLLHEPPSERPLPAAYDAKSLDARSTCSSGSSRSDYAG TLLRDVIIGFSDGLTVPFALTAGLSSLGSTRLVIMGGLAELFSGMISMGLGAYLAAVT ERDAYASRRRREDARGAACPPEERRAEVLAVLVDRYRVSGRAAAPLADELCADRDRWV RFRMDVGLRADAPDAHAAWASGLTMGLSYLVGGLIPMLPYFAAGDARTALGVSVAVTV VILLGFGYAKNWVAIRNHRAGVWGAAQTLVIGVLAAGTSYAIVKVLDRGEV UV8b_01690 MASTPTGVPDPARTDAGSVDPADFDHPDDASEKDLSEIDPSAIK PRPLTPDPRAVAPETPATPRRVGLRETSSWLWAVVAARAKGLGRRHARPDVESQLEMP ANAKQSVAAFLVLASLVLSVGAGTAVGLAKRDGGLGLVVSTAVLVVLLVLVKAAKVDA UV8b_01691 MWPPTSPVDTLTRPRIAVAVVSVVAAASIGLYAYQSNRHGARVG TPTGPGLHRSNAVRRPRAAAAAAAAAAAEASSSSPSDTPGDENVDVTNLRIPADAETV ADVDNGDDWWNGPSDFQPSQRAGHNIVNLLFRVSEDNARRNGCVHRGCQCNSCGMVPI RGVRYRCANCADFDLCETCEAQGVHIKTHVFYKIKVPAPPFGPRQMQPVWYTGDPDTC RRNLPRNLISRLSRDTGFERPELEAFWEQWTYMANTEWRDDPDELCVAMDRKTFERCL VPTGGSRHAAPNLIHDRMFYFYDSNRDDLIGFAEFLQGLSYRKRKDKLRKVFEGYDID GDGYVNRRDFLRMFRAYYVLYKQMHKDILDGLEDQLLASTEAQQLVSSRQPLSSLFGR EGRVPQGDSSSRFEGKTIKRDGTVEVADGYTSAFTESRGDTARREDILASLFAYDTDS RPRRLLARNDADTNWRTVRRVSGFDLDRAYWSALLDPPTTLEEMPHIFNAAQESSDAD SEADEEDAEQEEAGEGEGEEDGDEENKEEDMNEDSGLSYSEARARDAARSRRLAPKLE RRRRDMARKHLHERWRRRQFYLDEEEGGVAPDDWDDDEDTLAKINRLAEEAKSAEAAP PPLTTRSRSSSKVRFAEDTDDFETRSNPSTSSRSVPERWGGMDIPDAERDAGKEILYQ VTQQAFNELLDTIFKKAEDMAVTAAETAEDRQRFRAQIDAATELPDTGVAAAAAAAAA AQEQKDAANAAGSAPRASPDLDKSLAELLAETGYTVVPGEPRHAVEISTAAAETPAAR DPTWPQFRPNTAADTADRPSPRQPAEATLRYWKQLTAAEAQASERGGWGRLSFAEFEE IYKSQGDLGNRLDYLGSWIDFCIP UV8b_01692 MSDRSPPPVPGHLPSSFDTDADFHNERPMQKVVRKLKEEPLVPL GIGLTVFAFVNAYRAIRRGDSHQANRMFRARVAAQGFTVIAMVAGSMYYSQDRQKTKE LRKLKEEKDAEEKRQRWIQELEARDDEEKRMRDRLRSMRPRGAGVAAESQDQQGAEAQ GTGGILGKMGLWKKGEEKAEEARVMDDKGKRQNPKSSLGAIGEVLNKDKENETKK UV8b_01693 MGQSHSHENPRRRSHEDLAHELASKFKDLCFTSLEYYSLRDVFK SLADQQGSIRYLREDTIARYLEIPDVLGASPVVFQMVSYLGAFPFLQDAPVVLELPHV VMVIVIMTERYKRVLARGSTDRTKLIFNSLAVYDRKASEIEASPALTVPEDDAPKATI GAGFTVDLAGQDETYDEDEDDLVLTAYELLDITEAARQGNAPAFHGAIIPTDNLRKLL MLLLLAAPLDAQESLSQYSDRVTGDELERLRATAECILAAFVDVETAPGIKYGRFKKM IPTLFPNLFSSFNALFENFLFSKNLDFSKHRDDGGGKPETAAPKPAQPLLSDKGDLLN SHMLSQISLFLPGSSLFRRVRRLYSGSDAGFSMGSFESKVFHWRAPTILLVSGTILSD VPRGGQEASFAELLPAKRFRKGSNGGRVTYGVYLREPWKHTYKECFGDSETVLFQLEP VHDVFPASTINTDYVAFTRTPGNQSCLSFGAPHAKPRKSSRQDAHYSFGAVSLLLSDS FEFGVFNHDYTCRGGAFHSSVSRKFDFQDRFEIDQLEVWGCGGEAEARIQVERWAQEE RDAEARRRINLGTGDIEADRALLEMVGLVGGNRSGGSMG UV8b_01694 MERKRKLPPRAAARQEQAAKRRNVETRGQSSTPAVVETPPREPT PPPRLPTPPPPLPKHVEAGKPLPTVEEPQAADLSSKEYQSLSESGVMSESLSRSRHKW LSDGLFEKFWTKPHKRKGMLQEDPNNPPKDSMMKVGLVNMTIGPHIVEATMFAVKTFK LLPHQQLKFHPKPQPLQQPPGQAQTASAAQGPPETAQETAQAPAQAPAQAARASASVW TAQRSDASPINSVCANCYLYTCDPCCGTGTGTGTGTGTGHFTANINRSQTGTPGASPA VSVASAKAMTSPSPAFTVKAQPSPSPSPAPAPPAQTQPQPQHLQPKALSSATPRAVQP APDPVTSTSNPQRAASPAHPALQPTAVTQLVARPPSNLPLKPGSVPVSTGTPPQKTNF RPPSAPNPCPPSRVNKAGAPSVPAAKPAANDPVIALLAQKASRDPELRELMKRVAEGK AKEGELAKFQKIIDQLNAEYKQKGGQQGLSADRLLVDGRTVKYFADEVRTILDIVLAS NPNQKSSELRPPPRSDPLVVLLVKTALEDRRSQDMIRRIAEGKHGATDATDLKQILDR LHRDAKTTPKTPAPGPLPATRRQATHGHSGVAAAPPAKPNASQNAPNGNPQALRSKGP PPAANRPDISAVAFDFGSGDRYLFPKYSVLEFLPSQAGQQVVASFLIVRKGSISEYGG DPKLDYYQPVTIRLQTNTGRHLENLARVVAPQEEVRRYMNDVMDNMTRAEYVLLAMRL PRVDFDPEKAVPGVDDCKANSPTPKSEPETEQSCTPKPGVLWTTGPGGKDANPSLSVK FQDPEQEAQTKYERLIRSVAEKEVDSV UV8b_01695 MVRSLARTASWAAIVPLAVYAAFWSLAMIPFFQRHFLYAHKINT LFWADVNEPERWGFAKHQVAPFHLRTPDGNLIYAWHILPLPLYQKHQANIAQGASSDK APLDVAATESFRLLRSDPEAKLILYFHGNAGHVAQMYRADSYHSLTDTSSYHVIAIDY RGYGHSTGYPTEPGLIQDAETLVEWSTKVAGVSSDRIVLFGHSLGTAVVSGVADKYSR QGVDFAGLVLVAGFSDLSNLLTGYRIGGVFPVMGPLAAWPSVVRFLQGFVVDKWHSAN RLASIVRHSEKRLRLELIHSYWDLDIPWEHEEILFQAAANATTNGLTQQEFDRFKKDK TQFSSGGAGFVAAVKAKPDIVIRQELVMNGGHSDIVSSSAVIMAVMRCFEQAD UV8b_01696 MADEDAKPVEATARQDEEEQVPHDGESASEDDGNPPRAAADAPP AASSTPGSRSKSRRKKIKQLLTAKPGAQGHDAMVKSAIDGLVPEQMKELAALNPSLMQ ELASAAGTADPSPEQVAAMLKPMNLSDVMTGLAASGKNVKDMGAYKFWQTQPVPRFGE DDAQRAHEGPLKVQAAEDVDKEPAALVAGFEWVTVDLTDDGEMKEVYELLNGHYVEDD EAMFRFNYIPEVLRWAMMAPGWQRKYHIGVRASQSRKLVAFISAIPVRIRVRDKVFST SEVNFLCVHKKLRGKRLAPVLIKEVTRVSNLDGVWQGLFTAGIVLPRPVSTCRYYHRS LNWPKLHECGFSPLPAGSKPQYQIRKYALPEKTATRGLRPMEERDVDAVVALLARYLA RFDLAPEFTADEAKHWFMPSRDAKQQVIWSYVVESNGKITDFFSFFCVESSIIKTDDV LRVAYLFYYATETGLGTPPPDRPALKARLNGLVNDALILAKRAKLDVFNALSLMDNAL FLEQQKFGGGDGQLHYYLFNYRANPIAGGVNARNQLDEEHLSGVGLVMP UV8b_01697 MSEPHHQEDACAFPSVQETLNHPAYASAIWALEPHAHGRLPVAH GRGGPLSIYWELHGAGPTKLLLLMGLAGTVASWQIQTHYFGHVHGDRYTVLVLDNRGV GRSDKPLGRYTTSAMARDALELLDHVGWAAPRSVHALGISLGDMVAQELACLAPRRLA SLSLVSTSARALTTGGGGGGGGGGGGSLPWHAAMWRRARLFRPKPEEPAIRDVALALF DGGFLAAPDAVAPPSPRTSPRCGAAPAPAPASADGEYPRFGSNFQRFQALELHKRRAG GGGGGGGGGSGGALAAAVGFACQLAAAAGHAKSADQLARLADEVGRHRILVLHGARDR MLPVEDGEALIRALRPGVAAVVDGMGHAPVFERSRWFNEWMDGHLQRWSGLEEG UV8b_01698 MRLSVASTVLALAAATAAQWTFSDASVSVGKANKVVEKFTDSAR VKKTVTLGPKDALKVLLTTKEGDKPKRPHQAFLVVKGPSGLEAPFPMAVKENGKGVVQ ISQKDLPAQLLGSSSVLEASLILGSAGPTKGSVTPVFDVAIELDPQNPAPSPAAPLRY GKLAEIHHIFRADPKNPPQIVSAVFALGVLATVPALFAGWLALGGNLCNTGKALGNAP VSHALFFGSIVAVEGVFFLYYTSWNLLQTLPVLGVVAAVAFFSGIKALGEVQGRRLAG QR UV8b_01699 MLLSLLATMLAASPAAALTPLADDHLRSIPSPGSDFDIHTGKLL APILIPRVPGTAGNRLVQKHLVDFFRDSLPSWQLTWQNSTQRTPLSGDKPVPFSNLIF RRDPPGTQAGDVARLTLVAHFDSLAKPEGFIGAIDSAAPCAMLMHVARSIDEALTKKW NEGTAGGVREKQGVQILFLDGEEAFVSWSATDSLYGARSLAEHWESDFHPPMSTYKTP LDAISLFVLLDLLGSPNPRVPSYFLPTHWTYQSMAAIEKRMRELALLESKPSQPFLGD SNKDARSFYGGGIEDDHIPFMRRGVDILHIIPSPFPAGIWHQMADDGAHLDLPTTRDW AKIVTAFTAEWLGLGKHLPARATAGATAGATGGSTTESTLSKRTEL UV8b_01700 MLPLEHGKQHHLLDSSDDLPPIKDDPVQEFFVIKQEVTLDVNFR EQRIDGTTDIYIVVFSDKIEEIHLDAAQCEIDARNVTVAEMREVHGEVVEGHKRRAAA VYNDPYIKLSQPEGWNLRAEHHDIRRIRARNIFHNRKTDVPAENREIEGCTPVYGSLR IHLRGAKGESDRPKLIIRKSSLHADAAEKGHKQYKITIPFRNKNPRDGLHFVGVHALD NRYTHMYTRHSIQPGTASCIFPCIDDHGARCDWRISIKFPRTLGDALQQALATQKDPS ASNGNTSSDQYDGNLRLAEEDKLREMTVVCSGFLMEEAVDPQNDHKKIMTFEPEKKVS VQKLGFAVGPFEHIDLSSEFRTEEDEVKLGMSALKVHAYCLPNRGDWVRNTAAALTMA ADFLTYSFAKYPFSNFKVCFLDDMVEDTVPLHSLAFVSNRLLFPEGIIDHEIETTRKI VFTLTYQWIGINMIPNTRNDLWLVLGIAHYMTDLFMKKLCGNNEHRFRIKTMSDKLVA EDVDRPSLFDLGPNLHLGEFEMDFMALKVPIIFFILDKRMMKASGGHGLTRILQKFLT KAQIESSDRSTILDTEKFRTVCEKGSRYPLESFWNQWIYGSGCPRFDVKAKFNKKRLC VELTLNQIQHQVAKKSTGLEKNDFLRVVKERKAGVKPGEVQPLFTGPMTVRIHEADGT PYEHILEIREDAARSTKFEIPYNTKYKRLKRTRRMKEKHSVGVSMDITENTDDALLYC LGDVLQTPDDLRDWELIEWDPETERKMDQESYEWIRVDADFEWACDMKRTLEPYMYVS QLQQDRDVVAQQDAMLYLANGPLHPIASGFLVRTLLDRRYFHGIRTMAAEALPRQANI KGIPLLGLRQLMRAFREMFCYDQTNQPYPNDFTDKKQYFVRRAVINAIAEVRDHTHRC PLEARRFILDQLLFNNNEDNPYSDHFYIATLVEALATSLIPSKKDDWFSIQSKAPDEE EQKFLDEAMEQIERVLRRDEWTNSYQNIWTIAGLDAKQRLMKADVIPKSYAEFGQYLL DGTRDLIRIKCFEALVDLGAMMDPTFFTFFLYALMTDRSPYVRNKLVQAMASGLAAIA FGEHAKVVKNDPAPEDADDALLLIQDSGKEIEARKEMFARKENLDAALKALRKEMDET YAGDERHYSTAMRRALDQASLGRGEVESLLDLAAMMFEEAGSWVLTLVLPKAWKVERP AQRQADRLLVHFKAFYKTTPKNVVGPLQAVPAAPPRPAPLPRTSSIKINTSKPPAQQR PAPAPVKSEAGTVVATVVATHGTQINGHGPPKTNTPKQPTPSVSMPKRPRPESEHSTP APKRPRTDLPGHNGDRARKRRMVTMKTRNPKRLAVILGLSTESSSSQRTALPTGAPKD PSPASSKDSITARVRKPLPTGDAVRKPLPGSGSLHSTPKVSIESKIRTPSSSNAGGMR SNGTPVSARPKIKIIRKAQSQPPPPAAYATAPPCKLSESFVFRIILTVDFSFFFSPYF LFAPRYICIHVDVAPDKVCLEALKSMNNQSLHGRSGGAPYDRDREMNERHRAIQQHED MRRDQEREREQADRYQQPGPGGNPHQSNAGSIPIHQPVASRIPGAIHSPGGLLANHSG NPPPVPAASLGAPPGPINFGGLQHGGAGAGGQPGGSGAALQMYGPMGHSQPPPNSSQP ALSSGPPPGFGVGPHQQQGPQDGQRSARGAAAAIVGVTPGGHQIPGGVTQGQQPILND ALTYLDQVKVQFHDQPDVYNRFLDIMKDFKSQSIDTPGVINRVSELFAGHPNLIQGFN TFLPPGYRIECGAGNDPNSIRVTTPMGTTVQSISARGQDGHGGPPSQPLFPERGSQWQ QRPQHGIDSPETNFGTPVQNGASLFVQAAAQNAGFDPSASAQRGANQGASGGANVPGS RQTPIPASTPGGMNGPPGNQANMERRGPVEFNHAISYVNKIKNRFQDKPEIYKQFLEI LQTYQREQKPIQDVYAQVTTLFNAAPDLLEDFKQFLPESAGQAKATPGRGEDPAPAGP SHTPQPGGQKMPPLGSFAPPPSASKDTKKRPRGDKQAPSTETVLPDATAPNRVTQGGV NGNSGKRPKINHARAAGEASAIEPTLTPVMPEPYPPRSSVTSNQEELAFFERVKKFLS NRSSMNEFLKLCNLFSQNIIDRNTLFHKGALFIGANQDLMAFWKTFVGVNSRDVVIEN QPAPPVEKLSLSNCRGYGPSYRLLPKRERLKPCSGRDELCNSVLNDQWASHPTWASED SGFVAHRKNQYEEGLHRIEEERHDYDFNIEANLKCIQLLEPIAQQMLAMSPAERETFH MPAALAGQSTSIFKRICKKIYGERGIDVVNDLYSHPFDVVPVLLARMKQKDEEWRFSQ REWEKVWHAQTENMHLKSLDHMGILVKSNDKRNLTAKHLVDVIKTKHEEQRRERILRG KAPRHQLVWNFGNKEVVLELLRLMMLYSMHNGQHSTQEKERILDFFETFIPAFFDLPE EMYQDKLPKMQPDSGEEEAEDALPAELSNGRSRRHGKKGDLLRGVLDPGRNGSKPRSQ KEDSAASGSKETTPDVTSANEEEMADAPEEAVVPEVSNERWMPAIPKPVIVSGDNALL DEGGELKADGFFTRPWYNFFCNQTIFVFFNIFQTLYGRLLDIKESRELVAGAIERLNK PKPARDIGFTENHMTFFDPSDGPEAFWPKTIEMIEEYITGDVDENRFQDVLRHYYLKN GWKLYTIQDLLKTLCRLALTCSSTDAKEKTPDLMHQYLASREKEETSYQTEISARKFA EKCVKDGDLFVICWFPQKAEATVRWLQRDETTFYMDEMQVRERWQYYISSFIRVEPTE GIPRGKLQKSVLTRNLPSGDADSDLDEMPKPLLYRENLTTSICLKSSKMIWAPGTSEY FIYGKSPKTREDRERRGRFTKALSAHRESKLLEKMVHNPAWTKDLSPEEVQEQNRNFR KWMDDGVAPAGGVDVEMD UV8b_01701 MASTRSNRRADGLDHTQRPRHHVDAVHSHPPPARAANPPGPVFA PSDCSRRGRPKRALEPSDRDVDALKAKRSRIAVEILARQQHQQHQQHQQHQQHQQHQQ QQQQQGPPGHSGPPPPTPWAPTAAAAAGPPALGQGPATTAAHANEGRITSRGAREGSP TRTAAPAAAAAAPGAHQPSARPAARGSRSRPAEQEGAGLVRNQAKVTNGIKAELDKPQ PQKSKPPEQGRKLRSQEATRFKSDLSAYFPDYDEVIGNDPKEQHLLNMETPIVVVDSN PPRAGPEAQRAGSRAPQQPQPVPGFPVRTYGDSLFTDVFDCQRIDFRFLETQQNNQLE DPLPDSLFEPSHKKAERLERSIRNTEKGRAQHEKDQIIRLLEGLQGHDWLRVMGVSGI TETKKKSFEPARRHFIKGCQNILEKFRAWNVEEKRRKQKKEKASQAQQQAALALQQQQ QQQQQQERQKSEIMDSAEEEGSDNDTAEFGEGEVSRHGGEVVLGSEEAIVSPSDTSSE VSPAKQLRQEALARTHAAGNAKKSTKTAARAATPKLAEPPRKFTSFFSKKYQRDSALN RTRRTGRKVLAWGHPIPDIEHADFVLPEEYRDEELLKARARRKRRDKRHSRS UV8b_01702 MTPRYRARRPQDRELLAFPKTREYMDSLVPPSKDEFEQIAQAQE EKEVEARRKAKEHWRSRSISMSESQKQDQEIERAEAAQVIQKTFRGYRARRELDGYSL NASTRWVTAVREAQFRQSTKPRASLEDEAALGSNALLGLDDPVDYRPVSARQKWKKAS LVARRAGHDDSDSESSSSSAMDGMSADEKAAARKRMEASRAERRQAARMMGLQYFLEM VDSKHRYGSNLRMYHEEWKKSSTTQNYFYWLDFGEGKDIELDTCPRDRLEREQVRYLS REERQHYLVRVDEEGRLCWVKNGARVDTTEEYKDSMHGIVPLDDPTPSFRAVNSSLLH PVDDERASSDSDSSAESKREADRAAKYADPSFDESKGVKKVTHISASTIFNQLLRKSV KKNTWIFVADTSFRLYVGIKDSGAFQHSSFLQGGRISAAGLIKIKNGRLSSLSPLSGH YRPPASNFRAFVKNLREEGVDMSHVSISKSYTILVGLESYLRTRKKGKELISKLVKGK DKILAPEEVRRKEEAEIDKSKSAALEREFLAKEREEEEQRKAELGNRERVMEKMKSLP IRRD UV8b_01703 MAQAQAQAVDDNRAASTRNGQTPSHDVARSPASASSAASPPYWT TSGSGSHQRSISAESILPAGAITLRDNDTSEHHDRNNACWAKSVEIVDYTVVNGGSTT IGAFVVWNVRVETLSGCHMNIRKRYSEFDDFRGKLVGSFPNFEAAVPALPPKSVISKF RPRFLEKRRLGLQYFLNCILLNPEFSGSPVLREFLFC UV8b_01704 MPSRSDITYFGAGPALLPTVVLEEAAKALLNYHDTGLGIAEHSH RSQLASDIINQTKADLASCLDIPDNYEILFMQGGGTGQFAATAYNFVGAWVARKLQDI VGDIGCDPTDPKAIEQLKEAVDKDLKIDYLITGGWSHKASVEGQRLFGSDHVNIATDS RKTNGGKFGTIPDESTWKLSKDPALVYFCANETVDGVEFPDFPQSLQPVPDGKGPIVV ADMSSNILTKKIPINNFSAIFFGAQKNLGPTGITVVVIKKSFLPPVTSQPPSSLMRQL GLPIPPIIFQYETIAKNNSLYNTLSIFDVYIIGQVLAKFLQTYPDKVEGQQAVSEKKA QLIYDAIEAFPEIYRIIPNKAVRSRVNICFRVTKGDDIDAAERAFLEEGLAQGLTGLK GHRSLGGIRASNYNSVPLEGAEKLAKFIRAFAMS UV8b_01705 MYQITGNFSLAPGTRFIASYIPRQASVLVKRSNRNTLDLGVRPF YLLGLKSPAPSKPRPCPDQVAIVLHSNAVPASSAMYIRGRWYPKLVPSLKVHIVIVIE QLLLWQGSRTRRTTQATIVRMAIKQ UV8b_01706 MEATPIAVIGLSYRAPGIGGKGLWEFLEQAKSAWSEFPRNRYDH KAFWKPGDHDKSGTYRAEGAHFLPDDVHAFDAAFFHMRAEEARASDPQHRLMLECALE AAEDAGKSLLDLAGTKTAVFVGAGQHEYSQRLGEDHHAANTFSATGIGPCMVANRISY FFDIDGPSVAVDAACASSVYAAHQAVSALSNGECSAAFVGAAALSLTPGGWLTLDKMG ALSTHGRSYSYDEKAAGFGRGEGAACLLIKRVDDALRDGDPIRAVIRSSACNHGGRAE GITMPNGAAHRKLLRMVHDKAGLDPRQTPVVEGHGTGTAAGDPIEAGAFAAVLAEDRT SSNPLHLEGASGVLAIVKAVLMIEKGVVLPTAGFEKMNPKIPGQDRLKVTSSPIPWPE NELKRVLVTNFGFGGSNSAIILEDAAPWTSRGRISNGTAGTNGTNGTKDDVHGTDGAV SATNGVNGSGANGIHYINGTNGHHTNGTGGHHINGTNGHGSNRADQSGRPQLFVLSAR SEKSLASYCASFGEYLDDTPESRDFMRNLSYTLGQRRSHFPHRVSVVADSVAALQDKL STSKPSRAKDQNLAFAFTGQGAQYAQMASGLGQYKVFREAMDTAEAQLRALGAPWSLT EELSKSEADSLVNDAEISQPACTAVQIALVMLLQSWGVKPTMVTGHSSGEIAAAFAAG LIAFEAAIAVAYFRGQAAAILAGSRSRRGAMLAVGVGSHEAEKLVQDHADGHYATVAA INSPSSVTISGDQPAIDAIHKAAERGGLFARKLKVQVAYHSRHMEEVADYYLRAIKPF VALKGHSPPSAHGDEGDSRPVFISAVTGRALEPGVDNLDASYWVRNLVQPVRFADAIQ TMFSPKSGKSSTGGAARDSVPSILLEVGPHAALKNPIKQTVESVQTSRGQPAASSFTY LASLLRGSNAMDSLMNLAGSLFNIGGVPIQLGQVNQTDMHNAHVVTGLPAYAWDKSVS YEVRPRATHEALFPGEPFHPLLGRKMAATGGNQRIYRQVFTLDEMPWIRDHVVAGAVI FPMTGYMSCAIEAARRTLAAPAASLLIQDFHVVARLEMEEEQTVELVTRLWPMAIGTD AFSSTIWSFAISSWSETTGWSVHAYGRIEPEMEDMTTATPTLQAGLRDVDTTASLIEH DIVRSYEEAGVRATRYGPTFRNSVRFFEGHGYTVLEHRLRDLGAAQWQPGPYGSHVTV DPPTIDGFLQGGAPLQKTEDGKRPAQMPNYISRFRISNNIPANPKQRFDIVTRLLEYD VKGGRMQVSVAVFARQGDGRSLAPIAEWESLAFRTIGSADEDDGDAAAEIPDNWVWEL LPRIDLMPADEVSSRFAPGQLDQAQVAGIRDLETAASYYIHRVCKVMAEGGRPELPFH LSRFVNWAARYVSRHKIEFDAEPTALLEKVRRQDGQGEMLCAVGERLMPILRGEVEAL EIMLTDGRLTRHYEADLGNKLLSSVLGDVMLDYSNLEPNLRILEIGAGTAGTTLPVLE ALSRTIKQGAFLNYTFTDISSGFFENARNKLARWSPRITYKKLDISQDPLAQGFDADE FDVVIAANVLHATKNMAATMDNLRLLLKPKGKLVVLEANHHSVVLVPFMLLPGWWYAE DDYRDLEEGPMMPTEVWNRLLLDTGFSGVDACVQVGSGSKGETMSVMCSKKVARQDNP GPITVCGPLIDDDEVAFAQAVADAISERLGLPVETKPLAEIDSSSDSYYIVLDAPQNT LLRDVSPDKFESLQSLLLHNQGLLWVIPEGGVPETSFIKGMLRSLRIEEGEKSLLLFD QVPHTSRGVSGIVKLVDVLLDPEATRAEDQDFVWHNGSVHLPRMRMLKDYKERFAAEQ GVSFRKEQNMWEGDGALEMTTDVSGSPDSIYFRRTQNLLQQQLGEDDIIVEVEAVGVS HRDLDLVLGAIPWAPPGFDGAGKVIKVGSGVAHLQDGDRVFFLSLDGSAFSTYKRMPA WHAARVPAHLSIPDAASIPLAYSLAVLALMRTARLRENETVLVHSAAGAVGQACVAIA QHLGARVLATAGTSEKREFLHRAFGIPANHIFSSRTPHFRDEILCATEGRGADVIVNS LGGERLADTWALAARFGRFVEIDKKAAFQNNHLPMKTFDKNVSFSSIDLRGLYQHKPE EVKEVFGEVVRLLGRRVITPIKPLTVLPVSQFASSLRKLRSGDGMGKIVLTLGKDEHV MAESALRPAPFSLRPDATYLITGGTRGIGNNLAYWMIENGARYVVLLGRSGASGSEVQ RMLEKFQGTDVTVRALACDIGSREDLAKVVESIQDLPPVRGVVHSALLLSDKLLENAT YEDWEINTGPRVQGAWNLHELMPDNLDFFIALGSFNGESGNGGQAIYAGTAAFYDAFA QYRNARGQHTVSICLPVVLDVGYVADRSLGSALKQSLGATLTMANIRTIVKGIIGRSP VFYHNGRSIFFKLYLDGKRIRDGPWEYLHPVHAMARLKADKLKDKSGRGGGADVYSTS WTAAENPLEGLTEALITKVAAMAILEREEVQADAPVASYNLDSLVSVELRNWVRRETG VELQLSAIIQAASLRALAADILEQRAGNSAA UV8b_01707 MTTPAGFKFNAQTPDGSNGGVSEATVPRTLFNSASPSVETGPII RYWTQVAAGRLSFDIVSGFTTNLFAQLSSV UV8b_01708 MAGDPVLYSLFVYAPNQAAPIFFSLAFALSAAGHIWQCIRYKSF KLVGLHPFCAVLFTAGYALREYASKNYLYSDRNLIIFIVSQVLIYICPPLLELANYHV LGRVLRYVPHLAPLPPRAVLATFGSLMALVEALNAVGVSLSSNPSSDADQQDLGGRLT VAALAIQLALIVIFAALAGLFHRRCARAGLLGGGVAATLAALYASMALILARCVYRLV EHAGSTAVDITDLESMRSLSPLLRYEAYFYVFEASLMLANSVLWNAWHPGRLLPGTAG VHLSPDGETEYTGAQEDGQRLRKRWFAR UV8b_01709 MACPPHPSPEAALPPSLLLLPPPHLPLDPDRARSRPVQRATPPS QESRERRRRQNRESQQRRRQRQKRAPPGLPDAALLQGLVGAPPAGLPSPPYPECLLPP AVACLVDAPAPPWQLSLDFAPAGLVSAPAALPYRSCSPPRLVGPIAQDAVQPPCQAER SIKDVQVLYRLGVKAGFLRENHQVCRYLAAMRRTYGRMPRLADDDADGSSHDSGRDSE UV8b_01710 MTPSKIMSPADVEPPILAELAEACGTSASAIDDIYECTPFQVSM MEEPQPDVYQFVVSLDSASGVEAARKTLEHVIARLAILRTRIAACQLGRMLQVVVAAG NGQLESTQLDVDEYLQQSRRAGGKQWLGQPLFRAAFIGNRLVATAHHAIMDYWSWFTL FNVDVAAAHRGRLPDRPAFKEFVARCHALDDAVAADFWRARFRGSPALFPEPDASRGC SGQVRRAAVRRVTFASLAGLEGVRAQIPHFIEAAWALTSSIYTGSESVVYGFILSGRS SSANGLRDTIGPTIVEAPIQVDLHRNMTVGQLVRDRALSLRQLQAHPSVHWSMKKIRA LSPAARQAEAYRSLLNIVPELPPPSAPDDADVVTFERLVTSESLFPLHLILAVSDDGF TIDPRFDPGAISETRLNHVLSQFEHTLRLLVEAPSGAKLSSLELLSPYDRGCISAWSA ARQAADKTADSNSTVDEAFRARARERPDDIAVEGGGDGTRTDYRTLDQLSELVAHQLR ERGVSRGASVALVFERSLWAVVAILGILKAGGVCVPVDRGAGREEKAALCSRAKAKVA LTSSAEHARCAGIAASVLPVSAGSAESAAVSAVAGAGDAGDPADVAYVLFADGSDPRG VVLGHGDLVSSLRAQAKALNWQRGCRMLHLAEYASRWSLCEVLGTLLSGGCICIPADA DDQEAGLSLSRTVIEPCQPSRAILVSSHQLGDTLPSSLQSILCVGQARAKAPPAWRTP GRQVFRGWGVREAGLVSTAPETTARGEAGHAGGGRGGGAGAPLASCWTWIVNPHNVHE LAPIGSMGELVVSGPSVAQGYWAEEAKTAAAAASSGCFIAPPRWASWFGASGPRRFLR TGYLARQSPEDGSLAVAGRRGNRVRVRGRTVQLERLEEVLLGCERVRDAAVVTQISGG RTQLVAVVCLADARLPSQGVLRRLDEGLAADAAAAAAIAEEHTVAVERYARAAMHGDE VPDVWVAVEALPWVDGQELDGARIRQWLRGRGS UV8b_01711 MDVDATLPEGASVRAQDTPGEQDAGRQRTGSGFTPLLRVFRYTD ARGWALNAVALAAMVGSGTALPLMDLVFGGFVNVFDRFVAGKLSPDTFMAEVGRYTLF FVYIFIGRFSLIYAWTMLVNMAAIRTVKTLRVDFVRQLLRQEVAFFDTSLSVAGQITT NGNVIYHGISEKLGLIVQSLSMLVSAFAVALTAQWKLALITLAIIPANTIVILVCVYF DTKYEYGMLDIYGVSDSLAEEALSTIRTAHAFWAFPKLVARFDELLRSARRVGDKKSV LYAVLFPVEFFTNTAGYGLAFWQGMRMYARGEIQQPGTVVTVIFAALVAAQALTQIAP HVMAVSKAMAAAQELFSTIDRESAVDSLSRRGVDIPDFRGSIRLRGVCFSYPSRPDVP VLRQLDLDFAPGKTTAIVGASGSGKSTIFGLLERWYSRSAGEITLDGHRLEDINLRWL RTNIRLIQQEPTLFSGTIYQNVMDGLSGSDARLSEQEKRARVAEACRAALIHDFVEDL PRGYDTWIGERGASLSGGQKQRLVIARTIISNPRVLLLDEATSALDPGAERKVQEALA SIARGRTVVTIAHRLSTIRGSDSIVLLKGGQVAESGTHAELVGLGGFYARLVRAQDLG EKHSGDDGDASGHAEDAGAAEKEPKQVLAGSSDEVATEAAPRYGLLRGLFLVMKEQRV LWRPAFVIVACCTTGGVTYPAMAVLISKSLEAYNTTDVAKANFFALMFLVLSVGNLVL YAVTGWLSNVIAQTVMEFYRGDIFNNTLRQDMAFFDRPDNSTGALVSRLASEASSLQE LLSINLALVLICMVNLLSSCVLAIAYGWKLGLVLACGALPFIVGSGYLRIRLESKFEQ DTVQRFASSSAVAAEAVMGIRTISSLALESTVVERYSQSLGDIAYHAVGNLGYKMLFY ALSQSVSMLAMGLGFWYGAKLVSTGEYTSSRFYIVYLAIVFSGEAAAIMFQYTTSISK AGTAINYVFGLRHTRILFDDDDDQDEEPDAPAEKVLDEKKSSGLRLSLDNVHFAYPLR REQRVLRGIDMHVRPGKMVAFVGASGCGKSTLVGLLERFYDPTSGALRVDGQDIRRLH RRRYRREMALVEQEPVLYQASIRDNISLGVEQGDPSEAQTIEACRSANAWDFISSLPD GLETLCGARGFALSGGQRQRIAIARALVRKPRLLLLDEATSALDTESERVVKAALDRA AEGRTTVAVAHRLSTIRDADAIVVFFRGRIVESGSHDELLRRRGVYYEMVLGQSLDGG G UV8b_01712 MPSPRSAVLAAAVFGAVASADYAIDPSSVSLGTRQSWCAQEQST CPLICQQVEPRTTLVNTCDPVTLTFGCLCGNNQQPNVSEYSLSLPYFICQQWVQQCQN ACDTNACKSDCTQNHPCGAQNPQKANATTKAPAAAASSAATSGAAIYTDAPGGGNDGK KGAAAALEAGRTYGLAAVLTGLFAGFALL UV8b_01713 MSGSESATLPLYEQDTLLSTSDSNMVPNTRKAAAECISDDALAH LKSYKYSSVDKSPISKYVLGPWWNAFVNVLPLWIAPNMVTLLGFFFILGNIGLLVIYM PDLVGPGPTWLYFSFAFGLFMYQTMDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLA SLLETAAMGLGTTHVGVFTALCPCLAMFFSTWETYHTHTLYLGVINGPTEGLLIACAI MIASGIWGPHIWTLPLADALGGRLPGLADLLGKTSFRDIWVAIILFSLLAAHVPFCLY NVACARRKQGLPVLPVLAEWTPMAVFTLGVGAWVFSPHSTLMRENHLVLFCLTMSFVF GRLTTKIILAHLTRQPFPYWTVMLVPLVGGAFLGNLPRLGFPPIGAALELYYLWAYFV FSLVVYFRWAYIVVTAICDYLGINALTIPKEKQMANKQARNATKLH UV8b_01714 MGLFRAFQEHVDRAGVEYIAFHGKQSCEIDEGQVKCVSRLVWTC KGGMVDGGEFRNVESVEFNYRG UV8b_01715 MSGLPPVYIVSAARTPIGSFLGSLSSLSATQLGSSAIKGAVERA GIKPELVDEVYFGNVLSAGLGQAPARQCAIGAGLPNGTVATTVNKVCASGLKAIILGA QNIMTGTSSVVVAGGTESMSNTPHYLPNLRTGAKYGDQTLVDGVLADGLTDAYKREHM GLQGELCASDHDLSRQAQDEYAIRSYQKAQAAAEAGLFREIVPVQVAGGRGKPPVTVD RDDEVRNLNVDKLRAVRPAFKPDGSITAPNAAPINDGAAAVVLMSEAKVQELGVKPIA RILGWGDAEREPERFTVAPALAIPKAIKHAGLAAKDVDYYEINEAFSVVALANMKLLN LDAEKVNVYGGSVAIGHPLGCSGARIVTTLTSVLAEKGAKIGCAGICNGGGGASAIVI ENLQ UV8b_01716 MDDLYDEFGNFIGEDVASEEASEAGVEADNYVYHDEAGDIGGAP PEELMEIDDGPSSTAVILHEDKQYYPTAQQVYGQDVETRVEEEDAQPLSEPIIAPVEQ KKFTIQEADLPPVFFDREFMTDLMSFPQDMRNVALAGHLHHGKTAFMDMLVMETHDIA DKLDKRSGRKREEKLRYTDIHIVERERGISIKSSPMSLVLQSGKGKSHLVNLVDTPGH VNFVDEVAAAFRLVDGICLVVDVVEGVQVNTEQIIKHAVLEDIPITLIINKMDRLILE LKLPPKDAYFKLKHVVEEVNTAITNASPARAAEKRISPEKGNVLFSCTELGWCFTLSS FAKMYTDTYPDVNAEELARRLWGDVYYNPKKRSFTRKPVEDRAARSFVHFVLEPIYKL FTHSISDSPEDLALVLASLGVQLKPAQYRADARDILKVVCAQFFGSSTGFVDMVVRHI PSPVQGASRLLERHYSGPLDTKVAVSMKSCDQDGPLVVHITKLYNTPDAKSFHSFGRV LSGTARPGVRVRVLGEGYSLDDEEDMTTATIGDVFIAETRYNIPTDGVPAGGLVLLSG VDNSIVKSATLVASQFDDGEDAYIFRPVMHFTESVLKVAVEPINPSELPKMLDGLRKI QKSYPLIGTKVEESGEHIILGTGELYMDCVLHDLRRLYADMDIKVSDPVTRFCETVVE TSATKCYAITPNKKNKITMVAEQLEKGISRDIESGALKIRDPARKTAKFLEDNYGWDK LAARSIWAFGPEDMGTNILQDDTIPTEVDKKLLNTVKESIRQGFSWATREGPLCEEPI RNTRFKVTDVLLAGEAIFRGGGQIIPTSRRACYSSFLMASPRLMEPVYAVSVTGPEDS HTEAYNVLARRRGHVLSDGPIAGTPLYRVNGLIPVIDSFGFETDLRIKTQGSSMVSLV FDSWSIVPGDPLDREQIIRPLQPASAQATARDFVLKTRRRKGLSEDVSVKTFLEPEFY QSLMESGMLGDA UV8b_01717 MVPWDGDEMLLVAWDERWPESITLHDGEHGGMGVHHIFFSMCIN NAIYTHSFLISLVTRWGSRPLPAQDTARDWPSPSAGQSVCPPRFASDGPPESQQPGFV TNRPTNQTLQAVCLLSSAQNEVAARMHLSAAPTRATRFEVPGVPWDNSYPPKGMACLC QLLRILLPRYGVPRQLLEQLPC UV8b_01718 MAPATLDNANPTILSASQLPTRQKKAAPRQGVDSRYSRALFSKP SFLSHPFSDDATAWPGDDISPDEEHTAEPIDEQEIFDLIATISDPEHPVSLGQLSIVN INDIRISPPPALGVPDPNTIVEVTVKITPTVTHCSLATVLGLGVRVRLEQCLPPNYRV DVLCRENTHSQDDQVNKQLADKERVAAALENDSLRGVLDKMLETCV UV8b_01719 MSTDPSVRRFLPQDAHNLQAAQMSSFHFATQQFPHRETQRNYVF VDEHNRHKRLKVMRACEGCRKRKIKCDAATTNTWPCSACIRLKLHCVKPNGYDGSDLM TTYGAVLGPPSQFQQISMPTGQLNHDPSKPLPDLYAGAFPDSDPSVFQQAHFDSTHHV LSNLHYTTVPAPGALDPGYGGPNPFPTPPMHQGQHKQDSSPEAQSVDSFQQQGLADLL GGLRVDEIGTAPYLRNKASFRREEQPAVEEDDDYGSILPTGSKFPGHKIRIPPALMPE ESTALHHFELYFRHVHPYVPVLDKIVFYQQWHNNREAISPLVLEALFAIGGRLAEEPA MGQQWLALASRHADSFMDVPRLSTLQALLMILKAREAAPKRGYFYRSWMLVVQCVQMG KDLGLDEHFEDHQAGIPCDFTVAECRLRTRIWQTIFVCEAMIGSPQGRHDLAVTQESV DFHVPRPVPGGDDGEHQVSRNFTYLARLVRNIRKMSLAYAKLKRIKEWAVNPEFQQLE QSISTYLTELPADMAITYPQDGSPPYLTSSFYGNLHSYYHLSQLLYHRPILSFLDPTT NEAQWKHHMMICYTSAKALCRLQEAILRSYGLTDLQSMQRGFSFTLYAGLTCIVIHLV AIVSPDPDLNTDAREYFQRHMRLMETVMEAWPMPDLQTQIDAIREAFSADIRRPFVLK PSFPYGSPRPSQSSPPSMGTLAYAGAALEQHLDPPSQQASYTSHPITPPISVGPIDSR SDSPAVQSLVMMPQDGGHGADLQQTMSLGNNPPPTWNPARIFEHWNATFGAPGPADQA SASGPASGQSLSPSTGQLAQAQYAVATSAPNFITPAMWQESVASVYEGGLKRAWDYDG GMADLKHH UV8b_01720 MLILGILGPRASARACARARAVSIAHPPRRSLQPLIPWAHPPPP PPHVSPRRHAGGTANAQAARAKAAVKGRCEIPERLLIYHAGRVRVASLAMLRLTSLLL GALFALVVVPSYVKAEKPLGDTAAVAVAGVVPVLFIAYTTGPMVTHMHIHLPPAARAS RQALERFVRAMPASTRLTLTTMSPIAKPRYSSARVADLVPVTRRLGLVNYVRDAAAEN ATRKWYMHRAVGGFYVQPQPRTRTRTTGATAGGVDGWIWDAARSRRRSSP UV8b_01721 MQLSVVAVAVALAAAIVFAVPVQQQQQQQQQQARQIVAVGWLND TAQPFDPSRMASVED UV8b_01722 MPSLHLPGCGLPVHHLPSRHFPVLMGLAETDFKALTLTIREICL MRAVEEITNKPDWWVKVKQPDVADKWRLEALAMDWAAYHRFADFTPAMADACIAELKH KADLYEKTGLVPILDYSAAVIKSDSIVPDGLWQSLRLAVAPLEQVPELDRDWHPGSRQ TVLNLVHPSLWPLVYGRSRVLTDRRVGVDDALRSCGTGAVIPEPDEAPEGMAHCVSTR FQWLPCDVLVREDGRVKVESYINNLHPVKHAALYPVIEEFIEKSLPAWDVLYRWPDEF AMRRLSTERARVRCRAKQTCRKSHYPCTPKARPTRDDEPRRRKWEDGYSDSEISESDA DDGDDEDGEDGEDDGDSEDGKGGKDDEDDEDGKDEQVVQPETAAKAHPRNRIKVLGHL GLKSRDESEYDTEPSADEDHQDNDVDASDDEQNGSDDSDSDVERNPNHISERDVKRRD FCWFNKTHPVVAPEPQKQCPVRLRADHVPTSDFFSFIPQQAEGLPPRTRRLQVIVKLA SIHLTPEKPAYDGGSWHVEGQLNEHICSTALFYYDSQNVTESRLDFRTMANRDQLDEY PALAYEQYDWRTIRRLFAIESPGGGSTVQEIGSVLTRERRAVFFPNVFQHHVSPFRLD DPTREGHRKILALFLVDPAVRVISTANVPPQRRDWWLDQLDLGEGRLPPELADMVLRN VDDFPMGEPEARAYRAELMAERSANEWRAADKMRAVEWNFCEH UV8b_01723 MHPQLLFRPRQPASPAAAAAAAAPSPPPPSSSPSPASSPAPAPD VPRSVIRHGPLFEDRGMRSPGSDNDSTGKPSLNFDGFDYMTPGPAEAPLSPLGATVPS IRSKGSNASLVESSTDSMETTATSLLPALQSKGDVGDDLLRPLPDHELDPGSFDIICP SLDQGPGYSLARRSELLFSEKHMAVILGDSRILGQFTKFLATVRPASLPLLTYYLDSE KAIRAIKYANSVTASLTNLPKHAFTADHVHDTVNQELQSKRDAAFQALTRDELPMFIT HAWIRIVSVSIRRRIMGTLPSYLREASEGLAEVFCLTDPSRHDNPIILASSEFHRTTQ YGLNDVIGRNCRFLQGPATNPFSVKRIRDSLAAGKEHFETFLNYRRDGSPFMNLLLCA PLIDSRGTVRYFLGAQVDVSGLAKECAELDGLQRLVQDEEDEKNAARTGEAGVRWGEE QQQQQQTPPPPPPPKSSTSACRDLSEMFNRHEVETVRCYGGAMRQLRNDDLFSHGGGW SNAPYLVIDDGPSPTESLRADMVPELPPRRDRPLQPPAQALNGRLAGIYQHYLLVRPA PSFQILFASPTLRVPGILQSPFLSRIGGSGRVRDQIAQAFAEGRGVTAKIRWLSTSRR HAHVSERGRPRWLHCTPMRGVNGVIGLWMIVIVDDEASEGDAGGNLADLAPPQEKRRP AALRMAPPIEAQRKPTSYITDDGAQPTWEG UV8b_01724 MAEQIPVVDLSAYAASAPASPASQRAAQLLHQAASQWGFFLLAN TPVRPASQAALVAASRAFFALPEEDKLALDVRAGGPAWRGYMPLGGEHTHGRLDWKEG LYVGPEHADSHPLFGMPLHGKNQFPDEALPQMRPAVLDYLAQVTELANTLADMFSAAL GLGSAELRRRLLEPEPIVLFRCFSYAAAREHEPVDESYGIGQHTDFGFLTILMADAPG LQILSPSDRWVDVPVIPDTFIVNVGDMFDQLTGGRYPSRPHRVRRPPPGSPPRLSFPL FFDFSWGADMQRLPLDHLPPLSDEERQRAEQRWAATTFRDVRGTWAQYLARKVQKVFP DLGLPDFEPNAAPSTRFTRAVDLSSS UV8b_01725 MPVHNIQTEDEFQRAISEHGLVIVDFFATWDVDSKTLAPIFVEQ SNRDDLQDVVFAKVDVDELAALTGRLGVASTPTFFLYRNGHKAFESVEPGPQGLLQFI GEAK UV8b_01726 MDEIEQLRLLIKEKDRQLDEKNRQLDEEQRRRKEEQRLREEEQR RREKAEELANESLPLDLPQYIEACHALDLAIEVVTDPTQTTQGHTTTATGRIFPRRII PWEDFPAKQQEIWEKLSVSPEFASQKVFPSPNQLSYVKSTLQRISCEMSLRQFEHNVV EIAVRKLVDRAYEDPISREVLGLRGTVTFESHTNLGESSKSVLQSMEGMSISENDPRT LPSASTKTRAKKPPRKARGKGNRADQFCIYRTSDGTNIPTIAIEYKAPHKLSVDEIVT GLDSEIRPERDVINQEGQGFIFNSRALTAAVVTQLFSYMVGKGIQYGYVCTGEAFCFL KISDDPGTVYCAVCVPAQDVMDDDEDRLHRTAAAQVFAFLAQALLATPPTESWHDDAQ ELGLWNFEYEKELSKIPQSQRKGKQPLASPYKPQRWKGFTRSPIRTRSGCQPPVIRPA RSEDEDDCDPPSPTRKPSTAGKKQAPSAKTDTSGGGKGNRKGTQRQSQRLQQQQKKRV HITDRPYCTQRCLLGLAHGGPVDRDCPNAHDHRDVHITPAKFISLMRAQLARDRGRDA DCISLYIAGSRGGLFKVRLSSHGYTCVAKGMESFDREFLAHENRVYCRLEPIQGKYVP VCLGVLHRDAELRNMLFDADDGRVMLVDFERSEFRGRLPLASVSSNAPGRKRKLEVKH EESEDDWSKELRLAAWVVKEK UV8b_01727 MGPWDLRLSISIEPDLGPGRESHAGHIVICRYRSQLPPYLDMHF HAPSHVQFAGATAVFEGFVYRVFARATPFQPSLPCIICIIRESVLPSLRRQSNSRAGM IP UV8b_01728 MRRSTNDRVNDKAVSAMRPSTVAGLWASLSCCFGSGVHARSPAP RSPVSDKSVIEVDVAIVGGGAAGCHAAVRLREDFKKSILVIEKAARLGGHVHAYQPPG GGRPVNYGVQAYLNRPTTRAFFKRFNVGLVDPDFGSYINLLLLTKNVDFKTGKMVDVK YGPVDPVGVPIGLLRYFELAVKYQPWFENGYFMQGEVPEDLLLPFGEFLRKHRLEDAL GILRNLLWLSDALHTPTWTVMAVVGEPQIRAFGLGLTGPSFKWPATHSSETLFDRVLD LIRDDVLLESTVASSQRTDNGVVLTVKTPSGLKTVKAKKLLIAATPSPDNIGPWDLSD QENDVFSKFSWETLFVGVVNNTGMPSQVTSLRNTPNAPSEYFLPHGDFNDAYVRSPSG DIRDIWTTRVIGTAGLGDAEAREMLLQPLARIKEAGTYSIAAPGVLASASHGATVPKV PAEELKAGFYNKLYALQGQRRTYWTGLTFAPDYTPILWDFNEKLYPKILEGL UV8b_01729 MDNMDQSVEQPRDANVPKLPGYGLPVDFMPPKGERFPVLVGDRD DGWLAATLTRRESCMLKVMEDLTNKPDWWRKVRDPSIAARWKDEILAVDWAAHHPYAD FTPKMADACIEELLKKAHLYEATSLIPVLDYSAAVIKSDALVPDSLLQELSQAVKVLE EVPDDEKDWHPGSDRKVLDLVHPSLYPLTYGRSRILPAKEINAQDCLDHAGSGEIIPR PDAGDLQRWGNEEAFSCNYQWLPSNVAIGQEGDASITSYINNLHPVKHRNIYPIIERF ITKSLPAWDLIYRWPDDMMFQRLSTAVVGPECSTEEACAESYECLPSNRPLDEDEPPR EEDEEEDDGYDESERSRRDIKWFMETHPAMLPDAVLTAEASSDEQKQRLFSVTPDMVK TSGFFNSAQRLQVIVKLASIHLTPQNPRYDGGSWHIEGQLNEHICATALYYYDSDNIS ESRLAFRTSADTEELSFNLDYKQGDVRSIARTFAVDQEHEASTLQDVGSVLTKQGRAI FFPNVLQHQVQPFSLVDETRPGHRKILALFLVDPAIPVISTANVPPQQRHWWLAQDNL QRGSRLPPEITQMVLDNMHFVIGDDEAKRVRKELMSERTKMQRGATETLKQVNFNFCE H UV8b_01730 MATATAQQGRIGGEEPVLPTRLSYWRLVVDQAGVTQHVRDHAYP GAGTEADPYIVYWLPDDPRNPMRFGVFRKWLITVVVAVATLAVTLVSSAYTGGMRQII VQFDASQEVATLGVSLFVLGFAIGPLLWAPLSELFGRQVLFLATYTCLTAFNAGCIGA QSIWALNVLRFFAGAFGSSPLTNAGGTIADMFEADQRGVATALFASAPFLGPALGPIA GGFLGMTAGWRWVMALLAIFCGFILILGALLVPETYAPVLLRKRAARLSQLTGKHYVS KIDHDRGRVTLAESFKTALSRPWVLLFREPIVLLLSVYMAIIYGTLYMLFAAFPIVYQ QHRGWNEGVGGLAFLGITGGMMGAIAYTIPSNNRYIATQKRHGGNAPPEARLRPALLG SVTLPIGLFWFAWTNSASVDWIVSILAGVPFGFGMVLVFLSIMNYLIDAYTVFAASVL AANSVLRSLFGAAFPLFTTYMYGNLGIHWASSIPAFLALACVPFPFLFYKYGAAIRSR CKFAAESVAFMERLRQHQMATENGGGGGGGGGSSDEKTSVDNNHVGTLSSSDDDSRVG DDDATAFAPVQATRPRVNSHASAVGSALYEGNPYDIDRVNTHNSFGDGHDAK UV8b_01731 MPKPDLSYAIIGGGISGIALAIALHRSGVDVRIFEQASQFKASG AGVSFTPNALQAMQVCHPAIYEAFQRVCTRNIWPSKQKVWFDYYDHHHASHHGKPAFS ITNQFGQNSVHRARFIDELVKLLPADMVSFDKRLDRYEEQDGGRYRLHFADGSTHVAD GILACDGIKSRVRQLMFRAGHPCATPSYTYKYAYRALVPMEDAVWAMGEEKAQNAAMH LGAGGHVLTFPVNHGKTVNVVAFRATQRDWPNSARLTAESTREAALRDFAHLGPEITA LLRLTNPKLDVWAIFDLGDNPPPTLSRGGVCLVGDAAHATSPHHGAGAGFCIEDAAVL AHLLSDKRVADHVALRVALQAYSEARRERASWLVQSSRHMGNMYEWLAPGIQGLAEVE EEIKCRNGVIADVDVAGMCGAARELFARGYDMLQQNWRNDKRAGGGIGCAL UV8b_01732 MGALTAITTAGQSIMAQALPAMMNASNPMAAMKSAAGALAQAGK NGALNAPGAPVMAKALLDPAIPDQTPTLQFPDQTPDTDPAYVAAPLLSPFMTSLQAYL TSGPNQGPDWSKFRDQAKKTDVATGSETTTEGLTWLLRNLRMQQSSAKLGKGEPSTEL GAAFDASIAVVEEIKKEISKQQSLSSNKTDPAIIKKWQDEVTKAKLVVTKLETAAKSF PGASANTPKLQNIKMDIPKTENNAANYTKAVEAAAEVQNRLTGIQTKLKGLEIAGAAL ERVKEILIECIAILVELKVQISKLTTFFSALSTMVKVVIDTKVKNFKVDAAAIGDEAA SRGKLTLNNLDIETIYMSTLQYINPGLDLLTELSKVTKTADEIAPKREALNKFTDEAH KAINKLGADKQREISDGLQSELAARRLN UV8b_01733 MSADALSKVEAAQQAVLRKTHAGKAGAQFTQEVKESLLFQYNWN ELLSAASTAITLVGACHVAAASPEATAISLADAAPAGGFKYLRVAANPTLRACLELIE TVTRSIQAIQGLPETKRNLVNELGKLRRMSDNCLKYAQDTEKAFDGWLLSVSEFHQAS VQQHGTTEQKAQANVSAKLQAEI UV8b_01734 MPPPPVHSSLASFAELVEQRHHDGQARQLFPSDGSIAVRDEEQL IDQNLQACKGSIIGIISIRRRLSQSLYSSMKDSYSVRRACASNISQLRAGHASD UV8b_01735 MLDYHVSSNDVDTCRDDIIRHIIKLIHVRVEQVDEDEDVKRSKE NIERRMLEVLGRDKVDELTREKKYLSLEDIDC UV8b_01736 MDTVKKGAEYVSEQAQKATSGVSKEANKQTAKDSDTPVGSRLSG AKDAASDKASETKHDAKAEAHKQQI UV8b_01737 MSTLDDAHISVRFKHGIHTIYLFVDAEAPMSEVSKELVELLRER YPEGLKKSLHPAGTTEMPSEPVIAYGVLNIPNDPSKGWKKIKIGEDGINTPTKCGIKN NSIIAFMFVTDPDEEVTFEVEWPMEEDEAYDQGT UV8b_01738 MDRPTTPTTVTGSIPVTSSSPHYHDAPALPSDPHPRLSIPTPAR ILLGSASSGVIGFTLGAMQGGQTAQLRFRAEHAHKMPDTTTGWYLYHKSKNYHAMQGG IREGFRMGAKTSFWSFVALGLESTVDRYRGSSDMFSTTMATLTVAGAFSLWHRFSLTT AGRTAKLGLLFGLAYGGVQDLVGLARGRPIGYVEALRHRWEST UV8b_01739 MATRAFIRGERGADGKNAKVTDDIGETRGNSRAMEGASKEGARV LNQVLLALFPCEQRVAIAIDGGQERERCARPLYDHKAQGARNRAEVCASAAYRWERCV GIVKVFAGVSTPRTKKNLKSRFRCARPPGQ UV8b_01740 MAGNSHGGVATSGEGGYAVMTVMTQRRGIHSSGRKSSTAAGLPG AVPPPANLEPSRAPLSVLPLSMILRSLGTTVVSSSPLLLPPSLGLMQLLANSTNPIFN PDKNPLLRFFLKKSFYAQFCAGENPAEVKATIGRLKDIGFTGVILGYAREVVLTQKEG QGVDLPSMTEQEVQRAIDTEIVPWTKGTLETVRLAQPGDFVALKFTGAGSIALYQLRN RLPAHPYLSESIYSICQLAHERGVRLLFDAEQNALQDGIDDWTMEFATKYNSSPDSAT VYGTYQAYKKNIPSVLSRHLAQAQEGRFTLGVKLVRGAYLGSDPRECFHDTKADTDAC YDSIAASVLTRQWNGTLSGNGEYPSAHLVLATHNAESVQKARAICDAGGAKSEIAFAQ LQGMADEISCELVEASNSARVDAASTAPKLPVYKYLVWGTTGECMKYLLRRAQENKDA VQRTRSGRDAMLAEVVRRIKNALRLA UV8b_01741 MSLEVVPIEHIPSSYTVYLALFRDVQNAAFLHQQLLDRNAEFEY AFVDASVVISRRQLLSAVFKAVTTAVNDSLKTPNVHSEIVISLNPSNNIADSYRRFGI TPSTKNLLVVKVTNSLESLTTPSRDSIRSHMTANIDGVSVPATDSNINATTDLAKVQK YYKLNSISWLEATKDEKTKRSELDTLVISSIALRGL UV8b_01742 MSQDEPMAAVMDSTFEYLQVQTPQLHPSNPDDGTSHASSSRSFG QGGSVSNSTLVSTSLGISDPSLLPSPRSSFDFTWAPGLHTARPSRLGARPPRSFSSGS SPSTCKSSFHSHGTRQRQSVGHRRGTSTLNLSCATTGSPSSSISNPCNSSPVPNAIDT AAWPTAQLGTSSNSSPTGANFVISASSSPTRLSPRTTKQPPPPPPPPPPGRMPPSRPA KRSADGGGISDDDTAALGGGKSKQARSDRGPEDFSSVVKNRLQSYSRTGQACDRCKVR KIRCDALAEGCSHCINLNLECYVTDRVTGRTERRGYLQQLEREKNSMLTHIRDLERLC AERGVQVKPWQQTAPSAQSPSEPGAGELPGSTCGGSKLTDGWSRYGALWIKYASTSQP ADATIRPRIPQREWQSRPDQICWGVVGDDAPFSSLKGTTLTLLGTTIETTSFDAPDID EPAAGVDSSMPLYNKSMLAFLRSSMGVNPVVQAELPSRENAFMYAEWYFISVACFLPL LHKPTFFKLLTRIYDEPDFKPTVSQTVVVHMVFANILFQFAVRNSQTLEQRNTFNDIS NKHYHFALSKMYDILSTPGFEALQALILMASHARAFPKPGCGSISVNIALHRALELGL NRRTKNAGEPTDLQNELRKRAWWCIMTVVVAIYGKRGYPMPVSVQDFDVDFLEPVADE LLSDDGVDRSRTLPCPYEMAICGHKIIPTVMEMYANLYSVRRDQSNYKTVVAALESQV EQWEAQLPDSMRLKGHKALDPGMVGPLFARCCLLELRLHIRHPSLAMTNDRAMIAENT RICEEMAREYLQTVELLSKMKALDTTWYQLSYYCVAILSMLFPRWERRFEITQEEIAT LRGEMDRWMDIIKEMSSLLGCGMGIGKQISQLIDRTMAWIEYDMKNKNMKHSSQGPAD FKQEQSQLLQSALPQPGPGRQALNQSSSYPTAPGQHAPISSAGSSHRDGQAEAFYQTG ASNNSSQAAFTSVAYVNQQTPATAHAAVPYQHEQPGLFYPPTATTVAPAQSPLPAQHD AVVHYGQPLGQQAPDEVWRSSWQDWSAAIAESQARLSANALLTLGGGNAGRNPVIAPV MTDSSMPHNGNQVAMVLQPAQWPLVMFEQPPQE UV8b_01743 MGQKKRGHPDVEEVLARPWCYYCERDFEDLKLLISHQKAKHFKC DRCGRRLNTAGGLSVHLNQVHKETLSQVENALPNRQGLEVEIFGMEGIPQEALDQHRN RIIQNFYQAQEDRRIATGNPASGQAMPRKKIKMEASEDLLKRLAEFRAQKKAGVGNPG AEAAAAAADAPAPAAPTLPGNQQQAAVQSAYAGQPSYGFSATESLPARPPGAGLGSTA LPQRPPQGAGHWPQQAVAAAGQGDDIDQLIRMAEAGVRPAQPQEAEETSEKKGKREKD KKGRMVYDDAEFSPEERMAVLPRYAFVAEVGA UV8b_01744 MNSVKAVILGSAVASASAFVGRGMNITTTPTVPGTVWTTEVVTA LTTYCPEAGVVTVGNKTYTVTEATTLTITDCPCTVHKPVPTGTPDCPKKCSDEWTACR VKPGANMASCASDYANCVGFVPTNSAGAVTTATTCASGPAPTGPAPTGTTPDQDCPAK CLAAMNDCKSKPGANMSFCTSEYVKCVGFMPTAPNGAVGIATVCSGPAPTGPAPTGAS PPAQDCAAKCLSAMNDCKSKPGANMSFCTSEYVKCVGFMPTAPNGAVGIATACATATP TTTGPPVVTAAAGRAVPGVALLALGAVALL UV8b_01745 MTSNGSIGSSPPAYSSHGTANPSQAPDMDATEAFNNLSISEHIS DGKLDPDVCIAHLKLLHALHAMKEDVGYTDGLFDLYDSRATNGVDIAHLVNTYVDHPR ELEETEKIKFALSKIREKRWALFVARAVDRYEAWWKSLQGWNALTEDIMSDPSAPLYA GFPVISENAKGSSEFALPPLDVLMVFHSHMLNPRAFLEDTMRASLKGLWERGMPWHLV NAAIDTQFDYNVSTSAKQRWTQATGRSWENTDDPMTKSIHCPYCRAPNHIPWTTCSKL EESCPLDGPTDLTGIGYGDGSLSYLCVACKNNICKEALSARKFVQDAALLLSRSAPMP GTVLDPISGMPEVVPTGGEGYSYPRTFPNRMLKLDLRIQIQEIFNAHKNPTMNSVKKM VEDVVRDPARINRINGHTYRWGARYTMAPKAKTCIRKMMSGYWENFSPFALDLCGAVM RQGVFIDKMVKLDWLHSPSLRDTMNRLNKKYINFLRLMQRHPTKIAVPTLDVDLAWHS HQLIPPSYYSYTTSLTNKFIDHDDKIEETKLNDAFQWTSKTYQEEFHELYSECTCWYC ESIRASHTSSIASLFGSSKGSKHIDKFYQSGQANLCPPDKSAHISAHNAVRCADERGQ SVLSAIRATQEARLNQNYHKAQKRAARKGRKLPPRDEYYNHWGYAYFMYSPAMFPIYI SPGMYYGSDPCYSHQVPVAAEAVVLLTALRGLSAQ UV8b_01746 MGMKYLGVAMAGIDFGCDIDGSCPTKNVKIPLLSFGGPDSAGQM RHFVLDDGMNTFRLSMTWQYITAGQVAGGLDKENWGNFAKLVQACLDTGAYCMLDLHN FARYNGIIGQGGPSNDVFAGLWRQIATYYATEDRIIFGLMNEPHDLDIKFWAQSCQAA VTAIRNAGATSQIILLPGTNFASAETFVSTGSAEALAAITNPDGSTDNLMLDLHKYLD INNSGSHSECTTNNVAGFKTISDWLRKNNRLAMVSETGASMDPSCMTKFCEQNEFIAK NDDVIVGFVGWGAGGFDNTYILTLAPEWTGDGWTDNKLMKQCILAPFGKATISASPAG NTNAPAVPQSEPAGTTNNNSKQGAAPKKDNGAGRAAISGLGVLLASMAAMILLH UV8b_01747 MFQLPCRAVKGKIQPASLGPRQGAVPHTSHVLPHARTHTKTYQK ESQEEKKKKKEKKEKKEKKEKKEKKEKKKKKNKMLFHPDRRIVRS UV8b_01748 MGDDSSLNRGVAITDQEPLELVLDQESRGQESLPTPLTHDSEDD IFQLFDSKLWVLWGQNAASGPTPGVGAER UV8b_01749 MSNLAVESWSWTSQILLRGSVKKLKLDDFLMLIIMMADTVLMVS INIISNTNSNLIDPEHPTSLSAEDVATREYGSKMVLLAEQMQCITIWLTKTCLLLMYH RLTMALKNNTAVKFVAGYVALGFVVMESLYLGVWCRPFSQYWAVPPNSTQCSAATNHL ITNAVLNISSDLMIILIPMPVFLQSRLALKKKLILTGVFALGGFTILSAILNKFYSFN EPFGSQWTFWYIRESSTAIIVSNLPLTWPLFRRLFHLRSFINSQYSSKNPSGCPSSTV HSHTAPVRNQSQACSYIKHGDANDNDDDDAKDYGISLKIYQRHDLKISSEPAAPTCEG RQSYVEMLPDGLTTTIKGGAPQSFLHDAHDVETASDKSYPAVQAASTV UV8b_01750 MDMKPTTDSVVLTLSLGASILYALNIRSQPSFSRTIFKTASTAL LALFATSSRHWQLTPALALGSLGDAFLAYPGDDAFLRGLSSFLVAHLFYVSLFAGIGN GPSFILNDGQRSGLAGGMALLAPAMSAVLLPRVASSLKLPIAVYSTVVLAVVLAVLTV DNAQVVLGAVLFAISDSVLAADEFLVPRDSAWRGLMQYFVWGFYYSGQLLIAGGLVDD WDWVNRVLLQRM UV8b_01751 MPVSVPKADRLTDLFSLKGKVVVVTGASGPRGMGIEAARGCAEM GADVAITYSSRKEGAEKNVEELTKDYGVKVKAYKLNVVDYNEVEKCINAIVADFGRID GFIANAGATADAGVIEAPAAAWDKVIQIDLNGTAYCAKAVGAHFKKQGSGSFVITASM SGHIANYPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDFIDPQT QELWRSMIPLGRNGLAKELKGAYVYLISDASTYTTGADIIIDGGYTVR UV8b_01752 MQLAIALIASLAPLTLAKEDHWQKSWQWFKRWQDFDAHIRALTP ELESVVGVARADTKKCYYEELEYVNENGRRYENILRKAMPCRSPQEEGKDPATTGIVD EGLCVVTHWITKAACQLGPIGSKSYNYPDITANRGLTGTWRR UV8b_01753 MNRSQESPCRILVMASGFGSNFQALIDAISTGRLRNSRIVRLIA NRKNAHAMARADAAGIPCDYLNMISHGFLQKGETDQHKVAQGRQKYDAALAERVLSPD RERPDLIVLAGWMHVFSTAFLEPIERAGVRIINLHPALPGEFDGAMAIERAFEEFKAG RLTRTGIMAHYVIDEVDRGAPILVKEVEWKGEELDEFKERMHGHEHELIVQATAEVSQ EIVEGR UV8b_01754 MASDSRTHLTDGTLLQLWNFLDARGLDLDMGGDQDPRQGSARNG STGGTVSTSVSNKGSSADKLGTTFIPVSVFVGVCLLVFIVLRRCCKRVYAPRTIAELR TPDPPSPSLPGGWIDWIAPFFKTPDTWVLNHGSLDGFFFLRFLKVVRNICLGGCIITF PVLLPVHATGGMGLAGLDMLTIGNVKDPQKLLAHVFVAWAFFGFALYTIVRECIYYVN LRQAYLSSPYYADRISSRTIMLTCVPREYQDERRLRKLYGDAVKRVFIPRTSKALAKL VKEREQTAERLEKAEITLIKTANQARQKRVAQPPESVNKSSPASSVMESTRPKQDLAK FASVKTVTEICTKRSPEVSDSVLYLGTLGDKICKDAGRDKDEKLNPQTRGSNVDDEEE EYTHPYGLSENLPDVRGSVAAQWIPVEKRPYHRPMGNFFRRQDTIRWTRLRLRQLNVQ IHKMRKQIRRDEGATLPTVFVEFYTQEAAQAAHQVLSHHRPLQMSNRLLGVRPDEVIW SCLRMTWWELIIRRFTVLAIVTMAVIFWAIPSAFIGTISNIDSLIERFAFLSFLHKLP TVILNFIQSFLPPVALTMLMAIVPWLLRFCGRMSGIPTTTSVELFVQNIYFAFQVVQV FLVTTITSAASSALGKILSNPLGAKDLLATNLPKASNFYLSYILIQCLMSGGVRLIQP FGLVRHYIIARLSAIPRTRYKRWASLEPVHWGGIYPVFTNMGVIALSYAFIAPLVLLF AAGGIFCTQIVWKYNLLYVIDSDMDTKGLFYPRALIHLTIGLYLAEVCLIGLFALKLA FAPLALMVIFFVFTGLVHFSLSDAIAPLLLNLPQTLPLEGEIQEEERAKALREKELAS ARLEQAGDAVGAANDYYDTEQVFGDEESFAQSDDEDEDEEEEGHSGDEHEITGTRAVE GAASIGATLKDWLKNRSRSKINKEISKSGIIEFLDKLGLWKLDKNASGEPPGFLARWL HPEEYEDFVALRNTIPSDQFPPVEYPPEFRKRCYLAPEMWAPKPTLWIPRDEARVSRQ EVTHTKIYTPISDKGADLDGKGRVVAYFDKAPMRKSRLLL UV8b_01755 MALPKVFKAVVIKEANGPFDVQEVELKHPSPNQVLVKVLACGVC FSDVDIAAGHYGDVFPRTPGHELIGDVVELGEAVKTIQIGQRVGGPWHGGHDGRCRQC QRSQFQMCDNAQVNGFTRDGGFAEYALLEEEAVVRVPKNLDAAEAAPLLCAGVTVFNG IRKMHVEQGALVAVQGLGGLGHLAVQYANHMGYEVAVLSSGDDKAEFAKQLGVKHYIN SKTSDGPDELRKLGGASIIVQTAPNPKVVSGLVAGLAPGGKLLCLAPVGKVEFDTVGM VLGGKSVHGWPSGHALDSEEAIRFAETHGIRCMVERFPLEHVQKAVDGLLAGKPRFRN VLTMVK UV8b_01756 MPLDTSTYSLALLRVDGRRWNELRRLHAQIRIQDAADGSSYMEM GHTKVMCVVTGPSEQQQAQRRGGQQASGRDAASIHVNLVVAGFSSVDRKKRGRNDKRI QEMEITIAKALSSTVHTHLFQHSSINVSLHVLSQDGSLLAALINAATLAVIDAGIPMT DYIAACTAGSTSSYAAGDESADPLLDLNSQEEQELPFLTVASLGDSDRVVALVCESRL QVSRLEGMLVVGLDGCKQVKKFLDKTIKDRGVKMIKEGAVQPSDAVAMNLDE UV8b_01757 MHQRGLVALAAMLASIPAAHSMYNKNSPVLQVTSKTYSSLIAQS NHTSIVEFYAPWCGHCKNLKPAYENAAKKLDGLAKVAAVDCDDDANKQLCGAMDVKGF PTLKIVRPGKKANGKPVVEDYQGPRTATGIVEAVVSRINNHVARVSDKDLDKFLESDK PKAILFTDKGTTSALLRSIAIDFLDVITVGQVRDKEKKAVDKFGIAKFPTLVLVPAGT DAKPIVYDGELKKKDMVEFLKQVGEPNPDPAPPKAKGNKKPKSSEKPDEPEQSTESPK DDATAKSTGATPEVIAITTIATKDTLAEKCLHSKAHTCILAFIPSDASEKGDKVTASL SQINTKYVYGHRQLFPFLAIPSSVEGVDVIRKSLDLKADVELIAVNARRAWWRHYEGD FGAESVESWIDAIRMGEGAKKKLPKEIIVDSVEKASSSSSASAKPDEEAAQEKPADEV KHEEL UV8b_01758 MSTESLPPPLKIPEVSRFLNRANQLRTVKPAIAYWCEYHAVNQI VTKGLHTTDDDCFTITKTLLERLEATKVERADDDAVVDNTAGQAYVEQFAQETFERAE RAMRANKVTRQTADTFDAAATFFDITHEWGTPEPEVLKKIKFAKWNAARILKAIRDGR NPNQSNPKVEEDAGSLASVADPVFREITSPVGTQSAVTTTEGASDAAESRAPPPAQGY FPPASASANIFAASPLSQGFGPSASGEALPPAPMSPASSPDVHIPLQSSTQIAEKVPR QVTPPPPEPSWTTPAAATSQPAHIPERQAASQVPALPAEFTPSHKNIEQAQKHAKWAI SALNFDDTPTAVQELRNALAMLGAL UV8b_01759 MLSRIARAPRMGLIASHLYKSAASPTVRRQAVGIISPWTRTFAS TENNPINNQSKKQPSPSSSSQSDAALESASSQKPTTPADDAKNAPKEPEPTPFHKLPD LTQGIPSTLDEELRKQSGQSQSALETVDQESGSGGKRERPEYVSTSDRNRKWWTRFVL LAAASGGVFGVLYMGRNWDDVIETERHSDIPNGWTPTLWWHRVRARMGESVSYYQDPA FDKLLPDPDPSFERPYTLCLSLDDLLVHSEWTREHGWRVAKRPGMDYFVRYLSQYYEL VLFTSVPFAMGEPIVRKLDPFRFIMWPLYREATKYEDGEIVKDLSYLNRDLSKVIIID TKAQHVRKQPENAIILDPWKGDIKDNELVGLIPFLEYIHTMQYSDVRKVLKSFQGKHI PTEFARREASARKEFNKELEQKKKTKKSGVGALSNLLGLKSSNLSMTIPPEGEQNPTE AFSQGKMLQDLARERGQRNFELLEKEIRENGEKWLMEEQQAMEKAQQEAMNSMMGSFL GWFGPKPKSDAVGAEKKA UV8b_01760 MLLTYPTRRTCYFITHQHIMAKRSVRRNSRSQGGLMPRHPAPIA SARMANSTPSITSEFPLQTSGANDVGKLSISSLTLDTPLAPLKRQSRVPSKSFPFLEL PSELRVKVYEYYFSDTDPVLDLGPENYKRIHKTLGFMRVCKQIHIEATHFFYSSRSIR IFPTYPGRYFKTKKPMLARLKKHQRQCITSLELRLGPGWGAPPRGWVVNEALGLADCI SVRKVTIFVECDPSDDFYKGFRRSDGFYEKFSAGLMKRVLAALPHANVVEFDGFSGVK KTGAMMRGLLDIAAETKRLIAWGPERGWTDGPEKQTKSNHDATLFIEGISMEGYAPQS VLIYATNM UV8b_01761 METCKPAMIRHLPRFVTHWKYLCKLTYSSLSLFTIVEQSQPWGH VATDDSVVNDATRFTKTVKDPVPIRNVPD UV8b_01762 MLARAFLRNGFGLMVPLAIACSVYLYLYPFFSQCAFPLPSRDPE EAFQVTKHLHWPYAKVDARKNFPPIKQAPFRLLALGDPQLEGDTSIPIAYLGVFPHIK TIFQRLTFQIWHDSLRDRLRLVLHDIVDIFFEDIPFIIESWRKRFDLFGNDFYLAHVY RTIHWWTRPTHVSVLGDLLGSQWIEDDEFDRRGQRFWSRTFRGGERVPDDVAMWPSSE YNLTGFLDGSAEEQVWSRRIMNVAGNHDIGYAGDLTQERMERFERVFGKANYELRFEL PVTDAEINAKIQHDETNPESVRLPPELRIVVLNDMNLDTPAKDQGLQDTTYNFINAVI GTACAVEYKGQFTLILTHIPLYKPAGVCVDAPFFDFHGPQDGGGVKEQYLLSSDASKG LLEGIFGVSRDPEASGKGLGRPGLVLDGHDHEGCDTYHFVNQTNGTSPQDRSWETRRW RDVQLSNIPSMGKHPGRREITVRSMMGDFGGNAGLLSMWFNHETWEWEHEYVDCPLGR QHFWWMTHFLILGVILLGSLYLTVVSLEAHGVDVDGALWEGARWLQHQVEEIQKARAA RAAQGSTQAVPNGKPKERS UV8b_01763 MSKTAFHQKDDKNTGALDNEAPEGQVHDDSYVTGESEPIPVQGD DDAVADPIKETTADSDKALERDEKEAIDKSNIIRDRTRGAKPRGQYTEPDDSQLGLTE UV8b_01764 MCWALRVLKTTWLKKVTKTGVRTQASYDNGMLTSTLQYRHDSIG GKSRGLAWGLCFHFLGSLHHISSSMTSRLNEKKSNIF UV8b_01765 MSNAAVTSSMRAVAWNVHFTIGEDEDPGAFAGIHQVPGSNLVTF RNVCDELRLCFECPYDISHTENEKTWTDIAFSLSQDNSPSSLDPGLSFVTEAKMDQAV PSLAPLRPKEQNVLTYHIYHHKNCTLPSGSPLKSHMQAKCAKHLRNPSRRRDRRYLPP NKTPSDPKITVMPLRKKLKARSVSPGKRPASGSTSPTKEVDDEFENVVAPASMEIDLD EARRVTNEFRSSCLNRAISCAVSGEGESWCLGPPIGPGIQACHIIPQHHYHIYPVAGG DADDDVPLETSNRRLREAWQSTWSPRNGILLMKHLHDFFDARLFSIHPRTLRIRVFVP YNALTRFNGQRASVPNTIDRKALRHHYEMSCIENMAAERPILDVISPTASRMTSGMTT PLTAKTDLPATPTLGDLANGRVGDPTKKSRPNYPDPRQQRDDSLPGDLLHALNTVELV DERGRKRKELDDDETCSLDEWLKQYAGDRFITPDNSEEFLKDVNWELYKFKKRRQLIS UV8b_01766 MGYSIGVKLTAGGGVGLWTTQVEVSSEFTKGWQISSQTATTREI IYPMDQGDTCAATTVQIRGECEAELADALVVYQDPKGGPGIQYKNERVWTSGFLHMCD WPVTYRIKGVDMGSLCTYLKKRRIPLDMIMGTDSSGHEPWTIEGCMFR UV8b_01767 MADSSVSMPIQQCLKPRRQLFAATRQQKNVFFYHPGYQDGHNLL LNLPALDAGGIHHETARIACAILAACRFDGYFSMSKNGSKIPDRPDDILRDTKYYFCI EDDIKYPIVPSFDNFRCPDELPQSWLDDLAPIELGIYRDARERDIGCRITGSFLPTEM AHIIPQAQSEWWQKNLMYTYVANPDESFDAKCPDNTILLRCDMHKMWDDNRFAILPKA GEWYTHVLLNSTTTELEQEYHNLQLQPLRGVSRHFFFCRFALAIFAKSAFLRQRMRRK LVILDRSGSSKVREMSVDEYKELLNLVARGTSRSTSPTKRQRRDQSESNPPDDTFSHV DVLPEDELEDDAEVEFTRGRSRKRAGSPILPDNINMDSRLDPISPPPKR UV8b_01768 MFSQQTGNFAKAAAAATASAAGYYACHVYHNTTAVDTPIIASLS ILDSSASPERSRS UV8b_01769 MHCRNLAGVPPKHDIDVAFVLTKGNVSHLKKTIEPSGQYWQSAV GKFSGRCYICILELYRIGLKT UV8b_01770 MSDLEDASLDAFIYRWLNTFTNPLLQYCQADTQGPFCDKRKRDF RKMPTPQNSASTPTRVGSPAKRARIDDATDDPSVDQYITHLPRDNLFDADKTPNASDR GRTIPVYNLPPRRNTQTLSQSSSNVSSHRSPSRASSNRSGSPIKQSTLELLKKPVRYT TIKDDLIEQLPHDVVSLFDEINSITVHKERFLPSSLYSELETLHRKGTIRRGWYFEVG DDADKKTQYKAEFTALCDLEEAAKTVSDQEACEATWNLEVHAPLLKLAFNAFPSIHRH LLTSARISKPFLPEIRVDTPYNYSRPKMIDLGIRICPPPHLSKIIQKQIIGLPERERC LNQTVYGPVRHDPIAMVIETKIARGDLDEARLQLGIWVASWHQRMKMLIGTSLDKPLV TLPLIIVIEHKWRLLFACDKKDQIVILQDLEIGTTDNLMGLYTIIATLRAIGAWMQNT YMSWLEDTVRHLNCQ UV8b_01771 MQFSEEKLVEGINGANAENERKEIASLAKEKVTFMACSQGAIAS IGGLIFGYVSGQISGFFLMDDFARRFGEPQPDGAHIFSAARQGTIVGMLSVGAAVGSL IAGKLADKIGRRKSISAAALFACIGTTIEISSSNQWIQFAMGRFVSGASIGALSVVVP MYQSESAPTVIRAVIVSSYQLFVTLGIWMAEMVNWGTQGQESSASWRTTNGLSFAWAV LLGTLILSMPESPRYDCNRGQVERARETIAKLSGLSVDSQLVTREIADIQANLHQESQ SSAEFSFSEILTAPRILYRTVLGIALQAGQQLTGANFFFYFGTAVFAAAGVKNSYTTM IILGSVNMAGTLISLWVTKRFGRRSVLMIGAALMTICYFVFSFVGQFALDHSNPTNTP AAGSVLVAFSCFAIGAFAVSWGPLVWAVNSELYPLRYRSVCMGLATASNWLWNFLISF FTRFITDKIGYLYGLVFAGCCSALVLIVFFFVIESKDRSLEEIDAMYVHKVNPIQSGH STGHWNWDEYRQGIGKRNAARAEAQTR UV8b_01772 MKSINCLITSAVLLGVPAVLANNPVPGSAFTNNNGLAAAEAAAP MWYMASGTCMPSAAEDGWGHQTNGVDADLCNIGKLSSGCPAQPRWQGINTFYGNVPGE PFGTIPTYWAVKNCGNNWKIIYYVYFKKDTGHKSDWEGIVVSFRNNGGDSWVRDTVKM EQDGNHKQIGWGDINDTFDGFGDWQNFQQKNRDHGKFYFGKWHHSVHQDWHTNTFKDT CPPNSVSDFRNADYQFWARNNLRHVSNLNPGWNWGKATSPATIDICSY UV8b_01773 MLRINTLLAFTAAVQPLLAAPATNAALSKEGLRLVKTSESDPGQ WVTEQEKFDRFTSQNIGFVDITDTRDQEVLSILSGESSPQLITRAVIYPKGALHKDEG DQLLAKTSVEGPKSWLKTYSDFHTRNYMTSTGVQAANWLFSQVKSIASNNSAITVKQF RHSSFNQRSIIAQLPGNSSDLVVVGAHLDSVTKTLNGRSPGAEDDGSGTVVILEALRV LAQSGLRPNNTIEFHWYAAEEAGLLGSADVWANYKTSRKSVISYLNQDMAGYSSSGTP AVYQDYVSPALTRYVTTLIKEYLGITPNTSSCGYACSDHASARANGFPAAFVADDVFS NASPYIHSAEDTYDKIMWPTILTHAKIVVSYLVEASYI UV8b_01774 MATDVLEKHEKHDTDRDYGLTGHVSHSDVLVNKDLMSDAFDGEN REHEMGVWAAAKKHPWACFWAFTMCFTIVMESFDMFLNGNFVALPVFRRTYGVYVEGS GYIIETKWQSALFQAGQCGAFVGVFLAGPVTNRLGYRWTTILALALMNATIFISFFAN SLTLLTVGQALEGLPWGFFIANAPAYASEIVPLALRGACTATLQMSWSIGMIIVAAAT YGYNKRDDEWAWRVPIGLQWIFPTPLLVLIFQAPESPWWLVRRGRKEEALRSIERLGN KQHAQQSLAMMERTVEIEAQMGGAPTLLDLFKGTDLRRTTITCLMYASQNFAGNLIAN QATFFFEQAGISSDLAFQLNLINSCLQFVANALSWFLTAWFGRRTIYLWGTAANVTFL MLLGICASIPQNSSTNYAQAVLGIIISFVFAGSLGPISYSIISETSSVRLRALSTGVG RAAYYVAEIPMIFLASQLLNPTGWNLAGKCGYVWGGTAIVCWLTAYFFLPELKHRTYR EIDILFNRKVPARKFNSTVIDVRDNE UV8b_01775 MKPKLLFPLFLVSSSYAHDEREWSKEELAELHAKWGSEWSFNGI GSFAHLNHVKCLTNPSEKYDIAIVGVPFDTAVSFRPGARFGPRAIRAASARQTSFRGF NARANINPYQNWAKIIDCGDMPLTPFHNDVAREQMTQAFRALGQGKPDSLLSRGKPKL VALGGDHSLALPALRSLKEIYGVPARVLHFDAHLDTWEPAAFPSHWGSTHFNHGSMFW MAHKEGLLSNASTGKSVHAGLRTRLSGDDWTDHASDTSQNWVRFTADDIDVIGTKGII DGIMLVLGTEDPVYLSVDIDVLDPAFAPGTGTPEPGGWTMRELIRILRGIEGLNLVGA DVVEVSPAYQGRGEETALAAAQIVYEILSSMVKRGLADAQDGTGHETRDEL UV8b_01776 MENGEIKSRLEMRRPDAEEIMRAGRCLGAAIAVIHDGRLLHIEC IGVRNVAQQLPVNPFTVFPCAALTKTVIAAAVAQCVDEGNLSWDDRLEALLPGIFPRA PLFRQMTPVDCLAQRPRINHPQNWVATGSSDETNSLQQFETFRNSPIYRGVGYDISGM LLRQLRGIPCPAVLDSRIFQPLSMLRTTTQPGRNEEGRETNISQTYGALMARGQYVKV EPSVISGDFAGGPDASMYSCMSDMVRLYSRFLEDYAYQLQNNTTETPGSPLKQVPFML LPRAAMSEAYAHRESSGLGWIRVQTPAPMGVGGLNPRIMHPRPMPDVATGHPTQYILY NQGSRSGNLAAVSLVPSTKGAIIVLTNTLGLNDVADWLGQMYLEAYLGVAKKNDYVRL ARETAEKATQWNPQTMVNLLNNRRAGRAHRDLIDYTGQYQNDALTMKIYIFIDSRDNT TLRAEFRIHEATEEIYLLTHFRDDVFTFVYCRNHLIRRGRFTYEDAEFFKLAFGCNDT CSRICVIKWRHNGSLSEPEVFKRQSIRRG UV8b_01777 MLLKHFLFFTILSCDLVAAFPSPLEDSHGILIARNDNDCGSEAK WESGRRACVCTKSRDLIYDPGSKKCSCPADATWNEMNSRCEQKGCGKDAVFDQKQNEC VCREKGKRFDKKTKTCGCPLGHVLDGEACLPDCGRDADWNRKIQRCHCKLPGMKFNDG TKTCSPGAETKWKSKSCILKCGKEAKYDGKEKKCKCKTPGMVFNDKTRTCGCPDGKHW NGRQCTQACGKDSSQDGHSDGCRCQNGMVFDVKDSTCKCPAGTAWNGSKCRTDCGNDA DCSKKNANHNCKKTGRVFSKETETCVCPGGQTWTGHRCEAARNCGSDAWYSEKKKLCV CTLHGQVFDAVDKACKCPRDTVWNGRHCWKDCGSEAHYDKSRNSCVCRDPGMSFDRRR KSCD UV8b_01778 MIIRAPWRAILPGADSPASSQYKGKVDAALERAQAAAGFTAFGM GHKRQEHHPVTRQPKMLRQAFGSPDRGYQLDLTFVNCLASPQLQRQARPTLLSMWRQK MMESLKLRNRGGVRLNRIRRGYCTNGRG UV8b_01779 MASLFSKASAAGIMAMLPSALAGFNPGSRSSLAVYWGQNSYNQD SGQYAQQRLSYYCANTNIDIIPLAFMNGITPPMTNFANANYKCTAFPGNKHVHSCPQI ADDIRQCQVSYGKTIIISLGGATYSQGGWSSASDAESAAQLVWDMFGPVVSGSSVDRP FGSAVVDGFDFDFESATNNLPAFGAKLRSLMDAAGGKKYYLSAAPQCVFPDAANGAAL NAVAFDFVMVQFYNNFCGVSNFQTGAAAQSAFNFDVWDNWAKNTSPNKNVKLLLGIPA APGAGDGFVNGAMLKAAISYAQQFSSFGGVMMWDMSQLYANDGFLNEVVGDLGSSTSP PSITTAATPTRTVTPTGSPSSPSSDTPPSGILIARWGQCGGQGYQGPSQCQSPYACVS NSPWWSQCQ UV8b_01780 MQCQLPRPHHILAESISADWFHHERLIRRLSDCDVFADHPLESQ WGYAEARLPTLTVEAAFSAHSGFQRLASSDSPSSRDGMSHKAVRNGILARAIVLLVFK IMKTRLFVRWFPQPNCIVYVTSKICIKSTSFTSLAEAEAMKFVSSATTVPVPKVFLAF EHKKRVYIVMERLPGRSLDYGWAQRSAESKARIFDQLRSMVQEIRKLQPPQDCGVSNV CGGPIFDPRLPKSLHWGPFRSIRHFHRELRNGIELDAVKDVSTLPEGLENLIKFHEQP WDKPVFTHGDLSSLNIIADGDTVVGIIDWETAGWLPPYWEYTCAWDANPQNWFWQQEV DNFLEARPHDLEMETIRKRYFGAF UV8b_01781 MSLDVTKGLKSTVIMSPNQRLYVALYARGGKATMPSGEDKYHWS FIQDPKVEDEKSQGTRYHAMEKMGFIDGQAQSVWQFDETDIGMAPTAMILVRVLIGKV KKKDRLETVMRNIPIRAQTPGWNCVSWVKEAIQTLQQHQGSGRGILGSSACQLDWETV RQSALWYVEQKRAAHRFDGQAAPGTFDKKKVPTWDLLKNAERTP UV8b_01782 MSNMRGYSEEEEMLDIGTFQRREQHHPYQQQQAYIEELYTTPGR GTPTPTPGAARPNSYSRPNSSLYAEEYVSPGWPPSIVGRSESQTLVDSTAGSRASVRS WKTGQQTPVDSLASTRYGIPFHSDSGPSTPMNQSHISLTGLLKTAQPPAGAKDWVDRE TIDVVHKRDDSDIWKGWRRHLYKLVPFLTLANTGLYLTYLALRIACVIWAQQSLNTVY YAAWVFTAVEISVAIPPLMHNSWTMMSMKKRYRPKLRLRGYDVPTVDVFVTCCGEDDD LVMDTVRGACDVDYPSDRFRVIVLDDAKSETLEAAICRLAVTYPNVIYMSREKIPGKP HHFKAGNLNYGLDQTHLLPGGAGQFMAALDADMIPERDWLRAVLPHLLVDPKMALACP PQLFYNTPPSDPLSQSLDIFVHVIEPIKDAMGVAWCTGSGYVVRREALDEIGNFPLGS LAEDVATSTLMLGRGWKTAYVHEPLQFGTVPEDFGSHLKQRTRWAIGTVDTSFKLKFC LWGEKVRQMTWVQRFSGFLYATLNLHTIILSISMFAVPVILVLGKPLVAYATEEQLRW LIRACFAATISNRLCEFVLFAPAGYHTGQRGSRAQFWMSPYIALCIVRAFMLPKWLGG KAQAFKPTGSLASALNERDPALKKNMLKRLWTILISYMGLFHLGFVYLTLVGVVLSSY RCFVLVSTSDLRSLLICLITHAFWPPLTFIFICNSLWTPIAYAIDPPQMPEREQLLIR DPKTLVAHPTPKSKKIAFGGQAAWFEFELTLSTMYTCLCFVASFMF UV8b_01783 MRVRGAKLTRETKREQGGARGQRRARSIAKDGQTTDRKGINYSS LRFEIRRTKRAAHAEISNGFNHFGQVGTRGKVMLWEYDQRGFGTCNG UV8b_01784 MFRWLVSAVFLALPIGTTLGILLGLQAWRQANGQPPPFSGGDDG FGLPGIIIPKGKDGVRIFCDESVGFDPVSKNQNFILNPNPWGWKEGEQGNICMLVDYN ANRTYETAFSAPVFNVTWKYPVMSGTNNVHAYPNAKVDSKKLPAKLGTINALRVEVEW HVTLKNDSQTEITDAEVAQSRINANVAIDMFMDKDKDKSSESAKANYEVMVWFARFGD STWPIGKVQGGNGGIVTTKMVSGVSFNLYAGQNQLTNQTVLSWVTETPANKFAGKVTD LLEEIFALNDTKYPQKTDYLGYVAFGQEAYSSDVNVTFAVPQLAIDFQTT UV8b_01785 MAAASCHNPCWRRISNMIMIPCGFVYRLGVTGEGSRRCQPRNGL VHLYHALFRSAFHVLKPAPAPLEQPIASTSPPIQGPG UV8b_01786 MLCALLLAATALLGLASAQVLTSCNPMERDCPADPAFGTDHLFF FNSTPDNALWETTAGIVTYDAQSGAAFTINKQGDSPTLRTKFYFFFGRTEIWLKVAPG QGIVSSMMWLSDDLDEVDWEFLGSNKTFATTNYFGKGLQDYKNGGSHPMTGMQDDFHN YTTVWTKDSIGWYIDGAQVRVLQAKDANNTQNYPQTPMRMSVGIWAGGDPSLPEGTRK WAGGDTDYAKGPYTMHLKSAQVTDFSSGQEYTYGDRSGSWQSIKSTPGNSTALDALNK QPEKSLGDKWNDLPSRSKAAVYGGAGAVGALAFGALLWFYIRQRRIGAAEARAAAERE EKERRENELLNKNGIDPDGFAEYGHEYDARKLRAQGLSDGNSYHVPESNPSDPFSGPF DESSRLGSSASNVSGMVGAAALRSQPGRTASPAPSQHHHGFDFGVPPSPGHPPRRSQP TMLRSQSPTISPPGSPNQYQMHQLRSGSAPNAYSRVASPGLGPQSPTNNGPQRNFTDS QQRGGYGYNGTAPPRRN UV8b_01787 MPLCGGSKTVQRKLVLLGDGASGKTSLLNVFTRGYFPTVYEPTV FENYVHDIFVDNVHIELSLWDTAGQEEFDRLRSLSYDDTDLIMLCYSVDSKDSLENVE SKWVGEIADNCPGVKLVLVALKCDLREGNNDDDGEDGAGATLPPEVAAIERPSGPLIE YDKGLEVAKRIGASRYLECSAMKNRGVNEAFTEAARVALSVKKEREENKCSVM UV8b_01788 MGGGDLNLKKSFHPTLRRNQQAVWDEEQKALAERKRTQQRINEI KEERAKEELQRQLEAAGGKKRVDRVDWMYQGPTDGQVGTTEETEAYLLGKRRIDNLIK GTEHKKLEKAAGEESFMALQNANSARDTAAKVRDDPLLAIKRQEQAAYEAMMNDPVRR RKLLSSMGAADDRKKKERSRDKESRRRRHEHGHGRHGHRSRSRERHSDEQDGGPRRSR RERSAGRARESDEGERRHGGRRRHRSYSRSRSPRQWRRQDGQDEERRERHGPRRHSGD DDSLRRPRHDSRERRSDGHHRGGDDLDGGSRHGQRRAAGPWRDHDRDGAAEKARRLAA MQSAASEMDHDRGKRLAALEEQERAAREAEDRARERHGERGFANGLHKQAGKMDLGER IGRNRQAYQREDD UV8b_01789 MSMFRAKKLDLGCFVKVRTIRDHTKRKVFEQFEAERQALRYIIR NTTLAPRVRAEAQLQLTQMHAYTRPTQIRNRCIMGGQGRGVLSDFKMTRFNFRLQAMA GNLPGVKRASW UV8b_01790 MSDKKSDDVVIRMPEGNGHANGKQLPGFSPAKAKTDALRGSMSS LDNNRGASVFAYCLSSMSMTIVNKYVVSGSSWNMNLLYLAVQSIVGTVAILMCKQAGM VRDLGPFDSQKAKTWFPIALLLVAMIYTGNKALQYLSVPVYTIFKNLTIIVIAYGEVF WFGSSVGPLTLVSFAMMVFSSVVAAWADSRIAESAAAVATLNAGYGWMGINVFCAASY ALSMRKVIKKTGFNNWEVMYYNNLLTIPVLMLSSLLVEDWSSSNLNSNFPEASRGKMI IGMIYSGLGAIFISYSTAWCIRATSSTTYAMVGALNKLPVAVLGIIFFAAPVTLGSVS AIFLGFVSGIVYTVAKLQNKEKPKPALPLTNKM UV8b_01791 MKFSTVAVIAATGAAAQLQVIQGVFVKITAAVDALETATRNSGG DVSSLQAKSDALVAAILDGKKTVDGSQDLTLADSVGLTEPVTSLTKKSQNLADDFKAS RPRVEKLGACDIVRSKLAAIANGSKDLTESVVSKIPKDAQTIAASLAAGLSKVLEQSR SDFSEDKCKNAAGHSTPSAAPSTPASSPSASAPASASAPASASASSSAPASTSHAEEA SKTSEPATTVTTFVSGTGCAGPSHGNSTATTTPIVTAGASFLAPAGALAMAVVAALL UV8b_01792 MAKASRPGLGALPKPQLAGRTPSGSFHAGAPIPSPGSFRRPSTT THRYHTLPVQPPKTPLEQAPSDSDASSASSASASAPSDAQQHEHEETPLPVRQLLLLA LLSLSEQTALNSIGPYLPQMVASMPGIPERQGGLYVGLLASAFALAQLSTNFLWGWAS DVVGRKPVLLAGSFSLMCCFAAFGFCRRYWQMVLVHVLMGLLNGNAACVPTVLGEVTD RSNQGRAFTYLPVIYSLGGITGPALGGVLAGRVGGGRFPYLGPNVLGAALLAASVVVV GVWFEETLDEQGRSPWRPKWVAWLTGRARGRRRESWASRLAEASAAQPLLSPASSSSS SLSSDSSRDGNDDDDDDDDEAAAPEGRPVWKDMVNHTTLILLATYLVFQLSNISFNSL YPIFAAAPSPTGRQLDPSAIGLSLGAAGVATIAFQAFLFQRIRSRIGNLGSYRLALLG LGTSMLLMPWVGALDDDPPFGWGSGRAWLHAELALVLIAKNICAVGGLSSVMLLITNS APSHASLGTLNGVAQTLSALGRSIGPVVSGALFTLSVDIRPRGEALPWSLFGGLSLVG WCVSLFIRGEGLESDDEDRV UV8b_01793 MALNLATSTRAFGSLRPLARVAVSGARGYATSEPDLKATLKQVI PAKRELLKKVKAHGGKTIGEVKVENTLGGMRGLKAMVWEGSVLDANEGIRFHGKTIKD CQKILPKGTSGTEMLPEAMFWLLLTGQVPSTSQIRVFSRELAEKAQIPQFVSKMLDDF PRDLHPMTQFAIAVSALNYESKFAKAYEKGLAKADYWEPTFDDCISLLAKLPTIAAKI YQNAYRGGGALPAEVDVTQDWSYNFAAMLGKGGKENENFQDLLRLYLALHGDHEGGNV SGHATHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRWILQMKDAIPASYTEQDV HDYLWTTLNSGRVVPGYGHAVLRKPDPRFEALMDYAASRPEIAKDPVFQLVEKNSRIA PEVLKKHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLIWDRAL GLPIERPKSINLEGLLKQVETQ UV8b_01794 MMRRPVAAASRRLVSPTTVRASLPRSRAIRAVCTTSAMAAPAGN PYSHAVPAADSFQLLPESQKAGEAEDALYEAQVKEVEAWWSSPRYAGIKRPYSAADVV TKRGTQSISYPSSVMASKLFNLIQERQSKGEPIHTMGAVDPIQMTQQAPHQEVLYVSG WACSSLLTTTNEVSPDFGDYPYNTVPNQVQRLAKAQNMHDRKQWDARRKMTAEQRAKT PYVDYLRPIVADGDTGHGGLSAVLKLAKLFAENGAAAVHFEDQLHGGKKCGHLAGKVL VPTGEHINRLNAARFQWDVMGCENLVIARTDSESGKLLSSAIDVRDHEFILGVTDPSI PPLAETMQAMEAKGAQGSQIDDFEAAWVRSHKLVTFDEAAVAHMDREGVPQTKISEYL AATAKDRSMGITRRRALAAHYAKTPVHFDWDVPRTREGFYHFRAGMPAATKRALAFAP YADLLWVETGDPSVEVAARLGRAVRESYPSKGLVYNLSPSFNWMAHGFTPETLKSFIW DIAKEGFVLQLVSLAGLHSTAAISCELARGFKKDGMKAYVDLVQRREKELGCDVLTHQ KWSGASYMDGILGAIQSGSSSSKSMGEGNTEGQFN UV8b_01795 MGRLSGKNAIITGAAGGIGLETSILFAKEGANVLMSDISEPALE KALAKVKQLVPSAGRIETKKCDVAQEAQVQALVESLDSWGGLDVMFNNAGIMHAQDDD AVNTPEAIWDLTHNINVKGVWFGSKHAVLSLRRHKKSRGSVINTASVVALVGAATPQL AYTASKGAVLALTRELAMVHAREGFRFNNLCPAPLNTPLLQDWLGDDQAKRHRREVHF PTGRFGEAVEQAHAVVFLASDESTFVNGHDFVVDGGMTKAYVTPEGPAAAAPVNNASK DSLE UV8b_01796 MADQEIKNITPESLPKLLEHDSCVKLAGIDVDGILRGKLVSKSK FLSVAESGFGFCSVIFGWDMHDKTYLRELKVSNAENGYRDMLAVPDLTTFRRVPWENN VPFFLVDFFDPNTKEPIAACSRGLLRMQLEKLQAKGYGAMAGAEYEFYTFRNPQSDSA SPASFLQQNPPHLLPSLTEGMFGYSLNRPVHNKDWYYDVYNTCARFRCDIEGWHTESG PGVYEAALEFGPVSQMADRASLFKYVVKSVGIGHGITPCFMAKPRQGLPGNSGHMHIS LVDARGKNLFARDAPDPHAPWPDVAALSDLGRHFLAGLLAGLPDVMPMLAPTVNSYKR LVENFWAPVTVSWGLEHRAASIRLISAPTSKPSATRFEVRTPGADSNPHLVLAAVLGC GWRGVDKKLEIACPPLAMGRDTGGPNDMGERLAKSLKEATARFMRKESVAREIFGDDF VDHFGGTRENEVRLFDEAVTDWEMKRYIETV UV8b_01797 MFFFTDSGSGPEPIRLNNENDSNSDFTSVSEDDLPPIHAYGHTY HGSGRVWVPNDVSEASRLNLQHLLFKKLHRGRLTHTRLPDEISHGDFEILDVGTGAGT WAHEMGRQYPSANILGTDLSVALLHHYIPPNVRFEVFDAAEPWDDRKYHFINMRDLVG GGIRDWKGLLSSAFAHLYPGGQLEFVQFRCESSQASSSADEVPDALQEYQRTLQRMCD EQGLDFDPASSVPAWLDEMGAENICSRVRWLPTWIGRDALHAVSARNRHVGELGGILL PLVLENWAIMLFDKGGLGEDYTRDLISRIVEEQRLDQARICLKLDVITASKPEFDDS UV8b_01798 MLPTIKMRQLCPIMDSTNLVSRPDKYYWGIARADVIHVQYLDPI MNNVFPNYGLLPRRCNLQALHESF UV8b_01799 MFQPQSMPFSPSSFFSSLPPFHGVDFSSVYDLPEATGAAERALS PTNRGQQPQSQDLAHHTHMLSSSCATAVLHPPQQHLHLSRRLSQHPHPPEASQVGNSQ LRHQLKPHGRMEGENSHDLASQQQAAEEYQPDLQDSLVGDKAPCEAITHEYAKADQVY VEKTVALPQTYSHYRPVRGDGNCGWRAIGFSYFEKLVNVGDQNQIEGEVARLKSLNHL LATVGGYDYFEDFADEAFDLLREVAVIIERPETAHDLIHQKWNETAVEGSLIFYFRLL AATYLKAHAATYEPFIPGGQSVAAYCSQNIEVVNREIEQLGIIALVNILLKPVNFVLE IAYLDRSPGTQVNRYRFPEEANEKDASGLGPIIYLLYRPDHYDILYRSQPVSLPATSS PPQLSVQVNRVTGFTHDTAFSSTAATLGAFATADYGTLSMIPGLSTGGGAGLTGLVSM AAASGAASPIVSDGFSSSHQDPWLTPYGSEVSSSKEASPSQPPSAITSAHPSSPPAPL TPTTPMCSSSSLMAPSLSLVSQQLSQHVPAPAAGAGYPIRFSPHQLEYESNSFPEPTF QVTTNTFKNSVWNRAHYGNPDFQPEEWNPDEDSIDHRLSGKKKARKDSN UV8b_01800 MHEITSFRQEVRSQFTAIDAKFEAMDAKFQAMDAKFQAMNRNLT SRQANQWAVSGGVSLLPMYNIFTGNEIANCPQTLAALEQCNGKYI UV8b_01801 MSDDEDFMQESEEEQYDFEYEEDEEDESGDVDIENKYYNAKQLK LTDADEAISDFLSIPSLEKEKGEWGFKGLKQAIKLEFNLGRYDEAADHFAQLLTYVKS AVTRNYSEKSINNMLDYIDKGADGKEAAKSMERFYSLTLQSFQSTNNERLWLKTNIKL LKLLLDRKEYLSVSRKLRELHRACQRPDGTDDPSKGTYLLEVYALEIQMLAETKHNKQ LKALYQRALKVKSAVPHPRIMGIIRECGGKMHMAEENWKEAQSDFFESFRNYDEAGSL QRIQVLKYLLLTTMLMKSNINPFDSQETKPYKSDPRISAMTELVDAYQRDDVHAYQKV LQTHQDILDDPFIAENIDEVTRNMRTKGILKLIAPYTRMKLPWIAKQLKVSLPEVQDI LGFLIVDGKINGTINQQEEILEVVSDADAEHTQAMSRLSASISDLYEAVFKDGDGLFR GTDAASFDQKMDLQGLNMGRALGNRGQIQQRGKKGKGSSATLW UV8b_01802 MSAHDESHGTQPSSTAETESRDCLGPAVANEETRLLDTDLPPHV VPSRSFQNVVVSMCVLFLFIVEASQFIMGPPLQQVAEDRICGEFYPDHELGLVTQDDG RCKDKAVQKELAMLRSWQISGEMFVPFFVQIPYGIIADKYGRRPVLFLALFGAGLQTA WLLMVLSLPQTFSVWSILYGNIAYLIGGGGTMAGAMVWTLIADAIPVARRTSIYYLLY AMILISAVVINPLTAALLSIDPWIAIWLGFAILVAGTFASLLVPETLHLRQTADTRPA SVGGSTHVSNHLPKSWFQRAVFTAANDMSHIWRFIFASTSVMILMVAYALLFPIKLNA FANSLQYMTKRFEWKWSTATYVSTISNITALVALLLLLPASSWLLVNRCGFGPLSRDL FLTRISVVFVIVGSLLTAIAPVPWLYISALIITSLGVGCITLCRALLNAIVEPHTVAT LNTTVSMLETMMGLIGSPVLGWLLSKGMDLGGLWMGLPYLVCGALAIGSGLLLAAFRL RRGFAQAD UV8b_01803 MSSGTAKILSTGPLPDDQARWIKLTQIKYQDPRGTHRTWESAER RTRPTNAPLDGVGIVAILDKPTGKEIVLQKQFRPPVGRITIEVPAGLVDEGETAEEAA VRELREETGYVGVVTETTPVMYNDPGFCNTNLCMVHVSVDLSLPENQDPAPQLEDGEF IDVFTVRLADLWDECKRLEEEGCAIDARVGTLAEGILLAQRMKL UV8b_01804 MAPVAPIACAQTIAFLHLLHSVPTQPVRNPTDCHRHSFLTGQSN RHVLPFEKELFLASTLAFLASINDNPNRIPAICVQEDSEAASLRVLIAVNEAKCGDGR SILHDLKHGFEGIFSILSSKPPTAENDVFAAIVSMCSKRILCRLRLSGRNKTRQSINS LLPSAIQALAKRFPSFAEKAKHVLQLADSWKKHQTSDRLQHVVQSVYELSQTASISEL LNSIPNGQMNPSSRTSLTNMINKVARYRSSARLLYRIAKKYPIARHMEAVPVTLPKSA FGNRMPDKIPPLELFLKESGVFHDTKKGRLRVFRLLQCNEQQASDVFARQTRKTLSEA KIHAEMQLIFHCEVAKYSPFPRVISSSKDACFLCNAFIQMHGKFFTPRCHGRLYPGWR LPIMPETAAFEQRFVQVLQIEARKSTKTLLDRGKRTVFPDPNESTVLTIPVTSSTSSS AMPQKDIWVTSGTASYKFLAGSSTCPMVAVADASDSRASALGAAKSWNSMEKPSSARS TGASCGQTAPTCSATVGAGPEDVKGSGVQYQTARGGSAVRLGSEDLPFSQRVTIDQRP MQLSYGAVTFLFEFHGVKDGRMCISQQEVSCIGKPAPINVLDIPTHRDLTVECSRGGS RFSVCISTSVFLEFEFTWSLLMDDVGT UV8b_01805 MGLTFYFAPFSTAVITATVLDELEHGQSPLAERVELSLGDGTRS PEFLAVNPNANVPAIVHDGVSVWESAAITMYLGETFGVRRSLYPDLGPRRGEAMKWIV WTNVSFLAHASRLGALLRADRSGDTEAQAEAARIGERDARAGVDRALGILDAALRGRD YLLGDAYCLADTHVWALLPWLAMLKVDLDGFPDVKAWQARVAARPALKKAA UV8b_01806 MTASNRLASEPEPEPEPEPAADSTKPRIIACGSPLTGHVLPVIK VVDGLVQRGYSVTLITGDEFRARVEAVGAAFVGIPATTYHAAVQHKRRGLADRTWFAR KMFLEPTAERGAALRRVLERERAEHPRDAVVVLTEPFFLGDQPMYLGGPPLPRGFAER PRTVSIHAIPYTLPSRDHAPSASGILPDYTDESRSTYPALYEQRAARLAETTAAQRRM LLGLGCAEPPAMPWPDLMVTSADVVLLMCPPSLEYARSDLHPRFRFAGALPPAPPRDF APPPFWDEVVAAAAAAAAAVVVVTQGTFAVDYAHLLMPALRALAARPDLLVVAILGRE GARLPDGAVPPNARVADFLPYDAVLPHAAVFVTNAGYGGFIHAVLNGVPMVLAGDTED KPDNAARGEYAGIAVNLRTGSPSEQQILEGVETVLRDGRYKKRVMQIKQENEDMRAMD TVERTILDMAGPERQAESK UV8b_01807 MPITGTPDAEEPEFQQLKLADGFSVYASPLASRETSFIYKEIFQ DGCYDVARLPPDAFAVDAGAHIGLFTLYIKARYPSARILALEPAPATFAAFQRNMALH GVAGVDAHQCGLGRDDARQTLVFYPRLPGNATFFEADKRRFVETMKQAKPVLELLAAR EEVDVEVRRLSGFLRRIPGLARIDLLKIDVEGGEVAVLEGLDEDQWELVRNVVLEVYN HDGGLGRLRALLEARGFRVEEQRAKWASQTTEVYIVVGRRESDEVAGGC UV8b_01808 MRSVKHHCLYWLVACAAATIYQVLTLKSGVPAVLFYVLLVPLLP FFVILVVRGPRDEPWPRLYHIATAALLISLGCFCSTFRLSAPDVARPGFDPRPFAHCC LAFQVLGSLESIRQNRLDIDPCNVGLLHGAPDACFSYPKKRTLLRRFLDVIRLRLLYL TVLAPLALALDGLLRRLYASEMSFTSVALGSISVPRHVGNPAMLFYIGAALADVAETV KHYTPPLQNPFSNFSTLTRGGVGGRNRCFCWLLAALT UV8b_01809 MTLPSVLYASLVASFSSVVTIVSILVLLRTSHDNIITTLFDRVQ SPSASNDFPQPRDSLAAGLTSVSFVMKPWTHPAFSRTLPSNESEFWDLLVPPSQGFIK VDMHDGKEHVVGIAMFHQLHCLKMIRIAMFGTEEEKLILKRGGLLKDRRDHIKHCFNF VAQVILCAADDSFEKSINRLSDEAEAGGDQDPLLGSWHQCRNATRLYEFLQQHEKAPV EGSVLGRYSVFH UV8b_01810 MHPAMTPAFTSVLGKAFLSETLAPHPIPLKIPSGGQEKRNEAAV YHESPPPEVRCASLNHPQIRPTGPCRSAQSAPDPAANQMTLLGNLGASWAARAVAKLA FKWLNGPSHLQ UV8b_01811 MEVPGLPRISAHVIINGQVAKEYAPPEFLEEEANLPTRIRYIES QSGQTYTVRVKLDDRKAPLQFPDFKFSINLYVDGEHIEGHFLTRHESRYEFVGPCHRT SVPEQLMRQKLVFAPISAVESEPTAKKTALQKGEEARVVKDIGTLRIEVVKNLALLKK GKLSYKMIREKPKLTISEKLIKGKAISHRTVFSEGTIEGDPTVYYYDYTPLADFIFRY RSHRALQQEFIIPRTPSPEPPSVSQEIDSMSAADIKRLAERMLAIDRQDVKRVKRESS TSERRRVFIDLVDEPDE UV8b_01812 MPAATSELTVPRPSKASGIPPGYKAKVGRLQTFTLPDTFTGGQE DAELGRAIVRAWQQDGILQIAMTPAQQAQYKAADEASKRFFGKPYAQKAACVDSQTYS GYIASGEELTDGIADYSEIFTVTKDLPLDEERVLGMWPCHGRCPWPDAAFQQPMERYM DGLGRSGELLLKLTELGLGVPEGSLVQYTRDGWHHMRVLRFPANNTTNGKGKDGRGIG SHTDYGLLVLAAADNVGALFIRPPYQNESFANWSESAAGFKEDDEGWVFVPPAENVFT VFPGDMMQFLTNSALPSTPHKVGLNTEERFAFAYFHEPSFQAVIKPLPGYDAGQEPRE GVHYGKHFTDMFMRNYPGRITTQRLVEEGRYAMLQREELRTMYA UV8b_01813 MLYSPVKFRLTVVKPYNYDPNIDDPKDIVYAEGPARPSRLEVRI PAAAAPPAAITLAAATYPSPATSPNQVRLVSPAHIISNIIINKDQEEALVNTIASNTI INISFLTAKE UV8b_01814 MLDAGQSGRRIRVQCRPSIKVQTGAIEKSRGWGVLDTDTDIELV DWRIRHRQIWPSLPPTARAAPVSRQRQRQRQLERR UV8b_01815 MLQLLTPATLLVIFATLGGSAAASESGSVSIRQKMIAKPHHGLP PAQLLLRAYNKYAKAGAVPPVKLSKAAQNEGTVVATPLTNDVSYLCPVTIGLQTFYLE FDSGSSDLWVQSSLSPANLSVGHSVYNPRRSPTAKLLPGYTWNITYGDRSGARGVVFT DTVDVGGVVATGQAVEAATAVSAEFTGQHGSDGLLGLAFSAINTVRPVKQRTFFDNVK PGLRNKLWTVDLKHQATGTFDFGVIKPSKYKGDITYTPVDPSTGFWTFFPSGFKVGRQ PKVTGNQGASIADTGSSLLYVQQQAAFAYYAQVPGSTLYRGSIFIYPCNQVLPNFSVY IEGRQFTIPGRYLKYAVMHGNKCVGGIQGSAGLPFNIYGDIFLKAVFAVFDESQGQPR LGFAKKDLV UV8b_01816 MGAERCSQMRELLANWPWAAEFASVFGFTLLLGFGPVGIGAGSL AAAFQSYMYGAFTPAGSLFATLTSFGMRGLMVPVAAGCAAVATAAWAILKWAWSWVGG AFSSRS UV8b_01817 MAEALEGLVAVMAPEQLGNSLGNKWPLTNQFLSPPTVITERSFL MAVTAHRINAAC UV8b_01818 MQLLVSILLSFLMAHITSNLVVNRFRRMQALSRAASPEPPHPKP SDKSDSRDGIYRPTPLDITVTRIMLTRSLRIPPDLVDSIFDYAEYWAHSINVIDYQAE HQDSLRIAGNSATENKFLLRSYPLGLTHIQEQDSLSKQLAYDTTEAKPLPRKSDRDAA YFAKLAKYPTPKLLSPARKVVFTIKSHDQGWSSQEGRGTYKSSWTWFEAGLERFDADQ SCNSRCTSDARQESADSGEPSLSVCALRPLRPEIEKEQSAVGSVNVNGDDGQQSTGSN EEHGDEDRCRYIHPLHRDPDWEIQRNKAATMQWQEHVVTWSYLDDMQPDSDAGKALDD QGRGRGTGDGSFVRNLRLGDVVTVWGKARFTGWVNTVDHVKIEVYWAV UV8b_01819 MTWLNVPSLAHDSSAEAVLMAFASMELDMVLDHLQTQRDGLSDQ EADARRSIKGPNVLPTHTAPSWIITLLKAIPNPFNVLLIILAILNAAIPPGSWKGFIV LVVMVVISVLVRFWQEYRSSVAVFELQSSVTTNLEVRRHSGVVLDQKLSTLSETSYKT MDEADLVPGDVVVLSPGSVIPADCMILESSFLRISQSTWTGETDPVPKTASAAGEKGS TLFDLNNIAFMGTSVISGNGVAVVLRTGADVLIASMANELKKRRQANSFQVGIRHVSY MLIGFMLIMVPLVLGISGYTTKDWNGAATYSISVAVGLVPEMLPAIVNANLARGAYVL SKMKAIVKRLDAVQNLGAMTVLCSDKTGTLTKDEITLCQFIDYAGDTNTDVLKLATVD SVLQGANGNNIDRAILDYTMPDGQPVNVAQYVKIAAIPFNFERRRSACIVQGATRTNL LIVKGAFEEVLRLCTTVRQGGVTLPLDCQKRQTLVQRADALNKDGYRVLLVAEKKVGQ VNADDEEGLHELEDNMVLEGMLTFMDPPKDDAAQSIAQLKDLGVEVKVLTGDSLAVAI NVCQRLHLIGRDDISNDDDDVQAITGPELALVEGTDSFDNVVKTCKVFAKLSPNQKAS VVASLRKAGHCVGMLGDGINDCMALRKADVGISVDSGASVAKDCADLVLTQKGLEIMV TSVTTGRLTHGNTIKYIKMVASSNFGNVFSMLAASAWLPFTPMLGIQILAQNLLYDIS QIAIPWDRVDAEYLKTPKKWQTWDLLRFVVVLGPTSSVIDLLTFTLGWFYYGVKTADD ESAVRLFQTHWFLQGLLTQTLIVHLLRTAKVPFVQSRAAVPLSISTAAIMVIGFVMTW IPPIQRAFSFEQPAPTYVGFLVAELVLYCVEVQVVKMIYVRVFRAWL UV8b_01820 MTRLVKVARQLSKPLALQTPAPTPTPIPTPAPAPTRPSSARLRD HAPRARHPFSSRAGAASNPAMAFPCLDALESRSASLQSRLGSASASASSGPEPSYTSG ATEVYRCKEPLLLDWGGILPEFDIAYETWGELNADGSNAILLHTGLSASSHARSTRAN AQPGWWERFIGPGAPLDTDRFHVVCTNVLGGCHGSTGPSSVDPGNGERYATRFPVLTV QDMVRAQFRLLRHLGVGPLHAAVGSSMGGMQALAAGALFPSRVRRVVSVSGCARSHPY GIAMRHTQRQVLMMDPLWNRGFYYDAVPPHAGMKLAREIATVTYRSGPEWDRRFGRRR ADPAKPPALCPDFLVETYLDHAGDKWCLGYDPNSLLYVSKAMDLFDLGAQAQAATAAR RAARRAELAAGQGRAPAPECSLTLPASPYQEQPQDAAEADADAADLAEGLSALRGIPT LVMGVASDILFPAWQQREIADALRAGGNARVTHVELTEQMSLFGHDTFLLDLENVGGS IRRFLQ UV8b_01821 MVSLFGLKLGSDRKKSQNRRSGAKETKAPHKRNRVDQNTLGEGQ YFGHNFSRPQLPTSDTCPATPNSAQGSSNWRSVFKNRAVTSSLVDLEPPKRRPSVGSL RHVSSDLHLGPVAALPAALGGSGIRPGNPNRPAASRNAEWVNPLDVHFGKDQTSQRPG PAAAVAATVPAVAVTPPSNVGQTDGAHGRVEPSKPTTATAAAAAAAAAATASGYPAPS HAQNSAEETVILNGHPSPPASHRYSDAAASSSLNDDTSGIYPSAGEFKANASGALTQS DVPEMEPLPSPATSVRGTSEERTEGPVVRNVPVRRDTLAFHQPRRPSCALEFEEGHRR ATTMHLSTERFSGNFADFDFGETVTKTASNTTVGEETTCLEHVMTSRLSRGSSNDGQV KPNKRLSSWSVSGPHAGEREGSASPAPTQASEDQTSNSALIDQLPQPPGESARFRPRQ GFQSRFGSASSCRAPPPRPLRSLAFAPPLDGLEPSTRSPDGSPTDLSSDGRHKGLDET RAWPGQRLPCSPFSKPPLRGDFPVSKGLPRGRRLEPPQTPQTSPAPTPDVAPDSGVLS FPAWLDFDRSKPRRSAMPAPLTPNRACASFGGSPGLSTPTSATALMPSPTLPSLETSL SGSSNNTFGPANGHEPPGSAATVGEFPSIDGRPRSSAGNSGRVMAKKAPPRLAAASLP LLVNHDRCTSPFKSPSAAHFAATHI UV8b_01822 MARNSEKAQSMLFRFREAQAADLGIIDAGRARRPKLITEVESVP VCEKWRGQVLKDISRKVSRIHDPVLSDYQIRDLNDDINKLMREKHMWEVQIRNLGGPN YMRAGARLYDEQGREVPGRGKGYKYFGRAKELPGVRELFEAAKAKSQRREGAAAAAAA AADEGREARRIVDAAYYGFAPGEEDEAMLAYEADKERLAAENLARNGPQGVPEGWEAL PGDADGEGGWRLPTMEEVQLELVERRKRKLLEQL UV8b_01823 MFQPPDTSTPRTHEARSASPQISSLTFSPTTRDSSRSPGHVHAA AACKFGFLVLDHHRAIHRLRSPCFPRYFPSPAAPRASLLRLKTIACPVTDGACSARLE GAEGTRHLLVISVLVVIWTAVLWCGQKRESKPAVHRGVGVFTAGGGNLMMMNGVSAQS LNCAAATWDVGAGGGDAFVFNEGWADGSAVGTCSFAGWRRSCKPRSRGAATASKI UV8b_01824 MRFGLGAAAVCLLAAAASAVSTTGNRLLVVLDELADKENYGRFF ADLTKRGFAITYETPRSEHVKLFDLGARTYDHLILLPAKMKALGPNLTPNILVDFINA NGNILVTLSSKTPASSSLTALLAEVDITLPAERTGTVVDHFNYDAVSAPDAHDVLVLD PPANVRPGLKTYFEVPGPVSFPHGCGHALGPGALLTPVLRAPSTAYSCNPADQGGVVD PEDLFAAGAQLALVSVFQARNSARLAVVGSAEMLQDKWLDAEVSRPDGPRTKTANREF AKRVSGWTFQEMGVLRVDGVEHRLKGDNATNPEIYRIKTDVSYKIALSEYSWDAWHPF TLPAGDELQLEFSMLSPFHRLNLKPVAATTGAATVYGTTFTLPDQHGIFNFKVNYKRP FLTNIEEKNTVSVRHIAHDEWPRSYVISGAWPWISGIAATVSGFLGFCAIWMYSKPVD EKSKTK UV8b_01825 MSTPIFWSTPLKYCRWAARERPALFWSVIIGAAGPVALPIVPPI RRYFGDVDPEPVPVTYPVPTGPRKQLSGYDD UV8b_01826 MELLFQLVGASLNVLSSALQHNPGNKRFFRYRAAGGGWEALEQV IASIGLGGAEPDPWVSCHLFGKLLAFAFDDEGLDLLGQSIARILRSDSDASQPVNPSI KDDEDQWDLVLSPSLERLGPDLREIIKPTSSIKFPEILRALVSFWISIPRQSGSSASP SSVFVLETILCAISNSIYNRYAVHSTGVLSQFLHAAFGQDITLATSERERLLAISKLL MFLGVNQPADTEFLLSAPGSEAADFCLEMMSKYAGPSFFQFDLSLQGHSSLELPTLGQ SFPPQTSAGYTFTAWIRVEDFDPTSHTTIFGIFDASQTCFVLMYLERDTQNFILQTSV VSSKPSVRFKSVSFRAKTWYHVAITHRRPKTMTASKASLYVNGEFAEQSRCNYPLAPP LSKNRHESFASFNSNHVRTNPVQVFLGTPQDLSGQVGPGQVLSRWSLASAHLFEDILS DDFLAVHYGLGPRYQGNFQDSLGGFQTYETSATLGLRNEITHPGRDESSDILRAIREK AGTLLPESKVMLSILPSATFPENVQYLDTGLLRSLPRPAIRNLFRLSSQEGAPLAINC AVPSLPDAFFRAQGFAFFRGAPIVAVPSYLDENLWRLAGFTPLVLKLLERATTPDDTV RAIEIMFRCIRKSWRNSEAMERDNGYGILGMLLRFKAGYGVQTAGEPAAPRLLISNED RDSLLFRILSLILEFVGYNHAEPIESFIVNPLAYRILLIDLDIWRKSGPRIQELYYKQ FLTFAVNSKHHEFNSRRLTRMRIVKRLLDAMKGEAISEDVATHFMYAFEVLAKSSLNQ EVMRSLSLFVTYAFHAAPGSQPRTPRAPSSTLRPVTPGALRKAAAESLTSGSPPAGTK FLTKRQLGTRVLGMYSRILCEKGNFNHIKKFARTVTNKWLLYLLAEDDAEIVLQACRV LARLLVSHGSGYTSKFAARSGGFVIMASRLKRFWGCSKLWPICFSILFGYDAANFSLD QGVDPNSLLTLFVRRKVACPESLVIITSMLQCGLKDVMRNQVDVNSPTKGAVDNDRRV GLSELSATMTTEMTIPSEQKSSETQEDVLLRVIHFLLELQRLSADFRDFALSSEWTRM LLKAVYPCIVSTDAVTPEIELNSPDLGLTFEGSDVIIRPIGRSTVPARIVRTSSVDAL SSPQSTPPKGTPLRRASSFVLLTALNTTPAAHLRTNLAQPCPKDANNQATSAPLLEGM LDLVLGVFLDQVCNRKDFSGFGLFTKVPPGFQEHQAYFETYVLKKILNKTTSNIHLDK KSLCEPRFLTNVSRLCTQMAEAIFEGWFIDGPEVMIDFIGTILEYLQRPDIARLKSVR LCNQAVLTIRSCLLRIILLKLSNLDSSTVVEREAKDFMNKLAYWQMPILECLGHDDEY LRLFWYQLYMKLVDDKVCVRLAAANLLRIIMVQKPDETVALIRAHMTPDQRQLSLEFQ KLTEVDDETFVHWLDKHRPSLDRLFFENMAKTWEDFVSVENHRTHETAKTRLMKRKNR LRSWYSEGVLAEKTLLNHDIGNSAWMRSIFNSEYFKYQRILQDQQDDMAYLGAAYRKM EKDLRRPGAVFSESSASTWKLDRTEGRNRMRLRLLPDASGIGAKYQPKGKAQEAASLP LKANAANHADPPQDSCSSASTNGTLAGGSERTGTVEADSATGKQDSAFMAEDDFELVD DPNEPIDGEETFENKSRKVMRRLEQGDQVQAAYNISRITGLEACEGILIVGRESLYMM DNVFQCASGDIVNVWQAPQEERDPFTMVVTGAKTLEKRQSAGMRDQDSRHWKWSDVIS FSKRRFLFRDVAIEIFFTDGRSYLLTTINAAVRDEVYGKLLNKAPHTSTANALPNPED AWRLEELKTFDEFPQGFGAGLGSRIGTLFNSSPSYPIVKRWQKGEVSNFHYLMMVNTM AGRTFNDLTQYPVFPWILADYTSEDLDLEDPATFRDLSKPMGAQTQSRVQGFAETYSA LKEIGQTPFHYGTHYSSAMIVSSYLIRLPPFVQSYLLVQGDSFDHADRLFQSVGDAWA SASSKNKTDVRELIPEFFCLPEFLTNINQYDFGRRQSNGAKVDHVTLPPWAKGDANIF IAKHREALESRYVSENLHHWIDLVFGFKQRGEAAVDNLNVFHHLSYAGASDLDKITDA NERAITAGVIHNFGQTPHQVFAKPHPCREYTQSPIKRLDSSVFSLCCLPRPLLESHER VASLTYAPKLDRLLCASPLRLNFAPYDKFLEWGYADSSIRFFFSDNRKPAGLFENLHI GQISCACFADSRTLITAGEDCVVSVHTVHTTPGKPVELVLRSSVFGHKTLVTAMAVSK AFSTFVTASADGQAFLWDLNQLTFIRKLPLVRHVECAAMNNVSGEVLLCSGPNVLLYS LNGSLLVDQNVCAEQDDYVHSCAFYEGAGNEWLENYLIFTGHSKGRVNVWRKCALAGR WTLELLRRLDHVDVKSDKEGNVGAGITCITPMPTCVYTGDEDGRVYEWNFGRGDR UV8b_01827 MKTFVALSLAAVAQAALSTETIHKGSAPVLSSVHAEVVPDSYII KFKDHVDDAKANQHQSWIQDIHNDGEEERFELRRRSFGSSVKDAFTGMKHTYNIDGFK GYSGHFHESIIEKVRNHPDVEFIEKDTIVRTMLPIDTEHSVQEDKCEPGDTEKQAPWG LARISHRRGLSFASYNKYLYATEGGKGVDAYVIDTGTNVDHVDFEGRAKWGKTIPSGD ADEDGNGHGTHCSGTIAGKKYGVAKKAHVYAVKVLRSNGAGSMSDVVKGVEFAATRHI EQVKAAKDGKRKGFKGSVANMSLGGGKTHALDAAVNAAVKVGIHFAVAAGNDNADACN YSPAAAEHPVTVGASAFDDSRAYFSNYGKCTDIFAPGLNILSTWIGSKYAVNTISGTS MASPHVCGLLAYYLSLQPASDSEFSVAAITPKQLKETLIHVSTRDVLSDIPNDTPNKL AWNGGGCNNYSKIVAAGGYEGTPQASELEKMKKVAKGEVKEISGKVIKGVQNLGDQAE KLLEKIHSVVDKEIEHFISEVTV UV8b_01828 MKQSVRFTGPNARPRRQLAARAKPVVLSNRSSGLTYDSGRQGND VILTPNSNYEQSFAIAYSPRSSNYSITRSDTNLHTDPVTPAPEPSFPQTIRKSKSMYG QFAFSSSDYAGRYIRSDNSEHLQVPPHNNETFHHSLRRSLRTPKSMSYLDYRNNQSIT NNDKTKRPDVLGPVKEIHPKRSFRHLKSHSSMFFRSRHRWQESSVDTSTSFCNSSDNS AAISSAFSGNSAPTAKLASIRFAARRVSRSFRNKLSKFLGRSKDSDGGDRDAGASQAH DTDTDSYHHPPGTPPPEEASMSRVTSHVPSLHAVPSCQQMRSRQGSLESISYDDGADL DDKSRITSWTNSTANTIINYGANEDREYQRLSVIKENGMHVPSSSQIGPLRDGRTPSG DAMSVPKWTINSQRVYSALVKRLTSDAQAEKTLQDSNPAKQGPDDSVPPRQSSLDRAA PQTCSPSTVRCIGTEDDDVFEDKKEAASSRSNLASKMFTDGVECDKIGRTASYKAYPN PTAGDGKGLSPTKISCPELASPKAMPLQAEKHSAFSPSPDNYFFRTTSPYRRTLQRSM KEHQDTEHTHALDTRYLSTLSALSLPTRRPSTLGSERDLRTTYAESFYSFMTDELTTS GGVDDAATPHGAEDGREGVENEPFGVAISTPAGTRNISPASSVDWKRRLSAHEFKSKT PSKKTTSQIGDDPKGGPQLKARHVRENAEIDSPAEMSKIEAAQATPIVPLGEGSANIL GPHSARTHCNKAQDANLKSPGAFDENASSFENELARSCGSPQPPPIPYRKRLRAIPSL PIVGCVAAASKSVSCARDIPRMRSLNTIVSAGATAPGGSHAQSLGQENGAKEALTPSD LNADGPATAGGDDYANARTGSGKRQGPSNPPSVPHTPGVVAPVTDGIPGSMKSEWDAQ IRGSRRMVDLFLSSRRKAIQGTASRNGSENFPAAFV UV8b_01829 MPSDCPRPSDASSGSDSHPASRPVSRPVSPVSRVARLARLARLA RPRLTPSYVLAHVALYLLACLLLQTSPLATALPGYTGPHAVGTVDLELPLARPVVTSP AVRKLDASPAFRLETVLLSLYYPTAQGLRSPPRRRRHHHHHYWVPRPVHLTARGFARF AGVDNPVARCLCWLAVWLGAGFVTIPAEVDAPLLPEHAGPLPVVVFSHGMAGSRTHYS HYLGELASRGVVAAAVEHRDGSSPATVVQRAAAAAAAASSSSARPVVHFDQSDLLHPD GSAVSLPQLKAQQLAFRDAELLQALAVLRALHAGNGSALHAASSRGEGAHLPSFRGRL DLAALVLAGHSYGATGALQALAHPSAAHVAGAIVLDPGKQSGPLRDQVRVPLLVVHSD AWSRAATDFFGRPHFDAVRDLAAGALARTNAAWFLTILGTSHPSVTDAPLLYPLLMRW AAGAKLGAREALTQYVGVSLDFIHFIKTGRRGDGMLGQNVTHETYDKWVSKERQQSYP EDLARLWQVHVSPAPRDQGNPME UV8b_01830 MSYYDNQQWPAPSQNNWEHQGTTTPTRAGASGPQSQDDYAFSYQ FDEVDRAYENLQKSGKGYAMGARRKPTPKASLRSGAPMFPADVRPVHGSGPRSHPMND FDGPQGHNLQNYYASQRHQPSRASNEAEQVMQAKRRMAAQRERELRNLHTEQQYQRNV LPDVPQHNNKHMSEEETRELIARQRSALYGEGPFADKNSYVDESGHIRTGVPGPSGPS SLRGPSPLAFDAIGRAPSAADAATPGSASERNATPGAPSPRPQSTSSPQSAGPVPTNK AFENAVGAQNRTTTSSPTGGSSPRDLAPGSKPGQNGASVAPIGTRPAGTPSTTVSGKR STTPLAASGGWGRGNGVWGQSSGLGAQASVWG UV8b_01831 MTGAHDIRAELQLAWPQGRPPPSLYLSSSSSSSSSSSSSSSSCR RQGTTAGVTSPSTESFAAAAPDALAARSGSACPGGPRRTWHRVPSTCTDCGVDAWMRL DGESV UV8b_01832 MLQIDSTSTDVCHREDSELWRLSSARWICLAGPHGVFSPPRSPQ LWPGTGPARWNGSRSRVQGTISPVAEDGPGHVGHPRGRRVSTVHDEAAQDAMPIWVVD AAVVEAKRRRGLASPRRRIITGG UV8b_01833 MDARSATSEPQHSYSPSPWAATSTSPSSSSESTNNGPAHSQSAE SLADAAALPAPAAAAPPFPSHLDFLPFLFPQQNIYHQQLLHYNKLISPARGGGLQTPP LPPVVSSPHVQAGPRPRSSSKVSLKDGSASKGATSGGNGSRSHHSSDKNNRSVVVSKE HTTPSKMPPKHSAEMSRSVPHRSNAATTSSAHGQSQSSSVPSTPHQHARQFSFESREP SPSAGNNHSPRSAYSETNSTLPSLRPLPPRLGGCKYETAQINSRRRIPYSVGNDRLEK LDLRSVKSKLSEDEDRRLAADIRILYDRLLPTNEVEEKRKKLVQKLEKLFNDEWPGHD IRVNLFGSSGNLLCSDDSDLDICITTSWHELEGVCMIANLLAKRGMEKVVCISAAKVP IVKIWDPELGLACDMNVNNTLALENTRMVRTYVEIDPRVRQLAMVIKYWTRRRIINDA AFGGTLSSYTWICLIIAFLQLRTPPVLPALHQMPYKTHKSDGTVSDFADNLKKIKGFG NKNKSSVAELLFQFFRFYAHEFDYDKHVLSIRQGRLVTKQDKKWNYAVNNQLCVEEPF NTSRNLGNTADEYSFRGVHLELRRAFDLLSEAKLEEACEQYVFPKEEERVWTRPPPQP RPVLVRSSSQTHSGRGGRGHHRGGRHNNNNNNNNNSNNFHRNGGGSNRRASSSVPAYD ANMFVTPITLQQDMSWFQNPHYQFQYAQQDLMTQMAYHQESMRQFQLYTQSPAFLQQQ TMNQAQRLSATNSGSGGQQSSDRSRTNSFDNVPVSAPLRPDLYALYGMNLGHTFFPQT SYGTYPTTTTTTTAASATTNSGGQDFRRSLQRSTLSGEGGLSASSSSIRSQSQPAQRS PSANPGVAYHTLGSQTPTSAVMASSRNANGVPIPSFMSDEADFDETPRAVSDSPETFD VQSGFFQSRSLSPTRLSQLQLQQQQQQQTQQQHLNQQQHLPNGIAFGDLAAHSSSPER RRLSTEQLPQTLLDRRMRRASRSPSPLGHARAFSVGGGAASAPLASAPFPGSQSSQSS KTQTRPLVVNGSGLKMAVSPPNQRQCNRPETLVLEDSLAANMDNALHINVPVPMWPVQ PPINATMQAPSTSSSSTAPQLPLDRPPIVVNGSNAPLTISQSTEDASFRERIAMMNSI YLTNQLNQQELQNGNTSRLAPSARQRLFGRQPQSGVIAPLDLAIADNRVGSTRPSGID SANLSPVYENRTPSPSAVRKQATAAPTWLPSKTQAKAEGKSKVGSAATDVPKETHQEG DWMPSPVDAEKMSVVQKPGTAAINKPVMQARENGHVRGAKSEGDGGWQKAAGKGKKKT NAAPAATTQPSHAELPPRNESDRKGG UV8b_01834 MSTSTSISLLEEEKVPGYDPSSYYSARVGQTLHRKYRLVSKLGW GSVSTVWLARVESRWPWRKQRYVALKITNCSPVARVAAQKELEISKHICSRWTLHEGK QYVRRVLDSFEIQGPGGTHLCLAFEPLRQPLWMLGRQIRPNGLVPPHILKPLLRSVLR CLDYLHTECHVIHTDLKGDHFMLPFEQDPAVLEDYVREQQVHPAVFEERRGRFVYESR PDFGRLRDGVGFVKLTDFSTAVRGDVSAKYYHDIQPLEFTAPEVMLQAGWSYSADIWN LGVVLWELLAEVSVFDGKVPGRPEFSYLTQFAQMIRLLGPPPADLISRADKEIYSLIY DDQGLFRHPELIPGEDFNFSSITTMFEGEEQMLFIKFAKRMLKWVPEERSTAEELLDD PWFQFKTHSIH UV8b_01835 MDWAKTQYRKQRDSWVPWIEDMYLYYFTSDNKASYTTKENLDRT KVTGDEDVDHIQEGVNQGVAGQLGQGGVAQPAGDVVSKEGINRAERKGADQQGEYLPN PLPGL UV8b_01836 MDVFQQPVYQETTIQALAVDQQASSVLNLRQPTRATAFATAFAT AFATAIGPGDINRQPSAVVSGRACCRQQTYSPGELVADSHEGPLHYGPIHGYAWASAC SALHQTATCNLQPAACSVFSVQCSGAVAAAKIACRPEATSSWGHALRPRPTRAHTLRP HPTLHALRLFQTSPLAT UV8b_01837 MPLMTQANAPTVLKASGMYRTTSEGGASAASSTSKHWWRLPRKK HYSKHLDKNRHPFVRSASGGEASSIDSQLRPSDSTSLYELRESNYRQLQSLIQRIKAA PSGPSHVDSAYKELEKIRETCSSLCHGLLQDHAKPGRSVSNATEATNPRSPSIDSPRS PGGSGFDQRSSFSGRSTSDVVNGSVSRLGPATELYLNAIRDWKTCLQTLCEAFKVSLA DTYKSYERDATPEMIDLLFASKKFRREAVHRMRNASVTRVLSADPQFFPRYEIRFRNY ERVRKEVGEIRQLLQLGESGISPLREVQEFAIAPRGDAVLEFANLGSETSHVDPVLRF RVSSYMLAETSPIFARMFSGHAGSLYLHEDEDITPRLPPPPTPYMCRDGSEVKLYRMP QYEVNRLQSLEILMHAAHMHRGLVPREVSFEQFVAIAECSMRYRSTSPLELVVEHMWL PQWMHRGAEDMPDGLLVISYAFGSRELFTRTSKSAILHLADERELQAKPWPQRIKDKI WAVRCAKLDQIHSCCTNAIQEYIRPPVRDSPTSNYVESHASSGLTATGSARATALTGS PRCPRGSHSCDAVNLGWMMLMFNEMGVLPQITQPCILSHMPKHEAPALSLAQRVDMLR TMPSPASPVHRGGVCDPSIAFRTAVADIYSSVTGLTLHDVSGKSHGWALSKHRTTEPQ TIPATGLDRMAASQDNYTVAAEFPGSVRLRILAEIDRLDDLQAAAQVDRGFYETYKTH EVRLMRNILRADHRFGAGFLAAAAHRAGNTEDKILKQESDLIRQQGPLEAADSMTLFS TTTTTGVSDDEDDLDGIGELDPAPPPGHAPGSSSGEAAAAAAAAAAPATGRAACGTAP ASRMTEEEAQRILWPEPIASEVAARGAAAPPSVEGSREKFRMGAASSAGLEAKTLVVT GHKQLRSELDRRMGLLKKDECRDGCRAGGSKG UV8b_01838 MRQQPSRALFRLAFLQNLFSPYADHAYEPLHAEEAQEAEARESS SLVGAMAAPTKSGLDPTTRAVSDVNDGGGDGAVNSDSRHHRPHPAMANRPMASDGGGT CSETVEASQGLYILESKSVRWWSYLATADFWIVVAIGQVLALCITATNTFTSFLQQEN FSAPAFQTLFNYVLLAVVYNAVLLARDGPGAWARVARRNGWKYLVLAFLDVEGNYFTV LAYQYTNILSAQLLNFWSIVCVVAISFGLLRVRYRPFQVLGILVCCGGMGILLASDYM VQRDGGAPGGGETKLKGDLFGLLGATLYGTSNVLEEWLVSKAPMHHVLAFMGLFGAVV NGVQAAVFDRASIAAAAWSPSVAGHLAGYTLCLTLFYTLAPLILRMGSAAFFDISLLT ANFWGVIVGIHVFGLSIHFLYPVAFVCIVVGLVLYFLSGGVLGDSKKPWLGENQEAGV AGIGTAKLRAINAARAAQGEAESGGGAASKIRALLGRKT UV8b_01839 MSSVGPAGLCIVFSIQVFSVVFDIQVFSIVFSIQVFSVVFDIQI FSVVFGIQVFSIVFSIQAFSVVFGIQVFSILFSIQAFSVVCLQPALPWCSRSAMARSC IFAAVFAVAARASQPGAAAPVPAPMRDLTWGQLNFLHTTDTHGWIGGHLLEPQYSADW GDYVSFSQHLKRRADDRGADLLVVDTGDRVEGNGLYDASTPKGLFYYDLWAEQHVDLI CTGNHELYQAATADVEVNTTVPNFRHKYIASNLDYLDPSTGEQRPVAQRYRRFRTKNQ GLDIIAFGFLFDFTGNANNTIVQPVAETVREPWFQDVIREKPHLFVVIGHVGLRMPEF RTIYTALREQNGHTPIAFFGGHAHVRDARSFDARSLAIASGRYFETIGWMSIDGIKTK TPTFARRYMDTNLLGMYHHTGLNETTFPTEDGRRASNHIARARKALALDRRYGCAPKS LWMSRAPYPGNESIYSWIQDEVFPDVVVNAKRRHKPRLAIMNTGGIRFDIFKGPFTRD STYIVSPFVSGFNYIPDVPYRIAKKVLALLNGADKIVGAHGAETKFMAIPEMMFPSQR GQSPLPASADSRLELRSIDTHLTGGYTTTDDVGTDGDDTLHSALPFYAMPNCIQSEIG FPARGEPEAVDLVFVDFIQPWIVAALRFAGGDYSSDHVEVYMEETATYALAQWIGKNW GSEC UV8b_01840 MRRAVRPAAAAAGLLLMLLLGLCTPAAAVFKDEVGDVDYHHALV GLPQAETTFFHRPRKAGRASLLYTLGDVGVVGAVNPSTGELVWRQHIGRGGVGGGHLR APQGESWVASAHGSHVQAWDALTGRNIWEVEFAGRVRDLEILELTESSSRKDVLALFD EGGVTVLRRLHGALGSVLWEFRETSRDVPAQVSTNIADVYVLSLHGAPGAYSLKVTSL DMATGRRVDHWTVGGGGGGGGGIRDARHVSFVGANSAAPIAAWTDARLTRLSVNVLGA RTKQDFALPDGTTAVRVHAPHLLQSLPHFLVHVSTASGHRAQVYHTDLKSGRVSKAYD LPHAAGPGAFSTSSDAANVYFTRITQHEVTIVSSESHGVLARWPLRPAEDGRAAAVEA VSEVVRRAAGGGGGEFAVRSAALTSGQDWILIRNGVVDWSRPEGLSGAVAAVWAEVPE AERLAQALAEEAHANPLAAYVHRVRRHLADLQHLPAYLARLARRVADGIISGQAADPS GRHQQEGLPPLRRRGDAFGFGKIAVLATRRGRFYGLDTGRHGKVLWSSAVFQPPQPGA PAISIKGLVVNDGEGTVTAVGGDGELAVVDYATGNVVQARQAGGATSPATKVAGTAVV VDAAAGSKRLLALDPDGLPVPDASTSVRVPDDAAAVVVVRDGDRGLKGVKLLAASEDG GKVSRTDLWQLRVGQGQRVVGVATPPSHNPVASIGRVLGDRRVAYKYLNPHTAVVAVA DDAASTLSVRLVDTVSGQTLASQSYAGVDAGRSVSCAMAENWYSCAFFADGALGDGTN RTVKGFRIVTSDLFESPDPDDRGPLGDAPTFSSLDPVDAPAAAGAPLPWVVSQAVVVS QELQALTTTQTLQGITSRQLLAYLPESHGILAIPRHVLDPRRPVDRDPTAAEAEAESL LRYAPQLEVDARGIVTHERDVVGVTDMITTPAAVESTSLLLAYGIDVFGTRLSPSGLF DILGKGLNKATLVGTVLALFAGVLSLAPMVRRNQIDKRWDAFL UV8b_01841 MTHKQPHRQSTHHKLETTAKPLISSWSVTPVPALSLSAAGLLAL ADLNTVAQRTVITGGSSLLDALVLAPGLQYQQAADALDQQGHPALSAVLLSPRPSTSS PTPPRRSTFAACGEPMTPPADATSASTSRGPNASSGGAASPPLSSLKGILMDRLSHVL YLSSPILTVACAVVMVLLADWWGLSFILALMTSRVLNIWSIKQRSSRPPPPPPHPAPD DAEGPSEYAVDVGGGSRILLRGAEADIQAVTAQVWLRRQTASEGFLEAAAKLAVYLVA AFSGNQTQAGALVVMASLLVSAGLLGLSNAHAREVRVNGRVARVQAVEAVAREVAKAD LEQEIGFGKANPPERKGAGMPVMNPDTIRAGGTLGWARTSWQENMA UV8b_01842 MAPGVGTTPVNVAESTLTFTFPTQTRRANRSGYAEHDDFEGLPV RQWRQGWVNVAPPQPQEPAQQNDIWAIELIHGMPKDSGLLAPHSQELLRAARSGLLYK RPADEDEPDADMPIQSMEKTERREEETVSQGFSIKLWKQIPRSSEGSTLSHLAKRRKG TVTIASRTVEEKTSGPTVTRATVRRLDAAGNPYTEEVTLAEGQQVVGEIISTRVEVAP APAADKLTAPLPLPQRRRPPPPKRKPKAGPGRGKKKHLVKNPSLGGGLAAGAVPTLAG PPGTVKTEIGGFQEGSGTPNPDSEMADGDDDDDDDEGDDVDEGDDEDQEDGDHQGDDA DDSKLQDAEMTDAAEEPKPPTPGVPVTDGITAEKEAALPPNAPPIFTPALAHLASNSP KAEGSPLKNVMLQSPPRSQVRPVMPTAVAELPPASAAALEAFASPLPAPLLPAGANQP MSGAVKAEPPRTESLICEPPSTLGGDEDDGSVDTDVPMTETPAPEDSALPVKSAEESG KDEAPMAPSPRLSPPADDAARDDNLLPPPPEYVGNVSSPKADDAADASSDLRVKSRDG LAADSSALAGRPPLYPRDSVMTEDTIKPEDSASVSFPLTEPDAPPEVETASLEDSKDS AVAVVAPAAEAPRDGAARAGCPPPEPSHEPEENQPGLARCKSEPKTGGLSPEAAAPCE PEPTAADAPRPHVEAVSAPPGRLPTPCGDDALAEPHDTVVEGSQPAQVMLAQAQSVQG GPAEKPREPAKVEPDDEPKREIKEEPTTETAEQLKPSFLAEVLSEPAATPKLGDVPAE APSTEDPPDGARGPSTVSPAHQVAATGPAASTALPPIAPPILPPVQPSVASLAQQPPV NELAPILPPAGGEEPKQDPSANA UV8b_01843 MSLVGSIKGDIPQQVRSLYRQLLRQGAQFRAYNFREYAKRRTKD AFRGNMSVEDPRQIQELVQKGLKELQVLKRQTVISQFYQIDRLVVEGGISGKDTSKHG EIVRQKEQG UV8b_01844 MLSEEFLTSICGPPIAANTAISKDVGLYSHSLNPTWAVKATFKK SSAPPHCVAASDTHIFAAQDQKAHVHVYSRLRGNQEALIAFPERICSLALASNVLVLG TSEGRLILWETCTGRQVTTPPCHVQAVTCLAVTPYHVLSGSDDSNIHVWSLASLLEFG VDTAQEADLTLSNHRGAITDLAVGPSTNPETGICVSASADKTCVLWNYHSGQILRTLL FPSVPLCLALDPSARALFASAEDGGLYFVEFFGNKPLLGSASAELASIVVQVHAPLSV ADADYGPASCLAVSYDGTAVLSGHTKGKILRWNLLDKSHPTELGNLNASVTNIVPIPV LPLSGKPYSTVNVVKPNKSQRQYTITCQLEGAMGGESRFSRDLNSTGFPVDRLENAVS MFVSSLKTQSDEKADSDLVKENEELKAIIAGQKELHETTMRCQEASNTS UV8b_01845 MPLALSTSPLDSHSSTQPTMQDGVVEDRVDDYLPELLARDLQLS AAVFAAKNMTAGAPAIRISFHEESRARVSRVPLQTSTQVASANMKSRTASEEQDSMVS SFDPVDPNLHDYVSDISSTSSRFSGEEAEPPSFRHRASLETTATSTASIGCKHKPSCR PEYACRYTWLDLDSDRDEHETGHGEGGNVSFISPRPPTRQGSGPGLHLSEKPIRESSE RCSSMPSAPLQKLHSVSEPSPRMVTRRQQTGTLTDPPLNSCTAVGPRNRAMSRLWKSP SAQKVGQFSRDSSIQPRRTQSLCVNTIRSSSPNSQLRNRVLRLSAVRKSLPLSEEDFL DKDDEAIYSSEEQSDDRSKPGIARHSTSTESLPSVQSWLESSLRPYPRACRNEECAKP VPLPSDAVETLRVSVACFPETMLLTSSLTVETIRSYARKVRQPSMNRGSIEPSESPAR EARKSLWRKAATYKCGSETGHSKSSSREATTRPWDGSCEDSDRPKPWAPIKNVFGCCS DYLSDALYAHIVAYNYVSALTVGDPSPHASRVRRNNATTACGRGSQQQQQQQHLDVPE KAARLLGLLAEPDMAAGTNRLSKRPGSPRADWHGPDMPTSCEGGPSAQDNNNTLRAIQ SGLLRCVSRLVATAKLTAGMGAGQDGMADTEAEEGADMLLMRSLCEIVRIAEESSDGK EDTSFFVFPKYSVPYIGIDQTDVTDVAYKCVYMTSQASVDCRPGLRCPPTQGGTHYTE KCMETCIRGEQYASPSSPRLRNGKAIVRTE UV8b_01846 MPKSCRHGNPPSKPSARAFYLIKLTKRLFAATALLMVLLIGMMA RSSAPSNRNRNTRALTYQTTWVDLVLRPSPESFAYAYTTGHGSPRGRALSAEQLQALP SGNRSLSVGGDRRLRLFMLADSPHIDLCKSIMSAVAAGYPAPILLNWGGEFNRPKWHL AGSHAAEVDSFLSVIQDLLARAEGEDGDVHQDDLAVLVDAHDVWFQLPPSALIQRYHQ LNLEADARVHRQWESAQGLAAGFPVAPPRQSIVTTMAKNCRPRSESRPPSRYGKGTDR VLAMPLQPARDYGAVKPRCLDNGMIMGTMGALRDALTRAKAQAGAASHRGRRIFRDQA LFGQVLADQETWRDWMRGLGAAWNGTASENDLSKLPRHVRRIAAASMAGERFEYGIGL DYHFGTVPRAFSAHDDGAFVKINDKEALKAESARAGVPHGQVRVDGVPRELAQADVGP KQLDDTVWGDVPLYTDLRSGVTPVGMHHHHDSKSRRLLRESWTKMWFYPKLRNLIAQA TLPMSATSTVRPLARVPADGGIGSRETRYWAPKSSLANKLVQVFQPFSVHATSGGSYG TMPWQGVCQVRGEKPWHREIFGDAKGPWQL UV8b_01847 MSQRNWHGGAYRPATSVPANAHAHAQAHGGGYQPGVSAPRPQQP TPAPLPHGGGYQPGVSPHRRERDMPRQQARSAHQPSGEKDQHHTQGNLPHGGHPQQHD VPRPQGPQNPTAAARGAEQAWQQPQQPNWIPNPAQQQPSPQNQKEPFMAATLDLGKPS GIADTATGAGHPRTYQRGYANLSDPRARLRWEFPNSYQKPGLSFVSTDKRRHRDILQR VWQGPPNDKYLSPCDGRLKNLVVQAAQDVYSGAQNSTWRRTFVRNVSPIYIQLANFPF SSVSTDPGAWTGEDWAMVGLKWIPTCLGLLCVLLWQPTAAEGQLRNNGWYDPFNYRYW GYPKVARNYYESPAAVDVIPHGGSASNLMIQRVLGPRYLCFLNEPSEKQMRGVAVRRV DQSTASTPYLFIAYTAEQFEEQDFEDLHHIAERAAREAGVPAYWVGCSCMQDKEEIED DVYRISDVVRGAHSLVIAVGPSRSRPDWSSPDAMLQMWGERMWTLPEALLSPADKDVT ICTRGGGSDPRVISRKQLAAVVWDDPLVSRQLIDHFNKTLDLSRLELVSIALGCLRGR KTTEYLPGDLSYALMGLLRLRPQIDESDSAFQAFARLSLANDSDMLLERLICMLPKDR DASSWLAMDDAWNAHLWDIYPACQVAGVGHDNTVILDGAFGAAIRWKAFATVKAIGVD SWRRLGSRMLIHGAPLVFLLGVILVATGASVLVGALLLILSLLIIVPSPYLVRVIYSG KIWGSQAWFFGFEGYLDLATIESHIFGAYLGRLKWSPASSSISKHMMNEHGECVGVDP TADAGVRAMVEQARHGAHGDLKVFTLVDTYTLTVTMFLAARPPVAVLICGREGGMQRA LMCSYDWASQTLYRETVLRMETPVLERMFRVNRFRFGLQRPLPDVQ UV8b_01848 MQRFAPLDFPGDGSLVGLFHHYDIPADFLTERLKVSWFHYLCKN IDVEQVSPHAGPSIVESHPANAEPLSQDNWTWLRSAFFLKSGVGGPPAPCITLVCFGA SASLEQRFRDLAASPSWTQCLDAPYNLLVVVLDELFLEMNDQAWRLADVFRTIEQAAL RDFAKTSRVWITPSRQDIVGLHNIAKQCFYLREAFDAIDLTVQELVQQHLRIWPDSPA RQSTSSLLRYKLGLFRSVNLRLASLDRRIANIHSLSFNLRTAQDSRVMQKDSNAIKSI TLLAFVFLPASTVAAVFSTPFFSADDGASPKRLVVSENVWMFWSVVVPLTVVVVVLWL AHDRITVRRWEKALQRRGEDK UV8b_01849 MRVDPSASLALVLLGAAARAMDAAACPPLHLIVARGTGQPPGYD KLITTVNQIKSDRPGTTSEALDYPACGGSPSCGGYPYQDSVRIGVAAAVRAVEGMHRR CPQTKLVVMGYSQGGELFDDALCGGGDVKIPDRQVPLSDGAVNMIKAAIFMGNPRFQS GFSYEVGTCRGGGFDARPRTFGCPKPTASKIQSYCDLGDPYCCRGRIKDEGVHARYVQ RYEKAALTFIYRKLDEK UV8b_01850 MSVRGLTKMFGDIKFGGSPPPPGNRDYGASSYGQPGAGRDGAYP GASYNAAHAQPTYQPPSDKPPLPQGWTPCFDQQHQRWFYCEEATRKVQWIAPGYSAAP HVQGPPGGAYGPWSGPPPGGAYAAKGGDKKGSMIGGALLGAAGGAVAGDLAHHGFADH HVRQDAGEHEHRVYADGPGSSSSSSDDGGEGEQPEEEREELYEAEMGYAEAELAEEYE EDYADDYEEDFAEEYDDHDYDDD UV8b_01851 MRRCAPYWKAPPVWEPPAPTPCPMTCLPLLSSEAEQSHKVTQVG GGAFLSHPWPMESESACGPVWLFSAPSGTGILAQRSD UV8b_01852 MSGYPGQGYYGAQQHQGGGGGFHSGQGPGYGYGYGNYGGPPQGP PPGSYGPPQGNYGPPQGNYGPPQGNYGPPQGNYGPQGGYGPPPGPRPPSGPPQGYSHS PQPQYARPPPSDPPPPGYDAYGYPIQGGDYAYRAQAGPPPPTAPQQFGHGAPQGYTFQ YSNCTGKRKALLIGINYFGQKGELRGCINDVHNVSNFLIERYGYKREDMVMLTDDQQN PVMRPTKENMLRAMDWLVKGAQPNDALFFHYSGHGGQTEDLDGDEDDGYDEVIYPVDH DKMGHIVDDEIHMRLVKPLRPGVRLTAIFDSCHSATAMDLPYVYSTKGVLKEPNLAKE AGQGLLSALGSYARGDMGGVASSILGFAKSAYKGDDAYNKTKDTRTSPADVVMWSGSK DSQTSADATIANQATGAMSWAFITALRKNPQQSYVELLNSIRDVLASKYTQKPQLSCS HPLDTNLLFVM UV8b_01853 MESVVVDAQRFALNGKAFSYRFHADEASGDLISDHFGGPVTELF AGPAGSIGGGWSSCEHLRREFPDLGRGDFRSPAVRITQAEGHTVSAFKYVAHEVSRGK PRLPGLPATFGTQDEVTTLVVQMYDSVSALQVDLRYSVFAKHDALARSATVTNKSDKP VVVEKLASFSTDLPYDDYDMLQLRGEWVRECTRTRRKVDYGTQGFGSTTGYSSHYNNP FLALVQPATTESQGNVWAFSLIYSGSFGAEVEMGPRGLTRVLMGMNHHQLAWPLQPGE SLTSPECVSIFSADGIGDMSRKLHRLYRENLIRSPFVDKPRPVLLNSWEGLYFEYDEH TIYTLAQDAAKLGVKLLVLDDGWFGVKYPRVDDGAGLGDWEPNPSRFPHGLKSIADKV RRLPVANSSSGPDGQPSTMQFGIWVEPEMVNPQSELYERHPDWVLCAGDYPRTESRQQ LVLNLALGQVQDFVIDSVSRILASAPITYVKWDNNRGMHETPSPRSYHAYMLGLYRVL DTLTARFPHVLWEGCASGGGRFDPGMLHYFPQSWTSDNTDAHDRLAIQFGASLAHPAS SMGAHVGAVPYGLTGRSTSVSFRAHVAMMGGSFGLELDPARLPDRERRELPALIALAE KVNPVVVRGDMWRLRLPGESNFPAALFVSEDGGEAVLFLFQVRSAPVHNCPILRLQGL DPAARYRVDGGRVYSGATLMNGGIAHEFQGDYASRVMLLERL UV8b_01854 MTANSTLVYKSIPKGYPVPGQDVLVETREIDLNSVPPGGLLVAV FYASLDPYLRGKMRDPSIPSYTPAYTPDEPIANDTIAKVLKSDHPDFRPGDMVKSYGP IAEYAAIPDPASQRVTKIHNPYNLDLALFLGPLGMPGLTAWSSLYEIGRPKKGETILV SSAAGAVGQLVGQMAKKEGLRVVGSVGSDEKLDFITRELGFDGGFNYKRERPADALKR LASDGIDIYYDNVGGEHLEAALDGFKDHGRAVACGMISQYNTEEPYPIRNLMQVVTKQ LTIRGFVVGDSDFGPAYHKEHQEKLQKWLADGSFKAKLHFIEGIDNAAEGLVGIFRGE NFGKAVLRIK UV8b_01855 MSILFLDGGLGTSLEQKYEQKFTPSTPLWSSHLLVSNPPLLLQC QADFGLVPVDILLTATYQVSVEGFRKTRTPSFPDGIPAQHIPAFLETAVSVAERASSR ASVALSLGPYGACMVPSQEYSGRYDPRHSSPDALYEWHRERLLLFARVDGLARRVGYV AMETVPRVDEVAAMRRALGAVPQLAGLPFWVSCLYPGDGEVLPTGESVEQVLRASLDA GVAGPVPWGVGINCTKVWKLPSLLARYEAAVADMVRQGVLAAWPALVLYPDGTNGQVY NTATQAWEGSDAADVERGAWEEQLAEVVRGTRARGEWKQVVVGGCCMASSEDISRLRQ ILLADTANAGFLPRG UV8b_01856 MDDTLKATTGDYYAKGIRIWQVCPHLFLALGRRAHRSQICYICH EKGRDPKCQDRKALVNRYPAMDGADHALIRQKMLLLRQMCMTCFRRAVKEFTDNPPVG IELPTFRCEFAESSLGMEDAVQTKKRRSKKNDAD UV8b_01857 MGAVAYIGVFVIVGLALGWMRVTSGVGSVVRGACACFACASKRK PCHIVSVESRRVRYGSELIGVKLDRNAVNREPVENMLALQKAVACRQEVDEDEYHKV UV8b_01858 MSRIAPLTAVLAFVLLVLGLFSVALYEHVSSASLSLPISPALTI ITVLLPLAAAANALFYLRSAAPYGVVATALQVAQGVLTAVLATLLFASAVPSAARACL LSTLWQRLFRARDAESILRIQDALDCCGFNTVRDRAWPFPADRVPAHQCAETYGRDAA CARPWGAALQRNAGLGLGVVLLVGLLQMASWVPRRRGFVPMRVPGMVHYGAVAAADEE PERSRLLPAASATQDTLEGGDGVGGAGAGAGAGEQQQQQQQQQQGNPWASE UV8b_01859 MPHKVFIVTGASKGLGAAVAKHLLGQSHKVVLAARSAAPLAALQ KAHPGQVEYLAGDMTDPAMPGALAGLAVSAFGKIDGLVINHGLIVSERIDKISLQTFK NLYDVNVFSCLAMAQAALGELRKSKGCIVWVSSGAAQKPYAGWSAYGSSKAVLNSLSS HLAVEERDITSITVSPGRIDTDMQAEVRSGGMEAMDEAQYKSFVDAFQQGKLLKPEQP GSVIARFVASPQRDLSGKNLTWNSPEMAPYHD UV8b_01860 MESVRDNAVSEETGPAWVKRASIQTLQAIEEGSIPGSASATRFY HLEYGIAMCPTRRQVEQDQDHDGSLRDAFVSAQPD UV8b_01861 MSDHEFGANDDLSLPKATVQKIVGEILPSQSGLAFAKEARDLLI ECCVEFITLISSEANEISEKEAKKTIACDHITKALEQLGFSDYVPAVLEAAAEHKEVQ KGREKKADKFANSGMSMEELARLQEEQFAQARQRHG UV8b_01862 MGQEESTIVDESVSPQTLSERSLAAVAEYIKQGPRKRVVVLTGA GISTAAGIPDFRSPKTGLYNNLARLDLPYAEAVFDISFFRQRPEPFYVLARELYPGRF HPTVSHAFVALLARKGLLQMLFTQNIDCLERRAGVPADRITEAHGSFAGQRCVECRAG FPDAEMRSHVLQGRVPRCRERGCGGPVKPDVVFFGEALPSAFAENARHAAAADVLLVL GTSLTVHPFAGLPETARPGAPRVLLNMEKVGSLGGRADDVVELGSCDAGVRKLAGELG WRDELEAYWRGLVGDDEADRQMRSAEGGGAEVQHEVQKLTDGVEAALKAEGSDRDSLA DGVGSALKVEGSDRDSLADGVGSALKVEGSGGRDGVLTLAEGVESARSLQDSDKDDEV LTLAAGVESALRLQDSDTKDAAPKLAENVEPARPEHPGKRGKDDAPSNDAGSPEAAHP KSPGKADVAGAETPAN UV8b_01863 MGALLSKGNSAPYTPTHLGGVLSQGRTNGNSLLGTLGAPLLPNF LNENPLPNGCPWSLLDPTTDYYRSYPRTGVIRSYDFTLSRGRLAPDGYERDTILINGA FPGPLIEANWGDTIQVTLHNNITDPSEGTALHWHGFLQHDKPWEDGVPAVSQCPIPPG RSFTYSFEAELYGSTWYHSHYSAQYAAGIFGAIVIYGPTTEEYDVDVGPILLSDWYHR DYFDLVKETLKPNSRPILSDNNMINGKANFDCSTLPPDDKTPCHRNAGIAKFRFQRGK THRLRLINAGSEGLQRFSIDGHTMTVIANDFVTVEPYDTNVVTLGIGQRTDVLVKACG ELDAYWMRSNISDRCSLARDPLAYAAIYYDDADESQAPRSQAWHAPDPGTCANDDLRL TKPYMKRRPMEPDLTYDMEVKLFRNASGITLWSLDGVDYRGNYNSPTLLLSALGNHTF AKEWNVKNTGEARSVRVVVINDTPVAHPMHLHGFNMYVLHEGPGQWDGTIINRDNPLR RDVVMMRAHGHLVIQFDAADNPGVWPFHCHIAWHVSAGFFAQFLTSPDRVAELHKRMP QVVAETCRQWGKWTNTNIPDQIDSGL UV8b_01864 MPPVAAVPAGAQHGPSNLDKLKMGAMMGGTVGVIMGFIFGTVNI FRYGAGTQGVMRTLGQYMAVSGTTFGFFMSIGSVIRSDADPKLNELYMRAQRRPIVMM ANPAWRRS UV8b_01865 MTAVATPPTFPQLARPAWGTISGSNSMDPDEVRGMFAPRKQLSR TNSASSLSSSSSITSVATNGSQSNGSSLSATSDLSQWSASTASRKRPQPKGPWSAGKA DTQSDYPKVPHNRSNGMAAPHNGIQLGPGPNVMVQQQQQQQQQQQQQQQQQHQQQQQQ QQHQQQMMLGPMSSEQFPPSQPVLYLLSLNGTFERKTIAVPFAPDSLRIGRQTNQKTV PTATNGFFDSKVLSRQHAEIYAERNGKIFIRDVKSSNGTFVNGTRLSQENRESEPHEL QTADHLELGIDIVSEDQKTVVHHKVAAKVEHAGFLSNTNNVLDMNFGDLDPANGTMLI PNGPLQMRGRQGGNMGTGNNGARMMNNNGGTNGHMNGMSQQRSFFLAPIATDQILKRL ASELRNARLQAQDLGRTNQFVNTLLSKEDVKDLEKSEMPEPAKLRQPQPSVNGGLPFR SDAKTRFSEPPAPPPQQPLPEKPDVPSLKRGGPSERPKSGPPNSAAARQENLNQIIQL TEALNNAKRDMDTQSARMRELEAMLQKERAARELAEDVAKRLEASANTQVDDLFKAQP DTTVMDDDDAAEAVQEFASKLVQAREALAGEKQEQETRAGDAEAKEVAAALQSRVDNM GEQMKDMREQLQQWKQRCESAERERDESTRSLAEMVSQLRAEEAKRVAAAAAAAAAAA AAGERRRSRSRKTRVDSRERAAAEDEQMAAAAVPEHDSTAGDELDDGATLSRASTMTP LPSSRRGFGPGDQALQAGLPYASMLGVVFIGMGLMAYINGWQPAPPRVER UV8b_01866 MHVLGSIGHGFGQRRKTPQPRSLHIRKVSFSGSSLSSGCSSSAS SASSSSAPSSAVTAASSPGGFDPLHLHPTFHPPPRLHDRPILSPRSRSGLPPVPALLD DAGDDDDDDDADSTDLDEGYDDDGSTVFGGPPPPPPPPPPPPPYAQDYFMLQLAARPA APRSRWSDSTIQTVGDAADDLAVHEYGGDCDAAPGERGPPPVPNFSHKRGVSGSRRPP MRSLDSLDDLIKKGGWKRRGVVFTEGDVNSHASI UV8b_01867 MGNFGAGHDHDHDHDHDHDHDHDHVHGRGMVPAACTALHCTALH CTAAAAAAAATAEFPGPVGRRKLTRGAGLSSSEPRGRAGRADDAFAAFFFVSKGIPRT LPSGQIRRRRGCHMHPATRSTSRAANRGSGAHPSSHSSHPSHPSHPSGAGTRRLGVLI FGCGAFTASLVPHWEGAAPAAAAAAAAAAGPLGSSAANPEPRLASRGAEGQRGRGAEG QRGRGAALLGGTGRGANPTLPVSCLLHRTAPHRTAPHRTALHCIALNCINLALRRIAS TLRGRRRGLLQSRRRRRRRRHARSIFSPTQASTASGRQRAPACAGARRHLALGVESRQ GRPSNPSAAGCQRALHVLLLQVLHCCFNCLLASCCLLAASKPSHPTGSAGVKLPMRSE SRKPAATQGPSDQIIISGRQVPASAKDLNGSRNT UV8b_01868 MPAPINRSPHRIDRRHRPAGRRQRPTRTRLVCVLDFGRLRNPQW PAPQQTTGTTRGAPPSRPDSALPSRRKAAILGAGIVLPRRGGRKKKNKPKHCFGGGRP WRGAPLGRLSSELLRAGAGAGAGAGAGAGAGAGQPLA UV8b_01869 MPTFQSNKFRSSRDAGSFGPQYRRLVAKHPFLLFGLPFLAVVVG GSFVLTPATAIRYERHDRKVRQMTKEEELSVRRSARKVDMKEEYYRLAGRDLDDWEQK RVERLPGESDGVL UV8b_01870 MMMMRKALLTSSEVSVLASICVVVLFTLALFLSGYALQQRTLHE LRLAVRPGHTRPSPRAHLPSPLDRATGDGPAAAGKYELEDSDADADAKAAARAAAEAA AEMAGASASSGPAGPARGEVAHPDPRARNPLPVTRAERRRLIKEEVRKLARTGGPAGR RWRLW UV8b_01871 MPPRSDTVHADARRFLDERGSSAALAPNGLNPATIMEKAVRDRI TESYFYKEQCFALNEADVVDRVVEHVRFVGGTHGDAQKPSPFLCLAFKLLELAPGDDI LREYLAHGGAHFKYLRALACFYVRLTRPARDVYASLEPLLRDGRKLRRRGRAGTSLTF VDEFVDDLLTKERVCATSLWKMPAREVLEDLEVLEPRVSPLGDWEALLEGDDDDDDDD DDEGGDGVGDEGHGDEGEADADAMDVDGREASRDGSRSH UV8b_01872 MLLPCSQPARHTPQPRCRFQSTRCNHFVGSKRVDAQTAPPPPPP LVPGAKPFRLAVKDNIATAEFPTQCGSRILASHQSPYEATIVTQLRARGATVVGKTNM DEFGMGSHSTNSMHGPVVSHLSTDREPLSAGGSSGGSAVAVMLGDADLALGTDTGGSV RLPAAYTGAVGYRPSYGMLSRHGVFAYANSLDTVGMLAQEVRPILDLVAGTRLDEEHD PKDPTSLSAATRQRCARACPPELAEDMSRLTVGVPVEYNIAELDPLIRRGWLAAAAAL ADAGASVVPVALPSTKEALCAYYVLAAAEASSNLAKYDGVRYGARGHERGDAEGHTLY AEARGAGFGPEVKRRILLGTYSLSSDAMDNYFIQAQKVRRLIRRDFDKVFRLDNPLRE PAQFDLCDMGGGADLRDKRGPWQVDFLLAPTAPSFAPRLRDVQSGSSLDAYAGDVFTV PASLAGLPSVSVPARVAGSPLPLGLQLMGQFWDDRRLLRMAERVKAMMGQETAA UV8b_01873 MAAKRDLLDLDQGELSEPEPKRAKKGPKPRHQHQNSYIDPTWGQ KYVFSSSEDATTIPCGEEAEFEDDADAMAYLLSVRKEAYDIPHLLVAPRVQIGPQLPE SLQNGKRGGSDGGSESESCDSGGYYDDGAYIALPDEHWSARGEPGPGQDDAGHVGDAA GAKALEEAYFASVMRRYRRLRQMLHSRPPAEGTGRRSAAPCGRRIPHTPKLWAKTLRG TDPQPLQLALMSKETVIGVLRVIVGGTFLQRGHTLSERTSRWVWGLLARLPERGELNH AEIGWVRDLGRRAVLLGRSLAEMAALRQELADGGQVTATDVQDSEDLGSLDEDDDAAG EDGEAADEDEQDHQSGLGRQEDAVDADEAGGGGGEGEGEGEGGGEGGGEGGGGGGEEE EEEEEGEIADDSADEGDAAMDLDSGSEQDQAADDALQAAKKSLLAMLENAPGGEQQQQ PPRGAGCQEEAQLRLRVNMRATLNMILTVAGEFYGQRDLLEFREPFVGM UV8b_01874 MSAPRTKRPFAGASADPSQRQITSFFPRAADDAAQPAPRQPLLP SSVQANLLSVGMRVRKSVPEGYKTTGTSAFKLWTDNTAVAPRAAAMRAASRELLPFCG INKVGGLDAQPPCPDDQEEGVQPVPGLDDVPGLTMSQESVDSNAPEPSRKRVHVENEP DDSPTMLAAPGKGWDEQVSPRSLGPAQWCGSRVMAVPRARARRPGSKDVDQENMATGE DFGEADFFVFKEGRDTEPSWQ UV8b_01875 MQVLAVDLLNPSPAAEAKKHKLKTLVPAPRSFFMDVKCPGCFTI TTVFSHAQTVVICQGCTTVLCQPTGGKARLTEGCSFRRK UV8b_01876 MAAPGDQRIAVPIDDPNADTEWNDILRKHGVIPEKPPSPTPIIE EAILEGRRLAHENRLEGKDLDELDELEDLEDEDFLDKYRRKRMEELSNLQKTSIHGSV YPVSKPDYQRQVTEASQNGPVLVNLTSSSGTNVESRVLSELWLQASREYGDVKFCQIR ANQAIENYPDRNCPTILVYSKGEIVKQVVTLMTLGGARTNMSHIDNILVEVGAVPESD MRVIKRRQAAEDAQEERLSGIGKTIRSGTAGRSRHDGSDDDDDDW UV8b_01877 MAEEPSLPRLPAVSWNEQSQSFSNNPRKRAHHQRSGGLYNSSDP AVFSSDDDPGLDNYLQGHKKKRYVGSWFQHRPASQDPASGGCLALAGPKRERKLKRQF DSGVYLGSDASDASDDFAPDAPREPARSRLPQLTTRRPPARAPTASRAELLARDKVQA CLDQGDETIDLWSMGLEELSNDTIRPLADFTCVPVVTKDVAFEQKEPELKIYLAMNRL RLVPGALFDLEHLTVLSLRGNKLTEIPPGIARLPNLKQINVSQNRLRCLPAELVDLFD QKLAELVLHPNPFYEPETKFHFGFGIRYASATEATTPTHDRLQALYLGRSPLQVSNSL GHVLSAFKFPSFDEPAAKVAVICDTEGEAEPGAEPPRFPRPSNVPSLLEMAARSCYST AELGALPSHIPDGLSHLRALLGKAARQKYSGGSACSRCRKTVVAPPLEWLEWREISAC GHLRNGTVAVVPLSLADNERVVPFARRGCSWKCGPVAGAPRGGTG UV8b_01878 MIPKDITFSAAADQSRNFLHCHKYPQQEKEFFKHVEEHRSLLSD LVAHHFAVNSSAVTISGQEYWRHGSFNLVIPTHAQMANTERYAMLRFPLPYRVGEATC PGNSDEKVRCEAGTYAWLHGNCPSVPIPQLYGFGLSTNERFTRVDLLPWWSRWLQRTR CYLLALFGCRVPSDYVRHDSPRFATLDIGYLLIETISNGEILSETWDEKHDDARLQKN LHQSLARIMLSLTKTSFTRIGSFRLDSKGYLHLDNRPLSVQWTMQENEGIPLGINRDE TFSSVDDFVLAHVTALDNRLLHQPNAIEDSHDAHYQMTSLAAARAMFPRLFRRDLSKE RFVFALTDLHRSNIFVDEDWNVSCIIDLEFSCTWPIEFLQPPYWLRGDLVDEVEPATF GPLFKQFVETLEMLEREQEQEQKQEQLQNYNGGTEECLSSIMRQSWPDGPFWVTMALK DAVAFTAIFYDRILPICFSFSSEDFKRANYEFFGKLWNRGVSAIIETKLQHREVYLQS LREALTQSET UV8b_01879 MPSPPTSRFASASRDASPSPSQLPPVPSSPVYSVASTANPPLSH FNLPAPPTPRLPHAVLTKPDLEASQQAYAALVASAKSYRVALAALSTAASAFGAALEA CARLKESRAEPITAVPHAGPGGGGGSMTASFAVPPPPPPPPPRAPSCTADTLLAASGV HHLVANHHQILSETVYRSFEVPLLHDLDRWRALIDDEEDTYQHRMRAQSREVKRLEKE GLKLHKQRRRDVARFRAHLVDLTRKLDGLTTLHADHSRALLRESQDTSARIVDASCSL VRAEIDIFESLARKGWTGGGLDDLLEKGQDLFAAAAADMHAGGESSAKLFSILPPRSI LADSASEVARSVAHARGDSLLSDPDRYQSLAALASEPGKHNGADADSVLSADFNRPRG ARPFSPQPIRRVPADVTFESLSAIGAGGGGDDDDDNDDTPAPQREPQDEQPDEDEARG RRTTPSPSPSPSPRTPRGQDLDDDQASWADGHGRLTASALS UV8b_01880 MAPLILHNVPDEECYVGEDGVRRPYAMYFNQHEAPTGGSRSRRN VAESGAFGKSTRRSRSRTGTPARSRENPTLAAADKLFGDWVSNQQAAAPVTSIQRKSS GLSQDDAPPQRAVPRTPVELILRGYRSSSQQYAAVSHYEQLAGAILEDYPRQPPASQR RYKSELRDPALTRRRNLTADERALVNRADGGEHWVKVTFESAEAASAATYASPQRILG YLVHAEPYRGIPPAKDEACPDVESVADGEHGRSLSMPAASVRTPYRKSSSGMPTTLRS RLLDLSPADSRTSSQTLDTATLTTTSRSSATMTDASALGGSGAEPAETKDGDGIFCRR IPTARRARLLPAEQALLPQQSVMQRFVNAVPFVKWFSGSMIGNEVPRTDAGEFDWGRA SLYWKMIWWLDATFGLFRGDVYSVDKDD UV8b_01881 MDKARIGLGHVRACYARRFQKVGRAIWVRKFPADGPWSRTCDVR ILMVSTRKVRVLKPLVDRLVTMLLEDDAAADGLADPDRASSWAAWQ UV8b_01882 MKRAAQPQRDIQLLIRRVTTALRGHLDNKRPLPYGVLRAKPFGR FVVSGSTIQAAGAGGAGGAASSPKQRLVQRAAWTDVQTLSVPQTDLSAKELGMPKRDN GKSSELQELGCRVAATSALAMI UV8b_01883 MSGAHKPPLTSSSTWHLNVQPSAAYSVLRSSCSVLGVAMYNVHA SSPRTLGIPPPADKTTTLSPRLTPSAFQQTKCSAVASRQAP UV8b_01884 MLVSELAASAAARAPMAPDPSGTDQAPPAQPTALHNRASSSKSP FVQTGQQSPVQWQILDAASVDRAKRENKLIFLHIGYRACHFCRLMAQESFANAECASI LNESFVPIIVDREERPDIDAVYMNYVQAVSNVGGWPLNVFVTPNLEPVFGGTYWPGPG TLRRASSEHDGDSPDCLTIFKKVRDIWRDQETRCRKEASEVLGQLREFAAEGTLGKRA LGAAHPIAAMPSWAAPPPENPEVARQARDAGGNHDAQVSSELDLDQLEEAYTHIAGTF DPGHGGFGLAPKFPTPPRLAFLLQLGASPPPQVQDVVGEAECKHAAEMALATLRRIRD GALHDHVGATGFARCSVTRDWSIPNFEKLVVDNALLLGLYLDAWRLAGGGEVGGEFHD TVVELADYLSSPPVVLPHGGFAASEAADSLTRRGGKETREGAYYLWTRREFDSVVDAS RQDKRISQVAAAYWDVREDGNVDEDHDPNDDFINHNILRVVKTADDLAAQHRMPVETV QQYIRTARRELKARRDKERVRPALDDKLITACNGLVISSLARTALSLQTAAAAQSHKY LRAARSAADFIRSHLWDEPAGTLYRIWRHGRDVEGFADDYAYLIHGLLDLHTATGDES YLEFADSLQKTQNKLFRDADAGAFFSTTASSPQSILRLKDGMDTSLPSVNAVSASNLF RLGSLLDDERYSALARGTVNAFEAEMLQHPWLFPGLLGAVVTARLGCRGPVADVRYKG AREKAV UV8b_01885 MAGKDERVSTYTSTSAESDGRYGELKRNLWTSMLESVASGKRLP QKNLLVLGGTPESQREFIESLSSSEGRRHFDRQKIPPIANNFALGYSYYDVLDADQDD TLARVSLYLLSQPSNEFASLVSPLLTAQTVSHSAIVVLLDWSQPHQWLRQIWRWIRVL GEVMGRISAGCRSEMEDVMASWKERGRAGASLNLDGTPTATGASADGDGLLPLGPGEW SEPLGLPLCVVCQNAQKMEFLEKNKGWKEVDFDTVLQYMRTILLRHGASLIYTSQNTP SQLPLLIHSTLGITSLLKRQPLKHNVIDRDKIAVAPNWDSWGKIRILGGIFDAELVSK GWEQDIKLPLGSSPGAVAPQTPADDDDDDDDDDDAHPPQTPTRHGPSAIAQYEDWCRD PNSGGLAVVESAMRDGRAVGVDSDDPQEFLERQLKILEAFKAKAPEKGVNGTSPLTTA SHRRTEYSDEQSVNDHIGPVQFNMGGIQVDADDMLQRLKDRNAHLSPDDEYGEEEEPV PVGNMAKEYDNEQLQNFFSGLMNRTAGAADSPRS UV8b_01886 MNKIRAIQELNRKEIENGITPEASWHVDYRDTAFVYFGGLPYEL TEGDVLTIFSQFGEPVFLKLARDKETGKSKGFGWLQYEDQRSTDLAVDNLGGAEIGGR IVSVDHARYKARDDEDPAEHDVSWETMLRKEGKLKSEDEESQDEAPAPQRPMLAEEKE LAALLRDHDEDDPMKGFLVEEKQKEVEEARRRMDKRERRHGHGRKHRHRSHRRGGEDE TPDDGGRGHASREVRRDRDRDRDRDLQSRRRRDDESRHGRHREPGDRDEDAYQERRRR EARIQDEHDQDRRRGHGRESGRGRQRHGRHGSSESPPKRSRDEDVYQRRRSRSRSRSR SRSPR UV8b_01887 MNVRPSIEASNPTAVLSVAFNSDSSCFAVGLESGICIFHTKSCL LKASRDFNAGIGLVQMMGMSNYLALVGGGKSPKFAMNKAIIWDDMKGKVALELSTLSS VRGVQLGRERIVVVLLNSVRVYSFSKPPRLLHVYETAENMFGLSSLASKRLAFPGRTV GQIQLVELATGNVSIIPAHSSALKAIQLSPDGELLATASETGTLIRVYSTSNCAKVAE LRRGIDPATIFSLAFSPSGSMLACTSDKSTLHVFDVPNTKRQSLQRSQHLSSTDAEAG KWGILGRIPLMPRVFSDVYSFASAPFEAGDETMIGGIPFSEGIVLGPVRPAKGILGWI SEDSLAVVGAGKDARWEKFVLVNGENGKRVCVREGWKRYLGNT UV8b_01888 MSSAATKMIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCNKD VLTHKNADNHSEAHVEEGIKIKPVNVELELDDEGTRISLTIVDTPGFGDQIDNEACFS EIVGYLERQYDYILAEESRIKRNPRFRDNRVHVMLYFITPTGHGLRELDIELMKRLAP RCNVIPVIGRADSLTPAELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAEL RGLMPFAIVGSEDVVEVGGRKVRARQYPWGIVEVDNPRHSDFLAIRSALLHSHLADLK EITHDFLYENYRTEKLSKSVDGAAGNIDSSMNPEDLASQSVRLKEEQLRREEEKLREI ELKVQREINEKRQELLARESQLREIEARMQREATAATSGAEANGDHEA UV8b_01889 MASSTTNPKYQPAPQHDPDDFTRAPPAYGESSSRPDEEQRLFGP PRSSEDNLPDDFKFGGSVAEATVDIRNQFVRKVYTILTLQLLATAGVSSLTFFSSAYK TWIQSHPGLVWVSLIGAMVFMGLTYWKRKSYPTNLVFLSLFTFAEAYSISVVVSFYQT AVVLNAVVLTAGIFVFLTLFACQTKYDFTSWMPYLFGALWALVLFGFMAMFFPYNSTA ELVYGAVAALVFSAYILVDTQLVMRHHHVEEEIAAAISLYLDIINLFLAILRILNSQS NN UV8b_01890 MKYSVPERHVLSEFPPPPFTFAAGLTGRLHEVPLKARVGGIDGL WSSVSCPVLSGEQVQMLRRASRQMGQLLLLSLLTCQRQLSKRQRPRQRLRQRHSPVAP CSLPGTPYTVLTHTRPLPAGLLAPKPAPPPPPPPPPPPPPPSDQRCIF UV8b_01891 MGDFDHAEAPGSAVADGRQFRSVSAPSGGKGPVYMQSSDGKHVL VRRLKRKEDGTWKHFIRWFVENQISLSSNLLALLFLCHHLIPKARSHTHKYFHLAYKN GRTGQYGIGFDDVYFITFCIVLFTLLRAGFMEYVLAPFARMQGVTKRKYQVRFTEQAW LLVYYSVFWTMGVYIYCKSPYYLNMWELWTDWPNREMDGLMKGYILAQWAFWLQQIIV INIEERRKDHWQMFSHHIITTFLISSCYYYHYTRVGNTILVIMDVVDLFFPAAKCLKY AGYNTLCDIMFGVFVLSWLVARHVLYVMVCWSVYSHLPASLPRGCFRGTNDHLIGPEA TPPGLTYLVEPFLSSTGRVCFNETVQWAFLAPLLVLQGITIYWFTMIIRVVIKVLRGD GAEDSRSDDEGEDEEEEYVYEEAEPLEEEVDADEIDLRSWERRTGVNRQASSSGVSLP GHSDRKELLGRIGCEKQVD UV8b_01892 MATLVRLKGRMPRRAGFKPWILSRPLSTQGLSFRTGPSEPPLYT GTIPQHFAETVSRHGDCAAVIARSPAPDASETALSYHALDLLSNRLASSLASLGVVKG DRVAVSLGNTPEFAALTYACFKLGAILVPLNPSFNANQLEAALRHLGVRVLVAGAVTD VAYKPGKGRSNEELLTRVAGDLRGSRIQSQGVPTLQTVVVVDNRAGHGDVRFGLEECR AVTPYGLLLDGSPGAVTPAERLHPHDTINIQFTSGTTSAPKAAMLTHRSILNNGILIG QRMGLEPRDRIVVPPPLFHCFGSVLGYMATATSGAAILFPSPAFDPAATLRMCVDHEA TGLYGVSTMLMAVLDALDRGVVPEPPAHLSKGIVAGSSVPEALMKTIFRRLGLRDLVI CYGMTETSPVSCMTSPRDPPDKRCATVGRPMPHTSVKIVDPADRSKLAPLGTRGELAA AGYLVMGGYFGDAAATAQARVAEPDEDGPPGRTTVWMYSGDEAEMDEDGFVTITGRIK DLIIRGGENIHPLEVENCLFGLDGIHQVSVVGVPDDRLGESVAAFVIPASGWTTSDAE DEGDPAPASRRQLTKGAVRARVRTALSSHLVPKHVLWVREHPKTASGKIQKYKLREKA AAMLAAQPAGASVGGGKRQDAVGGS UV8b_01893 MLNSRRALAAAAVVLTIFFLVFRSHSSSNVGQAQAAGNPAKSKP ETANSKRAVAQKPVMDMSHMSTYDKLSYAYPYDIESKFPAYIWQTWKTTPADSDFQFR DQEASWTYQHPGFVHEVVTDDVAVSMLNLFYASVPEVVEAYQALPLAVLKADLFRYLI LFARGGIYSDIDTYAIRSALEWVPSHIPRQTIGLVIGIEADPDRPDWADWYSRRIQFC QWTIQSKPGHPVLRDVITRITDKTLALKKKGKLSSIVGRDVVDLTGPALWTDTVMDYL NDARFFDVKNSQGKIDWHNFTGMENSKRVGDVIVLPITSFSPGVEQMGAKDYDDPMAF VKHDFEGTWKPESERHIGEKKEEEQKEQGELQ UV8b_01894 MFHRCPQQFPFGVWQSGSHGFKMGGQVITSSSCPPDTSTAASRE GLRATTVTRRIIRLPGKKKGEPENRPTRVRILRLRSLVTIVAARLQVR UV8b_01895 MGKAPHPLSQLSAEEFTRARDIVVKLYASAQTLYFRQICREEPT KESLIPYLEAEHAGTLTAETPRPARHARVEYDLQDGHKHQVVRAVVDLDAGIMVTSHT ASAFNFPYYVVHEFMRFQDICMASDLFKEAMSEFVLPAGFEVTIDPWPYGGQDNLQDP RYMQGLVFARDAGKNHPDTNHYGHPIPIIPVMDWLKQEIVRVDRLATGGLGDGLEPAP RGDEPKKLFEKTKSAEWVPELLDTPVRSDLKPLNVVQPEGASFTIQPDGLVEWQRWRF RLGFTPREGAVLHDVCYENRPVFYRLSFSELTVPYGDARPPFHRKQAFDFGDGGVGRM ANNLILGCDCLGAIHYVDTLLAEPDGSPSPSKSVVCLHEQDNGILWKHTNFRSGKVVV ARSRELVVQFICTLANYEYIFAYKLDLAGGVTLETRATGIVSVVAIDEGKKSAYGNVV SPGVLAQNHQHIFAARIDPAIDSYRDTQVVVEESQGVKTNPETNPRGNFYEIRRRTVD KATYVDAEPRLNRTLRLENPRKKNPVSGKNVGYKLVAPATQLMLADEGSIQAQRAQFA RHNAWVTGYRDGEFWVAGEFTNQSSCEGGGVGDMVRRGDWFTDDGRPDGRPDGGANGT GGESESESSGCGRRSSPVVWPVFGFTHNPRVEDWPVMPMEMYQIHLRPSDFFEANPAL DVPCTRNQSSVLVSGCSADGQACGSVQRDPVVHGQGVAGGIDAKAAGAHVA UV8b_01896 MTALGNPAHLLPPSWKTQITGWLAEDAPSFDYAGYVVGEGERTA TLLAKSPGVLAGRPFFDEVFAQTGCRVTWHVAEGSALPATPSAATPAACATVTGPVRG ILLGERVALNALARCSGIASASASLLARLRAAGWPGVLAGTRKTTPGFRLVEKYGLLV GGADPHRMDLSAMVMLKDNHVWSRGGRIADAVAAARAAAGFSAKVEVEVRSEAEADAA VAAGADVVMLDNFAAGEVAAVAAALKARWAGGGGHVDIISTSSIHQGVRHVDFSLKLD H UV8b_01897 MATCEKVDVDRDQGVSQAHDGELYDSAGETLGPDEDKRLLRILD TRLLPIMAVTYMFQFLDKMTLNAVSILGIREDLRLSGSQYSWSSAIYYTGLLAASYPV GVFMVRWKVAKVLAVSTLTWGAVLMLTATCFNADSLLADRFFLGAAEAALGPSLVVIV SMWYKRSEQPLRHAAWFLGNTCAGIFGSLVAYAIGHIQSIRPWKAVFLFFGGFTIAWS AVVWLLLPDTPMKARFLSQQDRRKALLRVKENMTGIKNDEIKWRQVREAASEVKTWLM VALQLAISIPNGAATTFLNIVVKGFGFSTFQTLLLCSVPYLLQLGLIAVGVTGSHYWR NSRTYFMAFDFALAMTGAGMVRYVPAEQRWTRFLGTVLVGSYAAAVPLLLSLMSGNYG GFTKKVTVTAMGSISFCVGSIIGPQLFFAWETPTYHSGFLGLMGCLAVGFMASWAMRA RLMWENRRRAERVSVNEVAAFKARHGSMVNLMDLTDKEIPQFEYVY UV8b_01898 MKPSLRLVGRLALLLFRLVDAHNRPDFATSEAGNPFVDGFYADP DNEFYNNQYWVYPTSSLPYDQQTYLDAFSSPDLVHWTRHADVLVASDFAWARRAVWAP APVSRHGKYYLYFGANDIQSDSELGGIGVGIADRPEGPYRDALGKPLIGQYHHGAQPI DQDVFIDDDDGQAYIYYGGHGHANVAKLNPDMVSLGSFDDGATYKEITPDNYVEGPQM VKRNGTYYLFWSEGGWGGPDYAVSYAMSASPTGPFARLGKILQQDGAVASGCGHNGVI HVPGTDIYYIVYHRHPLGRNVDANNRHLAYDRLHFNEDGTIQPVRMLVHDNFDDGNMI GWTVYGGTWDASSTALRASNTPSGIAMLNTNFGDLLFTADVTIPSRNDGYAVLVFRAS NPGGAVAGAAACSGYYAGISTSGKLVLGKASGGSWTRLGAVAADIRPGQRYQVRVVAK KNAISAFAGDSTMAQIHISDGTYESGMNGLGVYQTDAAFDNVKVEHFQ UV8b_01899 MDIFLEPSDPAPGLQQQTPYLCIETWDGGLYRTYAHRKGRTSLI PQLLRQVPHLPLIEQPYLENLYPTPKEELQPFLQTWLYFGTIAEMLALNEISPGVRLI DEQAAKAEIDALRCKLIRQDENGKSIISAKEVLEWSLLFRERLALASDKTQRMTYLSD CLQYACILIHSFADNVEHTVRYSIAALGELFSTGLHAVASLAQPRILLPITGFSWYRD YIKPGGEVESIMLDNGWCLNHSSCTVNICRAFQLDLDTYQPAHAKEGCTCALIEADPE QVSGILRESDSFPVIGIEPSPRGNLDELKISVHQHGPGVSYVALSHVWANGLGNPASN SLPRCQMARIAKLVADLPRDAGTAGPPRLWLDTLCCPVELQTKMISLERIADVYRKAY HVLVLDTSLTAYKHEGSHPAELLVRAFGCSPWMRRLWTLQEGALSRALQIQFEDRAEN NMVLLTRLFEIAREDARYMRLWQDVTNEFNQLLGFSPKAGPENTLTWPRPEITTVQRT LHFRTVSVPADEPLCISTLLNLDTKYIAQGQDANHRMIRMWELLAREKGGIPARLVFY LDEPIDVPGWRWAPRSLLASAVDDPVLGLDERVMRFHVDPADPNTFPLGVPTLLGLKV NLPGYRIAPTPILPGMPLHPWPDVINPTEDQVLVREETTGRWFRIMDWYRSKKLPFWT RKQRLAYDARENNPLCRAIDTGNCAILLDNELARDHSAHICCLVQVESAAPDDVAGHR PLKVRRERSAIMAALTATENKLMDFVKGLAESVARDASTDEFLQVQRAHRPGSEEWDA AEEKVRDVMKEVMREAYAHEELQKAVKDTMGEDIDDYIWVMIPKAFSHGVGLREAEGR WWIVD UV8b_01900 MAAKFPAVASELQHSTWIRPAHSTLELAAALPSTAASHVDFCFT ALFVAKFQNSDYVQNGYQQDKTAMSLTVTAPKDGKIPLSNHHDDNDSYYNLGTYQRPI TTSSPATQTWFNRGLVWCYGFNHEEAANCFQRAIDHDSACAIAYWGLAYALGPNYNKP WDLFSSPELSATAERTHRAVEQARHLAANNTDNMDNTDNPITPAEKALIDAIRFRYPR KDAPEQPDFCIWNREYADAMSSVAAQFPNDLDVAALYADAMMNLTPWQLWDIKTGEPA KGARTVEIKRVLDKALAQEGGDQHPGLLHLYIHLMEMSQTPEAGMPAADKLRGLVPDS GHLNHMPSHLDILVGDYARAVAANTEAITADEKFLKREGPMNFYTLYRSHDYHFRLYS AMFSGQSKVAFETVDLLEASVSDELLRVEQPPMADWLEAFLAMRVHALIRFGRWKDVL DLKLPQDRDLYCVTTALLHYAKGVAFAALNRVEEANRHRQLFREAVPHVKPSRTLFNN KCVDILDVAEALLNGEIEYRTGNYETAFGHLREAILRYDWLPFDEPWGWMQPVRHAYG ALLLEQGHAEEALSIYRADLGIDDSLPRVHRHPNNVWALHGYHECLVKLGRTAEAKEM EPQLKRALKVADVPVRSSCFCRMSSAAKI UV8b_01901 MTPLASPATGPVEQGKQLAAAALVEPQERLQVVAPPLQDGDVPA TGKWEIRSWYLYYVGANGLALFNFGPTAFQNLLAQAAGDAGLVYFAGRARDVNSVVLL CNGISFAVQGALFLVIGAYADFGTGRRWVLLIWSVVAYGIGFGWLGVDDAGEWGVGVG LYVVGLIAYQLTLTYWTAAFPSLARNTPRAREARAAFESGEISRQQLDRRDELERSRL SNVAFWLQSVGEVVMLAAIVGIMRAVRVDDSPASNNRGLSVLIAFATACWLALSLPWF AVEKTRPGLPIPPGRNVVTAGLWQLREALTHIWRLRQSLIYLTGYFFLGDSLNTTVTV IATLQNQVVGYHTLTLTYVLTVGIAAQAVGIGSFWLAQSRLGLSAKTMFNAVTVCIVL LDGWGVVGNWTARFGFRNAWEVWAYQVFYGLLVCPWYSYSQIMISSVTPRGHEFLFFS LFNIVGKASSLIGPLVSSAIIDATPGGKNNNAAFYFLFALSAVSAAGLWLFLDLEQSA AEQEEFLAEKARAYGGGGGGGKGMMGSERARRAQA UV8b_01902 MRISILLTAALAGPTHQLSPSPRSDKTLPALQFRPDGTFRITVF SDLHLGEAAQKPRGRAQDFKTIQVMSSVLEWESPDFVVLNGDLVTGEAVQRKSSGRFV DLAVAPLVERNLTWGSAYGDHDHAFNLKSEKMLRREARHRGSRTRKMVGAKTSGTSNY HVPVYAAACADRLGRGCEPLLLLWFFDSRGGARYRKISEHGSVVPQPSWVDGSVVEWF SETHARLAARGNGTVPSLVFVHMPTGATAAARGAVDPRRNPGVNDEPASPQSQGWCAE DAYRPASCGYGGFDAPFLQALAGAAGIAGVFHAHDHGNTWCHRWEPRVPGTDAVGRGL NMCYGQRTGYGGYGSWARGGRQIVVTARGPVAVETHIRLEDGSVVGRVRLNSTFGQDR YGAAADGTRLS UV8b_01903 MDSAGVNRHLGWSNHARIAASTPHAPESPSASAPATSPMILNAV RGKDGGAANVLAIKRRSAIACRRCRRMRSKCVHEKGTPPCKSCQESGIPAEECVFPVR GQPDLDREYRHPRTRADKSAKAAAGHAAGNAARPRRSLSRGLSVLRQRLAEDWEGLPP TEDLIDGVELFTKQYFQLGFIPKEQYQHRLRNHLRSTSLFLLMSILSISARLSPAFKL RYGNGVKASEYFMERASSIAFEEVYAEPTLERCQAYYLLSIAQQGSGERNKCYINMGI AVRMAILMQLQREETYHVANPNPELIIRAESARRTIWMLHSQDHLHSGPLSPVSLSAG DITALLPCNEEDFARGREPRSRAALEGSPPAMDNPGLIRDPNRSLFATLMQIHHWWGI VGRRAVRYSKSPSPWDPASEFSQTARKLRGWEQDLPHEHVWSPFLFKEYKAERQELAY LCVTMMTRLSNIVLRRPYLIDILKEDGRDATQQAFFRTMSDEIFANVRCLYEQIDAQF TNRSPDESVGAQIAAFCVYSCGLFSTYLCKYPNICQDKALVRDGPRMLQRTLGILRVC REVWPLSARWVEALEKVSLDPQSVTLCHEGSMDDGKDPIPRAIRQLPPLFPSTTLART PPGPALLPPGPALLPPAPAGAGAGAGAGAGAGVAVAGVAGAVAAVAGAPQPAPAPPPP SSLPESNVLPRPGTHPELPPASSLATPHQRDKVPSPVTTGTNVNGFHHLSSSARSLQA SQQAQSQAQQQHYTISPALLPPTVSPQAYPDQHQQAPPHHTAQPIANSLYAHSQRAPR LGRQTADGQGLLLEAFDSPQLAASQQVCHYGSGSGSGSGSGSGSGSGSGSGSGSGSGS GSGSGSGSGSGSVSGSGSTSGPFNPLLGPGTDGFESELQFYIGGPPATWLNTTTPWLD TTQ UV8b_01904 MTEVTSISVSVGDLPREAGYNRSACTECQRRKQKCNREWPCDRC QRRKIPDECRYINANPTADVPSHDSTENLKQPDDDHANRASSDANGDDASGYDALASR LYETLGIDHGANEKPAPKQDYVDAESSPQLQQMLNLLPQRQSMDILMQNFFNDINYHY YIIYPPAFLQDYHLWWDRRSQKRPLSLQYTCLLAIVCACAIQHIEPASEKAFERELGD APDVVSDTLHAAMRELASVIPVGHYHFLNVQRLLHSCYWYKAEAKFLEAWHVLSAAIL EARELGYHKEPAPGSVTDFDLEMRRRLWCILDTWDWQISSGLSRPKIIDRAGCNAKLP ELTLEGHVVSPLLHMKMQSRLTRQLATRFSAPKNIIAPSEVHEYKEIIEKWVEQFPPE YSFENPDTTKDQKCPWLFAHRFYVYTMACLLILNPIRHYMVKQYTWESPGEETEIRGV GIWYSLKLMKTLRLWVDKVHNRDGRLHFIIFSIFDTAAILCTAILKDAENTIGNRQDI LAAVGDAVDMLQKLNQISKTSKTSHDILERLVRRLPDFVPRKEMERQMKRPKFTARSP PLAPAPEESRSTSSSPHSSATPRGLGSLPTTAVTTTHEQAPVVTAPIPASGSISAAPL PVPLSATATPTATPTVDLTPHQSDYDNSGFAPPPLSQTGGFVAANSQVISGSLSGSDL ADEYHGWTSASESAPLNMDGHGGMMSSFAAMPKPLSMDEAAAAYQQQIAEPVPEFNIE NLSEAQLGELAPLWNWHSENLDFANMPPASLEPGTTNDYSQSMQNHGPLS UV8b_01905 MGAVIAVGSVTMEVTTFWLKKLLRWYTSKHPVDLWLELLRNAES FEEWEEAAFHLDSLLGLDIWRNNPTSKYYDWRLITDRLQSLATAREENHFQRLVNLLR SGLVRNLGNITVPRLYNRTFSGTKYLIEEYITQVAESVEDISMLPTTFTSNSQGGGTV LTNQMKLDFIHDTRQAFGRSTLVLQGGAIFGLCHLGVVKALFLRGLLPRIITGTATGA LIAALVAIHTEEELPGVLTGDGIDVSAFEKNRATDHGQTFKSRWATLMRRLGRFSKEG YFLDVTVLEDCVRANVGDLTFEEAYNRSKRVLNITVATECQGGVPTLLNYITAPNVLI WTAAVASNASSPSLYGSRKVSILCKDVHGNVIPWATANTANFHHWTHATYTDRDSPLQ RIAELFNVNHFIVSQARPYLIPFLQSDMHGPSLVESRSKITQLSALMVRMVGLEVRHR LRQLDTLRLLPSSIRRFLVDERIPAASMTLVPEVTAGDFVRLLETPTRGTLDYWISRG ERSVWPAVAALRIRCAVENELDRSYQVVRKLKAGDLRRKGSMAPASAPEG UV8b_01906 MSDSEDPLDAVDGNGDDLFGDEGDDNLPDSPKERILDDDDLASD PDKNPHTARKGYDDDEDPSHETRDRVVMAVQTYRHPIPNSKDGTLRVMRVPKFIKFMP REYVAHAFQPSEFDIANAKSDHPKHVVRVRKDERTGDLQSNTNIYRWSDGSFTISVEG EHYEMQKKALAPGPGKPYNELHDGHYYAAAAELGSNLLMTVGHLAEQFNVRPNKAVGD DALSVLAERMAQASKSVNDGDMIIRTTRDPELQKKQAEMAEKDRMKAQRKRENAAWKM DSGLGRSARGGLSIGDLESGRGFGSARKRGVAGSTKPKRRRPEYDSDDDLPQGVGRRE DYDMDDGFLVGSDEEEMDSAAEEEEEEEEFLDDENGNRRSRSKRQRTAEPDEDEDAEG DEVEASEPSARARRRNVVDEDEDE UV8b_01907 MSNLFSGINARLRGGSAKPSGQKSPSPSTGSQPLPSDTASQVNQ SSTNTAPKVPPLPNSPSLAQTIGMDESSGVIASGDDVINSYTLPRPLPLWLNANYVKH IVKGNFMTLSARPKTVEQGEWIAHQVVEHYRNLWNFVRVVHEKEEDGTSICNPKTCPR MSAGANHSFTWLNNRREPVELPAYEYMTLMQRWISGKIDDTAIFPTDPSGVSYAHNPS ITTTPLSQLSNPGEADYIGKRSGFPDKFVEVCQMIFRQMFRVYSHLYWAHFTEPFYHL NLEKQLNSCFSHFLLTATALDMLKPRELEPMQALIDLWAANGTFPPESKAYEYANLRA GERFLQLSGVSQ UV8b_01908 MPLPREKKAVTGSALPFNGDPHGGITSSPASKTLITPVRASPAN LVTCCVRTLDSGLWALGSGLWTLDSLEHQSGPHLSLGEWLRSYKQHPTNHHG UV8b_01909 MTTQLSMQPFYRSPLVVDTRHAQQKYFKEDDRGGMLEDGSLEHG TIDSGLELSPPMINSRRESFAMGTTLFSPKAEDWQSVDMQSVPSNPFADQSGGNNAPN LNPFMRLDPSHGHVFSPQASPWDVNNTAGSHNVMHQFNHVQGAFDASASIFQRPVQNH NPFSNAGAMFAALGNEDQPLPTSPEKEWMLPVQTLSKKMQPGSPMIRSHNELRRGDGI RKKNARFEIPVERNLSNIDSLIAQSTDDQEIKELKQQKRLLRNRQAALDSRQRKKQHT ERLEDEKKQFTSVISDMEEEVASLKEKFDMLMQEKNSLVGYIDRLTMEKDDMIRTHTL ESGELRKKVNVLTEHVQRLESQTLIASGPGGNDGYSDSYDGMEGIGMPGSWDSSTFAH EYSAEQPKSRHQMSLVPAKKSSENTASSECDKGSSQGGLLFMLFLVGAFVMSSRSTPA IPRVSEDVRAASATLLDNVLKDAGISSQATGIQAIPPQPSGSEWIHTGISDISMDTGT SMLEELGDSLTQPSQEQMNEHIFSLSAAQYDGVSNHEFLQNAPNAPGRSTSQGRRNLA EALAAMRVASKHDGAAEVYTRSLLWDQIPNDVVRNFAKMVAESNNAHDEQECDEA UV8b_01910 MGLRDMLRKKDGTDGTDNVTAAEGQPAARKLGGTDITFVRTATS SQERVCPPPAEANEDLLSPKASVRSPRRSLDVFWSNRPRSESASSQASHSSRRRLSER LHLSRSPESSDHVPVNLPDITQSNDPDDQSQWERRATLLAKQPIVPGVPGALPSLSTN IPQLGVGHRDRSAGPSPVSSKTIDQDIQEAIRLHEEGDLERSTSMFGQLADPQGANNP LSQVLYGLALRHGWGCEANLARAVKYLTAAASSSAAVEQLALEAGMKKGGAAKGELVM AIFELANCFRHGWGLGRDPFAAKQYYETAANLGDSDAMNEVAWCYLEGFGCKKDKHAA AQYYRLAERSGSKTLGNTWIWKEKYDPHEQGKK UV8b_01911 MSEEEKDLLARIGQLAGQINRYKSQQTIALASQPPNQYSRHAYR GRGAYSRGSYRVGRPQSGHRHRTLHLNQAPQASDSNDSSPGPTAGNSCWVSRNDRHRQ LINANVYEKETNSRAKAIEETRKKKLQTRKKLEKSRFNDFLRHQANAGNMTANPNSSA ARNEIIIEGIRFHVVEGGKKLVKSADDRHSATPKSTLVAGVRFHRTKTGNLIANRIVQ DQRRSGICTKTSQPCKTFSTTGSCGKGPYCRYQHDPAKVAVCKDFLKDGKCPNGESCD LSHDVKAERVPDCLHYAKGHCTKPDCPYTHSKAAPSSPVCEAFGFYGYCPEGSDCSAR HVFECPDFSNTGVCKTKGCKLLHRERASVLRSKIFQTDVAADDDVSSDEETTGSDDVD SDDVAELIDAESDDSDFENQPDFIRL UV8b_01912 MASSWELLDEKAEAELHKLRLLNVEEKPFKRITKRLAAISSVVS TSTTTTQDSASSQNGDGSASAAPRDSVKEDLTLDFAAFDSSIARLQFLHEANERERER YAADQKRILAECRAVRTNNGQLRVQLDAARATLARRKKFDELAERITSNRLLRPREDQ LANLSKLEDECRDLERESETYKETWQERRDQFNRIMEEGMMLRRQIRDEKEEVDRREG MNEEGEDDADPDRDGTTPRLAASEIATPRPDGEAQDKPEGEEEDNNNPDLADTGTLAA GTPAPVRDVRSAHRLARSAASAPRTAGQHLQASSGASRSNQNYQRSADVDMENQEEVE EPGRDENMGGDEMEVDE UV8b_01913 MPAPSQLAIASGSVTRLLKEEASYHTELGSQEAEIARLEESVQK GDNDDDGNAAFMLKQNRTALEQTRAVFAPLRERIKTAVAKLKDQIALAEEAGGSDELE SARKVLRDAEASLE UV8b_01914 MFYSETLLQKSGPLARVWLSANLERKLSKNHILQSNVTDSVEAI ITPNQAPMALRLSGQLLLGVVRIYQRKTRYLLDDCNEAMMKIKMAFRSSGNNDLAANL QLPNREALLLPDRITPYDNLELPPPPDASWLLSQVDDITATPVGRNKGRAGNNRDINL QEDFELSQFLQGNNSQDADEFLPMEGLELDLDFGISMDDGVSQSIEIGRDAPPARDVE DDVFSELDIVPRKDTADVTELPVRIAEGDNDIPMGGDDEFGFNAGDQSTIPGMTSTAA DINRERISESPLSDIDERLAQEVEAEYARHNHTDLYEPVEDVELTIVRRPAQRSKKQK IMMPDDEIALSGSHIKQQQADRQNIIKPASFLPRDPFLLALMEMQKSGGFVSSIMTEG RSSAWAPELRGMLSLDTVRGLSELKRKRDSGVADVDSDHGAAKSPRLELGDDTDFGFG DNGLADQTMGTDGTVLEIPAVDDNNDYERDSSPMNAFDDTTAPIVHPQDSGPVSIGTK HAVHILRDLFGAEAATNAERRKKSSVVFQNLLPESQTTKAEATKMFFECLVLATKDAI KVEQGPELGAPIRVRGKRGLWGDWAEREAGGEISDQNEPEPAAVSATAVAVEA UV8b_01915 MVRVIGQILRPERRRMEASDERESKMDGGDDADWKSGVVVGTVG FLGSKMAWLVGQWKVGGLSVAAHLRLLLPAVPSVWCACLHVNSVT UV8b_01916 MQIPWLERGRSSQEMLLPTSGLPAVTELSTLDSRDRELSDQRRH VRATSSHARGVAEEFSFMMPSEAAARLRTSLTHGLTAADALKRLGEYGPNEIPHEEPE PLWIRFLKQFQEPLIILLLVSAAMSLLLGNLDDAVSITVAVTIVVTVGFIQEYRSEKS IEALNHLVPNHAHLVRGGSGKPSANMPKSPAWPAPGDAGIDKPRSPGVEVPIEEGLDT VSTKVMAGQLVPGDLVLFTTGDRIPADIRVTKAVDLTIDASNLTGETEPVRVTAETRS RRINTEGLNHLQLPRPSAVALDGSHPRDDGSEIHNIAYMGTLVTSGYGQGVVFATGGN THFGTIATSVSGTESPRSPLQLSMDELGGQLSKASFVVIGLISFVGWLQGKQLLEIFT ISISLAVAAIPEGLPIIVTVTLALGVHRMARHNAIVRRMPKVETLGSVNVVCTDKTGT LTTNHMTTSEMWYFGVEKAVDILSDTDALDNMTTPTALRIMRIGNIANNARLARKYTE SGAAASAVLTSTLGRGQVATASRWVGQPTDVAMLDMLDKFGEHDVRESVGPRVTETPF SSERKWMGVTIGADAKGDKEYAYMKGSVEKVLAVCSTYLDQTGREIVLDSTRRQEALT AANTMANKGLRVLAFASGAVSKPFKGRSARGGGPSGAGTPGLESSNSSLQQTDEDTFK DLIFAGLVGMSDPPRPGVSRSIRRLMRGGVKVIMITGDAEATALAIGKQLGMNIAAAS QHSSSQGTVRPVLRGDEVDNMSEEDLALAMQHTTIFARTNPDHKLKIVRALQSRGDIV AMTGDGVNDAPALKKADIGISMGRHGTDVAKEAADMILTDDDFSTILRAIEEGKGIFN NIQNFLTFQLSTSAASLSLVLLCTFFGFKIPLNAMQILWINIIMDGPPAQSLGVEKVD PDVMNRPPRRRNDAVLTKAVLMRVLTSATFIMIGTMIIYSRERLADGQVTRRDTTMTF TCFVFFDMFNALSCRSESKSFFRGEIGVFANNLFNWAVFLSVVCQLLVIYTPWLQEVF QTEAIGLGDLLRLVVLCSSVFWVDELRKYLKYGKRRLDNGYSQAV UV8b_01917 MSAIQNRATRRQSHSDDPEHGQTTALPLRNVSSVADLHAALAAL HDRESKIKAQLGSMIASKADVTRDLGRLDLLRAGLGAQVIAARSISNDMLSSAAETAG KLSSRVKRLDLEKSRVEDTLGVVEQVAELKACVNGVVGSMGAPQDWEAAAAYLSRASR VPEHIVRGAFAASIVPSVEVPDPPSVTLESARESLCGLFLREFEKAAGDGDGTKVTRF FKLFPLIGRTDVGLDVYGRYVCQGVAGTARATLKDSLGGQGRKEGFFYANALTKLFEH IAQIVESHGGLVERHYGAGKMVRVIERLQMEADIQGGIILDTWSDERGIDRKMTDVKS YPFSFLVQSFLPQAPRSGTPRGGTPRVNSPSVGGLTNPRPSEDEGVNMKEVDGLLSEI AVMLSRWSLYTRFLSGKCMDGSNEDTALALPDLLIKSNLYRKVSTMLTSPYNTMTTFF FRRSVEKAFQLDEYPTGLSLSLNKSLEGNAPFIIMAVDDVMYIVNTVIQKSMSTSQRD VIASVIPAVGRVLGSDFIGMIQRKMRDESYPKPVLHEGFPPAEKIIQFMVLINSLDVS NQYLSKIIGGRIMSEGPTNGATLQASLEGAFPFDKDVSFVANSLLALESGFISKSSEL LNEGVQVLFSQVVKLRLRPVLSETFRDADYDLGEEEFAELARQNDNDEQDILGQVRRR FEHGWDQLMKPIARIMTPGTFSTLLELTARYLSKTLERRILTYAGRTSSFGAVRIERD FSGIVNIVSRGDYRVREAFVKVTQLLMVANMEDDEWEELANGVNGSIEWVLTEEEQGK ARGLVRG UV8b_01918 MSTRMVTVPLGQSLGFFAEPDGPSSRVERWHINPEAQDAISAMK PAAEHLRSKDTPVGFPTETVYGLGADATRSSSVRGIYAAKGRPSDNPLIVHVSDLDML RSLLGRQGENNEDVIPARYKSLVDRFWPGPLTILLPNPSPSPLAPEVTAGLSCFGVRM PSSPLALTLIKLAGVPLAAPSANASTKPSPTTAQHVKDDLDGKIELILDGGSCEIGVE STIVDGLCDPPVILRPGGVALEDVRRCPGWENVVRAYKDHSEEGKAAPRAPGMKYKHY SPKARVVLYEPTYQRGRDGIAATDLDAAITAAVVVSNGGAQSPDRVSRLARVGVIRTK KWEPAAGLRCNGLALTPRPGVVGQLEGSSYDVYAGEMLGHGGDIVGEVLDVGLGDSTR RIAQGIFSALRELDRQAADVIFVEGIDDSIDIAAAVMNRLRKAASDIRK UV8b_01919 MSPGMSLFSVQAILILGTEDGARILAKYYSPPHISTAGGASPSN PYHDVKAQKAFEKGLVEKTSKQTGDIILYDNRIVLYKLESDVMLYVVGSLEENEILLY NTVLAIRDSLHLLFKQSVDKRTIVENYDLVSLAVDEIVDDGIILETDPTIIVQRVSRA PTQDLPIGRIDLSEQGVNNLAQLGKSKLADWLRQGL UV8b_01920 MSSPSRRRQRGSQSSTPRRSTRLSESNGRGPSSQLASSPILFRS SSPAPDASQHAGEVSSPLRQMTGSQSTNGLAPAPSSPLRRASETHTPRDDPQRTPRAT RALAGESSPIRYEPSSSPGRSLGQRSELRSESSGLFVRSERGGSAAYRRGDINSDAVR TPRAPRRIVLDDSGRVVQEVSTPASDAPSFANRDPNTSEADHLGGQGQSLIWGTTVSI DDTFASFKDFLRNFTQKYRMYRDGFSDADVQNAPDAESKPYAEALGNMLLLGTTRLYL DISDLNLYPPTRKLWHQIQAYPQEIVPVMDQSVHDLMVELARADSIRNRPSQSSAEQH GTQNSTQSSEPVFPSSDRPDGPATPRPQQDQPQLEDQVSSSIYVVRPFGLEQNTNLRD LNPSDMDRLVCVKGLVIRATPVIPDMKDAFFRCNVCNHSVNVGLDRGKIREPTECPRP ICNSKNSMQIVHNRCSFEDKQVIKLQETPDAVPPGQTPHAVSVCVYNELVDFCKAGDR VELTGIFRVSPVRVNPRQRAIKSVYKTYVDVLHIQKVDKKRMGADPTTLGVEGEEEAD DGGNELEQTRVITPEEEAKIRETAAREDIYELLARSLAPSIYEMDDVKKGILLQLFGG TNKTFQKGGRPKYRGDINVLLCGDPSTSKSQMLSYIHQIAPRGIYTSGKGSSAVGLTA YVTRDPETKQLVLESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQTVSIAKAGI ITTLNARTSILASANPIGSRYNPDLSVPQNIDLPPTLLSRFDLVYLILDRVDEKTDKR LAKHILSLYLEDKPHSAASSSDMLPVEFLTSYISYARAKIQPTISREAGEELVSAYVA MRALGQDVRAAEKRITATTRQLESMIRLSEAHAKMRLSDTVTRDDVREANRLIQSALK TAATDAQGRIDMSLLTEGTSAADRKRRGDLRDALLKLLDEMTAGGNSVRWSDVSKRLG DGASIPVDTSEFNHVMRGLETEGLISVSGEGARKTVRRVTAVV UV8b_01921 MKFGEQLRSSVIREYQWYYIDYNNLKAELKNPTGPPKAGDAAAC EWTEEDEIRFVGKLEAELEKVHTKQQVKAMEISRRIAVSDHEVNDVVNRLNERGLGED GPSEEEFMLLEEDLSDIIADVHDLAKFVQLNYTGFYKIIKKHDKMTGWHLRPVFDTRL KAKPFYKENYDASVIMLSKLYDLVRTRGNPAKGDSAAGGGQANFVRQTTKYWVHLDNV TELKLIILKHLPVLVFNASKEFDQQDAAITSIYYDNPEKWDLYEGRLKKTEGAEAIRL RWYGGMQTETIFVERKTHREDWTGEKSVKARFAIKEKNVNAYMRGELLPATIFEKARK EGKKSEKAIAEDERLASEIQYSVLKKGYKPVCRSFYNRTAFQLPADARVRISLDTELT MVREDNLDGRTRSGDNWRRMDIGIDWPFSQLPAEDVVRFPYAVLEVKLQTQMGQEPPE WVRQLISSHLVEAVPKFSKFIHGTACLFPERIKLLPFWMPQMDVDIRKPASHDFGIRR PGPSATSNAFDDDDDDDDDDDDDDDEYLESDDDDDHPAGRSGTSNGHQPSSSRAQARL TDAEGQTADQPSSHEDYPIYDSDDEYDANYELEEARRVGGWHYYSTLFTAKGRAALTK TLNVLKLLIPIPYSTTIPRSERLQMLFGSGAIQQRKFKAPPGKKIYVPVRVEPKVYFA AERTFLGWLEYSIYIGTIAVTLLNFGEHPTPASFWIAGIFTLLAFLSLCYSVGIYLYR SDSIRNRKAARFYDKWGPSALCVSLFVAVLLNFALEGRERHVW UV8b_01922 MAPAVDDSMSARISSNCPQDTRDVLHHAWGHDLSGLRNLIKVRG MASAQDPVTGETPLHAAIRACGLANPDPDDAEEDGCVEEAADVLRELFLSGAIWNDVD GNNETPGCVAHRLGRKSLYDMCVDAGVRAELLFALMSGYEELSSGSDEPDHVAHGDRG DGQDEALGEEGAAVNENQQDAGQARFMPPGANEKSVTSADYLQSTLTYDSSKVVDSDL NGVMMSWETDIMRRSVSALLPGLESGKRILNIGFGMGIVDGVFAETEPSRHHIVEAHP SVLKHLSEPHSRFGRAWEQSGPGPDAYKLWAGRWQEIVPKLLEKGEIYDAIYFDTFAE DYAELKMFFTEYVPGLLDQEGTFSFFNGLGADRQVCYDVYTKVVDMHCADAGLDVEWR ECDVDMAALAEDGQGEWEGVRRRYWTLKKYRLPVCTFMA UV8b_01923 MVGLGPRPPPSRKGSKTDLPQDLLHEVKRLEDLFTVDTALLKKI TDHFKSELAKGLSVEGGSIPMNPTWVMSFPDGHETGTFLALDMGGTNLRVCEITLTDR KSEFDIIQSKYRMPEELKTGNSDELWEYIADCLQQFVETHHGDCSKIDTLPLGFTFSY PATQNYIDEGILQRWTKGFDIAGVEGKNIVPMLHSALEKKGVPIRLTALINDTTGTLI ASAYTDTKMKIGCIFGTGCNAAYMEDIASIPKLAHMNLPPDTPMAINCEWGAFDNEHK VLPRTEFDVIIDRDSPRPGQQAFEKMIAGLYLGEIFRLVLVDLHDNRDAKIFAGQDIS LLRKAYSLDASFLSAIEEDPYENLQETLDLFASKLNLSCSRPELELIRRLAELIGTRA ARLSACGVAAICKKKDYKTCHVGADGSVFNKYPHFKARGAQALREILDWPAKSDAKEE DPIEILAAEDGSGVGAALIAALTLKRVKAGNTAGVLQPENFK UV8b_01924 MKPLSIASALLAAVSGAIAVATSKDSHPSLFSPPQVFKNANLVH ILSLEKSYVKEQINVLVENVSNEPQDEYYFPFTNGQIAHAGGFEARDRKDSSGRPFDS EPVEYDPTSDVQYYRIRLPVPLKAGGQQTLGISFYYLKAYHPVPAAIKQDEKQFLAYD FSIYAPSAYPTTKQKTEVKTASSSIPDYSKITEGNEEMPQKQGAKLTYGPFGEKPAGA VSPARIRFEFTKPVAHVSTLERDIEVSHWGGNIAFEERYALHHLGANLSTPFNRVKFA QSAFYSPVSVALKELRVPLQAGSVNPYFTDVIGNVSTSKFRSNKREALLELKPRYPIF GGWKYPFTIGWNSDAANLLRKTPTGGHVLRVPFLEGPRQQEGVEYEKVIIRVLLPEGA RDVKVYTGVPESSITETEISVHKTYLDTLGRTSVTIKAQNLVDEFRDRDVIISYETSL MDTLRKPIVVFGSVMAVFVAAWAAGQVQVGFSKN UV8b_01925 MSRSSFDSSLAHFIPAYLRYSQNESVTNSPSSTRNRALSIRSGG KSSKGGSGTQLPSFSFNSLRGQAQPELSRKLFKLIKSENNLINAHEAAGRERINIATQ LSEWGEQTGDDAISDISDKVGVILSEIGEQEDTYAHSLDESRGRLKSIRNTEKSVQPS RHGKAKIADEIAKLKMKEPESARLVILEQELVRAEAENLVAEAQLTNITRQKLKEAYD SEFLSTIERAEKQIILAKHGRRLLSLLDDTPVVPGDARRNYAEGTQARQILNDCEDDL RDWRPDNDEYDVSAEDATRVHDKQPALDAESNTQAKQSQPAATTTA UV8b_01926 MLFRHASHTLHLQSRSRVFSRASQGAVAWQTEFAGLSSSSKSRA ALPAPSTRQVPAAQTGTALTSPTGTSNTKPPSLAASTSFTARFHMGPHAPPPPSPSLP INSSKISSHRTAQIARHLSLASCAIQPSRKMASEYSLRKTGAANTFEHRVYIEKDGVP VSPFHDIPLYANRDQTVLNMVVEIPRWTNAKLEISKEELLNPIKQDTKKGKLRYVRNC FPHKGYLWNYGAFPQTWEDPNVVHPETKAKGDNDPLDVCEIGELVGYTGQVKQVKVLG VMALLDEEETDWKVIVVDVNDPLAPKLNDIEDVERHLPGLLRATNEWFRIYKIPDGKP ENQFAFTGECKNREYAMDVVRECAEAWERLITKKVDPRGVSIANTTVAHSADRLNPEQ LPPLPPHQELPAEKIDSSIDKWFFISGASA UV8b_01927 MITKFMTEVTVKFNPFSPCAKPARLFLTLLPPDARAHGTAITTS LLSRSSPESSSLNVKFKDGKELKFDCAKTNIKGLVEEVDRHSRQLQKAADLKD UV8b_01928 MSPDSLQARLAALQETTTQLRQLIDRLATLDFQTPRWVDEEPST GDELAAEIGQMLRTGVDEQELLSEEIKFVRPEGAEKAALRDGIERLGAELSRCRARFR KARLVARENLTQARRAEWLSLVRSYAPPGGPEEPTTPPAHESPRAAAAAAAAAARHPR RPQNLGPPSQQQPSALSERDQQAVGASSNVTGALRRTHDLIAAELHRSEYAHQTLAES SAALRQLGESYTSLDSMLASSRDLLGTLLRSQKSDTWYLQTALYVLVVTGAWLLFRRL LYGPLWWFAWLPLRLLLGVGYKAGGAVVRQSVPGTSGKSAVGRGSEAAAAAAAVVEGL PGEELPTVLVGKQQGRLADDADPAVGKAAEIGGDAGEDAAEAADENARQDEPRNPKKR MWEEPEVGGASQQRDEL UV8b_01929 MPLAEHDERLRLDADADADADHLVTSEDPEHPANLIPSLCAKFW TLGWVTGTGGGCSIRDKDLVYIAPSGVQKELMKNTDIYVLSLAAQEPTTPASRRYLRS PPRHKPSQCTPLFLAAFARRGAGCCIHTHSQSAVLVTLLLEARGPGRDALFEIDNIEQ IKGFARGPGKAGSLGYHDTLRIPVIENTPHEEDLTEHLEAAMEQHPDTYAVLVRRHGV YVWGDNVHKAKTMCESLDYLFQLAVEMNKLGLPWISSIARVAPDRAEQA UV8b_01930 MRFSVALVSGLAAVVSAQSSTSSADPAIASAAACLEKCARGDVN CQSHCITVPSPNEEQVIETTKCVAACPQGNGSPADTQKYADCSNACIKKHFYVSSEGT PEATGGSNNNGGSGAASSSAASAAASASASASAAASSAAATGASPSNSGSGSGSDSSS PTAASKTSGSGTGTATGTAAAATTTNAAPGLTFGSSGAFVGAVVALLAL UV8b_01931 MNPSSIQDPALHLQENPSGSSKTRNRNLDKKLHSLSIIEVGPST LGPPGVISMVKTLRNQPTSAPSPQLSFGCQGHRSVVLVSRVFVVVFISARRRHLHSII SVQSAKVPSYVFSRSKSADLAQTRAGNTPRKHLELRAQLAAATVKKPPPSARVLCPQT RHHHIRIKSSRHCSMSFLILIHT UV8b_01932 MKFNAAAAVSAAILAGAVQADQADQKPLSPAAAKVPDLPSFTPT TLKAPFLEQFTDDWEKRWKPSHAKKDMKGSGKDEEEWAFIGEWSVEEPYQYKGMKGDK GLVVKNPAAHHAISAKFPQKIDNKGKTLVVQYEVKLQTGLECGGAYMKLLRDNKALHQ EEFSNTTPYVIMFGPDKCGHTNKVHFIFNHKNPKTGQYEEKHLSSPPTAKIVKTTELY TLIVRPDNTYVIKQNGEEVKSGSLLEDFVPSVNPPAEIDDPKATKPKDWVDEARITDP DATKPDDWDEDAPFEIVDEEATIPEDWLENEATIVADPEAQKPEDWDDEEDGDWIAPT VPNPKCADVSGCGPWTKPMKNNPDYKGKWSAPLIDNPAYKGPWAPPKIKNPDFFEDKT PANFEPMGAIGFEIWTMQKDILFDNIYIGHSVADAEKLAAQTFKLKHAVEKVLAEADQ PKEEEKPKSPLDLKFRDDPVLYVKEKLNLFVTIAKRDPVEAVKFVPEVAGGLAAIAVT LVAIIVSLVGLGASSPAVKETAAAAKGKAKEVKDAVVEATASATTATTTGAEKAKGEA NKRTTRSQS UV8b_01933 MAPDASSPSSSSPAAAAAAARRIHATAQQLAAGPASAAASYPVS HDKLQTVRDTPYFVDNAFVASTTDRFIDLHDPATNYLVTRVPQMTEAEMRAAVASAER AFPGWRSTTVLARQQVMFRFVQLIRDNWDRLAASITLEQGKTLADARGDVLRGLQVAE AAVAAPELLKGEVLEVARDMETRTYREPLGVVAAICPFNFPAMIPLWCIPIATVTGNT LILKPSERDPGAAMILAELVREAGFPPGVVNVIHGAHPTVDFILDEPAIRAVSFVGGN KAGEYIFARGSANGKRVQANLGAKNHAAVLPDCDKNHFVSSVVGAAFGAAGQRCMALS TLVTVGAARHWLRDVAASAAGLRVDAGFEPGADLGPLISPASRARVLGLIDAAERDGA TILLDGRRYANPKYPRGNFVGPTVIADVTPDMACYREEIFGPVLVCLSVDTLDDAVDL INRNEYGNGAAIFTRSGPAAETFRRNVQAGQIGVNVPIPVPLPMFSFTGNKKSVAGGG ASTFYGRPGINFYTQLKTVTTQWQSQDAATKKAAVHMPTLQ UV8b_01934 MVPGILATPQGPTESLSIRMEVENDVEAKMEAVDEDADDITVAD LSQLRSLAEPPSECQVCVVGAGPAGLMMAATLARYGIDVLVVDDRADQTPVGRADGLQ PKTIETFRQLRLADPLLQRGVRVYDISFWRSTQDEPLHRLGREIHYPPVIDVLDPYIL LVHQGIVESLLIEDMAKRGETVRRNTAFESFDNLAKTGRLQVNCRTNVTQDKKTCITQ YLIGCDGAHSKVRKSIPDLAAIGMSQASIWGVLDGELVTDFPDLWSKTLVYSEEHGSI LIIPRERNMTRFYIELKTWVTEDRRQLGQTFVMEQARRIMAPFSLDWKYIEWFGRYQV GQRVASRFSDSHTKVFLAGDASHTHSPKSAQGMNTSMHDSWNLSWKLNLGVRGLAKPV LLESYEEERRKIALDLVNFDYEHANQIAGGDAVALAHNFKANVRFISGIGAEYSSSPI NRAEPDDAATPPGDARPGCLLPPAKVTRYIDSNPVDIQLDIPMLGQFRIFLLMWDVQQ AAPFLSTFCAAIADKSSYVSQLSAAANQSYARQPRKGSAEDVYIRPERYTAVSHLFTF ALITTMPKAEIEISDLPPMLQDSRWTLYLDDVPEKDTRGALCTNKWLGSLEPGEVAIV NVRPDGYVGSVGRWDSNDDDSGEGAAAWLDEYYSGFLQLPRV UV8b_01935 MPGGCERFRESIGLVFIEIRVVGPCKLANHADSAEHLEPLRTEQ VLGRAQRFGCPPLTGMHVSNRDVRSNHTTA UV8b_01936 MHHGFLSRKGFSLDVILRLLRRSALNPSLLFPLVLLARYSKKGQ DWSILHPKAAKRLSVLFCLAVLRRLSAWHSDKTCNNWVDDKYVWSREVVLVTGGADGI GATMVRRFADKGITVVVLDIQPMTFKTSSRVHYYQCDVRSPESVNAVADRVRADVGHP TVLIHNAGVVRGKTILEAEPFDIRYTFDVNSLAHYWITKAFLPNMIARNHGMVVTVSS VAAWAALPGMVDYSASKAAALAFHEGLTDELKFRYNARKVRTVCVHPAHTRTALFSGF KQVNSFMMPVLQPDTVGEAVVKKVLSGRSGTVVLPEAASVLPWLRALPDGLGIQARSG GQGSMEKWSGRQVVSDVGALYQGKDSQEGQTSGSTVLVSEEVKD UV8b_01937 MVLDWRIMYGAAARTTRQMPGLRHLKGLHGAISAHSPSNLSQST RLRRCKRLPGSSTPRGFATASGLPALRDWLRISDEVADAVATNKALVALESTIYTHGA LSRNLPLEHEELVRSHGGIPAIIAVVDGVPTVGVSGKEMMRMVETGGAVKVSRRDIAY LVGMGVANRRMSGGTTISGTMLLAKLAGIRVFGTGGLGGVHRGGESSMDVSADLTELG RTRVAVVSSGCKGFLDIPRTLEYLETQGCHVSTFADGRPGKVEFPAFWARESGIRSPS VVTSEREAASIVLAQERLGIESGLLFANPIPEEFAVPSEQMRTAIEKALAEAVEQGYT GSANTPYILKRLRELCGDRVVSANRELVTSNIIRATNIAVELSRLLASEPRPASSERS HVSVTNLVAEEAASVPDVKADLLVAGGVAVDLSCDYTKPSSGDLSPQLHTSNPASISQ SVGGVGHNVALAAHLASRHTRVKFSSMVGDDLAGSSIISSLKRSGLDTSYVKVLSRIE HPGSRTAQYVAVNDASGNLVMAMADMDIFAQHAFPNQWRSTVATTLPKWLVVDGNWSP RSIREWVQIAKDYNARVAFEPVSVPKSRGLFANQRGLPKLGIFPHASIDLASPNSHEL ASMYEAAKESGHLDSMEWFEIIDAFGMRGARDRFVRLTSAHLTDAGLPVQCVNLLPYI PTLLAKLGPEGVLYTTILGRDDPRLRDRDAEEYILARASPNHPSVGGIYMRLFPPAEL VDDVVSVNGVGDTFLGVLVSGLSQGGSMEKLIGVAQKGAVLTLRSMQSVSPKLSEVED ELARAVKTKGV UV8b_01938 MANKVYDWSSNHQVASESELSSDAESEDSIGGKETSGIFDKDSD EEELERLVLGNNAGFRENLFKHGHVAEGGDDDVDLPMEDVDAGGLEDVDDADLFILDT GAGGILPKPVTTTPETALADAPVWDDSDDDRLVVSLASHSRLRRLRATVEDDLISGTE YSRRLRQQYLRVNPAPAWAKQAASSRPHAAKRRRRSSAGSSSSGGSDSGSDSGSDEDS SAQPLEELLRNVKQLAGLGGSMKKRKLRPEVIDIQKTRDIPDRHKTSVACLSFHPEYP VLLSASTASILYLHHVAPEAQPTPNPRLTSVQVKQVDVRRAEFLYPQGDKIFFAGRRR YFHHWDLPSGVVQKTARVTAHQLEHKSMERFRLSPCGRYMAIVASSRKGGGVINVLSV SSMQWIAAARLSSRHGIADFAWWSTGDGMTVLGKDGSVGEYSVEARTFTGIWHDDGCV GGIVVALGGHGGPAALGHDRWVAVGSNSGITNIYDRSALIDQQTSQDVVAIKERPAPT RTFEQLVTPITVLAFSPDGQLLAFGSRERKDALKLVHLPSCTVYRNWPTERTPLGKVS CVAFGRDSDLLAVGNDSGKIRLWHIRC UV8b_01939 MDIDDILRQVDPATHASQPGTRDLQAMTRRWVAERSAPELLKWP SDGLFERVNDCIKAQIEKVEELTGDMDPKTNFSLIVIQTELERYKFLLRSYLRVRIAK IDKHTLHYLSTQELRDRLSTSELAYATRHQALLHNHYLSSFLVSFPQPLQNLNDTAGN ISMIDTPNLDAAVFIRMLKDKDVYGQGTDADVTLPAVEGDVLILRWSSAKALVENQDA ELV UV8b_01940 MAQHRLQCLASPSPSFSLLVHLLGAVSLAKTFQFLFEWDTPFAD SHGWYFQFLTIIGLTLSLSVFVLAAVADVTGSSALFRIKNLISVIATPLEVLISTLYW GICAIDTSLIVQPGFELETWVDIGFHLAPALFLTLDLILFSPPWTISAYGMMSLSTAF SFAYWYWVEACFSKNGRYPYPMFELLTTTQRALLFTVSAGLVTAFSGVLKWTYGIVNG YEQAQREAHKPLKKFQ UV8b_01941 MIDADNDNSQKRSHTEFAQQDASDSSSDDDMGPRLPSEPRKKKR RVLPYEKLYIAALPKSARYSRSLMHKEQLLFVTWTPLTDFLITVSVDGVVKFWKKIAQ GIEFVKEFKAHSGEIRSVSVSKDGRSFATAGMDETVKIFDVVTFDLLSVLSLSHVPKS VCWVHQRGASIPLLALSEDSAPLIHIYDGRGEKELPIHTIKGLHRSPVHLMEFNDSYD CVVSIDESGMVEYWRPSGNYEKPDNVFQYKSSTNLFDFKKAKSTPTCLTMSPDGNTFA SFSFPDRKIRIFDFASGKLHRTYDESLQANEDMQQTGTASHKLDSVEFGRRLAQEREV DSQMLRCRSNIIFDESGHFIIYGSMLGIKVLNTYTNQVVKVYGRDENFRAVNLGIYQG QPQKKGIVSVEMGASSNPLLQEAETRDPIIIATGVGKGRFYMFTNEEDVSKSTRDIQN ERPTMLGNKKEGNATATATGSAAVLHTNFGDIHIRLFPDAAPKTVENFVTHAKNGYYN NTIFHRVIKKFMIQGGDPLGDGTGGESIWGKEFEDEFSSLKHDKPFTVSMANAGPNTN GSQFFITTEKTPWLDGKHTIFGRATQGFDVIRKIESIRTYKEKPEEDVKILNIDIM UV8b_01942 MPRPKIPGAPEPKRRSRKGCWLCRCISSDIYQGRVKAARLNVEK NILLVESEFMKDDAIFIPAFFPQVDGLDQVIIIYMYLCDYSVRLNWQGRRTKRSVVDE DEEDGPVPKDNCIRWHHHGFSMEQIQVLGVPLEQRLDLDIIEPVDDGQKQDASTDLVS VVSNAICSGQPTVLTRSNLIFIDESQQLLSATANPEAHIVKRRLADSQSCSTASSSRS GSGMDSPVSSQRKRTRSLAQLEQLEPGPNPSLALYKQKQGPSSSVALLPCRDLFDAPL TPAASSPSDDVAHCRNWTELHSSGSPDSWSSSGNGESEDLFIPPGCLWDDSGPFSLQE SPSYSEIHPLGSGAFYGYDQGVADEDVLRNNENRAVLQPLAAHRSGHGILDFAQGAKA RRKRGTNGAINGSYYDNMVPVIIPWAFEPLPDM UV8b_01943 MALENDALMSLLLAYSACHRSQLLQTSPPRMRMAEWAQSIFPAL RESLVREWTPVSNTTLAMAVILVALEIMSPGAFGHGISWRGHITHARSLLAKRLERMS VKRVSGIAEGEGGEEEEEGFRFMQSWLGYVGIMGSLMASPMVDSVSSASELLPCSAFS VVPSRLGEELDNLGCMTGLSMRCVGLLGRVNDLSRQCDRERFGLDDRLWLGWSPTSST AEQALVLEQNMIKSLAQTARPCSHGRAGNMHVRDVKEMATINEAFHWAGLIQLRRRVL GKPTNDADVQLHVRKILICLEKLRTGTAAEIRCLFPIFTAGCEAADKDQRRRLLSRLE SAERSGMKQVYHARLLLERVWTEGRPWEELIGDEFIA UV8b_01944 MSNFYIQNKNVGNKADSEDWRIRGYNPLTPPDLLQHEIPQTTES KSTVIESREQVVAVVNDTDDRKRLLVVVGPCSIHDPDAALAYCDLLLKAREKHKDELL IVMRSYLEKPRTTVGWKGLINDPDIDGSFKINKGLRLARQLFVDLTSKGMPIASEMLD TISPQFLADLLSVGAIGARTTESQLHRELASGLSFPVGFKNGTDGSLGVAIDAIGAVK HPHHFLSVTKPGVVAIVGTTGNEDCFVILRGGTKGTNYDAASIAEAKAALHKKGVRQR LMVDCSHGNSLKDHKNQPKVAANVAEQIANGETGIMGVMIESNIDEGNQKVPAEGKSG LKHGVSITDACINWKDTESVLDLLADAVKKRRQVIGANGHA UV8b_01945 MARRYSPPDSPLSSMGSGSDGYEEDMHDEEAADGSLRPCKRQRV DAQSSTSSAVVPEAEHEAEPEPEPEPDTLEGMSDVSSDTSGDIPSSPLNARLDEEDFQ DQVTVCDWDGCPAGDQGDMDKLVEHIHNSHIESRQKKYTCEWKTCNRKGLPHASGYAL KAHMRSHTREKPFYCYLPECDRSFTRSDALAKHMRTVHETEALRPSDPVPKSMQSGPT GKSNKLKIIIKTPQSHGGGGADDESGDGANGDSGASDLFTALPGDMFTSEELSFPVDK LYRKCYWESKWADEVGEALQKECKEWEELYYQEWLEKEVLLSQVIQSEVDWHGRRKAI LEGTADVHVPGRAASKDGARTNGVKGGSSAAKKPHAAAV UV8b_01946 MSAILSVDDLNDFISPGVACIKPVETLPAASASASASAAAAATE VVLDGQAASAGEAAQISLTDCLACSGCVTSAEAVLVGLQSHAEVLSTLDRAPGLRVAG PDGDGRYGVAGLEDQDARLFVASVSPQTRASLAAACGGGVSEARAGRLLESLLRGPGG LAAGGRWNNGFTWVLDTNVARDVALVLGADEVLQATSSSSSSRESAAAPPAQPVLSSA CPGWVCYAEKTHPHVLPHLSRVKSPQALMGTMLKTTLSRILDVPPARIWHLAVMPCFD KKLEASRQELTDEAWAPPSALPGRGVRDVDCVITSKEILMLAESRGLDFFDMGRDADL LPSPPPPFPDDRLHAFLFPDRATTTSATTTTTTTRRVPRSAGSSGGLLYHILQRQAAR LPGAEIQTTRGRNADVVEFVVAVGSEPVFKAARYYGFRNIQNLVRKLKPARQPRMPGG KPFGSARRPAGKSAPLEYGYVEVMACPGGCTNGGGQIKVDDPVMMQRRRQFAARPGPQ EQREWLAEVDEAYFSGQELDGGAAGDNGAAWAGEADGRDAVGGISRQYIHDILAYWSS ITGISIDRLAFTAYREVVSDVGKGAGADKVVQLAGKAGGGW UV8b_01947 MRITLGSPPFQSRPSRAHLSLHPTSATTETATGRKSKMKKSTVP DAWDDDWEAQADKGFQEQEEEDAERGGASLHLVPSLSKAERLTRHEEANRKMWESADS LPQTFHYVEATNTVPLTTSFKPQVKVLSRKPILAKRDALTGAMSQLSMEDEDNSEGDG KQPLPTAEEIRAKQKRDLLERQRRYDEARAKIFGQSNPSSRGSSPGTVTPPRSDCRPS SARGRGRGGGQRGNATGTVNESVRGQSDMRRASNQPASGRELYDPNMSSSKADSATHR RAGVGVGAVPDTDGSGPRGATPSRNDQQHQHQHQHQHQHPLPQQQAPIRSPRGPDGSG RGGFGFTRRGNQES UV8b_01948 MAGVPRSSQTLHEINEPVTPSGSSSSRRFGQDNDKMLAKLTDQA FNPKTYPDPLVPRQGVDPQLYPPGTTLDMEKKWLARIKQWQASRI UV8b_01949 MPGTERAHAHAYAHAHAHAHAHIAGAGAGAHAGSYDLLRRATQA MMSKYVRPDSLFSSLLFFSFLFFLLDVLLAHGVALETKSLAKVFCPSISIHLFARGEV VASAKLVSLCLIYSDPPLHNMAGWAFSVAFLWHSYGPRHGIRVKLAHLHTQVRHVSPK QLPLASGTLLDRQVVACWFGYGPQVVLMVR UV8b_01950 MPGVNPSSTRTAAADEDGTDHIGIDTPRSGVATPQPDLHDKRLP GIMSYFSQSGPPTPTRALSATHSQSDGRETPGRISNDGIETASGTQTPRTTGVQPPAP KGKLTIKINEARGLRKCRDPYVVAVFQRSELISGGPRPVEDEEALSIAPSGLGGIAIQ RQGSDSGRPPMAIPMRSRQSSNTSIHDYNTFRNRSSRSPLTNPKWDAEAVFDVVDIDM LVDISIYDHTATGEVFLGHVDFQAGRDSDNAVRGWFALRGHADTVAEDAPSGELHVEA FYQRSEKKHFGPSDFEILKLIGKGTFGQVYQVRKRDTQRIYAMKVLQKKVIVQKKEVA HTVGERNILVRTATSDSPFIVGLKFSFQTPSELYLVTDYMSGGELFWHLQKEGRFDER RAKFYIAELILAIQHLHNNDIVYRDLKPENILLDANGHIALCDFGLSKANLTKNDTTN TFCGTTEYLAPEVLLDESGYTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIAFG KVRFPRDTLSQEGRNFVKGLLNRNPKHRLGATDDAEELMKHAFFGDIDWDLLAKKLIT PPFKPKLKSETDVSYFDPEFTTALEQNGSLNERAAALAHGYAASTPLSPSVQANFQGF TFVDESALDDHMGDRFRHDDEDMDDAQANADDDDDEDDWDHLHDAADPRKANRMSGIV KTSSADEHMVGGAHFDV UV8b_01951 MSCITVVIQPVFNSYVAHVHVGSSNPRLLLGIINADMVGMNALK QVRGIPRVVFSSIFLFLIPSLLRKTSGLLETNKSLCMCMCMQTSVVSSLHPFSPK UV8b_01952 MLSQLSRATRATSAFGAVARAARGNAVHVRGFIAPTVSRRADFV QELYLKELKAYKTPAVKESDAEGHVQTFAIPKTPASPEESDLASNLKEYESMAVEIEG QEASQSSQQGGAAALPDWLEAEEDDETGH UV8b_01953 MRFTSDIPVGAAILALSSTTEAALASFCPDKGDACFQWGAPQAA LSAGSGNLYFQLRAPTSYQWIALGTGSSMKGSSMFVVYQNGDNNITLSTRKGQGHVMP RYSARSDVTLLEGSGIVNGSMVANVLCRACSDLDLSSSSSWISAWKMGSSLDSSNPSQ SISTHDGKASFSVDLQQASITSDSNPFLASSNGGGSTRTGSTGAVVEEDDDDQNNRLE VAHGVIMAIVFVVGYPIGSAVMPLVGSWLIHAGWQMLAFLAMWAGFAVGYIASSREGE FFKDTHTRLGVFVCSLMGLQPVLGWLHHRHYLRHQRRGIVSHVHRWYGQGLMLLGIVN GGLGLHYADASKSFIAAYAVVAAVLGALYAASSVFGVIRRRRLSPHQKREGGITT UV8b_01954 MISPVPAARSVVRGFTGTPAASGDEDSDYGTRSTPALGSRRGRA RINDVVSANCSPVLRAVPSPGVSGIAKLRMQTDHLSLDIPSRSSSATRNGGPSEIPSG ITTEMNSRSQSRDGAPSEAGSENSECGSTSYEVNLENDFVSESVYERCGLAADGGLNP KRKMTSEEFEPLRCLGKGTYGTVLLVKQRATGRLYAQKQLKKASLVVHKKLIEQTKTE RQILESVNRHPFVVKLYYAFQDLEKLYLILEYGQGGELFTHLNTEKMFSETVAAFYMA EMLLAISHLHNDLGVVYRDLKPENCLLDADGHLLLTDFGLSKVSVSKSEDSCNSILGT VEYMAPEVILGKKYGKAVDWWSFGALGYDLMTGNPPFRGGNHAKIQDNIVKQKLVLPY FLSPDAKDLLTRLLRKEPHKRLGSCMPKDLQTLKKHRFFRKIDWRKLEARELEPPIQP MITDPELAENFAPEFTELAFSPVLSTRDAWSMAGSSKDDVFGGFSFVASTSLLEGSMM SLANRT UV8b_01955 MMHPSRQAYVEDADSPVGIALEDLKDDQDYDMPTAPGAPPEKTS AILSQFSRKRLAATIAVPTDDGRVRARLREMGEPVTLFGEGPGDRRDRLRELMTIQAE ISGLENADVVMEDAPGVEETEEQEEEEFYSRGGEALLEARRRIAEYSLPRAKRRVAFQ KQEATIPLRTHVKFRKHVKERLQAFELQGSQTVGERHISMTRISPNGELVAVGNWGGQ VKLVEIPSLTEKMSFRGHTNKISGLSWFPGATLPEKNISSDSVNFASGGAEGLIHLWS LSQDTPVSKLQGHSQRVCRVEFHPSGRYLASASEDTSWRLWDVETTAETLLQEGHSRG VYAISFNTDGSLLASAGLDSIGRIWDLRSGRTVMILDGHLDGHIKPIHALDWSSDGHR VLSGSADGWIKCWDVRKVQRTGGIGAHSSAVSDMRWFKGCDDPLAGTPPGVDDKGAQI PKKSSTFFISSGFDKNVKIFSADDWTLVQTLSGHTGPVASVDYSRDGKWIVSGGHDRT VKLWGRNDGESL UV8b_01956 MASIDRYRPPREGYQPPSLPGNSVPGNSHAEAPSRSPQQRDVPP AAPTPPQHSARTSPPRPQAENSPARQSPVAQPRRNGQAAVANQWFFSPDEAQSTPSVI DGISPSEERLRRAKGVNFIYQAGVMLDLPQITLWVAGVFFHRFFMRFSMIQEKGGIHH YNIAATALFLANKVEENCRKTKDIIIAVAKVAQKNAKLIIDEQSKEYWRWRDSILTYE EVMLEQLTFDLMIDNPYRNLFELLGRLDIVHNKHLRQAAWTFCNDACLTAIPLVIEAR DVAIGAIFFASVHTNQQIDDVDGEPWWKFLNGDEGLCTKAIEMMHQFYVENPLRKQNP SLPSPAFDLENTRRRGDTLTSQPDTLSSNGTPMEVDRASRSRSPAPRANGTDHGDAST LRSPTKRKDPDSEPATDRERAEKRAKVSEQEGELVEDEASG UV8b_01957 MATLGGYLNKKVLVVTADSRILVGTLAACDQSTNLVLTDTQERV IHEPDDDEPSEIHPLGLYLIRGDNVCSVGLVDEALDESINWAEVKGSEIGGIKHV UV8b_01958 MAPQKIIIDTDPGVDDVMAMLLALSASPDELEVAMLSVTYGNVP LQSCLRNVVAMFHVLEKELQWRRSTGRPEGFETMKKCKPIVAVGPEHSLEDECLMADY FHGLDGLHNVHEAHPHLSPSETWKSLFQSGSESPVDAAASSPFFTPSKEPAHKEILRI LRENPVDSVSICAVGPLTNVAMAAAEDPEAFLRVKELVVMGGAVNVEGNITPVAEFNC YADAVAAARVYALTSYEPAATMPPVPQRLSTLPPYPAKLSRQLKLTLAPLDITTPHLI PKGFFAERIQPHVDAGSPLATWVSHFVMGAFGQIEKMEGDAKEPGLSMHDPLTVWYML THDDARWKFPAKLEDVRVETSGQWTRGMHVVDNRPLVKPAEVAALASLDPRNDPSVVA VDGVSGDTSGWLSVLKGNRVNRLVESPGQDDFKDVWMKRVFA UV8b_01959 MGPRPLVKSSNHVLGSIVLLSQGHHHYSVQRASSAATRANTAEQ GSSFGDVAKPTPSYQTAFPCLAQPVQSSHLAISRNLRLIRFPPTYTAVFYHASSFLAA NLPISFFRGDSQPKTHRRHPDNQGSHLYPLLPCGRALTPCAPRDQTKDLLRSLWFTPS KRVARASPTSSINYFLLPSVRKPNIAKNAQQRSCFQTDHINKKVLALIAPPELSRRLR LKMTESNMSYVYTLTGYAALFGLGYAAYLVSTQKTNKRAAANAPRAARAAQNEPRKED RKKKQRLDSFTSEAQEAARAKASTKATATEPASRPRPVAEDTSDDDDDDDGADNREFA RQLAKAQEGKKFAGKAEASKQREKSVKQSRAHQMSNGYADEKPSDTGAEADDDQSPIG SPEAYATDAAGISDMLEPIAAGPSVVRITDADKVKEKKPKAAKPLEKAETKKQRQNKK KAEAAKEAREASEKERKVLEEKQRRTARIAQGRAAKDGSQFMATNGVNSWAKGEAGAP SAGSDKVFHQPLDTSEMADAPAATATPDKQAAVDQSEGSWISSLPSEEEQMEMLKNDA DEWNTVQTKSKKSKKGVESGDEKSTKPSTTQAKQAHAAPKTAPKAAAPQALGSFSALR DDAPEEVEEEWEV UV8b_01960 MSLPVAFQSAVFYFLACTPCAKVRHRQKAKSRARKEREEKARTE SKQPGLYRHPSPFNTNPYWQEEISMGPSLPKKSASKNSSQRGLTSSGRESVAPSMSEH TFGDALTAMPEDALSDDWNRRRGYQREDEELWGQWSGQGAGSGQKLMDALSKARDSAG RLIESTLGIEKEVTEQERRDFYLSPKNPPVNDYHPPVVSSKPAHKDARKWMLQPPPPA KVMEGKVPVSRAVSSGSKSSGRTLVSDDSNLGRRMQEKLVKERLRKESNPTEVELIES LFATRSNLSIQHTRSRSLSLNDSDDSMDTNPFERRRSRRRIPVAVPVPVPPGIDSDED DDAVPSPPISKTISHASNSLSHVAQRPKLETIPSTDGSGNTRTLSKKVGKRQKSIRSR SRNNAWVDSPVGDDTD UV8b_01961 MSADTRPISPARFAAALKDLSTPMLRLKVLEIRNSIAHLRYSND QLRPFAEGSATALGREQASSDPARREPDQDCVDAIRENELVIDRMARRIALVRAEVEQ RGASWAEFQSKEEEEEEAEAAAADAAQAATTETHINGHADSQQTPHAAARHPAWTDGT FQSGTIRNGQIRVDDQPGGAGRGARSSGGTVSDEELRRAMEARMRDPRSYDDGGDGGS GSGSEGAGVHL UV8b_01962 MNLFAISHAQRAPLKEVARQVAAMTARVRTTTVHNGQPRAGARC LSGKPAYARPPTAFPPQAAWQRPRLRFRENPPRLPGSSSSSSSSSSSSRWIFSSSSNV IRNYENLPKDYRDQVGLPFGAEDLSREEIEDVFGPGAAEAAGNHLLRILHGRRVAGTL DDPAFAAHTAQYPADMVARGLAFLRQTVAVNEVLNAGLRAEDELNQMDREMQAREAGQ EARIGQDAVAKPDPVYGRSSLDQIRARNVAKQRALDKAAEEERLAREGEAEQGAGGAA AGPLARREDGTRAIANPQVAKYYQDAQSELQAPPDMKAWQRILPSATVVALALGFLAA VAMVYEDPLPRYRLLRHVSMAHATVGTLVALNALVFLGWRVPPLWSFFNRYMIFVVAT VKPVTLFTAMFSHTKLSHVLVNMVPLWFVGTALHQELGRADFLALYLGCGAAGFLGSL VTYTLRGWLTVTSLGASGATLGLCSAYFWEHRNDGFRILGLPEGGVHGIVFLAMMAAL QMAALGRTVKLKVDIASHLSGMGAGILGMEMLRRTARRRGRGAGKEVIEIWGENAAAG PRRAGEAAAVKLVSPAGRAEGMPQR UV8b_01963 MPSSPLSSLPQTPSAPGSPAVAPDAACRYPSPSSTAVSGTQSPI KLAEPADDCSPPAKKRRTTPRERITTYLDLMKPHEEFSREDNFHMERLLSALRKKKKI VVVAGAGISVSAGIPDFRSATGLFATQRSQHKVKASGKHLFDASVYKHESSTTSFHTM VREMSEMSKKAQPTPFHHLLASLAQEGRLLRLYSQNIDCIDTSMKPLQTQTPLEPKAP WPTTIQLHGSLDKMVCTKCADIQPFQSDLFDGPEAPLCESCRNLDEVRTAHAGKRSHG IGRLRPRFVLYNEFNPDEEAIGNIMRADLRARPDAVLVVGTTLKVPGTRRLVKEMCQV TRGRKDGLTAWINIDSEPKVPDFKDSWDLVVKSKCDNIARLAALPPWDCEIGDSYLVS NEEEREMSATPPTKLEVLLDGKPRQHDDVQAIPTPQASPPPPPRRKPLPMSKQTSTSL DKEPKLTKSGKIGKRPGRKPKQPAKTEPRPPNPFMKAFKPSKKLSDADSGKKVGTCFA PADGKPPTKQSRTGLPSSSSRFADKTSLEITVRVMAPESPPTPTTPEHQQRETISPTS IPNNMLNLIDVA UV8b_01964 MSRLPRSTDKKQQSLTSFFTPRTANGLAASFKQQSEARAAAATG TSNDTQLPNRKRRLEEEEEEEEEEEEEAKEEEGGDHNDGTGRPTKDRKRDGNATAGEQ SSTLPAAGGSKPSPASRAAQSAALRTSRFVYDEQSITTSSTAGTLREGNEEAEDVRRK NEELHKRFVRKLGHSDAMSRASRMAQEEEEVQAAGEDDDGDANGDADEAELPAPAKAK KKGSKSGKLTPMEIQFLEIKRKHLDTILIVEVGYKFRFFGEDARIAAKELSIVCIPGK MRYDEHPSEAHLDRFASASIPVHRLHVHAKRLVAAGHKVGVVRQIETAALKKAGDNRN TPFVRKLTNLYTKGTYVDEGGELDQQAEGAPAGGYLLCLTETQSKGSGTDEKVDVGIL AVQPATGDIIYDAFQDGFMRSEVETRLLHISPCEFLIVGELTKATDKLIQHLSSSSTN VFGDRSRVERVPRSPTMATEAHTHVTQFYAAKAKAEAEAQAAAASRNETGSALLDKVL RLPESVTVCLSAMISHLEEYGLEHIFDLTKYFQSFSTTCHMLVNGTTLESLEVYRNAT DHTERGSLFWALDKTVTRFGQRLLRKWVGRPLLDEEQLEDRLSAVQELVDKQSTAPVD ALEKLLASTKADLERSLIRIYYGKCTRPELLSVLQTLQRIATHYAAGAEQQQQPPFTS ALLASAVGALPRIRSTVAAYLERINPEAARRDDKYAFFREEYQNEDLQDHQMAIAHVE HELNEHLVDAARAVKSPRVEYSTVAGIEFLIEVPNSKTADVPASWTKISGTRKLSRFH TPQVARLVGERDQHREALAAACDKAFRDLLADVAADYQPLRDAVSALATLDCLAALAR VAAQPGYSRPRFLPASSPPTVAISHGRHPVAERTLPAGYIPFSTTLAHPSPLAHLITG PNMGGKSSYVRALALIVLLAHVGSFVPADAVSLTPCDAIYTRAGARDNLFAGESTFMV EVSETAAILRAATPRSLVVLDELGRGTSTHDGAAIAQAVLHHVATRTRCLTLFITHYQ NLAGVARGLDGVTNLHMKFTAGTGADGQEEITFLYEVAEGVAHRSYGLNVARLARVPE KVLDVAATKSAEMEADLRLRRLLGASRILRRVLGGDADQLDHLVSGIEEL UV8b_01965 MMQMDMASSLGSTRDGTPFSTTNKHIPLLCTVCPEAPRFSDVSH LLTHIASKGHLHHETQTKLKAHQDIAASVTLQQYEHWYKDNGIESLLVERMRAKQQKE VVKNKRSNGSTPLLSKKFKRKPKRAARKTTVKAEQEELPPEYPIFPGFFTSDNEAELE EESTNGDMLSLKGQVWPGMGKMDLANEDMKRTRNQRKPKSVIDKMKRASEGIEPTQVV MTPDLEVERIKGVYDDSSSPIPGQEDESPKKAPRPKKKRSEALRELSVNVPRRAVKRS GRQSATVKKHALGEIENDVVTPTISASTSFRHGHDVFRDDDCVYDERLFTAPASRQDQ RFDIRSRFGMHLYRVPTSSNVSPTRASKDGPTHSMPMRNTGRLRSHTGMCDSYSGHGD FSSVNHSDAAFGVNDASVYNYSSRLPFMQLGNLGSTNDMFRFGSSHQYLQPKQEPHPS AVQRDSINGVSSHQYLHISESNPLFAQNRSVFGAYHPAGHAQTMPSYSLEAQGQMNDS VTAECRQPSFSENEVWGSAEQLDL UV8b_01966 MARLNTSAGVSKLAVEAHVKYVQSLDTKKDELDYWLTEHLRLNG VYWGMTAIHLLGKPDALPRQETIDFVLSCQHGTGGFGAAPGHDAHILSTVSAVQVLAM VDALDELDTRGPGRARVAKYIADLQDPLTGSFYGDEWGEEDTRFLYAGLNALSLLGSL SLVDLNKAVAHIQSCENFDGGYGVKPGAESHSGQIFTCLAALSIAGALDTVDHEKLAG WLSERQTPGGGLNGRPEKAEDVCYSWWVLASLAILGRTHWIDRDSLASFILSSQDTQH GGLADRPGDMVDVWHTCFGLAGLSLLQHPDMVPIDPVYCMPTSIVEKYVQPRA UV8b_01967 MGRKAAPQPLGLALGVGEAQGGGSSSRSNGDAANAANAANAAAS SATHVEVPAFGAWASPSPARSRCPVSLQAQQPSPPQPSPSPSPSPSPSPSPSSPSSPS QPSLRLLHPSRPSPTLTSRFAVTKKPSAQTAAQTAAQTSAAQTGKGGRHTDIVPDNAD LPPASRPPQLQLHQQPSRDNLSPSWPSPALSPYYDQPPASPSPACPPPLRNASTETSQ RPATKGGLFHFNKSASKALNQLHRPTLPHTGLHEHLLSRNLDGRSGPESGGSPYAHDP SQGPRPPIPSRSELSLSSSAEFENTTAASPATKKRSKSKSFALLSRRKSNRDKGHNTT AQAISRPLPAAFSQSDYNDWPAPLRTAPLAQDREFRDMSSSTRNRSEDRAADRDWSRD RERGPLPSSLKDNGSFFSGLRNSSTKAADMISKGLFGKNSRSGSTTEREPLVDDEHYV LKVINLPLVEQTRRTRISRRLESSRDKTEFWMPAFPWRAIDYLNYKGCDVEGLYRVPG SGPQIKKWQRKFDEHHDVNLLEQDNLYDINIIGSMLKAWLRELPDELFPKEAQDRIAR ECSGATEVPQMLVDELSNLSPFKYYLLFAITCHLSLLLAHSDKNKMDFRNLCICFQPC MKIDAFCFRFLVCDWRNCWKGCKNEAKYIEEEYRLFDQPPPKGISEPNGPSRSDEQND GQQRHLRDKSKPCNPGREEKYRQHQFSDSNASVASKESSISTVLTTNSERETPERARD LRPLSPIKPLSPIGF UV8b_01968 MTLPSQRAKLPVAVDKPTPYTFDLGLLLAQDPNAITLDHDSLEQ SLAEVARDGAQSLINQLLTTCPISSTKDGVLLSLPPPATALPREKPLPQAKAQTKWQR FAEKKGIKPKTREQRRNLAYDEASGEWKRKWGYKALNKKGEDDPIVEVDMEAERKRKE GTSIRGDGRRERKERVRRNERRMRKNLKDSAAGASGKRG UV8b_01969 MASSATASLRGLVRSSSSAAAAAAAAASSSMPVAPRLHPAFSLP RASFSTSHPVAAAPPPTIRSRRDLPHKVKKTFKKRAVAVAVKKPGPGERKAFRKRIQL SNNNALPVQGLEDMGPDTLARPESRGSMVAVPDRVVDQLRALEAFKTTQTWSLFRRPH FLVRDETVRLVGRMQASLDAKDSLKCVLTGSKLSGKSLVMLQAMAHALINGWVVVHIP EGQDLSNGNTEYAAIEGTAPPQFSQPVYCLRLLQNIHKANQAVLATLPLRQDWSRLAA HLHRNATLADLVLSAKESEYAWPTLHALWTELTQPGQPPVLLALDGLAHVNKVSAYRD PLFNPVHSHQLSLVRLFVDALSGKTRLPNGGAVVAATSENNTHRHPSQELVLSQLEAG QAGRQVPQPDPYERNYDERVYSALKNSHVIRVGGVSKDEGRVLMEYWASSGMLRSVLD SRVVAEKWALGGHGIVGEMERAALMTMRI UV8b_01970 MALREFKAPVEYETQQAGFEEFLKGFKTSPEHTIATAMGDITID EDDLTDEDDLMDQDDDEASRRRREQNKRPRTSQYKYKELLQNLADRSIDELTVDLDDL ATWESQSDEPLHLLDSLEMNTKHYVEILSRAVDNSMPQPSTDVNFKDDVLDVLMARRQ ARNRELQEAAERDPTLEEDMFPAELVRRYTLVFKPRTGTADKPAKALAVREVRGEHLG RLITVRAIATRVSDVKPIVQVSAYTCDRCGCEIFQPVTDRQYGPLTYCPSADCKANQS QGQLNPSTRASKFLPYQEVKVQELAEQVPIGQIPRSLTILCHGSLVRRINPGDVVDIS GIFLPTPYTGFKALKAGLLTDTYLEAHHVRQHKKAYSEMIVDPRLVRRIDKYRQTGQV YELLAKSIAPEIYGHLDVKKALLLLLIGGVSKAMGDGMKIRGDLNICLMGDPGVAKSQ LLKYISKVAPRGVYTSGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCIDEF DKMDDTDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPVYGRYNPRISPVENI NLPAALLSRFDVLFLLLDTPSREADEQLAKHVAFVHMNNRHPDIGTDNVVFTPHEVRS YVAQARTYRPIVPESVSDYMIRTYVRLRDQQQRAEKKGKQFTHTTPRTLLGVVRLAQA LARLRFSNQVSQDDVDEALRLMEASKENLNAEVGNGRRALNASSRIYNLVKALADSGA CRADGAEDDDLGVELSMRKVKERVIAKGFTEDQWLSALEEYTTLDVWQTTGNGARLIF VTGGNDDEDDMDG UV8b_01971 MSPSKNAQSVAAELSLVHLKNCLVNLPPSLVSLLLNLNTPVQNV IVELTCKSVVPGNPSSPPQSVFVGWTGMPSKRRAAAPLVSRGPREQELQVVEMDATLA NTLGLSEGLKVAAQVHVDPPVAHTINIEPLTPEDWEMIELHATFLELNLQSQIRALPN PFYKNGATPVSPHSLTLHLSPTSTASIKVVSLDPAPPADVAFVKLSPNAEVIVAPKTR AKPSLNGSDRRSVASTSKSRRSGGSTVRRRSARDDPKPTMFLRAVERAVCREWFEDAL LNPDLSVWVERERLLASAFQGVKYVVVDIVKPAGLQKPQLEDGKAAANTMVSAKVVAR LKPWDDPPDGNVAALSSPLCAVLGCPGIVGGVVKIQAAPPPLPKGAVQSVKVFPFAGG SKAHQGLKFGSDSKAEKEESAKRFRHMYSGANNTEGLLQGPITDGMVVGVYSELGAPQ GWEGGFVKFEPGPEPGPEHASQDHGKDAVVWTAGLDDKTHIEFQQPQARPPWIANSDV FELLPKNDSVLVGIDSLLENLQKQLAHLSSVLLTGGMGSGKTSVAKHMAGKLRQESLF YSLYFSCRKLVNDETRTSTIKETLTRIFMSASWGARLGGKAIVILDDVDKLCPAETEL QVGNDNGRSRQVSETLRSIAKQFCSRGSGVVLLATAEGKDSVNNVVVSGPVVREIVEL KAPDKEARRRVMESIACQHAVQRTLSKPSDDLEDDTSRPATADGADDIAGDSEAWLHG TDSAKPGSKLAGFTMDPDLDFLDMAGLTDGYMPGDLSILVSRARNEAIIRSIITDSPS DSINSPVRLGRVDFEKALKGFTPASLRNVPLQSSTTTFKSIGGLRETRRVLLETLEYP TRYAPIFAQCPLRLRSGLLLYGYPGCGKTLLASAVAGECGLNFISVKGPEILNKYIGA SEKSVRDLFDRAQAAKPCVLFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLS GVYVLAATSRPDLIDPALLRPGRLDKSLLCDLPSLQDRLDIIKALFHKARLSAELTDS DDGLTEMARRTEGFSGADMQALVSNAQLEAIHGVLDGNNATVSSKVGQGASKNATTTG GSTPSFVQFLYGPDAAANGGCVPQSKSAAMVENAAIMSKLEQIKSSRKQAKLAKRGYG WLEAGTKEDSRLAAEQKEVVIHWDHLVKALEKTRASISDEEKARLRKIYHEFVVGRSG QMKDGQGSMEIGGRSSLM UV8b_01972 MHTVQLRTAHATPADAPQFCPVAQLPPLCRPVESGRVRVFRFPL GFRLRFRPRFRLRSIHGRKASMASHPWAKELAVAQAAVLRAARLTKDALSSVHARSKP DASPVTAADLAAQALLTSILRAEFPHDRFVGEEGSAVLRGDPALRDRVHELYSAAAAA APGCASSPDEMLRLIDLGGQGTGGPRGRFWVMDPVDGTAGFLRGEQYAVALALVEDGA EAVAAIAYPNLRLVGGRVAESSVDSRGLGVMLSAARGHGASVTWLPCAEGPWETRPLA RLPPPPDAPPSKLHVVDCDRNRASHRRVVARVCDRLGADFPGTDVWSSHVRYASLILG GGDFWVRTPSGPESWPCIWDHAGAQLIYTEVGGKATDLDNRAVDFGAGRHLSRNRGLV LARAELHEQVVAAVRQEVGQGYVP UV8b_01973 MSSPVTAGPINGHLSPDDIMTSTVVVETGRHSTDSPHTRDSPMA QSSLSDTEMTGRETSNQVGPDQGYSDSDESTQGNNSEDADFDMQDSVASQQELQDGEQ DRESSPDSSRPSKRKVPVSERDYIKANPELYGLRRSNRARETRKMVDSDDSNSDDDEP INRRQVKRRRVNTSQPSSKRGTPVLQPSTNESDSDSDTYGGARAKSQLKKARLQREAQ PGLALAEKRWSSRRAAQQVQQGMYEESGVDDEDEADLGSAYYVADYVDDSPYVEKVVR HRLRDGLELQYDASRHDFEYFIKWQGKSHLHDTWETIDSLRNMRGFRKVENYFRKVVE QELDIRFGEDIPPETKEQFFLDRERDEDAFEDFTQVERVVNVREGDEETEYYVKWKGL TYEECTWELASDISPNFQDKIDQYLDRSSRSWQSDRRETNLETRSRMIKLDRQPDYIR NGELRSFQLKGLNFLCLNWTRGNNVILADEMGLGKTVQTVSFLSWLRNDRRQEGPSLV VAPLSVIPAWCDTFNHWAPDINYVVYLGPEDARNIIRDNELIVGGNSKKPKFNVLVTS YEFILQDWQFLQTIKWQTLAVDEAHRLKNRESQLYARLVGFGVPCKVLITGTPIQNNL AELSALLDFLNPGKVNIDEDLDSLSAVDAQEKLEELHKSIAPYILRRTKETVESDLPP KTEKIIRVELSDVQLDYYRNILTRNYSALCDATGGHKNSLLNIMMELKKISNHPYMFP GAEERVLAGSVRREDQIKGLITSSGKMMLLDQLLSKLKKDGHRVLIFSQMVKMLDILG DYLSLRGYKFQRLDGTIAAGPRRMAINHFNAEDSEDFCFLLSTRAGGLGINLMTADTV VIFDSDWNPQADLQAMARAHRIGQKRPVNIYRLVSKETVEEEVLERARNKLLLEYLTI QAGVTDDGKAAFQEKLNKKGLKTDGPSSAEDIQMVLKMRSSKMFEQSGNQERLEQLDI DSILENAEVTKTKVDDKMNLSSGGIDWDNFMQITDVKVDDINLDWDQIIPADKLAEIK SDEEQRKNEEYVAKLAAENAPRRATMKSRSKENDRADRLKKRQQEQQQEEEEQRALLA DPKRPLNDKEQRNLIKAYFRFGSMDDRKDDIIQEAKLAERDHEFVKSVLDDFIKSAQQ AVDENSQKVAEEEKKTGKILTKKDRKAVLIDFGELKKVNAETAIERPKQLQLLRNAIR GQSDWRAFRLPDATKAANYTCSWGAKEDAMLLVGIDKHGFGAWVQIRDDPDLDMQEKL FLEEHRVGKKEERSKANDKLKAPGAVHLVRRSEYLLSVLQSKYSTDRTAQRIVENHHR NNKKTTVSNGHRGSGTASPAPHTVKKHRDRDRDDHRPRSNAADEGGSSRADFKRKHGS SYQDDHSPKHRRAEDNRRSSKQGLDRERDRDRDRTEHRRHKDDDGGRHDKHRYRYDDD RRREDRRRDDDRYRDRDRDRDRDRDRDRDRERERDRGRDRDRDRDRARDRDRDYGRDR DQDHRDFRPQEERRAKALRRLDELRRIGDNKDQRDRDNEAMIWYLLKPVRENFERILS TTKDKVKSSKERAAIFGAELVAIGTFLDERLPVTAADESLKNNFWDFLAALWPVDDTS KSVTGQRLSNMYRTLHARHKDSRSSSTRTDGA UV8b_01974 MADKLTRIAIVNSDKCRPRKCRQECKKSCPVVRSGKLCIEVTPE SRLAFISEGLCIGCGICPKKCPFDAITIINLPTNLENQVTHRYGPNSFKLHRLPMPRP GQVLGLVGTNGIGKSTALKILSGKLKPNLGRHDSPPDWEDVIKHFRGSELQNYFTKLL EDDLKAVVKPQYVDQIPKAVRGPEKSVKALISSRASLNNVEEVANVLELNHIMDRDIT LLSGGELQRFAIGTVCVQKADVYMFDEPSSYLDVKQRLSAAQMIRSLLRDDDYVIVVE HDLSVLDYLSDYVCVLYGQPAVYGVVTLPYSVREGINIFLDGHIPTENLRFRDESLTF RIAEGTDEFAIDKSRAFKYPKMEKQLGNFRLRIDSGEFTDSEIIVMMGENGTGKTTFC RLLAGALKPDSKASVPDMRISMKPQTITPKFEGTVRQLFFKKIKQAFLNPQFQTDVVK PLKLEDFIDQEVKNLSGGELQRVAIVLSLGMPADIYLIDEPSAYLDSEQRIIASRVIK RFIMHSKKTAFIVEHDFIMATYLADRVIVFDGKPGIDAHANRPESLLTGCNTFLKNLD VTFRRDPTNYRPRINKQGSQLDQEQKLGGNYFFLEETPDKSS UV8b_01975 MHAYGSPAAAAWQEHHTPDGRAYYYNSMTKVTQWTKPEDLMSGA ERTLSNQPWKEYTAEGGRKYWYNTETKQSSWEMPDVYRKALGQSAGPPRYPQNGGQSH ASYDHHQHREFRDSGSEFRHGGYHGNDSKAHAFVPPASDEPEFASPEEAEAAFIKLLK RSGVQPDWTWEQAMRAIIKDPQYRSIRDPKGRRDAFEKYCQDVIMQDKERAEERLTKL RADFDTMLKRHAEITHYTRWKTARPMIEGETTFRSTSNEEERRQLFEEYVAGLKKAHK ERQTKLRQNALNSLKGLLPKLNIKAYTRWPEAQDILSAAFKNDETYSALTKYDTLVTF QDHIKSLERALNEKKQHDKRMKYRRERKARDAFKSLLSELRRDGKIKPGTKWNNIQPL IGKDDRYLHMLGHDGSTPQELFWDVMEEEERSLRGPRNDVLDVLEDKRFELTPASDFQ EYLSVMKDDRRTANIDNDILQLIFERLREKRAVKQPDDKQSERQQRRAVEDLRAYLKR ADPPFVLGDTYDKVRPRLLKSDEFQAVHSEELRRAAFDKHMRRLREKEEDEADRGHRR NSRLSSERDVSRRERERSRGERSQRSARPARRSRSPEQDPYEADRRRAIAERERNHRK SAMAENLLSSDRGGRLSPPPPPRRERDRDRGEREQHERQRERERDRDRDRERERDRDR ERERDRERDRERERERERERECERERERERDRERNREREREYRDHDSVRDRERERDRD RDYDRPPRSRRDDDSHYDRERRDREDERERLYHRRIDRGSYDELPYGDERPSGSRRRR QDDEDEASRRESRDSKRLKTDRPRELSPQRATRPRPSSPKSPPPKEVVRSGSEEGEIE E UV8b_01976 METLEKNPDGLANDLGHEFIEQSYLSYVVPARTNTHLNEAFKDA EASNIVRGSIQQRESLFFDETVDVLLILKMPWLEEDALKTQLDRLVVCLEARVLNSRS SDRDSQPAAETIFKGHVEDISSPLTIVDEENLSEQHGSCQAVYAVWKLSVLLNRPRMR LNSPLIAFSAAASLKPHTAGDARPRGTEYLQSGMPSSLNLLESFSSDQALDGVRPRLS ALRVSRVAPVSRQQDLVVHLRALPLLQMPIFPAIHTRIRFSRPQTAPSKAALIAVLEV DLTSHVECEVLLDDIKLSTNDGTVESLHDDVDQMKLPMSCVAHDHIIFLYHIKPTPSE KPSKSVTGTLDISLTAVAQVSPGVCAPRLSMRWDSVLDFTAPVNPSFSTTAESSGIQR AHKPSQLSIGSNTAVVPLKTMPVLRPDALPGLESSTARTEAAVPDLGITMSFTGPSAP VRRGDVFSWEVNVVNRASEATARPPRKLALVAVPKRRRNDTRPAQPPSTASRRRGEPD VAGAVMDANILHALQRDSAFDATEVVCLSADTRVGPLAPGTCHVVELQFLALKEGIVG VEAVRVVDLGSQEHVDIRDLPTTIVEPAVVA UV8b_01977 MDAAAMDHLLKPSIAIKPHPPDLHVQPRVLLPLMLLPRQHLPLS SLDFSSPEPGFPRTRLVESRIKILDLESRLGCTPNVLIAKNDAKGTLYALEREANGLY VVCRLGPWVDLGQLVMNASAASYDRLARRHLAPCTLEPAGPTVTPQMHKEQKKKRAAI EAIQSLVRKKSKPQSVSQANEGGDSSCQPGVVAQGGSQQLPSAETNSEEVAATEYPSD MPQLPLDISIEPTAPISSPQNAEAILDHIRAQYFEALYRSMGSLAYFAKGPLSRARSA FRLDLESNLEMDDLVDFLKSLILTTVQIDKKYRESIPDIINKMKSFVDTSDDGAKKRR KSKMMKLGRNSLYPLEDESIRNWWKAARPELSDEDVSISSSQIKSHVSVLRTRETQLQ MILILEILALESLKSADDPGGSSLPLSAGEGSQLQEASKTPAPRRRNKHNLPLLVDVH ADRLTIWQSTASDEQLMMGDSQATQASSHNHTQPKSSPEPLKDFCVDIIVPFFSARLP ELCNAINLKLGGPVIVSPIKSQSSRASSSRRERKPGAATKRSGQPNARRTLQRALSTE QFHRRSVSRGPSNAIALMRSATSTSVSGVKREGSEPLCLKDLPKGEVLMCRAKQSPLS RVHNTNVLQGMKANRKALVEAELKDAISALRKPNREVIGRAMAEADERRASTSLSAKR AKKPSRIAIPASVQVKATPANNRFKDALAIKPEPSADMSADGTDELVPHSSVGHVVPS TGHRHGRRDALAESASPAGHCAGRTPAGLPAQPSFIKRAETYQEPARLPLSPLHGKRR TVADEASNAGDAGDASHASVDSSPPMPRSREIPGTPTRRSSLSRLDLAHSPAPGHEQA HSRQVSIYEKLGWDDDFDDT UV8b_01978 MGPYSHSQWPSWAYAGVSTSFPNYAQYSTYPAYLADSLDTYQSH NGRVAQHQQMSRTTESKPRLSKEEVEILEAEFQKNHKPSSSTKKTLAESMRVDNARIN NWFQNRRAREKKENNIREYEAKQRLEKEQAEAGDCRQSEDGRHCDLVGSSAPFPQPAV TLRQSIEESPSPSEQSCHETMSETNETSQSVETDFPSLLAHPAKQEASDANDPGPGPG YSCFPLQKCKPVVEDTDDACALSDQMDEFLSLPGSSMLGHGIKDLNGQLFTETVDTQS NLQQHLEASLAADSDMKSLEGHDIASRRNRRPAPLSIAGGRSKSYTARSSDMLRRGDQ ESCMRRVSSSSGSGRVMKSVASPRSPLHERSVDALLQRRRPSPTASGRHGSAAPPTPD TPVALQQQELVGPSVSALYPLNGKYTPPNLVIPDPTLRTPPTTPGFSDGLFHLGAGYD MAIPEETLVASGLDRMHDSVCMGAHSASFGNYVANAQCSNQQLGPIYSAQTGQTYFHF MGADDSPDYTWSEMSPSTASTASSTQQQYMSLHQIDS UV8b_01979 MPHFDHIQPTQQPPSVGFGQLSPIQAQAGLSRVQAVQGSKLLRE SGEGRFPAARVVLAPIVTLCLPACLPMQCVMQYAAPADGATALRHWSPLQNPSRVRRE EEEELEWTQTGQQISAKTAGLHYRAGTAQQQRPLTNQQSAGDSFAESVGIQLGATDKT A UV8b_01980 MLESEKSGLVVIEPYRATTLKAEQHRELHRELHRELHRELHHKL LRRNGKR UV8b_01981 MGSQPSFTQPPQRASFSGFLFDMDGTIIDSTAAIVKHWHSIATE LALDPQEILKTSHGRRSIDVVGMMAPHKATWEYVKQVEGQIPLLYGDDAFEIAGARSL IDSLIALSAPWAIVTSGTEPLVSGWLSRLSLAVPRHLVTAESVADGKPDPACYRMGLD KLNLAHRAGDVVVLEDAPAGIEAGKAAGCKVIGLVTSHTVDQVVAAGPDWVVKDLESV RVVGQHDGRVTVEISNALRL UV8b_01982 MGSHARDARDAKDAKDAKELVVLVTGFGPFREQYPVNPSWEIAR GLPPFLPPLRPDLPPVRILVHPEPIRVSYKVVRGLVPQLWGAYRGREVDAAIHIGMAG PRPFYQLERRAHRTGYKTADVDGECLDDGADGRPDDEGWIWYGQPDEIFSELDIDDVH KRWQAHSSKDMDLRISEDPGRYLCDFIYYCSLSHLSQAGRPRKVCFLHVPSDASEAAV AHGRELTMNLVRSIAESETARGHDGHGRGQGQGQGQGHGSGGGSGGGSGGGGGSGHG UV8b_01983 MAKDKKKAAEAKKTKKAEKAAKQASKGEKKAKTKAARAEGGDVQ DVDLEQVLEEYRRQQEQFLKITETVCPVPPRPRAASTLMASPADSNSLLLFGGEYFNG SLAQFYNDLHVYNIARDEWRRVTSPNAPLPRSGHAWTRASNPNHVYLFGGEFSSPKQG TFHHYSDFWRLEPATREWTKIEVKGKDKSPPARSGHRMTYWKQYIILFGGFQDTSNQT KYLADLWIFDTANYAWHCPTLPQAQLKPDARSSFTLLPCDQGAVLYGGYSRVKATVAL KKKKKKAAKGQSQGQSQSESQGQQKNVLIPKVHDDSFFLRMSLPANDAGPNTPPTVRW ERRKRPANAPNPSRAGATMTWHKGRGILFGGVHDVEQSEEGMDSEFFNQLFAWNIDRN RFMPLGLRKPRQHKKASGPDQQQQQQQQRVGRRGRAQANEEELLKQLAALETGASLDD VDGIQIERSTAEPEEDDEKPMREMPVTMEPPHVRFNAQLSVQDDVLYIYGGTFEKGDR EFTFDDLYAIDLSKLDGCKEIFNRPVEDWIESEDEDDEDDDQDGDEGDEDEDEDEDED ENDVDDDAEGHDDAGHERTPSKRTRQQGAASEAASDTASTAFEEEEKVEDDDDAEAAT AASVDDGLPHPRPFESRREFFARTSAEWQEILMTSLRWKNIQPETLAVKEIKAKAFEL SEEKWWDCREEVTALEEEQEAAGIQEVVSLAERGDGGAAGGAAGGARRR UV8b_01984 MIASTVVHLALAVACSLLSPGAQAAELDPASLPSLLDATLEELR RGLDSNLFTSVDLTKAYIARIKEVSEELRPVNEINPDALRIAARRDAQRKNPKKKLGP LHGIPVLIKDNIATLDKMNNTAGSYALLGAVPEKDSTVAAKLRRAGAIILGKSNMSQW ANYRGFSSSNGWSSYGGQTKGAYFPDQDPSGSSSGSGVSSSLGLAWASLGTETDGSII SPASVNNLVGIKPSVGLTSRYLVVPLSEHQDTVGPMARSVKDAAYLLAAIAGADKKDN YTSAIPFTGKLPNYVAACKADGLRGKKLGVPRAIFPPAEAENVPIMEAFESALKILQE AGAELVQDIQMPGASEVNGPAYNVVIGADFATDVAKNYFAHLKANPANIQTLEQLQEF TRTHSAEEYSTRDTKLWQNALDLGFDNSSPEFWGNYTQQLYNAGPLGILGALTNHSLD ALVLPADFMPSLPAIVGSPIITVPLGKQPAGTGVTKNRMGNLNTVAPNLPFGLAFAGA RFSEETLIEIAYAFEQKSNVRQTIEPYVKPKTELKDIVEK UV8b_01985 MSRSGEPQIPNVSTSYAMEPAGRLPLTDECKHYQGRHQVPWDIQ KYFSQRYSLFSFYDEGVYLTDDAWFGVTPEPVANQIAQDMATSIDPSKVTLVDLFAGA GGNTIAFALSQRWKRIIAVERDASTLACAQSNTELYEVDPSLVTWIHADSFEYLQLLH AQPAKLHPHLRIETEETVLFASPPWGGPGYSTDQVFDLSNMQPYNLAKLHSSYKNMDH VLFLPRTSDIRQIAQLAPEDKKVHVVQYCMMGASKAMAAYVPGRCSESPEVRHGGAEG TKKIS UV8b_01986 MFNALNRFMSRLDGDQTPRRNEQGSFGFQVLRNTNLELAIEPWF DYIVGINGRPIEDANPSLFAQEVRNCSGGTVSLGLWNAKGQRTREMHISVPPDTASLG LTLQHSPIALAANIWHVLDVAARSPADQGGLLPYSDYILGSPEGSLHGEGALGELVED HIGRPLRLFVYNNEYNVTREVTIHPSRDWGGEGALGCVLGYGALHRLPAPLSEPVDAP GETMFDGDVGDKSGSAAFVPAFESGSQAAASTTPPPGGEYVVPAQMVGLDPVAAPPRP AAKKKDRQRGANNFMDDYFKEQEQKSRDLDKAPSSKSSGAPPPPPKAGGPPKAESSTE Q UV8b_01987 MAPKKNRDRPLTPTPALAAHQDAAPAAAPLAAKSSATKSGRANW DDVLLNIYQYYMKETPQRTKLIDAFLLFLVAAGGLQFLYCILAGNYPFNAFLAGFGAT VGQFVLTVSLRIQTTAANKSDFPSISPERAFADFVVCSLILHFFCVNFIN UV8b_01988 MPQLENSSYLLAALTAGGGIMGYVKSRSVPSLIAGTAVGVLYGL GGYRIQNRQPYGVELSLLASVVLGGSAIPRAIRLRKPVPVLLSALSVFGLVTFGKAYQ QR UV8b_01989 MHPHLHTKNALACEDIIAALEECHNRGFMHKATGGCNDVKQQVN RCLKTERGKLQAENRQAAKAKRDKIKEEQKALGL UV8b_01990 MSAQAAAAQAPGKKQQDLQTAYSNYKTTLQQMAQKIGDIEQEAE EHKLVLETLEPLSADRKCFRLINGILVERTVRDVVPALKTNQDGLKKVLDDLVKQYKT KQDELDKWKKENNVQVVQQ UV8b_01991 MSDSPQSPPKDVDQGAQSPDDEGQMNDHQDPHSAGGTAGYEFEV KEQDRWLPIANVARIMKNALPDNAKIAKEAKECMQECVSEFISFITSEASEKCQQEKR KTVNGEDILFAMTSLGFENYAEALKVYLSKYREQQNQSNRERVMENSWGGSMLPGEKG ESATGTDFATGEGSSTAEGGADPNYMYGQHAGHNGAAAGDGY UV8b_01992 MRPFTPKSRLLPGPASKKSVTPIKSILKPVSVLGRRTTLHDGLD SSSPAPESPTKRRKVFFDDIRNVTYEFASRTMDDVKLEVRIALEDHLRGNDGQYDTLK EMFANDKQSYLPPVVGEQDDTLRPHELQLYVKALTSCIPILKGKECNGLVKTILDCSW LGRDAVFAKVFTHFLAALVSAQGSYLVPVLSMMVDKFHDSRPSTWSVPDFPRVSRDTM RERLHSAIQYLLQMFPSSVAVLETLLGSKFPFPDESMRVHMAYIHNLLQVKTYVPELQ DEVLDLVLNRVVKLDSQMQVDLEDLDDDVAAAVMYALREDQRQPEAWEDDNSDESDTE SVQSEDVDYDPQAHRIKSVKESVEKMDAILDTLFGVYSPHFGNPGSDEAFTMFTTILR EFDQIVLPTYKSRHTQFLIFHFAQQHERLTDAFCGQLIATAFQPNTPNVLKQAAAAYL ASFVARGALVPASLVQTIFVLLLHHLEQYRRKYEPLCRGPDLKRFHPYYSLVQATLYI FCFRWQDLVVSAPETVDADDPVSYIGQDLEWVGTSRKDLSVQLFGKLNPLKVCAPVIV EEFAKLAHRLNLMYVYPLVENNKRVRLTQFLSSTYATGGALRDAGYEDQGEAFHQLDP YFPFDPYQLPTSRRWLAGDYVHWKAVPGLNEEEEEEEDDDDDDDDDDDDDDDVLVEET ATESDGHDSN UV8b_01993 MALDPGSGAIAGSPESSGRVSPIPRQWRNRLGGEEIPIKDKAYR RYATSIEKSLSLFETALEEWADYISFLNKLLKALQSRPTSFDLIPAKAMVAKCLSQCL NPALPSGVHQKALEVYSCIFAIIGKEGLSRDLPLYFPGLASTLSFASLSVRSPYLDIL ETYFLDLDSRSLLPAMKSLVLALLPGLEDETSEDFDRTLRLVTRFKEAIRPHPTEELG ASHTSGDAFFWQCFFLASITSHGRRSGALAYLVRHLPSLGPVGSSETGRNDTKLKLYD VVTSPEPGLLVRCFASGLADEHLLIQRGFLDLLVSHLPLNSVVLQTRVKPADLELLIK AAVGVVTRRDMSLNRRLWAWLLGPEVTVSDGDPNEQNQAYPSSRTTYFEEYGLQPLTR ALLDMINDSSFANNAERARPYRICLSLMDRWEIGGLVVPEVFIPVVSSVRKFRAQSPS KSAFLEVFRSASVFFDGIESGLIYGEILSLLEKAIGPGSLNAEGRREILDLISFVISN FNIREEEMITTHTPLACLAALCMLDGFKDRSTKTSLTETESTLLGEEVLAVIVSLLDL VPDRAFPQRPEDSGDKSDSTDPIPPSDMEVLKKIRTFYVQEQGNLESSVPPLTAVEAG EMLLDMAVKNTCDDISTEIISSCDITTRVRILCLILSKTPASYKLNVERLVTYVHDKL TENAPMPFPYFSSLLQCLIQLHAAKRIDNGRLSDLVTPFVRHSWSYLSASEPKYHVET VRCLWQLQTALTLAGHDIEAALSAILVRWGSDPQGSSYYAEDARTFGVLWSHTLQDAS SERRASKAQPFDPRCALRLSGMDHYQVMLGRPTFLVLDALLDDRTQSYMMVKSWLNST IGLDRLFILFVVKLAQLPFLNDTTMQRANDKISPCHTEFTEDDDLDLCLYYLRTLHNV LQCSGDVSRAIIASKYVAFNGSLAHIRGGPEDDEMTLQDFFIRVCMRCIVGELPRLAT IELEERASRLQSYALTMLHQFLSSRFATTSLSGLELDIILIDRLSTSIVPSNPYVQVL LLDAVYDALKLRDVAFHEGQTLQIPDGQLPSTDSTRAGPSSPTINEARPAPLAMPASL LGCLQTALSSPNSQPVLDSWITFLSNVIALYSQSIFQVAIPLVETLCNHIDSTFVTLR DKFGSETADKTATGNTPESTLIYLLNGLEQVLAFAHDRLLAEEAHDQVMKGPEQPQSL FGSMVSGVFQSEGPQSRSATANDRLTVHLAFQDAMRICYRIWSWGQGGDSKKQDAVSS ASFSYTSLRMRNRARRLMEHLFTAEILECLETVIDIWRAWGTESGKIQVLNFLSSLDA CRPRHCVPALFNSIYSRTNPSALDPSRKSTLTISLQDSDLVLFLVEYSRSLEDDAMDE IWSDCVAFLKDILSNPFPHRQILPGLLEFAGILGEKVDNTNFGEQRRMRRELGDLFLR LLAALFTTRPLNFTESTVAYDAPERPSKPEGSTRQAMVMEGPDDVVSVLASVVPKLPK ILLEPDRVLSAASTISANAVGPTLRSKSFPLTFSFNTLRLFHELSRLQNNHKTWRKDV ADAFNDNRFFGMNLELVKTGWLPLLKNWILSDKDRMLEIVARISPPTTAGIVFGVGAT SARLEADRKMQLNLRRIATLILAAADDNFVAELPAIFDKLVELLNATSTSSPSSATRA DVYMVLRALVLKSSPIHMAMFWPVVNAEMHAAISSVVAPDHSTASDTYLTTGILQACK LLDLLLCIAPDDFQLHEWLFITDTVEAIYRSSTYQPVAIVDELSEELGSCGGASLPSE TEAHVAAGKSCRRPLLGTGGINDHVNLERKDELVAKVLRPFFGQLSIYAFESTYAMRS LDSDACIDGLLKDLFDERTMVKAL UV8b_01994 MSTDHTSPARVEEQPPPLPPRRNVNAMAESRGLQAEATTAVTPM EIQTLSYPDGSRGTFSTPGIRSAPSPISSGYESPGYKASVSNGEGFDDAASVLSFAPT MRPAGDIASLMAADFHKHSPAWQLLHSQSATIKPFQHVRSSSHDKLAGFDQEFHDIPK QDDRNFEEEQRLSLWTSKRKHYMILSSAGKPIWSRHGDLDLINSSVGVIQTIISFYEG AKNALQGFTAGGTRFVVLTRGPLHFMAISKLGESEAQLRSQLDALYMQILSTLTLPTL NNIFVHRPSSDLRKPLQGTESLLSSLADSFTLGSVSTLLGSLECLKLRKSERHSINNI FLKLRSEKLLYGLIVAGGRLVSVIRPRRHSLHPSDLSLVFNMLFESDGVKGGGGESWI PICLPAFNNNGYLHMYVSFFEDEHQSGTEDPERSNPDAASEGIAMVLISTDKEGFFDL KQMRDGVAKALAKSGSLEVIRKALRAGRPLVRQVAPGSQISHFVYKSRANVQFCMASL QPWFVKMVDRRRLMSLYHELHASVHAKHAHLKVLHCSSEEATALAWITPVFEFYCAGG PNMSRSAMTQGANKIIQWSKKEEQRIFIIGGGVF UV8b_01995 MDVARPQIKTASNGSLHNAYKTTIMIPTGALPRGHIAAQRRPLR NLSQNSILLSTTGLPTGLMKTTTETGGLAALSTATPAAYYQPPVLRPDIVAGTPPPRY TPKKYEDCYYADDSRPFRSYRDTTSEIISLYGYDNHGFYMGSASPLPDGNAQRSSSIT TNGSRQLSCQKSSAAFPGHISSAGLQRPRSPFPYPTRLKRPGVRPASPALAENGWIDY SRMVELDHVSQRTVHGSYRPSCRNSSRRQPPLSLRSDMNYSTTSLPSRTSPGAYLPMT RTRRPRTPSSSQSGASGRGLFERHARFPFDRGTRSPSLTSIVGMYQRPGTSRGSRPST QTVGQFYYDYSEDFEKPTASVHEADQRPAMDNTHQYDDRERNHHSTPIKGPESHITNM AKYKASGGRYDACLQSQVSAEEEGHETASVDGPGSSDGSNETPHLDLLSIRRLSQSAP PDTKKHLRDSACQPLGVDLRASIHQIRFSRSSQTSQDLLSGAAGDNLSSGVTNRDGMG AMRCTLDPTLPDFASIFSSFDKLAKSPCFSRIGRRSSQLDDAENTFTSVHDISRETKH RNLGSVHEVFTGDDHGVELVQEGKLEGDEREFDILSPEPISPVQELKVKNSIPRLMKA LPPLPSDSLRVQKPSPGVVQESPPQSATMDHDRGCHGQKLHQEADFLLEERDRNRNSQ CSPSKFRVRVKPSLSPARAPCSVECSGQQTCSPECPLPASNPERPRLRLKLSRRQLQR NRFAVGEAFAMNNRLKQCNSLADLAVYSEAVTKTSNKGGTISGDSKLEPNPQVPSGCQ DKNVDDGLENSAGASPDPSDPFNIPYPTSPESKPGTKESISSSNKDTLVQRLSSSSDT TPYQEGGIRKKMSLFRLRIAESLATNAAKKTGNVEGLQRSRSHLSMSMTWKESEVNLD HLTSGTARGRNGKSDWMTSRLKRWATDAKRAVRSYVRRTLDRSPRWSE UV8b_01996 MDTALTQERRSAVENPPSIHNAPGRRAFHKLPDEIIEHILLETD PNGFASLILLNAKWRATSQRPHLYAHHLSQCPSYAASHPPIPPADDEHLPLLRRLFAR EVKRNLFEAYLRPKRTLVKLVSNSISSSSCPGGEGMQFSFSPRGKHVLAYNSSRIHVL AVYGPSVQVKREFKIQRRPLSACVTDDATILAVLSNELQVDVYDLRASPPRRKQSLIL DNSPRTIAISACGTVLAAAYDGGIEVSALDASALPTQKRAVKCDAVDALAFSPDGTQL LGTTVHSSPPSTVVLTAPYYDPGNQMLAEDLSAMWTTSILFPNTSRDCSHATWLHDGE RGEPEWTFTYDRCFETFRAVRLDDLRNGTTYFTGPIPRAASQTKLVPCTLPAATTTTT TTTRGGLVSAGFQGRDIWIYGVPEDLDAVPEASSASRSAASSNISGLARHGSTRSCLS RHASSSKAHDIDEEQVPHWQVLCDKARNNLVAGVRVIELGGVSGVKWVQQSEDSASSH EQLVVTATGVVSPGLASDKEDMDFVDGGRIAVLDFDYGILDGETVEVTIEVGADNVEP LEEERRDLETDVAIVRRRTVAQKRRGSAVTVQAATMAGEHGPLAPALPANDDGDDDPL VPRMIGQHPVYRSGAPPPESEPEDSAAIEEQEALDAPYAHSSPRSNTTLRRAATAAAV NRRLNPRTADGRLIEYRRADGRAEHPHESDADNWVPPPPPYQKDDPGDLPAFLRGPSV APVPNTIFSPIPPIAPPAGETPSFSLTWALHQPDQAKGNGMQCRRAHQRTASDSTTVS RQRMMTDMPRPRSTPSVHSMQVDDIYDITPQGSPRMPICQPTGSTWCTETGAVPQSGC GEPPSSAVLSGAGLAAAPLNPGSSAGGVPALHLPMPDASCLALALEPAAAEGGANAGL LSHAPTWPRQLAEASDSTTPPRHGLLYTATLPNVTLNDAAAAAAAAAAASSSSSSAAL PPAPSSSQVASLNKRISQGNPRRLSGNILNPSLWTDQGRSVTEPERIRTPRDGGSTAP MRRRGPLDASLILSTSEHDRPLIISTPTGVSGSRDPPMGEKLATGAETAILAPTPRRP RPNRLLGPGGAAEQLEYMCGNQPAPAPLPPHMRASANALPMWMRTPSNSSRRTASSAH RRRSRAERSAIKNIKDAKLRGWTRKRAKNKEPGADGGGWVDVRAPAQQRTGKCVMM UV8b_01997 MNGLPTPLRLLLLAGAVSSSPAFFSRSDKCAAAGLQSCAGHGLP DVFCCSAGSRCIPLAGGSTVMCCPDGSSCDGILPITCNLAEQDPATNPQAPIKTLALS SKLPACASSNLCCPFGYACSGDGKLCTKSQDQSRKPDGYSATAPAASSSSSSSSSSSS TTTTSSLSTGSSTTSAASSSPTDGPSGTGTQPSSSSSSATPAEPTQEPGSASAGPQRT SIIGGAVGGVLALLLLAVVIFVCTRSRKGPAPSEKPHAPRSQSAGGGGGSGSGSRPLY GHIISAPIASPNSSYRTDFIRKSRSSRSSRSTILPRSLAGRFSRHPPRVEQTPPNPRI SIPNPFDSPNLSGQSPARSAFSITSYDDRHARTGHVGAKLAPIRALKSSSSCSRRVST RHVDADREQPPPPPRSQRINVFADPRTVAGRPSAPTTTTADNRATSFTDMMDEADMGD VHRGAAYVPGCTNTHVPGTTPRI UV8b_01998 MLAPARPASLRWLYIAAHLAHQVGVETWVFPFLSYLLRTTAPAV SLLACPVHETSEAIQEELTRSPRTQTVPVKGSCCGRITHSRHYRCFIISDPHPVSEIP KSSNPESADPLRCYPNSM UV8b_01999 MPSSPPDHRNCSKRVVIQSVAKMAAAQLPKAKLASKSSNGVSKK KTQMHRRSRTGCYTCRLRRKKCDEGTPMCTACKHLGLQCEYKRPVWWSNNDARRKHKE DIKGIIKRKKMTEKTSTSVNTSVSPPPSLSHSLLTSATYSNSVDRTRSASIDSHYSAG FDFNSPPDVLDLGPYNPHLDPDFMFNGYLPYEVDIKTERQMFVNDVPTLRESHVATFS TYQTPPPPGTVLPCGQFENGWVESLHEERRLSLAEEALNVNFFDFAHGPHNSSRQVRI ELEESDQRLLDHFIQYVLPIIFPILESNQHGSVGSDLILPALQSNSVYLHCCLSIAAQ HLKTHAKLPAEEIDQDIMKHRYETIFSLCESLKRDENHQQILEATLALIFYQCIVGCF DDGLLDIAWHQHFQAAISLVQKLDLPRVVSDPAGQAGPTPFNMTLTGWIDILGATMHG TSPTFAHTYREKHLSPVNSQLGLRELMGCEDRVMYLISEIACLDSLKTDGMDDFTLCQ HVSALGEQLTLTEVGDTGPRIPYNANGTLSPKQLCKNITGAFRIAARIYLCSLIPGFS PSQHSPQGLAEKLVNVAQFIPSGPHGYDRSLVWVYLVGGSVSLPGSSFRAFFEDRVAQ LGDAAMFGAFGRMVTVVREVWMSADKLAQVATPGSNVMHPYVSWRDVMRTKGWDFLLI UV8b_02000 MNRIDAHVSGAAGGENAGCRPRDIITAKTSSKCRRQRGFSFSFF SILILFFLLLYFALWIPWSGHPALHLLPFYHFPLSQFPPLLGQGEKLGFSKTVIHFED RDSFPVQAFLIHFSAFYASHVFYTQDDATQARAREHDSGC UV8b_02001 MGGRKRLRCFEGTKGEPKPVSVTAQHHAGCSERLNQSVNPCTTT PDSPPQVLNRNEVGAKTMRTIGRRGLQAAASGAARA UV8b_02002 MEEYAHHPPVKGRLKEMLQKPIPHSFFSIKHEAQCHRPTSVVTE FVDTDWDEELLSDFEDNSPRTSLQSSGQPSFTTVSSYDEALTPRSSRGQESYPEVCPL QVQGPRGPHLFRALTDPSPVDEDVVLTLSPITPKIPGRMGGPPVRPQTPRSNGQFQYT DAELALSNLSSWTPEMVAQSMLNAGVGLLTADRFIENDINGAILVTLKFEDLRELDIQ SFGIRTKVWHQIQILRESRPPSPRMCTPIEDDPGQEARVETVKKPCENGVKRHRSSKQ RPRRKPLGEEDIVHPMESVSIIGIEQVVPKAHHCSKGENCSKWRRQQRLIEQFKELHP AADVKNGGTVMIYGDAGNPETARAIDPNETLRPLSDAVPSVVASSDVLGPGGLRPLQY LQEATLRNVQARDAQYNVRQFLNFQQGNANSGQVPPTPPFELMPATKPPHHGLRYLPK LSIPNQIPQPRGGPLREAAVSHQADSQHQAPQVAQQKQSPHHLPKQPVRPTGFTPYRM DKAAPLSPDLEPCRNPYRFGSPFSELDVPVLTAVPMGPVTRNVSQSVPPDMSYRAAPA TNTVTRARSQSRASSRRPSFSLLAAVNENTDLLQLGRTPSPESAWSPVRRVEPPQQQQ AQQQAQLQNSTLAAPPRFNYPWSPAGRTRFEHALPPLSTLGPGQPNEATATGNGLITC QGPMKKRKTRLLRHEWLDGYFTLKGTRLHMHKDIGQQDRTLEYIDIDDYAIACSSLAS TSKLSAAFKAVHLSHKREKSEPVGAFSFQLIPQDKNASTRLRKRDSSMSGTSGTSGNG CPSEGANGTGKTHHFAVKNRDERIDWMRELMLAKAMKQKGEGFEISVNGNMI UV8b_02003 MFRPSPWLPRAAARSLRLGLRSSAVHARRSLHRVPTLPHDYSQG GVPNLMSPGGFALAWSDYMSLMVEKLNALTDGTELEDKDAKTICLLTAREPNLAPVFN YASMAHNNHFFFKGISPTGTPMPSALQAELAACFSSIDTLRREMIVTASSMFGPGFVW LVKAGQGDFRILPTYLAGSPYPGAHWRAQSTDMNALGHDGSAKGYFKNLVHGGRKRGP DLPPGGIELEPLLCLNTWEHAWLLDWGVGAGGRGGKTAFAEAWWELIDWEAVADKAGS IRPEFKSAN UV8b_02004 MQPLLDRRDAQHADFAAVVGVDAPSAVATVLSSAVSAAVVAVAA AATGAPTTAPSSVPDPDPPRRHGSEGECQLLGSFALLVQAALGALALLSLVFKRCRER PQRPLKIWFFDVSKQVFGSVLVHIANIFMSMLTSGRFMPMLTSGRFSIKLEPGAAQAR AEDPYVPNPCSLYLLNLGIDTTLGIPILIILLKIITGLVALTPLGKPVESIQSGHYGD PPNAWWWLKQSLLYFCGLFGMKLCVLIVFLLMPWISQIGDWALSWTEGNEELQIAFVM MIFPLIMNALQYYIIDSFIKQKQAGGGHERLASDDADQAPLRGPYRSRAPRASRARSV DGHADDSDSDSDSDADFDFDSHARPLRSKRSRSSVDDEYDPAYHGDAHAHPVVGSGSG SSRSRVDGLPIGRELYPKE UV8b_02005 MPSVDVSLARSLRPTSWELARSALAQGFTQALTGRSIIADTENK IADVKTAFSSWDKCMKASFCKWPVIAIIVIGGLVLFSILWCIARCACCGLSCCCSCFQ CLKCCGNCCGCFEPRGARKGQYLEEPYIPPNQGYQTGAPMQARFAPPVTQAQLPPPAP TTNFEPPQYAEFDASKKGAEDSLPHMPSWEQAESKRVAVEEAVEMSNLEKSATFRGDR QRTQHHPSPEPVSPLSQKSMTYGNQPYGGGLAAGSSGYFSNHSQQGLVPDAQAPGYGV SSHSQQGLVPGAQVPGYAVASHGQQGLVPGAHVAGYGQSGRGNSPANEYDRVYNNRLN NTSHESVGFGLDEPYDDHVSISSANTQNFAGHTTQAYGAPLHQPHETVSSQPYGATGG AVLRQQTISPLDPMYGLPPASSAAMGPGGRQSPAPTQAQGKQTCGQDQIHERFIEMPA MPQGQSNGDGCLQTQRAQISPVSPAAPVELVGSAFPQAYGLGRSPAGQVGSPVHGELA GSTAPQAYGLRSPPAGDNASPAHSELAGSTPSEAFVRNGIPVHGELAGSTPTQAYGLS RTPTGEVAPPALGRPPHGMNSRTRNPTGPGPSPGPRGGGPHGRDRPPRGSPAARNDEG YGRPSQPPRNLVVSTRSYSPAPPRQLAPGSEPRFSPGPERQLSAASDGHRAPQPHPAS KAASRTGKPFCQSPPQSPITNNAGFDFISGYARPQDSQPPPRQSPTSAAYPGQRVYQP GHL UV8b_02006 MAPSAFAVAADGLASHAKRAYCYSYGYTYTCNNRWYDWGRWVVL AGVVVVVTLILFSCAFTARRRRRRGAQPMYGTGWMAPSGKVGEQQQHQMNNYQQGYDQ GYMYQPGYQQGYGQQGQYNAPLAYGQQPQNTGTTFNPNDGYYGHQQHQQHQQQHQQQY DVQQPQSTYQRDNTSYAPPAGPPPGK UV8b_02007 MESLQLSQVLADLSNLGAAEPEAAAAIVSANVPVIKVEPRPSAT STSTSIPQSPTQRPSSMKRSWSSEPTVPPKFDKLGRRILISSSGSRPGSAANSIPGTP RPSDSNVDDDLERASTLMALYDIRAKIKQQDNSSLLRAREKINALAAKQQAADRNMKA SDELRRHRYSFPKPGL UV8b_02008 MAAPQQPLTFNDVFDDEDVDEPKAANTVHHIRANSSIMQMKKIL VANRGEIPIRIFRTAHELSLHTIAVFSYEDRLSMHRQKADEAYVIGKRGQYTPVGAYL AGDEIIKIAVEHGAQLIHPGYGFLSENAEFARNVEKAGLIFVGPQPDVIDALGDKVSA RKLAIAADVPVVPGTEGAVAKYDEVKAFTDKHGFPIIIKAAYGGGGRGMRVVRDPESL KESFERATSEAKSAFGNGTVFVERFLDKPKHIEVQLLGDNHGNIVHLYERDCSVQRRH QKVVEIAPAKDLPAETRDAILADAVKLAKSVNYRNAGTAEFLVDQQNRYYFIEINPRI QVEHTITEEITGIDIVAAQIQIAAGATLSQLGLTQDRISTRGFAIQCRITTEDPAEQF RPDTGKIEVYRSAGGNGVRLDGGNGFAGAVITPHYDSMLVKCSCHGSTYEIARRKVLR ALIEFRVRGVKTNIPFLVSLLTHPTFIDGNCWTTFIDDTPELFELVGSQNRAQKLLAY LGDMAVNGSSIKGQIGEPKLKTDIIIPKIIAANGQKVDVSEPCQNGWRSILLEKGPKA FAKAVRDYKGCLIMDTTWRDAHQSLLATRVRTVDLLNIAKETSHALSNLYSLECWGGA TFDVAMRFLYEDPWDRLRKMRKLVPNIPFQMLLRGANGVAYSSLPDNAIDHFVDQAKR NGVDIFRVFDALNDINQLEVGIKAVQKVGGVAEGTVCISGDMLNPKKKYNLEYYLDLV DKLVALDIHVLGIKDMAGVLKPHAATLLVGSIRQKYPDLPIHVHTHDSAGTGVASMVA CAKAGADAVDAATDSLSGMTSQPSINAILASLEGTGLEPGLDPRQVRALDTYWSQLRL LYSPFEAHLAGPDPEVYEHEIPGGQLTNMMFQASQLGLGSQWLETKKAYEHANDLLGD IVKVTPTSKVVGDLAQFMVSNKLSAEDVKARAGELDFPASVLEFLEGLMGQPYGGFPE PLRSDALRGRRKLDKRPGLFLEPVDFAKVKKDLGKKYGAPVTECDVASHVMYPKVFED YKKFVQQYGDLSVLPTRFFLSRPEIGEEFNVELEKGKVLILKLLAVGPLSENTGQREV FFEMNGEVRQVAVIDKKAAVENVSRPKADPSDSSQVGAPMSGVLVELRVHEGTEVKKG DPLAVLSAMKMEMVISAPHSGKVASLQVREGDSVDGSDLVCRITKA UV8b_02009 MAALFKAANAKIRSNPVLNYVCSTHFWGPVSNFGIPVAAVLDTQ KSPDLISGQMTGALCIYSATFMRYSLAVTPKNYLLFACHFVNECAQLTQGYRYLSYHK WGGKEKLQLEKGVEAAKDKIGEVGDQVKNAVSK UV8b_02010 MMRVSQLSPSVSLGFVTLLSLGHAAFLEKRDLFLPSDGELGNGW AYQGCYVDVGRTINQADTADAQMTNQKCTQFCFAKGLPYAGTEYSSQCFCGSQLATGG VEAAAADCSMACGGNGTQPCGGPNRLTLWKSSQVTGPSVNPGTGNWTSIGCYSEGKTG RALSQVFSVPGAEMTVAKCTAACRAANAGNVLAGVEYGGECYCGKTLSNGAQPTGSGS CNMVCNGNGTEYCGGPGALNLYDYGMQYPTSAISGSISGRATDSPSGTPSACPVQPTA VGAFAWAGCYTEATGRRALSDKTYAADDMTLAACAQYCAGYTLFGVEYARECYCGNSL NTGSAKAPSSDCNAVCSGSSCELCGAGDRLSVYTRGVDVDPATSSTPVSTSSASASAQ GGPAATGLPEGWSTYGCWVDGVSGRILNTQLPDDANLTLESCAKACSDAKYTIAGAEY SRQCFCGNQIVNGGVTAKSDAECNTPCGGNPQQNCGGGGRITIMSLGQPQVLAPPGVI PVVGSWQYQGCYQDNVNQQKTFFWQNFMETNMTPKRCLDLCADFGYMAAGLEYGKECY CGDPANIDARGSKKVDDKQCNVPCSGNASALCGGGNLLSTYFWTGEPFYSWSFPPAGS PDAGSYEFLIGGVCVPLITSQAINGKVTFLEKWGTGPPNSTGAYELDLSSIDNFKLAW REMHVKTDIFCAGGLTLPDKAGRQINVGGWSGDSTYGVRLYTPDGSAGVPGKNDWEEN AAVLKLQQGRWYPTAMIMANGSILVIGGEVGSNSAPVPTIEILPYTGTKPLTMEWLQR TDPNNLYPYACVLPSGGIFVAYYNEARILDENNFSTVKTLPNIPGAVNDPMGGRTYPL EGTAVLLPQHAPYTDPLGILICGGSTNGVGNALDNCVSIYPDAANPKWALERMPSQRV MTCMAPLPDGTYLILNGAHHGVAGFGLAKDPNLNALLYDPTKPLGSRITVMANTTVAR LYHSEAITLLDGRVLVSGSDPQDGVNPEEYRVETFTPPYLKRGKPRPTFAIDNKDWTY GQKITLELGSAARNGAISASLLGSVSSTHGNSMGGRTLFPAIACSGTSCTVTSPPGKY IAPPGWYQFFVLDGGIPAVGVFVRIGGDPAQLGNWPKGAGFNPPGV UV8b_02011 MSKERRLCTQKRRRRDSDHATAQLVLESLIQELPACRGHVTHMD YERQTLALPTTELFLFSLEPIFAGVRDPREKLELLDTAHGEKQQQSHCQPIPLQFARL RARRSSWGMALCTRRRDPSDVAGILRA UV8b_02012 MPEAQGSESQASSQPRNQHRGRYRGRTGRNRPQAEGSSSPDGSA PGPSRTEASPFPASSSTAEAKVQKSPRSDHQGSRAPRRGGGGGRRTCGQRQTRPGGHD SRAPKPPARRAFGGHLTSGATDSDDGPSAVIAGLSADAPEFIPGQPVVARSSKPLKPK ALVHPKIKPPKSTAGDLGTRIHQDIGNLNYECAICTDDVSRSSHVWSCALCWTVVHIK CAERWYEKQKKQPDLQPPESQREVTWRETDYENGWSCDEICGDLLPCGEHSCPKACHS GFCGDCGMTVQAKCYCGRTVKQIPCSEQEEPRPSFDKVVKSWFEGVFTCNQVCQRSFD CGVHKCSKTCHPQEEQPAHCPFSPDSVTHCPCGKTPLESLVDQPRTSCEDFVPHCREP CHKKLPCGHLCPSKCHTGDCGSCYETIDVPCVCGRTSSRSLCHQGRIERPMCTRICQA NLGCGRHKCGEHCCPGEKKAAERQAARKKHKRPEDAASVEAEHICIRTCGRLLKCGTH HCQQMCHRGPCASCPEAIFTEISCDCGRTVLQPPQPCGTRPPDCRFHCLRLPGCGHPA VEHSCHPDGVSCPKCPFLVEKWCACGKEKLHSQPCHLQEPRCGKVCGKRLSCGLHNCA KLCHKPGDCEDAGPSPKHCEQPCGKAKLFCEHPCRNTCHGQSPCNESSACTAKAVLRC PCGLRQQELKCLASSSNPAPSRPDIKCDDECLRLERNRRLAAALNINPASHQSDHVPY SDTTLGLFRENPQWAEAQEREFRVFASSPNEVRLRYKPMAPALRQFLHALADDYGLES RSEDVEPHRYVVVFKGARFVSAPTKTLAQSAGTREKQAAAASRAPSPPPPPPPAAPQA ADPFNSFLLTSPRFGLTIDDVRAALHSDLAAQPSVRFDISFLPSEHVLLRAVPSYSAF LAPTALHQALASIKPRLRESVQAARLAAAVVLCHADPSGTVTRRDDANTARAAGWSAV ASRAASKATTSAAGPAQEPASGAGRRLVGLRRKKKIDRQEPWASQLDGEVEC UV8b_02013 MPHRSDMSSPNSPPASTLTGYMEQTVSALSSVASYMRLPALAST GIAAAVTSLLYFKQKALIYPSHMPPNSRTDVPRPSQFGIQDFEELVIPTEDGEKLSAY YIRGPRNTKNSNVTVMMFHGNAGNIGHRIPIARMLINYVGCNVFMLEYRGYGTSTGEP DETGLNIDAQTGLKYLRQRAETSGHRLVIYGQSLGGAVSIRLVAKNQEAGDIVGLVLE NTFLSMRKLIPSVIPPAKYLTMLCHQVWPSDSTLPSIHKVPVLFLSGLQDEIVPPSHM RQLYELCNAPGKRWKPLPGGDHNSSVLEEGYFEAIADFVAEVTSEPAGEKSRT UV8b_02014 MVSFSCEACGDVLTKKKLDPHRSRCHGATFTCIDCMVHFPGVQY RSHTSCISEDKKYQGALYKEKTRKPKNTKAADSQLNNLADTMSLQPYVEDAREDRAYE PWNGSPGPAEDAPSHAGALPEAPSPPAAVTEAHVNVFDFLVATGQTPNASNMSLALEQ ENLDVAGSTSLVRYQERVSEDYPTQDDLKTLHAAGPVPSNEAFITPGSKTERHRNRGS DPKKERKRKRLHVDVPGDEIMTDAPPVLHSGLTDGLKGLMRPVYPPSPDYSGGDAGDN SPASPLKKTKHSKRPRGEQVSHSLFDMITRGVIKSPKKSKKTSKMSKKHSHRHRENKD PKLIEFRPQSKDSKADSNGHLVVFKPRADAFLSFVNKGPESERGCSMNKALKRFHRER QASGSSLPRSTEEKELWRTLRMRRNERGEIILFTV UV8b_02015 MSPAPSPSPSPSSPYPLAAAPDIVRAHQKDAYFTGHLANTLSDL CRRLLGARSTHALAPELRSLAALLYFALTTLPGNRTLGEEYCDLVQVESSPLGRLPHV RRRAAYIGGAILLPYLAGRALPPLRARLRGLVDARLESLRRKGGRSRREARAWEYVSD RLPSLTSAAPAQAVTLALFYFGGTYYELTKRLLSLRYVFTRAVPESPDRGGYEVLGVL LVVQLAVQGYLHARSALSAGAPRERNPGASALQSGTVEVSLSHANSYAANSDLLLANL GGGPPGAQQSRLDFASTTRTPVSPEPRFDLAHDEVMRYIKGHQQRKCTLCLEELKDPS ATQCGHVFCWECIGDWVREKPECPLCRREAMVQHILPLRTI UV8b_02016 MDGDPAVESQLDAFSLIFPLPYRVGFIVTLAVWGWGSNLHYLHL HKVDVPALIRYPGRPSPHHIPHHLSTYRLATVLSALFAASLLIFWLCTWGVASRVIDH DWIPMTYLVALVAAFFVPLSNLPSGGRRRFLATLKRVSVGGIAEAQDGKFGDILLADV LTSYAKVCGDVFVTVCMFLVSGGSSTQRPDRNCGGTVMVPVLMAVPSIIRFRQCIIEY LRVRRAPYKESVGWGGQHLANALKYSTAFPVLITSTLQRSTDDAAAKASLNRAWLAAV LLNSLYSFYWDVAKDWDMTLFASRADRTSPHHPWGLRDRLIFRPVALYYVVIGLDLVL RCTWSMKLSPHLDKFSDFETGIFLIEFLEVFRRWVWIFLRVETEWIRNSSTGLGVDDI LLGEYQGKPGDDE UV8b_02017 MDDTPPAASPSPPPPPPPPRAASRGHKYSSSSPALIHAYANRPL PPLPPFSYPRSGDMPPPPCRTQRSSRSVDMMDLARSHATRSDHSRSDAAACSWQASAD DAAPDAARASTTTTTSLYPSPRNSFAPSGRGMARSSQKIFQLTGLDVDVDVVDSQSIS YPGGDSDSSSTRSRVRFDDSGAPDFYLVPVLEADNDESSSRGSSWGPVSPEAASVPAP LNIQKPTLDDCDRNSEHLGASFTQLRLEEDVVHPWQPSRNSHLSGSGGDDDDDDDDDD DDDDDDDDDDGFLGEYHRFAANLASQDSMQLLPDGALERASSSRKAKRSSLSLAFTTA SRFSRRRDRHDGDAPPASPKLVGTDKGLAKKQQQQQQQQRHRHRHHHHHHHHHQQEQL SAKHSVSARSICAPDTPRQPADVAPPRPPPAPPLMSAWDSDSDDDEASAASTLRDWFT QRTSEDGKRQKQRTKPDDAGAERARLMKREKAMKRREEFKRNFSTIQEELGLQVHSRY L UV8b_02018 MPPAAAAPYPPAQPLDALHADDTVDSPADADADADADADAAPAR RLGGDQLFASTDGTAAEALGRLLLQPDLVPDECESLYYDASTMAGLNAFSQAALDRLR AYEPPPLPLWDALPASRRAAVLVLLYADRWGDLRVVITMRAASLRSFSGHAALPGGKA DGPDETPYQIARREAFEEIGLPMDDARLPRPFRLEPLCCLPPSLARTHLVVRPCVAFL HADRTDADRTDADRTDGDGDGDGGGGSPGPLVDESLMPRLDAREVAAVFTAPLYNFLK AGDLPPRPGQKDLPPGPWYEGAWTSWRDLPWRVHNFYVPVNNQTVARPRRASAQGNMG EALEAQRERQGRFKVWGMTGRLLVDAARIAYAEDPEMEHNESYGDGDIIQRAQDEGMF DDAREARRLASNEPAKM UV8b_02019 MDHAGMDHGGHGGHDDMCSMNMLFTWDTANLCIVFRQWHIRSPV GLVSSLLAVVLLAMGYEALRALSRRYEARLDTAISAMPHRDRLAETVPLLAPGQTQHQ AGRRGHLVKACLYGFQNFYAFMLMLIFMTYNGWVMVAVSLGAFLGYLVFGETTSATKD NACH UV8b_02020 MLSTPINLPRWLEENSHMLQPPVNNYCVYNQDFTVMIVGGPNAR SDYHINPTPEWFYQHRGAMVLKVVDHDGAFRDVVLRQGDMFLLPPNTPHNPVRFAGTV GLVLEQRRPPGSVDRMRWYCASCPGAVVVHEEAFHCVDLGSQIKQAVEDFSRDDQKRR CRRCGQLADWAPAQGSVPDPNLGDVS UV8b_02021 MLVLGRTMATMAAPSALQRAGKVVCIGRNYADHIAELQNKKPKQ PFFFLKPPSSILLPGQGPCLQPRGVRMHFEVELALVVGKVVRDLRADDTQGALEAIKA YAVAIDMTARNVQDEAKKKGLPWDIAKGFDTFLPMSNVIPKAAISDPQDVELFLQVNG ETRQDGSTGLMIYPIPRIMSDVSKVMTLHPGDIVLTGTPAGVGPVVPGDVMRAGVRVN GKEVEEGKVEVRVEQSPSSYEFAET UV8b_02022 MISGRGGAGARGRIRPPRRIVRPNVAGEGADFEDCWNMLKEALR DIHNKSCGRLSFEELYRAAYKIVLKKKGQVLYERVKQFEEQWFAEHVIPKIEVLVTKC LVSVGVDNKLSSSVSERRQTGEKFLKGLRDTWEDHNVSMNMTADILMYLDRGYTQQEP NRVPIFATTIALFRDHILRSCLKSNSSSLVMDILVSVVLDQIDMEREGDVIDRNLIRS CSRMLSCLYDADDETESNKLYLTVFEPRFLSNSESFYSAECERLLREGDASAWLRHTQ RRLNEEVDRCGTTIELETLPRVSAVIDEQLIVKHLSDFLSMEGGGLRWMIDNDKTEDL AILYRLISRVQEEKTSLRDILQKRVVELGLEIETVLKNTDFTTMQQPEGGDGEGPAQG EKTRALNPAAQQTAAAIKWVDDVLRLKDKFDNLLTQCFQDDLVIQTSLTKSFSDFINM FSRSSEYVSLFIDENLKRGIRGKTEAEIDAVLDKAIVLIRYLLDRDLFQTYYQRHLAR RLLHGKSESHDVEKQIISRMKQELGQQFTSKFEGMFRDLATSSELTTTYRDHVRNVSA GEKVVDLNVSVLTTNYWPQDVMGRQSTLGERSRAACNYPSDVQRLQASFEQFYLANRN GRKLTWMGSAGSADVKCVFPAVAGKPGLLGKERRYEMNVPTYAMVVLLLFNELEDGDS LSFEEIQAKTNISTADLMRALTAIAVAPKSRVLAKEPPTKAVKAGDRFSFNSSFQSKT VRIKAPIINAVSKVEDTQERRNTEDKNNQTRAHIVDAAIVRIMKSRKELSHSQLVSEV VSQLVGRFKPEVSLIKKRIEDLIVREYLERPDEEEAPSTYRYVA UV8b_02023 MKLVRFLMKCANETVTIELKNGTIVHGTISSVSPQMNTALRTVK MTPKGQDPVALDTMNIRGSTIRYFILPDSLPLDTLLIDDAPKPRNKARKEAERGGGGG GGGGGRGRGGRGGGRGRGRGRGFGRGRG UV8b_02024 MLPTPDTSHVPYSRVYEPAEDSFLLLDTLSSPPEQRFLRRAFPP ASPAPLVLEVGTGSGVVLAFVNAHAHTILGRRDVLSAGVDMNAFACGATMRTVAKAVD DTGGASGVHLGACVGDLASSWADGTVDVLIFNPPYVPTAETPVRPEGFGSGSGSGGGG GGAAAGPTVSWDDEAYLLSLAYAGGRDGMETTDRLLGDLARVLSARGCAYVVLCAQNG PAGVRERIRARGGGWRAETVGSSGKTAGWERLQVVRIWREREDA UV8b_02025 MAAHTRRHITLPPPTDERRPLLGRQRSNYHGSAEETGDQVYSCM SNPHSHLPVYTNIHRIRRDIISVVEDYLSLEQLRDVRINVTVIRPLVDKFYELEDISI IYCLLVNRAQFLVEEGYSGTRHNVNWTRATLCELIATRILRRFGEDHDGPDGLLLLAH VLVAGFEPFQNAPEHIRKEAEAKTSWTLHRTLPSLEVAILTESKHFLSSSTCHYVVSA IYDGRVIYTPSTFWDIIPDHYKLKPISLYDPRESPLLNQYRLIVPRNRNILEQIQFAI LLTLYVGVMLVREKEGVTGLEAAFAIYAFGWGLDQFATILAHGWGVYTQNLWSFLDVT FVCIYAIYLVLRLHGFRLGIAKPGEQAFDVLALAAPALIPRVAFTLLSDNLVLLCLRS MMADFFFLTALSAWCFFGFLLALLWLGDGAHPIATISKWMIYIWFGLDGTGIQRSTEF HWLLGPSLMVAFAFLGNTLFLTILVSMLSNTFSNISANATAEIQFRRAVLTLEGVKAD AVFAYQPPFNLLAVFFLLPLKFVVSPRWFHKIHVALVRLVNLPLLLVIAVAERRLLWP SKPGSSRTIAPRAKRWFWHKWQLSAHRYLRAVFQVPPPEVVHGDIAVDDDLTQHLIRR QFTRQPTAEGQEPQLRKPSRRDSMFPHKMRGSFSEDAGSLEAMAAKMQVMEKSMQRME LLLSNFVSVDDEAVVDGSEDGLDESGTLRESTAGFTTEASNI UV8b_02026 MAGRIRQPIDEASFSKYVAENVQEIKLPIDLKQFGFGQSNPTYL ITAADSSKFVMRKKPPGRLVSRTAHKVEREYRVLHALRNTNVAVPRTFSLCCDDSGIG TPFYIMEFLDGRIIQDFTLPEATPEERTAMWRAAVETLARLHAVDYREAGLETFGKPG GFYGRQVRTWSTICLAQEAVEDVETGEQVGRLPHFEELVGFFAREQRQPTDRTTLVHG DYKIDNLVFHKTEPRVIGILDWEMSTIGHPLSDVCNLLMQYYTARHAGAAPESAAGFL PGRTLGLPTEQQLLCWYADVSGYHPGPDMKWGMAFNIFKLAGVCQGIAARYARRQASS DEAKQYAVTRLPLAEYAWELAQEADNEELAAKL UV8b_02027 MAATAAASGSNYPYMHSDPSIPQTSPRVGDGIKKDNRQSPRMNN MSQMPRRMSQVTSPGMPSAQGMMNHGGGRPGVAPPQMAPGQAMQHAQSPEMPAGGAEE SPLYVNAKQFHRILKRRVARQRLEEQLRLTSKGRKPYLHESRHNHAMRRPRGPGGRFL TAEEVAAMEREAKGEDGGKVEGRDAAPPKGSDPSSNKRKSEAEPGSPNKKTKSEAQRS LEADTGEDDG UV8b_02028 MPGMKPKLEKLSTPLTATFPSEITSACSATPLSAATLQSKLEPD LVKTPISPPSAYTDFLSKAMALNSPSPTSGTSSPDSLKSSLETEHVARLPKVDESSPP STASSSKPSPPGTAPIVPPSPFPTSAPMSAPPTGNASFPSLKLPPSPAISHLDSPLSA STVRSPFSARSVHSVFDWDAALKARFSDKRQKPSRTSVRHIREVVTRTVTYTPRMDPA PRRKRPKVEVASD UV8b_02029 MKTLPENYEADDSSASASVSASASASVCNNGVGRPRKRRKQETT RSSELSDSGLSPLDSGKDEAESREVYGGDSRNDLATAHGVTAFEQVLPPTTTDEHAIK DYEQIRCSQSANDDKAPVGDGNSLWVKGRSSIYVDAFNLALDTVLADESELFDEKEME VFRRWRLLNYEAKYLYVRLFLRKTAAWHRCSRLGYYSDISDPELAIRTLQSAQSLPLQ RPTHASESAPELDLERYCLGDTFTFADASEDHIDSVDEAASLLLLDELKVLAKEFKVQ GRNKAELIKGLCRASKQQVGLMDLGLSRQNSRDCETPASGGDSSQPNERARLTRQGSA PSHGRLLSRITAVLGHCVRLSPPTFHLFERVHLVFYRSTEWTEKSLTTIILAKMARRN FPEYVVCRTSTIFASRRHLLEFEAAIRLEWEVDSIVESSGVPSEDGLDRIMDIFDTVH PRWQQHVREEREKERTVYEMGEGAYLRRFNPGHSYTRLIVKALWVLGRRKQHAREHEL LEELLEQKLFHLARRGSWYQRKALLEEHYMANHDADIGGTGGSERRKKKWMRIAAATC EAGLQDADCHLIHHYDLQKRLTRLERKLRIPRRLQHDFGHVRLAKPLEMSVEGIQLKR ALTPKAGARARAVSTRTVWLDELGGGGECSVEEMCLSHFRRRGWKGYHAEGGALRTMF AYLFYDILFVYIPNVFQTAYQTCPLDLHTDAFFPARASEINHRLVEIANGGGERLVRQ VWEREHARRTSVVGLNWDFDVDDMAELVRGFEGSALAAVCKVMAQEYRHRGGGVPDLV LWRRAEEEEEEEEEQSSSSSSSSSSSSSAEAALAPGQPSGEVMFAEVKSANDVLSDSQ RLWMHVLTGAGVKVALVNAVAREVREWAGNACTA UV8b_02030 MADAVVKVETPPEASHASSVIDKPPGANPTAPTQLSANTPQKDV VMSDVPIERTASPAPTNVAPSPAPGRTGTPAQGSRAPSLHPDSGFTMPSEAPAHGDSA RRYLNTKVTGVLLEGMKQLAKNQPSDPLRVLGEYLVQKSKELEGTT UV8b_02031 MGRLHSKGKGISASALPYSRAAPAWLKTTPEQVVEQICKLARKG ATPSQIGVILRDSHGIAQVKIVTGNRILRILKSSGLAPELPEDLYMLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLARYYKTVGVLPPTWKYESATASTIVA UV8b_02032 MTKPSRVDREDRRDRHRDRDGHRDRDRDRDRDRERDRRRSRSPD HRGGHRRGDMVDVDPYSSSRNHRDREREDRYSGRDRRAEREWDRDRGPSRRDARRDDD ERPARRERDGFDDRRRGGGGGGPDRRDDGGLARQQEARRSPTPPRPREPTPDLTDIIS VLERKRRMTQWDIKPPGYDAVTAEQAKLSGMFPLPGAPRQQPMDLTKLQAFIKEPNGG VSSAGLKANNSRQAKRLIVSNIPPEISEESLISFFNLELNGLNVIESSDPCTLCQFSG DRSFACLEFRNAIDATVALALDGISMEADDALDGSDAEQQGLTIRRPKDYVMPAIPEH WGSDPDMVSNVVPDTVHKLSITNIPSFLTEDQIIELLAAFGRPKAFVLVKDRSTEESR GIAFAEYLEPGIANEPALNALNGMDVGGKKLKVRKASIGPTQVANFDVGITAISGLAS QRSLHAGKGRVLQLLNMVTPEELMDNEEYEEICDDVQDECSKFGTILEIKIPRPIGGS RQSAGVGKIFVKFDTPESCYKALAALAGRKFADRTVVTTYFPEENFDVSAW UV8b_02033 MSIKRLSGWRKLPVSLSELSIDTTLRCGQSFRWRKMSNEWICTL HGRILCLKQDAEHLHYKAIWPKQETNHPSTSSLSSGMDRALYDDTEDLIRQYFSLDLN LGHLYEQWSEADSNFHKKALEFTGIRILSQDAWEALICFICSSNNNISRISQMVHKLC NHYGLLIGHIDGVPLHDFPTAKALTDDGVESHLRELGFGYRAKYIAHTARVVALEKPT GWLDSLRNPLRPGPRVSRQDSTATDPTYKEAHEALLSLAGVGPKVADCVCLMGLGWGE SVPVDTHVWQIAQRDYKFGKSKTRTFNKAMYDAVGDHFRKIWGDYAGWAHSVVFTADL REFSGRKAKAEAVVPGEVKTEVMEDLGFHGPPKRKSAAQALLRINTKVEDVEGSNLVH MTASYKRRRIRTRS UV8b_02034 MSDADYNTIAKLQRERNDAAATKKSSRVPDASAQRTDVGRQKLT DNADSELYGGNEVDKLAGYHTSLPMGDEDEDMDEDKTRRLVGQYTASREMIDEFAKGN GVEEDDILAGKGEKSGRITDRETDYQKRRFNRALTPTRADPFAENRQAGAVESGTTYR EAMEARELDKEEERVRKAIQAKLSSEGAHTDAQPTLKDVDKENGEAGSTEAVTAGRKR KQRWDVSSTPATEPSPTDNAGDAKPKRSRWDQAPSVGAGETARKRSRWDQAPSATPMG NQGLATPMHPTQTSTIMPPTFGTDLNARNVPLSDAELDMLLPGENEGYRILEPPPGYE PVRAPAHKLMATPAPAAGFMMQDPEQVRLGGRPIPAELSGVGDLQFLKPEDMAYFGKL NDGADENALSVEELKERKIMRLLLKIKNGTPPMRKTALRQITDNARNFGAGPLFAQIL PLLMEKSLEDQERHLLVKVIDRILYKLDDLVRPYVHRILVVIEPLLIDQDYYARVEGR EIISNVAKAAGLATMISVMRPDIDHTDEYVRNTTARAFAVVASALTIPALLPFLRAVC RSKKSWHARHTGVKIVQQIAILMGCAVLPHLKGLVECIAPNLNDEQTKVRTVTSLAIA ALAEASNPYGIESFDDILNPLWTGARKQRGKGLAGFLKAVGYIIPLMDEEYANYYTSQ IMEILLREFSSPDEEMKKVVLKVVSQCAGTEGVTAGYLKEHVLDEFFKSFWVRRMALD KRNYKQVVDTTFDVGQKVGVSEILERIVNNLKDESEAYRKMTVETTEKIVASLGAADI GERLEERLVDGILHAFQEQSVEDVVMLNGFGSVVNALGTRCKPYLPQIVGTIRWRLNN KSATVRQQAADLISRIAMVMQQCGEDALMGELGIILYEYLGEEYPEVLGSILGALRSI VTVVGISQMQPPIKDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPESVNAREWMRI CFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQSRVNTAVAI GIVAETCAPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMAKDYVYAVTPLLE DALIDRDQVHRQTAASVVKHIALGVIGLGCEDAMVHLLNLLFPNLFETSPHVIDRIVE AVEAIRMAVGPGVVLNYVWAGLFHPARKVRTPYWRLYNDAYVQCADAMVPYYPNLDED KIDRPELAIML UV8b_02035 MTMTLDASQQQRFASPLQFAYPSSHGQPPAFSNPWSSSSSPQSA PAAGNPLFVGGPQHSAIGSSVMAAKGPATRAGSSSASSMASYGSMSVSTTGADLLSMN RMTTSSGYGDATYAASASPVSGPFAPASTAAYDTIGYAPAPIRQPAFGLGPEADSVRR GFPQPMSCQADERRSFADALDASHGMLAMSQETPRNIYGTRHDRSSVESYGFPSTHST SSSISSSGNFGAYYGDSVSDYSSAAGSDIESVHSRTLPRPPGLMGSQPPPAPQSMMGQ FSSKISSSTQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFACEVEGCGRHFSVVSNL RRHRKVHRGDARSDAGSEYHQSD UV8b_02036 MRLPLCQRRSKRSSVSILHPTFVALSALAPSLAEAVHFNPAPSA NLDFSSLGRIAIAGDFNGISLYEYEGQIARPLPTNGSDSLLGRLPNGAFVPVMSTDAS IRAMCVLRNGDGGLKGVVVGGNFTSLDGIQSTAVALFNPNSTEITSLKGLEGEVNALY CDDSQNIVYVGGNFKGSNSTNAIAWSDADGWINLPFAGFNGPVNAISKTSNGHIVFGG SFTGLGNASTPSVPDLQIVNLSTANITSTNGATTEGFKDPKSIVCSAGKDGPGSTWLA GDRVPATWEAKFGFGFQPTKLRLYNTRQDGRGTKTFRFLAFPINGIMNFTYVDPSTGN TATCTSECPLSHNDSVTFQDFHFVNKVGMNSFQIAISDWYGAGAGLSGMELFQDDIFA YAIADFNEPKCRGVQFPSTATATGPWKQSPSMQSNADYLAASLTGDINSNSASVVFTP NIVESGNYTVNMYTPGCMPDGSCSTRGRVNVTGVMSAGAVSAGFSTTLYQTNYYDKYD QIYFGYVDKSSDTFKPTVTLTPLAGQDVRSLMVVAQRVGFTLTKSTGGLNGLYDFDPA KKTADVLSTLENSAINKLGSEFGRNSAVKSLVTSGDTLFVGGNFSSKSFVNALAVSGG TEKATSLDGGLNGPVIDMHLQGTSLFVGGGFNATLDKNQELNHVAVYNIQSNSWSPLG GGVDGVVHHVVPMQLNLSTSTPETAISLSGSFSECKAFNGSKASRVDGFAVWIPSRSN WLQNLDQPLPTFDGVLTASLQNVSGQGELFAGALSSAQLGAQDAATLDSQGLGAFPFK IEPAARSTTTTLDRRENVSNGTLQGVVTGTFYSADNSNITVLAGHFAAQAANGSTVNN LMLIDGGNGGSISGLGSGISANSTFVAVALSGSVLYAGGMVTGAVNGAQVSGLVCYDL SSKSFGSQPAPISGKNGTVTAISVRPDSSEIYVGGSFDRAGALSCQGLCIYNANSQQW AQPGNGFSGEVTGLLWASKTSLVVGGDLAADQGGKQFLVGYDVRKETWSTFAGADIIP GPVQVMTPASRDGSQVWIAGKSSKDGSVYLIKYDGSRWMSANQTLPADTVLRSLQVFS LTKSHDKSDLLKENQVLMLTGSIMIPGVGIASAAIFNGTHYLPYALTTHWGRTPGTMA KIFTQKDDFFSTDDGHMPLVFIVLIGLAIALGLILLLVVAGIILDRLRKKREGYTPAP TSMVDRGSGIQRIPPQQLLESLGRSRPGAAPLV UV8b_02037 MVWPFESRTSTRDDQEANSSTNRRDPWSEALGRAEAGPLAAARE WAPVVVISLLGLGALQLYANYLRRIPGAAFVRPSFFRSRSLFGRVTSVGDGDNFHLFH TPGGRVVGWGWLRKIPHSRKELKDRTIPIRIAGVDAPEGAHFGRPAQPFSDEALRWLS DYILHRNVRAYIYKRDHYNRIVATVYVRRFLLRRNVGLEMVKRGLATTYEAKTGGEYG GIRSVYEKAEAEAKRKRKGMWSGSPSQFQSPREYKSQHQQKSES UV8b_02038 MLLLDYQNVLIQSVLTERFSGAPAASIDQTVSDFDGVTFHISTP ESKTKILLSLQIRCFPDLVQYGAEEVLQREYGPYVTHTEPGYDFSVLIDLENLPAEKE DRDALAMKFSLLKRNAMAAPFEQAYQEHYELKEQASKFTSEEAPQGVREGGQVKAIRY REEEAIYVKASHDRVTVIFSTVFREETDRVFGKVFIQEFVDARRRAIQNAPQVLFRND PPLELEGVPGVPSAGAGEIGYVTFVLFSRHLTAQRMPIVISHIQTFRDYFHYHIKASK AYIHSRMRKRTADFLQVLRRARPDSEEKERKTASGRSFKVQGS UV8b_02039 MVKSYLKYEHSKSFGVVVTNTSNIVWAAKERAGTGAGQAFVAAN EDVICWDVKKGELVSRWRAERCRSVVTAIAQSKTDKDIFAVGYDDGSIRLWDRKISTV IVNFNGHRSAITTLAFDKSGVRLASGSKDTDIIIWDLVAEVGQFKLRGHKDQVTGLFF IEPEPQIEDEVGSVGVTLDQDTSSDGFLLTTGKDSLLKLWDLSSRHCVETHISQTNGE CWALGVSPDLSGCITAGNDGEMKVWSLNAEGLSASSRLADTPAAGRYLLDRGVLHRQS KERATEIAFHPRRNYFAVHGLEKAVEVWRVRSETEVRKSLARKRRRRREKNREKNKSQ EEEVENEDDGAEDISEADVSDVFAQYVIVRTGGKVRSIDWATSGNQKDLQLLVATTNN QLEYYNVPDRKKVERKKDDIPDYSRTLSVELPGHRSDIRALSISSDDKMLASAANGSL KVWNIKTQACLRTFDCGYALCCAFLPGDKVVVVGTKSGELQLFDVASASLLDNIQAHE GAIWSLGIHPDGRSVVSGSADKTAKFWDFKIIQEEILGTKRTSPKLKLVQSRLLKVSD DILSLKFSPDAKLLAVSLLDNTVKVFFVDSLKLYLNLYGHKLPVLSMDISYDSKLIVT SSADKNIRVWGLDFGDCHKALFGHQDSIVQVAFVPHNSDGNGHHFFSSSKDRTIRYWD GDKFEQIQRLDGHHGEIWTIAISHRGDFLVSAGHDKSVRVWDETDEQIFLEEEREKEI EELYESTLTTSLEKDLDAEDENGEVAAATKQTTETVMAGERIQEALEMGMADLNLMKE YEEAKLSSPSAPPPQRNPVFLALGVTAEAHVMSVLQRIKASALHDALLVLPFATVPVL FTFLNIFAMRSMNIPLTCRILFFMIKTHHRQIVASRTMRAMMDGIRSNLRAALRRQKD EMGINMAALKVVSMQLRDSSIKDYVDENWEDESHERSAKKRAFVHVA UV8b_02040 MLFFSFFKTLIDQEVTVELKNDIQLKGTLKSVDQYLNIKLDDIS VVEELKYPHLSSVKNVFIRGSVVRYVHLPAASVDTQLLEDATRREAATHQAKK UV8b_02041 MGAVARTTNRSMTPRKPMTAASLSRKPAKPNGSILNFFQKTQRP EGSLFVGAATGFTGHVEDNCGRDDDQRDESTSPNKKRKVSGEQGIALRDEENSSSGQF ECSKLGDPKYPKNNSRLRVPFLMDSDSDGDDGDDGDGNYDNRRDTSPSHTCNSIPQSL DIPSKQDLKPSTCKPHDEQHSVSGNTAGEEHVSPLYDKFSELEGDAEMDLLDLDGFEG EELREMRFMQEQARLEAEEAGTLNEDFGEDFFIDESATQSCPICNGNLTSLSMDDATR HVNSCLDGNPIPLPSPKPEKPKRPPVETQAAEISKRFARAAIPRPGQASPFQLENGTK ACSAKSAFSELMSNKAEDSAWAAAAAAENASRGRPAYERTCPFYKIIPGFNICVDAFR YGAVKGCKAYFLSHFHSDHYIGLTANWTHGPIYCSKVTGSLVKQQLRTAAKWVVEMEF EKSYDIPGTDGATVVMIAANHCPGSSLFLFQKPAETGPKRRGKRILHCGDFRACPSHV NHPLMRPDVKDELTGKLSQQVIDICYLDTTYLNPRYSFPPQDDVVKACADLCASLSPD PNCKDDVWEKGAKEEGTRAVSKYFQREKQSGESKDLPKSKLTSRLLIICGTYSIGKER ICVAIAKALKTKIYANPGKMRICKQLDDPELSDLLTSDPIEAQVHMQSLMEIRAETLQ EYLNGYKPHFSRIVGFRPTGWTYRPPNGKQITANTSPSTISTQQLLHGRSWRTRFAYK DFVAQRGSTKEAMCFGVPYSEHSSFRELAMFIMSLRIDKVIPTVNVGSEQSRKRMKGW LDRWSSERRRGGLTHPLVEGQDDEDNKRTLLLWDDRSGKGGGVWW UV8b_02042 MSSLFNTTATLRHVTALPENLNPAKGIQLLHDHSLVIQSNPLMT KYEAISRPTEHQPKLPEGRGLDGAGSPKHYRVTDKVHTLPAGLWDSDVVSTYEFFNLE NGVFVRIRSPLDTTMETVWSVQERAEADGHELVEEVVVKCSRLILGAVKSLCESGWRS MHEKMVQMMQHEA UV8b_02043 MAALVPRWNSVSITRQKAFEDAKKMQKAVVEVCSKSGQEIPRYH LSELIGKGSFGRVYKASSQITGQLVAVKIIDIEESDTANPKLADTYSDLIKEINALQL LSESGAKNINHVIEALPVGQSMWMITEYCAGGSVATLMRPTAPGGLQEKWIIPILREV AEGLRWVHGQGIIHRDIKCANVLITEVGHVQLCDFGVAGVIETKFDKRTTFIGTPNWM APELFDQSTSYGTEVDIWAFGSLIYEVASGLPPNVSENIHFSRLGTHLKQHTPRLDGD QYSAGLKDLAAYCMQHDAAKRPAVEQVQRHKYIFKTEDAYPTSSLAHLVRAFKLWEAQ GGDRRSLFSAGGAQGLSDRASTAADNNDEWNFSTTAAFDQQLLHNGDAQDVYDVYGTD VDFSQQAFEETSRPQKGKARRRPPAQLPSVKAPLEKVFDPNTLSNYEDNSRAYYGLPF QAPVSDLPLRDQSAASADVRESLIDLDASLHGSELSQFVDLGTLRAGDSRASMDYHIG EQLFTRPPLSDPVDLSNNRRTQDWKFPTTAPPASANPETFRFPLNNDATASASGPARP PLLHHPTEPYQTVVAFDQSAAPSNTSTMDHRASTGSLIDLDMSFADSGTDYTRPSTSH SDVASMSGSEMGAANPFELEKHASLYIMPTSIREPSIYVSDDSEYSGALGDFSDQPSD NEEPLHQEDGGSRATPQDYADPRPYSLSEFVDMDPEDATPQPTAGPDVSNFATSTSRA PSPQPSILAHTPDPYQDHMLPPMPVAPSPFVMQGQASVGDVKRELRRLAMSLGDHLNH ANACLSNLPIRGAGVTKPETVIDSS UV8b_02044 MDQLLSPVRTTYLRAKTNQASPAAAATASPPPQISSADDVLSVL KGQPDHDSLVAVLRFLTDRRGQRGFDIHIPSPRSAAIVSVLVNETVPNYWPVLKGPSL VEEEQEPASALPRSPDARRLVACLQSVAGINALLSRIRADVQESRLGEQHVKRPGPNL HLGVFLDFLAAVLDGDGSLRRLWQSSTARDARATSNNNNKFQSQSLIALITGGRVIST TAEALVVAGGQDAVPARARWLTAGVEFSRWIGRNVATWAKLQPDDVSELDFCSDIFHR SLSLGYAETVVTIAIDELLLSKQVLQRALFAEVCLRQPQASKKVMAILLEYLARRFLS GLQPENLEPDARVSAAAGLISDVLRNDAGRISHLVSWCTSPSGAGLGDAIGIRRAVVA VLARDKETVASVLDQSLSQFGDQLYIKHAAILQQEAHAQVLLLSAGYVAKLAPLKLNM TLRSASYLTTISNRIASTHARARFLGMCVGEALSALVDKPATKLDFNMDESNVEEAQW FKALTKTSDEVGPAEALLLSSPPETPAEETPKLRQIAKTTTKSTARQHKPAAVKHAQR AIIEEIDSSDNDEEDDDFPVIDKASDREDSDDDATLVRRDRPKPPVYVRDLITFLRDS ESYDKQALGLRTAPVLIRRKANYGSEVSSHAEELARALMGVQNKFDIENFDDLHLESM VALVVAQPKPMAPWFARTFFEGDYSLSQRTAVLVTLGISARQLAGIETSEYQSISNFP SKRLPEKIEQLYLDGSPETRKDSQLKALPPNALDSITQSLTASFLGPLAAEAADANSG PDALKLQTFAARYKSKLKGARPRIRAIANSTAALLATCFFFPLTAHFQFALRSSKPVV LNPAMLALYLQTLGVVVNAAGPSTLSLPQITAELLDLLLRVRVHVLGDIGALKGWLVA MSVLLEVNEENARRLCQEQGREVVESRDWVSGVFERIRGEDGGEENDVKMLAAGVLIK LGDMIERSQALLLGDLIGFN UV8b_02045 MTIGAAHGTNRKYLDHIRRTPGPFTDPDATSEEFLAQFEKVKVL GAGGLGCEILKNLAMSKFKDIHVIDMDTIDISNLNRQFLFRSSDVGKYKAEVAARFVE SRVRGVSITAHNNRIQDFDDSFYKQFQLVVCGLDSIEARRWINAMLVSMAEESENDAD GVKPLIDGGTEGFKGQARVILPSITSCIECQLDMHAPRAAVPLCTIASIPRQPEHCVE WAHVIAWEEEKPFPELDKDDPQHIAWLYQKALARAQQFGISGVTYSLTQGTVKNIIPA IASTNAIVAAACCNEAFKIATNSAPCLGFENNYMMYSGNDGIYTYTFKHERKEDCPVC GRQARPLPVNPSTTLQELLDSFAARPEAQLKKPSIRAESKTLYMQHPPGLEEQTRPNL AKSILDLGLEDGQQVVVTDPAFPLEFNFYLKFKI UV8b_02046 MVSPQLASWRSPPSAEELERRNTVGINLETVTDVTSVDFPGHYP GEDLAYSLDRFRDGFSVEFHRNEALNASFSLMGIDASLANAFRRILISEIPTLAVENV YVENNTSVIQDEVLAHRLGLIPFDGGRQGLHNFLKWHKKPEPGEDPYAGCFDWNTVRL ELNVTCTVNPDAAPDEKDPLKAYNNAHVYARDIVFVPTGKQVEYFSGDDAIAPVNPDI LIAKLRPPQTISLSMHMHKGIGADHAKFSPVATASYRLMPIIKILKPILGDDAVKFAR CFPQGVIGLEQVTAEEAAQAGSGYEGRVGEQKAVVRDPMKDTVSRECLRHAEFEGKVK LGRRRDHFIFSIESTGQWDSDELFLESVKHLKLKCKKLEQQVVNMAR UV8b_02047 MFGNSASRAGGAATGTDLETIQTEGLGFLPLAGEAKVRLVPSWA SPPAETASLLSIASRKGLVAAAGPEQIVVATTESVRKAFTSSDVFEPQLSIPAPTRVS HLAYTADESYLVLSAESGGGLAVYDVQTLLQGSTNALFELPTNGESLRALAPNPTAEK AHLCALVTTSGNLYMANLSERKLSNPLRSRVSSLSWSAKGKQLCAGMVDGTISQMTPE GEGKGEIPKPPNSEDCYVSSLIWLENNLFLAIYTATNEPSPSSIYHIINRQPPSTFTF QKITDPVEPFGAEKPPHHVLLRLRDFLDLQDLLIVSSTASTEVGILTRSKTPLAHDKP AGLITNVFTTTEFLEDTRRPTLPMTESMVDSVAIGVALDLSSKEKVYKPIPSDEELDQ SLGPLPGFWVLTNEGLLCSWWLVYNDAVKKGHNYPGLSVNDNNTASASTPTQTTPLKP ASFATADSSPFASTTPTTAPTFGSSSRLGQQSSSWAASTNSPAATGGAIFGSSSFGNP PSDSGLAFGKPSALGFGQSSQLGIRTSPWAARSGSRPAFGQSAFANFSNGASNQSPFG SPAPDTNTPDTTAAPVTPAASGGVFSEFSSRGGFASLSATTGNSGGFGSGGKFGTSSF GTTSDSSNTTDSAFTATQQNPSNVFGSAPFKLESSFRADAFQEETNEENSSGAAGSSL FGPAFGSALGDVADQRDQPTPSAKEDDMDTTEETPQAKSQSLFAPQQTQESTTPTTTP AGPRFGFSTPTPGTSAPGQDSKPKPASSNLFGARKDAAKPETSSLFGSAKAFDSTASH SEVKSAEEDAPLPSDTESEVDKLSSSSATVDHSRLSAATSTPLKADSAISASDAAAMS TPLKTDSAMSSSDATTPKPSQTPTDTPLPLASTKDLEPAEAPLSPNFENVLIPADKSK AEQGTPTPPSSPSSKHIKVEPGIEEDDAPLPPDFVLPEAPFKEPSNVPSIPETTDGES DLREEEASEGSGVDVANDFSPSTSDRNATPGISPQSSFSALVGNNALGTASPEERARP LFGEISRTAPVFPKPTQASPRSPSPMRGAVPPRVIRPDATRSVSAPGMASQILGSRQG QAHHGSSIISSREIPQDSEDPFMRQHRQIRERQEAEETQPLVDEEDDEVQKILNSEVE GTLQLHEFIAHSDVAPPAKESVPAQVEAVYRDVNSMIDTLGLNARSIKAFTKGHNENA AEGGRGKQDLEIPDDWVLCEINDLGDVLDSELHGDLEDGRVQDMQGKLEACQDLLRDM QRLRAKQEDLKRVVMARADPEQAELARLSPLSAEQAAQQSELRREYGAFTSLLTGAEE ALILLKTRIAAASSSSGKGSAPVPTVEAVMRTISKMTSMVEKRSGDVDVLETQLRKMR LGSSSREGSPMRTPQGRKSFVLLSPDPNPSRTFRQSPCKSPGVNVASPIGATKATPSR KKMSGLSNEEKGDVVEKRARRQIVLSKLKESVGKRGVTVWTMEEIE UV8b_02048 MPGFDFSNYNRNAALHAKGVPLPKATSTGTTIVGCIFDGGVVIA ADTRATSGPIVADKNCEKLHYIAPQIWCAGAGTAADTEFTTALISSQLELHSLSTGRK PRVVTCMTLLKQHLFRYQGYIGAYLVVAGCDPTGTHLFTVHAHGSTDKLPYVTMGSGS LAAMSVFETQWRPNLSQDDAVKLCSEAILAGIFNDLGSGSNVDVAIITQDKTTLKRNY IKPNEKSAKLQSYVFPKGTTAVLNEKIIKKSEIGRYVSVQEVPLAGEQMDVDV UV8b_02049 MPNRPNFLDMRADSNSSAASISSKPLRSPRMHIAGEAPPELSPL DAFALQSRLLARQLQESNKDGNRVSRLPPLTVESPLIVQGRSEYFRSLSADSPSSDPG FPESSSSGLGLRTEIEDAFAGEQRPQSVHTRMSRISPTSDADIPTLPNFRPDVLRGRQ PNHTQGRDGFFGARHDRSPSPMQSDSQSQHEKPFTTSPVRRSNSTQATTPHQVPASTR SSPGKTKQGSFDELGLVPPPSLFPKRSSSILSSTVPEDDETCSSMSGSVQSLARKLSS GSAAFANTLPSPAMNSSFKRSPSVASDSSALPRPSFNFSRPLSRAGTPGFDFPTRQAS SDSQPSFILTDDTAHTPVSMHSEAFMDQFPEDARGAASYIYSKFALPRGKSIQRFDPN EAMPQASNQWEQPAVLTPSVQRFPAGAPPSPPTRPSSSSAKTLPDDAMSSISSSNRPS IDAGKMSLDNSRLGTAGGSSYPLRASAELSHDPEEVARGRTPTSTMHEPSRGRTPASA TTSDSASTIRQPAAARSLASAASEMTAEDHLAKGIECHENGSLNESTYHLRLAARQNN PTAMLLYALACRHGWGMRANQREGVEWLRRAAENASIEIADDEDQVKEGRAAPATILA RRARKAQFALSIYELGVSHMNGWGIEQDKSLALRCFEIAGNWGDVDALAEAGFCYAQG VGCKKNLKKSAKFYRMAEAKGMSMVGNSWIYKAKYNDDEQPSAGKDKKKARSKSRTRT MFGLKS UV8b_02050 MPVRSRFTRLDAFTKTVDEARIRTTSGGVVTIVSLLVVLFLSWG EWAQYNRVIVHPELVVDKSRGERMEIHLNITFPKMPCELLTLDVMDVSGEQQHGVAHG VNKVRLRPESQGGGVIDVKSLNLHDETAQHLDPAYCGQCYGATAPATVRKAGCCNTCD EVREAYAAQGWSFGRGENVEQCVREHYAERLDEQREEGCRIEGGLQVNKVVGNFHLAP GRSFSNGNMHVHDLKTYWETPSGKQHDFSHTIHHLRFGPQLPESLPQRKVKNSHLWTN HHTNPLDGSKQVTNDANFNYMYFVKIVPTSYLPLGWEKTKAYMNAAGNGDIHADGGGS LETHQYSATVHKRSLQGGNDAAEGHAERQHSQGGIPGIFFSYDISPMKVINREEPAKT FTGFVAGLCAIVGGTLTVAAAVDRGWFEGAARLKKLRSKEL UV8b_02051 MSSRPPSRVVFVGNIPYGLSEEQITEIFSRAGKVERFRLVYDSE TGRPKGFGFADYPDTDSASSAVRNLNDFEVMGRKLRVDFSNEQKSGDDDSNHIPSNPT NGVATSHYGAQPSSLPPLPTGKEIPPGLSCTDAISRTLNTLPPSQLLDILTQMKTLAA SEPHRATELLQQAPQLSYAVFQALLLMGLVSPEAIQSVVDPNAPLPPVPQPAPAAYPA PAAVSAYPAANNTPPVTGLTYPPPAIAQQTYVAPAPPAPAAGAVQDTDALMRAVMDLS QAQIDMLPEADKQQIMALRATFASHRR UV8b_02052 MDYSPRLAASPRAAAELTPHDQKPSGQPRKRKGHRGGKKKRSRR KSFAALAEDSHDEGPGPSGSAMVYQVPAANLSGTSIDSEALLDHRPGSPDNRGGPWDE TAPLLSESAKKSSPVGGPSSYGASDSKAGRNRSRRSSRSSRKLATSLGAADGYDVNHP PSVPGSPAFGAIDGPDMTFGDVMIRDDMSLRSGSPGRSIDERASSEAQGPGHDHRQEP ARRPAALASEDVCFPVPGMSEIGDDDTQLQYAQPYQRRPRRRRGQWPDLTVLEEWRHY EKEDRSDERRAKRITEPQLINGRLRPVRKGWFQTVEEAPYRFTYFNEEFQSTIHSQTI SELVQPGSDFQELFIPEPRILSDDESSDEEDDNVSLATKRQRSVNGLNGNPKLPTRQP SLADARQGDTASPHKDPADVSRRVSPSGLKSPQSSVHPAPPPAPAEAVEKPVRYGDRP VWWLDVLSPKEAEMKVIAKAFGIHPLTAEDIMLQEAREKVELFRHYYFVNYRTFDQDI GSENYLEPVNMYVVVFREGVLSFHFSMTPHPANVRRRIRQLRDYLILSSDWISYAIID DITDVFQPLIQNIEDEVDEIDENILRMHTPEKDEKTAHLRDDSSSFFDSGDMLRRVGD CRKRVMSLYRLLGNKADVIKGFAKRCNERWEVAPRSEIGLYLGDIQDHIVTMTSNLGH YEKILARSHGNYLAQINIRMNERQEQTADVLGKLTVLGTIVLPMNIITGLWGMNVWVP GQEYEGDLTWFVWITVGLVGFGIACYVIAKRVYKIV UV8b_02053 MMEKSAAKGSKRKRALAAEQPTKKRRSSSEEAEAASARILSTER SILESKKNYNEIPGLLRIAEQVENGEPDAMLASVALCRVFIRLLAQGSFVARKSQAEK DAVVVSWLKGQYSQYKRVLMSLLGRGEHAVTALTLSMRLLKAEGEFFTNKDEYSFPTA FLESIVKAVVASNDEDLRRSFIQEFAEQYDDIRFFTFKSIKNLVESLAAGPEADGLFG RAFALISALDGIPESADDLQDFYVAKPRKKSHPLCSVTQHKKHGQEAWLALMRMVDTN DERKRILDKISTVIAPWFTKPEILADFLTSCYDAGGSLSLLALSGVFYLIRERNLDYP SFYAKLYSLLDRDVLHSKHRSRFFRLLDTFLASTHLPAALVASFLKRLSLLSLNAPPS AVAVVVPWIYNMLKRHPACTFMLHRVVRDAEAKQQVKELGFTDPFVPEETDPMKTKAI ESCLWELVQLQCHYHPNIATIAKMVSDQFTKQAYNMEDFLDHSYASLLEAELGKDVKK APVIEFQIPKRIFVPDESAAPANNLLVRLWDFGTHGEVIDVT UV8b_02054 MASRLARSAVGAPLLRPVFPRRALPALSAVAAARHASNVPAEEP KKKAQSIIDSLPGSSLLSKTAFLSSAAGLSIYAISNEYYVMNEETVVAICLLAVWGGL IKYGGPGYKEWAESQNQKIKNILYSARADHTEAVKGRINDVKQMTGVVDITKALFEVS KETAKLEAKAYELEQQTALAAEAKAVLDSWVRYEGQVKQRQQKELAASIIAKVQKELQ NPKVLQQILQQSVADVEKIVSSKAQ UV8b_02055 MSDAYDYLEEGFDPHGVTVPRLRSILVAHNVDYPSTAKKGQLVE LVNEHVLSQAPKLRAQRARAKRSSLGFINVGSVDDSNEWHDDAPTPRSRQSSYRRSMS PRKSSAPVKAEPEEDPEPNALRSPRKRSSRSASRQLSHGGDDHVGPGSVSSRSARRVS RRTVTPQIKAESEPEEDGYPTYANHPSDYSDLPQGDDEQQETVFTDDNPFQSGSSPLP VATPSSRRRTLGEDVKSSRSTRRQTNGIFEKPKKSRHSEMSSQRLRHRTPNSALEPGE EFTVDEQLELEDAVHSGEVAFTPRKRGKPARQTSLLTPLFVLLMALFGAYGAWYRQEK LAVGYCGLGRAAKPLLPDEVAVPEALEPLIVPQCEPCPQNAYCYEGFVAKCGSGFIRK PHPLSFGGLVPLPPTCEPDSEKERRAQAVADKAIEELRDRRAKYECGVLVDAAGHQAD SPAVAEKELKEAVGKKRSKRLSDEEFEDLWDKAIGKVTTREEVEVEVETAKSPDSSSL PVRKLSSTSLARLPFSCAIKRSIWLGLARYRFAIGLLMCLALGSVYIRARYRRHIATS AQVPALVDLVLGRLANQKELGEEGLDEPWLFLPNLRDDVLRHLHSLSERERIWLRVKA VVELNSNVRASQREGRSGEVGRAWEWIGPTQGDAARRRRSGKASWVTEPKEETPDVRE TPESRKWEESRPIY UV8b_02056 MAGTAARFLKRPRSCCGRGIRAAPSHWIRPFSSSGDNIYDVVCV GGGPAGLSLLAALRANPITSRLRVALVEAQDLSTAAAFSLPPTKFSNRCSSLTPTSAR YLHDIGAWTHMKRERIQDFHEMQVWDGVTGARIEFDWPAGSGPSKTIAYMTENLNLTS GLLSRIAQLGGVDIFDKSRVENIHLGEESEEGDGLDLRDWPVVRLSNGRSLAARLLVG ADGANSPVRSFAGIESRGWDYGRHGLVATVRIEGRGWGGPSSKVAYQRFLPTGPVAML PLPGDLSTLVWSTTPENAALLKRLSPRDFVATVNAAFRLSSVDLGYMHTLAEGQQEEY DWRIQHAPVDLQTVPQTVVGVQEGSIASFPLKLRHADTYIGERVALVGDAAHTIHPLA GQGLNQGQADVQSLVRSIEFAVSHGQDLGTQLSLESYNSERYAANNVILGVCDKLHML YSVENGPLVGLRSVGLRAVNALAPLKRFLMEQASGPSQ UV8b_02057 MASSLPRLAGRGARQFRLRPTTTHIATRSFSSTRLRKYADPEPM GTRLIPVDQDFAHPSDPYGTSKVEPGAIKKSRDNSVEDRKVRHYTVNFGPQHPAAHGV LRLILELNGEEIIRADPHVGLLHRGTEKLIEYKSYLQALPYFDRLDYVSMMTNEQCFS LAVEKLLNIEIPDRAKYIRTLFGEITRVLNHLMSVLSHAMDVGALTPFLWGFEEREKL MEFYERVSGARLHAAYVRPGGVHQDIPAGLLDDIYQWATQFGDRIDETEEMLTDNRIW IERLRGVGVVSAAEALNLSFTGVMLRGSGVPWDIRKSQPYDAYDKVEFDVPVGTNGDC YDRYLCRMEEFRQSLRIIHQCLNQMPAGPVRVEDYKISPPPRTAMKENMEALIHHFLL YTKGYAVPPGETYSVIEAPKGEMGVYVVSDGTERPYRCHIRAPGFAHLGGFDHVSKGH LLADAVAVIGTMDLVFGEVDR UV8b_02058 MAKSKSPRVVRFLSDFTLGFSDGLTVPFALTAGLSSLGQADTVI YAGLAELCAGSISMGVGGYLSALDEVLSSAAHTGDRGSNVGDEEEMRGMLRDGSTRTS ASIASDGVDEKEQEQEQQSQEDLIRTHLDPLALPNTTVLEILAALRNRPDGVGRAADR LKQHNDASRNPSFDRLELWPVASGLSISLGYVVGGIIPLSPYLFSSTVGKGLQWSIGL CLLALFLFGSGKSWVLRGQERGLRRSLCEGLQMLILGGLAAGAAVLCVNIVGAGSRPD LLG UV8b_02059 MLLHTAASFLALTLTSVLAARSRPAREPSRPARDPPRPARDPKS GWETRYTATDPASVAKNAAQAKTSSPTSYVKGRAFDRLAIIYFENQNYDKSFGDPNFS WFTKKGITLSNYFAVTHPSQPNYMASIAGDYFGMENDSFERAPLNIATVMNLLDYRGI SWGLYQEDMPYSGFEGVAYKNQKNGANDYVRKHNPAVMHDSITHYEQKLSQIKNLSMI HPSRSMFHRDLRDNRLPQWMFITPNMTSDGHDTSVTTAGVWCRKFLEPLLTNRKFMQN TLVLITWDENEKYAARNRVLGILLGDAVPAHLVGTEDSNFYNHYSQIATVSANWDLPT LGRWDVGANVFKLVADRTGDVIRRWTSPQQLQGMYWNWSYAGALNEQGGKHAWPKPNL NLDRGFNRRPILQAVKEAFWYSKAPTYYKDTVEVPDGLHPPRGFEPPEED UV8b_02060 MSRDHGHVETGRAAEQLPWLGHETDGDTDLVEDDLSCSSSSSSS IAVGSPSTPPSWKQQHAQTWQSRSLYRFGLYALLLLLTVFSLAGHVLSFPPRTTQELG QEDAPLSDQSKGHHLDSGAQITSEPVVQLHDTIPPAPRLRRGSEYVLTPSWNYASGPV VRVYNWTIAHAELNPDGVYRPMMLINNQFPGPLVECNDGDTITVHVQNKAANATSIHF HGLFQNGTNHMDGAVGVTQCPIAPNSTFTYTFRVLGQSGTYWYHAHHSAQASDGLFGP VVIHSKDERTLQELEYATDRIIMVQDHYHNTTAELLTDYLQPDKENNEPVPDNPLING RGMQRCRDFRGWRCDSSSNTSRSVIDLAAGQRHRMRFINVGAFAEFQIQIDEHPFYIT EVDGTDVHPEPFHRLNILPAQRYSIIVEANATTQDAYWLRARMVSRCFTTRNKRLQSE IRAIVRYASPESKASTREPKSKDWPDVAEVVCRDLNTSALHPVQHAAPPPADDFVILR ANFQIGAWALSRGFFNDSSWRPNVTHPSLHRFLDAGARLASPDAPVAVNDRVFERSRE LVLQTKGIRTLDISINNFDDGAHPFHLHGHKFFVMAQGRSGYPPTAANLDQHMKEHGG WPDNPLRRDTVTVEPYAWAIVRVVLDNPGLWALHCHNRWHSESGMAMQVLARSEVVST WRVDPQHRAMCGFRGVASGTRPDDDTWSGSF UV8b_02061 MCNTCGLRECSASSFQHPTAELRPLRKAARVVDPTDFVVRLVQC NDADIDRPCKSAEGLPREAGYGGRSFDPSILR UV8b_02062 MATAPDDGLGMAQDTAAVGAGLDEPTSNNPQPGTAMNPGGPDPG SAPDVPMPDTGPGTAPTSSCAPGASAMDTAATTAETSSSSSFSPYPSTSAASTIPATA AIPTVTTTTEPAVDEPLAALTASRQPELPSLTSALASELSAAAGAAAAAAAAPAPPSQ LEHDGESQTSGTGAGMASHTPSLAAHHELPMGYASTTPGHSSSTHSSVSQPSATASTR SPPTTVPTSQQYAPYAAAAAAAVAPSNEGYKASSAPGPGSLSLPSMRTIDAISQQSTS PPHLPHHSTSLNPTLTPTTTGPAYFAPQHPMTVPSSYGLPSDSLTRYPLPHDPRILGS RGPKKEIKRRTKTGCLTCRKRRIKCDETHPTCNNCKKSKRECLGYDPIFRQQSGGAQS KSHLQPAQISQATASSSASFPPTHPTAGIGQPPPNSYGSQPSMLPSSYSTTSTSLTAP SSATARTTPSSILNSPVVPNASPTIKKEAGFEFSPTVKKEPGFEFSRPLDPASRHLPA PKQFDCKPHSTAASSHLAADSESIHFSKKMRINDIIDLLGPPPPPPPQQISHTEDTLN EVTKVYHEMYAGGLSAFLESSWYYFVENGKMAFPRDANLIEHMATFLKILEAVKANDH SQMAYSGALETRIVWELACTAYQTPDRTNPPTALAMPPEGDAVEARNRLRVVETLLCG DYLLTNPLAPPLSDPDVQRSRRFDFWYSLAEFIRRRDNPDSPATVKAREESLTRMRHL LDGRENRDVLYSIAVVRELAPRYGPSYGSTPQHLDESDPKNRLAVASRFILDEAQVTG GTTNVVRRFSDIAARAFVNPAVNVFKRTG UV8b_02063 MPPFGRRRLSSRRRWSQVGILILIISTVWYMAQPPDSPIVLAVS FNVSRLRSALAHGDRDAWLRKPGSYPVHLPSEVGCLIKTGYGTRDRVGEQLAALGVKG GVLGDEGRDFLVVGDWTNGNGSSGPQRGWQVHDAVRLVMESEVGRRYSQHPRLQKYRS LQGAVEAGDTDKAEKLGKTFGWELDALKFIMGMEMSYKRMPHKKWYVILDDDTFVVKE SLELLLSHLDPSKPQYVGNAVGDYKARFAHGGSAVIISGQAVKMLFRRPDIVAEAYAR SMDETWGDRLVATTLQKIGVYIDERYSHYFNGEGPDGTRIRPDRACSPIVSFHGLRKP GDMVRAGRVLGKMNKPLRWGDLWELFGKSPVQSYAETRPRWGDHVGPGGEEVRVWKGL SKAEDCQRKCKGWCLAWTYDTDTRECRASPWFVVGSESVPSTRLSGINWAGAARSAFR KCSLAS UV8b_02064 MPDKSSSIVSYAAGASLAAVALIYVFGPTFAIDHDASSSSKKKT VVGLRNQANDCFINSVLQALAGLGYLRVYLIRETHRRHIEDPTVYANLVQPEKTAKLE QWKLQGLQDGLVTQGLKEMLDALNERPLYKKSVSPFPFVRVLELAFKQRISRQQQDAQ EFLQIVAERLKDEYHAGQRARFQARKGGMLHTAASTGLSADALDGSLRTCRPGHGHGQ GAHDSPHIGPTDVADEESRNQSGASSPGSPDVSAPKSRIKLVSPEELEEGFPMEGRYE SHLECQTCHYKTKPREETFCTLTLAVPQVPSTCLNSCFDGVFKTEFIDDFKCEMCRLL HVKAKLEHEMERSTSESFVAQAKDSVAKLQRAIDTNPEQPPVGVDLGDIRHAPKRKIA KTTRISIFPKILAIHLSRSIYGVGQMTQKNSAKVAFPEELPLGGLMDQHRYKLLGTVT HRGGHNSGHYEAFRRQNQPSPYHNSNTFQQSDVYSKTPTPISTPEVAARPTLSPATST VDLVSPSPFPTSSTPSLPSTAAPPRSVPAEPSSDASSQLNGKDADADADAETSSLRSA AASTKSNLLKLVSSKNEQGSMKPSSNDLARTAKKRKPGADKWWRISDEKVREAKTSEV LDMQREVYLLFYEFEK UV8b_02065 MATQVLIAHTGQRLAIDNSQFSTIEELKILVSRNVSVPPEQMVA LTPQGRSVKSASLHAEKELYIYDIRVAQASPSADEPPNVSDPSLPPRLLVQNAPNLIE DVQTISSWQKLYQERRSWAIRLAEDCARLNSEAIARYDEMDAIIKCLDAAVANLEISV KQIEPKYHDLKKWVEPALLEHERLASMWEQHIGLARNTPVSPAMVKFMTKREVPKGQV TLENLIELETAQKAGKLAPTAKRRFSDKAARLDELASQMYRGLEELIANFEKVMNRSV LGHSNDSAQLLEDIEALVNQIDSDYRTILGYSGSQRDLAQASKMASIHTERLVPSLRK RAKEMDELAQYATTARNAIASESVSSMRDITNVTSLHSNVRSQINVLNQAEDDMTTFD YLRLIQQLPYMYVSFLVEAIRRREWVDKVKTDSSTLANEMALFQDEESKRRRKWHKMV GSMYGPALDTNVIGLEVNLLGEDVPWPPITRDELNVFVRSLQDQDVDQAVLNDIEKVV QELHSPTKQQSKRLKAFKNGSVHEAALGKSGLMIRGDDDLLRSLQDDKSKMESKLRTA ESRVRRLEDLLHRQSQASRPSNLFQPQNQPRERRDSTSSVRSNRLGDRRRSSDGADPL LRRIAQLENELREERQRSTRIQKDIGVRATEHDHMKTQIQEANSTKKDLLENMEALKR EFVDERKSLEGEIKTLKAQLEDNEDDMEHFDESREKEKASFDAKIQELEAQMLNLAEQ KAGEVLKAQGQVDSLLKEAQTQRERIELLEKQCREAQDERQSLLQKLQAAQHIADKQL DSLCKIHSEVLPGESVPTDVADLAESSVSQVAKMIAKLRNMESDYAPVQANLDHALLA IEQLNGELRGTQSELRNKEDVVAQLRERASEEEARVSALEKELAHGRNELSQLRAQLS AGETGSETLQKKLESGEEKIGQLAEELASRQIRLGSLEDELDSVKQRCEEVQTQSTAL TAQYEARDSRTSDLTQRLYAQSDRLTRFLERCGYAVERKSGAMVISKVPRSERVTQNA NDSSDAGNSVRRSISLAKSTGDGADLELLYWMKERDSMSEADRYSAYLDKLGSFDMDA FSDTIYTRIKEAEHKARKWQREARAYRDRAHAHQKDAYDKIAFKNFKDGDLALFLPTR NQQAGAWAAFNVGFPHYFLREQDSHRLRHREWLVARISRIQERVVDLSKSLQPAADSE SMNEDENDNPFQLSDGLRWYLIDAQEDKVGAPSTPGMGKSTVAANNVEATANIQSLPI KGKAKNRDSIASIEGINKTLSKSLDSRRSSSGSKKALPFAGSGGTALLKSSALASETN SLRAVAPDSPAGTSPVHGGPLGSGQLQVAGSAGVDGKEALSATAGDDRCGPRAEGPSV QGGSTKSSEVRKVDSLLGP UV8b_02066 MDQPQDAPEVDEDEVQDEQESQLPLSEDEQQVLELYDQLQQLRL EIAIINAQSARRLVPELSSSATNVSPETQKELLDARANFRLRNDAVESVMTVNPILKA VHHGTNASPAERDLLPYIEQREQSANSVMKHATDVGFIRNDLTEVQGDASRAIRKNVE LTSALLKLAEEVKQKQAARLDDDEIQTEIRQLEADMKLSRQRWRVIKGVASGVVAGSG VDWSRDATLREVVLDLDGDD UV8b_02067 MPKVAKGRGKRTDDGKKKRGPKRGLSAYMFFANEQRENVRSENP NITFGQVGKVLGERWKALSHTQRAPYEAKAAADKKRYEDEKAAWQAEDESS UV8b_02068 MISRQHYVGVHLCCCFCSTLYSKSPRRHPHVLCRHFKFRANSYL VGVNICAQCRPWHNNPSRANDALLIESPSHPQDAALDGLLRSGSLEVPQQIKQSLLHT TNHHRHLPLVDMDLAGQTDDITLLQGCYLEAQRSDDISLKLEGLRLELNEPSDSYLAL TVSEICISARRLRHLADVAQVHRDRVEFVLNSLSIVLPCLSRSLRDIQACYDDRSRSR QNRWRHMYHSLTMEAGGRPLHCRFDMYNKYISLCRDILIRASNFDYALMESLRRHIIR LREARGFGPPSLVQAGPLVRHSGHVYEMDPIPHWAEQIFAIPPPTRTPLKNVGQTLSF GPHREMGTHNIPMHSKVLFRLSFDRDKISLIVFKSSRDNCAYLLLRVLRDDKAWYALR GAHELCIEREGSSLHLRRWSKNENCSKLWATLFFRTWEELVLVYCTFISLKARNNLTL QFRSHELDFSEERKLFQACIWDDGFNHSLIVYKDVATGGLRLHAAVWEGELRQCPVWT AFVTRQAANPTWLKRVSQHKVRLSDIQLYVFCQQYRERNQRRGYNAFQIDFVSDDAAA HFINVFYRPF UV8b_02069 MDVKTVQFQPFQDQKPGTSGLRKKVTVFQQPHYSESFVASILLS IPEGVKGSVLVIGGDGRFWNPQVIQLIAKIGAAYGVKKLVIGQNGILSTPAASHVIRL RKATGGILLTASHNPGGPKNDFGIKYNLANGGPAPESVTNKIFETSKSLTSYKLASIP DVDIATLGPNTYGSLEVEIIDSTADYVAMLKDIFDFGVIKEFFTSHPDFKVLFDGLHG VTGPYGKAIFEKELGLTGATQNCEPSPDFNGGHPDPNLTYAHSLVEVVDQKHIPFGAA SDGDGDRNMIYGAKAFVSPGDSLAIIAHHAKLIPYFQKNGVNGLARSMPTSGAVDLVA KAQNLECYEVPTGWKFFCALFDAKKLSICGEESFGTGSDHIREKDGLWAIVAWLNIIA AIGVQNPGVTPSIKQIQKDFWTQYGRTFFTRYDYEDVDSDGANKVVGELEKLVADSSF VGSKIRERTVTRAGNFSYTDLDGSVSSNQGLYACFSSGSRIVVRLSGTGSSGATIRLY IEQHSSDPSTYDMDAQDFLKAEVKFATELLKFKEHVGRDEPDVKT UV8b_02070 MPDPDHTVAEFEDGTSTSAVTDDPLVWIDCEMTGLNPDTEEILE IYCLLTTGNLEVIDAQGFHAVIHHPASRLSQMGEWCSQTHGNSGLTSAVLSSSTTPEQ AAQGLYNYITKHIPEKRRALLAGNSVHADMAFLRKKPYAKVVEHLHYRILDVSAIKEA GRRWCSRQVMAQAPKKKTRHLARDDILESIEEARYYRNAIFRRPS UV8b_02071 MAGVVDPELANRTTESLERPDMSENPPRFIIIGAGSRGQAYASA ISRACNGVVTAVCEPLKFKRDTFGRKHLWGHDGPQEGQSFTTWTEFVEYEKSRRQRAA AGDKEVPQGVDGAFVCVLDDMHRQVVVALAELRLHIMCEKPLATNMEDCLAMYKALKP LQGSSIFSIGHVLRYSPHNLLLRKLLVDHQVIGHVNSAVHTEPVGWWHFAHSFVRGNW RNDGTSAPSLLTKSCHDIDLLLWLLSAPAKAGQGAAHLPEYITSTGGVQFFKKSRKPA AAGDATNCFKCALGDSGCKFSAKDIYMGNRVGLGRGNIKWPVDIVVHDIEDYQTNQER LDAMAKALSEDWDESTPKDEVSKRQWYGRCVYEADNNVCDEQFVTITWPESVRPAKRV TFHMSAQTRRQCERVSQFYGEHGEIHADSRKITVEDFRTGETKTYEPTTTDLGHGGGD TGLAQQFVLACDRVKNHGWDGESAQNEFVGCTLEEVIRSHALVFAAEDARVKKTVIDW QQWWNEHITDTVDAQA UV8b_02072 MGSEPIFAENTIHILTSADTSATAPPPRGTGVRQSSMYRSFTPR ALMAGCPASGTSDSFNYTPMFSFYEVILQCNKFQQDVGSLYEFWVLDHAHPVGYMLPE FVRPMVWNTVGFEVNDKKRRVHLKPRRQKGESILQACQREFITLCRSNTSKVDGVMKW VAAWDKSGDAEHHPIRGLGSHLTGLKVPSPLRGVFGIVTAGTHMNMFTVKDDGGQPKI HVWVAKRAENVTYAGKLDQLVAGAMGPGDNNDPLKTLCREATEEAGLVVNMENGRVTK GGEHVGVVLQGPQISFFDKKDGIAGSEHGQLEPGVRFIFDLEVAPGFVPEPGEPESIA GFFLKTVDEVKSDLKQRKWKPNSGLVMLDFLLRKGQIQPEEDNFYGRLKPALQRQLPF ARI UV8b_02073 MFAARQRAGVVARRLPRAARGYASEAHGHHHKAAEVNESFGKGS LASVAVFFGGVLFYQFLPNKGEDSAITNLFSKYMSRKEDWEETNALHTRAMEQAGFDR NLFENASHTHRFVDLAYPEVFQSHAPRNIQAGHLVNLDHVVEHYRQQHLQDEERKAKK LAERKD UV8b_02074 MADEYNAEEAAELKKRRAFRKFSYRGIDLDNLLDLSSDQLRDVV HARARRRISRGLKRRPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIVVPEMIGSVIG IYSGKEFNQVEIKPEMVGHYLAEFSISYKPVKHGRPGIGATNSSRFIPLK UV8b_02075 MASVDPVPVPFTSQPTATATPASAASRSNSLPASATAALPPLTT PWSSPQSCTWTYVVDQPDETAALGAVAFLDLEPIPGDKTLSCYPGNMFSHGRTGVFSP GSCPDGWTTASLLSNTDTASISATTTTTTAICCSYGYTLSGSACRRSVATALAVPITY NTTAGTYNVMTQSTTTLYSAVLAASTIQVLYGAEDRKQLGIDIIHGQDHVHFMSTSTR IGVSVGVGTLVLLCLGLFYFALTRHRRRREGLTEDRLMRDLRSLQGQHPSWDKPCTSR LSMSTADASIHSLHEQHQPPPPAYDPNRAGRAPGHGNTDYARDRDGEIRLLREQKAMI QRRLEELEIGKVSLGEPQARAR UV8b_02076 MKGEILHVHLGQAGVQLGNSAWELYLLEHGLGPDGRPDPDAKDV GDPGSFETFFTETSNGKHVPRSIFMDLDPSPIDEIRTGAYRQLFHPELLINGKEDAAN NYARGHYTIGKEMVDTVMERVRRVTDNCNSLQGFLIFHSFGGGTGSGFGALMLERLAT EYGKKTKLEFAVYPSPRTNTSVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRNL DISRPSHEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAPV VSASKSKHESFKVSDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDCTAAIA QIKAKASFNLVEWCPTGFKLGLNYQKPIAVPAPAEDGALASVDRAVSMLSNTTAIAEA WSRLDHKFDLMHSKRAFVHWYVGEGMEEGEFTEAREDLAALERDYEEVAADGVEAEED VEY UV8b_02077 MAKKKSGKLLADSTSIDATLDALFATSSGPVQAPPKSRYSALLE TGSPGKAKPGRNDSPPHEEKEDKDDELSSISQELGYNDEDQEDCASSVDSSCQDGSDQ VEKPTDASVSKRDSEEGGEVQQAEGRRDRKRKRKDGNDDLEARYLAELTKIDDEKPLG KRQKSREEDAGASDADDACPLHESLTQESKDSDFERASRTVFLSNVSTEAIFSKAAKR TLLSHLTSALDLNEAPEQKFESIRFRSVAFSTGSMPKRAAYITKSLMNATTRSANAYV VFSTAAAARQVTSKLNGTEVLGRHLRVDSVAHPSPTDHRRCVFVGNLGFIDDETVIVT NEEGEKVEKKRTKVPADAEEGLWRTFGKVGKVESVRVVRDAKTRVGKGFAYVQFYDAN DVEAALLLNEKKFPPMLPRKLRVTRAKDPRKTALAQERTRAKAQAADRQARSTKYTPK LTPAQQAAAGRANRLLGRGGGFMHRKQVGTDAQNGDQSSPKPVFEGKRASSKDGRPKD LKFGKGKKSKGGGPKSRSARRAAEWKKS UV8b_02078 MTATVSAPGTLSPSGTGEADLEDSNVSSPLSDVEDGDANEEDIR HMQIQGRNDDADNSSLSGDEQQDDAKKADRSGSESVLSDAGSDAVSEANDTEAETERL YDTPRNQRQRDVVIDQYNQDQIFEHTPSKLRSASRLVVDDANGNVEDSASEDDASIAS EDHSLSKPAASNDTSVDGEGRRSLHERKRKRSPLADQSESDQPLRKRLISVGAAEVAA EDDDAPVNDDDTTSVNHLSRNHSGGEDDDVPTKSRKTVADNEDAENEMRVAKKPTRNG SKRKLASGSNSPCDPPRQDINGAQLDPVQDGDIEQRSEDVEGDADEIDAAAKSIEEAE RKIAAFKDWTHIEEMFGIFRDRLYKDRLQRLEDEEQSLLADVPTHPEYLNMKQCLDDR LERKVQEMNMEFAFRLEAHERRAVAVRAQIWGQFFQAVRERREAALESLNRQWYEVQT ARRSAHSLPDYGLLFPKDQVRRVRNAIAYNTEVSALSGLAKYEGFPAGPDLKGASPSE VEADFQAIDQARRGRHRNVTSVREDYPNPAFTRLGPAGEQFIKDTPWANPHHSAHKIH QQAPNIIPAPRPNQTIPGCGAPSSADTKAASGSQKLIDQSRGLLNGLSESPELARSVL NPAVHPAKRVSSIPNIGRGPKATAA UV8b_02079 MANSQSTYYDRRYRQGPALIRARRPYLFKNAVTGLGLLTLVGGI YWYTLKAVGQDDFDDVKVPDAPQKHAPPK UV8b_02080 MSSSLSVPEQIRQLNDARKLVLGDVKYYPSVVRGLLPIIGPSAP VELRRWGAEFLAEAFSTPALPNGEKETMQLYVLATVESLLGEREDPQVLRSVIQTAAS IYPLALRWIINNGYDTVTWEKMLSIKQTIFRLWDNAIPSVKINCVKFAQRVVLAQTVS TGAEYRQAGTLDVSLDKVPPNHQSLDPRSLEAEASGLLDRILGTLQESTDALLVDATL NCLSILVRTRPATSSRILNALLNFNPLQLANSPLTARSRVMIKSMEKTARLLLIHLTK RDPHNPIVPRIHQHIDRTMRMVMEVLDDSGRKRPLEAQQHDGFDAKRQKVVQSHMPEV PALKAGPHSLADVFTLVGHAGLKSYDLSQLSAAMVAKIAVVALSRIDAQLLAKAVDGV RGRLAALAEAPAPELNPNTAPLGVDDDDDDYEPDFYEAEDTEQLLNKLDGSSTSLPEL TALDTTLALTAFSLPQPPEITPEMALTAGSGTVAKVLDMMKSLEEPVTKKHKVGFVRL AASSGTRDSWMTILTRLATRSTTGLEAVAVKGEAQQSPRQPSLSENIREVLYNYVMED FRKHIDVAVSWLCEEWYNDKIQARMGDDYSKNYDKTALQLINGFLPYLHPQDKALTRF LSEIPELSRVMLSRVKDMCRDPSVTQLALTSLLYLSMMRPPVKEMALDTVQDIWTEFE DARPMASKYLSKYRPGFLETAEASKEQGDGASLTASTAIPTR UV8b_02081 MNSMAAARPTLAHGVAKHALGRTATIRSVPSFDGSEHSPESKHT AFNGTVTSNAAVVSAMPGSPQDKSPSTVRLKSDLAVDAATPSRWNGNDVRTIEPPPPP PQHESLSTLPEDADNGQWDSTVGKAGLGKTGRVINKLVSDNDALKRDIQIERLRAEEA KQAAKLVEDKMERMMYEYESRLLEANVTKTLLARKERQVESLTASVELEKRKALAAQQ SERSWKHELERIKGEAATRVEEATSYAQLMEGRYNAISSHWRDQGEEVKRAVSRLRGA IDAIVDERRADDEKITTLRDLCEQQDNNLKELRGEKEEIARLFEQYKQLQEQDLRDIK VNANKRQEEQELQLQEAREVLHKLRWALNVKQNVKDAQ UV8b_02082 MESGSDLSTHSRDSSVLPLESRKGVEFYVSCNPPLGLAGVDEVM GRIQCSDIRVRQAVAKFLLDIVEQDARPLKKQSPSTDPHMQVDVDAGSRLVPWSSWLQ TQCFSGFEKYQYPEPTCKLAFKRVWRGLENLPPLTVDNLLGTCSIWSHSVTPLNFEGL AKLLGCRLFHNVRKTVVYIKGDSFGAVEQALKILGHLLLIAETTNKKHHLVYAEDAAQ LKYVYKWLSQVGIMRTTYLPRPLRTKDLPKTLNQEGPKLLGAVCIRAAERTQENDWVS DKTQYKDKEVTPSAAPLFSAFKDYAYTKKFPANISGQLEEVKKLLETYNDAEPQSRKE SCSVSGDVQSLSDTMDYEEDFEVVDCNPGAVETIPPKDLLDDDSPVELSSYAASCSIL VPSPSKETLFQSPHKFNTDKREKSHFMHILGDRVGAMCSVIPLCPGYITISARFGRCY LNDIRSSLVDVGSGPHWTMKELLNEMESKQTCQYIRFSSILSSFSTCADLIVGTGGSS SSWNLLTTQVTYLVECELKEDECFIIEIDAETFNYSCRGTAVQIGCTFIHCVRQAWDV QVEVNKSSNLNLSPLHCRLCKVIVDSLHIFGSAKGETVLEFMANEELGLKIKSVQIQR SARYSNYDFPDSVLSIATMTKLKPDSIKANRQRWGGLEKKSSSGPCPDFWVEAFITST KLEDVLKANIGLSTGERTSCDFTKLGQQGYYDSLSRPALKMIAQMDHIGQHNNNGIST NSRPSAFTVSVAESRQRNGDYCFW UV8b_02083 MGLGILEATGQERVPGTTRYFDDPNRSQEADGECKHLKCDRSRK IPIILVPQPSDDPNDPLNWPLWKRDLVTFTLSFAAILATALGPILAANTLVVSVWFTS DLTKTAALTGYYLLGTGCAAMFFVPSGRIWGKRHLFLIGLVLVIFSSIWGGKSGDFDR AKALGEATGPSPTQKAHYNSFAAARAFQGIGTAPFESLLNAAVGELYCVHQRGIRMAF SNLAVFGGAFLTPVVVGKMTQTIGWQWSFYLVAILSAVTFPAVFLFCPETAYRRDAKL NLDGGAAAEEEKPASVSTMAPASSPQASPTSSSGFVLIPPSSAMQPIGDANTPKKTFI QSLSLFDGRKTHERYWVLILRPFPLLLNPAFVWGCLIQGAMIGWTIFIGVIIAVIFIG PPYFWGEEKTGYTYTAPVLGAVAGFVVSGFLADGIAKFLTKRNKGIYEPEFRLVLVIP MAIAAGVGLYGFGVTSDRLQTGQYSYIVPLIFFAFEVGGMVIGTVASSLYIVDAYRDL TIEGFTLMIIFKNIFSFILTLYAYDWIIAAGFQKVFLVISTLQMGICLLTVPLYIYGK RIRAYFARNDLLALTHLR UV8b_02084 MPVPESQYLSPVWRDSLFKGRVVFVTGGAGTICSMQTRALVRLG ADACIVGRNVDKTEAAARDIATVRPGAKVIGIGGCDVRKIENLNDAAERCARELGGID FVIAGAAGNFIVSMEAMSTNAFKAVMDIDVVGTFNTIKATMPHLLKSPDARLIYVSAT FHYTGMPMQGHVAAAKAAVDSLMASVALEYGPRGVSSNVIAPGAVADTEGTARLVGTD RAGLARHTRSIPTGRLGTVRDVADATVYLFSPAGSHINGHALVVDGGAWRRQGAVAMG AEELRYPDYLLPEGEEPKVKL UV8b_02085 MVVVRIICPRQFSHQEKVWHSRSDSTQRLPIHSSPQQLPASCAS VQTGNNNTTRRTTCAAKASGRTQDEPQNPTQPAHPPPSTSAPSHDALDALDALAQPTA LQQSSDADMLADARDSDGG UV8b_02086 MCNFTKNYYIYMSCADPGAHFCSTSTDGSRKNSCPAGPHERYIV IPESCPLCSGVVTLVSVNSSQTAIMMRHRGYIRSVANAEAVKKMFKPLSPFPGSTVDY STTST UV8b_02087 MSEPSPRAVRFSDGEDDLQADQPKGPRSSIVVDVDAENSSPGSE EEPNTAEGPDDLGDLNPYGDGTAHAGPHPSISPGTLVNGGGGGGGSKTQWNNPSDDSS LYTNGNRPQRPLAPTRTPSNTYNPATSRKPRPSQPIQPFVEQVRSSSKTRLRQNESRF RAQERAYVQKLRHDAAGEYFTAYQGINGNDSDSEGETPSSEGHYDDRLDQETIMFYGN DSLQPTVEDLKDPDNKERLEWYGMLEAVLTGDVVRQEKKRLIGPSDQQASKTAHKSEL WLGVRAKSCGRQLPVQKRMVEEARSTVDRLLDEIIDFEVKGESEAGKPPYEQVKDVVK KIERCESLYPSWQSLAMEHKKADSPQFHEAYEAIFSWYNTNKMINTELSILKNWVGND ELDFSRTKQRSPVVDGITTDETSFLDRLMKEDGLQSLYDDDDRNPQKGMLWPISSIIS KVKETLIRNSAPFQKRHLPPYLEELLTLISFPSRLIEEITKTRLEYARRVKEAAQQNP MMQEQMISQFQLLLKFAIRIKQEYLSIESPEPGWDLPPCIDESFDHVVLEALKYYFKM LNWKLSGNKNTFKEAELLFQEWDFANYIGTHLQGGHVEVAEQFSSLTFKALNRLSQTF EKELQVKPRESAAEMSKRYKACLDSVRIRQRMLQRFSRMLSDNYEHASDFSISFPPES MQKFYDQLVASGHFRVETGVFEKRGTYVIASPELHGRLDDIRTMMTVTCFDRFPDVGE QYLLILRPEDSLNWFGESISVKLWEQNIDLKRGQLRLCATGSHSLPDARRAFLDAVDM HVDLLQESRSNIHKVNSRLMEIRRVAYKLSNTFMDSVEVIRKQTEGKDCQELIQTCFV FATEFGQRSLLYMDSNRRQMNNLKLTKLALDWVSFICDDCIASDRKSFRWAVLALEFA MGMTRGRHILALGDDEYEKLRIKVGGCMSLLISHFDIMGARSNMAAQAEKERMEALVG QFRKLDKNRMLDDEEAARCITEQRLVRLDVMDDFRREKEGERRALGRVLETNNEADRS LAYLSSSATNVTKRWQQGHFVGGGTFGNVYAAMDLDTGLLMAVKEIRLQDPKLIPTIA EQIREEMGVLEVLDHPNIVQYHGIEVHRDRVYIFMEYCSGGSLANLLEHGRIEDEQVI TFYALQLLEGLAYLHESGIAHRDIKPENILLNHNGIIKYVDFGAAKVIARQGRTLAAD LHASKPNKSMTGTPMYMSPEVIKGENPGRAGAVDIWSLGCVVLEMATGRRPWANLDNE WAIMYNIAQGNPPQLPPADQISGQGLDFLSRCFARNPRERPSAVELLQHEWIMAVRSQ VVEPMTPSDSSSSALTSPLTTYSSKGSSFMMVDGTL UV8b_02088 MPASPPLQPSSCAASVSATCAAHSLTVPQFHLLREHAVAAKAGA HCPYSNFRVGAAVLAADGSVTTGANVENASYPVGTCAERVALGTAATRPRPPAGPFRA VAVAVATDTSPPASPCGMCRQFIREFSSLDVPIVMFDGEGKYVVATLDELLPLSFGPE NLGRG UV8b_02089 MEPVPETERMEAFKSSPAHPLVPSSSSSSTTTTTTNNSPRGIPP LELDMARKPPNLRRSTDPNPASPDSPSWAPCSSAALAFRPRTASPLSSGHTRSRSAAT LSLASPMSRTQSMPGVSGSGRILYPPQLRPASPSNSASPSRMRMRTPRKPVDEAFPPT SPVRTSVLDLDKRATADRSSSPVLGPPTMLSSRLRRSSSPFGAVPPPSSSASSCLLPS TPSSMSISSLRSYENFSMGYGGGLSSMPSTPTSARSRSPSISSLETIPDSPDAEEAAL EVERLAQLKATADASDGGETSDAKSRTGVDAPPRGRTLSYGSRDKRKRWSVCGAERRG DLDLETIWED UV8b_02090 MSTVIDKFQPELLGRPFAYPAFQKSAATNAKPRHNESTLQRSNR EITVSQWLGRLSPIRAGFSAPAQVITYPSS UV8b_02091 MDSADDASATAPPTKRPRTRSRLSRRSDTPGASSSTGSEKRADA RTRQTRDPSRPRYGVTTSPSANGSNRGYSHSFRSPRGSRSSSSSSASSARSDSPPHSR TRPRRGSVSPSVSPSVSPTSSDRSRSESYSPRRPRATPTTPPPPATASAPSPAPAPAP PPSSSPPPPPPPPFKPNYRPCLALHGHAGPVSQVRISPNGRFIASASADGTVKLWDAS TGAHMDTLVGHMAGVSCLAWAPDGNTLASGSDDKAIRLWDRVTGRPKTTTRKSMAAQE MAALRGHHNYIHCLAFSPKGNILASGSYDEAVFLWDVRAGRLMRSLPAHSDPVAGIDF CRDGTLVVSCSTDGLIRVWDTSTGQCLRTLVHEDNPAVTNVCFSPNGRFVLAFNLDNC IRLWDYVAGTVKKTYQGHRNEKFALGGCFGVLDGEPFIASASEDGDIVLWHVQTKDIL QRVPPRHRGVCFWVDVHGETMVSAGQDHTVCVYRHAGRLDKGQTQFSIELPIRQDEVK LDAC UV8b_02092 MRFSVAAVLAFAASAIAQTADFDPIYTPNSGETIDAGAPYTVTW SSPAKYMDGTVSIELIGGKTQNTQQHIADIASGIKNSANKYTWNVDASLGAEAVYGLV FKLESNSSIFQYSNPFHIRAAAKPAPSSASQTVTVTQPPGVKTIILSGTIPTSTSSSA APTSTICTSSTSSTSSTSIYKTVQYNVTVCPTTLVPSASQYVPVNANSSTGIVSVSSP TAPAPVPTAAAAAIRIGSLGILGVVAAVLAL UV8b_02093 MGVDIAPLWKPPRGRSHQEEYSQYTGSEKDLNISASEVANSSIV SLCATFLPRFIAGPLWDLFDPRQVFVPLLILGYLPVGLAPLVRNAIGRSTHWWEERHV KIQEILNAYGPISTRAVNTPMTFTELSHRGEEKPEATDDEPRSCQQNPTICLAEAASV ARAETVFRPSSGASLPVIFSLQTLFHVATYSCPFGGELAVNSMLNSYYHTNFPHLNQT KASDYATIFGFLVRSPVVLVASNGRLVPAPGARDHVCNEALYFQPSRYTLE UV8b_02094 MASPEVPKTCKVVTAETIAKGLLTEVKETLAKLQGTNPSEPTLT AFLANGDAAAVKYAEWSKKTCQDNGFKFDLRAVDKDLLEEEIMKANDDDNVDGIIVYY PIFPQNPSHDKYMQETVDLSKDVEGMRHKHLYNMYHNIRFLDGPENRKKSVLPCTPLA VVKILEYLQIYNPILAYGNRLFGKTITVINRSEVNGRPLAALLANDGATVYSVDITGV QLFTRGQGIKKPRHQVEDKEEWGLKDCLPLSDVVIGGVPTESFKVPTELIREGAVCIN FSSYKNFDGPAIKEKASIYVPSVGKVTIAILLRNLVRLIANRPSKDNSDKGLIQAKSE AFADD UV8b_02095 MTINTAANGHGPRGHGSTTLPYRPQPGSPTLTNPDMILPDYGEP EPLDDRPHSSLAIWDDAQRSDPFDDFSQTGYIAGPLLPATPIIYGNGTMLSDIGEVTE VESNVSHPPSQNPSQLSGSGRGSGSGSGIGTPSRTSPITGERTLRKRWLAIKRERRLS IDSTSTAQTTDGTDRLFGELDDSVSVDDSNFQGDDEESLASEFVDEMPAQNSGAAVVP AGPGLNVDAFSTNSISMRAEQILANAKRRLTTMEGNLNRARTFSYCSASNGSTPSPGY GSDASMTERTKTIPPNHSRNVSENSLYGATRAAALPQRSASALGAADGYRQPLTLSRS ADVLVPRSSLGSLRSPLHSIESTLETLDEDEGSQVDSYSPPSSRFQNTAYGQQFADAG QARSASAAQMRDLHDQMEGLKGKISTLKEKAKQDSMKRRSLQSLRALSPFTYSQWDQG YAGCKSSIPPEDGSLGLAAPLNGTVHGLDNGEPTTKTQVQSQPLDREAQDCTPQGIYD DCIHQDELLQSLEEGDVLGADSKDDKVDNVDQADQVGFDDDISESGESLYHDSQQEPT DISHEDREDAFDYEHFFLHSAMGTLSRQRMSRSGSFSSEESDASVETTRGPAILHGRR PSIDTMTSVNTFATAREVMESRSSTSQSFRVHGDGFATVDPEYEDVTLSAAGGDKSGG SGHGGGGGGGCSPESHQEHARHGSVVYRPAVSHKDAPHHRPSVSSFESTGTNRSFPLV NKTTSLSGGTSGPSGSPDNQSKQVAESLMNETASICDRDAPGSGPSSPAMQTLSREDQ VLVEQVVASLGKCVLGLSEATRRGTGNHEYYRHRIEAAKRLLENIPDRIL UV8b_02096 MDASLSSRLLMELLPPHVATFAQQHLLNPRAPFQIYSQKAVSQL HVFLASLVPHVQPLLDRVAAAMVENQGVTGFVTLVLLMTAVVVVMNWIRRLVLWWTRL VMRVVFWTVVAAVLAWVWNRGVMESARDAVVLGAKVVGYLAVLKDFWMAEYKRYEASG SAGGRPSDGGFGSPRGRSSGR UV8b_02097 MSKFGAMVMGPAGAGKSTFCAALITHLQLNRRSAFYVNLDPAAE TFEHQPDLDIKELISLKDAMEEVGLGPNGGLIYCFEFLMENLDWLTEALDNLTEEYLI IFDMPGQIELYTHIPILPALVKYLSRPGSLDIRVAAVYLLEATFVVDRAKFFAGTLSA MSAMLMLEVPHINVLSKMDLVKGQVRKKDLKRFLTPDSGLLDDDPLERARRTAGGCGV GGNDDDDDDESRRPDDKQQIMKGSSFRRLNRAVAGLIESFSMVNYLKLDVTDEDSVGS ILSYIDDCIQFHEAQDPKEPNDEEEVDDFNDE UV8b_02098 MPGVNGTNGAAPQGTFLFTSESVGEGHPDKIADQVSDAILDACL AEDPLSKVACETATKTGMIMVFGEITTKARLDYQKIVRDTVKDIGYDDSSKGFDYKTL NLLVAIEQQSPDIAQGLHYDEALERLGAGDQGIMFGYATDETPELFPLTLQLAHKLNA AMSAARRDGSLPWLRPDTKTQVTVEYKHDNGAVVPVRVHTVVVSAQHSADITTEQLRK EILEKIIKKTIPAKYLDERTIYHIQPSGLFIIGGPQGDAGLTGRKIIVDTYGGWGAHG GGAFSGKDFSKVDRSAAYVGRWIAKSLVNAGLARRALVQLSYAIGVAEPLSIHVDTYG TSEKSSEELVEIIRSNFDLRPGVIVRELNLTRPIYLQTAKNGHFGTNQSFTWEQPKHL SF UV8b_02099 MDTASLAPLDIQGMLSKDAAAAQPSPPPAYSPHPRVNLPAAAAA AAAARPSQHMASLLHQPVLMDRAPFASLIPPIPAPSDADEDDGDSDQGQSPICLRINT SVKISSNHNLVCINDTPADHANAIARAVVNAIQENSSGHCGIPMVDEDGRPRPVNIKV DAGLEVQGAGNIVGNENVINQVLARQWNRLRRQRDEPELHDHGHGHDAHPPSPPKRPR S UV8b_02100 MAPFAIRNLTTHPIDLVHVERFEAEKMKQARNGLSNVTTAITGF LNATETIKHQFHPRGDSIRNDDVSVRVEPFQIRPTDIQAADPGKEIVRLTFETEQHRY QTDVPSPSSKSAVMKKLDDGPHELTVVYIPTDPLIAIFSSAKLNAWMGELDDEWPLSV LSIPGTHNSPTCHTALPSVRCQAVGVPQQLRNGVRFLDVRVSASKDDDVLTLVHSAFP ISLTGSKYFADMLHDIYSFLEENPSEAIIMSVKREGTGKASDGQMARYLKHGYLDKQQ DRWWVEPKFPTLGQARGKIVLVRRFGLEDEMAEHGYGIDAHEWPDNCEDGVGGSGAFR IQDFYEISESQNIEKKIEYSHGQLERAAEQAFALAGMPNYNAEAHPPPFFINFLSASN FFNATCWPERIAAKVNPAIIEYLCGSHGEEGKGRQQLKIGTAGTGIVITDWVGANDDW DLIRCIVGMNARLQMQPQKGEL UV8b_02101 MASQGAVWGPAALRLFRVATTKASKALRNRLADVAKPFQGQVQA RGGCTGRQPIRSAALFKQHKRTSRWLPTVPARCMNLVIRRYFRSEHTLNTRFDRSKLP SSNTSRRLAQFSGRAPFASTLRPNLTGGAMPRTAGGYSLGGSARYFSHTPAASAQVVQ NVSQAVRAFFLSGQKVRYNGAGPHGEHQYRAISKIEDEVMTKLSSSPRPVLGSFIDFR ISPIITAVGPLAGSTSAFTFPDFDASPATRPATLDMAGFLDVLFADFQRGLHDLAKTE SDIRRLSAVGALRISLERSDRIRVHFPGVGALAVEQLCDEIGIQRGIVGQDAGFDGNV GAHDALKFPFAPEFERTTSPPDGTARSLKGRELDSGETSSEDDLSLRDVFVYEFQYED SWMSDMEGYESMSPSPTLGEKHYSKDYEGFEGIYRFLEECDQAKGRLR UV8b_02102 MSGPGVGFEYPPQEVSWLKRDVLLFANSIGCTADELHYLYELHP KFAAFPTYPIVLSFKGATQEVVDFYASSKAVKIPSVPEFDYRRVVDGQRKIEFLKAIP TSSQGRKFESRTKVLGVYDKGRPGSVLEVETDLVDAATDEVYTRVTSSSFFVGQGNWH GPKGPATKNFPPPKDKQPDAVLEHQTSNESALLYRLNGDYNPLHATPEPGQKMGFGGA ILHGLYSWNTTAHLILKTFGGSDPANIKEYQARFASPVKPGDKLVTRVWRTGEKQAGF EEIRFVTEVAGGKVCLSNGRALVKVVAGGAPSKL UV8b_02103 MTEAFHVGQRVSYEGAACTVRFIGEVAGTSGSWLGVEWDDSTRG KHDGSHKGVRYFACLSRSSTAASFVRPSRPRDASQGFLSALREKYLSGASRVQDGAAP ESQIRISGSKVAEEVGFDKIWTKLSRIADLRIVILDGMRISVAKQHARESIADTCPSI VHVDLSRNLFETIDPVVRICAELRQLRKLSLNGNRFRDVLLDCLVEGVSDAFRGVAEL SLEETLLSWEELCAVAVRCPSLAALNVGSNQLAHISNVNYCHLSSHLTSINLEFNDFV ALSDLESLASLTSLRNLHLKGNNIASASRSGASGPVFAPSLQYLDLSYNNISGWYFVD ALPIHFPGLSALRLSHNPVYDAKDDGKKASSSEESHMFTIGRLANLKSLNFAAVKPAD RTNAEMFYLSRIARQLATVPEGAEHDILAQHPRYRTLCDLYGKPDVVRRTEINPSYLE ARLITVSFHYSGGPSKKTLKIPKSFDVYAIKGIAGNLFKLPPLKVRLIWETDEWDPVA GYDDHDEESGDEEGQGKDGEPADSQGQTRTEDRDGESGRWIKREVELKDGPKQLGYCV DGLDVSIRIEMRC UV8b_02104 MQQRRDEILAKKAKLAELKRQRELRASQAGTGRQSLGASDLISP TAGRADSRRDLESLINSLVGESRSGSTTTCGAASPGPRGSRPNSVLSAGELSNDTSEF AAVSNAQVTAPPPQLSTTTLTTVFECPPSPVKEVFSYSKGVQTTDEWTLPTRNRAQSV VSEAGDLTGTTDSPNKRQSRRERDREEELRQKIREEVEEELKAAKEILTDGNSAAPSL SSANYPSRELTAEELEAVTRSEEFVDFLDQSTKVIERAIDQETYDILTDYALQGKDED EGDEESGNTGGRGSHRVKEVTQFFDDRWSKKRMISAIDFSPKFSELLLASYTKNPTAP HEPDGLVQVWNTHMHDRPEYVFTAQSDILTAKFSPYHPNLIIGGSYSGQVLLWDTRAK AAPVQKTPLTGFGHAHPIYSVNVVGTQNANNIISCSTDGVVCGWSMDVFAQPQEILEL RNPGQAKVAVEDVSPTCVSFPQTDPTFFLVGSEEGTIFPCHRYDRAGAKAGVDKKISY KGHTAPVMSVDFHPSRGPIDLGDLVISSSLDWSVKLWKVRAPAATSTISAGDGSVAFL IDFVREDVVYDAKWSPVKPSVFALVDGAGWLELWDIAVETEEPMSRISPSQRQDGRTM LSKSLNKLAWEPNEGKRLATGGIDGSLTVFEVGSALGGKEGLKNEEWTNVKKLVNRVG AVGLMEP UV8b_02105 MASTGVNVLRWSALAVGVFYGFSHQRTITSSQRAEHAKHEYESK QKLIDQAKAEYAKKHAPAPSATPKDEVITDANHPNFDLEKLLLKLAKENP UV8b_02106 MTTEVPLRQDGFNSSSTRTLLRVIILCLIAGAAISSRLFSVIRF ESIIHEFDPWFNFRATKYLASNGFYKFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGVI YHALRALTVPVDIRNICVLLAPAFSGLTAYATYLLTNEMTTSPSAGLLAAAFMGIAPG YISRSVAGSYDNEAIAIFLLVFTFYLWIKALKLGSMLWGASCALFYGYMVASWGGYAF ITCLLPLHALVLICMGRYSTRLYVSYTTWYALGTIASMQIPFVGFLPVKTSEHMPALG IFGFLQLIAFINYVRSAIPSRQFQTFLATILIATFGIGLIALVALTSFGYVAPWSGRF YSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLSFLIWLFPAGVYMCFQELRDEHVF IVVYSLFASYFAGVMVRLMLTLTPIVCVASAIALSSMLDLYVSAKTPDPKEVERIVAD AASKKPSKATTGLRGSDKPKIGIFHSSSKFIVVASAIVYLLMFVTHCTWVTSNAYSSP SVVLASRMPDGSQHIIDDYREAYQWLRQNTKEDAKIMSWWDYGYQIGGMADRPTLVDN NTWNNTHIATVGKAMSSREEVSYPIMRQHEVDYVLVVFGGLLGYSGDDINKFLWMVRI AEGIWPDEVKERDFFTSRGEYKVDGDATETMKNSLMYKMSYYNYHSLFPPGQATDRVR NSRLPDQGPVLNTLDEAFTSENWIIRIYKVKDLDNVGRDHAAAAAFERGQKKRKTNKN KGARVLRVD UV8b_02107 MDQHHFCFGCGRVRSKIFQKKEKRRAGEPLLPNYCGNCTDEVRR QEGLYETSVLDMSTQEVNGKPNQASDDVVSPKTDRSGGEASKTTAHLPDVEVGVAASK LKRFPELRVQTTGSSSAAFVPVSSAESSPFFPGRKLGSAQRRAERAAVSQERERNSDG PSSAAAEYQAPYVEEENAQSCEEEGVSGSVTSCMSGSRSPGGETAATAATAATAATAA TAATAAWDEKHLASQATLPGEDAMPSDADTRQDTSHAERRTPSRWEFGNKTLLEETPF GAPDGHRSRQKSSPLARSKTYELPLPASLEDMTLRNGLAAANDSASLPQSQGAFRRKT STFSIFDYCSFGENRCPSPRFTPPSCHGSPVDSHNLHYSSSSSSHSDAGQAPLGMPSA SSDSNLGNQTTTGCFGRAPADGAYPRSVFTDYSCSTSNPYYKPRQRGSLHGRSAGSFY NPWDCKSSADTLDGSKRHPAAARFDDERVPEPIVEEPASPPSSPRQRRLLLEFDHPCR QDGR UV8b_02108 MDGDEPTQATQNVLDPRRIGKQNSEFSDEDISDIICLLYPHSPS ARQEVQRLAEEDSPHIIGKHEADGVQADYELEDDASRFASRPVSHGSHAIILKLSSLV KNPAAGFAFGRNCARCDVVFVNDPLRRVSNVHFRIYVNEYGNVMIEDQSTNGTLVDQQ LLTFHPKDKRSQPANKWVLSSGNLIKILLHNEHRDLSFLVRIPRRDDSYDRAYIAKVG EYFARNGLHPPNREPPSSSKYKNNTSGGPIDIFKVPGAPLIRKAGIEASPSPHPSPSK RKESHPSMGNEWKGSGKYNRIGTIGKGAFAVVYKVTSKYDGLPYAAKEIEKRRFIKNG VLDQKVENEMRIMQRVQHPNIVRYIENFDWEDRLLIIIMEYIPLGDLGKTISEDGTFT MEMTQTMSVQLLSALGYLHANNITHRDVKPDNILIQSLEPLVVKLTDFGLSKMVDTAE TFLRTFCGTLLYCAPEVYTEYAEYDDNGVRNRGQRMRRMPGQRYSHAVDIWSLGGVLF YCLSGSPPYPVKSGISYSELLHKIMTTNLDTAPLRKSGVTDLAIDFIKGMLHRRPERR ATIPELEGHPWLGGFESVIQASQSYDEITDDEDYPSQLQNLAYADDRVSDSMSDMSDK ENSLIAHNTQHPRLFGEVGVSAIGSSGAIPDDFLEMVAADSLGATDILGPCEDEAYDS AASDTIQGGNQRASRRSGTSIYPNQSVDQLQSLVEDVASQSLGGSVENAQEPGSLRLL SHSVDANSSKRKPPSNDASGEFDENTPPGKPVMKRLKSEGNLEEVPNQLLEEYRLLAR MPQVMRLESGRLIDHPVSKMEFWEQDKNTWHLNYPEMTQLQHDAFKQAAKDGGEEFWP GKTPLWDLAMKYFPPLTRAGGRHDCSTEAPSLFSRRDGSKNLGDATTECPPTAAPTES SPLPDTCPPDAKIVVPVQEDPSTNRALALVVTDASSCVQGISFAVTDNLVSFGRGPDN TEIFRDKQEPRVPKYAFKILLWKEGYDPSRSSSKGDQPWCKQGANEHDAAYHFWISTK ATLGIKINGYSLASSEPKNPSGPSQYWARIYNGDSLTIWGGQGALDKTRLVFQCLWGG SSKPRPKDHQRLALASAQTAAKLDAACQRTEKRIREAAEKKRRSLEARTEFDQRKRHV DRERERSRVFEDKRREAVAYLAARQTLGSRGASSAPATTHASSGLHAGSHLPRMTSPD KPAPHANW UV8b_02109 MGRNRVLSFMSQFSGGIKTPSPPASPPNDLPGVNLKTKQQLPLD PFPNHDVDAVRRPATPPSRPDYGSPLNGSPHNSPSQQRLRSSSRPLSMVQTYQPPVMD INEDTIPELQPIFTLLNSHSNKLYQEGYFLKLDDQNTQGKPNPDRTWTECFAQLVGTV LSLWDAAELDAAGEDGEVLPKFINLTDASIKMIESLPTRSNDEQPLQHILSLSTAGRN RYLLHFNSHHSLIQWTAGIRLAMYEHSTLQEAYTGALIAGKGKSLNNINVIMERSRMK SEQWVRVRFGAGVPWRRCWCVISPPDEKEYQRLQKELKKRSPYDRSHSPVLKGHIKFY DTKKDGKKQKKAQPIATITDAYSAYAIYPQAKSLVDASTLLKIEGNVTVHADPPSSTE GFIFIMPEVHPAVSGFEMLLRFLFPTWDAYALYGRPGRLVASILDPRSLMFAMPKHKK YGYLETLDVSTLILEDNSSTWAEREWRKRLKDATGTRMNALEDGTRSHSRSASRCSAR LSFGSGSRPKVGFSDDANLLQVGRDPQHMRNISDPNLGSRSELHGPNGSPQRTQLPLR NGYSGRMTPGETASSDEEFCASPPPPMHNLEAMRSLQSPEPVSPPPAFNHASQDHPTS KAYHSPELRRANSRLSNSTLAQMVNASGLSSANNPQGQYPTGSDGEDGVYPGSTNYSH DRMVGFYARNGDTREDQTIANQDRQPRNHLSPPALDIPNQRSRSPMGPPSPYGLGENS RPGTSDSNRPPHPPGQGGPPRSPNPHQQGFRPDQAAPSPGPGGPPGSYGRGRPPPGQR PPPNGAPGQHANMRPGPGGRGGPPPGHAHRKPVPRQTNSITPDHIIDHYTSDSFPGGA PVFERRPAPPPHGQGPAFGGSNGLNDEERPRAGVLKTVAGGEPPLQREAEFNVPEYVQ HRALGPCPPFGTNPAGAPQVIGPRTATPQPLYPGAGRQEAGPPTPLHGMPGSLSRPMG PPSPRMPPQGQYGPGQVPAHGRYQGQAF UV8b_02110 MPDPTNLTNITNTNVIPDDLENILTSLNPTDLAGPAGPANPTDL TGPTGLAGPTDPTGPTDLFP UV8b_02111 MPDPTNTSVTNANATVDYLPKSEGTILQSPATAKDDLIDILTSL TPADLAGPTGPAGPAGSAIPASPTNPTSPTDLFP UV8b_02112 MRPSSAVPVACCLIQWALCYQDQNPLLGADAGKLVSSHGHDYPP SYRNELLRLHKDLISIPSITGDENNVGRFIVGYLTKSGYNAQLQSVAEEGSPKGKERF NILAWKGGETPSPRVVVSTHIDVVPPHIPYSIEHGEITGATMIKGRGSVDAKGSLASM ITAVNQLHHANSITTPEDVLLLLVVGEEVAGDGMRIFSKSLAEMKNPLRPEAVIFGEP TENRLACGHKGGLFCDVVAKGAPGHSGYPWLGKSANELMVRAMAKILDTDLGSSELFG DTTFNIGRFDGGVAANVIPETAKVKFAARIATGPEESAHLVVKEKVQSILDEVDKQAF EMICTHGYGSVKCNCEVEGFNKITVNYGTDIPNLAGNHTRYLYGPGNILVAHGARENL TVADLEEAVEGYKKLILHALKS UV8b_02113 MSALQAVRYTRGKLQVLDQLRLPHEFHYDDVSTRQEAFDSIATM RVRGAPAIAIVASLGLAVEFSKGSVPGNTPQEVISHIDEALDYLKQSRPTAVDLTNAI NQLKARIRASADTKESIVEAFVTEAEQILDKDLKTNLAIGDHGAEWLEAHVKASPENP VSVLTHCNTGSLATSGHGTALGIIRTLRANGQLRHAFCTETRPYNQGSRLTAFELVYE GIPSTLITDSMAASLFRTKKAEKNIAAVIVGADRVVRNGDTANKIGTYQLAVLAKHHG IKFMVAAPTTSIDLETETGDDIKIEERKGHELTQVTGAVIKADGSVDESCKVRVATAD QRIDVWNPAFDVTPAELIDAVVTERGTVEKGPCGEFDFGKIMPERWAKVVVDQ UV8b_02114 MEQQSSTSKVTVEYFDPHDVYKLLSPGLIPRLPLRNLHWQSHAG PLRSIDALHIDLVEGDAAGSVEPGAARKQRRSTSASLDDGFQTQQVRGLDGSSETAKK QANATKSIIGAQRRHQIPGLRSTPYLKVLLVRCDDNDSYKATVRSEIREWIKEQTLPS SGPRKVSTQEKHDAFEWLIVHVVIPNTVAATQPRSSGSKADASAADKSSATSRWRPGS TPLLEKLRSDFNSSSKGAPDRVAQIRIGINDVPYDLLPRVVPAVPSGYSETAQDADNA WNDLMAKLKGLILTSFDMRVTQYEDDIKERDGQRSLPGWNFCTFFILKEGLARGFESV GLVEDALVGYDELSVGLDTVLHEQTESGLPERHGGAMLTHTEDVKRAVKKALAEAKGE AGDEEAEDLQKKETMTNQTEDIPVSFCKKAYREMILANKVSVFDFRCYIFSRQIALLL RLGNASASKDELLAKLKDQRDAILYGVAPSMPPMKNDDDGKAEKLDLLSEVCRRTLEF IPSISPILRRDISMSLSSGANAHGAKGAELDPPSLEMVDNYTASFAFSVAQQILAQTS TRALPIPPTTFKAGNGTEPKSSIPEPKTMMHPARVSSLHSQASTARPPQGQATLPSHD RWPGAAEMGSQSSSFLKGGLEELSARRAELYMLCRSILDKLGGKRAWSNGWDEAPLVN EADGQDMEEISLEEETSAVAKGRPTNAAPAFPASALGIESQILRAATDNAADFYRLYE ILTDKALQHFTVAGHKHAVHACRADLAVLKVHLKDYDAAAEHFVKSTPFFGMNGWSRL ELSLLVMYCQCLGKLKANDNYVRAALKLLSKSCSAERERRQRRSMPLTASARKPTITD MSSVRSVAQTLFSLTKELSSELKVTLANFFMNVELEGCPEYHDGQDSCSLSISLRSLL PEEITVDTVKLRVTCVDGGPCREVNFEAKGDVVIVPGQNVISVKTHSIVPGKYRASRL DLFSNKLVFHHEQDFCQPPPRTSDIFASPDVTLFQRTRGLDVQLTASKHISLGKNNAL ELVINPGWNALTRCDVRLRPTTGGLRLLTTEARVSDGSGASFAKPPEPGAMFLGPMRP DAPVTVRFPYSIEQDLGDVSVRVEAWYTNESNDSFHAAKSIVVPVSLAVGVNVQDVFK HDALFSRFNVETASSSPLRLLKSELQGSELFEPCFGPGANMAAMVFPKQQATLLYKIT RKPGGDDAKAATTTTTTGRTGRTLHLKLYYSVLQTEIEDVIRQSVMQGLRDTPLEPYS TMTAALVLAETKRGLRAQDLERAALVGEMTTACLQGTPWAHLLRGLGRVPGAEDDAAT QLAEFLERWQRKHARLRMPAWPAPDDACSIAIPVEMPCLPVLHTADIRIDDSVLHHLE GAEGGAPAAVVGQVLPATLHLKWTRRWDTGAGGGEDEEFSYEVTAPPDSWLLGGRRKG HFVIPGGKAGGGASSTAETEAEIPLVLVAQREGRLAYPTVDIREVRSTDGRGGPAAGA AACEVDWRNLGETVRVVSERRSVTVSLDASGPGGGPLVFESERLPRRQRGRIVL UV8b_02115 MSDDHQTQSYSSHMHPIERSDSSASAATSDNTESDINGPAIHHP IPVRPRLPSRKSSGPLVVPRDSSAVGPVDAPFGPDDVRAMSPRRTSEDIDRLGKEARE EMRRHAKLLQDSLITIFNRIEAVREEHDKLDNNNKFLQKYIGDLMSTTKITASGSRGK K UV8b_02116 MTTCSCPIVSFAPCLRQRLPACCWHYVRGRRPAAAEDGIFSDVR RPTSDVRRPRDARASLFVGDEARDAGLKAKVGVGAWETRHVRPTATAIAIAIVT UV8b_02117 MAVGAADEAKAPHELFDTILVLDHGSQYSHLILRRLRDMGVYCE MLACDVKFANLPFKPKGIILSGGPASVYDADAPHADPAYFKQTEIPLLGICYGNQSIA WRLDPENVVRSDHREYGSAEINIHRINSHVDRLFDGLGEKMQVWNSHSDRLSRLPKGF VTICDTTSAPFSGIASLEHNVFGLQFHPEVEHTQNGAKILRNFAVNICGCLPNWTMSN FVEQEIARVRKLVGDTSQVIGAVSGGVDSTVAAQLLKTAIGSRFHAVLIDTGLLRLNE SQEVKETLQKHLGINLTVVDASKRFLDALAGVTDPERKRKIIGSLFIDLFEEEALRIE KEAEKTPNAGPVRLFLQGTLYPDVIESISYKGPSATIKTHHNVGGLPARMMNGEAKLQ LIEPLRELFKDEVRAMGRQLGIHDDLVMRHPFPGPGIAIRIIGEVTAERVAIARKVDN IFITEIKKAGIYSKMAQAYAGLDTNKAVGVMGDNRVYGYIVILRAIVTTDFMTGEPYE FDFALLKKISTSIVNLVDGVSRVTYDITSKPPGTIELE UV8b_02118 MPTSTIRLRSVAHRLGPSPSSSLRTTPSRIIISPGAKRQASTSS NASVYGGRAKAVLFGGALAAATCVAYLYVTDTRASFHRYVVPRLMRVLFPDAEDAHHS GTRALETLDRFGLAPRERGSSLRTPELSTEVFGTLLSNPVGISAGLDKDGEIPDVLFG LGAGVVEIGGITPLPQAGNPKPRVFRVPSTDGMVNRYGLNSKGADHVARQLRERLGRF ARSSGVAEQDVLDGAARVPPGSLRPGRLLAIQVAKNKDTDERDEKAVADDYVYCVSRL ARYADILVVNVSSPNTPGLRDLQATEPLTRLLTAIMEEAARTDRKSRPKVMVKVSPDE DRDAQIEGVVEAVRRSGVDGVIVGNTTKRRTGVVPRGVKLGAREQQALMETGGYSGPA MFDRTLDLVGRYRKMLDARPCGPDEHSKTIFATGGITTGDQALKVLNAGASVAMVYTG MVYGGAGTITRIKNQMRSRLKD UV8b_02119 MHLSQTTPGLEPGDRPADACADAYAEADPDYPNDSDDVANDEDQ DHQRRIPDMPQPQSYGSFDELFSHLQAWGRQNGVAFVKKAMSRYVNVGEHRWPQYGSF VCSRDTVRPSKSTGKRKSASHKADCPFRMKLVTTKKQGSLQWTYKMVNPHHNHHRTLD TSANAIHRRFTEKQKKTIASLLQTQSLPAREIDEIIRQEARREGLELHFHRKDIYNQI ARNRRSFKASILQNGV UV8b_02120 MPDHRLSQKLAQLNPFNPSEDDEDKGEVIVIESVGGGGRSTRKA RYDKEQLRVGKSLRSFLARNGILSEEDAGVAIEESTPALRNLLGKSHFSVPSALLDRS RPLPEYYISSSHNTYLTAHQLYGSSSASAYETALKTGSRCVEIDAWDNSANPDEPKVT HGFTLVSHIPFRAVCETIRIVFDEEMAVAANDGNYTVAPILLSLENHCGGHGQKRLVE IMKEVFGHRLLAKPIRQKGHREQETHDVHVTLADLGACISVIVEYHLVSEEGEKPEKR DSCSESPSDDETEDAEIEKARKEYQRKKRETGTGAIISELAELGVYAQSVKPVDNSWY DPGALINGPPHHLINVSESGLSSHLPAASIPIAAHNARHLMRVYPKGTRISSSNLKPL KFWGVGAQICALNWQTFGTSNQLNDALFNGSEGYILKPAALRQGGNGNIFTGQKKKLC LHVAGATDVPVDEDREPGSLRPYLSCNLYSPGDVEGETCKRKTSAYRHHRLGILHRGE NPPVTEPIWDETLEWVYDDNELVFLRILVKSDDAWAKNPMIAVAAVRLAYVVPGWSFI RMMDMKGRETKCSILVKFEMEHV UV8b_02121 MHLTPLLVTLAAVGAHAAPAPAPAPAPAPAPAPEPWCWRPGEPC WKVKRAADAFAESIKTSGLLKARTPESSFSNAPGGAAYAAKRSVNELAHLTALTTRNP DEYYRSLELEARFAPDNGQHEKRGPSPWCDRPGEPCWKRDPTSDPANVKDKRWCDRPG EPCWKVKRAAEAVLKEVRSEKDKRWCDRPGQPCWKVKREAAEEGGDAKGQPDHLPFHP GHYPPECGSESIVCLKKREANPEPWCWRPGQPCWKAKRDLDALELAARSIVES UV8b_02122 MEELPIEPSGLFMHIDFISAESETQLLRIFSGLEWPPLPGRRSL HYGYTFSYKTFGIDEDVPFKPFPAWLHPLLPRGERRPPDQVCLQHYPPGAGIPPHVDT HSAYDQLYSLSLGSPVLMQFRDAESGKKIDVDLPARSMVRLSGDSRLHWTHGIRARKT DTMSDGTVRPRRDRWSITYRWLRRGAICDCGDEKLCDTAQRRKGIEKEYRWKNGVGGK DQGPS UV8b_02123 MEGTFRLGRIGNRSGACPARLPQYCAARQGKELPACLAEVNRGF IFCINQTKVMRDPKYPYPARATPAEALLVSFEGFCQRASCQKLAACLHTRTRFPM UV8b_02124 MSFRGDDQRRYGQVPPVRYAVSDQRAADQHDASTSSGTGFGRRQ SFNSGDDGAYYNYPLDRPLSRHHHPGRSSQDELFLIGSTGGADPSFDPYASPSSPMSG YQHQYHDPTPPTPSQPTYDPQSFVHASTASFQRSQSAALPYHPHPSSRFSAPRVSSYN EPAPPMTTNYTPQAYNPAAYATTSPVPQRQPAYHGYAGADHAYTSPTAASTSPGYGQS PIGTYPATFSQPIRSPSFSPGFQQSFLPSSASFAGPGSQTATPTLFDPSQYGGTAQYS PGSPNGASPYLAGASQAHSPSQPPYPTLSRIPVSPNYSDSNDQPSYYGRLRQNPPTSP SSSPDLQPQTLTGLQRHPTNAPLPSRPMEDVPEEVTPWDEHGRPLPHPDDDDDDDDDD DDDDDDYERITQENIMHDIEAELRGGGYTSQSRPLPIDVEVAGEYDYNNASAYSPPGT RLSPRGLPSQPRYDDGDQDEDDDPEGTAGVLAMRQAELEDQRFSNSAFTYAGNSTDDQ THTLSPPPPAAAAPPASHEDQSQSSGSDYCGMDLGMLSGGYAGSLVYGAGAAPLARPS SMQEGGRPLPFPGNHNSVRDNYDDDDAAFQNAEMDYGGTGGLQAPVAHRLSFDEGREE RVSIHSQHSGTESPCKDEYQDLFYHPGISSRPLPALPPGPGSDSSSMLSAHNSVRSQH QQYHSLNADAQYYHAEGPEAYYYEAGGQQTPHPERSISLGGHSNTPQIHAPARSRTDA AEERKKQHRHHHKQGSILSDNDNSAGGAFEGITLPSGRKKKFVPSRLTAGEFNKCEEP WALSGIEAWIRAMGEGELDLKGKTIDEALTNLFVFKIPTMNVADAEALSSQIVARMLE SQVLLPDEEWVKFGGGHISGVLWQLTGSGCYSPKVHETEVGGRCYAHHCTRTLKKVDL EVLADEVQGADAWNVFYGLKKEDWEAKPRKEVDRQNILHEIVTGEENYIKQLDIFRTL YRDDLRTRIPPIIHPDRRDKLLAAVFGKLDTVLRINKDHLLAQLKYRQQEQGPWIVGF SDIFREWIRKAKSDYIEYATGYPRATYMVRKEADRNMLFKKFLEDKQKHKSSSKQDWT HFLITPLQRLQRYILLLQSVDHKMIGDSEEKSNLQKAIQEIQAVTHECDAKVAESNKR VQMMELDRMLVLRPGFQSVLNLDHLGRVLIMQGELQRMGSKGMRWVDSHALLFDHYLI LAKVVVPKDGRGERKYDVSREPIPMPLLFLESMNDEPVMKQKGLTAPLGRTTAAPSGA QLSKVPTNGGRPGLEHAPTGSSGNSLTPAPSNDAEGKILYPFKVKHLGHEVYTLYASS ARDRLDWCTSIIEAKTRHAKALYAQNAEPFRLRVLADASFHYDISSVYARASGVPVKG TPLDRAVQDLEKILGAAQGIAPVCRAQVNCATGFSAFGKTLIAIGTDYGVFVTDPSNP RGWTRSVQVNRVTQMAVLEEFSVCLVIAEKSLICYPLDVIAPVSEFAPAVYDNARRAP QRLANNVTYFATARMKDRLLVFYKRKEGLHTSFKVLEPIHHKATEKKSRVFGSRRSAT GSTNTFRDFDEFYLPTECYSLSIFQTYVAVATSKGVEMLTLDKKQPMSIPDLKAPAIA NIASRIRDQKPLGMFRLNENEFILTYEDCAVYVDKHGDVSRTLIMEYTGKQKKARGST MYGQYLLLFNEDYVEVRNAENGRLRQIIAGRDVRVIDYGIRGPTGGNALQSQQTYGPN GQSLLAGDTSKGTVKICMCHPELAGRQIVLEMLLNDGHSEG UV8b_02125 MVPPSTLLRASRPLFFRSAPQPQAFRAQAQRLNRFRFRDSGKRW QSTAEGAQQQSWFKRAWESEVGIKTVHFWAPVMKWALVIAGISDFARPAEKLSFTQNF ALTCTGLIWTRWCLIIKPKNYLLAAVNFFLGLVGIVQVSRIAMYESAKKKGVAGVVQE AKDKVDEVKAGKA UV8b_02126 MSGKYAFTKSLKEVRFLFCQTSEQSAPVRSFLTRAYPTMKKNNP QVPILIREAQGTLPKIYARYEFGNEKSQSLEGLSDKQIEETVTGLVKNAS UV8b_02127 MTVITKYPPQPPPHVVLDAANFPRIHANTQPLSGRDRFARIYPN GLPQVYKMMAPKSESDPPQIYVEDYLPIGFYTKPPPSARAVFSTGNGKRPFREMKHIL PARRIHLWDKDELQSVCNSIRKTYWEHMGAMTQPYCWDDLWTYFDAFDLYHYGALNLW NVINQLFHENVIIFSDVERESANLIGQWADSWIQLAENQRKLKEWADKRDSSIVFLLS HEDRRKMGDIPDNLIPLVASALKTRRALLLAGADNFRKKRDGPNDVLSACKNRSFQNW LAGEQVFEHNALPSPPVAAEHHCSPSSKNKPAPCYFYNGHHYFQPENTGHSNKQRTAT QSSSAVEALQKSAAAAETKRLPKPSGHDSGHIIAHGTSNLAQTEVSSHDDEAAVGINQ IPQVTAVKKGIQPLSRGEVAAESSEHRGIDAASADAVKNDGSGLAVEGNPTSPSPMPR NSRLTHGKADHALPRVPSLPHLRQAQTQHLSPEQASLSGDPSHILSIGSPIKRPHHQN DQRPATASGQPVHQSSNFGVTWASQAPAAVNGRVASVGCDLPSRPAFIDSRAFSIASG PYSGSLPDNEKKLKNAHDGAQNQIQRQITGADGENPTQLTSRIPPEATLNSDLGETES NDDSSVSKQKDDSNRVLTSNLPVDGTSDHGNLGSTKYQEKRDSKSQMGNPGSMNEPLQ PFSLRTTSETTQSRQWCRDGILASSSRYDRKLHCNNSPSENYLNYTECTCKKCAERNR SVWVRVLNSEFDSKDLQSRLKSGLGDCFGDVDDVIPTHHKHGNAFIVRFYNESSVRPA LNFGSGDLRYLSLLLAPVYRSKWMTGNYPAQEKRRRAYNEQRQQNQDVMRPWCMYPAG FGAPMFPGLLGSQPPHPHNGQFSMTHGPCSFPEIPRGSTVPWQRPKGGSDSKYRYTRG GQQQKSQMQLSQPQPPPPHQQHASVPEDGKAVGNDRKHQVDENEKWTKPAEGKSRTEP LSEEALDDNCSHEKGRVSTPQSQPSRSLNHKVRVSLPPTTPSKLQPDTPTTQQNTELV EVGKSPTESQAFIKPSKDMTPEPSEAQKDSQRKPESDFASKNTLSILQNLETHTTNTE ATADAPSLSFPMLAEDNIDLMKQARPTISVALGTDRIPKTPIKVKPGNAKKHPNSVAD TDTFSPYSSEFRTSSLPSTPETGSPHENQPKTPFNKANIIMPSDDGEGTENQRRDYHG GSDNSSPQGSSCPSETNIGSLKVAKKRRNARKSKPSFSRAGRGRNDEGMTESRKNVPP AEACSKSTACVATPSAADEKPAQDQTQHGVTTEADAASYRKTFDDADALAEKTEVASV HNTATGGPQDAHLPPSSSEQHERDVGSKCEEDASHAKAHHALPQGARYGELDQDAWPS LPGSQVIQQGSSRWAKK UV8b_02128 MFRFHKTLDIVTVFHKAGSPSSARVANLVKQISANAQAGATIDQ ASDHSPQTMASRDPFELNITEEPPTVEQVQTILGYVDKKSISKVINGARDEKDALKKF RESKESFLRPVTVDWNNGKAIAGDNESEILKLLKAQSRE UV8b_02129 MEKHIFFDKALTKADRVVLKSLAQDIKTHPTRQTNRRRDGEFDL DSGLGSEESCSSSDEAPPSGCHIEANDVAALKASRDPKSASFQPTVLLSVDDCASHLH PLLDQYLLQPYIRQARKIVRHETDVAMLTHLIIYLTTSVPSALLLFRHFTYPHAILHF IMQMYYVGTYTLMQHQHIHQRGILAKQYGMIDKAFPYILDPLMGHTWNTYYYHHVKHH HVEGNGPDDLSSTIRYQRDSVPDFLHYVGRFFFLVSLDLPLYFLRKNKPGLAIRAAGS EFGTFAFYHFMSRLVGFNATLFVYLLPLLMLRLGLMVGNWGQHAFVDQDDPDSDFRSS ITLVDVASNRFCFNDGYHTSHHLNPLRHWRDHPMSFLEQKSAYAQEGALVFHNIDFLM ITFRLLRKDYEHLAKCLVPMGDQISLTMEGRVQLLKKLTRKFTEDEIAEKFKKSR UV8b_02130 MPGNSNVGNSSVYEAGDQRNVKESERDEATKYEQGQPGAHLLND PKDQRSISNRAAAEKKQQGSEDDFETAALKKDPTLPARLHGNEPSKGAKIDAQIAAEE EQELKNKGKA UV8b_02131 MGEAVIEGSNWRLVEVGRIVAINGDHPFAGRLAAIVEIIDHKRV LVDGPSADPALAVPRQAIPLAKCLLSQFVIEGLIRGSRNGVVKKLWEKNDIDAKWKES NWAKKREQMQRRKNLTDFDRFKVMRLKKQRRFEERKALAKVKASA UV8b_02132 MANPRVEELPDEETVQKPTVEEQEDSSDDSDVEEGNLPAGSTAV IHNRNEKKARKAIEKLHLTRVPGITRVTLRRPKNILFVINNPEVYKSPNSNTYIVFGE AKIEDVNATAQQAAAAQMAAANAEAEHAGHNHSESSKAAESGDAKKDDDDDDDDDDGE EVDAEGLEDKDIELVMTQANVSRKKAVKALIENDNDIVNSIMALSI UV8b_02133 MAATRSAALKLDWTKITSSLGLRGQTVASLQAFKKRNEDARRKI QQLQEQATTVDFAAYRSLLKNQAVVDEVEKRFKAFKPATYDVTRQLKAIEAFEVEAVK NAEATKQAVDLELKDLAATLKNIEEARPFEDLTVDEVAAAEKSIDEKAHQLISKGRWM VPGYKEKFGDLALV UV8b_02134 MSLPKRIIKETERLMAEPVPGISAVPHEDNLRYFDVEIHGPTQS PYESGIFKLELFLPDDYPMIPPKIRFLTKIFHPNVDKLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLAADVAKSWKENEQAAIATAKEWTKKYAQPKEDGVSN UV8b_02135 MPSYDHQPKSSKKGRVIKSAFDSESFESDGSIHVEGLVGSATIS PSGRDIALASPDGLAIIDLDSPYNPPRRLKSHGLPWLVCDVQWSPFAIRDYWVVSTAN HRALVWNLNLREDSNSGAIEHSLQGHSRAITDVNFSAHHPDILATCSVDGNVHCWDLR RPKQPALTFCDWFSGATQVKFNRQDPHILASAHDRFLHIWEDRKASEPVNSISAHTSK IYGIDWNRIRSTGIVTCSLDKTIRFWDYGKGPDEQLEHVIRTDFPVWRARHTPFGWGL LAMPQNEPGDLYLYDRLWSEDSPVENTPNPVAVFPGHGANKAKEFLWRARGNISNDGI DNREFQLVSWGTDNELRLHCLDASLYSAVGHVKGGPARKGLSLTRQGAAYKTFRTVDD SATRDRKTGTMSDHRAITGLAQQRQSAAALGLQCGGYANRHPQPAWRGPSMKAKIDHG KHVDRTQAQLGWMKGITMTRRKPSADQSRRRTFSKDHGLLGHHYPDDEWGQPDTIQEE LLRISTQIPKVKWENIDMENLTLNASLTGPWGYDGEPIFVKVKIDVPSEYPKLKAPKF FIEKTSFMPEDTHKKITREIHQLADQFLHRKQNCLKVTFTYLLGEVDLESSTTFFKNV RDLDDDDIYALADESSSDEDEDIPAGGSASMSQELPHADADTVLAPIPHRNFIPPPPR TCGARFLPDGRLVCFFPSKEVKARALFSTSAELYSKERSKGEPFFAGFGRLTYGTPSK HKYATDEASATDDQSDSLDSDVSSSTSNDSDSTSIHRMNFWYSSNRQLRKTWSEDRSV RSSGGGTGAGTGTGTGTSRRRFGRPRNLVSIHDLRANLPSKREFAEEYAIFGDGADVC EHNARVAEKYGHDELVDVWRYLALLLTKGIPLEVLSRENNQASVLVIAREGVSKVAKS RHLQAESATVADNNGLLGRVKWGQHPLAKEFIMKLFDHFERLADIQMLAMLSCVFHEP YTDEGVAYAESHLPKQETPLPLKAPSFFLDYFPTDVSAWQRSAHSRSHTNSAATTPGA LHTPVQFAGSHTSDEFLWSGDPGINSYSCSETPPLKGKPLLGESETSAPASRSPANRG ILRGNSGLAAAFTATLPKSFIGGGSSSPPNQSNQARKRPSPAEYIINSLVPAASTSGT SAQGLTNASGESGGGRTSLSEEDCRRDDILPLIPTSVNIIQTDQSVFDDDGWLNTPLL DCSRSETYARYRYAYAEMLQMWGQPLARLEVLKFNVLREDSAIAVTDGSFHDSTTLRE GANGTMGHHKTGSSPIAMGKKDQLHNLVASGCGLDVTGICRVHETQLEPTRYTSSHQN SIVQARRSASRHYSVHEARWKPPALITRALGIKYLPSAGKLLAHEGTGQEPFLERL UV8b_02136 MAAAQSCISSTSEDALWRPDRRRTTFAELPAELRLKIWSCAVEP RVVILDDLVQQEKSYPLPSVTQLNAESRTETRQGYEPAGRGSCFDFSRDILVCDPKIS DQRFDLTLEDLALRVQRLAFWDCFPDDGRIDVLYHYSAYLQACYPSGHSGKIEFDKFW FPNLKDLWIVKVGEVDRSWMVGVDKDLPCEARLQKTARQFRYWVDEDIIEIASLDLSE PETKMILREGRCGKTDCQQLNLGRQRMVSKVVFVDGKYDGGLSKDEHQRWNRIRPWPT VVEQGATDTDTSANRMRWIIVERILTFSLRWDEPEELDHQDSLDHRRSRRTENTG UV8b_02137 MKCSLLLLSLTAVGSALPTWRQGPIMSDDDNLMERRDIITIEIV QAQSSRFTNTVVTKITKYASDAQGFLTESFKGAVADGSGELMTVSDAKPVMPLMRLKG SRKNHHHHNNNNHHRRRHRISLLGFRNRLAVLILAFALVLAVACSYLRRIYIASLQHD TDTCPEDAALLEKASPRKLTPETAQS UV8b_02138 MARTLEPARAPDCSILGRCLTLAALVARFLNLPDVGYNAALRRF DHTQQTRHASGPDDFFGSAQSKSSSIRLGTPRRKELYGSSAATFREELPSAHGCMSWA WILGFGLWILDQRLV UV8b_02139 MPSPTDDETPFPALSRAATFNVFGRALLSPEDAYLSPPPGARDF DGRGVPGMRGVGERSRSRRRKRAWKKLMWVKQSYPDNYTDQATFLENLQLNPRLKPYD FWPLVADSTVILQQVCSVIIFIVCFVGIYLERVSPVSVVSWGSLGTFLGWLLWERWVS EEEDEEEQANAAGAAASSAAAGAAGSASASGLASLTRAGSLRRRNRAGSVRRPPHPLR VESLPPTTTTTTTTTTTTTTTTTIMASSPAALLTGNPAAGFALDLRGNGSANGHATAA NGRAAPPSSSTTMLSSTAALASTPPTSNNTNTNTTATSSATAATSIRLSPKPSAEFRG HDGSLAPPPPPPPPPPQLPLPLPLPLDENRTHQRLGTIKSALLIYSTLLGLSPILKSL TRSTSSDSIWAISFWLLAINVFFFDYSGGVEAKFPASLSTNAALMASTVLASRLHATN QVFSLTLFSIEVFGLFPVFRRHVRHRSWRYHVLQTMLLVLGAGFGAGMVLTDAKAASP TAPWRWRSGVVGMALSVVISVLVTGGSSWWLIGLQKYKNEIRGPWDPARPIIMSRTRW DDG UV8b_02140 MANSSKSFDDVLVRWQLEHPFSFWLARRQGWTAQGCGRCRIRLF AAFASRHARLKQQRGAAASVTVVPLHLPASLLVTKRC UV8b_02141 MKASFVTLAVAGFAAAQNMDGLPPCISPCIQQSLRHIGCTGSPA EIAVCACKPETQSMLVSPVTQCAVSSKCEASDLLKAQSVAAAQCKALAASSGTAAPTS STSGSPSSGSQAASSSAAPTAIISSSSVPYGKNGTTAAAPTSSTDGAGASATDATPTT TSNGTKATSSGSATTSGRASSPTNAAAVVGPATGALVALVAAALAL UV8b_02142 MESSPPADDEATYGGYSRFEIELEFVQSLANPYYLNHLASQKLL GQPAFVAYLGYLRYWARPPYVKYLTYPGPTLRHLELLQQERFRQDIMSPDLVQRLVDE EMKAAVGWHRE UV8b_02143 MLLFCPHCANILTVSLTAQRTNRLECRTCPFEHNITEPVFSRRV FERKEKEDVFGGPGAWDNAQKGRVQCPADGCNGDEAAFYQVQIRSADEPMTSFFKCMA CGNRWREN UV8b_02144 MASEDDQGASPQELLIEACRRNNPDLLAEVLADKPEAEITRLLN DTTTVMGNHLYHEAASQGHYEVIDALLDQPGFECDPINRLEGDTPLHAAVRWISEEPS DQWGYGFQLVMMMLEAGSDPRVRNKAGLTALQLVNPEDYPLRGLIETHVYAAQNQGDF VSVDAGGAAAAAADGDGDAGDVDDDDEFSGSDEEDRAEWEQRKRGGKPGRETSERTSD UV8b_02145 MVVSTRRASAKPRSQCCVNHLLLTIATLGSLVPAAHLRRLSSAT EPWQADFFRYTKRSIPAKRGPPEDWQGKIPLVVTNKCETTIWPGLATQSGTGPGTGGF ELQPGKNRTLWVAPNWQGRVWGRTNCTVNGDSCACKTGDCFAKLDCEFSGATPATLAE FNLAGGTTGMQTFYDISLVDGYNIPMGINYLPAKNTTYIPPNLTNCACIATTGWLYSN AKTGTFYANSSYPVPLEPQETNDSIENWCPWPNLAFPPTKPGDGVYPYPDDKIRRPAF SPCNSACAATGSDQDCCIGKYHDAKICKPSSYSRAVKAVCPDAYSFAFDDQRSTFIVP KGGGWEVVMCPSGRSTNILRQLGQELSQLASGGRLSARTMNLLRNVTYIEADKGAAGT ARPCHGRMLSLLVSLISLLVML UV8b_02146 MSPVTDHIHSAPIHLTGSLSTRGNSIWRKTLESRASCQITDTNT ITNTITITITIAIAITIAITIAVAVAIMGRQPLVIKWVLDTRPLWPAAKATRDLGTEA ARAFALLTAEERASILKYHFVKDAKLALGSALLKRLAISSHCSVPWSSAQFVRDARTK PVFLLPDKSEPLVFNVSHQAGLVVLFAVHRPPPQLSVGVDVVCPSERRDRDHALVGQD GWTRFVEMHESVLAPGEAARLRALLPLARDDADDVGDVDGRLAYFYALWCLREGYVKM TGEALLAEWLGDLEMRNFRPPGGGGQLEVWFRGERVEGLDVRLEWFLGDYMICTVVRG VGDDAEALGVRQQGWELLDVDAVVDAAERAAASA UV8b_02147 MSSMNSRLKGFGFGKRKSTASIRTTTDGVPPPITPPPGQIQIPQ HHIPLPGQTPPIGIGIGSPSSTTALAMNRPPSYTANYPQGPPGGPGGPGDRTSPHHGH SRTPPTQMVGGPPPIHTGSPLGYPPPNVPPMGQGPPMGGAAQPGPGGPPPPGFGGQQG YPPPGPPPPQGGPVAQQYQRSNPAAEVEGASKSKAQLIVGIDFGTTFSGVAFAFATNN EAKEDIISEWPGAGSYTKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKVE WFKLQLMLSGNTYIDPINLPPLPPGKSEIDVAADYLFKLRQAMRSALQKTLGEVFNRE ERNIRYYLTVPAIWNDAGKAATRAAAIQAGFLRDENDNRLTLISEPEAAALFCSKTGL LNLKVHDAVLIVDCGGGTVDLIAYEVEEENPFTVAECTAGSGDSCGSTALNRNFSNIL RTKIRKMKLPDGSKMAGRVYAKCIMDFENRIKADFRNNGQKWAVDVGIETEFPEAGIE EGYMTFTNEEILQCFEPVVNRILELVRNQIIAIQAQNRALQNILVVGGFGASEYLFQQ IKLHVPPQFQSKVVRPMDSVAAIVKGAVTAGITERVITHRVARRHYLMATLQPFKEGY HPEAYRVPSLDGKDRCKFTRQIFVQKGQKVKNGEPVKVSFFRQVAPGATLMYEDVLYA CDDDVCPEYTKDPRIKEVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGS EFSAELVCQGEVMGRCRARFR UV8b_02148 MKSAVALSALAAVAVAVQPKFLNSNFQVVAGQPFTLKFDSCQSG CTIVLQNGPQTNTKDVKVLTSDATGGAFTFTPSGWASDTYNFKITNNANPSEYNFSLQ FSYQGDGSASASSASASASGSASGSVSSSASAASTAGTSSQTGSSAAATTASGSTSMT SVTSMTSMASSVSFTSFAMTNSTATTTNSQSSTSTTSAPAVTTTVPNAGVRASPLALV AGAIAALAYLG UV8b_02149 MALLQHPASSGRFVTPGARGRNTKVPGGGGSSSSSSSGSIPART TCCPARQDPFTARAPKCPELPQCPKPTRSASDSWFAVVDFHAFSCIGAGRCRQALAGA DRCLGAGGAWRAHMPLKSRPAWQSAWPLVTSAWQLVIA UV8b_02150 MAGVIKSALPSHLKPVAREGDDNGFEKRHHGKTRSHMAFENTST NVAAAQMRNALTNLAETVKDPNDKKLFETEMDNFFALFRRYLNDKAKGNAVDWDRIAP PAQGQVVDYEDLANSESVNFLNKLAVLKLNGGLGTSMGCVGPKSVIEVRDGMSFLDLS VRQIEFLNRTYDVNVPFILMNSFNTNDDTAAIIKKYEGHNVDILTFNQSRYPRIYKDS LLPVPKDFKSPISEWYPPGHGDVFESLYNSGILEKLLERGIEIIFLSNVDNLGAVVDL RILQHMVETKAEYLMELTNKTKADVKGGTIIDYEGSVRLLEIAQVPKEHVNEFKSIKK FKYFNTNNIWLNLKAIKRVVENDELEMEIIPNGKTIPGDKKGESDISILQLETAVGAA IRHFNNAHGVNVPRRRFLPVKTCSDLMLVKSDLYTLKHGQLQMSAARFGDAPLIKLGS DFKKVSDFQKHIPSIPKVLELDHLTITGAVNLGRGVTLKGTVIIVATEGSTIDVPPGS ILENVVVQGSLRLLEH UV8b_02151 MGSVDVLPFWQVNCSPQDRTLDCPPFLRGLSEKDQRIIGTPDAA FRVLTWEEVGTIVRENRLEMFQRIPSQLRRYRAFTYRLAEHYGSVASFVLQVRLGWES PVKARGAPFQYADDVRILWNDWPYGLDDRIVHLVVWTKFELKANTTNGDLTKMVRDEI ENFVTKTFRSRVPSNNVLWFKNWASLKSIHAVEHFHVLMFDPDVEFVRQVTNGDVPQC AKEEL UV8b_02152 MQAALKPSRELLVAAGESPATIRVNNIRGVVQGPRDAWGRRNRP QPVSVSVTVCMSEGFGATPAGDALASDTVHYGLLSKAVLSTLARLGADQNPDRAAGLT LPDVVQRVWADLTGLDDASGAGAAAFLNLSCVRSLEVTARLDKATLQGDGVSLARAGV FAQSELVMRGSTLKLHGLRVPALIGVNGNEREARQAVVADVAVERFDEAHDAYCDLET VVVKVMTDSAFETIEALVADLAAHITAHLVGSYGRCKDEHGWHLRIGVEKPIAVVFAD APCVELSVNTNDVGPTRRETRPL UV8b_02153 MVNLTGVNLCPPPFADSNLFSHSKGYVDGRLCQTITEDLKCCLP CPLTRWVYPDSFDTLTLAANWVATVGLISCIYLLASWVVLPVEKTCRHYLSVCMTLSI LLISLGLVVPLAAQPEQCYDTITPNSMSSSRVCGASGTFLMLGGWSVVVWAFLRSLSL HLQICWQVLVGRSFMFFAHAAGWGIPLIDLCLSLVFSGVSFRFGPTCHINHKNSLAVF WIPLSIFAGATVIITFATFGYCVKVYILSLKDTSASTEASSLPTYTNSLRTVTPRQAY RRVKRVIALQWRGIAIVLIIICDVIFFTFIFVFQDNLVQAVKSDPKLTEKWVMCLIGS AGDKNACLEYVGHVVVNEATVSSVLFLLALNGLFASFLLGRLSMAVTWVELFKSLLMG GAKQKEFVSVDARQDLKNDPWHSRSYEMISKDSGAAVKSTSPVKSPSPCRYTPDYSRQ TSPYHAPASSFSSPRSPQQTPGIWQGTPAGPDCEKMNPLGMNKI UV8b_02154 MDSVTGKDRRPFRPATVGTCHAQTATDRFGPEARRAIADRERLS LGLHPTGMEWPVVWPTSDPDDDGDDDDDAFGGQTPSASIYKLVPSMQPYRNNLTALSQ KYNLYFAAYRSRIFVHVPRSVPTQTIPRHPQLQLYAQPSSVARLIGGYIDRRSPHSLN HLVVGLLGKREILVSCHDDGDVVAFYTKDIAEYISHESRVPNVAKCNQALSPPSPPSP PSPPPTSACNAPKPFFHENVGISAWGLAVHQRSRLIAVSSNRFEVTVFAPALTTKDST GHRNCDCNTCCRDVEEQVRHRARNWRIVVSLGSLADNIPNISFVDDKYGYAEKICAID IKGAMWLADIWKPSQAAIRIAPSNSPLLKSEEFWPASSRGWGILALANHEFLAVRSEE ELLGAPLKDLEVVPKRQAGPHPMVSVAKYIRDLPENPCTLPGMAAAPNRPNNPVPHFG LDTDAAGGVAFMINQSNDGDDDFVDNSHSEFGDWEEQSEGATEEGNEDDGLVDVPEGD VLGGQDDLEVEEHDESDAMVHQGGGVSYPVVLENDEPLPLANEEGEAGWAELAELAEL TELTTPVVEPPSSGSAPDESPLAQEVPSLAHLLIHLSKPPGGSSPPSESSAPLHASLT QCLKKLRPHCPPPCVDMVYMPHSAQVHELPRQTLPLFRFLRKPGESNENTQDYASSLG NVAERYHVLRMYEKDLEMRSLDKRHQNGLPEFDILCPYALTMGLSPGRTARPHFRATS RLSMVIHIPELCILAVGSPIGRVLILTPTRLEAPVEKRTGVLHHGLRIEWILPRQSDE AVFRVEERPLHGMAVGPVQEDGPMGDEAEDDADAADGRVRVAVPRRYRLMLHYRNHDV LTYEISREEQSGKLCIF UV8b_02155 MSYAHVGAPTAFNGTDNDIGGDSRSANLNQWYQAGDQAFILLSS CLVLLMVPGIAFLYSGLARRKSALSMLWVVMMSFSVIVFQWYFWGYSLAFGQTSGNAF IGDLQHFGLIKVWATPSVGSPLIPALLYSFYQMMFCAVTAAITVGAVAERGRVIPGMV FTFFWATLVYCPIAYWVWNSNGWGFKNGVLDYAGGCPVEIGSGLSALAYSWVLGRRNE KMMMNFRPHNISLITLGTVFLWFGWLGFNGGSAFGANLRAVMACWNSCLTAMFAAMTW CLLDFRLAKKWSMVGWCSGTISGLVAATPASGFIDPWASIVLGVVAGLCCNFGTKIKF LVRIDDSLDVFAEHGIGGMVGLIFNAFFATGKVIGLDGVNVGAAGGFIDGNYKILGWQ IAAIAASSAYAFVMSAAIAKIIDIIPGLKLRASEEAELFGMDDDQHGEFSYDYVEVRR DFLAWSPPSGEPALDGEAIEPRHGIHQHASMARPSSASDGHVDKKSSPSSVQDDRERA CRSDSEKRQ UV8b_02156 MANANRPQCGSVAYQPVHQDDNPPPASEAGHGHGGNDDALDANP DQTLLPWPSRAERRWRLATYALSLLVVVLTAVVIFLSLPLASKGRNDDGALPCPCLPK DVPQYFQTSPELWAGPTATGRAPFMAQSRTFEPTATFVPNEPLQTSLPIDGMGSGNKS IFNMMGYLSPYVPSPGFGVDEFPLPSGAELIQVHMLSRHGSRYPTGGSEVAALGKKLA NASKTFKPHGSLAFLEHWQYQLGAEILVPKGRQELFDSGVLHNYMYGSLYNPHSKIIV RTTTQDRMLKSAENWMAGFFGLEWTNNATIEVIIEKRGFNNSLEGSLNCPNAYTKTPG NEARKAWTHKYLQLAQKRFQSMTDGFNWTIEDIYAAQVMCPYETVAYGFSQFCDLFTY QEWRDFEYSIDLQFASVAGFHSPTGRAVGIGYQQEIMARLNNHTLGYSGSQINVTLDS STETFPLNQSLYFDFSHDTNIVAILTAFGLTQFADPLPATSYPGLHNFTVSHLTPFGA RLDMEIIKTPKPLSPNRDGYLRGQETKYIHFVLNQRTLPLGKSFPECDASRRDGWCEL ETFMKVQERMPTLARYDYACFGDYPPVKYGQVTNGAPL UV8b_02157 MSPAGDGPVVGSRPVPVRSSAFAVALAESNELPTGFPPVLDSPL AWTGGQFQKESEYVLQLTKGHLQEIEAALVAFKELGLDGDLVSRDNFPLPTLKPELTN LGRAIHSGRGFALVRGLNPQKMSVEDLTTVYMGIQSYMADQQGRQDKKGNMLVHIIAD NSTEIKASHHRHSTSPIVKSWTDPLFLHGKQTTNDGLQTFHNEEAGDVVSWLTRSTAA SGGKCIIASSYTVYNALAATRPDLLRVLARSDWPFALPQFHCRPVLFHHKGRVMTNFG RTALMGSASHVRPAHLPKLNGAQVEALDAIETIAKATQLEITTQAGDMHFINNLAILH RREGFVNGTSALEKRHLVRMRLRDEALGWAIPEALLDEWNKAFDPRLTRVWHLEPMPD GYFPLRSQSN UV8b_02158 MPQKYSFLPHQSALEKAPALDQYDLSANEQQFLSAGWPEPIITP SQTLAYFPRRMAEIFCNGCDFTTGHLDGYHTLPQGPDASYSLNQPYIAEKQPVVASQA LPGTSTLPYVEAGAGSAAYEFVPAQVASSLDTFSHAVELQTSEFFQDGFLPEHNANFS AFFTDQVLKPETGLIGPLPIPDQSGLMLSASCQDNYGFGTFVAAGSPSGQGIGSSMLS SDGSGILMVTGSLVETLPQQSWVDTSSNAHQTSFDIILPNQRGGKRGPFKDPTLREQT AQTRKIGSCIRCRMQRIRCEHNPEEPGGACLTCKKVSNVKAGRFPCLRYKITDIRLFK PGQVPGYEWTRRWNNNISDPIQKWASAEVKFIRISSGFTKKCIQLPVRKFIPQDGDKT ERTWDYNGSKRSVKVPPYALMDLEDGKTAYMRHISDAMGDTIKHIADRSSGLLRKTYV EAFRMYQDATIPEEWKQLLDWTFRLWVAVRLSTNSDFIVGEEKLGMADDILDATSPNA GKIPVPPVLGAQLDLVLIHHIQTRLRRELLDKLQKMVLKNRQCTWFVTYLVTFILLHN AALIIAHDAAYARKHGIRRRFAREDKVKEYHLGANILLAHFHYCNKGWYPFSDECKDQ DLRSLADLDEEKIRFVHETRKFAKEHEREWEQVREKGAWEHDYFFVSQLFQENWHPRT ES UV8b_02159 MDLSQRSIHDVIHPTAAFSTYHSATEAGQVVEVDSRQTSWAQSR LNPKNRIDSLTHPEKPSWRVDGCTAFGTQFYAVPLFLERFPPIRVDVFIPEPATLPPD VRDALDLDVTFYTRDAARISRLGITSHILRILHHWTNVQKDFMQTYQDLPFGSRIVFH NLPKDIKKTSISIARTHHLERQLLSVSAMEKYWGEDIELPPTVDISDVVYLYQLHDSV CLVNIRGRTWIFKALTSYTKYLYHELRQLLLIPPHPGIVSRPVHLVTKKCSFGNKTAV IGFTLEFHIHGSLRDLIPFMQLHGQVSLADKTRWSLQLASALLHLHDVAHFFYPDLRL DNIVLSESRDVIMVDFEQRGVWCEFAAPEVNAIEYIRLLAIDDEIDSNIRDKYADMLS EMLPGWEEMGQGEDYTWPSKGYNVPWLCLTRTEAEACEVYMLGRVLWCIFEARSAPQR SAVWLSYPWEPLVEFPGYTTTPEPIRLLIDACTRGRKVGLSNYIVRKQKKLVMRELEH DGTSTAEQVQQVASDWWAREIELSVDWLKKRQQGMKEGSWNENYYNRPGLREVYHALQ MFQTQRDLTG UV8b_02160 MSSRGGKLAPEVNRALFVKNLSYSVTPEELFDLFGKFGPIRQVR QGISNATKGTAFVVYEDVVDAKQACDKLNGFNFQSRYLVVLYHQPEKMIKSKEDLEAR RESLAQLKKQHGID UV8b_02161 MAIPVFYKVKERFPSPQQLADPNNSEEILGMIRHLGLARNRLAT IRKFAAAFVNAPPTREKLYQVKKYDKRDVGTISTQCSFQPGQLDRVSGQSTACNDGQV AMDAWEIGHITQGKYTLDSWRIFCRDELLGRAEDWKGEGRGPDFQPEWTRVRPHDKEL RAYLRWMWMRAGWEWDPATGERKALGCELREAVNEERVGYDDSGGLQILNRPRQCS UV8b_02162 MQRGPSGYGLGGSPAYGGPSNAPDSSGGSVLEQVRHYTSKVEDV LDTFSEPIKPYLPAIGRFLIVVTFLEDALRIMMQWTDQLLYLRDYRHIPSGLTHIFLL VNVIAMTICSILVIARKHSDYAVAGLMGVVVTQALGYGLIFDLNFFLRNLSVIGGLLM VLSDSWVRKTKAFAGLPQIDEKDRKMYFQLAGRVLLIFLFVGFVFTGQWSIWRVLVSL LGAGACVMVVVGFKAKFSATLLVVILSIFNLFVNNFWTLHEHHPHKDFAKYDFFQILS IVGGLLLLVNSGPGQFSIDEKKKVY UV8b_02163 MAAELIGTTVGVIGFLGQLFDGCVKAYGYFTAAAHLDTDSQRLM CKVRIEEMRLVVWGREWGVAEGRLEAHLRGEQNPQLRRLAIQIMQELHETVTDFKKLQ GRYGLCEDGDGGGGNAQANGHEPEMPSPAAAAATSPSPSLSKKGSSADETSRPGRFKT ERGWRKEWGLRTRWVIADKDKFTTLLRDLKDFNDGLERLFPPSQLPSFQRAWTHRLLD SAQRDLAQLSLLETASSGTYPQLNASANLKRLRINLDSRPQSAFRPTLALKVPRSSLT INPPVATAPPAHRQQKQGDGGSGGSGGSGSRGTRPPTPPADLRRCQGHHDTAGDVVVE WVDYDRDAIEERVAHVRRMDDLARMMHSASRCHPDLHSIDCLGYTDDAANSRYGLVYK APASSYSTLHALISSPDLKTPDLDDRIRLAHTLAVALWSLHSLDWLHKSLCSFNITFF PSAFCAAAHAPTATAALVPDVSNPYLIGFDASRPDTDTALSVVPKNPSIDSIHRHPAS ARGLPYCKAFDVYSLGLVLLEIGLWKVLQAYHRPQHSADRWRDKVVMAVLVPGLGSKV GKRYRETVEMCLQADEHMSNAEAAKIMGRVVSTLESIRV UV8b_02164 MGQVNMGQVNTAQVNAGQIVEYIPDRLYLGAYAHPPTADTLFPS PGPETPRKRAQRAADYPSSMSSGRQPPCYFTIDDTLLYNAFHHDFGPLHIGHLYRFAI RFHDILGAKENKDRPVVFWSAADPRSRANAACMLACYMVLIQNWPPHLALAPIAQVDP PLMPFRDAGYSQADYGITVQDVVYGVWKAKEEKCIDLDNFDLEMYERFERVEHGDFNW ITPSFLAFASPQHTPVCRITEGSELYPLLPRDLAAVEAHPTLPQPFKNVLAHFSDKNI GLVVRLNSHLYSPSYFEALGIQHLDMIFDDGTCPPLTTVRKFIRLAHETITVRKKGIA VHCKAGLGRTGCLIGAYLIYRHGFTADEVISFMRFMRPGMVVGPQQHWLHLNQGTFRE WWVEERVERRLRKELAAAAAANPAPSTPIRAMQKTSMRNGQAMSTPPNRTPSNRTPLS ELDQDRKNGVQDDYLPAPTPGQPRKSTRAADRHHPYQRSGVQRTSIEEEECLQQETEI MAMHRHSHGADSDEELHLRVRSHRKGSQSPLRSKKVRAVSQTTSIIYVVDNDASQDAE NISAGRPKTMDRVASTPTVMSKVRSSKRAAAASESPLRAKEPAGVRKISGRVGSASMS ASAMAAATTARKVSGSSS UV8b_02165 MVNEASSSQVDPSCQSAMNTDQFPCSISLSVPLPTARLASTALQ ALQVDPELSPLVQRQLRISPDDDVILQVDYRATTNRMLRVAVNSFMDSLKLVLDVMEH LDTDVLAASEAAALSVAA UV8b_02166 MMTSAAAWDGQDQHMSSASDDEFHHFLDMTSMSNVGDGMQFDFR SFQDAAPQHMLNQHPQQQHGQPTHAIMGDAENAHAMPRRDVLASQNHAPAMATTGCMP ASLLPSSAPSDSISSIDAQIHYLQQQKFQQQQRQLQEQQATFFSQSHYVPPTPQSLEF APGSGHFFSQAEQVPQPTAYDRGYRRPLPEQQDMAFTPLVSPAVTPLDPHFNMENAFS IPGAYFSPLTSPALYAQNEASSAYDHGTDSNNSPGEMDLEAPAVVVPSAGSSTDLSKK ARKNNAVKVRVKSGVKYSPIAKPQRRKTGPSPAIVSQVLNEVEEGLLQPADQSLLPLP ATSTEGSEDNASVSPENLTDMPPPPIPSRRSTSRSPYIQAQSSSSQQPTPRSLAEEQQ QQQQQQQKKKQQPHPATPASLMKLPASKAKKPLATSHEQHAVTENIESLELPESISGG GLAPINTCLTSPLSRTELSPPRSAPPRQQQQQPLLSPVMRTSGTVSASQSPQLRPGSS GPSARKTPHLASRNSRKRSTSSVHASPALLPRISPNIKPLLPGAPGAPGMSLEDTASR LLMTKSNYQNILEGNTVPGVSYPTELSTNLTSKRTSHKIAEQGRRNRINSALQVMASL LPDQHEVDEGDEGEKKEGKSQCNVPNSKARVVENAIEHMKNLQQENVDLKQELQELKN RLKNLQHTGATTT UV8b_02167 MAVPKPPTSLDQSCTVIHNNTLYSYSPDGFASIRLEDGAEWEKL AMGVKVTGAACVGSERPKNVDPSFFVVGGQATSDDYTGLQKFTYSTGKWATIKPTNLV TKHRQYHTSTYIEAMDSILVFGGNQDGRSGPSSQTFSIQASEPYTVKSFDPSTPPNNP PPSFRPIVSRWTDSNAIMVGGGTGMDNAKVFFFNSDVGWRFSGASLAQPLDKDTQFIR GVSVTGTDGSTSLLFFDLSQSPNKVTRVVVQDGSGKPVLNSPAIVGRNAARAGKRSLT LGNWPEYDSTLAPGETRQNYAIAQAPDGMVVISGGNADHPIALFNTTENSWVNATSFF SRGEQKLLAVTSTTSSTVASTTSHTSSTSFSSSTAASSSSAVASAAAAAVTTQGDNTD AFGPSSNAILGITLGSIAGFLMFLGLVLLCIRRGKKILGNKEDANPNALPDEKDVSSW SKPVFCTSGPFRGHRPQASAESNSSVAILMGRMNKEKAGVARKSSNDTTRSSVSSVHK QFKSTISKPIPHALVPPALEAHDERGVAFSPPVAEPRPRNGQSETSDGTRRSSGWNRY WSGGSALQIIGYGNGKRATTISEQSSRYSEAAADPRVTQDSATVPPLNFDGRARVNSV NSGSPVVAESAAKMPFTEGMSGTIERPVSAVSSGYSSGIPESIIDVWDPAEANKPWGA NRAPSEAYTAGTYQTNEDTRDSRVRPPPSGVSKQPQLATGSISDMSWLNLGDHSGA UV8b_02168 MAPRGPRATSSSWTTRGATTGAKTTTRGGVQKRRAGATRADLDG DLDMDAIGKRAARTVATEAKNGKGRPARAGTGKNPRGVSTAAQTVLKHLSRNEVSSLA SRVSEANSGRSSRGRGKGNGLCFLRVHGLKQSKAANNQDGGLSDLLSFLERKASSLTS SAGKQTRQVMIKKSHMAGDYVFIGASKEDADDLFKLNTFTFAGAQLEIVESTDDLAHP NKATESKETQELRAKLQSILSQRYHGANKLLKLDSLATDAELVQLGMFENRERALKTF KGLMAICDGLFKTATEKREAIESISLANNSIDDVSQVDSVATTFPHLKNLDMSGNQIG NMQALDKWKGKFKMLETIYMTGNPIEVSEPDYKATILQWFPKLQDVNGMQLRTPEQIA EQQAATRPKPIPQSGPDFRDVNGIGENFLLDFFGAYDSDRQGLAFRFYDDDSQFSLAI DTQSVRDTDAPAPIPWGAYIKFSRNLIKITHQPARIQRLFKGASLIQELWKSLPPTKH PNIKDDLSKYIMDCHPLPGLADPTGQNRLGVDGLIISVHGEFDELDPKTAAVGKRSFS RTFVLGPGQPGKGPIRVVSDQLSLRAFSPLPNVFVPPIQVQVNAGNNHEAMVAELCKQ TGMSPQYSEMCLTQVGWEFDKALVVFNEKKAQLPPEAFAARPAQ UV8b_02169 MDDGEFGDDFSDEDFLKALDQISSSNTRELHRQPSDAAQCQAQP WQGSDPLQAAAQVLQDLPPDAFSLSESEHSDTAIAEKPTPATISSRPRQKNGLQRTNS GSYRQTTLWGTMAHDDSSNHPQLPNQKVSRAGVPREELTHHEIDREAMKTWVYPTNLG AIRDYQFSIVKNSLFNNTLVALPTGLGKTFIAATVMLNFYRWTRSAKIVFVAPTKPLV AQQVDACYNIVGMPRSDTTLLTGEIQPALRVEEWETKRVFFMTPQTLLNDLSHGYADP KSIALIVIDEAHRAVGEYAYAKVTKFIRRFSKSFRILALTATPGSKIETVQEVIDNLG ISHCEIRTEQSIDIRQYVHDRNIEQLVLDPSDEMNLISELFSEALKPLVDKLSSQNIY YGRNPMAMTTFGLMQAQKEWLATRGRHANQGVQFMMRATFGVLTGLAHSIKLLNFHGI KPFYDNMVDFRNEQEGKGEKGSKYKRQLVEHAGFRQMMDRISAWLRTDGFVGHPKLTA LADCVLNHFMDRGEDSPTRVIVFSEYRDSAEEIVRELNKHRPLLKASVFVGQADGKRG EGMKQAQQIQTIDRFRKGEFNVLVATSIGEEGLDIGQVDLIVCYDSSASPIRMLQRMG RTGRKRAGRIVLLLMRGKEEDQFAKSKDSYAKMQKLICEGSRFNFRFDLSTRIVPRDI RPEVQKRHVDIPLENTQNQSLPEPKKRRAPAVRKKPAKKFHMPDGVETGFQSLSYYMN GGQSTSRQEKPGCNHELDDLEEIPDVNAVTLSSEELKELDRAYRYLPFDHGAVEETDM PSLTAFPILQRQLRPVGAVKHGTYTKRVVKLLAKMSDGPHSMMRQLDIVDTPIIADIP VEGFVESDAESEDLRLPDASTRNRPTAQNSTTTNNGDAEPSNNKKRKFSAPEPDDVHV KASTSKEEDVQPRGKSRQMQRLKGKRKRRKTTRSPGGGINSDEVGDDCERDSDLGDSS DSDDGADLRDFVVEDNQATSSLAGSQATSWTTASKSGTPKKEPQRPFYVPTTFSASQE SDAIPDLKTLVGGGRSTGKVEMNNVVESDLGSHDARRVARGRQRILDSDSDE UV8b_02170 MVCAGARAVSALKFVGTISLGLLTGVSYNVSTVLLPTLLHLPSS ASASQAVAALTANLRAPLLTLTSLSSVPLFLAFILSPRRSRHPYLFYTSVLAVLSTAV PRLLPKAVPRPTAAHEAKKPSPAARAKMEASYEVLGDVHSEAASEEEVEDVNGEDVRI QVESLTRGYLARTGLAALGFAMAIVGLWGDGSPAVAAAVVYVS UV8b_02171 MSTSQLPQLPATHADLVKYIAGNADTPMVEILKPYRHFEARLRE TYAQDRQNSILEDPYLNVIPLFNEHTSMITTRARNLSAESENEKSKYIMPLPEHKRRP HGSPATVADFAEFKHNFNTFSESSLAELDWNNVVAAGSSVVNCLLPVPREFKVSKRKL REYYHEKFCPASDVDLFLYGLTHEQAIDKIKQIERAVKDALLNEVTVVRTKYAITIAS QYPVRHIQIVLRVYKSVSEILTGFDIDAAGGAFDGKQVYVTPRALGSYITQINHLDLS RRSPSYENRLSKYSHRNFEVFWPELDRAKVDPTIFERSFRRTLGLARLLVLERLPTNH ARETYLNRRRTECGRPARSRAFMPMRGNIKDSHEDEVADWLSAEEDVSNYHTFTVPYG QKFNAKRIEKLCYTRDLLLNAEWNQPKDRKVYLHRHPAFFGRVEDVIEDCCGTCPKPA TAEEVETAQKEAEIYISGRVSFLIDDPGRQQIGSFNPLTEKDWTDMAYVGNTARLCQS IVDGDVEDILNWLSKEGADPNKRDYTGRSPLHLAVMTSTPEIVKLLVDHRSRLTARLA DGKTALHLAAARGNVEMIRILMDKSIENEEEDEERQLRRRRATHECKPRAVEKDRASE QEEDTDEDDELYGELMDGNKTDFDAQSLATGSFVHISKKKKISDLIPDESEDEPDYFQ VDVLAWDTPCSPLHLAIVEGHEEAVKLLSDYGADSILPIKFLSNDWSDTAALLTLTLA LRLPRGKAISMARLLLQLGATSSQADAQGCTAFYRYLEHGDTELVDVLLENDKMGVKT AINHIVFGEKIWNPEATSPLHEAVQHGDPILVLKLLNAGALPEADFESWLKAAKFAGS LSSNLGDLEACKDVYKRSYESPVCAAIRCGNTVAVRQLLDNNADINALTHNTNDAFTR NWMWKYVKGTTIIDLVRDMIEILCDYKEGKSTLPKASLQPGLDAYLDRIEAGTYKRWV VSQDVQEKKKLFSASTKTYQKTLRNLGKFKGVSEKSDAIGEMLNDFRSLEQNMLSRGA KTFEELYPKIECEKRKKRDTDDDKEDDEAKDYAFNFEFKTDNDMTETRRDGYIELMEA AWSGNLDRIKELTLQAWGMDKEQAPLKIAISDNSNNSPFSLSFLHGRYEISRTILEIM KAQWSPPEKDQVRYKMKQERQDSDFSYDSDEDSADGEPQIESELVGNDFTIENIGQIS MQVKSHTKPLQHVFDAWSVFTVKDGKPSRIDCRQHTLFQHVMEADDVTGMRVLLDIAQ QFSEDQQAPDDDEAEYSSFTFPERDFRWAVEHGKTQMLSLIIKRTGAGIPLDDLVKKS GLEVKEKPRFYQGLTVYGKKRKDWANAGRNTVSRTSGMKTPPLLHAALGGSVDGVEFF LGDRPHRLYTEFGKSKTAREDARYRHLRESPGGFDRAISKWLGADNDLVIHCAVLGHW KNSDVVDYLVNNCPEYLEKKTSGGETPLMVACRLGRTEFVKILVDAGADQSTRNLKGE NILHACLALLPKASQLKPMLDLFDPELRSHLFLQRKNLTENGTTPLHTWISQVSGLGP TGENEDRRGWRYRDCRYGQVKAYKDIKDMVETIKLLLQYTKGEELDMMNGAGETCLHT ATKRDMLALVKVLVDHKPQQLYRENAVGRTPVEIAHDGLISHALSRPKHPRIDRDERV SKFISKSTSACKNGRSIPSDVSLLNLNLAQLGLSGEYSAEDAALILPSLGIGEGKLRS RLPKGKLKSVILDIMTTAMSKHTGKRRLVSLNEANDVARRLGEKHTSSRYFSVESRKD EEDEAASDDGKNGDEKGDFVTKQLADRLSSGWLAKKDSHVDGDGESDANDDDDVDDDD DDDDDDDDDDD UV8b_02172 MTRNNLADQLSWLLTNVALVSKPPIQRFPPVGDYLSDNSSQTVE KSSNPSIVGPDTSLRCRNSGSDDALYLTGWAEDSVDTPRVVEADDDSSMVRFTSTTKN NRPSLVSQPRDPLPTPSATCAAKPKLLSSTGTADSDAGLVTPKSKRKLSPAKSSTVRV AVSPIPSLDLTDFDADELECMDLTKDVTDLAVPLDQNDDVQIWDAKSHLWSPLPAKSS RKRKSNDLALSEAAHRESFPDVYDILGIEPASSTPANQSSSRKAGAPSTARSRRKRVI HQTSDDLLRDLRSDPSDEVTVGMSSPTRTVAERRDVSLMTPSNDHKLCQRTDSGTKKR KTNPYQGILVQNESEPCSKETEDSQNNDCIPDSDEEFLTPPSHNASVALPKDQPASSF GSTISEPGKSSGDAEKLPTNYEKLPPTSTTTTIGGSLSTDNSSSISKDSPKVTAFDLP AEQNIPDNNLRKILDYLFSNSKVLEERRDTILGEIRRNGADFMTAIKERWPKEVRSRI KSEKEFLQSQQRAVQEMSDALESYKVLCDRREALVQKISQLYAEGVDIDDDETRLDSL TDEVQGQEESLAKLIVCAGFNGSHIPMLAPSLLGSATKAQDEVVIGTQPRGSALAGSP DISVVSVPLVTASTQVVHQTQLSGPTQPPVHWATNAGEGSDAFSDTEAHLQASLCVPN ENAAPNSTYPGSARRAHNASDNFSDFSDDVDMLAFAEDYETRQSFGSERTPSRHVFSE TSGNVMPPPKSKTLNKRQIAPILPELRIPPELMKHVWSPEVQRMLKDRFRMKGFRPNQ LEAINATLGGEDAFVLMPTGGGKSLCYQLPAIVKTGKTRGVTIVVSPLLSLMQDQVDH MKALGIQAVAFNGECSAEYKRQVMSAFEERSPEHFIELLYVTPEMFSKNVAFNNAMQT LYRKGKFARLVIDEAHCVSQWGHDFRPDYKSLGSARKEFPRVPIMALTATATQNVIVD IKHNLGLVNCQAFSQSFNRPNLYYEVRPKTTNSTATESIAGLIKAKYRNVTGIVYTIS RKQAEEVASQLSGHGIKARHYHAGIDPQEKVEVQTAWQKGDIKVVVATIAFGMGIDKP DVRFVVHHGIPKSLEGYYQETGRAGRDGKPSDCILYYGKADIRVLKKLIADGDGNEEQ KERQMVMLNRVTAFCDNKSDCRRTEVLRYFGEDFVPSQCNKGCDNCQAGLVFEQQDFS SYAIAAIQVVDAQRRLTANQCADILLGKKYPNHENRLSDEQFGMAKGLKKHEMVRVID KLSAEKAFDENNVVGTHGVAIQYLKIGPTARMFLSGQRKLMLTIQVDDDKVSRTSKSK PRKPAKKKGKEPDNSAMLSTYVSSPMERRGRGARRMESEDEENMPRTALGYANDGFVV SDEDEEAFEPLPKRGRANPPAKNKKPPPLRPGPPIVTNRTMEDLCEVHQDLVNGFVRE ARKMEEHIRNKKELRRPLFTERDFREMAINWTVSLDRMMRIPGVDAEKVQEHGPKLLP VLKRHHDLYQQIVEAGDGGQDEQQVVDLVSSDLEAADEDVNSEHGEGEVDSHYFAPKP RPEVEAFHSRLEGLSSQQQGQTNQSSRPRSWNPRGGGGGGGKKFSAGKKWPRKTSGGG VPRRKSNSNSLTNRKASGSSAAPRSATTARGGGPKRDGRIAKKSGGGIGLMPL UV8b_02173 MATDQPLGAAREHSGPLQAGADDARGTTSLAALLPLGTQFNDQQ VQSSRNAACNGDGDGDGDGCLDLLTDVLHDNAQLPVVPLASASAPAVPAPAPAPATAV GSATAGKSSGANPGPVISVVDTPMPALTRRTTDLLTPPDSSTRNSNNNNNNNNTSTAS TSTSTSTSTSTSTSTSTSINTSTNINTNSPAYARASSAAHPHRHQLPPSAVRKTSPGL AARLKALGFGIPRQVPALPPSQHGRDHVGRLDEQQLRQLDEQHQANSASSASSAISRR GRPWRGAGASAVSTTTLPNPTAQPPVVVVVVVAEESPQLPEIPTPDPSAMDTQKYRLP DHTNSNGTKATLDTRREHIQPTARPVTPPDAVPPPPPPKDTPPPATPPAPTSPTEYVP GLSSYFTPGQNRPGSIYTLSRASFANQLAQLTSLQLPDAESLSSQVSAIPTAQVAARA LINASEQIRGWIAKAHEVIGGLDSEDDVEWAAAGGREGLEEVENATARFEDLINAYVG AIEELQKRSDITHVPSHDLKVAVFQMDSIIDEWARIRATLTSVRVQVEMAMEWEELWN NVLGDIQGEMDELGRLVFEMEERRHKGAGAAAAGGADDLVDIGDLEEATGDETPPAAA ARLRASSRLSLAALPPSPLSPGAAGLSQDDSSLLALFARMQPLRASLDFLPMRLSVFE ARAKRSFPTACEELEMRRTGLDASYKKLEKDAESLRKELGEDRWVIVFRGAGRQAQKM HESVQRSLLKLGEAIDAEVHLPAPPTLAKKVESYEAKKTHYGPAIERVLSIIERGVRD RLTVNGEILRLHEDMQARWRCLKLQMREMDAMVDDMQVDRKSQQLRDSVSSLLSYDRS TMGSGRDTPGSSPPSSVIMSSLGFDPHTPSSRSKARSPSGNHGARPAEAAAAAAAAGR RQSSLPAPPASHPARRAGSRLSTMASAAAAAGTRAAGAHAARSVSTLSHHRPVWNTST NTSDLDTGHHFKPLNLTTPSPYSRTAPSTQQRSASAATPCSASRLPTLRGAPSRAASE SPKVEVSSPSGAGHARLSFRERLTSPGPYSQLALSKPRLTSHISMTALSSKRRVSMQH QSAAGSMDGGAEVARAPRPASSLATSGSSGSRRTSLFPPLMGKPGGQLCAASSRPAAR QPAAVEAKDAKPRWRH UV8b_02174 MSAVSFLAEHNQCPEQRVGLQPLDYSVRGTRHLTLANFTRHFTS STGMEKNMETTRQDCNRLLRRPWKDQTTRRNVKSPAQLEGVADILDTHNPVQTSCSKT GSGAGQASTL UV8b_02175 MRYSTHFLGRRYATHRRLDRALSLDHFLQRCRVLSLYRTILRGT RHIRDAQTKAEARRFARDEFERYRLVTDLSHTRYLLSTGKTAWESMERYIGGM UV8b_02176 MARTDRTDRTDRTDRTDRTDRTDPVEAANAKNDADFARDYLPDL PSAWTHPATKAVYDVTLTRAGALTDDQVDACLRLVDETSGDDYRRSRIGWNPGAKRRE MRSPDLRYIALTRAGHLGGFASLMPTYENGAAVLYCYEIHLRRELQRTGLGRHLMRQL IQAARRIDSVDRVMLTCFVSNARARGFYEKLGFELDAFSPEERKLRGGRVVAPDYVIL SRRTDGHDAGELTSPMS UV8b_02177 MSTKNPDAMEKNSKTAHQAAERDPRGKEWQFGLFSCFGDIGATL LSCFLPCVLHGRTIDRMRDPSLMSHNPCNGECMIWGFIECMTCCGCVYNIVKRAEIRE RYGIESSGISDFCVSCCCLACAMVQQDREVALRAPHYGPVTKPYQGGKEAMHMPGAAA PR UV8b_02178 MAALNGFGVGRVLDHTKALEVLSEYESRDGLDIHELMNTAKHGG LTYNDFLLMPGYIGFPASAVTLDSAITKRITLKTPFVSSPMDTVTEHEMAIHMALQGG LGVIHHNCSPDAQADMVRKVKRYENGFIMDPVVIDCNTTVGEAKALKEKWGFGGFPVT EDGKLGSKLLGIVTNRDLQFEDDVDVPVAKVMVTDLVTATSGVTLIEANKILAKSKKG KLPIVDDKFNLVSMISRSDLTKNQHFPLASKLPDSKQLLCAAAIGTRPEDKLRLKKLV DAGLDIVILDSSQGNSMYQIDMIRWIKSEYPGIDVIGGNVVTREQAASLIAAGVDGLR IGMGSGSACITQEVMAVGRPQAAAVYSVSSFAARFGVPCIADGGVQNVGHIVKGLALG ASTVMMGGLLAGTTESPGTSFVSREGKLVKAYRGMGSIDAMQDKKAGGGGKDSQKSNA GTARYFSEGDSVLVAQGVSGSVAHRGSITKFVPYLAAGLKHSMQDCGMKSLAELHEGA ASGFVRFELRTASAQMEGNVNMESYEKKLYA UV8b_02179 MKAILQSYPPLGQVTVINDGKLTLTSILEISSARIQEPWQVSLR VSVDKSNWEDIQLSRVADGLEPPLLHTPDSSSSFVYFSHQIVLKERLQFTLRCRQAGD KCWRWVRDEGLADGIVLLPPAVTSSDRLQPCIPDLTAEWEVTSHVSQSPGTQVWSLNC SIPGSSGQASSSRNIGLGTPWGSFLRWFALVRHRPSWLGPRQGESNFVIDEDAILCCF LSSEGKTMALLAVSGVGDLSATFQQGEGNRVRVHARNDSRTSGTVTVLVSEGICFDNA VASVMYAARTLVSPYNATQHHRVASHETYGLTLSRWKAEWYDGLGFCTWNALGQQLSE EKLLSSVQRLAENGIRIANLIIDDNWQSLDRKGRDQSQFGWTDFEADKKAFPNGLRGL VTRVRALHPDIQSIFVWHALLGYWGGISPVGAIAKTYKTVTVTQENASQPDITVVAKK DLSRFYDEFYASLAQSGIDGVKTDAQVMVDLLTAASDRRDLVSGYLDVWTKASQKHFG SRVIACMSQFPRALFHPRLLQSGQDSTMRNSDDYFPNEPDSHTWHVWANGHNAIVARF LNAIPDWDMFQTMHEYSEFHAAARCVSGGPIYITDVPGEHNLPLIGQMTATTPLGQTI ILRPSSVGRSIHAYNQYGIGSLLKIGSYNGSSQTGTGILGIFNVSSRHMNELIPLDSF PGVTPSTHYVIRAHTTCKTSAPMAMNRPAPLVAVSLDVRGYDVLCSFPVSRYEGNSFI SGYAGVFGLTGKMTGCAAITSSQVVQSMAGEVWVKCSLKALGTLGIYISTLPLLKIED DFMVSVEDRPVPFETVSRSHANDRVIEVDIEKAWRMMEAPGSRYNEIHVKVCFHA UV8b_02180 MASRRLALNLSQGLRSRARLSATGALRRGFATPSSVGKTQTTTL KNGLTVATEHSPWAQTSTVGVWIDAGSRAETDENNGTAHFLEHLAFKGTAKRSQQQLE LEIENMGAHLNAYTSRENTVYFAKSFNSDVPQCVDILSDILQNSKLEESAIERERDVI LRESEEVEKQVEEVVFDHLHATAFQHQPLGRTILGPRQNIRDITRTELTNYIKNNYTA DRMVLVGAGGIPHEKLVELAEKHFSGLPSKGPENQAYLLSKKKADFIGSDVRVRDDTM GTANVALAVEGVSWNSDDYFTALVTQAIVGNYDKAMGNAPHQGSKLSGLVHRHELANS FMSFSTSYSDTGLWGIYLSTDNTAHLDDLVHFSIREWMRLCTNVGEAEVERAKAQLKA SILLSLDGTTAVAEDIGRQLITTGRRMMPGEIERKIDAITEVDVMDFANRKLWDKDIA ISAVGSIEALFDYQRLRNTMKPKF UV8b_02181 MTSAPAQTNGVIPSATAPSSQTAPIPQSANAAGQPAGGPTPAQT PNTSDPRPRDSRLIELLLTSQGVTAYEQRVPLLLLDFAYRHTSSVLNDALHLSGDPYV AQAGAKPSASSGATALAAGEAPITANGVKLAIGARLGYQFRGGSSGGGISKEYMQELA RERNKVALPKIVPNEWGIRLPSERFVLSGTSWGLKDMWDEAEDYDEFEESGNGGDAME GVEGPDLEDVGGDGIEGGTVEDVFGDDVDQEMAE UV8b_02182 METELPLPFLISVAVPPGLANLDGLTREEVSLLGNPFLQATPTI QEKLLRFSNRHSYEFHAHLDATALQSPDDVIALLDGGARRVFVAPEALTRYAGFGDRV LPAVSTPDLSAASEHGLLIKDFDWTTSEARQFVEAAQSTKPKNLYLFPVAGAPLDQFI KIAQNANAIPILPSTGLTTSKSVTDKLLLSKVIVTYWKSDRQDGLVPTVVTDEAGVAL GLAYSSEESVLEALKTQAGVYQSRKRGLWIKGATSGDTQELVRVALDCDNDTLKFVVK QKGRFCHLQQFGCFGNLRGIPSLERTLLSRRQSAPEGSYTARLFSDEKLLRAKIMEEA EELCDAKTPQEAAFEAADLIYFALTKAVSTGATLADIEANLDAKSLKVTRRPGNAKGK WAEKEGIKTQGGASAHQPASGKPVEARIAMKRVDATKVTEAELLSTLQRPSQRSPEAI LKIVTPIIDDVRRNGDKALLSYTHKFEKATSLTSPVIKAPFSPDLMRLDPETIKAIDT SFENIRKFHASQKEDKPLEVETMPGVVCGRFSRPIERVGLYVPGGTAVLPSTALMLGV PAMVAGCQKLVFASPPRSDGSVTPEIVYVAHKVGAESVVLAGGAQAIAAMAYGTESVS KVDKILGPGNQFVTAAKMHVSNDTNAGVSIDMPAGPSEVLVVADRHANPAFVASDLLS QAEHGVDSQVILIAVDLDDEQLQAIDDEVHNQAVALPRVDIVRGSIAHSVTIQVKTMQ EAMRISNEYAPEHLILQTKDAAESVDQVMNAGSVFIGHWTPESVGDYSAGVNHSLPTY GFAKQYSGVNLSSFMKHITCSNLTAEGLRNVGPAVMQLAKVEELEAHRRAVEIRLKHM NQ UV8b_02183 MQYVRNLSDSVSTAWNSINPATLSGAIDVIVVQHDDGSLACSPF HVRFGKFSLLRPSEKKVEFKVNGIKQDYSMKLGEGGEAFFVFETTENVPESLQTSPLV SPASSPGLGPEQSLPGLNDPDILDLDQGPGSASRPAPVVLHSHTNENGMITPLSSSPD MTKVRPASGDWSAAMIRPHSEDLLRNSARIVVRDEDADSRDPDESERSQTPPPLGMER AKTLAKELSAVNIPSRVTATGDLMLDMTGFKSSEEDMLQAEILARKILSEELEGNYDI GSLFGFDEEGNLWIYSSEEAKQAAMNKTIESSLKAHRHNTAADAISDPGYQSDGSDVT NSPHVPSHRRSESDAGPSGLQTPPRTPLGSGYAGDPNINYAKTLRLTSDQLKALDLKP GENSMSFTVNRATCSANMFLWKHETPVVISDIDGTITKSDALGHVLNMIGRDWTHTGI AKLYSDISQNGYNIMYLTSRSVGQADTTRAYVNGIVQGGCRMPHGPTILSPDRTMAAL RREVYLRQPHVFKMATLRDISSLYGPDHSPFYAGFGNRLTDQISYRTVDVPRTRIFTI NSNSEVSLDLLSLNKLKMSYVNINEVVDHYFPPVATLVKAGGEDYTDFKYWRDEPLDL DEFSASDGEEPDAPADNESAYTDDDDDDEEVGDGLVDSYLSRDSIDESVDDDNVEDED TYEEYDEEIEGQLSDDESQLVHRLAKAKISGKAVEEDISAELITGISSDGVPLKDIEV VIKEPVEIRPPPPPS UV8b_02184 MSWDLLPLITLFVAFISLWWLRKYLPASKKIVYTVTTAAILHLF GRAVMHRIYFTAHEAVIKASPVARFHMILTLGAVVWLLHRAFQTLWKPVSELVNILGV DIPQAPEVCLAGIRSDAATLAWARPNSHRLAPKYLIQVNGVQVGEASGSEPGITITGL KPNHFYNIRVVAVGHNNFRSGSPVIRLRTFGKDGRPQLGNSRLPKNFVDPDQSSADAR YDMDGSDKPSSSVPAVEATPVLDGAANPSRDVATAAPGPRRNTVNRRHSPSVASTDQA QSKLVPSNEPEMSLMELNDKFESIRKEIEATLQLIAKEQAEAGQQEDELKKERDRKRQ ALKEKEEHTMQLRAMIRSTMEQMRAAEKERSKKEQLLKEKETKKTKIRDNITRLEKET ERMKKERQSFDVQRTALEEKRDRDVRELDVGNADLQETCSELEAELKEKGKQLQELKA ARELLPGANDERWKEDDFRLKREWEMARRELHSRLVAETKQGVMLDQQIRSLTQQLVL HQQQAGINFYNKPASTTMDYDATAASQSANGSSFPGSGGGLGGTPVSSPPPAAPPEPS FQAGNAAFTQAGFGSGFFMDIAANTGANVSSEAELKSAGGPLSPSAQTLLPANIFDDN EDSLEGKHSGRPLLPEPAMDDDQGLQSPASPAASIPVVSSPHGSAHNLPFPQHTDASE RMPLTMNSSPTAPSASSHRLTNLWSSLHRSNRTPKISEDGGPPIGSLKIGQSQSFPRG ADDGEGMESRWKYWPWVGKNSTGQDGSIGGQSPFSTRRVSSFKGPRISTISDQEKGHS RPPSINSTEPPRPSTDSGSIWGAPGDVAGPMNRVWSPGDNHWQSRNGSRRPSVHGSTT ALTTTLASADDEILDVKDLRDPQTLASQVGVIGTRPPGAVTVPRTMNQRLNPNAPSFM GNMGNIFRKDKDKDKDKDKDKDKDKDKDKERAKDKNKEDKGKGKEITPPSMDLAQDVD DSPSGSRISRDTYSFHTGTSVSESRESLQLDLTQSNTPSELNSGSTSNLKESDNVVRK LFRKGSSGKFSLSSRLGKESGLFKKGPGSTSNSDRNVSAEQRMSIGDADDLGDDGMPF GRSYDSMASSPLLGPSKSKDSREGRMSSWRFSIKKKEQGHDL UV8b_02185 MLIAGLVPEGAVDYDPMEHLNMLFSQPSTVKSISQVSKALKAHE NALSSEINRLELEQAYKPDSSLERMQSAQTELAQLFRKIETVRSRAIETEQNITVMTA EIKRLDGTKRNLALSMTALKRLQMLTTAYEQLRGLAKARQYRECAGLLQAVIQLMKHF NSYRSIEQIATLSREVSELQRELLEQVCEDFEMAFAKSEVSSRKNMLVEACLVMDALG DAARSRIITWYVNTELREYRQVFRGNDEAGSLDNIGRRYAWFKRMMKTHEDEHAAMFP SYWHVGELLATTFCDGTRDDFKAILERSVRRVDGSKVDVNLLLSCLQETLGFEQSIEK KFSSGREPRASIDTLSSAEEKTYNFNGLISVAFEPYLSLWVESQDKQLAATIPRYRTQ PLLPAGEEFSPQAVISSAIELFHFYKLTLSQCSKLSTSERLLDLSKVLSKYLDEYAQQ VLLPVLQAGGSQGPSVQHAVLVLNTADFWHVNTNQLEENIKKRIDGELVSRVDLSSQS DAFLGVASAAVLALVHVVEVDCAATWREMKNTNWMTMDSAGDQSSYVGELVTHVNGKA AEILAVVSKQQYARAFCDKLVEQLATGYINNMVHCRPISEVGAQQMLVDKYALTKAFG NLLSHHNPSSAQQTPPSSFVRRVEHSMNRMDPLLKTLQIRQSPPEGLVQGYLIHIGDR SDANFKKILDLKGVRKQDQPHLMELFAIHRDGSSHGKLVQNSPLLTPLMSPSSGGLGP GGSGIGGVNAGARFDAGSLGEKLLSAARDIGTTTTGQSVEKATMNENLRNFGKFFRRD IGGLGVRFGKSGEDGRS UV8b_02186 MTPAPHAPCRAEPPSPQDDHGRLEAANLTLLQSHLRDIPVPNAG RKNRNEPTTQSPANTAKLFASALRRTLRSSAGLSLAAAAALPKLKPDPPASKMHTKVV IIGSGPAAHTAAVYLARAELKPVLYEGFLANGIAAGGQLTTTTEVENFPGFPRGIMGQ ELMDNMKAQSERFGTHVVTDTVTKLDLSRRPFRYSTEFAPDETHTADAVVIATGASAR RMHLPGEDKYWQSGISACAVCDGALPLFRNKPLYVVGGGDSAAEEATFLTKYASRVTV LVRRDVLRASKTMAARLLANDKVTVRFNAVATEVRGGQDGLLSHIVVRDTRSGDEEVV EASGLFYAIGHDPATALVKGQLDMDEDGYIVTKPGTTLTSVDGVFAAGDVQDKRYRQA ITSAGTGCMAALEAEKFISEQD UV8b_02187 MDKVKEMLHLGSNSGRSTNPSEPTPDALADLKAKYAEAGQDHVL TFYESLDSRDKASLYEQLSGFNPSYINQVTKRALAETKSDEPDILEPLPESSQASMLD SSAEDVKKWYDSGLDLIAKNKVAVVLMAGGQGTRLGSSAPKGCYDIGLPSHKSLFQIQ AERIRKIQELAAGKIGGRSVVVPWYVMTSGPTREPTERFFKENNFFGLNAADVNIFEQ GVLPCISNDGKILLESRGKVAVAPDGNGGIYQALVVSGVLDDMRQRGIEHIHAYCVDN CLVKVADPVFLGFSSSLDVDIATKVVRKRDAGESVGLILSKNGKPDVVEYSEINKATA EAEDPKHPGVLKFRAANIVNHYYSFRFLESIPQWAHKLPHHVARKKIPYADTESGETV KPDTPNGIKLEQFVFDGGEGLERLRGTTITAPAVLELERAE UV8b_02188 MQRENPPPEPATFKGRLQLDSFKYTSPATEGGVRRNPPRHASSP GNGASRRLTREIKARKSSSSRGPSSPSPSPSPSPSASASSRKRGSSTASPSRKRKRAP SGYAPPSLYAHLPRLPDAIAHNLLVLFVGLNPGIETARKGHAYAHPSNLFWKLLHSSG VTPRRCYPEEDGQMPQLYSLGLTNIVSRPSRNGSELSRAEMDDGVAVLEDKARRWRPE SMCIVGKSIWESIWRVRHGAAVGAKFRYGWQDEEENMGVVQGEWKGARVFVASSTSGL AATLLPAEKERIWADLGAWVKMRRAEREVRADRSEEELQASG UV8b_02189 MAQQPLPTSAQPTDIYGGDEVSALVLDPGYCSTRAGFAGEDVPK SILPSFYGRVTSHPHRDLFGDEYIIPRPDFEVRNYMNRNSVVEDWDVASQIWENMLVK RLQPERPTTPSKNGLNDDVKEQDGEGDITMGDEVEAQEKPLEENPLLMTEAPWNTPKS REKAIEIIMENWGCPAFWLSRTPVLSAFAAGKATALVVDVGGANTSVTAVHDGMVLKR SIQRSPAAGLWLSSQIRSMWETSEPKVPLTPTFMVENKTPVDALAPSQARMRHFAFPI HDSFRAYEEERVLTEFKESVVEVWRGPGRYSASGNEDYVKTQPGRVFEMPDGYNQMWR EQRFKVTEGLWDENAGYPVPESERLTKTQTIPELIRASLGAVDVDLRGNLLANVVVTG STSLINGFNDRLSNELTAMYPGLKVKIHAAGLTSERRFGSWIGGSILASLGTFHQMWI SRKEYEENGAGVVEKRCK UV8b_02190 MQVMVNKSKCVSEEQRRLQKFALFRNLIQVGDHISVIGHATRTK AGEPTLEATQLPELVSPCMEQVPEKLTDAKTRMTERHVDMLVNREVTDILRLRANITK YMRDYFHSKRFLEFQTPILAENAGGAVARPFVTRATEFRNRDLALRIAPELWLKRLVI GGVDRVFEIGPAFRNEGVDATHNPEFTMCEFYSAYTNLPDLVKETEELLHGLAKHAQE LISTQLTTLPPIDLGRFVRPFKQVEFIPGLEAATGIRFPKLSSEDALPELLAVLKLAG ISVPGEVPDSLPKLLDRLAAVYLEPLSFTEPLFITHHPACMSPLAKAFVCPQTYQLVS ARAELFVGGRELANMYEEENDPEEQQRKLSAHRSLVNEPNGELGFANNLAQGQEQMPG QEEPTPTEEAEPDEWDAPPLDQSYVKALDYGLPPTGGWGCGVERLVMLFSGANRISDC LSFGTLRNVVGLSSEDKAVDKDMGSR UV8b_02191 MKYTASVFLVSAGWATALTQQCSGTATNEGGNWFCGAVNQILYQ GIKGSGTFKAVTNMGASGACQTEDKAYSGPLAPLDEGLSLQFRGPLWLKEFAVLNPAT KSKRTAGENVEAPRQSDVRGRSHSHNHKRLHRSHRNKKREDIVHATIDGKLVSWVNDY HPDAAPAAPAAANSVPIVQVGVGSGAASAPASSSSTSSDSPKPDGKPAPSGSDWDRVA YYNAEEKIAENMVFMGNYGGQGSGEFNTVWGSSLAYLNAQGDGGSSSPQILKDGLIPS NKEFAIFSAEKCDETCGYSRVSDIAYKGFSGANKVFLFRFKMPMDGNRGFNGDMPALW ALNSRIPRTAQYNACSCWPACGEADFFEVLASGDTKCKSTFHLANGGGSSDYFDRPVD KFIKAAVVFHEATASVSIKILPDDTDLSAKGFDDATVMKWVSQPTDASGLKLSSLFQI LS UV8b_02192 MVYRQGLYVLAAWASLGSVALAITPHCSKITQLEFSIQFANNWF AGTWDRVGVSIGRPDSLRWVPISDGASAGDRKSVSIDMNHVFGAPQFDLKDHLSFGLG VQATYGVHATNDAFEIKDITLRGTCVEPGLTVERTVIVNQVYRHPAQGSGFLAPYEVK QVGQIVSLNPEAWFISGTTILNAKGPVYINIGMSEALANAVHKQYPTDTWHSLGLTKR GDSYVFTCGAKDPDEKRVKEACWMSPFNHEDHDILIHQTEVLPSQAANFCKPNPDMLV PTDAVCADAKYAQVLGKIMQTAGLNCPGPGTSSCKTTYVVNAYFTWHNDMYGSTIFSP SGTIAWAYWADGATNTCSLC UV8b_02193 MDIFKVLSRGTKKTARNATSKQNATRQLPSAGAQPNPQLYHDEA RGTKRKRAGQEDRDAPTAELPVVDFFGPTPAETASSEPAERAPAQRARSPPPPAPAVK LSGEQCRQLLRSHRLKITLLSKPREKKKVKKPKKKHNDLPEENKGERKHLFPQPMESF ADLPTTYGVSPTVVDNLVRQGYRLPTEVQMGSLPLLLRPDLALADGEDVKNGIDFLAV APTGSGKTISFLIPAINDIMKRRAREELHGIREVEAVILAPTRELVHQIVNEGTKLMQ GTGLKIVAMKKTTQLAAEQQQPQEPQQQQQQHVAEDSSDEDEEGESDGDSVIASEQGK GPRMKSGKKNKPHPETKTDILVTTPTLLLNFLNSGPAGTRKVLPTVRHLVLDEADVLL DPLFREQTLELWSACTSNELRLSFWSATMGSNIESLVTEQLEARARTAATEARPLVRL VVGLKDTAVPNIVHKLTYTASEQGKLLALRQLLHPAGSDDSGPPLRPPFLVFTQTIDR AAALHEELKYDIPLEAGGPSRIAALHSALADSARSAVMRRFRAGEIWVLITTDVLARG VDFAGVNGVVNFDVPGSAAAYVHRAGRTGRAGRLGGVAVTFYTKDDIPFVKTVANVIA ASEKQAGRTGDEASVQKWLLDALPKVAKADRKMLREKGVASRRSGGGGGGGKAKITSK SGYERTKEHNRKGAMDANKRRRMRGGHGDGGGDDEWAGLGD UV8b_02194 MSTSSEEDSDYLSDNQLSESSSDHDDPPRRPLSQPYFAPPFYGR PPTPLPPSPSLTSLLRPSRPTTPDPSDDDNNLAPVPRAAPKVPTYEYYGFVLYLFSSL TFLMYLLWSYLPSPFLHALGIYYYPNRWWALAIPAFIVMLLCYIYVALAAYNAEALTV PVSSVETVVDGAGKLAVIDAKGRLRGSRKRERKCDGGGRLRWREIWNEGTDAVMDIPL AGVCEVLYGEGREGSDEDWCTRRGVVKQ UV8b_02195 MPDKEDQPPVGDCAASDEHPTAATAAARDTDSDTPHLHGPPVHA GDEFPVAVDRNDAQPERDDASELGSIDASLVGSLPRRPASPVGSVGSGPAESLQDSYV SSPGSSVLPSVASRPGLNSPLPSFRPFDRRFQSRISSPSSSNTPRAASPAFPSGHGRN LSLGSGFLHDPSETDTPSPPWEVVRWTRLTKLNGQAFSEAGRRNFGSPTCLAVSASIV LGTSKGIILMFDFNQNLKLIIGTGTKAVESGPVTAIAISADQSTIAGGYANGSIFTFD TSRPSRPFLSIPHLGSAQVEFRTADGHVPHAAVVHVGFLGTRNTALVSADDRGMAFSH LATRGTGALGRTVKTSRILGRYPSAPPAPVGKPIKPSTVLAFAPLPLGNVEKATDAMG LTAMLTPYLLVIVSTTPIAQTQHKSARPKDVAAHSAMTGCLAWFPAVKLKIPDAHTRS DDSKVKLAYCWSNVLTVLDVDQVSGDDQDQPQALKFKARSRWKCEEAITCLQWLSRSV LAVLTISQRLVVLEDGSMRKTEAFDLLPKHVYHADFFSKQLHSLVEQLDEEDTSLHGV VADAFYMSFKTYKGRIFLLGFNHVSIGSLSNWADRLIALMEVGDYVGAIRLATSYYSG DADKLTVGLPEDAELRHALVRDKITEIMSASLRYVFARRETRKDGSDAAHLRDLAETC FAACRAIGDPDFLFDDMYERYSEAEAGGTFLEALEPYILQETITVVPPVVVKHLVNHY VWKGWEGRLEEMICHMQTTTLDLDQVTLLCKQHNLYDALTYIWNQALGDYITPLIDLL SLLIPLVANGTQPGDPHDSYFSVNALKIFPYLSYTLTGRIYPNGELMEEEASAKAKAE LYWFLFSGKTVEWPKGSEKEFLTQPGQDSEPAFPYLRMILRFDAPSLLSALNEAFEDS FLNDSSSSGTHANGTSRVDLPEEQIFGMTINRQYVVSILLDVMNLGEFAAEDVIYLNM FIARNLAKFPQYLLLSGSTLSKVLTGLCNYPGGGDLADDAQLSAEYLLSVYHPSDMPS FIPLLQKAGFYRILKRVFRQELQYGKLIATYFEDPEDQESVYDCIAECLGPQKGLDSR QLREVQRAVEEHARDLLELDAEKTASTLSRQQTVAHGCVIESAADDPALQHAYLKALI EPSAENATGEASVDDGLVEQYIRLMCRFDPLHVSDFIGGLPVADLRLGELLPTMEETG VVDAAVMLMARDGQVSQAMDRLMKHLWTLESALQGVLSESREDSQHADLDSAVVRILQ DLQKYVHVGIWLCQGQTKRTLRKSTTVKKGKTAAQDGLSADEALWLKLMEACVRATKL LSPAIQQHAEASAEQGDQALTLHRSLVQHTFTALLATTSGQARAPGGSGAPPNAAASN LSFLRILRAFLANAAASSPNLSDLRAVLASIFAAYAYEESILRLSNRLLERSLFVGVN QSVQLRLRGWRPRGSTCEGCGRRVWGPGVAGGAVFEAWQDRQALEESARKERSLRLAG SMARGTAGESDSKSKGKSLDVGPSRSAPAEPDAREAGGSAPLGPLVVLACRHIYHQSC LDALQDQHGNGAVAREREYRCPIDG UV8b_02196 MSSIGPQLPPPSPASRKRMPDRDTPSPPAKHPRSRGPSSPPNDQ EIRLSDSDPDPAPTATPSSDPSSSSSDDDYGPALPSSSSAAPRPTGPSLPAAQPAPQR DTWMLAPPPSTGYSERDPTRLRARKFASRPSAQQPGDPPSAMWTETPQEKLRRQTDAL LGRGDPSASADPASSERDARRRERDRRIAESVEAARGKSLYDEHREKRRRDGGGVARA AADEDDPSKRAFDREKDMAVGGRIGSKAREELVSKSANFGGRFHKGSFL UV8b_02197 MGVIHQVLGEAVLLMARQVSEAPTSVPLSTATATTNPQPSQPTA SNKSNDNTNQGGSSSPLLFFVALGFGVVFTNLWIIVGVKYCFRYNARNRARMTNEDGD PITLETVHRPRRRREKKLMTMDEVNDKFPMTKYKTWVSERAREGLPTAGGVSVSPSRA NSIHEAEGILPELAIAKSRLSTDAHPANGSKTNTLDANKDDEKLSKDAPAQPEQTGPG QTSTQGERRGPLRTRSSPEDDEDDDQDDEHIDAALPPECLAAPGDSCAICIDTLEDDD DVRGLICGHAFHAGCVDPWLTSRRACCPLCKADYYTAKPRPNQEGDANSNSNNNGTSL DPRNGSRLNLPGGLRPAWLRYPNNYSRPSLGPPPHFRDINNRSQGRAGQTGQPAQLDG RRSASTPAASVGGGGMLSSIRQALGFGRHDEQPAAAAAATPASTERVTPSQLESGTRA TAP UV8b_02198 MPPTQLPESGNPLVFFDMTLGGEPLGRITFELFRNVVPRTAENF RQFCTGESKDPQGKPQGYKGSKFHRIIPNFMCQGGDFLKGDGTGSTCIWGLKAFEDEN FELKHDQPGLLSMANAGPNSNGSQFFITTVPTPFLDGKHVVFGKVVDGMDVVRKMEAT KTGYRGKDVPNLDVVIALCGEM UV8b_02199 MVGERGFPRGYMPRTEPGYKDTIPQLLLPVTGQPAYSGNEVINK YPYTANPKYPMLQAAPGDHIAIIHFENGHVTLPLNQPNKPLNRGTIFFYGTTKPKGQE KLFDVHLRWNKDGSGGDKRGVLLATRNYDDGQCFQPNTAPITSERVSKLSGDGAKQSQ ELPCQSDIRLPADLKPGSVYTIYWYWDWPSLNPGKISMDKTAQGQYPWAGSFMRGDKV PNGWTMDAIAHNESYASVIDIKIVDGPAKGFTAKDDDVKQSWVAQQNIYSKAIQAQME SNFQVHVESSGSGSGSGSGSGGGSSSPGSGAPPAPVAPIGTPSGGESTTSPSSPTASA APTAAPSGDESTAGPSSSTAPVGGGVVTVTQMVTVPPTTLFKTVYRTMSPAGPDGSEE PDTTVFVTVTTHVPRQTDGPVVSSAPVANTTLVSSSNPAIGPRPTVTPFRQRRRAIRR AL UV8b_02200 MSALYQPRPALPSQRYSQSPDYVDTRRSMQAGRVPLRESNGNAQ AHGYNGLVSCCYQNNVALPLALQSTIPPPVVPSQSLDCLYRDPTRRQQHRLQRRSKTP INPLYFWPAFRQYRSRQAHKETQKDKGGVWRRTELEDAFVDAVLLMPHMGRRKFSMGG KLHGRNMLISEYIFVICVAVLGSKEIFRIDNSNESIEQMGRKQVSSHMQVVKKFFEDL RCFHFLFPAEDKKEPGSTNSDDYYDEEEQESFKSNPVLTALAEGRVPDVKPNYDYFSQ LLSLQSSICVRPKTCEIFVSSSDIKIRDDGAFDAHDTPLDQASFPHFNRYSNCDDSPN VLGKDVLLHEYTRSLDRTTSACAKTVTRRWQKDASAMYETLDLPSHDEDCLLLEMCAT LELHEQAKFPSGSELTGFVEVAIAQPALHNHRWKCITRLMKPVELHSDDGKPGVYINE SGIHRRGCSDAKADCDCHGRPRQDIHVPFPAVEWASILSMAVQYPDVEHQRAREKRRR YANGQGKQPDRAGSKRKRSDEEGDAASWSRREPSGSDLICKVAMYQELWSCAPDSNQW TRRAIIFWRFNTTNQWHKYTPVFRSAGTTWRWLTMNDPMSRYHQQRALIYPQTGVARD AVMSPTPSINQHLTAAMNETFNAWDHGGHAHAPHAPHAPPAPPARHVAPLQTPNSSVV LYESFSNGLATPPPTATLPATYPSGTFDNRSNSFDARSGSLEDDMGSSSKVTFLPSNG PPPPPQQRDERRASPLGNSQPFFEDEASFPEVKPINTAAASYMAVTASLEMPSPLVYD NSSVNSSNVPSWDMSALEGWPAASPPTSRANEWGPGPKIESPGDHHAAAMWGPPQWPL SAGAAAGSHERDGSPRPLKRRREAADAGAAANAAEW UV8b_02201 MRPHGTEGCRGSMVSDLNFFRMPMPFSTAKRPGLALANSKVIDD RRWWARPALTKSGERLPPLRADALAAEARHRCSSSVCPAGLRDWSSIGLLAEVVSSFG RRHKTTWSL UV8b_02202 MSMSMSRIFVKGLPPNITEAEFRRHFSAKNREITDVKLIPQRRI GYVGYKNAADATGAVKYFNRSYIRMSKLSVEAARPISDPALAKGHNGPTRTTAPGHQP ATPHADHDKDSNAKKRKRDESHASDPKLREFLQVMGTGRDGVIADVLIPKDASDPLLE SGGVVVPEGESDEEYEQIPSRKEKLRKLHAHQDKTDVAREKRSMSEEKAQDGITAVNS GGQNVVQMESHMTGHEPQTVPATDDDWLRSRTNRLLDLMDPDDLSSEPAAIPTSGDSL QKQDANGDKSSLHSSDKLAPDAAELPVASKCNAEDDAVDAISRTSRLFVRNLPYSTTE DDLRDAFDKFGPLEEVHLPVTAAGSTKGFALVLFVAPSDAVKAFQAMDGATFQGRIIH ILPASPKRGQGLDEFELSKLPLKKQNMMRKKAEAASSSFNWNSLYMNQDAVNASVAKR LGVSKSEMLDPTSADSAIKQAIAETTVIQETKAYFAANGVDLEAFKSQKRGDTTILVK NFPYGTTMEELRKLFEEHGQVLNVLMPPTGTIAIVQFAQANDAKLAFAKLAYRRIKDS VLFLEKGPKDLFKGDAAEQASRMVDRQAAGVSKFSVSELLSSGDKAEEVETTSLFIRN LNFATTTTRLAEAFQSLDGFVSARVKTKMDPKKAGQTLSMGFGFAEFRTKAQAQAAIK AMDGYVLDGHTLGVKASHKGHDAAEERRREDRAKKAAAQRTKIVIKNLPFQVTKKDVR SLLGTYGQLRSVRVPKKADMTARGFAFADFVTAREAENALHALKDTHLLGRRLVLDFA EAEAVDAEEEIEKMQRKIGRQVNTVALQRLTGGGRKKVNIGNDGEELEV UV8b_02203 MGPGEEKTREETREKRRKLHEAATPRPAPRLIPADMQYGLALML TVLVTAVPACKEIGQECLGDSECCLAGLRPIQCDRLTRRCGFYIRQCRREGMSCFLNA KSPWGRCCGGFSCSPRSYKCIFTGPNFKRSAEPDATAGSARGEEEEEEEEEEEEEEEE AD UV8b_02204 MMSSRMAGGWRLSDANDASAFGGVAPVQLGHLDSGLLSAMQQLN MSREIAAFKSMLLKGHGELHDISRSLAVFETTSCATPKAGDGL UV8b_02205 MSELLRTRYQTATALFRRPRDCKVMTSSQQRQRANQGRGPGLGP TTHHPPPGATRRHKFPPVGLRHPCAPVARCPANHAIDELLNKHLPAWKRSI UV8b_02206 MPKNLPLGLGGLVLLATSILFLFFTILAGVSNSTPLNKTYFLRA DTSGITGARASSQWTYFYVCGDGNLDCTGAKAAMPFGWAWASGASNVPDGLGGSYGSG TTSYHFFYLWRFGWVFFLITLFFEVLAFFSGFLACCGRLGAALSFFVAALALVFHTVA SSLFTATFVKARDRFHDAGRDASLGAYGFGWVWGSYAALLLATILFALGIRGDGSSGG VFGRKPSTRSLEGRRVKDEYS UV8b_02207 MSGSDSIPATPEDMEGSNDLEAPISSAGEGDTLESNASSSSDAD PSPVPDTDKSKRMPSLRSVSDPPNMNPNSTAMGLLGRARKPQNTQPALAAGSGGAMNN DVMARALALHRARMGSSPMGSSPMGSSPIGASPNSSSPSFAGPGSRPGQNGFPGLGLP ANAMHRPGPVAQHWSDPGLKPSLSARRANKFGMKLSEMGSGASTPTPGLKRTGAPKLS DLTGEPPADEQPKANKSTLGSKLDDFKKYIDADKGWITFDGAATITNTGVNFANGRTF AISLDEIQIQGELGKGNYGTVYKVRHAKPTVPRFGQGLSGIRLQHTLSDPLIKSEDND DGAKEDAGGKETTGKLMAMKEIRLELDDAKFSTILKELVILHECVSPYIIDFYGAFFQ EGAVYMCIEYMDGGSIDKLYSGGIPESVLRKITFSTVRGLKSLKDEHNIIHRDVKPTN ILVNTRGQVKICDFGVSGNLVASIARTNIGCQSYMAPERISGGGLAAPGSSDGTYSVQ SDIWSLGLTIIECAMGRYPYPPEVSSTIFSQLNAIVEGDPPDLPGEGYSDTAQNFVSS CLHKVPKMRATYPMLLNHPWLQSFSKPHTITEEVEEGEDADKLAEAVGQLDLGADNTD DPEVAAWVRQVLKSCLVEPPSKPGSTRPALHAAPLDSVSPVDSPFS UV8b_02208 MRPIFSVEYYQQVGHDEHYLHASQTLTVMPLMRYYPEHRLFGGT ARHDKAVDSLLEATLFIHSEKWWLPTKPIGCVWLRSLEPRDEKDKATMMGKVQRHKA UV8b_02209 MDFARVLSKPTSFRLAQVAQDAYELPLRVLKNGGSPEAHPSLAH LCLLVFEAVLEVVCVSLPGYIIARLGHFDADKQKFLANLNVMLFTPCLIFTKLASQLS AEKLTELAIIPAIFVVQTAVSWVVSVLVTKAFRLNKRASNFVTAMGVFGNSNSLPISL VMSLSQTIKGLHWDRIPGDNDDEVAARGILYLLIFQQLGQLVRWSWGYHVLLAPKDKY AEYQDEIAEQGQQRHIHESRDEAAPFLISRLDGDTDEEDENRSLDSRDYEPAGRTPVV GASQTSVAESSDDEELLSPKKARTTYSTFGSNGRRVAVADADADADADVMLPHVGRAD AACRPPTSRVTAYLGSRLLRAYHALPRPLQVLCSLAKMFAVKSGKFVWEFMNPPLWAM LFAVLVASIPSLQRLFFEEGSFIDNSVTNAVRSSGGVAVPLILVVLGANLARNTMARD DNHDAEEEQIGTKLLVASLLSRMVLPTAIMAPLLAVTAKFLNVSILDDPIFIIVCFLL TGAPSALQLAQICQINMVFEKTMGRILFQSYVIWILPSTLFLVMMALEVVEWAK UV8b_02210 MDKIPLNYEASGGDTHKQVTTRLPEPVVQCLQNARFLHLATCRD NVPNVSLMNYTYLPSSPYSSLPVIIMTTNPRSRKTANIISNPDVSLLVHDWVSHRPPT QSRRMSGGSPGPEHRSSLASLLLNLNSTAVSSISATIGGAARLAPSGSDEEKFYTEQH LANNTFEEGDALSLQDTNSGDANTQGSHFVAGEEVRVIVVDIKDIRISDWKGTVRDWA LQPDAVGLSNGD UV8b_02211 MRSLRASRLPLRPLPRHLSTSSPAAPKPAKALSSVLIANRGEIA IRINRTAERMGIRATTVYTDVDAGSWHASTGFQSLGLGPANGYLDGDKIIALAKKHGI QALHPGYGFLSENAQFAAKCESEGIVFVGPPAAAMADMGDKARSKDIMTAANVPCVPG YHGPEQGERELLRRAGDVGFPVLLKSVRGGGGKGMRIVMTPDDFAAQLRSARAEARAS FGEGGEVMLLEKYIVRPRHVEVQVFADRHGNTVALGERDCSVQRRHQKVLEESPAPDL DEATRRDLWDKARRAAAAVGYVGAGTVEFILDRDTGAFYFMEMNTRLQVEHPVTEMVT GLDLVEWQFRVAAGEPLPLSQAEAEARMRSLGAAVEARVYAESPERGFVPDSGKLVHA ALADWALADPDVRLDWGFGSGSAVSEAYDGMIAKLIVRGDDRAQAVAKLAAALRAFEI AGVSTNVEFLKRLCRSPAFVAGDVETGFIDKWRDELFRPARIPDEVFAQAALGLLGPP DLRRDAEPHGLPHGLPLGFGDAHASCPQRRLAFKILDGYSADEGQIVDVAVTQTGRNL YDIAVTPADAAADADADAGTTTTFRSVATEPRAHGAVVTLQTYFPGERVLSSVVPQPR DDGTTRVTVFQHGVKTELALLPPAWHDKALGLKEAAASVAAPMPCKVLKNEVAEGQEV KKGAPLVVIESMKMETVIRSPQDGVVKKLVHKEGDICKAGTILVIFEDDQAKAS UV8b_02212 MPLNIPPAAGAMRHYNFGVEIETIGKPYGGAESFTNVDWYRQLA QKLRNRGIDAVHDDCSKYSKHPEYYGGKWFVTRDGSLKRPRPYVCMEVVSPRLDTSMH VSRVLSDFWEAMRVHFQPQRDASCGGHVHVTPVSRRNKFRLASLKKIAFAAAVYEDFV ASILPPARRQNQYCRPNSQSVDSGLCDALAWGKSTATLKHVAAQVRDLVDEPHLYMYM QGNRYVLWNFQNIFPHPKTGRCTGTVEFRGGNQFLNSKGTLAWVAFVLGFITLALKEN LLKKFTEYIPPSDPRYAKYLDSWWLRIRKAAKKSKLARYLPDDPEKMMTR UV8b_02213 MLDLGLACLYFCVRGCDGRHGDSTGRRPPSPTRPLERCFHESAL QAPHPAAGTRHPRPHLCSLSLSLRLLDPLTHLEPISNLESRISSRISSRISSRISSRI UV8b_02214 MKQMQRRCPNSKYVGFGRLRNFRWQINERGFANVVSCHGRWVDG LVYEIDGSDEGKLDISEGVSKGAYEKRHMPVVVRLASCSLYRRSVSWVVARGGPGGVR KLAKHNVSHRGGGGGGKALVEREAHNVLVYASLVHVTDSGPREEYIDRINRGLRDAAS LGMQDDYIRNCIRPFIPEPEPAVVVTHGAPARSRNSSPGGGGHEPRKGSW UV8b_02215 MAETSPPDTDKQKSVRDLLQEEQTLRSFTEQVLDCRQLELEIAE SENKRLLKKLDHLSKELSETRTELARARNQLECKDRPWQDAGHPVSRPQPHRKDVSDS EAKDAYKALCDKIYRWVQSRLCGTLEALASGQAKKPASQQAAKFLSLLREPGKRCLSV HGSDEYHVVAAIMYYLWLALFSKPFYCPLDDSGEDSTLLWIVGVESAMSKSRDIEHTR SWRSETLAALSCQKSFQSRREAYLYLLSKDLASYLGVVFPGLCATELQASLVKSVMQP AAQLAHRLHTSTNIFWLKWPLKTASSRLEVYDCVNLADGGRSMDLSGTLPESSSRRRA KYLFDIAPGLFIERVEGGKKMAIKSICRPRVLIHAGEGESQVQHRSTLMTWLYSASSA G UV8b_02216 MGGRIIVSIDFGTTYSGLSWAETSRPDVQHVVSTWPSTDSPKTS PKVPTELRKVAAGWQWGFQIPDDAKRHRFFKLKLDEPETPNGEGETPLQLTKIYLSCL HDHFISLLEKHFSQSVVQATQMDFVVTVPAIWSNTAKQATERAAAMAGFCGNRRIHLI SEPEAAALYAIRHLGSSVLKPGRKFVICDAGGGTVDLISYEVSHAGNIAVKEVTEGTG GKCGSAMLNKRFRRFLKQTHGDKYWTNERLLTAVAEFESFKKDFTPKGDPLTIRVDES LGLKRNRFTIPQADMTTRIFAPIVKDIICLIKEQIAMVGDGIAAVVLVGGFGQSAYLR AEVKASLARNASVLQPENGWIAVVKGAVIHGLGYYNPTLTQVQVVSRIARRSYGTCLL AAYDMMRHDPKEAVWSRKEGEMVVAEMCWFIQKGQSYTEGVPTAIAYQCDIPVSSGPP PQPEIEIFCNDEAVPPVHCTSRTRRIAQLFLDLDRIPMSAKSAAGMTRIGNHWYYSLT GAIEASYGSAMVTYRVQLGGVTHDALTVRYEQQGFVID UV8b_02217 MPRDPRHCHNGPFTEAGKNIFHGPDPIGTDKVPRADKTADMPEG SREKGAGLEGMNASGGQSQGIKHGDGVGQGGRARKN UV8b_02218 MSALNPDPQPGAPAFIPLEANPELMTKLIHKLGVSPALALHDVY SLTDPDMLSFIPRPALALLLVFPVSAVYESHRLAEDNTLDDYRGSGGQEPVLWWKQTI RNACGMMGLLHAVSNGPARNLIEQGSTLDRLVGKSMTLPPRERARLLEETPEIAAAHR EAASEGDTAAPDAQDDVDLHFVCFVKGGDGALWELDGRRKGPLRRGELQESEDMLSER ALSLGVLRFLEREGGDLRFSAVALAGSLD UV8b_02219 MPQYRIEISPNNRAGCKDAVCSKDKVKILKGEIRFGTWVEIQDH GSWAWKHWGCVSGSQLQNLRDECDKGDDGWDFDAIDGYDELGDPKVREKVQRCVRQAH VDAEDFKGDPEKNLPGEKGIRLTAKQRAAKEAAEEDESDGAKPTKKKKTAAKRARKSG DGDGDADSEEDDRKAKKTKAAAKAPPKPAASKARGKGAPVKDEDETEEEEAEEAEEEA APKASKKTAKARAGPDAKGSSKPGRRPAKKMVAEEESADEKPAGKRQGRRTGRSSRGR A UV8b_02220 MNSLKVPEGGWLLAGSSSPDSVLPPQAFVLNLSDDVVEQMIQSV RVGNNLELKLGKSPTLHYGSKSYQIPLPEEEVPFDLYLTKPFESTRRAERLPHAGSLF SRPRLSRPAPKKVPKEVKEGDARKKATAPSKSSTPSALDSDMENLQNSLAAHDAARDR ARVVEKLPVGGKGRNKLLSSISSTPKSLPTSPSLNAARSPNSISQITATQQMIERKKE QRFTLVHELAVADRTTKYLENKWAGKEEDFRPTLEKMANYSPDTQTWSMRQSSWRELD VWKYDYDDQDDRRLAIDRAIRQYDKLRLSSSEAEWQLLLPKEERGKGKCLSRLQASIA KGPPQQAPKAKPQKTDDSHGSKDDAESAEGDKRKPGGEAMSRAGSNSTSIPAKTKKPT AQEAQAKRLLSNSKAKSTALKTSPSKTKAPAKNDKRVLSAPIIENSDSSGDEGPMMKS RPAPPAKAKDTVIVNTKSSASREKAKKPPVKRPREESDSSSSSGTPLSKRIKPKQPLP AAPPKSRPQPAKSSHVESAPSRVASSSFRGRTASNTSPTKSSPLASSPPTNASDLDED TTPPAPIAPQKRKMDSDIKSRIAKRRAVESVPAEVMNKAHKFKTFYQKYEALHYEISA LDNPPHEKLADLLDMRGRLEHMKREIYKQYSPSRE UV8b_02221 MAEKAETPISREKLEAQIKSADMTEEMQHEVVDVAQEAMSKFTI ERDIAQHIKRAFDERKGPNWHCIVGRNFGSFVTHETKHFIYFYLGHCAILLFKTQ UV8b_02222 MDITSEKLRSGWLYISIGIRCARAPSIYAHVHAVHASRMMQPVA PLRCCACATSQAARGNRYSSRPSWPSRRTQAGDAANTSSHQSRTYASGSSTLLEHVHL KGRELMAGLVSYDQAEAAQEEHRTRFLCWKAMPEEQKASIPAWKSPKPRLISFESAPV FTLGRRQEHLAPEQMSRLQKTLEISLPHRRPPFSRRFCPLVKKTNRGGLTTYHGPGQM VLWPVLDMHSPLYPKYGVASYANHLETTTQRFLSELFGIPTYVVGDEPGVWVSACAGQ PRKIAALGVHHRRYVTALGIAVNIDIPVTGSEAVNPWARFVPCGLDGRLVTSVASEVG TDSAIGWDLEDLAGRWAALFEEGLLDETKRRSDGGEANDDGLRR UV8b_02223 MSSSGPALSQGFGYGIVLGVGFAFALFMIALTHVLKHFNNQVQT SEMFNTAGRTMKSGIVAAAVVSSWTWAATLLQSSGVCYRYGVSGPFWYASGATVQILL FATLAITLKKRAPNAHTFLEAIKARYGAPAHLTFMTFGLITNVLVSLMLIAGGSATVN ALTGVHAVAAIFLMPIPVVAYTFIGGLKAAFITDYIHGFALFVIIITFALTAYGTSDL LGSPSKVYDLLVEGAKAHPVDGNKDGSYLTMRSQGGATFFVINIVGNFGTVFLDNGYY NKAIAAHPVHALPGYIMGGLAWFAIPWLTATTLGLSALALESNPRFPTYPHRMSQDEV SAGLALPYAAVALLGKGGAVATLIMVFLAVTSAFNSELVATSSIFTYDIYRTYVNPKA TGKRLVRMSHVCMVAYSSVICCISIGLWYNGISMGYLYLLMGVLISAAVIPATLTLLW DGQNRWAAAVSPIVGTACAIAAWLATASRTCGALDVACTGSNDAMLAGNVTALLSPVV LVPALTLAFGQDKYDWRSMMEIRKADDHDVVEDSGLDAEAQRQVEISTSRESFEKEQH KLNKAFKIACIITTLMALIFLVLWPMPLYGSGYIFSKTFFTGWVVVGIIWIFCSFIAV GLYPAWESRQTLTKVIKLIVTGKKLKPVFVGEQPTPEDPSGTATPIKSESVSKAVTVG S UV8b_02224 MRQELPPRRGAALQLRDELAVVETVLVLLKWVAEACQSLGKYLE NMHRKVVFLTTRFETLLSLVEQLHSTLEVAVMNTEQDQEPDGHE UV8b_02225 MTYRQQTGWTGRRLFGAQCLAQPSSCPAAAQPSPAARSCIRLPI VDWDALQSNLIQLAPRQSHDADD UV8b_02226 MHPLVEAELQGQGQGQTAHDGIPFRAKAHHVHRTWARTFSSMPE LYIQPESLAEVEKTVSLARKCRRRIVTTGCGHSPSSITCTSSWMVNLDRFSKILSVNP ETGVVVMQSGIRLYALCEELERHGLAMPNLGSINEQSIAGAISTGTHGSSLRHGLMSE DILSLKITLSDGSTRACSPDSEPRLFRAALLSLGALGIITELTFRAVPAFSLKWSQTI DTDLALFRSWSLDLWGQSDFVRVWWLPHTRRAVVWRGDKTDEPERDPPVSYYDGSLGY YVYHNLLFAAQLVPRILPWVEWFVFGMQYGFANGSSSSGVQPSRKALLLNCLYSQFVN EWAIPLHKGPEALRRLSSWLNRLTPDDPDYVPHGIPFSAQGLYVHAPLEVRVSDSTLT SHPRPYLDPTVQDGPTLYLNATLYRPYWRDPPCRDRYYRAFEWLMKDLGGRPHWAKNF DSCRPDIEAMYGSDLERFREIRDQADPAGMFVGPWHRDRIMADAAGSKLELEEVEVSR KKLMSGGIMSHGSVCQAG UV8b_02227 MLAPSHPHPVSCSASAFSLPYQAACVRLNPSLPAVFRGTRPDSS CTGLSSSLFEDGARLRVKDPADFDDSQKPFDEEASSQPGSQHRSASQSWSRRGQPQPQ RAVRVSKNTHSAVLFALEALRHPDPFTPDVVEESADMADLMAATSGVPPGALTSHSTT APRRPTAGPAPTSSPSGIRGPRMIMQERAAREARQRAEAEKLALERNRAEQEAQEARL LEEAAARRGSAEDPSAGVAAEQGSGARLPSQQLQADPRGHGHAAQETLQSLRNTGATT TAKTSTQQQQQQQQLASRQQLQPPPPNYITSSGRPRIQQQATANAAKVPREGASQQTT ERAKPISSFPHAFERWETLSAHWEGLTSYWIKKLEQNQDDISREPLSQQLARQVTDLS AAGANLFHAVVELQRLRASSERKFQRWFFETRAELERAQEVNGMLEKALEGERRERAD AIRDAVDHERGSSKAQKQLAEMRKELAISKEEARRAWEELGRREQEERDRTLSLQSGH PTIVGGVQVVPMTQGGGVVSRQGTGRDAGAYPAEYAQGYAPERSRPDPTSPQPTAAGP SSTHQATGQPSHAGRAGGSEGGFSEDDYDTPATTNPPSGGHDPPAASSTGDSQWTGAY ASPQDYSGQGYGTPGWETVPRHHHPTRLSDVIEEEDERSRTSASQSHSRAG UV8b_02228 MRRPSAVFSGTRFLAFFVALFALAGHVCGSDGSDAIFRLDKRVI MGWKQQCVNPPRARRPEFVPHELLGPRPQWRFEYWEQINGIIEFASDLTGRYFTDNSR EYRIEQEILENGNVRHTYTYLGSDTTQNHVLTPDVRLLPADGTPRPGRIILRGHQPVT LTWQKPHIYTLWLKFRVRSPEPATP UV8b_02229 MSKSALRQAKITPHRSSARGHSDHGWLNTYHSFSFADWYNPKFT HFGSLRVLNEDRVAANSGFPTHPHRDFEIFSYILSGELTHRDSMLAKGKEGGQSDSFY RMHRGDVQFTTGGTGIAHSEYNEHESDTVHFLQIWALPWRKGLQPRYHTRHFRDEDKR IDFVKILSPLKGGEAATAQQEEDAQGVIADTIPIHADLVMGAGIIAPETRFEWVVGAN ATQQKEREVYVHVAMCKGGKAKVRLDGREEADLAEGDGAFVEGVNAGDKLSVESIGSE EAEVVILDTA UV8b_02230 MEAPHRWTSRVTFPSAPRSNDNLEEPHRLHPSQQNRGRSEHPAS PPWSLSPQATSAANLPPTSSPTQSSSELCGPSRVLEQLSALPPARQPRPQVTRRAPSP SPSVRFDESQLASFAAANCARMDAFKDELALAAGKVTPGVDDTPYIQYALEALTRDRE SFNNTQAPPLPSPRSERCSSSSANAPRSSRFMVPGFAAGKSPQTAPETQRESASAVYA PPRARKSDLQPAQTTIRTRQQTPPRFKEYQRLAMTGQWIPVDKNMLQTIDPRGRTYAP LTFKPRILRPFSMLTLMSLCALMMAGLVFCNVYSQKHLGLTPYPGSIYSSQYFVFRIL PQLLAGIILLYAQNIVSASLRIAPFASMAKEDPQERYLALFQNLYPKSFLLPQLMSGS WQLWVFGVSTWLMNFAIPLQSAAFTCVYTDDADRWNWAASEAVVWTLVALYATLLITT CVLMTFWFGHWTGLIWDARSLADLIPLLNRTNTLGSYRHKGPYERSRDCRAELRERWF DRLGYWQTEDMTTRGIWHTIGTSAMLPDQDAAADISFGGGHEARPERGSNELSVGSHL TGSPALLELQGARYMPWCLRDVPLVMFALVTGSLLLALLTVSFLPQTRLEAGFPPLVS AKPGDSAFSAANFLYSFLPAALGMVLFSLFQSTDTALRILQPWGELAQPDGAAASRCI LADYAACLPLQATWAALRNGHWRVAVVSLMALVFIFIPILGGGLLMALTSPAQQVRMY PHMPVFGVLLALLVLYVGCLFLLLPRRRQFGLPHAVDNLASLIDFCTAEDLVEDAAFR SVLSRDDLQTRLGVGRGDGREESVWFFGISAGRDEKRLSVRRMDRYTGKMNSTRLMAS MV UV8b_02231 MQRSSGNNLVDLALVSKYYPVANYSYPRRGSTSATPPACASPST PCPNASSTGRDSLGSEGSAPGLVEDRTDSEVSLDDDYQYHAHMAELWDSFWPPHSASG ALGTKRYPALLPSPRRGKQASLPPGPPSGPPREAPPAWPLPDCRSSSPTRAPQPPRKP MPTYSAFPKPTSPPPAAPRRPPLALARERKHRSSPCVPRPPPARPPRPADVFLTPCMG LPAPLDGSSYAVQPSSWNLGPVQPASCPRPATSYHRRANDSLDGDLSNDSSSSSSSSS SSSFPSSQRTTMYSALSHSATHLPVPEIRLSPSKAPRHFKSLAALSGSHTDGEPHSVF EEDSDDERDQGRKFFSFHKHSESDHRRRRNRRSIPNPPPTPDEDHALSGQSKRGHDVF GRLLGRRSR UV8b_02232 MWVRPPGRSRRAHLYSVEPRAPFLDLFRCPCVNRAVQGEDSGLG RMLVSHSPRDVKRSVIPASQLLHSIPVFAATESENGLRGFASIAGIAGIAGIAGIAGI AGIAGIAGIASIINKGHMELSKRHPARARAEAVPQLLHLLALVGTLDIKW UV8b_02233 MSVQIGSVAPALNAYWGQWGGYRLRDVCDSGVQYATISFVTKSP EQANGYPATNFGANCAGDVYTINGQRTELLSNCQLIKEDIPYCQAKGVKVLLSIGGAP GPNTNYKVSNSANGRDFADFLYNAFGPYDPSWSGPRPFDLGANQHVAVNGFDLDLEDP SVDLTPYETMVNRWRDLDRNLLITAAPQCVKQDYLDPLIKAAKFDALFVQFYNNKVCD AIPGNEPGDNFSYDDWVAKLQTGQSVDAKLFVGLPGAPSAAGSGYITPAAMQQLVCQT QNKRNFGGISLWDAVFALNNKDGNNKSYIQNALDALTFGCNPIPTTTSATATSATTAA TSTSALTTTALTSTALTSTALTSTALTSTATTSTATTGTTDISTSPSSGTSSAMTSPS SFPAVSISTRWSNSTMTTQSVSLTTVISTSPSSGTSSAMTTPSSSPVASDSTRWSSST MTSRPASLTTSTVYTTSTYTITSCAPSVTDCAKGRVVTETIPLYTTVCPVAETALPAK PTTTGGTAARTTSTVYTTKTYTITSCAPYVTNCPVGKVTTEVMAAYTTVCPVEGTEAG KVPQPTAASGSGDVAAPQTTRTTTATMTVYRTVKLNVTPSAETSVGSSTAVAPKPSSG GCSGLGCAGGATVSTSSWAWTTSAASASSNSTGGCPGSGCSGVAVPTGSWTSYAGGPS TTPSYVSGAGACTRAFGLTGLIAVIAAALLVM UV8b_02234 MSDAGDSSHKRSKSAAAFALLRRKDTREDESGSEDGRSAAPPAA ASSNPASRSSGNSLAHQPSTRGHGSKLSTSSALLYKIPSPQSSASGSRNGPAHTQPAS LEQSVRKFRVVEALRSGDTAAISKAVRETSDNAPRPSISSVSTLAGGPLEDTTVLHLA TQCAEYPVIEYVLSDGAASVDVNARDKDGNTPLHIAALQGRTQVVKLLLDQPDINDSV VNLQGKLPLDLARNPDIFQLLQLSRSLFIEAKVKQVQEIVAQGDYDSLAQVLEEPRLK TVVDINNPEFASEPATVETGGTLLHEAARKKDTRLIQVLLLHGGDPFRRDRKGKLPQS VTHDDTVKVILKKSPAAVAAQRGIQEKAVLGHAASQGMAAAGVSDPMAGREAREMKGY LKKWTNYRKGYQLRWFVLEDGVLSYYKHQDDASSACRGAINMKIAKLHMSTDEKTKFE IIGKSSVKYTLKANHEVEAKRWFWALNNSIQWTKDQAKEEERQAARSAELLKLAKAEQ SSLSPQETQAHGENASLPELQRSTSQVTSRATSNNRASPPKVGFAGTSTIGSNDDDEF ADAATDGGASRIRGHAGPLVSGEVDDEDDDDDDDDGSVRDEPRATKDALNITAQSAKL QLETMSSVQSALMSELARNPATPLSDAQVAQALSTYDAAIRSLGGLVGDLLRISKDRD AYWQYRLDREADLRRMWEDSMQQVAREHEELEARMAEAEDKRRLTKKALREMRQAGAG GGGGGGGGVSASGTPTTEVRQDEVDAAVVAPTATLVRKPTALDQLGELSESDSGDEDE FFDAVDAGEVEVAGMPQDDGGPQLRKDVVVSGIDISPSFKGYESGIRQRLKLEVDDRP KISLWGILKSMIGKDMTKMTLPVSFNEPTSLLHRCGEDMEYADLLDLAVERADSIERL VYVAAFAASVYSSTIGRVAKPFNPLLGETFEYVRPDKNYRFFIEQVSHHPPVGAAWAE SPHWDYWGESAVKSKFYGKSFDINPLGTWFLKLRPTTGGREDFYTWKKVTTSVIGIMT GNPSVDNYGPMEIKNWTTGEVATLDFKVRGWKASSAYQISGKVLDGDGHVRMSLGGRW NSKLYARLTPGFEAAVDGAQDAGPLHKGAMTDPSRAYLVWEANPRPAGIPFNLTQFAV TFNHIDDKLRPWLAPTDSRLRPDQRAMEDGEYDFAATEKNRLEENQRARRKQREARGE EFKPAWFSKATCERTGESYWKFDGRYWRQREKAGPGGDAAAAWEGLERIFEDADDAAD TNS UV8b_02235 MAREERPTLLRQPPADPGKAPIENVLEVTAVGVLGPDIFTNTRK AWVPPGARGIYGGTVIAQCLASAQKTVPDELYPHSCHCYFILAGSGSIPILFHVERVR DGRSFATRTVQARQRGRCIFTTTISFVREGSGDGDGDGAGVVRHAAPAPAEARRPPPE GWDDDEPSWARGGPFQTYPLPPVDADADADVRPDQQRCQQWMRCRGAVSAAGGRQAHL SALAYMSDSNFIATVSRVHRLWRLPLRPDDYEAGGLAEEQRRRVAASARQDGLGTGVR EWRRRRRLGMMVSLDHSIYFHEPARVRVDEWLFADMESPWSGDGRGFVTQRIFARDGT LLATCVQEGVVRLAADDEAEHSRL UV8b_02236 MSCVRDLALLLGAAAAAAAAAPGTLAVGLEKRRPAAPPRPPPLL RPRGAAAALNASVVALAALNNVTAGGYLADLGVGTPPQPLTVQLDTGSSDTWVNAAGS AYCADDAQQSLTGYCTATFDPAKSSTYALVSQAGFSITYLDGRRISGSYFNDTVTVGG RPVAAQQLGLALSSDRPSGIMGLGLRANVAARAKYPTLVDTMVAQGVIDRPCFSLYLN DIGAQSGSVLFGGIDTAKFSGGLAVLPLQPLPARGASSSAAAAAAAAAANVTSYAVSI RGVAATGLSLPAAAAGSVAVLDSGSTVTLVPGPLADQLQSPFGVAVVSYRGESTPPLV DCAYAGPKGSGVRFDFLFDGVTVAVPMREMVVDALPALIRAADPEALGLPSRAKDWQG ICLFGIGSSSAYGVQSDRFYLLGDTFLRSAYVAYDMQALQVGLAQASLNATESNIVAL SAASTGLPQLTGTGRNTTGAGTSSQGNAAGRPTPSFLAASALMALAFLCPWL UV8b_02237 MRDDYRARSPDRGRLRILHNQGEASPPSRDSQDQQDDHYRPRHG KASTTTNGRDHPVDIAKTVGAKWIMTDMTAQMMGIEDVAGARITISIIVRIDPDVIGM TGTIPTKTCTTRDIVAKLVAADGSSRSPQGGRHTSPSYSRTREAGKPTDTVILEGLPY SISTADLREGLLADSIAAEFPSFDLRLSSSRGNRRAFVQFDTVAQASAFVKEHFPKLL IELRHSTDDAPDGKLEAYIHYARNRDEGEARPPRAADWACPQCDFLNFSSRTKCKVCG GAQAAPPSWEQSLTGAADVADGVDAVSQILVVYPLPSFVTEDMLAKDLKLLEKSEEPK SSANGPTKLKSTAPGADASRYGARPNALHRVFLIREVNSGESFKYGFVEFWTLEDATA AVTKFRMSRSFTVAGCAVTIAPTHMGVFLPEERAPTGKNEHMSFHPLFNPSLRVRYRD LHVFPSQLVVNSEPPADVAQMAAKSGAEEQHADGKKQKKRKADASLATASAAKKPVAM AGQMAVWQRKHHELRGNNEGDDDANVSKPEFVPKSDANKAPIKISLSMSTKLGTGTGT PCGTANQAQPASSDGTLAKEAAVAAEGNITTAPAGGADSQSEERSNTGEETYMDKDRL MCLICMRKYKSTDEVRIHERSRNHKTAMEDEEKVKAALPRIAARDKRLEKQRADEPPT TQSTQYRDRAKERRDVYNQPDKPVVQGGKSKGESNNKPADQDAGKAGAKPAPPSRGAG MLAKMGWTSGSGLGANGDGRTEAIATNAYQEGVGLGAQGGNLGDAVELAEKKTRNKYS DYLNTVQDKARERYNQMS UV8b_02238 MASEEDVWELADDGCYVALTRDPLNAQAVMDRVRSPAAGATVLF AGTTRDNFAGKPVKELQYTAYNKLALRTMLAIARELGPKHGLKGVAMVHRLGTVPVGQ ESILVAVSSPHRQAAWRAGQEALEECKARVEVWKREEFEGEAGVWRANRDGATGEQVS SGHPPT UV8b_02239 MCPERDMDKIAKGWTIAMLYSKDRLKRVHGWGDDQLDKAVRDGK LVLETVCLFVHACVKRGQYQMPCEFWRILHAEYGIVVYPSALTEDVDVQGLGVDVTFT EAYCGHIVMYGRCCGSIPPPCPMEFLREPPPVYTR UV8b_02240 MKMKMKIKMKLTAYHLLTPQGHLPCGDEQWDTARVVVAVLSSPA ILLGRNPALISVHLRKPLEKKPTTASTEHEARKSRQDKSQAYMTSSSPPILFMLRAVV TPPLALPSVTHSHHLPLPPPASGYKASPLHPHLETSSSQVQATCPGNPDIPQPLLSIP SPPLPWKPRRAMECVARSHAALAARQRAAAINMAADSATATKAQVEQLLLLFRTIPLH TLRSIDDYTRVDMAGKIAGIFHDSYIGQALDVAQRLHEGYRYLWRLQLPPQRNARGEP VSVQTSIALQVAREKQQIYCAWALSLALRYLYDHVVDLPVQQFLQAVRPRWFYVAVCT FLCNTNTKKCQDLLLSTYGLTGCDEWFVKACMCSVGFVIPSWQEAVPLACILDSLSLP TVVKLVRESQVFQASTRTSEGEPRPARDDSPRRDTQLKTAKEECLDHGASETEQTDRQ QDDDATNRRRRKRARRSEEEDD UV8b_02241 MPFALKPASIRQAAAQVGRSLSNFSKRIKIPVTATSPPGLIQAN VVDPWSQSGKYGLGWTYFALSLTGCVLLVRIWHYWQDKIRQAIYKQEVEDLYRDLYNI EADYHISMQAGQSQQFFPDGAGFDKKQFRPKAHFSSVGVVNDTLALFRWVFYRPIPDI VFKKHRFTFSSLAVLACGFIALVFLTLSCFLQQPLYWESIRFGSPPVAIRSGMLAVAM TPWIIITSMKANVLTMVIGIGPERLNVFHRWLGYLCLLFSLVHMIPFYVQPVWEDDGM TVFNQIFPDGSGMIYGTGIACIVPLMWLCMASLPWIRRVAYEAFVILHIPAGIAYVAL LFWHTKNALLTWNYLYATIVIWAVAYLLRFSKLNWSKPWRSAFLVGDEAAVTLMSENA VKVTIPTQMRWKPGQYVYLRMPGISVLDNHPFTISSLCSEDFPSEYGENYRDCVLVFK PYKGFTRRVLDTAVSKGPLHTYRAFLDGPYGGMRRELAAFDTCILIGGGSGITSLMSQ LLNLIKRMRDGKAITRRVVVVWALKRFEAMDWFREELRICRQSAPPESVTCKFFITGT VRHRPGGEMPGPMNGINPRALNHMLHDKLDGFVAGIASKRNSALIEAEAKGDPERERQ LRAENEDGITALPQQQYLQPHPPPTPGGLPSNLLGPLSGPNGYPEDKKVDDDDHHHRK ASTPHHFHLPRLRTEQPPHFNYAPANTHKPAIPVAEYNHGDGYSVQTPELAHVRNSAV PDAAKQRPTATFGPPAGFDFGFPETPTEFQRNLMRSAFPIPHEIDGGWSIEYGRPDLG YMLKEWATGGSDGRGILGRRTAVFVCGPASMRVGVAKTVARLQAEIWGDDELEEIFLH TENYAL UV8b_02242 MASEAGLPRATFAKLSPHPYLLANLDPSDHRVPPARSNGRAPDE VRPTTVNLSSLSNPQGSALVRMGDTTVICGIRGETILTQQIPNYRASNTEAELKDYDL LVPNIELATGCAPQFLPGGPPSTLAQTLSTRIYSLLHGSKLIQPDDLRIWHSKPPEQL AADEDEMDQDDQDDDYASGDAYVAAYWVLFIDIFFISFDGNPFDAAWAAALAALRDTK LPRARYDPDRDMIICSRKDPKPLTITTMPVACTAVVFTGKETDRPFDGKFWLLVDPDR LEESLCDESITIVVDCQIGNTKILSISKHGGVVLSPQLLKSQSFINWANQRWKGVATA ITGN UV8b_02243 MESLSDTKWDVVISGTGFQQSLLALALSRSGKNILHVDPNDYYG DAEAALSLEDAHRWAAKHAGLDATGPFSATQVSEDSQGGLAASRSYSLALAPQLLHAR SELLTQLVSSKAFRQIEFLAVGSFFIFQPASEASTPPKLSRIPSTREDVFSNSVIPAR AKRSLMKFLRFVLEYDSEPQLELWKPRESRPLTEFLETEFKLDADSQSYIVTLTLSAD GAIPVGSGLAAVNRHLVSTGVFGAGFAAVYPRWGGLSEVAQVGCRAAAVGGATYMLGV GVSGVQRTLSDGEDQVEVSLTNGVAVTAKRLIRSSSHAYNGPLVSRLAAIVNAPLASL FKVVVEGAPTPCAAVVAFPVGSIMGEDGTPSRCPIYALAHSSDAGECPTGQCTLYLST PASSESKALLNTAITSLLAAASGPEPAKCIWQLYYEQASGTGSFTTAGENIGVFDWLT SDLSFNDSLLEPVRDAWLFVTGRDDIDNYMKFEDREGVTDDDVFDS UV8b_02244 MFYSHEVLSNSQYGVSTIWLVATVGKGNQRRLNRKKIQEVNVPK ACEKIIDPGAPLALRLQGNLLYGVSRVFAQQCSYVLSDAAKTQSDMMTFFRSMNTSEV DPKAGKTKRHHIMVQDDPRFDPMAPLPRLEELVVDSTTLKSMATQETNTVLSQLSPLT NSIVRSGSAKRKSSHISLDLPPSSHSIGSYRLPVDFYHTSSPFNKTLPGTGSIEGYRP FTDDELEPLCDVGLDFDANGNLINVVDEEPALPPLPQVGVGSLRMIEGKTPIVDRSPG TVDFPEEGENAYGFGEAAEAGPLAVLAAAGEPPNTDALLPTTEERKERRRPPSPRRGT RRRHARVMFDEVIRVSREEFRGWTENYPLHMEAARKRGKRTTAAQSQKNALALMYTNG LSNVGLFGKHFGAEHPLTAQFAGTALKAHLLGLRVDEVEDIDPKRGKRRRYAESSAVG REAPRRVRPRLDIGEEELGRGPPAAHMDETSFGDDTAVELGMEAEAALEERNSSSLMP WSRQGSAVPGSASRAPGSAQKPLPARSPLHSRGSVAGSIERFSDPAEEAFEAAGFGSQ PSSLRLRSDLGGPATPIGHSTQGSATGLDASSQYFLDYVTEQAVEREHVHSQGGRLTR WVDFEEIAHPERHSKSVATQAFLHILSLATKSILQVEQDGQEANIPFGTIRVGLRA UV8b_02245 MAALTRIDLPSLTKIASGKVRDLFTLPEPNTLLFVASDRLSAFD VVMTNGIPNKGAILTLISAHWFRLLSERIPNLRTHFISLGAPNGNVLTPAEETYVKNR SMQVRKLEVLKIEAIVRGYITGSAWKEYQAQGTVHGLAMPKGMQLSQKFPHAIYTPST KADAGAHDENIHPDDAWKEIGDKETADKVQELALTIYNTAAAYAEERGIIIADTKFEF ARDQEGNIYLVDEVLTPDSSRFWPKDGYELGKEQDSFDKQYVRNWLIKEGLKAKEGVA IPEDIVKATEEKYRDAFYKLTGEKFEDAAAKQ UV8b_02246 MSDAALKPEKDFSKEVDKQLPEAETLAKTNLQEAIEKLSALEKQ TRQASDLASTSRLLIAIVSLCKNAGDWSLMNEQTLILSKKHSQLKQAITKMVQTVVGF LGDTPDLKTKLSVIETLRTVTEGKIFVEVERARVTKILSDIKKEQGDLKAATEILCEL QVETFGSMDRREKTEFILAQVALCIESGDWTQAGILARKISTRYLARKPKKTAEQLEK EQKELEKKRARGDEVSEEKEDDTTDLKLQYYEQQITLAKHDGKYLDACKFYRQVLDTE AVEQDAAKLHPILQRVIYFVILSPYDNEQHDLLHRIHRDTRNSQVPQDAELLRLFTVQ ELMRWPAIAKEFGPRLCGTDVFDAQAGHSSQGEAHQRWQDLRKRVIEHNVRVVAKYYT RIRMNRLTELLDLTEDETEKYISELVTSKTVYAKIDRPARIVSFAKPRDADDILNEWS HNMKSLLGLLERIDHLITKEEMMARIQPTSAK UV8b_02247 MSNAVPSPPPPASQPPEQNARMRTVKILMLHGYTQSGPLFQAKT RALEKVLAKALSPVSLAPSLIYPTGPVRLLPHDIPGYSPPSDPESQDYQPDAWAWWRK DDGSGEYLYLEQGMATVADAIRQAGGIDGVCGFSQGGAAASLVTAALEPDRPVPDGKA GEWVRRLRQANGGLPAKFVAVYSGFRPVPASLQFLYEPKIKTPTLHFLGSLDTIVDES RSRELVDRCDNVTVVSFPGGHHVPISKDMVMPLAGLVKVYGAGDPVLEFEL UV8b_02248 MSWLGVAPFKKFPAPFLKPMWPFFAAGLVIAYGVNSAQNAMMAS DEWKNDPRNPKAKTGGH UV8b_02249 MLSRSLHRHASTAAAIPRRAVRALSTTPGMQSKTPSMGDINPSR AQIDSFNRKQQEFREKLAEAQKELGARALSANSSKSEKPDQSSSKSDAGATAAASQQE PGRKAGPLANLIYGTKEGREMEAQLQASFSQVLARGKYVHSIVFHEVKPEKVDEYVDL VGHFYPKMANTPGNKVHLVGSWRTEIGDCDTFVHIWEYQKYQGYHESRHAISHHPEFA DFDNKLKTLINSKRISLMQEFSFWPTSPPRQLGGIFELRSYTLNPGNLLEWEFHWRRG LKARREVMEGVGAWFVQIGELNTVHHLWQFANLEERRDRREKSWQIEGWSETVHKTVP LIQSMNSRVLIAMPWSPVA UV8b_02250 MTTFKLWPPISPADLKTEASESLDRELAWLVDETVALCHDLKHG IEDCYALLAPIDPGSTLVMSTHRNEKVKGTITRVGTRIVKGTLSLQLRTLPPQQLSVS ASEPIHIASLDAVHAHLTRAIDLLGLTVASAQTPASLSSALAVLADCLAQSAALLKGS ARPDPDPAWQTASCPAHHFSPPLPATLSVHMTVHESSVVLWLRALEPAAAPVNLGTKL GLAIGTLRRLEHDEMDTVFRYHPDGDGSCEPKRGQATRSTGPQMSGKKENAHEVFVRE KVRIESADPSLISLYSKLGYLGHKLGQARHNLSAVMSVDLRRP UV8b_02251 MAKSATQHQQHQQHREPIKKLAPIPQGCKIQKRPLMRPQLSASS KSPTIYVSSHTPFVSAVKRVRKLLAKSLRTAGPAPKNASLQSRVESLKQNTASASDRP PALVVTVAGTGKAIEKTLSLASWFEQEGDCNVCLRTRTVGAVDDIVPREAGEGEGEAD ESRVRKVSCLEVLVSLK UV8b_02252 MPTDTETLSLPPTTDPTDFASPAPCPLKQTGNTNWECSLNERDS VDCDTNITRPCSLSKSKVGCDVASPSQMHPMGRPQQDAYHDNMSDSGSSNISSSAFSQ TTVEESCRRALSHFPRPAISFPMPAMEFDFRIAVALNPEPSRVENKVKKEITTVAAGQ WSGSFGNGRVLAGGYDLGQARGFRPIRIVEGAFVLQTTDQPPAILEMRTRGSLSGPCD VLDSLLGLGQSKDIDPRLYGFRMFATVKTPDKRYAEIVNCGLWVASGMWSGEELIIDC YRIT UV8b_02253 MMLQLTSGANTELCQKSHQYRGAAQLVLKQTKVILFRYPSTKQN FRKPPSMKSSLFLVATSAMLALASPLRKRAMETETDWVTQYITVTVTDDGTDAPPTPV LVPSTTSSTTSSIITTTTTSTTTTTTTTTPVRSPTGLVFFEAPQQTSSSSANKAPPTP SQAPLSTSIEPVEYPQTTTSKAEPPKASPSALSPPSSNLGDYENTMLEQHNLIRQNHS APALEWDPVLAQYAANTANTCVFKHDMNQGTGHYGQNLASAGSSANIDNLKIQSAAQA VVNQWYNGEAANYDAFYGMENPPSNVPLGNYGHFTQVVWKATTKVGCSTVKCPAGTVL SLPSWYTVCDYTQAGNVGGEYGANVLRPKGMKMIVV UV8b_02254 MSTRRPQFHQEILIDTTPLPNDVPAVKEIGSSSAPLLSASFFIG ARCRDYNDDYMQCKTENPGRGEFDCMKEGRRVTRCAQSVLSDINTHCLAEFRKHWECL DDRNQQLWQCRPAEWKLNKCVFENLKLEKKIPNQPKNVTPVELRQKQIFADVRIGPGD GKPFVAAQSETRQ UV8b_02255 MSLFGQPKPLGSGGLFGQTQTTQQAQPAQQTGSFFGQTLPGQQG STLGNTMIGGQQQQVQQMPALAQSQAQLSSSLWQPGKETPHQKPILDQIKLVTEKWDA ANPNCVFKHYFYNKVDEAHIPFYKPQPHEDPSEWEEALQNKPAPGFMPVLCSGYAGVA DRLKTQKRAISEFNTRLHQINGSLDAILQRHELETEVRALAARRRQHAISERCLALAA KVQILRNRGYALSGDEDDLKNRLQTLEREVQDPALGAREEELWSRLIVLRGYSDRLNK ELDKPSSQDSEGLDPDTEAKAKRVLEDYEKQLQHLKKELEALGIDFEDWEKSRNGSLK LR UV8b_02256 MQSVPLQVAATPYKLTWTEVPDPEPTATPIYGRSRPFYVNSTNR PNSTPPGGPSYPDKPFTTTSKMFGGFAPPQQSREEIRAMEAEATFTVQQVVATAFMLY LSPFAIDMASRIF UV8b_02257 MASRLALSRGALPRSCLAVASRGVSAASLLARSPVARPTALRAF SQTSRALRYPAGRSSRIRASPRRSYSESLRQDPIYLDMQDTQAEHPEPWMDFTARHIG PRDEDVPAMLKALGPGVDTLDTFLAQVIPEDIMLPPQKTIQPTTYSEAGVAEAFKAMH SRNEIFVWMNGGGYYPVEIPGVIKRNILENPAWYTSYTPYQAEISQGRLQSLLNFQTM ISDLTGLPVANASLLDEGTAAAEAMTMSLSNLSTSRAKRPDKTYVVSHLVHETTFQVM KGRAEGFGIRLEMMDLSASDAIEKIAGLGDDLVGVMAQYPDTNGGVGDFRELAHVAHK QGTLFSVATDLSALTLLTPPGEWGADVAFGNSQRFGVPLGFGGPHAAFMAVRDSSKRR LPGRIVGVSKDRTGDRALRLALQTREQHIRREKATSNVCTAQALLANMAAMYAIYHGP AQLKEMAVNNLRYSRMIQSAAQHYGLAVDTATLDPKGRVLSDTLALTFNEPKASQALH VALMKQGISGGKASTKNEVVLAVPTTFNLDTFVGVVKALQTVARKNQTDPDIEVARKF WSEGWKPTSDEIVKEIPDAVRRESSYLTHPVFNSYHSETEMLRYIHQLQSKDLSLVHS MIPLGSCTMKLNGTTQMELIGHELSSNIHPHAPFHSFRGYKGLFAATASQLAALTGMD ATSLQPNSGAQGEFAGLRAIRKFHEQQPGPKRDICLIPVSAHGTNPASAAMAGMRVVP VKCDTTTGNLDLADLEAKCKKHEQELGAFMVTYPSTFGVFEPGVRKACEIVHAHGGQV YMDGANMNAQIGLTSPGALGADVCHLNLHKTFCIPHGGGGPGVGPICVKSHLEPFLPH KSSQTPVASASYGSASIVPISWAYIATMGDKGLRKATSMALLNANYLLARLKDHYPIL YTNDNGRCAHEFIIDARPFRETAGVEAIDIAKRLQDYGFHAPTMSWPVPNTLMVEPTE SESKEELDRFAEALISIRKEIREIEDGSQPRQGNVLRNAPHTQKDLIVGDGEGKWDRP YSRQKAAYPLSYLMEKKFWPTVTRVDDTYGDTNLFCTCPPVEDTTQS UV8b_02258 MDPVDEDRVRYESARHTDEAEYLASLPRKPAFDAASASWKSSPA ALPSTETSDPGASTASWSQASKKKGTASTVKKAPKRPKHGSAKKGKRPRTASAAAGLD EASGEDGSDNGPYCICRGPDDHRWMICCEKCEDWFHGECVDISKDVGEGLVEKFICPR CSTAHSTTLYKKTCALEGCRKPARLTQRQGQASVFCSDEHAQTWWETMVGRLPKGKGR EGFDARLTQDEFMALLASNLGAVDETGMWKLARTPFSDPKTNGEHVGKEASPDLFSGE ERKFLDRATQARLELQEETRLCHKMFTLIDLAQERRRAAITAGRFGEDMCGYDSRLDG VSARDVFSDFAKSPEGEEVFRSARLADPFGEDDPVRGMCERKRCKAHSGWQRMLPLGI KHHIREMAEEAAELEEEEKIVREAAGERWKRRQAENNWVEVLDGRDPVPKSFQTEQAK AAAAAAAAAAAAASADEKSKAALESSSFSRDQTLSLPAVFAMASHHPGEQDDVDEMLA ADDAAEEIEIGHQDGHAGADADDDADADVPMDSDAEEELVLRSDAIAYFDLPRDSLFA IAQHPVHASLVAVGGSAGPEDDAPGAGYLLDTSAAEPPPVLPASYASDPAAAAAAAAA RRATPLRSLFSLDGHTDSINALAWTLPRGDYLLSGGLDGRVKAWRTQLRPGAGVAAAP LGEAREVDEVNWIAPCPSPRSPDTFAVGASDGSVWVYAVDAPGAAGPLRILQSYFLHT GPCTAGAWTPDGRLLATVSEDASLYVWDVFGDSNGGAVVSLTGADQRFQVPGGLYSVA VDPRGAFVAVGGAAGAVKVVSLPRPPSAQPPSRGAKPSSSSSSDPTAGGGQILASLHT QSDSIESLAISLTSSAPPTTLLAAGSVDGSICVYDATRRFAVRRHISGAHEEHSVVEL DFVSNSWLLTSCGMDGVVRRWDLRSGGATGTNAAGATDTGLHKEWRGHRGDGEGGGVL GFVQGGTGERIVTAGDDGVSLVFEA UV8b_02259 MAHQMSTSRALQGAFASCRRCLCGSAFLPKLARPNLVRAQVPNR QPIVQRRTVYKTVEQAKSRHSTGPFSWKAGAVFVATCGALVWYFEYEKGRMQRKRIAD ASKGVGRPKVGGPFELVDQHGRPFTSDMMKGKYSLVYFGFTRCPDICPEELDKMARMI DIVHEKAPGALLPIFITCDPQRDDPPALKSYLVEFHQELIGLTGTYDQIKDLCKKYRV YFSTPKNVKPGQDYLVDHSIYFYLMDPEGDFVEALGRQHSPDQAAKVILDHMKDWKK UV8b_02260 MSLAGLCAGQSALAASTARPLRRAHIPLGQVNGLARLAYRQWRG FKSTARSAERNTSLARSESTTPRPGQKRDALSEIAFAFDIDGVLYRGGQAIPGARDML RSIRSQHVRYLFLTNGGGAHEDAKAASLTKRLGLSAGEDVIRNRVVLSHTPMRGWADE AKNQTVLITGSHPETARQVANEYGFSRAVTPADLIHDNDSLYPFDTLKDSLHSRFRPL PSGKSASAVQDAYCKEVPSDALKIDKILVWNDPRDWSLDIQVVHDLLVSHKGYLGTIS DKNGDGSLPNQGWQQDGQPELWISNLDLVWKTEYPVNRFGTGAFVDALRGVWAAVTNG AELQYRALGKPSFFTYDYAHKRLLAQSRGGAPLRRVYMIGDNPESDIRGANEFAPDDG TEWVSILVRTGVWKETPAEKEPRYRPAVIVDDVVDAVVWALRNEGVDASRSWLLSSGR AAAAAAGDGSR UV8b_02261 MTETVSSTPPPAPLRSALKSDADGDRTPPASGILKAVQITEPVP ETEDEAQTTKAFRAGPSRKLSGKPASTKSSVAEGSPALRPDDDSAHSSGSHHHPHRHQ YYAEKLLAQVSDWLEHAKKKKLAAHKPKSRRRKSNSPPDQGRSPSDQPGRQRSDSMDS QSSDVSLEKLENILRDSLSSLGLSSIPQHPSKFPRRRRANSKPLFHRTASSDTDYVDG DAVVPSCDAWLDNSKTLSYTAGAAGVDDSAGLGKADKDKDPWLVFKNEIIRIAHTLKL KGWRLVPLGSGDAINVERLSGALTNAVYVVTPPKEIDDSEGKKLPTRVLLRIYGPQAE HLIDRENELKVLQRLARKKIGPRLLGTFQNGRFEQFFNAITLTPSNLREPDTTKQIAK RMRELHEGVDLLPLERDGGPNVWKNWDQWLANVAIIMTYLDKQYDEADADAGAGQHGS VVHAWKANGYVCGVPWEQFKNMVVNYRAHLENCYQSSKSIKERLVFAHNDTQYGNILR IRPDDEKSPLLQAANKHKQLVVIDFEYAAANVPGLEFANHFTEWTYNYHDPAASHACN HERYPTPEEQRRFIKAYVDHRPQFPAASATPRLRPQDSGSSTPALNPTASSSSIVDFM LDARVPPGGWTAAERAREEQSDLQVRELMEEARLWRPANSAQWVAWGIVQAKVPGLDA NNEPVPAEAARKAEQEISHDEFDYLSYAQDRALFFWGDCVQMGLVKAEHLPEKLRRRL KVVEC UV8b_02262 MVLPKHELEGKLGEETALIESSALRDENPLEESDEFNQLILTCR KGDLRRCQELISQGVNINAKDRFDCTPLIIASLCGHYELVQLLLESGALAERNTFQGE RCIYNALNDRIRNLLLQYDFSKTSDPYVYWSGHLASLLTRTVPWTSDISLSSGSQAFH LHKFMLAARTPYFRRKLEAQPGTTSWSLAGAVPAESVRLVLGHIYLADLPRDLAPPGG SVSEEQVARGLDKISRQLGLDHLWEAIMAGHDRRLARQRFRDEEERALAQLGDFFRSS VLGRKVVVDADRVGDVGWKHDNPAFADVLLRADEADEDEPEPEPDATTATGRPPRSAA LYPVHKAVLIRSEYFAKMFSGDFVESQRAEHLRIVTVDFSPAVLELVLTFLYTETIAC PLQHALDLLYAADMLLLDALKAKAAQAISTLGSGTSDTPLAHGVPGKGGPAPPTEPIN IYDVIHAAWDLGVQRLEEFAARYLANRLEDYVDDPDFQDLIRESAERIHRREETDTIE LLDDIRYHLSERFRLRFEDAGLDEMMRGGDRGDGDGAEAAAKSSAGTACAVPALDGGE AQDEFASDALSYQMLLGKIDAMLERLKLDA UV8b_02263 MSSEDKYDTLEKIGHGSFGVIRKVRRKADGFIMCRKEISYLRMS QKEREQLHAEFQILSHLRHPNIVAYYHREHLKASQDLHLYMEYCGNGDLGRVIKDLQL KGQRAQESFVWSIFSQLVMALYRCHYGVDPPDAGASPLALTQASACSTPKTPAGAMTI LHRDLKPENVFLGEDNSVKLGDFGLSKMIKSQDFASTYVGTPFYMSPEICAAEKYTLK SDIWSLGCIIYELCSREPPFNAKTHYQLVQKIKEGKVSTLPDMYSPELNQVIRDCLKV NPDRRPDTVQLLNLPLVKLMRREKEVVDLGRSLCAKEDLLRRREKELNERLARLDRER EMMREEIDSSLRREWEVKARLEIDRLANLEIEQLQKRFEEEIRTRVEAEVQRHRLLAV VKPETVSADDDDDDDDAAAAKPDCSRSSVGGSSSDELPPLVADLIEYSLDSPDVSKEA KQQQQQQQQQQQQQQRAARTPFGRAQTMFAGHAAGTPMDVEMASPSPMAIANLSLSPR RNGATKAPTTHSGNIFTANANANANANKVPADGRWDLREPLTIDSDDDDIVPSPTRNV RSAKNPFTSKNRPVLTSQKSCPLNRLRPQSCNPGLVSKQALSAHQPDPRPRSPTRQIS KIPSMASLQADAGAGGLARKQSAKKENAGAEGLNKLAAKNTIKGRTLVELQQARAGGR PVSATAAGGHALENISPKRAAFKERMVGELRESGGSTTSGGGGGGGSSGSTSSGGSTS SSESVAVWDPERDEMPSPFLVRQRRV UV8b_02264 MDANAVSDAQLGLTPEEVQLLRQGQAALGHGGGASTSSRAASRA SSQGLLLLDSSSLAALARHFDRLMASIEQNIRRLSEQAQMFTQVQYDRAGNVIDGADA EIARYTEILRQLDELELDFDRIARIKEIVKGFRSRVENLERDLETSGASSSSRHDHKP SSSSSSSRKHAHPHSQRHSSTHKHKR UV8b_02265 MRPALVPAISGACSRNWKRTDQPPLPPYPRGPIDSPDGAPGGHR ARLRGIASYQLATRLQCSSDFLAPSMPCVLGRVAGTGPACGGLDILRSRCAGTCCDIP GRRADAFSPVGFDRLVKSLPPGPARPFESSEALLFAAASLLIRPSYKRSSFADSAAVS LEGVRGTTARSHSTQDHGCFSHADNRSPACVLETPNIRAVICAPR UV8b_02266 MDDAKFSLPSISKLLGIADAGSTNTTTSPDSASESRAELKSPER QRQSRRTGRGSEFFDQLTSSTRRQETPFVSAYSGYSHHQHRHQPRSSPPTPPLGTDSF ESRHQGNGVFAARHLFEVTPPPTEPDVSSNPHSEQSSIPQSQLAQPPETYQPHQPGAF QSSKSLDSYLDPAASPSTSSSSHAQLSGFYDHQALPQNYSQATTPVEYAAVSSTGPWQ HHHYLSPMHGMSYPQSQDRYICPTCSKAFSRPSSLRIHSHSHTGEKPFRCPHAGCRKA FSVRSNMKRHERGCHSFNVDTDRPHMR UV8b_02267 MVVSLLLLLLLADAAVPAAVPAAVPAAVPAAAPAASPAAVPAAA PAASPAAYPAASPAAAAGNATSFLRDFGVRQMESTA UV8b_02268 MKGILKAASSGGAAEGAFCRESAAGQGQFWARADQRQAADVDMM RAGVPAKAREDILAKKKAEWMRQQRGEQLDPHAAVKFSNQPAQVRVIPPADVVDASAE REKERAVRPVKGPDPYRTMSFLSLSPPKYSTSKPAEGRAVHERSAVKTLRITHPYPYP YADFTSKLDVQKSVQIMAEEDRRRRRPGSPCARGKQPAEHCWQRPNFGMGRPDRQLAW YLRFRYMGRALRQTR UV8b_02269 MTPACAAGRVCGFAAHRHACLRSNACAEAEFRKRASKQQAASSK QQAASSKQQAASSKQQAASSKQQAASSKQQAASKQASKQASGETPRDLTAA UV8b_02270 MDRFTKGWVQHLRNTARQETPSRAYQCPLCDAQVQPNIDAFRAH VRADALKHPSLAKDADVEQAFKKCTLHGGGEPQKALSSAAKGPRDDGAHSGMPTRKRP VSGNLDVEEGQDDDNERPDANSGGTGSSRRGTKKLCSPPASVTQLRGSSPPTPSRSRA RPRDGVDDFDRGLSNRRPSTRRLWTPDEDRRLNAPHSPKAPPQIRHGHSPAAPSSGQR RSPQQKALGRHHQHHHAHDSQSASDAASTSDAILRQPKTRPISSDQLVAEVKGIYAGL VLLEGRCIEYDSSQQSADLSQEQYHALISLHRSLLHEHHDFFLASQHPSASEALQRLA SKYVMPARMWRHGIHSFLELLRHKLPHSLEHMLTFIYIAYSMMALLYETVEAFRDTWV ECLGDLGRYRMAIEDDDIRDREIWTGVARFWYTKASNTSPDTGRLYHHLAILARPNAL QQLYYYAKSLCVGIPFRSARDSVMTLFDPLLSANSTSSQRLEPVDAAFVRVHGILFSG KAKDQLQSSMEEFLDHLDSRIGREHGNWLESGYHVGISLSCLLLGFGDESNVLMSAIR SKTQEGDEGDDAKVTDSPEPDATFEAAVSFAAKTYEIVIARWGDRNTLPCLHTILVFY LFMTQQPAAMKHLEGRFPWKLLSVLLNYLLRTSETPPRIDTSEFPGPEKKEAPHPLPE DYAMRGLMHATDYFPQGWFENENIDDDEKYFEPASTAGKRRERILWIGRRIASHGKWL TWDAETRRFSAAAQYDVEIDDGRVAPLPSDRTAAPAGTEAVQGDGQT UV8b_02271 MAAFLSTTLENPRFQLFVTAVLSGATVASLLLGYQALEREERLE QLKSSIPSLLDGNHQTRKLNDFGGSPSSAADKEDARNQALAKRAQAGDFDEELVLEQL ARNRVFLSPEGLAKLRDSFVVVVGCGGVGSHCTAALARSGVSKLRLVDFDQVTLSSLN RHAVATLADVGTPKVQCLQRRLVAIAPWVEYDLWQEKFDETAAERMLGPWVEGGREPD FVVDAIDNIETKVALLKHCYDEKLPVISAMGAGCKSDPTRIVVGDIGASRDDGLSRAT RRRLKLLGITSGIPAVYSTEQSGQGKAELLPLPDEEFEKGSVGDLGVMPNFRVRILPV LGTMPAIFGLTAANHVILSITGYPVDYVPAKGREKMYEGILSYVQGSEERLGRILGLD TVGLKTPLTMGDVAFLSEELYHGRSIISGIPTKLVLIRWRKPDDGSNMSVVGQGKDTQ KCSTVRLRHLVCMTKEEAARHEKEVFKAGKPLDEVYDAQTRERVEARLAAAATHEPYR UV8b_02272 MRARLALRREQPVFAVVVVPTSATPRFRCARSFPAPARFLSSKS RPSLPFLTVPQASPSVARLYTSERKRWLKHEAKLAVRYTLTLWGLAACALVILFFVNE EASEREYPTPHEWDFFTRKLLRDARDFKDPKDGEVNWARCLELAREAVLRLEDPRVGG GNLVRLSDKLDFSLDVPEEFVHYDISAMSEEWRRGYFEAVMLAAQAAEHVDGWLRDTT RNLVCPPEFAVGPSNPRPRPIPPGSPHAPREADCQLAYPPADRFYLKILATQGLSSRQ RMEAALAYASFTDFKNRTGAEALYALALSEAGAGLETSDLPDGAKTLLTKSGAPPPSA NLLDALTAFANHKARQGDVSLALPIYVSLLKARRSLSDKPTETAPSRARKRSSYEQLT QLLLPPAYPPPGPDGTQPPWRDPYERCQEAALHLYMGEILYATSAADDGLAWTRDGVD IAEEQLRALGAASKDKRAKRTCRECLTTGLENWSTMVSRLAKAEAAEKSNGARPSMFS FWGGPQDTDGRWAAEKAVVEERTRRTRELLEDVSPPAPGITSYFKA UV8b_02273 MFRRHWSGLPKDAAFPSDLKGLGYFINDKDEIRSIENPDNYFNF YLDRNERINQRQRFQFDTALVEIIHRRLKDQGLQKMRLPLGSGPSDRHVPIFVSPDVS AKSRVVVVLGEPTQKLGLLAGRVANGPGGIDKGSMVSVVREIRRQKSTASDPSPPGVV LANTGELYWWPRGQRALTVSDSAAVPLPSLVHAGVKYVDGVNDVPGNKNPEQHVAYVF DEVLRTMMSKDCRVSVVAIGQSCELVARFLDSTAAWERWCEHLDAALLLGTVYPVEDL ANDALKTFLARKSRGYILSSDPLDAPLAPPSGHPAENIPPLGCPCYSSGESSYTENIL IRALAPALGYLEHVATTPDYHNGDIVVVERPQEDINQDDWAKVPDGDKPTITAVGANR IKEEVRMAKRWRRAVRTGEAPDTDSSDEDFS UV8b_02274 MSQPNYTVYVRVPIPRGDFVDPPPVHWDSTKDDALWRILSGAAQ TEIDWNQVAETFDVPVDFLLQQVAFLTERHASQVRAQVRKATAAAKGSAAPSPVPGAE PSSSSHPRTTSALSARRDPQMPRPEMNASGTSASMPVRPSASRDASANNTTIILKDAS GSSPRHGPSLPASRAADPGGSRKRLASLPATLPSPRPAELQDDEPRDDGTASPGPAES SSNESSSNESSPAQSRIIRRPPRFQPQDAAEAYPDDEDDESEPAFQPYQTGQDLASTL KGDGKASVRHAHKSSARDVIHQSQTSDDSSTGSPVAVPKPKFRKSNLAGPLSPKRTAE LSGRSPTGKGKASSRDGSDGTLSMGSSFSDLDDASVTQSALEEALASHMNRPGASSRF SISQAFRSRYKPGAN UV8b_02275 MSSSEINQVLANSLSPDANLRNAAEQQLNQAAESNFPLYLATLV QELANESADGSIRVAAGLALKNAFTARDFARQQELQTKWLQQTDDETKARVKQLTLQT LSSNNAQAGNAAAQVISSIASIELPRNQWSDLMPFLVKNVTDGADHQKQASLTAIGYI CESSDAELRVALVSHSNAILTAVVQGARKEEANNEVRLAAITALGDSLEFVSTNFKHE GERNYIMQVVCEATQADDSRIQQGAFGCLNRIMALYYDKMRFYMEKALFGLTILGMKS DDEDVAKLAVEFWSTVCEEEFSIEDDNAQVESADQMRPFYNFARVAANEVVPVLLSLL TKQDEDAADDEYNISRAAYQCLQLYSQAVGASIITPVLQFVEANLRSEDWHYRDAAVS AFGAIMEGPDEKVLDPIVKQALPILITMMDDQNLQVKDSTAFTLGRVTYACAEAIDSN QHLPSLIESLFKGLMSNAKMAPSCCWALMNLAERFAGDIGSAANPLTPHFNQAVSTLL DVTSRQDAETSVRTAAYEVLNVFVQNSATESLQAVASLSEVIIKRLEETIPLQSQVVS VEDKITLEEMQNSLCTVLQAIISRLDKDIIPQGDRIMQILLQILTSVGSKSSVPEAIF GTISALSTAMEEDFSKYMEAFSPFLYNALGNQEGPSLCSMAIGLVSDITRSMGERSQP YCDNFMNYLLNNLRSPSLSNQFKPAILQCFGDIAGAITGHFETYLSVVAQVLEQAATV TATPDGPIEMVDYVISLREGIMDAWGGIIGAMKTSEKSQALQQYVPTIFNLLGQIAND TLRSESLMRASMGVIGDLADAYPGGELVEAFRQDWLTTMIKQTKTNRDYQPRTIETAR WAREQVKRQVGGTTAVMA UV8b_02276 MSCHVTAKTTNVYVWGSITATAALLVRHGGFFLTNMASASQAQV ITTRTDEVHLAPRRQLCMQLRAKIAGPSSTRSFPHALRNDGDLDWLVQVTDGLHHSLA PFRRPKLANPGAARP UV8b_02277 MPMLSEPWKKYKRFPPIHLPGRQWPDKTLDKAPRWLATDLRDGN QSLVDPMNGDEKWKFFQMLVKLGYKEIEVSFPSASDTDFNFTRKLIETPGAVPDDVYL QVLSPCREDLIRRTIESLKGAKKAIVHIYLATSECFRRVVFNHSKEAALETAVRCTKL VRALTKDDPSQEDTDWAFEFSPETFSDTEPEFVIDVCEAVKAAWEPTEANPIIFNLPA TVEMSTPNVYADQIEYFCRNITEREKICVSLHPHNDRGCSVAAAELAQMAGADRVEGC LFGNGERTGNVDLVTLALNLYTQGIHPNVDFSDLNSVIETVEMCNKIPVHDRAPYGGS LVVCAFSGSHQDAIKKGFQVRDRENAAYDDRWQIPYLPLDPQDIGRTYEAVIRVNSQS GKGGAAWIILRQLSLDLPRGLQVAFSKVVQRKADELGRELRAQEITELFEETYYLKQN PRFSIVDYSIMPDRSKSPAPEAGKTQDTKDLNRVFSGVVRCDGREYKLRGRGNGPISS LASALKSIGVSLDVQDYKEHAIGKGRDVKAATYIECTAAGSNVPVWGVGIHQDVVQSS LIALLSAASNFASSRPGSPFAAKLAGDGQVDETDADEMNGNSKGPSVLVSAMEAQASQ M UV8b_02278 MSQRGARVTEFEERDYYSAPRRSNPRFQDADPRTTRVMTRDPPS RKEFDQVDVRVRERESSRTPAFLREDARRAEAGPMVLRKRDVETWDRRPRSPSSPARV GEERLIRRPRSESPPHLHDHEHSRMRVVERERESERIRSPSVVRQRSPSGVVRFVERR PRSPSPVREHIHTRIVERQKQREPSPSPSPPPPPPPPPPPVVRGPIIEREVITHYTDI DHGVIRARAPSPPPLPPPRPHGRTRERETDIDISLSKNRTDVDVDIHRSTSRHRSKSR ERRSDFHDDYLITSKDMKRLRIDDSGRGHRRSHSAAPPFSRLDDDEATEITGKIDSRG RMGEAWGGATKDWTIVDVPPGTERVLMDGVGGASTETNWSKYSGVRRTQFIPERDGAV VPAREPSPLPAAGSGNNHTSVAFYDHDREIDVDVDIERKMSRTPAPPSHPPPREMWTE ITKDLVCREAIEQMGYAYEETRWFFYIMDYLKYDEVLQLTELSARIRRYRRRCRDAER EREYADDWYRRSQRHGHGYDHSPHYRGFSSEWDDERVREREVIYDSHGAARGYWR UV8b_02279 MAPKKKIAVMTSGGDSPGMNGVVRAVVRMAIHLGCDAFAVYEGY EGLVQGGNLIKKMEWHDVRGWLSEGGTLIGTARCMAFYEREGRRRAAKNMVLHGIDAL IICGGDGSLTGADKFRAEWPSLLEELVANGELSTDQVAPYKHLNIVGIVGSIDNDLSG TDATVGCYSALSRICEMVDYIEATASSHSRAFVIEVMGRHCGWLALLAGVATGADFVF IPERPQEHDWRQDMSEVVNRHRQLGKRKTIVIVAEGARHKDGNKITPQEIKDLLSDKT EGGLGLDTRITTLGHVQRGGTAVAYDRVLATLQGVEAVKAVLEATPETETCFIAMNEN KIVRKPLMKAVQETKEVAKAVESKDFERAMSLRDTEFADQYKSYTMTTNVMIDDHKLP EKSRMKIGFINVGAPAGGMNAAVRAAVAYCLSRGHEPIAIHNGFAGFARHHDDKPIGA VRPFDWLEVDSWASKGGSEIGTNRELPEESGMELIANLIEQYEFDALFIVGGFEAFHS VSQLRKARDQYPSLCIPVCLLPATISNNVPGTEYSLGSDTCLNELVSYCDKIKQSASA TRRRVFVIETQGGRCGYVAILSGLSVGASAVYIPEEGISLEMLNADVNHLKNVFKNDG GQSRAGRLILVNEKASKVYDAKLIANIIREEAHDRFESRESIPGHVQQGGVPSPMDRC RAVRLAIKCVQHLEEYGCNAHNRVKKDPNSTSVIGIQGSEVVFTPMKVLEERDTDWPN RRPKAAYWLGLREVVDVLGGRPDYSKPEENPTGLVAKDIKRGLA UV8b_02280 MAPAEGSPLSLRPFPVADKAPKNLAEFIARVNAQPGGFRAVTED KLRDEIKSRDSINGAESDQEDVDLSHAGVDDDQAKDPIAARMEVLKHIEVASNTANLT LDSLSLLLSKQRPTQAGLTLSQQLRDMVGIGTLGADKLDEPIVNPSRAKDGEEVALGW TLMQINQARDAAKEAGGFLQREVEAESKYWRDVVAVKNSGWFICRAPQSRHLLGVKFG FSEATAEFKNNSLAPMKRGEDGSVELDLGRLGGVSEGLVVTYEKDGKLVGRSVPRRRA NDDASLGSRVLEARNTIFSQELWHELTREARTLAAYDVKPQGSKLTCMVDESSKIILE LLPLEACPASDDSLPESNVAETISISLHVLLSYAHQCNELMRIRPIPPHISRTRGQQV PALLRPVIARMASMRSVRACTKYVGDIAACLQSAGLPSSFTLKTAQYSVVEAATQGPN QPAGAHSLVRSMLQPIEYNIDLVILPEAALTIRGRTLLFPVTTTFYHVALPTSSALHS ACAPYADGYTDTKGLFDYLRTATSRALALHFLAKLSSRAEQAPNNNNNNNNNNNNNNN KWIRSVQGSLVCDAEDDTLELLFSVRDSPDIALAVTRTVVSNGNKSSSESWQWSAAPA GGESASMHDVVENAATRSVS UV8b_02281 METPRRDNVVGDIGPSSASLPSRKRAIGAVDSAVMLHPSKSRRP TPTPAGDHSSSPADYNKRTGSSEPYEIIDLTGEEDDFNATIIAEQIRRHQRSEQNSKG REMAWQRSQPSPLPPSSASASMRSENESSALSRLMARQRSNVGDPFSTPRPSATMPGS YDPSWDDADQWRSAESALDSPSNPRHGSHDVHSRMAMYSPGSAPQSSGSRPGAPQIND KFVLRSQPLFAAHSHSPQRASSAVLPSSVVPILRSSGGDTLSHIIDRTSAYDFSNGVD QNGVALQDRLMDIFSHEEQDPQLTEKELDDLLRNIRPDVDIPAENREIGPAGLKYPLY RHQGVALAWMKEMERGTNKGGILADDMGLGKTISTLALILANPGYCRPKTNLIIGPLS LIRQWEDEIKKKTKVTHRLSVFVYHNKKATTDELLKYDVVLTTYGTIAQELKRYEKFI EENNDRNIDFKDRSVASRFPLLHPEKAVFHRIILDEAQCIKNRNTRTAKACHQLKATY RWCLTGTPMMNGVLELYSLLRFLRIKPYHTWEAFRKGFGVLFGMRGDPKSMAMSRLRA LLKAVMLRRKKDSELDGKPILQLPPKTEHVVYAELSTDERDFYKQLEEKAQVMFNKYL REGTVSKNYSSILVLLLRLRQACCHPHLNLDVNDSVSAVSHEDIEKLVKELDRGIVER IKGIKAFECPICYDAVQSPSFFIPCGHDSCKDCLLRITADAAVQNIHEGNESDRAKCP VCRCVFEPKKCFTYDTFKTIHMAEEVEKMAADGDYTDDEDHEDEDDSDDGSATDDDDS DVDNDETDEKGNLKGFVVDDGVDEDEGDMDHQDYELADVGELARKASKGETNDANKSH KTRSKKKAKKSSKGKGKAKETERQNDVKPSMLKALRMEAVKNRVAYRKYMRYLRKTWM PAAKVTECMHLLKKIRETGEKTIIFSQWTLLLDLLQVAMWHEGFDANPLRYDGSMTGD QRSFNAQQFRDDAKQTVMLVSLRAGNAGLNLTAATRVIIMDPFWNPYIEMQAIDRTYR IGQQKEVEVYRILTKETVEDRIVHLQDKKKQIVEAALDEKESMRIGRLSEHELRYLFN AG UV8b_02282 MAISMTSENILDALTAQNALLDSMQDERWPGVEEEREKVSQKIG ELELLLRQQQQQQQQQQQQQQQQQQQQQQDLQATPSATRNDAPISIVTVLQGYSIS UV8b_02283 MKFLVAAAASLATGVNAAVIGSSATSAELDISFITDVTRRVGQR IDALDVLVQDFTRNSLPPQNAVAAVVKTLKDGKVTVDAGKQLAVDDAKTLTNPVNALQ QQCQNLLDHLKTKKSIIESASLCQDFGQQTTAISTYSNALTDSIVSKFPDDAQHVARD VVVELKNLLLKAQVEFSKNNCINKAAQPEKVNNQRPRAPEEPCEDPTPTNDGQPTTSE EPCEETVPPHDPEPSTTEPCEETTPAHNPEPSTTEPCEETTPAHNPEPSTTEPCEETT PAHNPEPSTTESCEETTTSRNHEPSTTEPWEETTTAPSGIRSTTAPSAGITPPGTEKE TTTAPGTGISPPSTRETKTAPGTEITPPGTAKGTTAPSTGVATPGTDKGTSTAPSTGV ATPGTDKGTSTAPATAVFTPGTDKGTSTAPATGVFTPGTDKGTSTAPDTAGVTPGTDK GTSTAPATAATTPATEEETTTAPATGVTNPGTARETTASGTGITTPRADKETTASTGT EPATAPAGTGTGTEPGATSTSGQAPTQPIGGQTTFVTAPVQPASPGSSGETQPTGGNG ESRQPSSGGGGNAPTSGGSVGGTNSTAALGPTGSNGGGPRPPIFTATGPSTPPGPTAG AGAVGPAAALALAIGALVLV UV8b_02284 MPSNTLGANHPGPQGLLSPAGYDDDASSIHSRSDQDTDSDDDQL QMRARNSRELRAADRIVLMEEEELDRLVTTTRQQQERQRRGSGLSVPNPLRMFGRHGG SSSQQSSPSASVEDLVSEKRRARRSRRRQKRQRLREDARHGEDGELMYEMEEGGVKDG SSTGDGTDRENSLEEAERRRRLDLVAPARRARVGGRGWPRWLLIRALVAVGLSMLALV AWKLSRGPGRRLGTPPGLVSNGTALFGPTTIILSLDGFRADFLHRGLTPRLSAFVREG VSPQYMEPSFPSLTFPNHYTLATGLYPEAHGIVGNSFWDPVTGDEFHYTDPARSLDAK WWGGEPFWVSAERQGVRTAVHMWPGSEARIHDMFASFVDRYNGKEPLDKKVARILGLL DLPGKESAAAAAAAGGGRPQLIAAYVPNVDTDGHKYGPNSTETRATIQRVDAMLDDLF AGLERRNLTDIVNVMVVSDHGMATTDASRLVQLEDLVDTSAVEHTDGWPLYGLRPKDD EHTQALHRQLRHAARSNPSFDVYLRDVDMPARYHFANNPRIAPLWIVPKTGWAIVTRD EFDVHEAKGKALVYHPRGLHGYDNQHPLMRAIFIARGPAFPHPANSRLEPFQNINVYN ILCDSLHIQPRPNNGTLRLPLKPVGVHDAAAQPELPDPPPPALSASQDAPGRASAPTS VAAPPQPTSGATPDQPPASSHDGPGRASSSFSSSSSSSSIPVAAAPPGPASQAASAGA DAAAPGDASSIGDRLKGWWGWLADKLGHAWDSITGSAQ UV8b_02285 MLFVTVLLLAHFVSAAYELDPNSTASIVSVGKQMAADMLSFYDG DKPGGTPGLLPAPYYWWEAGAMMGTLVDYWYYTGDEQYNKLVQEALLFQVGDDNDFMP RNQTVTEGNDDQGFWGLAVMSAAEYKFPDPPEDKPQWLGLAQAVFNTQAARWDTEHCN GGLRWQIFKWNTGFDYKNSISQACFFALGARLALYTGNDSYAEWAEKTWDWMVGIGFI DQDWRVIDGAHVGTKCTDHVPYQFSYNAGGFLLGAAAMYNYTEKPIWRQRLDSLLDGS KVFFTGPEKNIMTEVACEAVHRCNLDQQSFKAFLGRWLAAITKWAPHTYDFVMPYLRA SAVAAAKQCVGGDNKRMCGLKWNQDKYDGTTGAGQQMAALSVTLACMVKNRPSPVTQH SGGTSKGNPGSGGSDIGRDEPAPPVYRPLTAGDRAAAAILTAGILVGMLGGIGWLLGD ETLDKSALQQVRSCRGSASAAVGAAARATATAGLRLVRRHHQAVDRKTVVARVGTITN TVSQGQADGTTVQAREAADAKA UV8b_02286 MSHQGPYDPHPADDAHRGASPSAGGTERTQQIQAHIDDTVGIMR DNINKVSQRGERLDALQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRMCLIAGIII LLLIIIIPSGR UV8b_02287 MLSAAAAATIRSGSGRAASRAASRAAASPLAAASSKIRAPGIAP CRAPLSTTRALASPPARDRTREIVTQTINSIGSKREGQQYLKLFTSVSSQKFAVIKLG GAILTDYMDEVCRSLLFLYELGLYPVIVHGAGPQLNRLLEEAGVEPQFEEGIRVTDAK TLGIARRLFLEENMRLVDRLDELGVATRSLAGVFTADYLNKDKWQYVGKITKVNKAAI EKSIEAGYIPVLTSMAESEDGRLLNVNADVAAAELARALEPLKVVYLSEKGGLFDGDG GKISHINLDAEFENLMSQPWCRYGTRLKIKEIKELLDTLPRSSSVAIIHPSDLQKELF TDSGAGTLIRRGDKIQKVASVAEFEDLGALKATLIRDREGLDAEATVDRYVDYLNENP FTAYYDDAMQCLAIVMPPSKDRRMATLATLTITKSGWLTNVAENVFADIKKDYPQLAW TVSESDENLTWFFEKADGSFSKNGSVLFYYGCDFKDAAFTPVYDEFIHHGRAMLGDSN LESRLRRAAMAASESLRRTHAAQQARGYATLSRAARGVGPR UV8b_02288 MGGALSNGRTHDIIFPYGPPGDVACFNNATSIKAIAAKGPVKGL IENQCHYINNNCRSVRHGQETGSYLDDPKFSCGDMANVKNHCKG UV8b_02289 MPVLPRSSFSCPSRTSVVEPAIPVCYVSPTTTTMSSTPLQHKQA AAEPDPDSRISQVDQGTTATTTALKSAKHQPAATHGAVTRPNVGEFVTLRADAVNAPT RPPLEQAVEMLRGASVSDDPGNAAANGRSGKDKAHRFWQTQPVPGLEETGQAVQDGPL TVETVVTKERQPLIPGFEWVNVDVADDDEAKDLCALLDGHYVEDHKGVFRFNYSVEVL RWIMTAPGWQQKYHIGVRASQSRKLVAFISATPAKIRVRNASFVTSQVNLLCVHKKLR GKRLAPVLIKEVSRISLLANIWQGVYTASILLPRPVSTCRYYHRVINWPKMHGCGFVP LPTGSTPQYETRRFALPSATATPGLREMRTEDVHAVLDLLARYLARFQIAPEFTAEQA KHWFTPSKASTQAVWSYVVENSNKEITDFFSFFCIEVAVTGTNNVLRVAHLFYYATEA GLSKPVDVSALKVRTNALINDALVLARDAKMDMFNALSLMDNALFLDDQLFQPGDAQL HYYLFNYRTGDIASGMAGDRLDKENLSGIGLILP UV8b_02290 MASQLRSPPRGRPRSLTRSATPLTGDSRSPGRRRRFDSPSPSRS ITPPPRRNGRHRADSRSWSRGRGRESREPSEHPLARSTKIVVERLSKNINEDHLYEIF GQFGSIKDLDLPINRTFGTNRGTAYILFDHEADAEAAISHMHEAQVDGAVINVSIVLP RRKLSPAPPTARRGANIDPRVPMSGGSRGGGGGFGFGSGGGGRRRPSPSSRYGPRSDV YRPLSRSPSRSPVGVPPSRGGGGSRYRSRSNGFYSSRSRSRSPAPRRRGGGAGAGSGY VDDADERRRSRSRSYGGYGGRSRSGSPDRGHR UV8b_02291 MLKAALGLVALVAGVFADQGKDLGSVLAGNQDLSKFYELIKKFP DILLQLPNYSGVTIAAPSNEAIDNIPYTALNGAWDPEDRDKTIPLLQYHILKGTVSIG GLAEGPTYFETTSLTNPAYTNVTSGQGVLINKQGDSAIFISGQGTRCSVTKSDIPFAG GLVQIVDNLLVPPTQLDKTAQAFRAVSFLGSLYAAKLMPDIAYRQNVTIFAPSNDAFK LVGGGLAGLNATQLARIIKYHVIPNQVLDSHLLTNGTNYTTLAMDASGMNPAHLTIRQ DGNNKYANTAQIIQPDILLANGVMHLIGSVLNPDVGNIVPNPDIATQPPVYPVSTASG VFTSALPCTTNCPVTTSDEATPTATATTSLLFSSSSHGAGPRCTAQAVGAAALGAIGL GAGMAAWM UV8b_02292 MIPTPELRRRPTRCERSEEQQQQQQQVFAWPLGNNGDDVQCTPQ DKALGSWFRTRKTRGKLPEPALGVCKAGKMHCVTVRS UV8b_02293 MPSLVQVGKSLETKRFCAALSDVRRIRVPPLGSICRKSVSPGMM VAPRLFKTSIDIKFGCKACILTLLKPSAIATWAWYCLLVCQVELGSEHEATGSGAFAN GSLELASAIQAFHQRNDARLSRLLVSPGCGLRVGRCELYRHPPQGSRHSTYLAVRTAP AGHVKASAAKQGPAARVPL UV8b_02294 MEHDAYHHGYYGHPVPSAATTVNPTPVRPGTPNTDASRNVLDTD TASSYRPGRSTNPFSSPSVSRPASSYGSSSALGPRYDVNAQRYFHSRRVAKGEVEKPW LEKKDPKEKWVTILPIVGIFVGLALSGFLVWDGIRNVVHHKYCPVLDDSFEGGFNPNI WTKEVQVGGFGNGEFEETTGGDENVFVQDGRLVIRATLQDADRVEKNTVINLLKDGTC TSKDWYSCVAATNTTAGNSSIVPPTRSGRIHTMKGARLKYGRVEVTAKLPQGDWLWPA IWMLPVKDTYGPWPASGEIDIMESRGNNWTYAQGGSNIMSSALHWGPDPANDAWWKTN HKRQALHTTYSSGFNTFGLEWSQKYIFTFVNSRLLQVLYTNFDQPMWQRGGFPESNAN GTRLTDVWSQTGRQNTPFDQEFYLILNLAVGGTNGWFEDGQSGKPWLDRSPNARKDFW KARDQWYPTWTQPQLEVKRVVMLQQCDGNENQ UV8b_02295 MGITSYFKAGKKPDAAAAPETQTDSGVWRPNRSQQPSALSEKPP SFPTNGNDMELQPPTPRFQSRPGSISGRSTPSIAGSSMFLDDIKHEVMVNYLYQQQCS QLWVSDGSGEVEGVLLRKVRGHYMACPPQLGNSPFAMACAAMNVQCAMTVNSRVIKTF LQWSPDAVDVPLMNGLRVQILPTIEDLPRARKHQFAAFVASEGLLIVWDDDPMHLLQR AKLIESELMELVWKAGNTDDEEDEKRGPGAVEAEIDEESGEIKPEKRPVHLQNTVLVS LTLVLVTVSLGAAWRELAIEVSVDGNFTRLALVSLFPVQVFFTLFFAQVIVGCLAQIF GPIRQLTINSKFYSARPPPRLQRSVLPHITIQCPVYKEGLQGVIIPTVKSIKQAMSTY ELQGGSANMFVNDDGLQLVSEEDRRARIEFYADNSIGWVARPKHGENGFTRKGKFKKA SNMNFALMISCRVEEKLQAIARHPEWSQHDEAQAYEQALKEVLEEDGRAWADGNIRVG DYILLIDSDTRVPADCFLDAVSEMEQSPDVGIMQFSSGVMQVVHTYFENGITFFTNLI YSAIRYTVSNGDVAPFVGHNAILRWSAIQQVSYQDEDGYDKFWSESHVSEDFDMSLRL QCSGYIIRLAAWAGEGFKEGVSLTVYDELARWEKYAYGCNELLFHPVRTWLWRGPFTP LFRRFLFSNIRFTSKVTVISYIGTYYAIGAAWIMTAANYFLMGWFNGYLDKYYVDSWK VWFSIILVFNGLGNIALAVMRYRVGERSLLHALFENFKWTIMLAIFLGGLSLHVSQAL LAHMFEVDMTWGATSKEAEFSNFFIEVPKVLKKFKFSILFALVSIAGIVILAVAPFVP YDWRITDFVAILPMATVAASHFLLPLALNPALMTFSW UV8b_02296 MPHPVSASLPTAVSQDAAPPPSQDDVSHFLTTIFTAQTSAASVD ASYGLCELLLNSVGYAGLRQYGVVAEIKKAAADKKDGLRREGGQNLLGAIFERFPPRQ RVSEVVLLCQEDGLVPCALDALADKGAVVREASQYGLDALFGNLGAEALVTGLLPVLC AYLGRRTGKWQGTVGAYRLLQRMADRAKPAIGGSKEEAVGKDVLREAMGAKLAGLIPI VEGGMHDLKAEVEKQAVQTMNALTGLLSNDDVAPRIPLLVETMQHPSAQSTQKAIHAL SQTTFVAVVTSPVLALLTPFLERSLNSPNTAQEVLRQTCVITENLTKLVHDPIEARTF LPKLSPGIKAVADRASLPEVRDIAERALAVMEKAMANDEEVVALTVAQDVAAVLDQEV ERSGGLAAGAAEIYKLARAYVGDMVAADVNYRFTKRVAARVAPYLRPLLRNPAAAEAV GDAVQKRYVDEDERRYGVADKEDDGEVEIVNADFSLAYGGMLLLSHTNLRLLKGHRYG LCGRNGAGKSTLMRSIANGKLEGFPPQDVLRTCYVEHNQGEDADMSILEFVAKDPEIG PQGDAHISEVLAEFGFTPGPQGRQSHKVGSLSGGWKMKLALARAMLRRADVLLLDEPT NHLDVANIAWLENYLRTHPDITSLIVSHDSGFLDNVTTDIYHYEPNKKLACYRGNLAA FVRARPEAKAYYTLSASNVQFKFPPPGILTGVKSQTRAIIRMTNASYTYPSASKPSLS DVSCQLTLSSRVAVIGPNGAGKSTLIKLLTGEVVPTAGKVEKHPNLRIGYIKQHALEH VEMHLEKTPNQYLQWRYANGDDREVHMKQTRALSDKDRQQMDKWIDMKDGKSAKQIEA LVGRQKYKKTFQYEVKWRGLLPKHNNQISRETLLELGFDKLVQEFDDHEASREGLGYR ELQPSVISKHFEDLGLDPDIANHNEIGSLSGGQKVKVVIAGAMWNNPHLLVLDEPTNF LDRDSLGGLAVAIREFRGGVMLISHNEEFVGALCSEQWLVSDGRVAHRGAAAVALDRF DDSRPGSAVASTAASSVVSSAVNSGAEDNNADMRFKARRKRKMTKKELKEREVRRRLR HIEWLNSPKGTPHPPDTDDDEA UV8b_02297 MDSQGKAVEPVFPGGNSGEPDSRWTGGSRPPGVTSSRMTDLDMA TDDGGGGGASGRDKPVDAGSRPATARTGTSSHGQPQPGQKGSILGVQAKRASNASTTT ARQAPSLTSRSHVPLLTPGAFFRPMSSQKLQAQRGAGLVRPVPANQQLQPRATATLDD DQTDAGGSVIDGPISDNTMQNIAFLKRELSGSGSLRVPPSRGTEVTDQGTADRITATA SPTAGHYPAGSLSDRARLLQGKADQERNPDTMVDRTFRDHANLASPIKSPRSFRSNFL LPGRIESAQGSENRSTDGAEKLSSRASSPPFPPAESHSRPKPPAAQPAGKSVGRVHQY FDGNTVFCLGGRWQNTRHTPINIATGLFVVLPCALFFGFEAPWLWQNISPAIPISFAY LAYICLSSFIHASLSDPGFPPPDFDDALRLSPPTNDWTLVKSAESSAAAMEVPIKHCR TCNIWRPPRAHHCRLCDNCVEGHDHHCVWLNNCVGKRNYRYFFTFVTSGTLLAAYLIG ASLTQILVYTRREGLSFWEAVDHFRVPFALVILGALSFIYPGGLMGYHLFLMARGETT REYINSHKFAKKERFRAYNQGGWLKNLIAVLGRPRSPSYYQFKSKYKAGDQRLGAHHA QRRRKNSQGLELDSVPSSTAAGFQGPAELRAQSR UV8b_02298 MASLISRRFLSTTARRLQASDNLKTESKRNPELMILGGVMVASL GGAGFYFGRSPTKSTSESPVAISGMPWEADALGKYKYHPGGDPKADPKDAPSALNVVV VPNVTLPRELHDKYNKWGKDGYP UV8b_02299 MAEPGEPGGTRKPNAARPQMTESMRSSSYLSDHQQFRPQKVPET YHGIDEVVESGSVRKSASPKSILPFRGVPGDDMPATIVDSGVNHTLSHPNCAPRPGSR SSRLVATLFYKGSAPGSVPAQSRSSTLSNGHETLPPNMAPTTNIDAFPLEPPTQEAEQ LDHIYGSYISPLCVASFLHLMSSFPLPKGADEPHSSHRCLDNPDTPRIVELTLSPAPS SDYLSLPDLRRHEMIYRFEQEWNVDVILQRDSVWRRNPRLVVFDMDSTLITQEVIELM AETIKDPPNLPARVAEITRRAMMGELEFNASFRERVGLLKGVSASVFEQLRPVLDVTT GVPELIKALKRLGVKTAVLSGGFQPLTGWLADQLGIDYAYANEVIVENGKLTGETAGE IVGKERKRDLLVEIAAREKIELSQVVAVGDGANDLQMLGEAGLGVAWNAKPRVQMEAD ARLNSGSLLDLLYLFGFTADEIQMLTE UV8b_02300 MSSATTSINTQSSSLGGKVEPESGYASGQSDCSAEEPPRPEVFL TQAHIEYLNKQMEPMHPMDILRFCKILFPNLFQSSAFGLTGLATMDMLSKIQEENPES RPVELIFLDTLYHFKETYELVDRVKARYPNVPVNVFKPDGVESVEQFEKTYGQELWHT AEEVYDWIVKVEPLQRAYEELKVTAVLNGRRRSQGAARGSIPILELDEERNVIKINPM AAWSFSQVQDYVKANRVPYNALLDQGYKSVGDWHSTSPVKEGEDERAGRWKGKSKTEC GIHNKKSRYAQLVSEMEANQGGNVIAPV UV8b_02301 MILHVLTTSLIHAQLLLRAALQAGFRESGAINVTSQSDLSATPI VAIRSMGLGFESLIGYQPASSSLKHPLVSATYLQTLMNIANERFEENAKRIARFRSAF GEIVLEPRGVKLNPEGLEWEDAATRKERMKAEGLRRKVAMTAEAKQKPGVEESELDLC DTCLRC UV8b_02302 MGASTSKEDAHGQYQWKASGPPSVSLEVVDALRSSPETDASRAR LVEQHVQARVAEELKKLDNKETEALKVSHEKFASETTSDDKGTTSFSLGKEVEDLRRK LEQRKKIRDLPENVEKARSEVIRCLRENDRRPLDCWKEVETFKAEVRRLEKSWVDKIT S UV8b_02303 MHDERLGEATRTRGRMPCPPLMNKGVPDAFVEMMVQGTNPPAAK QCVARARSCSWSKSRKSAERTGNSATSVCPARVWLNMAKARSPAAMPNRISSSLLFIV VFLCARPSLARRIRHERESAKGWGGGGQGDGGEGPRA UV8b_02304 MEFTRTLEVRGNETLQAQPSSSIAPYHTALNGVNQPMNILFRNV LWWTLGIVGMIVLLVRILEILWAKLRQVSAMSMPREKQSYWKFSQWGWMPAMKKHMIY APLWNKRHNREIRLSSVVNVGTLPSRLHAILLLSYLGSNVAYLFFLDWKVRNKYALCA ELRGRSGTLAVVNMLPLIIFAGRNNPFIWMLKISFDTYNLLHRWLGRIVVIEIFIHTV AWAIPAVADEGWGDAFRSVTEGSFIGSGFIGTIALVLLMILAVSPLRHAFYETFLNVH ILLALVAFVATWVHCATSGLAGGLPQLPYIMSVMALWFADRFVRFLRLAYTNWSRKGF TDAYCEAMPGEVTRVTLHLPRYKDIRPGTHAYLRFAGITSWESHPFSIAWVEHSGGEA LPTSEKSPLNGVVDKSQATTVVSFIIGAQTGLTRKLFQEASLSPKGVRLRAAMEGPYA GHHNLDSYGHLVLFAGSTGITHQISYLRHHLQGYNDATVATRRLTLIWVVRTYESLEW IRPFMDTVLQVPNRKDVLRIQVFVTRPTNPQDIVSTSSTVTLFPGRPNIPLLLSKEVQ EQVGAMVVSVCGPGALADDVRGAVRAVQGDTVVDFIEESFTW UV8b_02305 MVCPSRMLRAAMAAPAAAAAAASSPPPASSSPPASSSPPASSSP PPPPHAPLLLAGIDARANVHLVVGASPLASARCAQSLAAGALPVLVAPAPTPPAALPA GLGPPVAAGRVTWLPRPFADEDLLTLGREQVGRVVDAVFVCCPGGPRDPLAARVARLC RRHRIPVNVADAPHLCTLTLLSTHADGPLQRDDDDDDDGGLHPERHPERHPHPHPHPH PHPHPHPDLDLDLDDSLDQRASFNALVGDRGDHVRARRARWLGQVCEYWPLKRLARID DADVDRLLAAYPGRSPSSSPPPPPPSPSPPKLGRVVLAGSGPGHPDLLTTATLRAIQT AHLVLADKLVPSGVLDLVPRRIPVQIARKFPGNADQAQQELLETALRAVRSGKTVLRL KQGDPFIYGRGGEEVAFFTQRGLASRVTVLPGVTSALSASLFAGIPATQRDVADQVLI CTGTGKKGKPPAPPDYVPSQTVVFLMALHRIGGLVAELTAHTTTTTTPGRRDDDDDDD DDDSPADASASAPPPVEPRSHQKRSLFPLETPCAVIERASCPDQRVIRTTLQHVAEAV ESEGSRPPGLLVVGRACEALYEKEAGRAWVVQEGFRGLDADAEDDNCMVALQAAMDNV DVGDT UV8b_02306 MLSFGGIRHACSNYRRSPAFSLKALSPRLHRVRRINAGRGRTLT NRASSLAAGRRSFSTSPSSKSDSTAEPKENSAEDVEKVVRDAKQRFRDTLPSGYLSEQ EYALYERLYGPPLRETEPEDVGIPTHADMGAETPQPKDQSTLLRQVDGGELEEVIGAV ERQQGKADEAGKDADESSEQSHQRGFVDRAPGYADMVARSQREHDVLQRLVQDFEAAH KSVSDHGDRADAVAEEEVDSDEAADWPPEEDVAHQERELGEHRRFHRYTLDGRFHGSP VEIALPREEFLLPIRGLLERSHMKHVKQAAEAAFGGPGLPTSPSTPEGKRKGNMGGVG LAPDQRHMTEIEADAFLASYLPPAYASISSILREVRKRVGSEWIQSRLKRGGEGEGLS VLDAGGGGAGLVAWEQIVGAEWALLKDKGEVGGPRPAGRKTVIASSDRLRHRLKTFLD KTTFLPRLPDYQHSGEMQGKHLDAGATAQPRKSFDLIIASHLFLKEKQDHYRQAVLNN LWSLLNAEGGVLVVIEKAHPRGFEAVAHVRDTILKRFLLPQAGQPQPRAGSGTEELNP AYHRELEQGHIVAPCSNHGTCPMYKTAGKSKGRKDYCHFNQRFVQPRFYAQVVGKQAS KQGEVEFSYVAVRRGVARKSGATGRDATDRAFRGYESSEQAPDMQTLPRLVLPPLKRK GHVTLDLCTAAGDIERWTVPKSFSKLAYHDARKSRWGDLWALGAKSRVWRGVRVGSGS GADVGGDGGQRAAAAAAAAGGGGGGGGEAAADGKKKRPRSKVGAAADEEKALKERRPK TRAAKQRDLMQELLDAEARVEAELDEELDAEAEAAWEEAANVGGGRQSVDEGGVKTQR RGI UV8b_02307 MSDPVDIKSPSEWQSLLSGTSVVVTDFYADWCGPCKMIAPHFQR LANQHSVPKKIAFAKVNVDSQQQIAQQNAVSAMPTFKIFHNGSCIQTIQGANPASLSD AVAKAVQLAGASKSAEALFKSPGRTLGGPPVHAAYARREFDLGGLLNMFISFVGLYIV SLFSKAAEQSRFNIHNSGKEKSKPSRGSSSASGSGPSYASRTSGSGTAARPPQQRAAF KTLADL UV8b_02308 MSFHERKNKKRKTAHSSHQDRSSFKPSACFTPLAGGRDWTLSVA VPSSILANLATADQRMTAPGRIARALAVFSVDEVVVFDDSPAASRPKHTDTAGYTGDT DPCHFLTHILSFLEAPPFMRKTLFPLHPNLRMTALLPSLDMPHHPNPKEWISYREGVT VPGKTSTGKGTLVEVGLDEPVEIEEDIPPKTRVTLLFPQDQSKYPECVDPQAPRTEGG YYWGYAVRKCGSLSSVFTESPYEGGYDISIGTSERGTTISKAFPSPKPLKFKHMLIVF GGPRGLEFASMNDDELAKMDIQGPRTKELFDYWVNVLPNQGSRTIRTEEAVFIALTAL KGLWDSSWS UV8b_02309 MASIASSLQKAHAPARRAVTRAPLLARTLATVPTPENPDQAPQP RRKTYFKDSAVAPFSDFVATSSAAQPLPPADAYALRTAQVGPAGRKRTITRLPEWLKT PIPAGNENLKKIKSDLRGLGLHTVCEEARCPNISDCWGGSEKSAATATIMLMGDTCTR GCRFCSVKTSRNPAPLDPHEPENTAEALARWGLGYVVLTSVDRDDLPDGGARHFAETI RKIKQKKSSLLVEALTGDFMGDLDMVSIVADSGLDVYAHNVETVEALTPYVRDRRATF QQSLRVLKHVKDVRGKEGIITKTSIMLGLGEQEHEVMDALRQLRNADVDVVTFGQYMR PTKRHLKVDKYITPKEFDIWRERALDMGFLYCASGPLVRSSYKAGEAFIENVLRKRAG GNGSSTTTESLAKAVALDAKTP UV8b_02310 MAAANATERFQLIRENLAEVLNPEIIESILAEGRSPKIYWGTAT TGRPHCGYFVPAIKIAQFLAAGCQVTILLADIHGFLDNLKAPIELVEQRTHFYRHVIT AILEAVGVSTEKLRFVQGSSYQKSPEYIMDLYKMTSLVSEHDAKRAGAEVVKQTANAP LSGLLYPILQVLDEQYLDVDAQFGGLDQRKLFVAAKEWLPKIGYKERAHLLNPMVPGL QGGKMSSSDQDSKIDLLDPPEVVSKKIKKAIAAPQVVDENGVLAFVEFVLLPASGLKG GKREFKVDRERDGLEPLVYDDISQMQEDYKNDTLTPQLLKPAVAKALNELLAPIRTAY QASEDWQDVALKAYPPPAKKEKKVRDKGSRHPGGAKPAGDAALAKAIDGGETQVDGAA SAVEKLTV UV8b_02311 MPLTSGGLSAAVGGIAAAAAYIDAKLHLRKDLGFLSRMKQGERN YANAVKAKRGSGYFLFESAVQRHPDEQCVWSRQGVYTWLEAYERVSQYGHYFQELGVQ PQQYVGVYLYNSPDFLFVWLALLSIGAAPALINYNLASGALMHCVKLSRTKFLLYDSA PDCASRIQASDTELRGLGVEPIILNDVFRNGLAKYPRNRPSTTCFDDPKLALPLALMY TSGTTGLPKASVMSLVKNYISSSITTKPLGQKSGPGRDRTYYCIPLYHGTGGLAAMND IMSGLPVALAPKFSLSRFWEDCIDSKATIFVYVGELVRYLLSAPPSSNDKKHQIRLVW GNGLSPELWSNFQERFGVSEIGEFYSSTEGVVFLVNHYRSGYGLGAVGHHGWLLRRWY HNMLVPVKIDPETGDVWRSPETGLAQRLPYEEGGELLVKLLSREAWAGYYEADEATKK KLMFDVFEPGDVYFRTGDALRRDNNGHWYFLDRLGDTYRWKGENVSTTEVTQVLGTHG QIAEANVYGVKVPSHDGRAGCAAVTFKTGDADTFDWAGVAGLLRRELPSYAVPIFIRV RQGVGSMSTDNYKHNKVHLRDEGVDHEALGSKVPDGKGDRLFWLPAGSAGYVPFTRGD WDKLTQLRARI UV8b_02312 MSSGLSCHQRPMSLRAALATAGMHKMVDAFRDGWGDEVSLVVET RDAELRASETLKSLAGKVDFRLFVPDGYFQQGNADKAVDWPAKRKWLIVLALAAMTFI LALGSSVAAPGVNEAMKEFHTTSSVLGSMVIYVYNIGLEIGPLILAAMSILRSLFRAK NTLNVLALPIFCSLPGDLTQRLGALICPSFTKMATAPQNTTMVSGLNGTSTHSPSTSH GRLTKPFSQAVWCTSVMADKS UV8b_02313 MRPERLDSRAGKIPAGVRGVRWNGASYQMPDYSGRGEPCPGVES SVLQDADVRKIAAVAGGASDAERVREWIPHSRSDFPTGLTSTLA UV8b_02314 MRRTLRAQLGSPNALKEHTSVFNSITDLSTRYGVASNADEVVLL LSEYVFEPFVRQILMALTTDNTLAIINDQDKFDPEILVAFMRQHRVTYLNGTTSVLQE YGFLSYAGLKRIVLVGENLTESRYAALRRRFKGRIIDEYGFTESAFVTALKHGDEIEE RLTKAWGDVLAVEAKNIGPEHNFFRLGGHSITCIQLIVRVREYLGVSIGHGKKPVVLL SSRALANGATGHTYLANSLQQGFVYHALKTPQKDAYIMQSTLHYPAPLQHDHYRAAWE LAHIQHPALRLRFSSASEVMQAVEENVPLGWREVDASGVRTEGERQQVLHRIQQDNHS EGFNLESASLARVYLVNGPDTLSPCVFSCHHAILDGWSLPLLFDNLHETYLRLTRGEK PSPREDGTYMLAQKYLQRHRDTHLDFWAQHIDQVRERCHPNALLNDTSRYKVPLADYD HVLGQGQQSIRLPRDAAMTKLMDVCSGGSHTVTGTTISGRNLPIDGIERSIGLFINTL PLVLDHTSYGDTSALDAIAKVQRQVNAMNERGSNELGRLRRDDLKHGLFDTLFVLENY PNLDSSRRQVHKALLQYTIEGGTEKLSGGHRPRAGARRMCCCKNVRHTLYNVAEKPDA PIRDIEYLSARQREKLDKWNVTADEYPSVTIHCLFEAEAQRKPDKIAAIYQDTRLSYR ELNSRANALAYYLLDRAAIEPNKLVALVVDKSEHMITKWALAGIWAELLDIARQLISI YSDFFSLGGDSLRSPRLSFDATKALGVPVSVSSLFRYPTIEAFARWLWRERLLFLREF DRGSSAYSIAIHMELERRQAETAARLTYFEKAKVLDPVTADKMLTVQAVSCSDLSQAE RQMVAAAEHVFCLDQDLPFTVNINSGATFSVGIPVSHRCRAEYESVVGFFVNLLPLQV DVSASTIHELISTAQKELIDCQVHMDMPFQDMTRLLQEQHDTSRHPLVQTVFNWETGP SGAMLQPHVGGGKALLREYTPSRPLPSLAKFDLNVSGYAETYLAVLEDMANADASAYL PSLALHAPESDKQETSGDAALAALFEGQAVLNTQQVAIVDGNSRRITFGHLDARANRL AHYKLTLGASPAGPGYVIALMLDKSMGMLVCVLAVWKAGVAYVPLDPDYPALRVGLIL DDTRAALLITTSKYSSRVDCKSPMVDDADAISNLERQPRVNTGLPDLSPSALAYIIFT SGTTGRPKGVMVEHRSVVKPQKALAGVSEACAMLTRSNSSQAVLFLSNYVFDFSVDQI ALSLLSGNKLILPLEEGLTHPRFCELGNAEKLSYLSGTPSVLQQVRLSCLRHLEMLTV AGEEFHPSQYASMRREFAGPIHNVYGITETTVHNLVTTFQGPQAPFTGALRDELPGTK ASHAAAELVGYYNLEPGTCNPAPEDVAQALASRVPSFMVPGRLRLLQGAQPVTVNGKL DLKRMAAAAQRDEPKRAHGLVRGTAPLLPIQEWFFSKRLGRPGFWNHCFAMRTPQLDR ARLQEAVGMLRTRFARMGGRLVQQFDAEQPRSKPSTRQTWRKHTEWLLSASHSRFDTE RGPLFGVVYVSGRADGAAYVWCAFHHLIVDAVSWSVIKSDLQALYSGRGLGAKSSSVQ QWAGALSTYTPTSRETEYWDEVRARAARSTRGLPGRSSQALVTRREDALLPSDETAAL FRHCCAKLDVGVRHAVFMSVGLCAPGTRGRWGGLAVVTVEGHGREDAAVDASLDLGRT VGWFTSIYPFESHRVAHPVQVVLEVKKRLGAVPARGVGYGPRYGYLGDSLPPVTVNYL GRRMDQTRRQPDDWALAADQDGLPYGLCVGPKDRDGSSSSLLDVTVSSAHGRLSLQVA SYCAAGGGADAPLFLLPPGEGGAESYFRNLVQGCTSPSGRGIEKKTHAAAETRSQRLA NSTAAIAD UV8b_02315 MFLGWTLGVLLAAPVARVEASCGYGTILQPREDGAVKVNKFGYF GATGPASWKALDPAANSLCETGQFQSPVNLIQGQYTVVPGADVQLDIPDLPEGAEFEN LGTTVEVIATGGNMSFGGVDYSLRQFHFHTPSEHLDNGVSMDMEMHMVWQAPGGQVAV IGVFVDLVGGAATSAQHAGPKSRRGVKRGQAEAAEEKEEEHGSRDSRVARDDTGYFQN SSPRTDATWQSPLLQTVFDSVGSISRPGTKTQTRPLVMSELVKILSSGTFQTYRGSLT TPPCSEGVTWLVSNQKLSIPVSTFAKARSVLGYNSRFPQNNPGQPNVLVVGRTGA UV8b_02316 MKKRVVIIGAGTAGLALGHALKKSKADLSFAIYERCRTRTDGLF GYRVGISPEGSRCLASCLPDDLFEVFTKTTARPPDSFNIITEQYRELLSIQGFSKVSD DGIGAERSVSRMTLRQVLLTGLEDVVQFDKHFTHYTTSDNGAVTAHFRDGTSDTADLL VGAEGTNSPTRKQHLPHALLRDSGIYGIAAKVELNRETKGLLPAKALRGVTMINAPRG DNFVVHVMEFPWDQTGRFKNNIGGNDEQVLKIWPGFNFDNTRDYILLGFGAHEKTLPN DIMSLGGPALHSLLLERSSAWHPNLHRLFQLSDPSTCFPINVRTTERLHPWGSTNVTL IGDSIHTMTPGLGLGANTALLDAKILAKNLAKVGQGDWDVVSAVDDYEKQMHSYAWER VEKSLERFNKDDAVYKEG UV8b_02317 MHLIKFVVAALPALALADTSAAPTTLTTICTATTKLVKTVTLSR ACTVTSTYGYNTSAAYFPTGSLKSIYTAPALTTVKGTPIPVPTANPTRGPANAAGALD AAKIAFAGIAGMAIVAMM UV8b_02318 MPEKLSDDTAHYAEETGGPTENAEYNLAHQHDQLARGLKSRHIQ FLALGGAIGTGLFIGSGGILSSTGPAPLFMAYLSMMMVVWVVMNCLAEMVTYLPMRGI TVPYFVDRFLDPSLGFAAGWNYWYAYAILIGAEATAAGIIIDYWGANVSIAVWITIVL VVILILNIIAVSFFGEAEFWFASIKLITIMGLIILGIVIFFGGGPNQHGILGFHNWRD PGAFVAYKTAGPTGRFLGFWHALVSAGFAFITSPELIAIAAGETIAPRRNIPKAARRF IWRLAIFYGLGSLIIGIIVPSDDKALLGANKAGKSDASASPFVIGIQNVGIPALNHII NAAVLTSAWSAGNSFLYSGSRILYSMALNGQAPKVFRTTNRNGVPYLAVLATWAVGLL AYLNVSNSGSNVFAWFSNISTISGFIAWIVVMITYLRFRRAMIFNNLFDSLPYRTPLQ PYATWLTLLLISLLTITNGFQTFMPFDAKNFVAAYITIPIFLLLYLGHKLYFRTALYI PVDKIDAISGKKEMDELEAMDEPPVAKNWWQKVWYWIA UV8b_02319 MALKTLGAKAAAALDQELMSTCAFSIDQLMELAGLSVSQAVYRI HPLERGRRILVACGPGNNGGDGLVAARHLFHYGYQPTVYYPKRSQKDLYRRLTKQLED LEVPFAQDLQAALDSTDHIVDAIFGFSFSGEVRDPFPDVIRAFERTKLPITSVDAPSS WNIEHGPPRSGLGSSFMPTALVSLTAPKPLVKYFKGRHFIGGRFLTPNMATKYDLEIP TYSGIDQVVEVPTGTEKL UV8b_02320 MVLKIRLARFGRRNAPFYNIVIAHARTARNSRPLEVLGTYDPVP KPDPYDNSGKLHKDIKLDSHRAKYWLGVGAQPTDTVWRLLSMRGILPKKTFGPKPTEK NAAVEPTKVKIR UV8b_02321 MTNYSTITDGNSSATSRSDMPRSRRRGGKDGGHGGRASTVSSIV NLLNTIVGAGTLAMPSVLSHMGIMLGVVLVLWSGLTAAFGLYLQSKCARYLERGSASF FALSQITYSQASVIFDAAIAIKCFGVGVSYMIIIGDLMPGVMLGFNGRADQIPYLVDR HFWITAFMLLVIPLSFLRRLDSLKYTSLVALVSIGYLIVLVIYHFAVDPHADSHNIRV IKWAGAVETLSALPIVVFAYTCHQNMFSIVNEIKDNTPSSIVRVVIASIGSAASIYIL VAVTGYITFGNDIVGNIVLMYPTGVASTVGKAAIVILVLFSIPLQVHPCRASLDAVLR WRPSPAQPNIGRPDSSGHGAAAAPRGDHGATAPMSDTRFALLTTFILTLAYFTALSVS SLDRVLAFVGSTGSTSISFILPGLFYYKISDPDSPYHQRLLKEDDDMEGSGTSDMEES APLAESSIRALGGSSTITNASPWRWRRKWRWDLEHLDHGLVRRLALALAVYGVVVMVV CLIMNIFFAASH UV8b_02322 MDNSLDQTTLATLSLLESRLLRIEYLLYGQTVSPPPAQQDAALR RMKNLERRFSMMVSQIRVYGELLKIYRSHPDLFHAPKASEPPSQLSMSAIRSIVLASA SAFPSTLSSLTNIKDSPIPDLSESAALISSAERMKSMEATQIAQLAEISDLRRRSEAA MLSWYEKVVLTGSQGLADVESRVQGLERLVRRKELAVEEGKQL UV8b_02323 MPCLRVQLPSLPSLPLAFAATPMAPFTTFVRGALALADGPDPIN GPGDYGAAGALVDWSVPGGGGGGGAAAAAAAGD UV8b_02324 MPGYDSQYSPSLLKMERSRAYGGGRKRISLSNILGDPFALATIS ISLLAWFITFISCIIAQIQTNSTPLDLNPDGKFPPFAWWAVVYSLFLVLGVFVVVASD SIQTYHVAVTGYLASGLVLVTSGVNALVYSKNGAREAAAAGFILLSMVVVVWIFYFGS TPSSTPRAFLDSFALAKESSIVHSQAMNGYGGTARPETSNSVQPPQMYTSAQLNGFEN PSPVGGASQPSPAASMPVYGASTVQTASTKPPGNVNGNDEEVLPPSEYPYQAKAIYSY EANPADANEISFNKHEILDVSDVSGRWWQARRRGTGETGIAPSNYLILL UV8b_02325 MATTTGPSPYAEIVSSLRSSLNFLESSVRILDSGVCDYPRLIKV LKTVRHYELIPQTTLAAAEASLRDEIGPYIALLLSRAEAQVGKQDRRIETLKARAELQ QGRLSRPGEADRSAAPTARKLVGEDKLRARIVRQRKEALRYGVERLELEVLQKERELR RRLDG UV8b_02326 MSFLCGLTPRRRFLSRTPNHAETPRRARTMSIFGGPHGGARRTL LTMVGVTTLLHPPRDAPPTTSPEPAERELDIDKHMHDLVHQVYEDLKQGHAQLSREQL HRFLKQVQAEAVVEPLDQEHYASWEFVRIWLKGYGADAVARPAAKDLSKPLTNYFINS SHNTYLDGNQLASHSTPEAYRNVLLRGCRCIEIDVWNGEPAPSRDRSKSPHGAQLSAA PSRTSQANQAEVAVAALDKSSPESASVSAHSRTTVDEPSPRTSVLHLPDTKQQPTGDR LDAPRLKSGAPLPPRQPRHQSPPRGEPIVTHGHTLTVPCGFREVCEAIKDSAFQNNEL PIIISLEVHADWEQQEIMVQIMREVWQDLLVTEPHEDFDPRFRLPKLQDLQRRILVKV KKAPARMEPLGQIVTHSSTEGATQGASQGTLQTASQSGPSLLPTVTDGDDSPPVESEA QPQALAKATSAPPAPAASQEKLNVGRICQSLGDLAVYTRSEHFKAFETKAAKTPAHIF SISEKRILELYQKNQRDLFLHNKNYFMRAYPDKLRFNSSNLDPSLFWRRGVQMAAMNW QKMDAGMMINEGMFADEKGWVLKPLGYQSSDKRAESHNAASPEWTLDLNITMLAGQNI PTQSDDYDQHQRGGSTIRPYVKVELHVERSENATGKEADENTYKKRTDAGKSRNPRFG ESSKRHLSFAGIPKVVPELSFVRFRIGDDSRMSTSMLAWACVRLDRLRPGYRFVRLMD MNGSAIPRGVLFVKIEKRLYGRDTISE UV8b_02327 MGICKLRLSRHDLNHHMRLRVTDVLKYWQRAAGSGQQALGTGRQ AVDGNGNLSRQKGLCFNTRVIPEDDDSPVQLDLPSEPLVVGGLQFSIRDSRIPVTACQ UV8b_02328 MVFSQSFPSTQPAPAMPSSSDLDCLYPPTTPTAEAVGGSGIIFT GPMIPGTRCPTCALEGKEVWVIPGRCCGYCGTPCDDEH UV8b_02329 MGRSRSAKQPGSRAASSAFVSTWFATRLIVSRDAIPWMNVTRWK LTWPGSGSPEKPVLDSLSEATRQRLQLRVPCPALPCPARDWLVDATQCRDSGLARPRD GRRKLAHAESGQRGADM UV8b_02330 MALPCCSRSRSFSLCNCCASRTPLAKTSIQTQAVLPTSCHAVHL HNSWPRPSPSRNLVPRIRRKSFCFLSQPPAMSTMPATGGHSHACCNISPVVSKGYQAK GVYEDIGGYRTYVTGPTDATRAIVVIYDIFGYFEQTLQGSDILATGDGEHKYKVLIPD WFKGSPAAIEWYPPDTAEKKEKLGEFFGKFPPPDIAGKIPAYVQAVKDKYPALGKFGI LGYCWGGKVALLSTKADNNPFGAVAAAHPAMVDPKDAEGVNVPTALLASGDEPAEDVK KFEEALRVPKHVETFKDQIHGWMAARSNLSDARVKEEYERGYKTLLTFFGQHL UV8b_02331 MNSASGRAGVIRARDDASSMDKLVHSVLDDILYNVISDLAMKVH RDEKTAKATTAAIRVEKMASDASASSSPDSRPDIRVETDSALYQDGRVLLKGNPLATI RDILCPKCQLPRLLHPTDGKGARKPDPAVIYCKKHPYIDKPGCDIYGQSWVAPGPGRG KKKKDVEKKEDGTPEQRPPNVLSFPSATCSKCKRCILVTRLNNHMGSCIGNSGRNASR AAAQKLNGNSQNDSTPPSSQKATPTPGSRAGSPRKRDASEDDADSDASHQKKKLKPSA SSSLTKKVIIKTKPTLKKKDKTKGASQLSQEHRLDSGSPASNGRGTPKPSTKNGSPVK KIKTAKPAHSSPMSKTGRDVDAMSEISDAMSSPPPGK UV8b_02332 MASNVLLETTMGPVVLELYPSHAPRTTRNFTTLVQRGYYASTIF HRIIPNFMVQGGDPTGTGRGGSSIYGPTFDDETHPALRHTGAGILSMANSGPGTNGSQ FFITLAPTPWLDGKHTIFGRVKSGMAVVKRMGMVKTGDEDRPLEEIRIVAARLVDGDE UV8b_02333 MANATEVDVLNASAASSSSSSSTTTTTTTTTTPLQDVDFLLLEL KLVLSALGIIYLGSHAALRRPPSAAPRRGSGTDAAQKQRDRDRDRDRSSLQGLEPSDA IVFPLMAAVVLVALYYLILWLKDPAVLNKILRYYMSTVSVASVLTLYAHAIDLAASLA FPTYWRGGDGLLRRADQTTRTVAVCDDVGNAAPAAGPPPPRSSPVPGPLGGLLAGSER ATGVAWELRGLLTRHWRLRLFVHGVGEETAKVRFSHMTALLLSVATALVYFSTTSPLL SNVLGIGMCYSSFLILSPTDLLTGSLVLWGLFFYDILMVFYTPYMITVATTLDVPIKL AFEAGSRKSILGLGDIVIPGMLIAWALRLDLWLHYVGKLKYEPASLRIVERDPSTGLV AQRSDTKHRQVKARYVEVKNRWGDGLWTRGGRLFLRRPRQLPADLAAARFPKVYFRAS MAGYALGMAATLAMLLVFERGQPALLYLVPGVLGSLVATALARGQLGDVCRYTEDGSL DTEDVVVDLDGDGNVVKTVGVCRDGVVDTTKREGKGEADADADADAKPKEEQAGEGEG EGEGEGKDDGTGKARETGGGHSVLVLSIEAPAEHDEEY UV8b_02334 MSQPAQLSIRSFFGAQSPKYAPPPPPPPPPPPLPPPPPTTTTTT TTTTSLPREAAIRPVAAHDVPALRRINSLLLPVGYPDSFYAHAVDCPFSRVITWAHDG QEPKVVGGIVCRLEPHARDSGSDSDRDSDRDSDRDLYIRSLCLLSPYRSLGLVAAALD RVVAAAAADPSLRVRSVVAHVWTDNELGLRWYRQRGFATDPAPVQGYYLKLRPDSAWL VRRRLPAPAESRSAGSDQPLGPKHVAPGPTAAVVNLPPPPTAATASPSAPSSTKSTPP PPPPPPPPSTRPKPVTGQSYQNQRPDGEWNDLPADMAPLMTNLRKSASESASGAASGA SSRSSSTAPRKKKDRSYPAGAFGN UV8b_02335 MALAEETKRVVSQFELSDADVNAHVVEFLKQMKEGLEKDGTSLS QIPTYVTGVPNGTEKGLYLAVDLGGTNFRVCSIMLNGDTTFNLTYNKVAIPKDLMVAK TAKELFAFLAKQIELFLREHHAEHFESHVRRRATASTPMGYRDEHIFRLGFTFSFPVK QLAINKGNLIRWTKGFDIPDAVGQDVCRLLQDEIDNLSLPVKVAALVNDTVGTLMARS YTSTGKHHSLLGGIFGTGTNGAYIEKTANIKKPIEGQYDASTGEMVINTEWGSFDNQL NVLPSTPWDKALDAQSVNPGMQMFEKRVSGMFLGEIVRLAVVDMIKHDDIYLFRDVNS SFNDRHTTTSIDPKSGIFKAWGLDSAIMSVAAADTTPELSSLRQELEKSLDIYAPSLE DAQAFKTISDAVARRAARLSAVAIGAVALQSGKLEDPEEEVIDIGVDGSLVEHYPFFR DMIFEALAAIDGIGAAGASKIRIGIAKDGSGVGAALIALIAARMEKPGDFLADLRSDI KRRLEKIPSNVPAVEDAPLPMKALLLGGAVAAVTIAAIWWSRRQR UV8b_02336 MMQWMASGLLGVGSRVKTLQHPLLASKGWDGRERSRLVTGLMSA CFPKSCRNHIGTRASMDSTTLLHTHPSSISPVRVQSWQHDAVRARCARKTIGTSPREP KTHVYRATFSLGGAPIRLPHALAIISLAIIAA UV8b_02337 MALDSGQVAGLQSTIQDGDSLRIRLLHRSRSYNAAVPVAALDSP SPPSQRCLDGWAMGDRNRDAPKLANRRLSKQKPARLASPLGSPSHFFETAVSPSPAAT SRNSQAYLAGSQASLLLKQPPLQPLQLDCQPRESAINQQGPGIPKPCNLARRRNEGQL HLQISSAPHPSGLSKGFLDKDGPGSSSAASTPPISTPRSTAYSTTVPGSPCSLSSARI SSDCYVSRGATPLAKLHADPVSPLNPNFLTDYEAVTSCRQPCSVDEQAAPPAKQEVSK VAYPPMRRRSLIQTPGVATRPPSVDLPRSPVNLDYPTPTASVLSEPGSRMRAPHNAEL GISTQASLPQTLETIPQERSVTPCESDYQQLGGMKFGTLRITNASPAAPTWYANECDK STIPVTRRPTNLTDGDVLSPHGRPRALPIISSGTIPAQIARTKPNEQKIRPPPSQLGY SSREKSLPKLEEPSAGPKKKRKSGFLDLKEKPCDGLPQGKGAAPPHLSTAAMPSGKKG PDVVHPVKEVLCVRNDPSAKPLREASRNVSRGSDALRKATSETDSGFLSGGSSLSSRR TLSNADSAYCSNISVQSNLRPLNETTTSSPGSFARDSPKATSSKGALSSDLAKQATTA QQPLTRPSLISKSTSSLRQLSFRARRRRPTMFCAEPTNKLVKSPTKLSHHHSDSPLSG TSTNTPSSAPKHANADPKTQRSARPLRLFGFAKKVDLSK UV8b_02338 MRFSILGGAVWLTLSRALTLAGFGGRPYQIFHEQDRRASSIQDL VSWDEHSLFIHGERAMMFSGEVHPFRLPVPSLYLDVFEKIKALGFNLVSFYVDWALLE GKQGDFRAEGIFDLDPFFDAAKKAGIYLLARPGPYINAEVSGGGFPGYLQKLNGYLRS EAPDYLNATENYMAHICSIIAKHQITYGGPVVLFQPENEYSSGHNIPFPNGQYMQYVI NQARSAGISVPMINNDVGPSGNYAPGKGVGSMDIYGHDSYPLGFDCGKPTVWPANGLP TTFHKRHVQQSPSTPYSIVEFQGGAFDPWGGWGFEQCAALVNHEFSRVFYKNNLAAGV TIFSLYMIFGGTNWGNLGHPGGYTSYDYGACIRENRVIDREKYSEVKLQGQFLKVSPG YITASVGDASTSLYSNNAGITITPLIGANNTGGNYFIARQSDYTATGSVSYTLKLPVS PNGMLTIPQRGGTLSLYGRDSKIHLTDYPVGDYKLLYTTAEVFTWKKYASRTVLILYA GLNELHEFAVDTPSQQEAKVMRIDGSSILLHEESSSTIVQWKPGPKRQFIQVGDLAIY LLDRNTAYNYWVPVLPGSDSSQYGTSLMNPEAVIIAGGYLIRSASISRNTLSLRADFN RTSTSIEVVGFPPNVKKLSINGKFVDFTITSSGTLLCQPDITVPTLQVPDLSKLTWYA VDSLPEIQAGYDDSGWLTASPRKANKPGAHHELGNSTLFADASPVSLYGSDYGFYTGA LLFRAHFTASGKENSFRVWTSGGLGYASSVWLNDRFVGSFEGNSDTENTNSTYSLPKL STGKTYALTVVVDSMGFNENFNPGYEDMKVPRGIFDYAISSANGSVTDVTSWKITGNL GGENYVDKYRGPLNEGGFFFERQGYHFPSPPVDSVFSENSPHDGVDYAGVTYYTAKLT LGLPAEQYDIPLSFVFSNATHNGEYRAQLYVNGYQFGKYASNIGPQTEFPVPEGVLNY NGDNWVGLAVWSLGGTGARLPGFTLKAGTAVQSSRNKVVLVTGPLYSKRSGAY UV8b_02339 MYSKRLLSQLFVALAVLSVSEGTWLNTRKLEGKAIAARQLSIIG DILGGTSSSDSGPKSTTSEKTEKQSTSAVPPTSSTPAPASVPAPTTTSSPADPTTSVA PATSNPSPPTSSTPVIYTPTSPVAQPTSSAAAQNTNFTPQQTPTTTTSSRQTSVTLVV STKVEVYTATRSDGSKETLTSLTKTTSTAVLSPDDGETSGMATKTRNTVIGVVVGVGG AIVLATLGLVAWRIWGRKKHNEEHHGLMDYDLSAPGVEKSERGSSAGGAQPSPFRSTL ENYHQPGQMNASANF UV8b_02340 MAEPELQQASQEVDAVKINHDTTELSKPPATPIKGKEKSPAKEP AMTPRIKKKEVEKMFDSWKQLGYSVDGFDLWVEGYQPPGTDDSQSRKDWPTDDDMFRE RANNSYKVLLPDLNAWKNYVDELQEAKLRALGVSFAEEEPPEPLISPTTNPSRQASAP CPSLPFSPPIPTSSASSTHGLSGYPFPPQFLPGVASPGLSSGASPVSFAAGKFNSRQS ISLPAGNSPFQLTQAQAWSNPAGILHGLNRTDSPLATLNGILPPQPPFGLDGLQQAGS PAFGFHQRHQSLQYFPQQIVSIASPRLQDVCEDDEEELSKSPSKTPEPAGRIGDNLQA GIEGAEYHLEEQLRNQLEHEDYNPQSAESTRPFAPMHSLAASSQNPTIAERFANEPGK PLELHHPRPHSRGHSLSQTFFRDHDGNQENVDENNLQKLGSLKEKDEDETQEIETNPS NLGTPVQNFDFATAFGQHKKTSSTTSNPWREQPSGSSSARHSSHGSKPSLSKLNVQAP EFKFNPTTSSVPGMYNFSAGINFQPAVFPAGIGGRQTDLHPPVEPDQMGDSDSGLQMS PKPVPQFGGLCRMHASAPSFSPGQVEFSFSTSGPKFRPDAPSFTPFQSLANGPAAAPA HTARQDSIFGNINITASDIVRPVKKSKAIPIVRPSSRSSATSGHFELESQDGPNGRLA DESRVKRAKSAAPDEDALPIFAERPEEDDGVSNKLHPNAALSNRIEEEEHSVGEDVSV DGEQTLPVDTSTSSILTSDQLDTMATTAAPSETSPAEAAAENSTHFDFEQKGEPHNLL QAPLIGDDLEFLSATATPFIPVGASPIAQQSRRMSNDRKKREEPAKVQNEQEEMVRSS AEPVQPPRVKLTPKPRGLAASRFAKAQSPAVFEVHRPEVIQSVEKELATTSPLPESSW KGRPSIDARQDREPTFEEIDAVMQQMETDPSVGVNKTLESSQWKPLPSDAVVAGSVEA CPPAGEVAQKPSRDVASLKPRQFGAITANTPILSTEPEDPFLDPPISPRSPEPSNEFD EAEVASELASDWEGTFTEDEHEKLENRANFFDGRVNEVVGSLLSSRLQPLEKTLASIQ DSLMSKRRPHQSTRSDIRSVSGDVQDSDADDEDEEPALQRSMSPRRDRRMEQMRLAMA EVLANHQRNQIAGPAADERETAVATDNSMVVKALEEMKDHLSSSLKLATQPQPEDLNL RKRDFLSPGPEEHAQQKIAELQAMMMDLGQRLASEQSKTEREIVERRAAEDAAAELNR KLQAAETRVEVEIINRSVFNQRVTDLEERLRIQEDKTEEEIKSRRNAEDRLSEVQRLL RISSEEENRLRDVVDEKDARIKTLEQQGGKNAMRMTLLEAAQNNSAQSQSEMTNRVNA LEADLKTVRQDNNHWRLEAERADENARRAAGELAQITEENKHLQKSLNTLAVQLEENE RLRESWRSKFVSLQEDMGQAAREVAEENARRIKRDQAMLARQEVLDARLQAEAKTRER LEVEMERLQDNERSGMRAVNECKRLEGLLSDLRTENHKLQQTASRYQREFEEARESGV SEIKRTRMALQTEIDAANNQVNVIREELEEQNSKLRTELDNVKLDADTFKAQSEMLLE AAQSTKVAELEAVRCSHQNEIEDMQARYERQINNATDDASRMEQQLLERLSLSSSKIE HLQDRILHLEDKLEIAKQAAAAAAQAAKTTSVEPGGAASSLPAAAVPPRRGSKSAGAP EKISPQALRESIMVLQEQLQEREQRIEDLEQSLSKADPEAACKISKRDDEISWLRELL AVRHGDLQDIINALSRDHYDRDRAKDAAIRLKANLQMEEQERERAMNGGSSISLPNIA QSFQAATPRVAQTIGPIAAAWGNWRKSNQPSFRNISGVLSSPSYGNSATPSKSRTSPG PQSSLLSGLLTPPTSGLRQTPISDSKPQPTAFARTGRRYTPQSNSMGRVRGESSSSGA SDLMPAIPDTPQRQHQERGEQPRTPPMMGENGYDSDAQPGDFDDRDFFEED UV8b_02341 MLDTFEIITTSGVVLWSRTYAPLKSPIINSFIADTFIEEKSGRS ALKDSPSAPSNPVYKSDQHTLKWTVVKELGVIFVAVYRSLLHLSWVDKLVDNIKTIFV NLYGEQLTKPHTTIVECAGFDKYFDQQLQELDASSASSPPLAQHADLSVDESPPAPGL TYRGRRSHINGVQDEGTSNDSSPVATPNTSRPSTPTPSNLLVAKAGPVAKMSRRARKS RNNVSASAPASSGDESSTRLAKKGARKANRTWNDDGTAGEDEPNLRLDYSNQTESASD AKPETGRTGVVPTAAWGSTTKGKFVLKDLGDEVHDMLASVEADKEAAAAAAAAKAGTR TGILGTSVNVISGMFRNVVGGKTLTKEDLEDAMKGMEEHLLRKNVAREAAVRLCQGVQ KELVGVKTGSFEGIKSRIQSAMESSLTKMLTPTSSLDLLREIDSVTSPAPTSLRRPRP YVISIVGVNGVGKSTNLSKICFFLLQNRYKVLVVAGDTFRSGAVEQLAVHVRNLKELT AREGGQVELYQKGYGKDAAAVAKDAVAHASHQAYDVVLIDTAGRRHNDQRLMSSLDKF AKFAQPDKILMVGEALVGTDSVAQARNFNAAFGSARSLDGFIISKCDTVGDMVGTLVS LVHATNVPVLFVGVGQHYSDLRNFSVKWAVEKLLSAN UV8b_02342 MQRGTLGASPPLHHPVPQHVSTVPQLRSPPPPPGSAQSLGQGFG GAAGTPYQQQGGSSGNVFGSYGQFMNDPTAQIAAQFGQTAFKHGQDYVEQNFGRFVNV SALKHYFNVSNSYVVNKLFLVLFPWRHKPWSRKQAVGANGQDGWYLPPREDVNSPDMY IPVMALVTYILLSTLIAGLKGQFKPELLGYTATTGMVVVIVEIVALKLGCYLLSISSQ SQLLDLIAYSGYKFVGIIVTIVVAEILNRGKGTGGWIGWAVFLYTFLANSLFLMRSLR YVLLPEIAGSTSGPMQTDSRAKRNQRTQFLFFYSYIVQLFFMWVLTRGI UV8b_02343 MARSPIFSATLQAALLGATSNILAQLIEAYRKQAALRVDWIPVF QFLLFSIISTPPNFIWQDFLESTFPARRRRHAAKSPPEAGGDAERAPLDKRNTLVKFA LDQTVGAVFNTLLFSIYIHSIHLAMPHAPRITSFTKATGYWLSPGAIDFSAVDMKVVL DAAFAEFWTIVLAGVKLWPAVSLVNYTLVKTVEGRNLVGCVAGLGWGVYMSMIAARD UV8b_02344 MSGQLGLAFEQQEELQDILEAMQEARLLGGSDWWHDFPELELIV QQPITPHMTPSPRLNVLDEIPRHDSTYRHLSASMVPHTLFPEMYLLEYQTFSGAMAFQ PCSRNIDLVRFFEALEPIEKGGDSLFKVARTWSCIIQSLEGLPKDVRWVLATIYNFAN EMMRPHVTEDALWSMRPWLMYWYDVADRLFAKYDIPATAPDPDWFLRPEVVTTQDAMG LQSGSDMTCHRTDSLSSDSNQTVRHHVPRHRANVQKYANQAQACEISSAVTAWVASQP DWAAVYHGEFDPAPGGRARTRTAFDPSPPPNSYSDELSQGLSGRFRLNPEAAEFDPQT DLTTSPASVSSRAPSQGSIVWDRGIPRGFSDVASNDSWVYGDF UV8b_02345 MADHVHRITMFKLPDPADQQKLVEAYRVVDQTNQKDGKPYILSL AVGPTEDDPRSQGYTVACKTEFASLDDMRYYDESCAAHQTLKATAKNLGLEGVLTVYF KPQVTGGASS UV8b_02346 MCSYFLRFQTGFKIKPGPRLQKGRLRRNTHKTRYNEGKSCVRCT ARWVLRYQLLLIDLEYRSTDGQLVWTDAQENGCKSAVLSISRRSRSSRDNHSTNVLLL ARVSATICKCLQKRVYAACSVQQANQPCLRLSIEAGVPRLKRNLGPTHGVFHFRLLTQ SQEQAWFDKSALDPLSTLLDMSQSCVITRQRPRLSMSSRFSLAVEDIWSGALDKRCQV VSTKQNVQKPCTRFFLSPRSLLDPTHHWHIMTREHQMPLPYPNPSLSQMSSLQGTNPI TPPPMMPNRHRVSGSRQKVLILSLLVGLLFSSLDTSIVATSLVTISHELNDFVNAPWI VLAYLLTYMGFAVCISKLSDIYGRRNMLILSWVIFIGFSMGCASSKSMIALIVCRAFQ GIGASGLYSLTQIGLVEVGPLHRPSLIGAMIGATLATAFVIGPLVGGLISELSDWRWL FNMNIPCGLITILAIASSWPTEDVADLLSWTAFRSIDFLGGATLLCSSGFVLFAMQQA GSQTLAWSSPEIIVSFIVAFLSLILFVAWEMHLAGKRHRHVEPIFPIQLMTKRVYAAG LLVTLLTGFPYICFSIILPERFQMVNNQEPLMAGVRILPMLSACAFGSFLGGAISGKR NNTSYTLVGASCLQLVGVGLMTTVSGDSEKAAAQYGFQVIFGLGVGLSFSAATIMTNI LATESNERASAQGAVAQARVLGGCIGLSLCTILFNIHANKHLRGRLTEEELDMLHRSP LSGLQLPEKLRELVRQVYIGAFGKEIEVIGLCCAVMVVISLFTLEKKPAPIQPVAAQV KDETSSYRRGSDSGTELNDVVNVQHTRPKDGVLCLRHEFEQLEGFLSPCGLNHHASFL AFYLSQASSPFPRGAENFLINRL UV8b_02347 MRIRDLEPRFKAFDNDGLCYIRCARSQGQQYGNELQPQVVKSEG YTLLRLNIVSSKHPHRPQLNHSMERIMHPVATTNYMGPWKHTRHFRVYTAVSPGSTKD LLSALTSTNRIEVVLIT UV8b_02348 MEDIAVIGIGLRFPGDATNPEELWRVLENGESQWRDIPKDRLNI DGYFHPSGNRLGSIPFRGAHLLKEDIAAFDAPFFSITADDAQAIDPQQRMLLEVSYEA LENAGLRKEDIYGTDACVYVGSFVKDYEQICLRDPDWSPQYAATGNGIAIMANRISYY YNLHGPSMTVDTGCSGSLVSVHLAAQSLRNRESAVGIAAGAGMILTPSTMMPMTALNF LSPDGKCFTFDSRANGYGRGEGIGVVIMKRLSDAIRDNDTIRAVIRGTSVNQDGRTTG ITLPSKEAQVANIRSVYGNAGLDFGQTAYVECHGTGTQAGDWRELKAISETLAAERPA TNPVIVGSVKPNIGHLEGAAGVAGMIKGVLVLEKGKIPPNINFKSGNPTIDFEEWRVK VPLSVMDWPIPGLRRVSVNCFGFGGTNAHVILDEAAGYLGSRGLVANHHTHVCSSSSP AAAVSTTAATPTDTSQLFCYSASEKNGVIRTMKSHSKYILSLQNSPSTTLLRNYSYTL ACRRSTLEWKGFFVARSPAEIAAKLEAAETLNLGRSLNKTEPKIAFVFSGQGTQWAQM GLDLMVFDAFRRSLEEANAYMQDLQSLFDLFRELSRPDSESIISFPYLSQFATTAIQV ALVDLFHSFGVSPKYVIGHSSGEIAAAYAAGAISRPSAWEIAHFRGMAAISIGFRAPK LRGSMMAVRMSWQEMANYLASSSEAAEIACINSPQSVTISGRVEPIEAIAKDLGRRNI FHRILNVQTAYHSSHMRLVSHDYRDAINTVTAQELTPGVKMFSSVTGRAISGLELGCE YWVENLVSRVQYVAAMSEMMSLPTEQRPDVVLELSPRGSLRSPIAEIMESVLGESAHP PYYSAMQPKGDGVSRILSVIGELWVQGCSVDMLQVVTRGHNHANRPKCLSDLPPYPWN HTKSYWHESHLSVANRQRKHPREDLIGSLTADSISFEPRWRGFLRISENPWIQDHQVQ KTIVYPAAGMISMVLEGAKQIRPQTSSFLGYEITDMKIAKAMIIPNTSHGLEVALNIK SNTQPGDNRHEFAIYSKPLNAPWEHHASGLVTFKHAGNSANASPNRVDDAEMKSLSSV YDRKVNPRQLYELLDTIGMNYGATFQNLFDVYQRDGACLFKVRVPDTKSKMPARFEYP HVIHPATLDSMLHSLFAIETKPMVPTYVRRIFVSAQVDHDGPRVYSGYATAGKVGLQD ATAKIVMSAPDLPDAKVVLDGLHLTALSTAATDSVPFLPNYRNLCNEIIWKEDATFST TTNVLQLLDLFAHKFPGLSVLQVGGSLSDALETLNLLAPDEHETPRLSRFTLLDHADS HVSSSLLSKLKSGRLELFVESRQKLAEVRSEYHLIISYGDNQLDSTGLKSRLRVGGLL LQTCLGTADAPSAAGSSGSANGNPLPNGSLKSPTDTMVYKRPAIPVAQPQIIVLTPEK PTEEMLAFISIAKSHPAVEGGKGQLFALTSREILQSSFLFEHSVVISFLDVAASPKQE DRHSILQWDEEHFDMLKTLQRSAKGIIWVTRGSHMYPRCPEGSAVIGLARVLMSEDPR KVIVTVDVDSATSLGDSAAADNVMHVFTKTFCEEADSAYVETEFAEKDGKLFIPRMRP IQPMNQIIEGREPSITKSRPFHKRASSGGDLEVELSILQPALTDDSWQFTEYVPTDVP GRGEVEIEFRHALLTWHDVETMLGRSVESTIGTDLRGIVTSVGQDVTEFSPGDEVTAF VADGCIRSSVRVRSCFVQPQREGFFPGLYASAYYAVVHMGRARAGKSLLIHGAASAHG LAGIEMARLLDVEVFATVSGKDVNEQRRLLESLGVPASSILDADDDQDFAQQVLAATG GKGVDVIYDTTQKTQVNANLKCIKCGGVLIQLANASPISSGHDVNLGTSSVSVVRFNL RQLARQDEDLVAELLESTTRLLAEREWACSPVGAAAANEFGVDALGDALRHVQTNPHR GLCTVSALPGETPVVRVVCSDTSRSLWEAIDPDGTYLLAGGLGGLGQSICELLIANGA QHIALVSRSGASSASSQAFLDSLRRRGVHAKAYRADICDAVLLEAVVGVEIAAEMPPV RGVFQCAAVVQDAMFDNMTFSSWQAAIRPKTAGSWNLVKAMPDGDDSPFFIFLASSAG VIGNRGQANYAAGNSYQDALAHHCRLQGKHAVSLDLGPVLGAGMLTQDEEVLDKLRAS GFYGVRHQDFLKVVEHAITTEMGASRLATPAQVVLGVGTGGLLRQNKPADPYWSRAAL YAYLNLVDMPPPDLDASAADAHGADLKSALARAPTAEAAADLVRSGLAHMLAKAMNLL PEEVDANQPPNSYGVDSLVAVGVRNWVLSNCAVEVSVFEVLSNDTISQMASTIAARRG GYGDSKGARDV UV8b_02349 MGKFKVPSWLQTPAAPAGAGPEVAKKKQHRRSFTTFTQHLRQKP ESAASSTPTIVEAASNTGASRLLALARLITAETEKLDAYLRKNETLQPGFGVDAPADF PPLPPEIQRSRQAIVHASQELGSLVRGPRESVRWSVWSFLDTLSLEIINHYDIAKHVP VDEAISLAKLQEKTTLDPINLARVLRHAMTNRIFCEPKPGLIAHTASSRLLAEDAALQ DWVGFNSEDIFPAAANVLKALKTYPEATSLATTGFNFAFDTVEREPMFVTFGKDMARA KRMGGAMASLTGGEGYQISYFVDNHDFSEVNERGGTFVDIGGSHGFVCVDLAKKWTKM KFVVQDLPKTVNSAPRPICEDASVAERIRFEAHDFFREQPVKNADVYFFRWILHNYST PYAIKLLKNLVPALKPGARVVINDHCLREPGSENPWDEKLIRSMDLIMLSLLNAQERE EQEFKALFQAADEQFVFKGVTRMPGCRMSVIEAVWEPAEHEANDGVTACWGMADNGAS QAGTAKLD UV8b_02350 MSPHAELPSISMLQTSTSGSFVQSRIQLAPLSSSARLKADPRGL LLEESDASSGWSTSKPRETAANLDLEANDAPPDRQGGNENACVDEQPEYVDRRESLLS SPLRHPNGTFMFPDLSTPQQEEIAQEALVQAEESIIADNNPESENGSDGGYESDGFSS ASTSAESSVRDYMFENGRRYHRFREGHYNFPNDDVEQEREDMKHAMVKLLCSQKLHFA PIGSNPQEMLDIGTGTGIWAIEMGDMFPSAHILGVDLSPIQPDWLPPNVRFMVDDAES PWLYPRNHFDYIHSRHTVMAIKDWDTLYRRAFEHLKPGAWIEMQEIHHRPRSANVDGS VPPEHPVAKFWTLITEGLDALGVDLEISAGGNLPRKMEEAGFTNVTERVFHVPIGTWP KNKVLKTVGLYWRTILLDGLQAIALGPLTRGLRWNREQVEMFLMEVRRAYHDNTALMY MPLYIVYGQKPTTAY UV8b_02351 MSALKDFGTNAKKGSIRAEAAWQLATLTEALFRFLGLVLSQSGV VRAMSVLGETRATSHHHHTTSLPVFMHHFGCATPSHEALEILRILSKGRAVADVGSGS GYWTFMLRGYGLT UV8b_02352 MSLAVDNGHRRDRSRSTSRSRRPGDKPELSRAALLADVRESSYV YPEDDLGDRYRSKSHRDSSHGGGVCDLPYPAEDAGADAMALPGSQSLYSYDDSRPVYR TASPPPGTTAYRTSHGYADRQSINHLPGAFPDNEPVKRDDDKDGRVRYADPGASRYQR MDRSYDETSHGQDARTMRSGKHSDQYSSRDGDNLRVHDASPFRDGRDGKHAGSSREDF ADDKLHLLPQKYGRRYDDGGGDAGAHREDRYSRTDRASDHDHERERERERERQRQRQR DARSKRERDEDALAYGKLPGPPRSQRPKSPSPATYGSYISGPQASEKRSSRHGRADGD FYEPASSSSSRHGPPYRESSHRDEPRSSGVSLLTVDPGGRDRDRSRDRRGDQSPGRSA MLLAPESSGRKERDRSRDRSRDRSRDRSRDARDKRTRDRTPQPPTARMSSLTVGSGPS SGMSASAAPPSPLLESYRGTYQDCSPMPSPLLFPSKNPNDDYRAVEALSPPASEADSE GRERGRRARFHDPEDIAARLAKALRGDRSPDTQPLVEILPSLTHEQVMELRAEYKRIV KTGSDRKGVNVAKHVRARLKDEDANLMKACYAVALGRWESEAYWANFWYQGDKTRREL LIEALMGRTNDDIRRIKDSFTDKKYDNSLTKCMKTELKEDKFKKAVLTVLEERRMDDC DPHGRPTPLDFRLVDQDVDDLHKAVKADKGGESAMIAIVVKRSDAHLRAVLQEYEHLH RANFAREALSKSGNLVGELLAHILNGVINRPVRDALLLHHALTASRKDSLRRELLISR LVRFHWDVAHMQAVKRAYRERYGVDLSEAVREGTSGQWGRFCRELCIARMPDDVRRVE RVEVIR UV8b_02353 MKQRFSSLDVSVIAYELNQSLVPLRLANVYDLSSKILLFKFAKP NDKKQLVIDTGFRCHLTKFARTTAAAPSAFVARLRKVLKTRRLTSVTQVGTDRILEFR FSDGQYKLFLEFFASGNIILTDADLKILSLSRNVSEGEGQEPQRVGLQYSLDNRQNFH GVPPLTRERVADALKLAIEKPAASTAFAKRKSKPGGDLRKALAASVTELPPVLVDHVL QSNGFDATVKPGDVLNHDALLDELVQLLAQAKVLVEKITGSETCTGYIFAKRRGDEDL RAGGSSVIGAPTSREHLLYEDFHPFIPYNLQKDPKLQILEFQGYNQTVDEFFSSLEGQ KLEMRLNERETAAKRKLEAASAEQVKRIEGLQEAQTLNMRKAAAIEASVDWVQEAIDA VNGLLAQGMDWVDIGKLIEREKKRNNPVASIIALPLNLAENIITLNLAEEEVEDEQEV DPYETDDSDSENGSENGIAASSSHEMNQPAKLLQVEINLTLSPWSNAREYYEQRRTAV VKEEKTQQQAARALKNTEQKIKEDLRKGLKQEKALLQPIRAQLWFEKFLWFISSDGYL VLGGKDAHQNEMLYKRHLRKGDVYCHADLKGAASVVIKNNPSTPNAPIPPATLAQAGN LSVCASEAWDQKAGMGAWWVHADQVSKSAQDGGFLPTGSFMVRGSKNFLPPAQLLLGL GITFRISEESKKKHVRHRLHDCDAVVGSKVAPVEANVSVADNTGESDDGSDAESDVNH GHKEEEARVHSLQRSDCQKQMANAGDCHVEKVADAMAACQIEKQPATECQDDGAGKGS AQDEFELAIQATEAEEPAETASSTSAAGHAGAQTPPAESGSKKPSLKRGQKGKAKKIA TKYRDQDEEDRLAAEAVIGAAAGQKRLEAEAKAKADKQAELEAAKERRRAQHKRQQRE VAEHEEMRKVMMDEGGVEVLEPDGAEQAADLDALVGTALAGDEILEAIPVCAPWNALA KFKYKAKMQPGAAKKGKAVREVVEKWRADSGKKGAVDDSARDAEKMWPREVELIKGLK VEEIVNCVPAGRVRVMMSGGKGRAGGKPARGGKGNK UV8b_02354 MTAPRTEYSLHPVSSPAFVLKSHCASAKVANLDFEARVPVPFSI FPSTYRESESQTTQSQTHEEVDIKLSSNQEPAGRQDQYSSNSAHVHLPPRGEHSYSQE EVRITEERHRRPGFQQEQFVKEDFRPAPSEYTETRVEVDTHVRPYTSPVDIAEREYRE RYRPAYHTTVDTPARPQYQTEDFRASQYTVQGRPNLQSTFKEEVKFSEQTVEPSRFTP AEQKSNMGYYDEDGHYHSIRQGIHKLADKVVHPHHHHDQVDVDIKEDIRITETRPRHG GGGGSYVPNTVTIPCHHIRHGDFLMLQGRPCQVIRISTSAATGQYRYLGVDLFTKQLH EESSFVSNPAPSVVVQTMLGPVFKQYRVLDMQGGSIVAMTETGDVKQSLPVIDQSNLW ARLSNAFESGRGSVRALVLSDNGRELAVDMKVIHGSRL UV8b_02355 MGSQLSKSPSSRPQEKDASVCLHKKKAEDGVQSCAYDEKSAAYS LEAREPHALPLEAVALIPSQFLQDPRNRLALSALSSADPRAVLTSQASKITNQHVFNT KIPSEGAPITNQRSSGRCWLFASTNVFRLALMKKYNLEAFELSQAYLYYYDKLEKSNW FLEQIIGTAGKDLEDRLVQRLLGDLVSDGGQWDMVYNLVEKYGLVPQALYPDSWNAMN SSVLNAILKTKLREFALKLRKLLLAPVPPAPGVVVAVKTTMMKDVQHIITLLLGPPPN PTKAFTWQYVDKDGKAHQLTVTPKHFASHIASSSFQASSSTISGMVSLVNDPRNDYLS LLTVDRLGNVVGGRNVTYINVDMETLKSACVKMIKAGLPVFFGCDVGKFSDRASGVMD LGIFDYEVGLGAGLRAMTKEERLRAGESLMTHAMVLTAVHLDDKTGGPVRWRVQNSWG PDSGDKGWFVMTDEWMDEFVYQAVVDVRFLSKEVRDVGGQQPVVLPLWDPMGSLA UV8b_02356 MGGASDQWTSLYGVDRVLINFDVRQSQAGNGAADSEHALLDSSN PKPDQDQALIFCARAYSNRKKRRGTRSKHRASHLPGLGVRPSRSWSHMFGNWPPNSVS APPHPATDASEGNLRSVAPVGS UV8b_02357 MSAGMATFAGKEKNKTARHDRDPRGLIGIPAGKPSQGLCIVLAY PKGLGPRPKWRHRPNGTSLEQLASLWSTSPRRLGAVSSGNNVTPRRFVRQPE UV8b_02358 MSERHQTHVPMASSRPPAFNPARSSVPTGVGYGSTYAGDMHLAP SASHRHYITPRGYSISTNAAGVPTTTRTYTVTHGSRAKSKTRDGSRPRRSTLDSLSRP PVIITTTQLDRPHASSSSRSPNARSVSPVQDDYRASDGQVYAQPASSVRSRSVARPYH HHAPAASEDRGRYRDRSDGHLSSRDLEAYRSSRPSVVYPSDPRHSMAAIDYGDDGYQY TNAGELVKYDLDQSKSRSGRSPRRRDSIDAGYYRPNVNYDADRRNFNVNMSHDLNRVR QAEGRGGPPPSTRGFDKLHRGYDSRDVPPTAPVPPSPTSAGPATQIEVPGSLAPRRAR PLSLHQEGGLRSLHYDEYYRSREDERAMRDRDMDKDYDRRPDAASRYYDDGVTSRGFG IRTDSLAGPVETRDRRHDSNGDEKRRRFDDELLPIGSDKERDGNRRSRQAAVNGEPES RRDRSRISGSLASSLGALVSAVGLAPTSKQDKQDPESPDPRRRPSPPEERESAREARK EELRGQYPDRPLERKREAVRDREPIRDREPLPLRDSDMTAARDVFRNGDGRDREPLRE PLREREIVKEKDSARDKGPARERDYVREREPVNEPGIALTESDRVRPASDFRTNGESA RLPASDPDDTRGSSRRNRASNAFNPNDASDLKQLKEQLASMDATEKRREREPDKQPRA RSPSPSPTPGKAPAANGSTRNSSREEILDDSRGRELVISPPRDKADGKPLKGILKQPK ASFPEDENPVREGVAPHKEDKKLKEVPPGARWTKINRKIVNPEALTVGKERFEVREDF VIVLRVLSKEEIQAYAAATQVLRERRRRKNDGNKERDDERDRERERPVDDDDDEVENG ERRHHRRRRRDSDEVDGEGGDKDRERHRDRDHHRDHARDDDRRRLHRGDDGFDGGDDY DVRYNADSDHYRHHHHHHHHHPRSFRERERELDGKE UV8b_02359 MSDPSYKQQKEDFVSNLSGGPVAEIAAVTAVAPVAILLWSALQA RHSFFQPPSLLGHAVDFLLHVGAFLLATTLYAGTPTLLLLFLLAPVASVYMMPPAAAG RRRRKTALPPPPAAGAGAGAADGAGRSSSSSSSSSSSRPGAADAAALLPVKPFLTSYR GAMMVMTCASILAVDFRLFPRRFAKVETWGTSLMDMGVGSFVFAAGVVAARPVLRERA SGRPVPLARRLRRSARHSAPLLLLGLVRLLSVKGLDYAEHVTEYGVHWNFFFTLGLLP PFVAASQSVVLRCVPSCAALALLVAGVYQVLLESTSLKAYVLTAPRRGLVSMNREGIF SFFGYLAIFLAGQDLGMLVMPRAINPRTGNVSPRAQRATLLMTVAVWAAIWSALYCLC TSYSLGLALDVSRRLANLPYVLWVAAFNTVQILACCAIDTVFFPATYAALDAKAEREA NDAATSRILRAYNRNGLAVFLVANLLTGLVNMTVKTLHATPIQSMAILLGYMGAVTGF AVLLDELNISIKL UV8b_02360 MDSFLRSPTAFVYNVFHIISSFGFWYSASFWSWVFWVLWIHRYL RLLVHCVSHWTYKSKPIPPKPSFTHHDVTVVIPTIHNAFEELRPSLLSILACKPAELI LVTTHDKHEALKQLGESLGDFKITVLSTPIANKRIQVCEALPQVKTRITVMADDDVTW PSTMLPWILAPFEDAKIGGVGTCQRVKREWTGPWASRIWNWLGAAYIERRNFEISATH NIDGGTSCMSGRTGAYRSEILSSHDFLHGFKNEKWRNWILNADDDNFVTRWLVSLGWK TWIQYASECELETTLENGFKYLYQCSRWARSNWRSNCKSLFIEKHVWKQQWWCTYALH IATFTSLAFLVDPLLLASCWWATAGWEMKSRRYAFWAQFIFMFALTKVVKLVGLFRRN PGDMIFLPVSIVFGYFHGLIKLYALFTLNMTSWGSRADGDKNDEQRLAPVPQPSSVLK VPPGGKSLVQFNVRQQARQTSLESRDGDLREKRDYVACDSSTSYVPIRVPKEPLSRAQ DAGSVRSSHLY UV8b_02361 MASVQVHPAVPGLSLGKRSKSKHRTVVKPILRKLHSHHSDRESS LDLDRGWDDQPSPGLATGFDFGGPYDSDGSCHYSSTAIAAARAGRDVGFSLSATDVAG GPGYGLGMVGAGAAAAAAAAAMAAAAAAAAAAGAGGRRKYSHVRSASGNSHASSVATT ASAGRNGGSFVHPFQQTPQTSSPPLLQYANARASLDNGFGTGYSPTITEDDDDHDDQD DLVDPYASLHSTSTATTPRPALYPSAYFQHPNYRRPSLASQRTSSFSDGNQALRTPAT RSSSAQARLLGTASLNQSRSELNSSTLSSVAAADSPLSSTAPLGTAATTTTTTTTTTS TSSFAQPIPASSSSSASLMSPLRSSLDMSGFRLRSHSEVDTATHQEQVREARRKFEAK ERAKDEKYAREQLRKRERAETKEASRMEKCNARLRKGSVGNGSISGSTASGGADVRVA SFRRRGAAPGDVREKLDFAGHGYDSTHAGQMASSRADEVHFASPSKRSRTAKHRTVGV WTAFVLWLRTRFLKLGRR UV8b_02362 MVYDWDAHQQTCYRLYIQEGKSLEDIMEHMKNFHQFTPSKRAFQ SQFRRWDFPPKQQPAYKNERLVCRIKELWGENLAQQEMLRVLNEEGFDVRARELMRVR TRNRWLLRVPRALEDECQTDEGESRISPAGSSAPGSSARNEQPSSRDHETKTNRRRSR RKSSSAGARAGSTDRFPSEMTLDEARLILRLDVAAYRAVRTHFQQVCQEENIIKKTTA GVDKWDAAKARLHSLVWSTKENPEPPQRLALDVICTDVTKRMRVLKTRMTLAEARNVL GVDPAEAREMRRALRRVLTDSGFTCKSDATPQQWEELKRTWADGSTPVRHVLRAPHAQ DGISQPEKARALDVMAMDIIKRFRDDGGQKEPGKQELPPSPAVSPPRAGQRATAAAMA TGSSAHDDQMDLADAMANSNFDALSEVSHPSQMAFSPASSAMGAPMPASLRPQTPGLP GSQERLPRPSRVFVSPPMSAGMPLGPPMSSSLLLGPNTQATFLHQQYLPSQFAAAATP APVFQPAHPAPVSPACAIYMRAHPSSSFVPSPSLWIATLNSQSIHELRQAAASKFPGA ICARVEGILRDGKGGELPLPIEQDDELSAYLAHLQGAAPTFNVQLMWKSP UV8b_02363 MAQLRLAAACLKPLRTCSSAGLVGARMPCRGATASKSLGGTARC YGTEIEPEKPKPPTSARQGNEMKLGRSFQGQVMGSIGARLRREREQREEYERWRNMTD PSRNWMVTFFFLSSISVAYYLGTFWPREPEPDSTLPLSKVKDPKHNTKLENMQAAWAD FVKILGKDKVSTLETDIEHHSSSIWSTHQPQPDERPFCVLFPGSTEEVSDIMKVCHRR RIPVVGYSGGTSLEGHYTQTRRGVSINFGRMNKVLALHKDDMDVVVQPAVGWESLNDD LAKDNLFFPPDPGPGAMIGGMVGTGCSGTNAYRFGTMREWVLGLTVVMADGTIIKTRQ RPRKSSAGYDLTKLFVGSEGTLGLVTEATLKVAAKPVSTSVAVCTFASVHHAATCVSK VVRQGVPVAAVELLDDNQMKFINAAGMTSRSWQEAPTLLFKFAGTAAGVQEQVSQVQA LARQAGSKTFEFAKSQDEQDELWSARKEALWSTMAAMRAGDRVWTGDVAVPVSRLPQL IEETREDMRKSGLTGSIVGHVGDGNFHTILTYSEPQRKKAEAVVHKMVKRAIELEGTV TGEHGVGLVKRDYLPHELGESTVDAMRQIKKAFDPLCLLNCDKVVRMQKPKKGEVLEW UV8b_02364 MSLFTAQLFPGRALGFLILGASLHDVLTRLKAEPRRFPKLEVIY SPDKPVTEPVCIALPHNGIRLRFDGPEQRLRLIEVIDFTKNHITFKDRDLLRPASNGT SAPGSPIPGESSLGPTFRHIYHRLLGPTYGGEYIPPPSHGGGQEAGVYVLSYPGVAFN FPLASSAYSPDKDVVSLFSSSSTQVATSMAVFSGTSWAEARQTLWTESLPSIKLASVL PRTKDVYPDEVSLVRIHGGGKLDLFRKWTKHAFRIQLGETTPQELIMELGPPNAIYRR NDQKMVIHKMRTTSHSHGHGHSNTADVHHRPDDLTDTDQSSMNTGSDDDDSDMDSTEP AVTAAAAAAAAAAAASCAIDEQAAGNPSGECFYNYFYLGFDILLSPPTQPSEAPPSED TGQAGGPDAAENPVKCQLPDRLVANKLVLHGNVPGSYEFNRHRRCRWDIAYLADGSGG SGGSGGSGSSSSDPTTSETEFKYIERRMSDKWASAYPPGRAPRRQRGMVLNRGWGDSP GSSCEFLGGWEESGARRIEATGDSTTTLYGFPGMVFEVLRNDYVNTVTVF UV8b_02365 MAAKSVAAGNKRKATPTAKGSSDSKKARLSKPQTAPDSKDEDVS VSCPEPDGGVKLEKKPYSQKFAKKPDAGANASGFDRNGMTSRETHAKQKQLAQERRAA KPLADDVQRTKKIWERLRIKSQVPKEERQKLVQELFGIITGRCKDFVLKHDAVRAVQT AIKYATPAQRRQIARELDGSYAQLAESKYAKFLIGKLLVHNDDEIRDLVIPNFFGKVR KLINHAEASWILDDIYRTVATKEHKALLLREWYGPEFSIRELTKDSKPTSDLSKILQA EPSKRGPIMKGLLDMINSLVQKRMSGFTMLHDAMLQYFLNTQPGTEEFAEFIEMVKGD ESGDLLKNMAFTKSGGRLSCLLLSYGSAKDRKQYLKMYKDTWLLMSGDAYAHTIILAT YDVMDDTKLTAKSIFPELVGEKDEEVAQNIVSAANNPYARTTFLYLFEGLSRSLFPAS HSFDLDILKEVHEIRKTTSKKDEDVRRKELVAALSPYLISAIEKSADDFTATAFGCQF VADILLSGTGDKAKALEAVAQSAAGDPKEKSADEGARARPHMSAAPHGGRMLKSLIQG GKYDKAAGKIIPADDPLKFADILYPVIKDYILDWATGPSSFVVVGLMESEDFGSSDEL KKTLKKNRKLLDKAASEMTPEQKAAKEAQEDKKTEKSGGKGKKKAEAPVGNAGSKLLL EKL UV8b_02366 MGREEQTEEREVLASIFPEEITDLSDNELQIAIKLDVPDQDEES APTLLLQVRYPEDYPDVPPHLDLLAPPNAQPNDHFSIGDDRDALLAGVEETIQENLGM AMVFTIVSAIKEAAEQLIQDRKDAAANAQEEALLAAEREENKKFHGTAVTPETFLKWR QGFIKEMEDKARQEEQERLAELKRAKIKDPVKLTGKQLWQRGLAGNGDEEGDEDDGVP AEEVGKLTVAAG UV8b_02367 MAPSRPENKKQQNPDSLLTDADTQFEVGNLDEAISLAARALEIT GPGGDHELRALSLLGTLLVEAGDIQDGRSYLERAVKLDEDGMADEKIGGGPEKFLFLA QLSEDGGQDSVKWFERGATTLRRQIQNLADRPSRSPEQQASLTEKQQKLGGVLCAVAE VYMTDLSWEDDAEQRCEALVTEAMMIAPNFPETWQTVANVRISQERMQEAKQALAKSL ELWQDLPPSHPDIPAFPTRVGLARLLLETEMEEEALKVLERLVSDDDESVEAWYLGGW CLYISGRKQREAQPHGNGDAKQAWKSTWSTSRKWLAQCLKLYAKLDYEDERLGQHAVE LLQDLNKELGEMPDGGEDDWEDSGDDGRDDDDDGDGDGDEEMTEG UV8b_02368 MFTGIVEDIGVVSHLDSNDSTGGTTMTFTIPPHSPLLQDCHEGD SIAVNGCCLTVTSFTSDTFKVGVAPETLRITNLGTLVENSPVNLERAVRADTRMGGHF VQGHVDTTAEILCVTPDGNALTFRFRPKNREMMRHIIFKGFIAIDGTSLTVTKVNDAE DWWEIMLIAYSQERVILAQKRKGETVNVEVDMMAKYAEKSLAGILGAESSEAAVPLLE KLVRRILSQEKH UV8b_02369 MADEQCANPQLLGWVKEWLDVARERNTQGITTYRNAYESLKACP LTFEHPAELQQLKGFGPKLCQRLTGQLEKYCRENNVPMPQHPQLRKAAERAGREEPEN ADNGPAPKKRKARQPKAYAPSFRSGAYALLVGLSSITEGNSTGITKADLIELAQPHCD SSFTAPSDPTKFYTAWNSMKTLIQKELVYERGRPLRRYVLTDEGWEVAKRIKQTPEWG ADKNSSLQADPPCPYKQQVTPDQAIDSQTRAQSPEVVLLDDDIAEPTVRHPAPDGGVT GHDTLPSFEPIRLPPGSFCVRLVLDVREVRAKTDRDYMQEELAKQGATPIMRSLEVGD AQWIAKCHDATLLHRLGAEGDEVVLDWIVERKRLDDLIGSIKDGRFHEQKHRLKRSGV RRVVYLIEDISMDATMWQRHEESVHSAIASTQVVNAYFVKRTSKMDETVKYLARLTAK LKSRYEKQTLNVIPSKIITAGNYLPLLKHLAESQPSVGFFVTYPVFSSLASKSEMMTL RDVFLKMLMTTRGVTGERALEIQKRWSTPYEFVKAFEACGSGEQGRKRKRDLVSTQLA HLVGRKKIGKALSHRIAEIWGDA UV8b_02370 MDEKILAASAKYPIVAGQRYRYGTAGFRMKADLLAGVSFRVGLL AGLRSRKLNGQAIGVMITASHNPAADNGIKIVDPMGEMLEQEWESYATRLVNCRTDQE LLDTYKAMATQLKVDMHTSGRVIYGRDTRPSGHSLVCALAAALDATDTNHTDYKILTT PQLHYLTRCVNTEGTPKAYGEASEVGYYEKFADAFVRALRGKKIRGQLIVDCANGVGG PKLSEMLKFIPKHVSGFDVRLVNDDVLRPEVLNLDCGADFVKTKQRAPLSPKPVPGVR CCSFDGDADRLIYYWVDPEMGFVMLDGDRISSLCASFIGDLVRSAGLADELRIGVVQT AYANGASTTYIERHLQLPVVFTNTGVKHLHHAACQFDVGVYFEANGHGTVVFSQEAMR AFVEKEPQSPAQKAALETLAAVGDLVNQTVGDAISDMLMVEVVLAHKDWTLKDWAMTY TDRPNRLVRVEVGNKHLFQATDAERRLSHPPGAQDEIDQVVQKYTTARSFARASGTEN ACRVYAEAATRSEADELANKVAQIVKQFGS UV8b_02371 MSILTLADHRHLVETGLATPPAPDGTIRVHKRCMNRFSDEEHSD LPLIQPSESAFATIPEIRISLATLEYLGFNSEKAREIWRKWQNWPSTPPHRETDPPSD DDSLDPITFIDFILGHVGPQAQDVYDDDDSPWFEYMARCGINGELQEAIMDPRFKNVR LTNSCLYWLRDAIEVRYLGLQAIEVASREREQALQRMRSRPGSHQQSTATSRQRNISG PLRDSVNISSHTALSNAAILAAQNAPGELTLFKGIIHERIRFREDNGRLDMICLSSRA QTDLFGLDSGFYFAVDRETAERYALWAKTKAASNLTVIIQIKIPNSAIETLPSNKVQR VYYDPTGNDRTWEELIFCGRNGRQLPRHLRRFDEADLLIGTIASRPNRYYQGLRSYEQ IRRRDVFKKRSDGRPAVQYAMRVRWGLNFLEERCWGAPNMQMFPLTSQELRDSN UV8b_02372 MSGDKMEVELAEERMKALAHSEQHYFKSYDHHGIHEEMLKDEVR TRSYMNAIVQNKHLFKDKVVLDVGCGTAILSMFAAKAGAKHVIGVDMSSIIFKAREIV KANGLADKITLIQGKMEEIDLPFPKVDIIISEWMGYFLLYESMLDTVLYARDKYLEKD GLIFPDKATIFFAGIEDGDYKEEKIGFWDNVYGFDYTPLKETALSEPLVDTVDLKTVV TDPTPVLVLDLYTCTTADLAFTSAFTLAAKRNDFIHALVSWFDIDFTACHKPIRFSTG PHTKYTHWKQTVFYFKEVLTVETGDEISCNLQVKPNAKNRRDLDIDIQYDFQSDNATR SCSGASTYHMC UV8b_02373 MPQNEYMERWRKLHGRRLDHEERVRKRAAREGHKASQDAQNLRG LRAKLYQQKRRKEKIQMKKAIKAHEERNVKTADEKEPSTPMPSYLLDRTNPSSAKALS SAIKNKRAEKAAKFAVPLPKVRGISEEEMFSVVKTGKKIQKKGWKRMVTKPTFVGQDF TRRNPKYERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQNPLYTQLGVLTKGT VIEVNVSELGLVTAGGKVVWGRYAQVTNSPENEGCINSVLLV UV8b_02374 MSRALLRSVLEPRTCSPLPRLTLAPAISLPTRCLRSFNSTTPII EPAQKPHANNAPNPTDGQIRPLAFKKSHPTPPPAPIQDSVKNLLPFLVAQPNHYITVH IHGFPYLVQEGDQIRLPFRMPGVLPGDVLRLNRASVIGSRDYTMKGAPHIDERIFECR ATVLGVESEPLRIKIKKKRRQRRKRQARSKHRYTILRISELNINNVDQLE UV8b_02375 MASRSESQAAAVAAIAAASQSPRQQQYHDDSSEDEIPVPMKLSA LTKALLNDGAPEPFSKPPSPSAVKRRTSALSNNVHAASAASAASAAAGTAPSFERRGL RSASAQSTEDKGARASHAGQSQETSPVRKRVVRLGGNPHNSLSQMGPGTRRSISTSRL TSQRASAPPPHGRASHQEKPSEIEPESQVQPQPQPQPQQQVNTPSHGERVVRIVAGSS ANRSRIGSSGASSARSNVERSVADQSHLEAEFDHSEAPGSAAQNSGSHGSASRYGSTR SRPDDLNLQSSMRVKRVGKLSGSFLSGPARRGRRRQSEEDGEAHNEGEHPALNHDAEQ RAADEIPESSMYHAANQFNSGSPVSGNASRPGHRRQASGIEVPDLGSSRRSSRGVHFD AHRDDHITVPEAMLPKPIEIPSNHDQENDYPKAFKRPVKIAADQMAEKPVVRPLSVDD LGSAKPASPERKPLASLVNNTPHRAAPPPPPPKMSVLDAATSTAGAATATQAKQRRNV LRVNGKCYTRLDCLGRGGSAKVYRVTAENGKMFALKRVALENADENTVAGYRGEIDLL GRLKGVDRVIDLYDYELNSDKQALTLLMEMGELDLNKLLTSRQNPESARFDPVFVRFY WKEMLECLQSVHQYDIVHSDLKPANFVLVQGRLKLIDFGIANAIQTEQTVNVHRENQV GTPNYMSPESLMDTNNPRGGRMPGRPRLVKIGKPSDIWSLGCILYQMVYGVPPFGHIA NHMARCQAIINWDHAIEYPSRAVGGVPVPHSLLRTMKRCLNREQHLRPTCEELLHITD PFLYPADFSDKALPIDEDMLGRIIQNVVSRCRERMPTEAESASIWRQAYWTSLRKAMA DRRV UV8b_02376 MASRGGLARGISLSLSVSLLLLLFCAAAQASVGDRLPSFRECLE VCQAENCGSGKAHTPIPLLHRILLWDCASECDYTCQHIITDQRIASAEPVVQFHGKWP FRRFLGMQEPFSVLFSLGNLWAHHDGLRKIRARVPSTYTLRPFYIVLAHVGMASWFFS ALFHTRDFQATEELDYFAAGANVLYGLYYTAVRIFRLDRPSAQRRSALRAWTAMCLVL YLLHVAYLKGVRWDYTYNMAANVVVGVAQNILWTWFSVTSYQKSKSLWSIVPVAVVAW VMVAMSMELFDFPPWLGCIDAHSLWHLMTIGPTVLMYNFLLKDTQDTMAGTQRYKA UV8b_02377 MPQPFLPPTPGSSTDIKGKDGAQQLSSIHAAFELPPPAIHDASR TCPTDSKAVSSSQAVPHPPTFSSSSPRTTYPLQAAETVKSRRRSSSAKGNSGDNDTCS FALPPPPTRSRKIIQMKPRMHEGTAASAKDSGRTGGKGSAGSAKSATNKSSGAADTSA TQAKKQQKQQQQQQQQQQPPQPSATSAAGRRIARKTAHSLIERRRRSKMNEEFAVLKS MIPACTGEMHKLAILQASIDYVRYLEDCIAKLKAQHGDHQNRDRAGQSFLPRVRAYHP TSHMDPSGDVDMSDPGTASPLFPGHPDHLHGLSLSPGSRPEDARHRQQSFSSASTDQR HNSISASAGASPAFGPRWHGRAPQTSGSTLTSPALHPQSDLDQEATAALLMLNSDRRG TNPSLYARGLSVRDLLST UV8b_02378 MKSVLLGKASAAQSLRSAGRTSQRMSMGTLATFKTPKVANEPNH HYAKGSAQRKDLTAAVANYRKKVPIEVPVVVGGKEIKTSAVSHQLNPSDHSSRVANYH TATPADVSKAIDAALAAKPAWEALPFSDRAAVFLKAADLVANKYRYDIMAATVLGQGK NAWQAEIDAAAELADFFRFNVHYAQELLSQQPEHNSPGSWNRLEYRPLEGFVYAVSPF NFTAIAGNLPGAPALMGNVVVWKPSDFAIASNWLVYQILLEAGLPKNVIQFVPGNPEE VTKTVLAHKEFAALHYTGSTAIFRKLYGAIGEGVVEGRYRSYPRIVGETGGKNFHLIH PSADLDNAVAHTVRGAFEFQGQKCSATSRLYVAKSVWPEFKKKLVAEVEKLSVGEPWN HQNFCGPVIHAASFKKLSGAIDEARADKEIELVAGGKYDSSKGYYVHPTVFKTTNPAH KFLSTEFFGPILTAYVYDDAARDAFSRACELVDSTSEYGLTGAIFASDREAIRLAEDK LRNAAGNFYINCKSTGAVVGQQAFGGARASGTDDKAGSSNLLTRFVNIRALKEEFNAT NQVAYPSNQV UV8b_02379 MAYQHDGDDARHQDDAEYNPHMSASRWRHQESSAYRRHAAHHAA QAREPVSTETTGDLANFLNSSRIDPPEDPSGGGNFQPITVAVTQPTGHEAETDGRAEA QPDGKEIVCGPLLNYRRMDQNRWHGSVLIVAKGGGKHVLYQPSLLLRRLGDARKLMAG APPENGTDECADRNTTPETRFEPHRLYSDARNTFWAFEIQVPIEAQEVKYEYVIPDMR YSKEHKPRFNSFFVPAADESMRVMFHSCNGFSVGTDEEAFSGAPLWKDVMRKHERTPF HVMLGGGDQIYNDGIRVNGPLRTWTEIRNPKKRAEYPFPEGLRSECDDYYLKNYIRWY NSEPFALANGQIPQVNIWDDHDIIDGFGSYVDSFMRCDVFRGIGGTAHKYYLLFQHHL PPPVSTYTSDHVQANGESAGADPNQMMNTFVAEPRMGEQYIVGTKPGPYVAEHSINIF CRLGARMALLGIDARTERTRHQVNYPETYGLIFNRLRGELAEAANTGRPIRHLILLLG IPIAYPRLTWLENILRSPFIGPVKFLHRRFGVGGGLFNQFDGSVDLLDDLDDHYTAKT HKLERRNLIEELQKISAEFSVRVTILGGDVHLAALGRFYSNPKLNLPVERDCRYMANV VSSAIVNKPPPQAVANLLARRNKIHHLNDQTDETLLDLFNKDPGGSNKTADRNHCTMP SRNYAMLTENSPNASGRNGAFAAGNDGQEKPWFGGRDGHSELHEGEIRAGTEHESASD AHGRGNDGSLDIRVNVEIDQHSAEGKTQAYGLTVPLLRYEKPATRGSLVSSRPAGSSS RPVTTQ UV8b_02380 MIFLFCTPTYRQVRPRFALLCGIASVLLRPTVGKESKIPLPAPH WPFSLRSITVTKTTSPTFSEKEHHALLAELRESGSKKRNGGGPASRSSQGPSRTEQKL PCHYQTPAVSYPTALVHEDEETFPNQCMGLSVFCLPTWILDMAIGAWRERTSKHGTSA SGLIASRAHAPATGVFIMHHVPESFGLLEEGFGYPQRDACRQAEANLSTMPLLIGSLT LNQAPKWRSRRRRRDFDDGISLKRSEGSGRSNVKSRHYTAHYRA UV8b_02381 MHEWRLTTRHTEHTRSTHGAQCQQRAGAALDWSLACPKDGVSIG PHALLCSADFAWPRLADVDRIAGLL UV8b_02382 MCSTFVVPPPFKPSTRPPPSAYELGSSTKALIDLPHQSRCISGA QSPSIPDNINDYFQGTSSEPGEFELPSFKDGFELDTSMFASDETRRSTTEQVSTPPCD VTAPAPDSKALKQALIGRGKKHSVIEKPRSWLITGSKSNKESSSPNCSDDGSINRGKE LSKGQPSTIQMERGRSGTDSFASFARRSWMSRSSRSPSSRNTASPSTDQAPSGLEGLF RTSSVKIAKAPHRLQVVTNKDQVGETTRRESLRSTQKPLALATSYLSKLKPKQQQSLF ARDGADSEHSYDSSATSLIRTSNSIRTSASRSISSDGNTNTPTTDESCNESTPKPRDP LWSSFKMLDLEVKNFMSSKQTGQRVAQVQSMLLPFLRTTRDHPSTKTMALDDVERRAI ILNKWWTLLLDMLQGPLQQPIPGMDRPMLLEAATMLMMRPEWRRSASFLQPLAERLPS ERVRPRSGTSASGSTTDSFLLAESAEHNVKTMFVANLVKQMAYVVEKMSLRHAPLSLV NFSGKTCAYAFFFAPGVCDVLVRLWGLTPDLIRRSGCELGLPRKDKGESDDMVALFPP KLGGFGWSSPRAVWDSLKPVPKMPLTVARIPWTGPWVTRWKGRDTDLFFIFCKYFHIL ADQFMPPGLPLSEKARSPAFALVHAQLLSIFDATIHRQSSAVDHSLAAPFIDSVNGPD APAALTLPLPANNNAMKGMSENRLIILLKDFLLDDAAELASARHTFAEAFAALTKAAT RKTSLYNSAACFALCDFLEEVLMTYHGFESGSISYVDWPFWIEVCKRMSSSMNTITEV RMLSFIFTIWDAIAKDSRRKANVCLEWLLSEETFNSFFNNWCPMVRAYYQRLICWRMC RYTGGSSEVDMSIYLAAAARLDTSWAHYLYLKQDADEKGRTPPSTAPMSPAMGKKFII IRQEASALQKGLFMGFDTFARSATRLTLAGSETAPDTRGPARPDARKRWSLLGKMFTT MGSSSSPESASGGLPRSLSDSAVHRRELGEPAPLVAGSRQQLSGASKNKTKTAPSEDG SLGSSPVYDEQRYIFKFILGWQQNPGLARERVLSRPRLPVPTQARVTAQSRPHGTWLV APCSPSSQSPAQSPPQTPRPLLLQNGDDVNLTASVEEWLRNTPTSSASFEGADDKHGK VGENRSDMVDGLHTSTQSLTAVNVEGTVDKVIKPTKPAGIFAKNLVYCGSALAEWSQV VSECNIFTERRQDDGVERLCDVEVPILGVEGFRKLGV UV8b_02383 MPNTGRPSRDCHLCRKRRVKCDLKRPGCQRCVKYGVECPGYREQ HELVFRNANPATLKRRKEKAAAKQSVQQRDAIIASSNRGSGSSSGSSSSHRRLGPDTP APPCRLGLAASGTTAAASSPTSKAIISSGRLVSLPRPLAEHWTNHSVPMLLNVYSTLD FLHNVYRLSFHNGPLIWAAHLFSRTYVTNLRHPGFVYNDSVGETRRELGTYLGKTLSA VNAALQKPEGAFRDDVLATIWVLTNYELLIGSLGGVQPLSPWHVHIQGIYSILKTRGC QTLNTLQGRIAFWPCYNMVQIRALINNSECPPESIEWLAAIRDGPYEWEEFPLVVSFF VTTCTRVQATVVDIFDRVDFAAAEKQFEWLVEQLSEAESKVNQHMARAAAVDAKPADV YMRNLHYTAVIKGHGHISLLCNFLAHRAGSRISLGRLRGQRAQALQAVQAAARSILDS LPESLYYSAVADRGDEAGPRVLFDALKMIWPLTCVLLNPTTSAENKAEAEAALKFIGR QFGVRQALRTYQTPAPLPAEAQVPLDL UV8b_02384 MPPLLGWLQATWALTHAVVALPALGDSQQKILQADARLRQEPQR RKLHGSFLHITDFHPDEFYKAHTSTKDGVACHRGKGTAGAYGAEKTDCDSPYSLVDAT LKWVEENLKDEIDFVVWTGDSARHDSDEDHPRSEKTVLQANRAVSRKMADAFSTPAGK LAVPIVPNFGNNDFLPHNIMYPGPNRWLAEYADIWSRFIPEEQRHSFEFGGWFRVDVI PGKLSVFSLNTMYFFDRNAAVDGCAQPLEPGFKHMEWLRVQLDAMRHAGAKVILIGHV PPARTESKQNWDETCWQRYTLWLQRYRDVVVASLFGHMNIDHFLLADTKEIDLTGATA AQPRPGRASLYQETVSASGKNDYLRELREAWGDLPNSAIEALGEEGEDGEDGEDGEDE PTGALKKGHKKKRDRFKKIGGKYAERYQLAFISPSVVPNYFPTLRVYKYNITGLENAS VWEDKSRTSWEDAAAALGVSADGHVSTEPRPTIAKNKKKKKKKKKKGKGKRPKDPNLV MPEEPPEASLPGPAYYPQPLSLTGYTQYFANLTHINNDQPERSPAQPRRFRYEVEYST FSDKRFKLKDLTVRSYLHLAYRMGQRDGAESSSSSSSSSSSSSSDTDGFEDDGSYDTA RRKHGKKKKHEGNQTWLQWLGFAFVKTIPKHQLAKL UV8b_02385 MKGFGNALRAAPRVRASLAISRSGAAARAMSAPRPGIERRRGDV RYASDAAGSDLKKTPLYDLHVAKGGKMVAFAGHSMPVQYSDASLAESHHFTRSHASLF DVSHMVQHIFKGPNAAAFLEKLTPSGWRNQGIMQSKLTTFLWPVTGGIVDDSVITRIG EDEYYVVTNGACFEKDCKYFDDQLGQFGGGVEWTRLDRSGLVALQGPQAAEILKEALA SEVDLDKLYFGNAVYANLRLADGSKTHPVLISRGGYTGEDGFEISFNGKLYAALETTA PAVESLLEVAGPERLRLAGLGARDSLRLEAGMCLYGNDLDDATTPVEAGLAWVIPKDR REAGGFHGAERIIRQLTPKSKGGAGVDVRRVGFVVEGAPAREGAKVEKDGKPVGKITS GIPSPTLGKNIAMGYVQDGWHKAGTELDVVVRGRKRRGVVSKMPFVATRYHKAD UV8b_02386 MSLDPPTYLASLLSNIRQRPIPWDGAVRAGTLTEDQHAKVRAVD KAKKPEQQRELVQRDLDGYRLLFVGGPGQPSVLESAAKHANVVQYLLVLLADLLQAVP SLSKALFENTDDPYKHILPLLRSDAAEDPIPLLTSNALTSLISLARDESKATDQALPV LLTYLSGLAKSADACLQDIAVQQYSALLFGRASRGKFWNQRSETVAPLVGILRAAAGI GSGANTAASLWSANVNVRNVGFEASLGGGVGLQLLYHVLLLMWQLSFEAEDIGDDLND EYDIILLYTHLLRLSQKEKTTRLILSTLYNLLEKNQTSLLPTAVLARLPALLENLGSR HMTDPDLLEDMQSLKDLLDEYTKTKTTFDEYVAELNSGHLRWSPPHRNQVFWAENARK ILEYENGELPRKLAEIMQKPWDSDKQVLAIACNDIGCLVKEVPEKRYQLEKVGLKTRV MELMQSDDENVRWESLRALGGWLKYSFEQM UV8b_02387 MRARIPFSPSQPRAARCLPLWLLLVGARVALLVAASPYPRDALH DAGYSFVMPRNCASYCGADNQLCCGSDEACTTLAGNIATCLRGSYAGGYVVTTWTETR TYTSTIMTHWIPAPSPTPGVDCKPQSPEQQPCGPICCAGWQTCAYSGQCAARPGYVEP SAVVITSNGVVTTRYSAPYRVTGVVTVVNSGVPSTPPANTAAPAATTTTSASPTSTSD GDTIGADGSNRGGTGGGLSPGAIAGIVIGTLAGVALLMLLCFCCIARGLWGLIFGGNG TKKRETEKIIVEEESYSRHGSRAPSAYSRRDRHSGWFGGRRPAPAGARRDKKSDGKWW LALTGGATALLALLNFKKDKKPVRKAAPSSRYSDSYYTYSDATDYTISASSSGGRTHR TGRTARSYRSGRSRDSRGGASYYSRAASRRP UV8b_02388 MKYIHSQELLEIPEGVKVSIKTRIVTVEGPRGKLVKDLGHLAVN FAHPKKNTVSIEIHHGNRKNVATLRTVRSIIHNMVVGVTKGFKYKMRYVYAHFPINVN LDKSKETGLWEVEIRNFIGEKIVRRVVMQEGVDVELSKNQKDELVLSGNSLERVSQSA ADIQQICKVRNKDIRKFLDGLYVSEKGNIVEE UV8b_02389 MSYFFSTPVDIDVVLDDADDRSMVDVKLDKNRREKAPLYMDGES VKGAVTVRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHYEFLSLNQELAAPGELQHPQT FDFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDIWVYSYRIPPEMNSSI KMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAPN QYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKFSTRYYLSLVLID EDARRYFKQSEIILYRQAPDGLPETSGGGLQPLPAPNEAKIASAHA UV8b_02390 MDSGEIRYLFKETRLNLEPPAPSSVVNIRVSSKAATSRNQRRIT DDTPIDDETTFRSKNLAVTASIYHRKWHHTPRSLLWRVLEDDTVLSIRAVDVCMEEKS PDSPLILNFNFDVPLMTGCVAFSDPEEHDALCVFVLDQTSQLYTFTLRPEFFRKRAAI DAVLPELCKVHSPAGLSFKSPHRLVAVTADILLITASDGGMIRLDKAKNDDSSPGGWK ESFFNVQGWAQNLRSLLPFQGNHTIKYGKVNMEYSAAASVEVTDFGLEGCLFAVTVCL DHRIRIWNVDNGQILYTGDLLKVERAAQDTGKWLIDPSQSRLVQIVGRSRGQRICATY SPIGPGEFKFWQVIAKDAHTVLVDDLFPKTALIPLPPSLSDIWTLADFIVTSPTEGPI NLWTLWKNNLTYRVQRLELDRKNMTESWEKNWDGVCPSSGVSPVQSSGPCDFTDVTEK WSSYILQPGRFTKATLETALSIYERGLGAPKEGAKGRGLAESICSVLGATASLDRGSS GAMDYEQFRGSNETQWRRFFRLLTELDRQREEAIGLAFDSETDMAWVVCTDLVSAVRR CSDLEQLYHNQSLADDEDAQQAALVSSALSFVEGLSDNYIQLAQAALRHEMFEESTKT DLERIQYFSDKAGFWRGITDDDCTQVVEVLGTNFALVTDTLYSHLLDLIAAPAGATRR HLRYPLTEFGQKLIIKGVQDDIELQWNICFSQLILLVHMEFEFDNEEDALHNRLDVGS VFRKLLGALKRLELLKWLARTELSVPVSQTDRTLPLTKKGSVDSQTVTALTTNLGHLL GFSDKNEPLSSGITDLISNLCAPDSDVEVSPALIQCALIKQGRADLALEVIPFGDQNP FSVYVLGRVHLALNDLESAAMSFRKAAIGMGTENVPSDRHSCGLLGEAEWNLLNRGQA MYYSHVVALYESHKAYSYVVDFARLATQFLGTSKETSATKVEMLSRLFNAALATCQFE LAHTSLLLIQDDALRRSGLRKLVDKMCETRHNIELVSLPFPGMQQDVDDILASHCRNA TDVTAGFPYHQVLYSWRIKRNNYRGAASVLLDRIQKLQLTGEGDRPVGDDILDTSITQ QYLVLINALSCVDPKQAWIFDEGSPALDRNGLGGSKRKVVSLGDIRKQYQDELDRIAA IHNNQFGFEASDVMEL UV8b_02391 MAEEYEEAQTAEEGGMTGPGAPTPLTALEGIAGLTKRDIQLVMD GGFNTVESVAYTPRRMLEQIKGISEQKAIKILGEASKLVPMGFTTATEMHQRRSELIS ITTGSKNLDTLLAGGIETGSVTELFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCLY IDTEGTFRPVRLLAVANRFGLSGEEVLDNVAYARAYNSDHQLQLLNQAAAMMCETRFS LLVVDSATSLYRTDFCGRGELSNRQTHLAKFMRMLQRLADEFGIAVVITNQVVAQVDG GPSAMFNPDPKKPIGGNIIAHASTTRISLKKGRGETRIAKIYDSPCLPESDTLFAINE DGIGDPAPKDMEKD UV8b_02392 MTSKLSEQGQLEAFDMASINNALPNFHYRPQQANQYFGGHPQFY HSIAAPTGHEMLSMAQYAGPSLHMSNHPYYVHPAHAPHYYPPIHLPQMHNRQSMLYYP NQLMNSPSHTGYYYLASAQLAAYPPAIHTNVTTGRYVPTGKPSKAQSSRGSSSTKQKT VRGPPRKPRQSGHALWVGNLPPQTDLMALVQHIFKGTSGLESLFLISKSNCAFANFRD GPTCSAAQLRLQDSKFQSVRLVCRLRKNSVEGSTGTAAPTGPAAATATATATATATAT ATATAFSEVGVEQQVAKAVTESDTAHSGSLIPDSPEPQLDSRRQQRTDRFFILKSLTT EDLDLSVQTGVWATQSHNEDNLNNAFRTADSVYLIFSANKSGEYFGYARMRSETNQDP AAAIEFAPKAPLAADEVDVTNATRTDATDFTPRGKIVDDSARGTIFWEAERDDSEAIP ASENEVESTCSLAVDEDAKVWGKPFKLEWLSTIRLPFFRARGLRNPWNSNREVKIARD GTELEPSQQQQQQQQQQQQQQQQQQQQQQLPLVFKHYPSLHSSNSPPQHTFTMAFFPR AYYNQDKSFTPLFRLLDDYDSYTRHANSKSSHHQSALPQWQPKFDVLETADSYELYGE LPGLNKGDVSIEFTEPQTIQIRGKAERRYDAGTAPKSQAEGLLSEAESRRNRRQATVE DVEDEEWSDADHSPATPTSITPEIAGPDATKTVDNAKYWLAERSTGEFSRSFNFPNRV DHDSVSARFQDGLLRVNVPKAKKPELRRVAIE UV8b_02393 MAAMFSQNPVMNGPNYSFSDTPKLNGEPREHRFNPYTDNGGSTL GIAGADFTIMAGDTRHTSGYSINSRMAPKVFKIGGSNSSQDDANIVLSVCGFAADGAA LRDQLDTICKIYRYRHGKPMTLNACAKRLSTILYGKRFFPYYVTAMLGGLDEDGKGAV YSYDPVGSYEREQCRAGGAAGSLIMPFLDNQVNFKNQYIPGSGEGHDLKERERYPLSR TQVETIIKDAFDGAVERHIEVGDALQMLIITKDGIEEIMLPMKKD UV8b_02394 MTRDIRARALQRIAALSATSSTAPFDKSDLDRLCRACHSTRGQE HIHGAHITKQAGALGRIPMSIREFEVLLTLCRTAPGIQSDTSAQKLLHRLIPYIIEAH TQVFAPSSFFRRVEPSPTESLAFHLTAALLSLGTNYLDLKETVTDGIWAFINACGQAI ESIISPQSGDIDDVPVQDALRAAKIVLAMLGFLDALSAQVYFWKAGGRLGLIQKIRQL LSEQFLVAVETSLSTIRNTLTQTNEVREWKRLLRHYSAHGRPLGAMLLQRSFMWLALS STSLMVADRLALRNNHVLDLLMSGEDTILNGQRQLLDGDLRSIDVYVGIATEQMDYLE AGADFVRLGSPDLQRLAYDVRSVAMIAHLNCSLLNDDTADSDVLMGWLQESLENPLQM SDPNLAAVVLRCMALICRVSPSYSSTVSRALSRFLVKTVPCEDTAKVAAKSLAFVLKT LSKDAVISTLYSLGNVLSPDSEAEMANEALNGTLGRGDALTTEYDRRHSTASSISFLV NGEHGTALVSGNVVEAICTIAIECQDEKITALAQSMLLQKLDKVNASVDAEVIAGAAK LSLHGEQLEFRSLLRMYSRLCHIGVVDHKEFLLAAVMKARTLISANLKRDSPLFNVYW EHLLDAIISLGDAESPHQTKESDVRLAALEIAELLHPLAVFMSSNDLASEPIEDDEAY SLLKDAWFNIVVHGFTTSTDRGKKYLNELRTIAVHSPPLVAGERTEVVESDIELNTVL RRANSAEREATQKKLLIELIPSKAADIKSLSYRKVIFLQAAYLVESLRADAGDCTKVL SYFLEPSMRRGEVSSTMEGIASAVLDKYLKKTLSGSAPTFSAQYASDQLAIIFCVCCH RIERVQQAAFTCADRLIRDVPSALCQRKSLFALLELLSLMWSSCLEAETDLYAPRSVF RSEIGKVTLELSDDYEFRRRTLDTLNRKARSWVSAAVGLAPMDIKGLLQTYLSDFHDE GAYGRISLGRSFALELGSIIPSTDNRLQSLERVGDCNINTASDFIAQYTTRQEYRYGE TLPDRGTELISFMMEIRRQSFPQSTVTDSANAATALAHVEARILSNKATSLPEVREIL RRAAALLCRSPRDESAVARYLVSIPFALFTKQSIKLGASLWLGVMNENPRLEPKLVNS IAQQWELTITRKVGLFSPLLTHPDPFFLRMDFSPSDLELLAKKRQAIHDLLSPHTQLV HFFMSHFNATRLGSTDTQRVFCRMLDLTLTALREAHSHPMSRELRFQIVLLGLKFLRS STLMGATTQRRFKEKILSAGLGWFRNAPKWSFGSNILQLKTELRLIADVLTAMKVVSY VGAQTGPNVDSLQAKEQLLQMLLENEQSRLAVWVSPLSQHGLLHLSAPSVSKSLAESA LLPLVKTAWQQDPSIAIELATRFQFPRLHREVRQLLLSFPEQAVSDPEALPLLFGGHL PDDVNVQLKFLLMWSPVNPLTAVTLFLPAYKEHPFIIQYAMRALESHSVDVTFFYVPQ IVQTLRYDNLGYVERYILETAQFSQLFAHQIIWNMKANSYKDDDAQIPDAIKPTLDSV MEKMVDSFSPEDKDFFEREFAFFDEVTGISGKLKPLIKRPKPEKKQKIEEELRKIKVE VGVYLPSNPDGVVIGIDRKSGKPLQSHAKAPYMATFRIRKNKSGADELIDGAAVEETE KRVQDACQDSAIEVWQSAIFKVGDDCRQDVLALQMISAFRGIFHSVGLDVYVFPYRVT ATAPGCGVIDVLPNSVSRDMLGREAVNGLYDYFVSKYGNEDSLRFQRARNNFVKSMAA YSVISFLLQFKDRHNGNIMIDDAGHILHIDFGFCFDIAPGGIKFERAPFKLTSEMVAV MGGSTEHQSFKWFEELCVKSFLASRQYCEKLSQIALLMMDSGLPCFKPESVKHFKERF VLGKTEREAADFMKGLIKTSYSSYSTGVYDQFQLLTNGIPY UV8b_02395 MATPSRRPSPARRPSILSVEDSHHRPLATRTGTLASMMRSRSQQ SLTGSWPPHPHRGASDDDEAGLWMRHDEDEAIERLLKDGSRLSQILQGPLARSMNLIG KSNPRYRWERYWKSEDELKSMKRTIREYYERTNELIEQYMYIDCLLDSSIPHDLLNEY NAELEASAFKPVNVPATIAEEPSPSTSFSASSFPATPPATAHQQQPPGRPYGTITVNG VPNGMASGSPDHHPGKQVVALPPIRTPRDIFRSSENLPLLQHRHDGGQDEDRPQLSPP VAPSPSGSGPRPSLPWLEDADVDSDDPVVTLAIWVNMVANVILLVGKLAVIVSVPSMS VLAGLVDAVLDFLSTAIVWTTTRLISRGQQDQHHYPVGRRRLEPVGVLVFSIIMITSF VQVGLESIQRLASPQHDILQLSLPAIVIMLSTIVTKGGCWVWCRMVKNSSVRALAEDA KTDVIFNIGTILFPIVGFYGRIWWLDAAGGLVLSLVVILTWSKTSAHHVRNLTGFSAQ PDERNLLLYLTMRFATAIRQIQNLRAYHAGDKLFVEVDIVLSAVTPLKDSHDLSEVLT YFLESVPIVDRAFVHVDYSSYNAPTHMLKQSSS UV8b_02396 MPRFSVAFTRRKSADVLANAQTTSPETPSFRVIERSEIENARSF DGGNRQARNSKSFPPRANLLELVAEDNMFADLKTNRGSNISNTTKGTSTDNSSRHSNA STAPSSADMSGPYGHEDGRPPLKSAHQELPSRGSVKSMGSGFLDRATRTFSFGGQKKH TIPPPKEDDMPEVPPLNVSRGENLGVGTSRGMAASAASPAAPASPDDGALDLGGDFGS MFKTFDKRASTATLTMTTNQDSTAPRSLTGHRHGPPPSASPDIVTPTEPLLSQSQSPI CHGDQTSMSPKSLPKQAPPPVPRHEHLNSFKYSSRPNDIVEDEDAKFLQESFTAMNFL SEASDSSQAPRHRRVDDHPATSRTVASGFQKDENLFEGNPATINRGSNRHNPRQPYPP QNKVMTPAQFEKYRQDKENVGFSQQVDDNSKPAVELEDDDDINYDDDDDDQEKSKQQA RQRRKQEAHMAVYRQQMMKVTGETNTSPIPLRQPGRPSLVSSSTVPVLGHLKTPSPDP ANVTAASSEEDDEDVPLAILQAHGFPRKNRPPTRLAISGSNPNLRVSITGPSSPGKPS SVMGDPAPTSGPRQSSLPAFARHLPQDPFVGASIVRPSIRESLTFGDARQPSPQPSPQ PPQGPLPPGGLVGVIASEERSRAMRRGSPSTDPTKLIAAAGSANGPFGHDPFAAAPPN MMYSGGGLHLTNQAHHMNPTPPQMSPSLTPGHQAQLQMSQQMNQFMQMQMQFMQMMAT NQTGGGPQMQHQSPVQPHYRGSMVGTHSMGDLSRHSMVLDNMLEARPTDTHTRTMSMV QPSSASFMAPFRQGPPSIRGPGNLQAPSIAPSERSNVGLPGRYRPVSQAPVLSSPLLG QDTRSNTISGGLSTWSDDRSKSTIKLMSKPGDGSDDDEEKGWETMKTKREKKQSLWRS KKTLADEVNIAF UV8b_02397 MPMSIMCDATRNRHQVMGPIETYCITAICPLTQRKRARSHPNKN WAINLDSRGKNSANSWIICLADRKCQSEMLLF UV8b_02398 MSLKGPTPQQHDGSGLRIAIVHARWNDSIIAPLVSGAKAKLLAC GVKEKNIVVQSCPGSWELPFAVQRLFSASQIQSSSAGGPSATDLLASSTTDLTSLPSG AASGAFDAIIAIGVLIKGETMHFEYISDAVSHGLMRVQLDMGVPVIFGVLTVLNDGQA KARAGIDGKGHNHGEDWGLAAVEMGVKRKEWISGEIAG UV8b_02399 MTSPCALVKELIDNAIDAKATAIEVIVSSNTIDRISVKDNGTGI DMDDFSCLGRRAHTSKLRDLSELATIVSKTLGFRGEALASINSMADVVIITKKAGDPI AWRVELTQGTGGVKDKRPVSATVGTTVAATKLFENIPPRKQHCLSEKNKSMLGIQDIL KATILSRPHIRMSLKIIGDSKPLWSYSPKPPLSNREAVLQLFGATVLENSIEINEKAR SGPVQGNAFTSDEWSFSGRISIPSCGPDGRRRPGVYLAIDQRPMCSEWHVSKKMVGIL RSKITTISGVRADAAQGDLFMHLNIQCPSASYDANIAAQKDEVAFSDEKVLLSMFERV CEKSLEKHRHALLLTDSTTSSKNQTWILTNGTEDNENGERNSAPGDKSSGTQSRQLTS NKMASSLPHHPCSSSDKIRTVLKTTFKVNMSIRDEEELSDDENHPGLTEVEIPPRGPF NRLGDCRRKQNILQYFRPAAKDEFQIACDSTATLADSLEVNCDSESTKSGPASRRPLQ PLSASALNRIRDEADSGPEAPEEDLTVVSQTTSGLRARVQGLATRGLVTPSRELLPHG RGRDLDSLPTNSQSPEGHEERSPLMTASPRIPTPPRSNSRFRGSPSSFWPASHFSLNS VSPTRVDKRRCANSGQNSHIGKFRNASLQQSRGQSGPNNASYKRSFQGIGVSRESEPA HQRCLFTRGSNMLSQAIKRMESEDIDEHHAEADQMFRSVPEWPLGTGVIASQETQPWR LNSPREPSRDVQNPLGCRPSAPLTKNSSNPGDGCRRSADDADDAYDAYDADIRVIQTE AVSANTCFRPALPVARESCSGENETSRFDASAGLYHWTTTLACGQDDIRQRTNEHAKL EAYISHGKLSFARDSRTVLLAHGQLERCVNSWLERNDMSGQIYYYHHGDHGLKWRD UV8b_02400 MLVFALLTPNQVAAVRIQPTNCLPESFQAQKPPFIQWVPIAADA RFDTANDKHNFRFIVWGNVTGSLNQQPLPGPGSRDWTNPNKTDGKIINSENSANGTTV KSSIGTLTYVPWSHRNFFCGEALVNGTCPLAPVFNTTGNVSLYNLPSMNITNDMLSSY SFASFAATMLIIFGNSAGTNIGCISAVITPDLGKLSWVLKTLPLVVLLFSGFAVVFAS IFSPWGSSNVFHWTSNYGRDADLLRLVTPGFGDCLQYLQFAVLSGALSLSYPGFYQPV VSQAGWSALMFNESFVTHSPGWQSVRDGIYVTDTSDGYGLHSLGQLVGMRQSADIWAG MMVWLCVIILAVFALTQAGFLAQWLYRKIQRIPEEDLRAKNIPFSVGNVIRIVFNFLL IPVVALSCFQLVAAGESPAFTIALAAATLAVLFGFASYVLLLVIRTRPKSVLFDDLPT VLRYGPLYNTYCDEAAAYALIPVLLNIVRGVAIGAVQPSGISQVVLLAICEVIQVFTL HAFKPFPSPTSMNAYHTLFSVLRLACVLLMVAFVPSLGVTEGPKGWIGYAILLIHGGV LALGFFLGALQTVVEVVARLLGAGGDDVTGLTRGGLSKIFGMRQLSRRETHRDAASRA SQLSSMAMLHAEEGSKTVYSMSPGRVRSASGASYGAIMAHHRHRSSSVLDSVDVYSAG HRHADTNSSYIPGTPGEASTFSFLASPTIGRPMMPARMEPADPYYRPPRRSNNPNLRE SMYSDSPQNTLGVEAKPANPNPGAAAEAADAAADEIPRGMTPAPPGGAMNLPANQPDY ATREVDFYYGVRGPALNSDNPGRRLGTGPADPTGPVATATGWFRNWFGGKSKEKGKGF EVVRSSRMPPHMVRNGGFGDETPPEGIPVAMGVLRNGPIDSDDDSNDDGPDAKRQAKA PERRPDDLLTDDGDPRESDVDEPARPISPVISPISPGISPVISPVKRERSTQSRGRRS SSGSGELVDLALPDIPRKSSKRNSGSVDPRRLSALSMGSSRLSAAADHGSPAQATTGS HGPYLSNTPAPPSEQTEAAPRRTSSKSSRKFPVDSSQDKDALDQGPGSERPASSGTVA QINRANPPQLPPLNVFGDSADLLDDFSDLKHV UV8b_02401 MLAGIKDKLRRAKKFARSLISGRPSLQQPAESSDDRMPVPAHKS AANDQHPDTLPGTIMTESAKDPVETASQNSLKRTSPHDEQAGSEWQVVTRPRKKPKKV PRPGKGGYPALTFSPNARLQSKLNLSNLRDLITYIFADGAGPQWISVSHRPQFRKIVA IMVPGLEEAMFEKSVDLSTYNDDDDDDDGYGHVGRIDRGGSRPVAVTSPDDYYPRSLQ KDKLPEALQPFADMFPLLWPVRTPGDDKNGKMHSPMTAFLTAPAPKERTSKPGGIKPA TEPQGWRNDRTPITHFLATADELAENGYLVHPALLAQGSQRDRFVVPDGWVVTKVGDL SEADVPDSQIEKGSVTAGREVLALDCEMCLTGENELSLTRVSIVNWDGEVVMDELVKP DKPIVDYVTRFSGITEEMLAPVTTTLGDIQARLVELLHPRAILVGHSLESDTKAMQLA HPFVVDTSMLFPHPRGPPLKSSLKYLAQKYLAREIQKGGADGHNSVEDARTCLDLVKQ KCEKGKLWGAGEHQGENLFRRLARAGTTYKAQGGDAAVGGVEAGKTSAAVDWGDPAKG LGGGATYQLGCRSDEDVAEGVVRAVNGDPDGSHIRGGGVDFVWARMRELEALQGWWNK GRVDRAGSDGGPPDDATAAPPSSSSSSSPSLEQALVRLTERLVRIHAALPPCTAFIVY SGSGDPRKMAQLQQMQAQWRKEYNAPGSKWDQLSVKWTDVEDQALRRAAQKARSGIAF VGVK UV8b_02402 MDRLPRELIDAILQQCIAKGPKNSLLGLRLVCRLFDRILKPSTC CTLGLDFTRMSRASHLRRPDVNALQTIGYHCKSLYIDLMVLRDEMEVEFLETVFARVP SMTEFCQTMHKKYCMNETSFTETEYYSMVEAILFNCRDVDSLRLNLPFQLVGRHCNAA TMILANTLKAFAARPKEDSANLKTLVLENLTDVAVCNLWMNPSDVMNIMAVVAVLEHL VLTLRRHEVEPHRAGLFGSCLWDLIEHAGYLKTLCIVGMDHDDRPPRGLKQTRFWQLP VADWRARSLPAPRVCFSSLACLELKRLEILPDSFLKAIQVFGETLEELYLNEVYLKTE QSRDWNQDSKQVLWVGIPNQRPLESCKWIAMALRASAPRLRVCRASFLAYDHYLGEDT SVQPEFDLIDPCGLGRSVSQRFVEVATGIHQPNMPSGEPVEFLPPDAKHDWLVGRLKP RTRALRVDEYDTNAHQTAVDNPTSEWQKSIDGIFHNCNSGTLDELHYIAETACQGMNE IHRRRSEWTTGNSMANEYADNLSNNVPRG UV8b_02403 MSPTVTSDPVSTPPRPPTPVNAFGTLAVHAGSPHDPHTGAVIES ISLSTTFAQTAVGKPVGEYEYSRSANPNRTNFEAAVAALEHARHALAFSSGSATTANI LQSLAAGSHVISVSDVYGGTHRYFTQVAKAHGVKVTFTPEIEVDISEHINEQTRLIWI ESPSNPTLRLVDIRAVVTEAHKHGILVVVDNTFLSPYVQNPLDHGADIVVHSVTKYIN GHSDVVMGVAAFNSDELKTRLSFLQNAIGAVPSAFDSWLAHRGLKTLHLRAREATRNA TAVGHALESSPHVISVNYPGLDSHPHRAIALKQHRDGMGGGMLSFRIKGGHAAAERFC QVTKIFTLAESLGGVESLVELPSSMTHAGIPRDQREAVGVFDDLVRLSCGIEDAQDLK NDVLQALERAVRDTAKNGLSNGVNGQ UV8b_02404 MACWRNSGGEGRRMGGQRAGLWGSGLSAEAAEAAEVRSSAPFDS GDRTRQVSSLTARLMQHARVNDPPLLIDAAVSVHTCRSSPKK UV8b_02405 MRVVLVSGGVISGVGKGIIASSAGLLLKTTGLRVTAIKIDPYLN IDAGTLGPLEHGECFVLADGGESDLDLGNYERYLGIQLTRDNNITTGKIYQEVISRER KGAYLGRTVQVVPHITDMIVEWITRVAKIPVDDSGEEPDVCIIELGGTIGDIESAPFV EALVQLRHKLSRDAASSFFNIQVSFVPLIHGEEKTKPTQHAIKQMRSAGLIPDLIACR CDTTLFDGTIRKIASSCQVDYEQVIGVHDMETVYQVPLLLHEQGLLQRLRTGLELDKL PMSPAVATKGEALWDLWKKTVIVPKDTATVQIALVGKYTSMLDSYLSVIKALEHAAMR CRRKLNLVPVDSEHLEAMTQKTDPAKYHKAWQTVCEANGVIVPGGFGSRGVEGMIACA KWARENKRNYLGICLGMQVATVEISRSLCGRPNATSEEWESDPNAKEAENHSVVFMPE SSREQLGGTMRLGTRPSLFQPGSEWSKLRALYRGASEIHERHRHRYEVNPERVDELEK AGLHFIAKDETGKRMEAFELKDHPFYVGLQAHPEFLSKVTKSSPPFLGLVAASAGVLD QIIGEIKMEGVMTNGEAAF UV8b_02406 MPPRRSHKKSRAGCRRCKNRKIKCDEVHPRCGNCSKHGVLCDFE SRRVFDELAVPSTPAVAMDSPPHTSATTPAAVSASVLRPSPSVASPVRLPATPVTPAQ PAVSPEPEPESSPSHVDRLLELRLLHQYTTSTCKTLLTNSPATDEIWQRAVPDMAFAG KSYLADAMLSLAALHLRSQKPSDQALVQASHAYSASTLAAYVTTLNSGITADNAEALF LTASLISFQATASRIFVKEDTDAGAANSSGRYTLPMAWFHAFQGVKTVVASSWQWIRT SNTVQAVIDSQPSFQLDLNPLNSTSFFGHLLDDLDDELSKEPRDKMTATHQGYFHAVS VLNWAHKNPYAPAALAFPASVSRRFVEVVEERRPRALAILACFFALLKRMENVWWLDD VSRREVMGLVGLFESGSPWWRHLEWPIRIALRDEGAIPPDVWGVDCKKEAEAKQGFSE SMVSHIELFSKFTSNRPTATTSASAQAELELVAAPPD UV8b_02407 MLRQVKPRNARSKRALEKREPKTIENSRTCLFLRGTSCSQTVQD ALNDLYSLRLPLAKKFTKKNPIHPFEDATSLEFFSEKNDASLLAFGSSQKKRPHTVTL IRTFGFKVLDMVELNLDPGTFRAMVQFKNKKFAIGLRPMLLFAGTAFESPVSNEFTLA KSMFTDFFKGEPSDKMDAEGLQYIISITAEDISGDGDVKPAIHLRTYMISTKRSGQKL PRVEVEEIGPRMDFRVGRVKEADESMLKEAMKKARGLEERSKKNITTDAMGDKVGRVH LGRQDLSQLQLRKMKGLKRSRRDEEDLVEDVVAEDAKRVKQ UV8b_02408 MSFMGGAECSTAGNPLSQFQKHLQDDKSSQRDRLVGRGPGGQMG GFRSPTSNTQQDEMMNGFLNGGPTLQQEFPMQAGPALSPAQHAPMRASSTSPSWAQDF NSQPGLESVFKPPPVSHFSADEFSRFRQMKDQASPATSSPMQTNNMSSQMHQRPMMGG GMMNMGMGYGQPMFHSMYQNQPHQQHQHQHQHQHQHQHQPEGKGKGKLVELDDSKWEE QFAQLELQDREAERLKEHQEANAAERELDEMDRAMQSETNEFGDFESLWKGIQAETAA ARSMVNDESLFDEFDKEWSSENIPADLSMADWGRFGDPAVESYLFEEENFFRDEKNAF DEGVRVMKEGGNLSLAALAFEAAVQQNPGHTAAWVYLGKAQAQNEKETAAIRAMEQAL KLDGDNLEALMGLAVSYTNEGYDSTAYRTLERWLSVKYPEVLNPKDLHPAAEMGFTDR QLLHEKVTTLFIRAAQLSPDGEHMDPDVQVGLGVLFYGAEEYDKAVDCFQSALHSSEL GTSNQREQVHLLWNRLGATLANSGRSEEAIAAYEQALSLSPNFVRARYNLGVSCININ CHQEAACHFLAALDMHKAIEKSGRSKAHEILGEGADGNVDEVIDRMSAQNRSSTLYDT LRRVFTQMGRRDLAEKTVAGVDPDVFRPEFDF UV8b_02409 MMLGEVVSSIYSKTLIPRQVCTRHANARGFAPTTRYIQADMFKS MASPVEGFDAPSKPSDRASVLYRMAMFIGSCDKA UV8b_02410 MSPEPELVGETKPQAPDVTTKQQQTWKQQQQQQQQQQLDPARQI PTSAQALICPGASSTDM UV8b_02411 MQRSVICGLQDRPQRKPANQSLEHEHEHEHHGAAWHSAAQQQHA AAALQAASALDPASHADADADADADADAISQAGVPV UV8b_02412 METQFQHQSVPPKPAGLNNILNSDDQPSTTGHHPPHLRDSGFYS TAEASSKHTSAASFNVNGLSPAGSGYQSSLADKTPSPVATHMVPQALISPSVSNMSVA SMVSPSSPMTERRFERPTSIESQGHNGASLGENLVVGGPRRESVDSRINQGISDMRLG SSPYASNNHSTASIHNTLHSQRNPRHGLESLSVHRISNGYQPSAERNPIEPKIVKTAP AITGPATSNIARAAEPTKGQAWAFPEEDIQRVGPPNRLDDSRRSSIAESIASSQFTTE SRLPPGQRRLEDGADYARMSSVSNEFPPVHHHTMQHKQLSDLQAEECTGPAGSQPYSR TPELRVSHKLAERKRRTEMKELFDQLRDLMPQERGSKASKWEILTKAIAEHQRQSDHI RALQAHYNTAAAENEMLRRELQSMRMEGGQFRGEVNSANHAQQNAAVPAPPAAPQNSQ PASYGGDPYANSSRTELPPLRSIHNGPESMTGVQYDAPRVNAYRQDRF UV8b_02413 MDGVKRSWDAMDAGGALLDTFATVAFGNALAPDTHAPSSRSSSS RNINVGSEPGSEPGGEPGSCAKLDDNASAASRQKSCNACVRGKRRCDKRTPQCSRCWA KSLDCVYQKLPPGAGAAASVSEPRSAVRGSSISMPSSAGPSSSVSAASARRMVSSLSG SFSLPPAASSLTSSAAASAANMATATIGGGGGGGGAHTATAACLSNTSTSPYVSDVPD VPDVPDVLDVPDFEMGFDLDSLGTGTDTSPESFQPDSGVPLASAHGSSGIDFSIADFI SQAAAANDDDFWHLNSFGADTTDKSNIPPLPTSLAAAMAPPSMNPQSPPPPHQLQPIR DYSLVKDCANLCISVDPLTVHDPNTRIGYIVSFFKRVHDVFARTRALPFMHSRLWIGQ LPKPILAAFSASAAYASCTSANKGWTVKLLVDAGREIHQEGERAVSNEDKLSRVQALL ILNTMRIFDGDLILGAAADRELGLLLTWLKELRSMLDHLEAEDGCRGGDGLLIKGKPP RSWQDWVFLESTRRTLLTSYALVSMSLMLKSETPDAEMWSQENNFTASRHLWDATSSV EFYRSWREKPHFLITNMGFKEFWSNAGPEDCDDFTNLMLISQVGVDTVAHFMNGDTDI PVNAGRAP UV8b_02414 MPSPRRMRLVLLAAIVTLFLILFYSSRPSQGSQPAQDFSRKTLS RIKKDSPPGQAVIDTETGRKAGLIPADKDGDGDVDEDDKKAAAAMQVRLKEAEQKAKS NANVKGGLKPDSPRNVVGKGSSAGGQPRKDTAEAAAEAKDAEEQPDADADAELSTMLK KAPVVIFSKTYCPYSKRAKGVLLDKYTITPEPFIVELDQHPLGASLQAALAHMTGRKT VPNVLVNGVSIGGGDDIVDLDNQNKLARKIQMLGNKRVQVSERFTSAGKDV UV8b_02415 MQATLSTAFSILVCLVAAPSSSASAPQPAKPDWICHTKNPKDCY PRIFRPTNQFQVVHNDQELPNGLHVRLNIWTGQKEAKINVPGETDASLEGLPVDRAVV MVDPAEPEGGLPSIPSIPRGAPEYEPVGKVRQPQQESGTFGEGLKMLKKGAGRSSHAF DDALEGLEELSHDLYYGLKIAEDPKAVKALLCLMSSHQAGASPAAVPRDQQAAAILAG ALQNNPTALSEVAKAWPRMAGSKCPATGESLRRGLYLSVMPSRNGGGGPDAERAVARV KSKVAVMNGLVKDSAIRAEFLKHGGMASLLRVLMEQDRSWAPAQRKVGQLVQDSFLDE DMGAILGQWPKGAQLSDKQCKTEDAQAAEGCWDYHVDRIVKLTKAKRGDWSWDLRDRL AGARKQQREADEPKEL UV8b_02416 MPTPPGTASSVDVRPHPSKRRALHATRPFQPGQVIHVFQQPLIL HPTADHLDSVCTYCLRPGSPRACSRCHAAFYCNAACQRAGWAAIHRNECKALQRRTGS KTGADLPTPVRILLQALLEQGVERGLADLEGHAERRSNAKAWADLEMMATAACAFAGR GGDTARAIELLCKIQTNAFHRLDEDLAGQVGIFLEPTLAMANHSCIPNATVLFMGRKA VLRAETAIQAGQEIEISYTDYTSPRRVRQEALGAYGFECRCPRCEHDLNVYQVCSQSP DIPLNTYSVMGSKASSALRDHPGAANHALVGIAARHCGELDASSTSASASAPGARPGS KHALGAQLKACKALTDHELWAISPLPQILCEVSICLAREHNYAYAVAVSALAAVACDP YRHVAPFHVVRLKNLLAVVKLLVLTAEESAALRTSPRATASSPTTGGGGLDDEARQAL ARIDQVSLSQMLLVMIARMEPAGCRGEWSPCVAAREMLEDIGRLDGREKELSLIDAWR HDGGSDASTRFFEYAVVEQMAALAELGRSVLREEFGV UV8b_02417 MRVAVAFVALAVASAQAQASYNYTSELDMTIDPNTVSQTQRATW CQGQTNTCNLLCNANSESNSCSETDLKWKCTCASNSSTPGIQYYKQTMPFYICQTLFS QCIQKNAGDQRGQDTCTKNIQALCATYDPPKAPVQDSGSNSPSPTNGGSSTAAPTASS TGDSKVTSTSSHGLAGPTLAPVGNGALVAAVGLVAYLL UV8b_02418 MAGTVNKPKKPKSKRGTTRLRHKIEKASAAKQRKDRKLAKKNPE WRSKLKKDPGIPNLFPYKEKLLQEIEEKRMRKAAEAEKRRELAKAAKTGALEEKDEQE MDEAYADADADADGDVAEQTGDDDLMDEDVDESNPMAALLASARAAAAQYDKELADGD SMDDDDESHDSDNGNGGGNEISVGQASSRKTYDKVFKQVVEQADVVLYVLEARDPEGT RSREVERSIMAAASGGKRLILVLNKVDLIPPKVLRGWLAHLRRYFPTLPLRASGAAPN AHVFNHRDLTTQSTSAALFRALKSYAASRQLKRAVSVGVIGYPNVGKSSVINALLSRM SGRGGGSSKACPAGAEAGVTTSIRSVKIDSKLTLLDSPGVVFPSSSSSTQSAGGLVNL KNATEAHAHLILLNAVPPKQIDDPVPAVGLLIRRLSSSPELMQKLTAVYDVPALLPNR LDGDVTTDFLVQVARKRGRLGRGGVPNINAAAMTVVTDWRDGRIQGWVEPPALVVTDA TDGPAGSKAKDAGEHDVAPDHKEIVSEWAAEFKLEGLWGDADAAGSGGGEAMEE UV8b_02419 MTSLKRYHEEDPLASNISSKVYVRSTRSGKVQKIVREVYLRTDI PCSSNLCRVCLNGAPRNAAQQAQPFVLSERPAGTKAFPQGHYLVPDTNALLNAMDLFE QSSSFYDVVILQTVLEELRNRSLPLYNRLIGLTKSEDKRFYVFFNDFRLETHVAREPH ESVNDRNDRAVRQAVKWYGEHLSRTKSANIPAIVMLSDDQDNLRKAREQGLNAVSLRG YVESLEGGDKLLDMVAESQSKGGFSKTSPILYPEYYSLSKMMTGVKAGLMHQGIFNVS PYNYLEGSIKVPAFPKPLLILGRESINRAVDGDVVVVQLLPQDKWKEPSTKIIEEDNI TKNENADAEHRDDAVSDKERRALQEQAKRSHKASSESQPQPTATVVGVIKRNWRQYVG HIDPSSASKSASSQGRKQESVFVIPMDKKIPKIRLRTRQVADLLGKRLLVTIDAWDRD SRHPVGHFVRSLGELETKAAETEALLLEWDVQYRPFPKTVLDCLPKEGHGWKVPESTD DAGWRDREDLRGLLICSIDPPGCQDIDDALHARKLANGNFQVGVHIADVSHFVKPANA MDAEASIRGTTVYLVDKRIDMLPMLLGTDLCSLKPYVERFAFSVLWELDSNADIVNVR FTKSVIKSREAFSYEQAQLRIDDASQQDELTDGMRMLLMLSKRLKKKRMDAGALSLSS PEVKVQMESETSDPIDVKTKQLLDTNSLVEEFMLLANISVAAKIYEAFPQTAILRRHG APPKTNFDELADQLRVKRGLELRTDSSKALADSLDACVDEREPFFNTLVRIMATRCMM SAEYFCSGTQAYPEFRHYGLASEIYTHFTSPIRRYADLLAHRQLAAAIGYEAVHPSVR SRGRLGAVCKNINVRHRNAQMAGRASIAYYVGQALRGKVAEEDAFVMKIFSNGFVVLV PRFGIEGLIRLRDLAEPEPQGEFDAETYTLVTKGSRNLTVELFQKVRVRVTDDKDERT GKRGVKMELVSA UV8b_02420 MYSGQLNSGADSATINPAALSSPALSNLPLGSVKRTRPSDSQTI LHSGDDAASPSHTKRIKAMKPSEASGQPSGAGASQAGPPPQTPQTQNSTLPSQTTPAY NTNPTGAPPKTTPTRSTVKALPTVRDHTTDQLNQAGDEYLPREIDEFGEKKVMANGQL LGNRTYRCRTFLVPNRGDKLFMLATECARVLGYRDSYLLFNKNRSLFKIIASQAEKDD LVQQEILPFSYRSRQIAIVTARSMFRQFGSRVIENGRRVRDDYWETKARKQGFTEADP AGEKRPGAAKIREAAAEAQNSLIMGGPHTEIVYNNTPGPYPGAAPSHLVPGIMAAPSG NVGRMPGLGVGSDLSDARPRDFSGIIKGGPRQEMTGPAYQDQTRPSPLAEIHSQAQHA ADFNRSVTQQRDIRGDYLQGIWRRPHEQPSPSNLNPTAAGSADTAAATTRPSSSPHSA PAGVSQQPVVSSQSPHMMMTAAPFSQSIHAQNTIASTGSGGVNQASPGGYNYQPNQAM WSQTAQTPHHNYGSYTTQSQAPHASHSPAPHVRQPSASQIQPNMPFPGMGSMPYGASQ GMYSADQTPRQYLPQSSPGGPQASQPWSGQQHSPPPQWWAQPQHPQ UV8b_02421 MPSRKSDPAQQPRRSDAGAPEDASTATAGELSAADRKEQQQHKD ATTIEDLALPKSIVTRLAKGVLPPNTQIQANAVLALSKSATVFINYLASHANEHTISA GKKTIAPADVFKALDDTDFSFLRDPLEAEFARFTQIQAEKRTNYRQKARAKTDDADMA DASLTDRTGSAEAAAPRAKKQRVDGAASDSAGDDDAETEDEADEADDQDDQDDQDEDE DEAEAGAHQGHDEEDEDGQQGSQDEAQDEDALEERHAGDDGDEALDDDDSD UV8b_02422 MEGRFSKQNRTIILATATSSIIIIIIIIIIAIGATLCCRDRRRK ARLFRRGITPIDDEEIESWKVGRGAGEKLSEDKAGQESRPNPVGCKVHRPSGSIVSVQ KPPAVITYPESSQPSPCSSRNRSMALLASRKDGTDVPSIPIPAVLARAPNSRPGLSDE SVQGADAFVAQAKRHPTRLAKNRPLTTRHERSKSTRATTSPRYTWYDDALDHPRSPRR SADAVIPTCYTMGQQDIEGMPLFRSSPPREFVHGHVDQEPFLGGLSPRPRHKGQKLDH PWAPGHKPREGTDLG UV8b_02423 MDYQNRAGSKFGGGGVASYSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGKKHQTNLARRAAREHREGKHGVDPATG LPSSVAASFVGAGAGGPRRNAVKIGRPGYKITKVRDPVTRQQGLLFQLQYPEAAPDMT PKWQVMNAFTQRVEEPDRTFQYLLVAAEPYETVGFKVPARELDKRDGRQFCFWDPDAK EFWIQVMFMTEREERFNAAPGLAARR UV8b_02424 MDPNGNRLHLNFGSNERVPVPDRTYPTTPSTFPQPVFSPTSAQQ AQAGLQQQPQQQQQQQQQQQQQQHQQQQHQQQQQQAYGAGYAPQGYFAQSAAAYPAGG YPAQTVSNDYAHAQNGYYHPRSNTPGTNDPNTGLAHQFSHQNLGGAARAAPRGPSPSQ RPRTAGSQPPGYTNYSNPPPLPTQGAPAAGEFQVAPERNPDRYGPNANSNQKKCSQLA ADFFKDSVKRARERNQRQSELEQKLADPSQSAARKEQLWSTAGRKEGQYLRFLRTKDK PENYNTVKIIGKGAFGEVKLVQKKGDGKVYAMKSLIKTEMFKKDQLAHVRSERDILAE SDSPWVVKLYTTFQDAYFLYMLMEFLPGGDLMTMLIKYEIFSEDITRFYIAEIVLAIE AVHKLGFIHRDIKPDNILLDRGGHVKLTDFGLSTGFHRLHDNNYYQQLLQGRSNRPRD RNSVAIDQINLTVSNRSQINDWRRSRRLMAYSTVGTPDYIAPEIFTGHGYSFDCDWWS LGTIMFECLVGWPPFCAEDSHDTYRKIVNWRQTLYFPDDITLGVEAENLIRSMVCNTE NRLGRGGAHELKNHPFFRGVEFDSLRRIRAPFEPRLTSNIDTTYFPTDEIDQTDNATV LKAQALQQGRQMEESPEMSLPFIGYTFKRFDNNFR UV8b_02425 MAHPQPPGADAATSTTTAAAAGSAPSPAPAPAPSPPKPRNPALR MLGLPALPRKLPSRNWLIFWAVTGAFTSAVVYDKREKKRATAKWRRAVEPLSRELVRP ANALPRKLTVYLEAPPGDGLRVAQDHFIEYVKPVLAASGLDWEFVQGRQQGDVRAAVA ENIRRSRMPGERPQEKLAPTNDAVVQDVRRKMGVNDYEGIRGDVVVGRHTWKEYVRGI HEGWLGPLGPSQPSEPTPEPAADAAPGPDDKDAKEESPEKQPEKQPEKQQDKQPPRPA QPRPHNTTDDYEAAALPPGIPAEFAPSAVIPLPHRLGFSQTLVRLGRFLNRRHLADDI GRQVAAVCFAAARDWREADGQYEQQRVLEHEERDWPSSTWKTEEPKTDPHPDQDQDQD QEAAAAEPPKERIWASAMVLDPRIAARMRRFEMLPQDEARAAQVAVPEEEVEGWIKGS LRSLWRWGASSFERKPRGPNVGRLDGD UV8b_02426 MANRFVPGGTIGPAGQEAVVADEHAPRAQLSDSTTEWEAVQQEL EQDRKRREEQRLKAATGEEKSLYDILQANKAAKQAAFEEQNRIRNQFRALDDDEIEFL DEVVASKRKEEERVRKETEDGLRAFREQQRRTGGGGGGGGGDGGEGGEEEPVEGWSLG RKRKRGRERERGLVRRKTGDDEGRQDVRAAGGSEGGSEGGSKGRQPALEHGASKDTGK KAVGLVSYGSDDGSDD UV8b_02427 MPGGVCAVLDYEVDMMAEYVAEMAVRVVTPESSVTSAFRKFVTQ ILTSTRLPSTTILLGMNYLAKRINTLKGHGPYKAFEGQVWRYLTVSLLLGSKFLDDNT FQNRSWSEVSGISVSELNSLEFEWVQAMGWRLYVNLDLSKDYQAWLDNWGEWQQMKKR QAAQASRDRLASLVPAIDTELARHGNSHQSPQSRYLQEQLAEYERYQAIKGQQHTYRA RDAAWTHNPWNAPLTPPDSGYGTPEYVMSATSSNARYNEWFAQAAAQYSSRYPLQPAQ SSFFQHHQTPFVPHFSYNHGAWDHGTAETGCPSCVGPMKQTPYFQSRGYGQPVMG UV8b_02428 MGRRSSRAAAKKATAALESTPKGFAEVEDEPMPDADAAADADAD ADADADADVDADADVDADVDADVNVDAEDVDAAVADEESEQEEPPAREDEDEPENDKA GNEEAENDEDAAKDEESAKEPSPLPQPVIRRKRLGRPPKNKPPDWDPMITVTEDTPRR RGRGGWRGRGGRKGGPAAPKAEQVIDAEGTVAEILHDECVLQEDPEGEAKVDKLGNLE GGREYRCRTFTVLGRGNRLYMLSTEPARCVGFRDSYLFFTKHRRLHKIIVDDDEKRDM IERDIIPHSYKGRSIGVVTARSVFREFGARIVVGGKRVTDDYNVAQLRAEGAVEGQLA DPDDHFVPGEPYNKNQYVAWHGASAVYHTNVPSAPVPNGKPEYKKRKVNVNDTNWMLE HAREASIFNAGINAIRKFNNAGVYDIHTNIMQYPAVMQPTRVRIEQVAPAAQETHAKG ATKFTPVAARLARNFLVLDFYCENAPSNEASMPCSKETPADFVAPFNGLGAVADEVKD LLPPDCRKAFDKALEADAEFRSRWGTERETMSRRDPIIDKAIVPYSMT UV8b_02429 METPMSTQEQQLCQLLAELPARFGYRYTAEAAHELLRSLFWSLA GGKHDYMRLLFPDGKPSANLKLSDAQGAVEGSEYTEAARGKRCGHIFKPGEASYMCRT CGTDETCCLCSRCFDSTDHTGHMVRIQISVGNSGCCDCGDDEAWKTPLFCTIHSDMQK GPEKGKGREAAALPDDLGKSIRMTIGRVFDYICDVISCSPEQLKQPKTHESIVRDEEL SRLSSKYYGSEAEPCNDFALILWNDEKHTVQDVQDQVARACRKSRRQAARDAWETDAI GRSILTYLPNIEQLLQMAKIMEAIRVTVTIRSARDTFREQMCGTLVEWLSDVSGCSVG HDNHILRRTVCDEVMKPWRRGSLATHTSGLIDDEDEDDQNLASRTRIPGVNFRFIMAL QAAGGNGAELEIGLDRQDNENEEAEDDDDDMGDNDDDNQSPTSSVAGGDEDEDDDVMM VDARGDVADLGMNWSQSDQALEEDEATMAGYPPPPPPPPPVQAARNVPQERDGTPSDS DTTEPLIAPAVYKANADIPKTPGRTEKFTPRPGKYWIETPQVYTQRDKVPPAEDVFQR VRLDWLLLFDLRMWKKARNDLRSLYISTVVSIPEFKRVLALRFASLYTILAQLYLVGD REPDHSIINLSLQMLTTPSITAEVVERGNFMSSLLAILYTFLTTRQVGHPWDVSPEAV LAFESGSVTNRRMYHFYQDLKYLFGSPHVQERLRSEPRYLMQFLDLVKLHQCIGPNVR AVVEHVEYEADSWITASLVTRQINLQARNLAEAFRDCPPDEVHYLQRAIRFTAKTAVL NSIGAERHRFKQGEIKDEVKFKSLDDFEFDPGNVSYNVVKFVVEKDSISFHHALHYTL SWLIECARSLPASSIRTLMSFTAQELRSKPRLMGRPQIIRKEYEPEDYLMALFDFPLR VCAWLAQIKANMWVRNGISLRHQASTYRGVGQRDVSHHRDIFLLQTAMVVCNPSRVLA SIIDRFGMDGWVKGLFELKSEAQDDAQHLDIVEDMIHLLIVLLSDRTSLITTKDEPNS RIFAMRRDITHVLCFKPLSFNEICNKLPEKYQEQEDFHHVLDEMATFKPPEGMSDVGT FELRPEFIEEIDPYIAHYNKNQREESEMAYRKKMAKKTGQTVEEIVYEPKHRPVPSGL FENLGEFTSTGMFAQIIYYSLLYPLVAHKFTPSVPSTRLETFLQVVLHLILIAILEDK SIETEFNGEPPRSFVQIALTTLARSNFMPEANNSRTIVSLLNMLTVKDEFKSAHPKIA LVLRRLKQKRPHAFESSFARLGIAVDRIDTASPANTSAEEERERRKKAALSRQAKVMA HFQQQQKSFLENQGGIDWGSDMEDEEDEMDHVEDRKHNWKYPSGTCILCQEEADDRRL YGTFALLNESRVLRQTDFQDPDIVREASQTPCNLDRSADDIRPFGIAHENRKMVEKVN VKGEIFLAERQTIGKGYKANLSRPGPVASSCGHMMHFRCFELYYEATNRRHTHQIARH HPEDTRRNEFVCPLCKALGNAFLPILWKGLEESYPGHLQPQGLFEDFLEKQMGSAYWL GGSKAREVNESGLPVMFTPSVPGSLVESITHAQPASEGSWGRDDVDAQSSVLGTPASS SFSAAGTPEPGNPQTTAGVSGQIVGDLLAAYRRLRDTLQVNELQTHHVMDAKMDVRDE LCSSDTLVQAVGFTISSVEIQQRGIEVQPGMTLLEKIPEQVLVHLRILAETASSYISV GARHAGPENRIETEFRKDVERQHCQLFMSRYFGTGTPYARRPLDVYPPLLSLDAFAFL VECAFGLGPAHKAEISHLVRLCYLAEMVKVVFHMGRNMPFGMWVGGLATSNTQDPAMT NFAGFALAITQTAMEFEAVNQSEPNPQADGNKGFQQPGVDTLQGWYTFVKKYALVFLR KCVVFLHVKYGVDFNSHVSSAHDADADELDRLTEALRIPTFDEICAAMTEKATACGWP ATTEALVSGWVKHQVMWPRGYGDLSRSSLVSHPGIFELIGLPKTYDTLIEEATRRRCP TTGKDLTDPVICLFCGELLCSQSTCCQRPETTGRETSRIGGAQQHMRRCQQNIGVFLN IRKCSTVYLFRQSGSYAPAPYIDKYGETDFQLRHGRQLFLNQRRYDSMVRNTVLNHGV PSLISRKLEAEINNGGWDTL UV8b_02430 MPHAANQPLDITYRSSRLHEAVKMTIANSLYSVLFRKNSVMLAA VFGAGFVFEVGFNNVVNKWWDNHNRGRQWKDIRDKYVQGGEEDEE UV8b_02431 MPSETTNAGIVTDEGSGERHIPESVRADGSTRKAIKIRPGYRPP EDVEVYKNRTVEAFRERGRKVGIPGAAGLQQQAEQGSSAATNKNAKRREARKKARVAG ENDSAVSSKPTPTEAPKTEEVDPDVERERKARNLKKKLKQAKELKNKKDDGETLLPEQ IAKVIKINELIRELSALGLDAEGEAKTLSSAEPS UV8b_02432 MTPEEERQAALDSYRNKLIESREWEAKLKNLRLEIKELQKEFDR TEDNIKALQSVGQIIGEVLKQLDDERFIVKASSGPRYVVGCRSKVDKEKLKQGTRVAL DMTTLTIMRMLPREVDPLVYNMSLEDPGQVSFAGIGGLNDQIRELREVIELPLKNPEL FMRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARLIR EMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTK IIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHAQSVVTDGDIDFESVV KMSDGLNGADLRNVVTEAGLFAIKDYRDSVNQDDFNKAVRKMSEAKKLEGKLEYQKL UV8b_02433 MCGIFGYINYLVEKDRKFVLDTLINGLSRLEYRGYDSAGLAIDG DKRNEVFAFKEVGKVAKLKKLIDESNVNLEKVLDSHAGIAHTRWATHGPPSTVNCHPH RSDPTWEFSIVHNGIITNYKELKTLLVSKGFKFETETDTECIAKLTKYIYDQDPHIGF TDLAKAVIQELEGAYGLLIKSVHYPHEVIAARKGSPLVIGVKTQRRMKVDFVDVEYAD DNTALPAEAASQNVALKKNASDFLSPSNTLLGAPDKSLLHRSQSRAFMTDDGMPMPTE FFLSSDPSAIVEHTKKVLYLEDDDIAHIHEGSLNIHRLKKADGSSNVRAIQTLELELQ EIMKGKFDHFMQKEIFEQPESVVNTMRGRLDIKEKSVTLGGLRSYISTIRRSRRIIFI ACGTSYHSCMAVRGIFEELAEIPISVELASDFLDREAPVFRDDTCVFVSQSGETADSL MALRYCLERGALTVGIVNVVGSSISLLTHCGVHVNAGPEIGVASTKAYTSQFIAMVMF ALSLSEDRASKKARREEIMEGLSNISGQIKTILELDQPIKEMCEKVFKNQKSLLLLGR GSQFSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENMPIIMILTRDEIFKKSL NAYQQVIARGGKPIVLCNPDDPEFKTSEAQKIEIPKTVDCLQGLLNVIPLQLIAYWLA VLEGLNVDFPRNLAKSVTVE UV8b_02434 MDHRPQAWGRPRDDVYGAYDTSFMHKNGPATHTQQPIVTGTSVV AIKFKDGVVIAADNLASYGSLARFTNVKRLRSFANASVVGFSGDVSDMQYIDRHLTEL ALAEAYDSPDAARLNASNLHRYLSKLLYRRRSKFDPLWNHLLVAGLDDAGTPFLAATD LLGTTYSSPSLATGFGAMLAQPIMRRYAPDEESAAKLTRQEAVNVIKECMKVLFYRDA RSLDSYSLAVVTKDGVDMSEDEKLENQSWAFADRIKGYGTQTV UV8b_02435 MLTAFRRSVTRRVLFPSRAVPDALVTRILPGAVNRTIAPSLVAA RPVSVSAWMRSPAKSAAAVKKAKKSTATKTKKKPASKKAKKPASAKRAKKTKQAKKTK KTKKVKTRKAKKKLTDEEKEKANLRQLRKMALLKGPQLLPETAWSVFVADNFGAEEQG EFPDKIRGLADKFSRLSVSEKEGIKATAQSNQAVNNETRQKWVASYPPEAIYMANIAR RRIARKLDKSRLYLIHDVRLPKRARTPYALFIKSRFPQANRESSSGSVQDAFRSMSEE WRSMSDSEKQPFQSKAAKEAEDSQTLLKVLKGKAKDYQKANNMPASQVPS UV8b_02436 MAAQSSDVEILVHVAAPSRLSDDATYRRLAHAYLAFQPDPDTTF GIVPGPPSPPSDNLDAPLAAQRFRAECECPGAAESSTPARLVPAAQLSFGPDSQDLSF QSAIDNNSSPRLRPSAPAAAPAAAAAAAAATTTITTTTTRAVEAEAAALSQSSWQTPP SQVPDSYPLPGASIITVSPARVLQRFLQQPLAAGSLSREDHDDDGVDQPSPGASIAVL DIPSSLALSAVKQAAPAHGQQEPEQHLAADVIPVTPVAIPPGGLRKREASPSQHEQHA ELSCLDVTHISCSFASQQPSSPPRAAAASEPPANKIPKRSHHAVQDDADAADAAAHPL RVLTDGDAVLLESSYPSAAGSAANSLRITPPSPPASVADMDPASLVSPKLDKLARDLS SRYRPVARRHIDPFERGFWLVDCTSWTDTVRHDTWLFLATYLHSGRAGWGVWCRRDDR HHWIRLYCWGHLAKHTYLLLYLASGRQIKAAGAKWLDSGGDVVLEAGGAA UV8b_02437 MPPPTRGDIGRVVVIGGNGFLGHHIVNQAVSDWTAAAVSSVDLR CGANRNAGASYHECDITDKPKLLALLQQLRPDAVIHTASPAASGERKVADELFRRVNV DGTTAVVEACQAAGVRALVYTSSASVVSDNEHDLRNADERWPVIRGARQKEYYSETKA AAEDVVLRANRASPSSLLTASLRPSGIFGEGDVQTLAGFLKAYRSNKSHVQLGDNTNI FDFTYVGNVAHAHLLAAHALLLTAKASVQPLDHERVDGEAFFITNDAPCYFWDFARAV WRAAGNQAGTEGVWTLGKGTSKVMGSLSEVAFGIVGKPPTFTRTRAVMSTMTRYYNIT KAKSVLGYEPLWSLQDGVSRGVAWFLEQDKTAPAVKP UV8b_02438 MRICKRCGEPLTGQFVRALDGTFHLDCFKCRDCGEIVASKFFPA DDENGEGPYPLCETDYFRRLGLLCFQCGGALRGSYITALDRKYHVDHFTCSLCPTIFG AQDSYYEHEGNVYCHYHYSTQYAQRCNGCQTAILKQFVEIFRNGQNQHWHPECYMIHK FWNVRLGQPSDTPQQIPTEPENDAAREAIRAEEERMEEKVYRIWSVLSTFEESSAACI SDMLLHVSNGAYVDGVLVAKRFIWHVEALFQSADRLDHMVLANNEKGLSYGREAKLLC KKIVSFFSLLSKTQDTTVRKLGVTQELLSLVTGLAHYLKLLIRICLQGALRLERDSGL SDGLDRLLAGLNDFETSKSDESLMHLTMGRPKLSAKDSDHCPLCKRSVEDECAKNGDF RWHLACMKCSHCGSELSRALTEAHHNAFDGKVYCRNCVAVNTDGVLPFVHVTKLGQYV FLLKVALARLLDILRSNGTLPYPNEDLSVASPDQKQPGAPPFLSSDARSKSYAGTTDQ RETKRESTYETTLNEVRRLRSTRLDRHLSTSVRQARSSRVMDADGRGPRPSSAGADEN RNDLQSSTDLMFGQNDAITLDDIPRIVAVEQSREAQQKREQDARRDLLCNPGGTDGLP PQGHQRTQSVGRDPDMHAAEAIPTRMMRKFFPELSGLEYFNVRHLAVLTLHPMVEQEF ALEELLTFIESRKPPTFWKNLGKAFKNDKNKNVKKKGVFGVPLEVIIERDGADSTDGV GPGTLRIPAIVDDIIASMRKMDLSVEGVFRKNGNIKKQQELAEKINQEGCDVVNFMEQ PVVQLAALLKRYLRELPDPLMTHKLYRLWISAARLPDLERRKQCLHLICCLLPKSHRD SLEILFTFLKWAGSFHQLDEEVGSKMDIRNLATVIAPNILTNASKAPALDSEAIYVID AVEMLITHIEEMCLVPDELLALLNDPYIFSNSGEITTKEILKRFQDRRGQVPGAAEIS EVYNRQDVSTRSPPKRSETDPSVWQGERTVRRVQDPSPQPAGGGGGGGGGGGGGHQDH ATHATPPHKWPPGSGEHARDPYDPQFNHSDSNLDQSDGQRGEWRNSAWGRRGGGLGVG GDS UV8b_02439 MPLFSKKKDDDDGRDQRASIDEHEHDQAPPDEHTRLLPNRLDSS RDSNRVLLTPDDPAVTPYNLWSIRILRYLTLFFTIITFAWWVLLLVSSFATPPGLHTR GGVFLALGFATLTLANMGFIVIFFGVPAKAVRVLSFIMAFLLLLDVIILLAVRQTRYE EGWVGISSAVWALLMSLWALLTDRMVKWGKEEEEERLTGRAETRRTLTEWSAVMVSTI AYIVMVIAVLLITLSIVIRALDANFAAPGKTYGVDGDKYRIHLYCSGNKTDAAGRDVP TVLLEGGERPVEQGLWSLAENAVKNGSISRYCFADRPGIAWSDTAPSPLSAGFAVDVL SEALAKSGEDGPWVLVSAGIGSLYSRVFSSRHGDAIKGILLIDPLHEDLLGSRGSSAR GFLLWVRGVISPLGLDRLPGAIFRGRNSADRTYGRSVQQNSKFIYAQLQENLVLQSFT KRDVQTSRQIQDKNTPLVVVSSGREIKRSKEWEEKQRDLTTLTENLKEWDIVNGAPHR VWEVSEGRQQIEERLKRLVRGD UV8b_02440 MPSLTTRSERARRSRSTSPIGPPMSPITPPLAPTQAPDPAPAAS TLYPGRQLLTHVSQPSQQIGIPPPPPEPLDFDSNPDVIALKAAISVLQVQKSRATGDI QTLHRVREEALNEPEAFIRDLAAEKVRPRDDSLFEASDSDDEGKQRKPGRPCQEPHGK PRAWSSLPKPQDVVRCPPINWAQYAVVGESLDKLHAEQVHRPSQGSPAVFGSAGLYEV KNEGKQEKYPGVAAPYTPGKDIIDRDSKSKR UV8b_02441 MDQSIIRISKELSDIQRGSDLSIAVACRDVDVRNVKALIIGPHE TPYEFGFFEFAIRFSREYPSKSPSVHCITTNGGRCRFSPNIYASGKVCLSILGTWRGE RGEEWSSAQGLESILLSIQSLMSANPYENEPGFEDATDDTDIVAQKDYVQKIRHETLR VSVIQRLEGYLGLNYNKGPALAIEKINGDDEDEAHFEPFKDLCKRRFLWYYQSYLDAI EAGKSEVKELQAFVRMPFESPGDNIMDGKFNYPELERRIRRIKAALDAEPLTWAKHGL AAAAKETTVSVNLQHQYEQVTAHFKKSDMPHDVKLEDGNPFVWVITYFGRPMTNLDGG LFRIKMNFSTRFPDEQPRVKVENKIFHHHVAADGTACYHPNPLRREDVKSHIEAIISM LEEDEPAYDPRMIVNPEATKLLWGSKKDDKKQYNRRLRRSVQQSMEDFPE UV8b_02442 MASFFDLKARKATAATTGSSEQKNQKPVEPRNQPWVEKYRPKSL DDVTAQDHTVTVLQRTLQASNLPHMLFYGPPGTGKTSTVLALAKQLFGPDMMKSRVLE LNASDERGISIVREKVKNFARMQLTNPMPGYKQKYPCPPFKIIILDEADSMTQDAQSA LRRTMETYSKITRFCLICNYVTRIIDPLASRCSKFRFKPLDQSNAKKRLEDIATAERV TLDEGVLDALIRCSDGDLRKAITFLQSASRLVGAAAPSKDSDGDEEMHADKRPVTVKV VEDIAGVIPQQTVLELADAMRPRGAGQTYRSVSKIVEDMVADGWSATQVVFQLYQHLT ADETISDAHKNKIIITFSEVDKRLVDGADEHLSILDLAMRISATLALK UV8b_02443 MVSSSDAAISKLQTQLEPYIKPREQVNYIRRVLALELGSYTGDG PAQYPPPLSIESLQKITGPELKGAYRHYIEALRDHMSSRQSYDEAVHVMNNDSLVSTP RSRSVPSTLLEDHLSLLKLRKKRDSLLAVRSHLQRLSQTLDADQRSLNVEQILKHGGA QPSVPTAVINSFIIQQSSTEPSLQTRLCQLEKNGLKAKLQLRQEQRALSEARAKCTTK SELVSNGAKLQALNSTRDELINWIEMELCKASAEEEPDSGKHHEDQSCLPATPEQAEI ESQLQQIQGKYKSYTAARNDLLALMSPSVQLSIPHLEPEEGPTKLGQRNKPPCRDYLL APYIQALLLQYQQQKALIANKAHFSSVLAKKNKASCRVLDRLAQESQLLSAHPVKSSA RRRSVIPEATLTGPSDRPDLTVRTYPWIFAVDVAKIGGLETVAERIEAGQVNLEQSMT AVQEMAVLLGVEDEMTEEKTDATELTTTIEERLENRREKLGRTSSPTKKTALSQTRGD PWATLHGNLGLIGHDDVP UV8b_02444 MSDPHRDVSQYKYSAMSNLVLQADRRFVTRRTDEATGDPESLAG RLSIKDMGARVARDEAPKAKKKSGMPEIERGSVQEGVDVLLREQNRRKAEGAHQRGTG VLGANDALIEGITYRPRTPATRTAFDLIITLVASHLGDVPYEVVRSAADAVLEYLKDE DLKDLDRKKEIDDILGTTLNPKQFNELINLGKKITDYDAQDDDEDVNMGNANGDDAEI DERQGVAVAFDEDEYEDEIVNEVRDESSEDEAVDEDEDSVTPAPKPVDEGVDENEMIL DSGSSSTKRKEEERSSIPAREIDAYWLQRQIGTLYPDAHEQTTKTKEALRILSGDPEE PDGEEKSLREIENDLMELFDFEHHQLVQKLVGNREKVFWLTKLARAETAASRADVERE MGSEGLQWILNEVRGKTAGSDEKKRKIDIKMDIDVPASFNAPAPKSERQDGYLIGGLQ PKKVVNLDNLVFDQGNHLMTNAKVRLPEGSTKRSFKGYEEIHVPPPKKRADSDDVLIP INDMPEWSRGPFSTAKSLNRIQSKCYPSAFQDDGNMLICAPTGSGKTNVAMLTILREI GKNRNPETGDIDLDAFKIVYIAPLKALVQEQVGNFGKRLEPYGIRVSELTGDRQITKQ QIADTQIIVTTPEKWDVITRKSNDLTYTDLVRLVIIDEIHLLHDDRGPVLESIVARTI RKTEQTAELVRIVGLSATLPNYKDVASFLRVDVEKDLFHFDGTYRPCPLRQEFIGVTD RKAIKQLKTMNDVTYNKVMEHVGSNRNQMIIFVHSRKETAKTAKYIRDKALELDTINQ VLRHDAGSREVLKEAASQATDKDLKDILPYGFGIHHAGMNRVDRADVEDLFASGAIQV LVSTATLAWGVNLPAHTVVIKGTQVYSPEKGSWVELSPQDVLQMLGRAGRPQFDTYGE GIIITTQTEIQYYLSLLNQQLPIESQFVSKLVDNLNAEVVLGNVRTRDEGVEWLGYTY LFVRMLRSPGLYQVGAEYEDDDALEQKRVDLIHSAALLLRKSNLIKYDEKSGKLQSTE LGRIASHYYITSGSMDIYNNLIQPSITTIELFRVFALSSEFKYIPVRQDEKMELAKLM GRVPVPVKESIEEPHAKINVLLQAYISRLRLDGLALMADMVYVTQSAGRILRAVFEIA LRKGWASVAKTALDLCKMAEKRMWPTMSPLRQFPTCSKDIIQKAERIDVSWSNYFDLD PPRMGELLGMPKAGRAVCGFVAKFPRVDVQAQVQPMTRSMLRIELTITPNFEWDDSLH GAAESFWIMVEDCDGEDILFQDIFLLRKDYAEAESNEHMVDFTVPITDPMPPNYFVSV ISDRWMHSETRLAIPFHKLILPEKFPPHTDLLELQPLPVSAMKISSYIDLYPEWKQFN RIQTQTFNSLYKSDQNVFIGAPTGSGKTVCSEFAILRHWTQGDSGRAVYIAPFQELVD ARLLDWQKRLAPLNGGKAIVKLTGETAADLKLLENGDLILATPTQWDVLSRQWKRRKN VQTVQLFVADDIHLLGGYMGYIYEIIVSRMHHIRTQTELPLRIVALSVSLANARDIGE WIDAKKHDIYNFSPHVRPVPLELHVQSYSNTHFPSLMLAMAKPSYLAITQMSADKPAM IFVSSRKQTRQTARDLLAVCVADNDEDRFLHAEVDQMRPLLDRVQEEALAEALSHGVG YFHEALSQSDKRIVKHLYDNGAIQVLIASRDVCWELTSTAHLVIVMGTQYFEGREHRY VDYPLSEVLQMFGKSLKPSKDGRSRGVLMVPSVKREYYKKFLNEALPVESHLHNYLHD AFVTEISTKMIESGDDAINWTTFTYFYRRLLANPSYYSLTSTTHEGLSNYMSDLVETT LRELSESKIIDFDDEDGSVAPQNAAMIAAYYNISYISMQTFLLSLSARTKLKGILEIV TSATEFENIQVRRHEKGVLRRVYDRIPVKMSQPEYDSPHFKTFVLLQAHFSRMQLPID LAKDQEIILSRVLSLLSAMVDILSSDGHLNAMNAMEMSQMVVQAMWDRDSPLKQIPHF SPEVVEVANNFGIKDIFDFMEAMDPDENQSHDQLVKSLGLSQTQLAQAAIFTNNKYPD LELEHEVLGENEIRAGEPSHLQIQITRSADEDDEHDPTVHAPFYPAKKMENWWLVVGD EKTRNLLAIKRVTIGRKLSVRLEYTVPTVGEHNLKLFLMSDSYVGVDQEREFAVTAAE GMDVDEDEDEDEDEDEEA UV8b_02445 MADKSSENAAEVATPVDGAGAAAAIAQDTKADETKSEGDAGEKK DNSSTDEFKSADAAAAAAADDDDDATIDRPASKKEDVTGQDDAEAKSTAEPTFFDGDT TTVTIADTPTAKNKSSRRRSVGGGLSRKGSKARLTHTDAKPGDHFLVKLKGFPAWPAI ICDEDMLPHALVTSRPVSAARPDGTYSEPFADGGKRVNDRTFPVMYLHTNEFGWEKNT NLSELGAEKAKDTINDKMRKDLKAAYELAIEQHPVDYYKDILKTFQEELMAQEEAARQ AAATPKKGKKGKNKATEEEDTEMVDVDASAKKSKKRKAEDEVATPQRTDSVKKPKIKL NTSSTPKATNGAAAALKVGGGSAAKGTKAKAKKDKDATTKEGGEKKSESSKESKMSPE ERHKRKVKEVLFLRHKLQKGLLTREQKPKEEEMQSMSDFITILEKFGNLEVSIIRETK INKVLKAILKLVEIPREEEFHFKKRSQTLLDKWNKLLAGDTSGNVVNGNADGHGEGKK DDSNGVKADGEAKAADPATESEADKTEAAEPAAAKVADE UV8b_02446 MEQNEASRDTFSQEDHQAAYIFDPQQDEGHFSDPRPSKKRRVTG GDIVERSRTVGDAPLFVPLLNGAEKPEFARFREQKFHESWKMIDGRLQSILRESNSTT LNEVAEFVADATKDSIDQIPSGFIVTGPNIASQDLLFEQLSDSLRNKFTSRFVRLKSS ETVTLKAALKRTIQGAMATVQGVEDDDLLSDATKDGFRYLDYDLEALEVFLKPLQCEH VFVAFQDSEGFDSSLLSDLIALLHSWRPRIPFTLLFGIATSVELLQTRLLKSTCRVMY GGQFDAAQTASILETIFKGTVAAVDVPLRLGAPLLRSMLDRQHEQVAGIQSFISSLKY AYMCHFYANPLSVLLCCSEPPSESLQQEHFEAVRNLPSFRQAVEREVQKGTVASLEDA RLLIEDNKHLLNRIRAGHGNRQSWAAQFLRSLLISQAAGVQRSSFSRAYVDGLVRAQL SSDDPGLAQSIRRMDPDELSGLLARIVSVLGEGDRSLGLLPSADERDAQLRASLESVM QELEHLKVRAKDAGTVLRSKYSGHSKVMRTTVVAQKVQLSQDTAALRDEDNRLTELVD KTTLLLCRHYLDTNPNSILFSECWLYETKSPSRDVFIPRPRMVFERSLGRPQDYLGCR CCESDHDGLEAKVPPTSLLYQLYLEAGNLVNVADLWTAFRALVSQGGEDERRTLVLFY RGLAEMRALGFVKASKKKIDHIAKIKWL UV8b_02447 MMHREMFPAQQPQRDTFIQRRIKRNPDLAFKLHQMALPLSPLVQ LTTGAVHPDFPRTVLQFWLLTDTQLEGLAHFYHQKALSPWTSQYPCPVVWRSDVSLEE KRRRMGKFIGLRGCESPSWLKSEDEIAAEARLASMVAQQEDMLRKKLHPW UV8b_02448 MFNVDWKGLALPFAYLLVLGGALMTFSTIYRKRKAAESANLAPW FGPNLQRNVYLSLLHLDSDDGKEKSPKVPESVLKAALLRRAVEDIDRLIQIKSAKQAC SSLLLRGSVGDDLWQRFQRAEKEMEEELRDVVTEANALAPNWGPTIFQSAHEIAANTR LRERLNEIEAQKEAEKQWWEKRRGQISSDFMKELNDSTKEGAAEEDAVIVDTPAKGKK SKK UV8b_02449 MLLRLRGPDGMVRLTVEQSMTFGELGRQLLPQLPSSVDPSTVTL SNAPNGGDAKVLADIAKFKVGQIGLRHGDLIFIDYKHAGSATNGATNGEPSSLPTSAR LNGKPVLPLEDVPINPSPKRISKPWETVRQSALDDRLDKLDGKIARGRDKMCRHGPKG MCDYCQPLDPFDPAYLAEKRIKYLSFHSLLRKTNSSTNKPELGSSYIPPLVEPFYRVK RDCPAGHAPWPEGVCTNCQPSAITLNPQPFRMVDHVEFASPAIIDNFIDAWRKTGAQR IGFLYGRYSEYTEVPLGIKAVVEAIYEPSQVDEVDGITLNAWENQKEVEHVARLCGLE PVGVIWTDLLDAGRGDGSVICKRHADSYFLSSLEVCFSARLQAQHPKATKWSDTGRFG SNFVTCVITGNEEGEIGISSYQMSNEAVEMLRADIVEPSADPTVMLVRDEEEDDGSAS RTRYIPEVFFRKKNEYGVSVQENAKPSFPVEYLFVTLTHGFLAEPKPVFVQPRFPIEN REYFGESQDYSALATALKPSSGRTGDDGQEVSNFHLLCFVHQMGILSKDEEALLCRVA SQHDLADSFQLRSTEGWQTLQAILQSAGERLPKRPRHGEDAAICVDSPAFASSSPQLR AQPSGEPLTKRFAAFRLNNPRTPIK UV8b_02450 MLSSLPSALGGRAPVLLRHGHRIPRTFSSPARTLTTASSSSPGR ALLQTKLQQSKRLGTISIVRPYSASAADSAPNPKAYINSGIIKPQQDVHVKKVLVIGS GGLAIGQAGEFDYSGSQALKALKEAGVASVLINPNIATIQTNHTLADEVYYLPVTPEY VSYVIEKEKPDGIFLSFGGQTALNLGVQMQRLGLFEKYGVKVLGTSVRTLEVSEDRDL FAKALEEINIPIAQSIAVGTVDEALDAAEKVGYPIIVRAAYALGGLGSGFANNPEELR NMAARSLTLSPQILVEKSLKGWKEVEYEVVRDANNNCITVCNMENFDPLGIHTGDSIV VAPSQTLSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSS ALASKATGYPLAYTAAKIGLGHSLPELPNAVTKTTTANFEPSLDYIVTKIPRWDLSKF QHVKRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGDRFEDLDFELQNPT DRRWLAVGQAMLHENYSVEKVHELTKIDKWFLYKLQNIVDCTRELQAVGSLKALKKDQ ILKAKKMGFSDRQIALAVNSTEDEVRAYRLSFNIHPWVKKIDTLAAEFPADTNYLYTT YNGSSHDVTFDDHGKVILGSGVYRIGSSVEFDWCAVGATQALREMGEKTVMINYNPET MSTDSDVVDKLYFEELSYERVMDIYDLEGSSGIVVSVGGQLPQNIALRLQEAGGAKVL GTDPRDIDKAEDRQKFSEILDSIGVDQPAWKELTSVQAAEQFADEVGYPVLVRPSYVL SGAAMTVIRSREDLKEKLEAASSVSPDHPVVITKFIEGAQEIDVDAVASKGELVVHAV SEHVEQAGVHSGDATLVLPPASLDAAVMERIKEIAKKVAKAWNITGPFNMQIIKADDA QAGEPALKVIECNLRASRSFPFISKVLGLNFIDVAMKALVGKDVPQPTDLMAVKRDYL ATKVPQFSWTRLAGADPFLGVEMASTGEMACFGKDLVEAYWTSVQSAMNFRMPEPGEG LLLGGDVTKTWLTQVVDYVAPLGYKLYAASNEVKQFIESTAKGNVEVEVIEFPREDKR ALREVFKRYDIRGCFNLAVARGKTTLDVDYVMRRNAVDFGVPLFMEPQTAILFAQCMS EKLPRKEGIPSEVRRWSDFIGGKPL UV8b_02451 MSLDLERQLTFYGAYHHNSVNKLIHIICVPVILISAFCMATYTG PLVETPDWLTVPYLELNLGTLAALLYTALYLLLEPVAGFVLAAFCLAGTAYSNHLRTE DPTKTLQIALSLHAVAWIAQFIGHGAFERRAPALLDNLIQAIFLAPLFVWLEILFTLG YRPELRARIDSRVEQEIAKFKAASKSGKTK UV8b_02452 MFFLYNMERRVTLHPSYFGRNMHELVTSKLLKDVEGTCAGSYYI ISIMDTFDISEGRILPGNGLAEFTVGYRAVVWRPFKGETVDAVVYSINPQGFFAQAGP LRLFVSAHLIPSDIRWDPNATPPQFTNNEDTVIEPGTHVRVKIIGTRTEVGEMWAIGS IKEDYLGCLQD UV8b_02453 MEASNRLKMAFAQGKQSMGMWQMLPGANVARLLARSGVDWVMVD CEHGNIDDGAMHDAVPAIAALGVAPLVRLPDRQPWMVKRALDAGAHGILVPLLRSAQE ATELVQAAKFPPWGKRGFGSPIAPERFHPVPSFTEYLQQANDALLTMVQIETQEALDE VAEIASVKGIDVLFIGPFDLGNNIGHPILNGEMTPELNEAIARILAACRSAGKQCGIY ATSGEQARLFADQGFDMISVSTDYTALEHMLKQQFAASRGGPSPDRSGSY UV8b_02454 MFVSRRLLQACRITLFTRDNCGLCAQAKSVLSKVWDRRPFAYKE VNLALPESRPWRELYDFDIPVIHVNKSEDAEEAVKSAAKTVKLMHSFTVEQVEAKMDQ VERRG UV8b_02455 MATTQKCVHQGCGKQFRDAAEKCEYHPGPPIFHEGQKGWKCCKP RVLTFDEFLSIPPCTTGVHSTTDVPPPVDERRKEDDVALSKKIESLTTASPSATPPPR LPVQPAQSAPTPPPPAPETEDDEPSMEIPDGAICRRRKCGQTYTTGRSRDGERCVHHP GVPIFHEGSKGYSCCKRRVLEFDQFMKIEGCKTKDRHLFIGSGKKKNNSGSSGEEILT SARHDYYQTATSVIASFFLKKIVKETAKVSFDDRHIMLDLVTADSPPKRLKAEIPLYG KIDPSKSTFKILGTKLEVSLGKADGASWPVLRSDEALTGEILQIGQAGRAS UV8b_02456 MAVEVSHGRGGAGNINEDPTEYGDGEVVRAGVEGSHGDGAYSAG RGGAGNIADAGRAPTPRKDQDVIPDAAYRASLDKQDYHTGRGGAGNEHLAHDHGKKAA QRPAANETETPVGLADKLKQKLLAVFKK UV8b_02457 MPLQAGQLSRPGLRGRRHWSGLSILSRLSSYRSFTSSSFEADDE RSSTHATMSSRSSESDLPSLGTPPYLGRDARPTSRKELLGWYMYGFAAEVYVVCGIGS FIPILLETLARENGVLLANPDKPCGSSDNRDQGGQGQCVVYLLGLEINTASFAMYTFS VSVLLQALLVVSISCAADHGHYRKKLLLAFAWAGALSVMCYVFISSQTYIVGALLTIL SNTSFGASFVLLNSFLPLLVRNHPELIDAFRRRNTLDPEGPGSESRALTNPSGALQDD GAHPASPLLPHEDDALSGWRRLQKASSEQEITSKELQISARVSAMGMGIGYTAALFVQ CLSIGILVAMKNTTWSQRVVLLLIGSWWAIFTVPSALWLRPRPGPPLPLVNGGRKGAL GFAPYLTHAWKSLFRTVQQARRLADIMLFLAGWFLLSDAIATTSSTAILFAKTQLHMR PWALGMINVMTTMAGITGAFGWPWVSRALGLKAHQTILVCIGLFELIPLYGLAGYLPL VQRWGVIGLQQPWEMYPLAAIYGLVMGGLSSYCRSLYGELIPPGSEAAFYALYAITDK GSSVFGPAIVGAIIDKMGSIRPAFWFLAALVGLPAPLIWLIDVERGKREGESLAARIE GRDVCATESHGLMEGQDGVRQG UV8b_02458 MSSKSRKRTHAVTDENRADCPFTVTMVAAPSSEERDHIPKRRKR DGDDDGGAVVSTTKKELVQMSPFEPRGKFKSNQSMNLSYAIEPRKRWLDMTRYNSFVL NGYKYCNDDFVYVANDTTIERQKATGKSSDGENLLQSADYWVARILEVRASDEHHVYA RIYWMYSPDELPSGTVDGKKSISGRQPYHGNNELIASNHMDVINVVSVAMKATVHQWI ESDDEEVQDALYWRQAFNCRTSQISSVELTCKCQTPANPDKTLIGCTSPDCGTWLHYE CLLHDVLTQVYERLGTDIPHKSESLAESPVKVETDTLPIRRPLTPSENNQEERAQSAI AVKGPSQNGGDHTPRTRSNEGTPKAATQTPTPGPPNSLSAKTPKSASTKKGRGKKTVE DKPYEGLFEASLRMSDGPMVWEITDLRPNIEVGDRVWTERAACLVCKKLID UV8b_02459 MADFDPFDSALDLLRRLNPKHTADHLNAIISLAPDLTEDLLSSV DQPLTVRRCKQSGREYLLCDYNRDGDSYRSPWSNQFDPPLDEGGPGGVGAAGSEGAGE GAIPSERVRKMEVKANEAFDIYRDLYYEGGVSSVYFWNLDDGFAGVVLLKKSATPGRT TEGVWDSIHVFEAIERGRTTHYKLTSTVILSLSTADTSLGDMDLSGNMTRQVEQDLPV DTDDSHIANVGRLVEDMELKMRNLLQEVYFGKAKDVVGDLRSVGSLSDGARDREAQRE LIGSMRR UV8b_02460 MADDKPSVLIIGGLGYIGRFLALHIHQNELASEVRLVDKVLPQL AWLAPEFSAACSPDKFMQADASREESLARIFDRSNGKQWDYVFNCGGETRYSQEDEVY KLRSLNLSLAVGKEAAKRKVKAFVELSTGMVYKSDSSPSKETDKLKPWSKIAIFKLQA EEELAKIAGLPLVIVRLPNVYGPFASQLVATALCMARVYQHLEEEMRWLWSKDLRTDT VHIGDASRALWEIATWYNAGQAGWDPSEMGQVPIFNVVDKGETNQGKMADIIGDIFKI QTSFHGQLISTFALLNLDSAVDDVNDEILGPWAELLVNAGITRPGPLTPFVERELLKD TDLSMDGSRLEKLLNFKYEKPIMTKELIEEVIESYRKMNWWP UV8b_02461 MAAPAEKSLKDLNGRWTVNSELSESIDPALVIQGIGYLIRTGLA YATISIKVTQFEAPSKPPNESTETFTHVDIEQSASGLTSTHENRCLDNMYRDHTDWLF GTVKGRSVWVSLDEVEDSYLKNGWEIEGDGKFILSHVESQDYGWTATQVWGFQHVGGE RRHCRNIVVAKGDERAEFRLVYDYNPDEV UV8b_02462 MASRAAAPAPAVNGANKPFFQSHWSSRYRGATVEDLDPPAALSL NPSDAVSLALLSAFERDYTHLTIVDSETRALLGYISIPHLQAQLDSGKIKPDEPLSAA MTRFQRKGRRYRIITMETPLEQLEEFFRGGETDGPWRQEFAVITDENRRFVLGVATAQ DLEEFVKRRPA UV8b_02463 MAPLVPQAETLRSFFSSSVDAAPSKPTPYQARPELYGAFSMADN ARDRAPTRGLAKASPTAQAKTGPIEPYSGKYYAACTFGGLLACGLTHTAVTPLDLVKT RRQVDSKLYTSNLQAWKKIFRAEGFRGIVTGWSPTLFGYSAQGAFKYGWYEYFKKTYS DLAGPDAAHKHKTGIYLAASASAEFLADIALCPFEAVKVRMQGTMPNPYTGTFHGISA VTGKEGWGGLYKGLYPLWGRQIPYTMMKFASFETIVEMIYDRLPGQKDDYSKAAQTGV SFTGGYLAGILCAIVSHPADVMVSKLNANRAPGEAFGTALGRIYKEIGFGGLWNGLPV RIVMIGTLTGLQWMTYDYFKIFMGLPTTGGGPPPAGEKKAPSS UV8b_02464 MAGFLTRIKSASSASPNQPLKEAHGKKKDDLALEATPLEKMLHS AGPMRGDGSDIFFGFENFGNTCYCNSIVQALFYSDLFRESVINYPSLSPLDTPNGTRP RVNVTLRPPMNPNPATPQQRQRNGSAASQALRQRQALNSGQLPPSQTLKPEDKPDTPE YKKKQAMLKGPILELAQENAAAYGMEECTFTGLKDIFLTLLGSTSRTGVLSPQRFLEI FKRDNEMFRNSMHQDAHEFYGLVLNDVISNVEKNARRIQELENSRGRDELTQPTENVV GSAVANGAAGHRPPGIGWVHDIFEGVLTSETRCLTCETASRRDETFLDLSIDLEEHTS VTSCLRKFSAEEMLCERNKFHCDHCGGLQEAEKRMKVKKLPKILTLHLKRFKYTEDYS RLQKLFHRVVYPYHLRMFNTTDDAEDPDRLYELYAVVVHIGGNAYHGHYVSIIKTKDR GWLLFDDEMVEPVDKHFVRNFFGDKPGMATAYVLFYQGTTFEKVREEQEKEGMEEVKL ATQAANVAHDDGGDKADTAPLQRLATQPAPAIPEHDSLSSLAHAQTAPDMPTPPLPHM DIPDIPAHAGAMSAAPTATNPGGAPLKTLATKQDGLKRDDKKREKRERETVEKAAKLA EKEKEKHAKAAAKEEGKKRRDEQVKGTQGKRSEQEECQKALKASSKKSAGHEEETRRK DNGASGSWGFLDRSKRDSKSMARKSFSFFHKDKGNNESAVVDGGENGDTAERPEKTRG RLSIGLGRKKSSNVLSQALKGETRSERQ UV8b_02465 MLFFGSFLYITVLLINAVAILSEDRFLARINLSPASYDPAFGSG ADASVKAKIIHLIASIRTIMRMPLIFVNSVIILYELILG UV8b_02466 MAPTGSSEKQQQQQQQQQQQQQQQQQQQQQQRQQKDKRRHDAPA GPPRWPHALLGAVVLTLLPLLHILVSPDTKVEESFNLQATHDVLVYGTPVSSPGARLR QTYDHFSFPGAVPRTFVGAVVLAALSRPLVALVGFHHAQVVVRAVLALLNALCLLAYR RAAAAAFGTRTATWWLVLVASQFHLVYYLGRTLPNMFAFGLTTLASALLLPDKHGRVS ALGIKRALALLTFAGVVFRSEIAILLLATSAHLLLSKQVALASLVTVGLASFVASLLV SVSVDSYFWQRPVWPELSSFYYNAVRGQSSNWGVSPWHYYFTSALPRLLLNPLALPLA ALASLHPSLGRQTRAALAPSLLYVALYSIQPHKETRFVLYVVPSLTLAAASSANYLSC RASKSPLYALAALALALSVVATLAASSGMLLLSSLNYPGGDALRQLQAHVGSRHDAAD PVKVHADVLTCMTGLSLFNQNRRGLPLALGGHAGGAANLAANPTAAHDHQPVFLVDKT ERSEKLGWPAFWQQFDYALLEDVALALGEWEVVGVVHGYDGIQVLKPGQPDPSTGQGQ QQQQHRLLGLAARVASLREAVRRYSRGWWVGPKMSPRVHVMKQRSLA UV8b_02467 MRLDPETTPEGHGQRLRKRGPEIMTENEAAARISSITSVSASTG STRSSLTAKPSAPAMPTSSSISARRETNMRIDTQDSGHGRRNFRDDGQVSPTSTTATQ GDLSPSSSAFSYTAAQDRRPSDLSNHRRDLAVLEPTRYHQYSPQQHLPHHNHSGAGQG SQLAAHWTSSNAPPLASPKGLPTSFYNDSSDSLSMASQFSPALPSIMGRPGTGSGSIS QDYADTLYNMDGRRPSVASIVTTASSQGSKASVNRGGFRKLQGFFGEEFPGRDSSESS LPNSIPGKEHRSRSYSHGRPSQRDRQHSNATDREASPSSSRPRTPVPNPEVVPFLFQD NTDIARYGEAPVRNSLTGPDRERYVSEGSTQHPPKSSSSARSGPGSHHLHGHHHRQNK SNEDPRSLRATCSREDSFAAQHHSRDRGPSAGMSNQRSRAQSPTPSANSGRPGSRGGY HADGSTSPVHHGKRGILGRLRRNKDRDDGSTRLRDLPQSTKSLQTKSSRAEFPRPDMP PPGYYPGTPEPGDGQDPRIGANRTATFNNKLPFSKKTRGQRPSDNNDEHIGPTEHKDS AGNVYHLDTNLNDMEGILTKPPLLTPMDLSFINNIDADRRESTVDGPKGRWDAPDSWA VRRNTEDNSENAPDMDEVGSPPRPDEKLSSYFIRIFRSDGTFSTHQMDLDSTVIDVIS QVIKKTYVVDGLENYHIIMKKHDLIRVLGPAERPLLIQKRLLQQVGYEEKDRLEELGR EDNGYLCRFMFLSARESDFHAKTMDLGISRTQKLNYVDLSGRNLVTIPISLYLKAADI ISLNMSRNLSLDVPRDFIQSCKQLRDIKFNNNEARKLPLSLGRASRLTYLDVSNNRLE QLEHAELDTLTGLLKMNLANNRLTHLPPSFGAYQALRSLNISSNFLDKFPPFLCQLHS LVDLDLSFNGISSLPDEIGNLKNLEKLLITNNRLADEVSEGFRQLLSLRELDIKYNFI TSIDIISELPKLEILTAAHNRISSFVGKFEVIRQLKLNSNPLNKFEITEAVPTLKTLN LSHAQLASIDSAFANMVNLETLVLDRNYFVSLPQQIGTLSRLEHFSIAHNSVGELPPQ VGCLTELRVLDVRGNNISKLPMEIWWANKLESFNASSNVLDAFPKPASRPPRVPGEDS SGPPSVPNGKPLPANTMPSTPSSEELDDERRPSQASSTLLSVGPSPILGGADRKSSVV SVYGKGGRKTSVVSRAASQATTNSSTPPPAATRKDSGLSSRLTNTFAGSLRSLSLADN RLDDDVFDQITLLSELRALNLSYNDEISDMPQRSIKTWPQLVELYLSGNGLTSLPADD LEESSLLQTLYINGNKFTNLPADISRAKKLAVLDCGNNYLKYNISNVPYDWNWNLNPN LRYLNLSGNKRLEIKQTTWGGPDGPGAMNREQYTDFSRLSNLRILGLMDVTLTQPSIP DQSEDRRVRTSGSLAGHLPYGMADTLGKNEHLSTVDLVVPRFNSSETEMLLGLFDGQA LSSGGSKIAKFLHENFGHIFAMELKSLKNRPDDTPADALRRAFLALNKDLVTIAVQHA EERPKTSHRGSAQPVVLSKEDLNSGGVATVAYLRGTELYVANVGDVQAMVIKTDGKHE ILTRKHDPAEPSERSRIREAGGWVSRNGRLNDLLQVSRAFGYVDLMPAVQAAPHVSNM KIEEQDEIILMATSELWEYLSPGLITDIARSERQDLMRAAQKLRDFAMAYGASGKIMV MMISVADLKRRVERSRLHRGTSMSLYPSGILDDTQVSSTRRARRPKGEVLDSSLNRLE AEIPAPTGNVSIAFTDIKNSTTLWEMFPSAMRSAIKLHNEVMRRQLRQIGGYEVKTEG DAFMVSFPTATSALLWCFAVQMELLDVCWPSEVLNSASCQPILDKEGNLIFRGLSVRM GIHFGDCVSETDPVTRRMDYFGPMVNKASRISSVADGGQITVSSDFISEIQRCLENYQ DTERNNSAGSDDAFEDDSIATAIRKDLRSLTSQGFEVKEMGEKKLKGLENPEVVYSLY PHALAGRIEQHQQHEQRRDEGPEKFAVLPSGAELSFDPESIWALWRIGLRLEMLCSTL ECVRESGLQPLETELLERVKSRGGEVTERFLIHFLEHQVSRIETCISTLAVRHIAHGR GPLRRLDDLAGPMALILDSFVQQQRELARYRQTYGELPPLDDANRMATRPDAKGTDDT AGAD UV8b_02468 MKSRAKLKIPESVHAPPLSSDDDEDDGPAVGAELSAKSQRSSKK SEIALPDISESSGDELAPRGNIRGTKFSRPGGSTVQQRRTGNKGTESPYDAKNDRSKK RRMGDIGNGKRTRKSDVSLSDLAEHPKDERMLSKTKKVKATYKRRPNSSQEQDTGKAG KTSITSRELQVPDSLTSPRKQTDQFRTPLSPDYSPSPDKAPSSIRKFLENVDKGGEDF RVPMGSLEKSSKNLAVVKRRLKKKKQKTIQRSPSPPPAVFKLPASISKVELRTDKGDA ASTAWIDGESDSEGEEAESVNLAGKNAIETEMPPTVCPWCGESVDKKLLDDFSKGKRL NVRSQTKFCQKHKKQTATEVWRERNYPQVEWDSLDKRFTSYRKLLLDIINGAASHFRS VHERNIEAGKARSMKNEDNMNPGYYGPRGFNLMCDYLVNEFGDLLKKKAVDDRVIAGR GSAAFIQTVLVAELAVQLIMEDMGASEEDARAIMEESKAVGELVHEDE UV8b_02469 MATLNRKYAALPDLDSAPDIYETPELTDDNSTVPTTTNKAPSDD EFFDIDEEEDSQGISRTRLCITEARSRFLPANADANGFDFSSRIDGKRKSYKASSRRQ RVLEDRTHAFGDYSDDDTIDDDDESLERKIARLSREIEEAKAEYAQRNPPTSEQQNDG DSSTPALESLSQALDGMVKSISLGTARKVSHAAAHATTPVNKQGEIPVENGATYTVTY APTYEQTHALAKAADFDRRLTMLEKSLGITSSSFTERGTNGLSRAILPTLDTLEKQIS TLSQASTSNLDAISRRVRSLASEQDKLNDSREKAKALREELGKEDPPLADDLEQESRI KALYGILPTIESLTPMLPPLLDRLRSLRAIHADAATASQTLERIDAQQNEMASELKQW KEGLEKVEIALKSSEAAMTENVKVMEGWIKDLEGRMMKFS UV8b_02470 MAPLASIPEANSSIQSTFEFHRPVSRSLFLSHRRGRCRLSGRKL AVKKRFRPAYHGVTDHTMAAKEKHTCIFDKNELSSSSRKIEKTSNGAMSNGQAQIVAN LRKSESPFDLDAFPQPPSKNKGAPFDAMHTHNVATQPRKYRPAQRPPLTLAQRKLLVP NQKEPATIGQTVKEAVHKSSRQSVDSVLVAAVSRSIAQQLRLISATSYRSRSPSRPQS ATNDGGSSTSGGRRRVLHRFTRDLETYAEQISAKGKIFNDTSTPPTDAATLDTVAELL PYRSQLRAAGLAVTSREQAQGVPFERRDPAPGFSGTKRRNDGHGRRGQLDGYDNSGPS QSTNTEISFAGPQDMDEYRYALIEEAPARKKKNRVGKKRARRRCLPCFPAKDDLTTDT EWTHFKSWSRKPAKVVQTQPRGESMNKIANRNQAVAHSQVTPSSIPQSPRHISYAQGT ESAARANPPYQWDRPNFITTGRRHSMTLPKYKQQGEDCYIRHRKRRVRGGQIQNQKND LPRLDDGKYSTKNAVLWHEASSPSVKPPAEEAKDVRERQRSEKPVDRLVAAETGGGGD LATSIKARTRRVRQRSGLPTRSFRAKDGPVHKYDPYHVGICCPKSRGVPPKLTARPNI PRRTSSIKGSLGSIELEYDDREILDRDVLRGLHVAASAACNEEVDAFVRNKTGLRIRR FLADLMVLETLTAVRPGEDDQQHARRRRAEMRKLKQQIRRSREIALTGGAV UV8b_02471 MPSFSRLVRFLARDGRTYYGDAILPNGTTDISKSKSARIITGNI FGNHSVTDQVADIGRLLPPLDPSLVKTVRCLGLNYADHAKETNLPQPQYPILFYKPAT SLSGPSDPIPVPLVAQEGSGLDYECELVIVIGKRCTDIEESDALDCVLGFSVGNDVSH RDWQMKWGGSQWSHGKSYDGWAPYGPGIVTTKVVKDPHRLKIWTKINGEIRQNGTTAD LIFGVEKTVSLLSRGVTLMPGDIIFTGTPAGVGMGRKPPAWLQDGDVVEVGLEQIGTC VNRIAFADKKAKL UV8b_02472 MAVKEDSPLSPMPHPLKIFENCRKQNKFLYACAPMVRYSKLAFR QTVHHYGADLCWTPMILAKEFNRNEFARDSDLTVSTRHPQPPTIVQFGANVPLELARA SSLAAPFVAGVDLNCGCPQSWACAETLGAALMDKRELVRDMITETRERLRRDGWRVRL EEDMESPKGRSLSVKIRVHDDLRKTIDYLDTVIGHAQNRNVDWITIHPRTRYTPSTTP IFKESLEILLEKYSATLPILLSGDIFDLSTLPIRNARSPRVDVSTLTIRDDDESSPLA SQTNKASETPLAPTPPTPSTTHLSGFMSARGLLANPALYAGYRSCPWEAVETLMCRMA KAPLPYKLALHHIQEMTAPGMGPDKTALLSKKERAELNALGNMAELVDFLDRKVEEHT GRIGGMRRDL UV8b_02473 MERNSAGLSKSLYPKGPSFTLDNFSSKDFIVRDFIDSLADSAIP AKRRSGPSPAAFDPKPLIRTFENALSQLALLGDELQEKESELLSQVRRAEIQHDQTLD RLGRKLDQTMTSFEALDGSLGQSNASNAAETTGRADGGGSVAVQIGEKLEELDRKRRR AQDAIFLIQCWTELKEAGVLSFLEDIRLQGGAENKIRCAVIARQLMRMSQQLDPASWG HANDARNSVTPGGQNGGSAKPHNTREILEKFCESLEQDLLEQFNNSYRLQNFDDMMEC SKVLYDFNGGSSVIAIFVNQHQFFIDRDQLNDEAIADNETWEILADPDSDPPGVDPGL QSLVDEVKIVMQEESFIIKRAFPYYETVLIKFVQRVFQQSIQQRLEKVLDKAQTVSTL AFLRSLHSSRSYISSLVEDLKTHGLTEHPDPCSGHISQTLDQQMDELFVPYLVGSSYI ERERKSLDEMYSSLLFKFTMYHSRKKKVPQGFMASLAQQGTQFLASAKDAYLERLESS DLTPTQKRMMLRVAGIKDKENKNDIEVSEEDGGLSVTYAKRMLKWLAESVQRTLELGS QAETPKDVSVLLQLLLTNMGQVYVQTALDAAHNEATMADNSKAEPDMSFLLIIRPAVT ISAIMDRFITVVLVRLAESNTTVRKSMEAQRNGAIDAIEKKTNAVMNIAIDVMANWVS KSLSGQKKQDFRPRGVELESLQTCTCLNICKFLVRASQEAGRAIDGLNAEKFFGELSL SIHELLFDHFKKFQVSATGGLMVTQDIAKYVSVMRDWPLAKDVSVMVELLTEIGSLFI VGPEALREKARMLASGQTGGRSKLCKGDFRAFVQKRDDAGSAGIQSILAGL UV8b_02474 MPISARDRAAYRADDALDHKFLTDKDRDRILHAHLPNPNEIPTV AASKSQSQRRSRLGVRRFLKNQLYALVFAILHGLFSLYIRVRQAIHVVSYQISSVLYY HHGTPEYIRRDVVGLGRKPNHLSVILKAEENQRPKADLDRLIEETAELAAWCASGEIP MLSVYEKTGLLKKHMPRVYEAVMQKFTFYFAGQHPSLSLSSPHKDAYSSPALPGGKHG HLKLHLISAQDGRESIVDLTRTLAEMSQRGKISPRDISMELIDAELSEGIMPEPDLLV LFSPNVELSGYPPWQIRLTEIFCLQDNEGFGYQVFLKALRKYSKAQMRHGR UV8b_02475 MPPKRTLDAFFTSLPKKKRTGEQIISEESGKSEHVSYPHPISNL PSSVSRELLSPPARPGKEISDGSKLDLLYFDPYIPQDVAKELFEFLRLELPFYRVEYD IQRGGTQTHIKTPRWTTVFGVDDTSFFDHMGIIIDKTSCIKANDKRYDRYPPRPIPRC LDDLRKSTEAATGCKFNFCLVNYYASGADSISFHSDDERFLGPDPAIASFSLGARRDF LMKHKPFPPGQQAEAEKGAKPLKLALGNGDMVLMRGKTQSNWLHSIPKRTGKNQDDGG RINITFRRAMVKGGTENYYNYNVGTGPVFRWDRESRQMLPWGNNKLTENRDVSFTSRF Y UV8b_02476 MEGKIPASEMNLFRAPAAARALKTLDKTLFSRTLPTTAACVREN RLISKYRKQLENSNEVFLLEKFDPIAANPDSALASQGKKCIILKPELKASAPETWSST LQEATETGDLSLVPYKVNIDYGMWSYLDVMRSILPEELHGEIPVGFNTAGHVAHLNIR GQYLPYKKVIAQVILDKNPSIRTVINKIDNVGTESEFRTFAYEVLAGPDDMLVEVSEA GCVFKFDYSKVYWNTKLGTEHQRICTMFKPGEVVADVMAGIGPFAAPAGKKGVFVWAN DKNPESYRYLSEIIKKNKVTEFVKPFNQDGHDFIRQVADLVLEACRRGDCAVVKPAKI SRSAPAEQRAEPIRVPVPPTISHFVMNLPASALEFLHNFKGLYHGSEKLFAPHSSTKL PLVHVHCFGAKADDATPLDDICQRILRELGVELKVGDAEKEGEVTIHEVRDVAPAKRM FCASFRLPAEVAFAPRFSKPRVMDDLLT UV8b_02477 MAAVLPSEESSYFSSSALRRSQSQSSFAHSAYHSAPTAHITSSF QPPSKVYTESDVSSAPSSPPTIQAESTDASFSSTPASNLSISSDFDDDVLGLEDGSED LFSLPLLSQDKFFFQQDFHPHDHLEPPPSPKTGDSYTESPAEPDTSSATSGPDTPDVA EHAEDDTAVSSRPSRQVDYLSHDWKEEDIWSSWRYIVSKRGEFSNSARLENASWRTWM KARNNLRTISPEELNWLKDCDVTWLYGPLQCGTKNLNPTGTEPSSVTMSKTDSLVNLN KKPILKKRSMSEVMLQRSLVSSSLLKQATAAVQAQETRGVLKHKSDMSNTDYYVTYPL SIRRGSNGTSSSLAASTDSSNISSPFPERKHIHFNEQVEQCIAIDVKYEDDLEQDLPD EDSNSDDCGVMMKRVKSKKRAVSRKKKSRKMTATEGKMVAKLPSTTLKYREDTPDPPE TAMKHSTAYRSPMISPSSSQETLRPATQASRFFFGEEEMDDDSLSEGTLISSTGWRSP PTGQAGSSLDRSSSGGSLTDEPAGMRRTPSGMFMPCEDGEAVPGDGILGRVIDTVNTA RDIAHVIWNVGWRK UV8b_02478 MLRHPNRPYICWRCINPSLSGLGRSGHVPLRRSAAIPKWVATQS ATPTAAALETIGIPTPQNDGSGETDHDQALPIRDRLRQWASRNDAYSNSLLLHDTSIY GSIANNVSRTQSTGSSELDQLRSPARGVADSNDANPTSSDAETSTVASHSRSPGDLVE LRQMGSRMPVFAVYLGYFGQRNHFYAVNGKWVTSMGFSSLFTVSRFASLQEMEPVLAK IPYDATPEQFDEMRQDEKGPSREDGVMLIDKMTEFRRTSEAIYQANMTRLDSARAVLG DASGFEYISLFDIADMLLPGTLKTGQEFPAPALYAVHTALSRSEIGFNPMSPSADCHR RDHLFEVLPQSHAQTIARVAAWVGEYTDLSAKKLRPLKPGELEEIPLGRFIRQARDAV AHSRRSREWTPHGILKPSAGVTLPRVDWSPVSKEVIAFLEWWASYNLFDAGSRFHSYG ALILRSLDLYREAALDQSTAWTFLQEIGVISPWEVPSRYKVRFPGSTIDRGGGISRSI PDDLEKSMRCDIAEGARKPVEGATVFCIDAPSTVIIDDGVSLERTSRPDEFWIHVHVA DPASVIRPDSELAKFMELIPENIYLPGHFQAMLPPEVGQVGQDGQDGQDGAANSTSAA AGLVQRFSLQPGAPALTFSAKVNRAGDMLAYQVEPSTLRSVTYLDPEDVSSFCGEPPP PPVDGSYSFSVGTPPDETVAGTGAKRRRPMTRTRDLDEPSRADLLTLHGLCEAIRQKR LSRGAWPYFFPRPSVSVSFHEATPEGGACLGAAAALPPDPYIEVGAESSNGCSVVANA MVLAGQVAARWCWTRGIPIPYRRDSHSADDKLRQAALEYATRELYPLIRKGIEPSAGQ RQALALLTGGIQISLEPGPYLLLGLDMYAKVTSPLRRFSDLLVHWQMHAALAHERRTA HAPSSDPAAAAAADSLDEVLPFSAAALGDSLPLLHTREKMARTVARGTVDWMLMALVR AWRFEGKAPRSLRFVVGSRWRQGLLGRLDMLGLDAIMDLAGLDGCRLIRDVQVGDEFE VHLADVNVHSQQILVKAIRYLGAARRPEAA UV8b_02479 MKGGLLTAAALAALLDGVSASHHRHAHDALFKRTANETDAICTP VCTTVWETITGNATLVGFHFATLPPQTATALTATSAAQAPPPPPAAAATIKQTTTVCP GGSQGVPVQSSAVVLPSAITTTSVMGPTTYVCPSAGTYTIPAVTTTVSDHTVIVYPTP TCSMPGTYTAPQQIATVTKSGCVTDCPVSSNGLPTTIPPPPPPPPAPVTTSSTSASPL PPPPPASSKVPPPPPPPASPKVSSPSSPAPPPASSKLPPTPPPPASPKVSSPSSPAPP QPSAPVNSPPGGCKNCLVSDNDHFGITYTPYEAATGKCKTAEQVDRDVAAIKKGGFQN LRVYGTDCLTLEHVGSACAKHGIFMIVGVFVKASGCSPDTPDIRKQIDSLVNFQHWDI VKLVVVGNEAIMNGLCTPEQLKTLVVTVRSQCKAKYSGHFTISETLNIWQRTDVSSAI CSVVDVTGANIHAYFNAQILPSQAGDFVLGQLNILKTICPGHDVINLECGYPSSGEAN GLAIPGISEQRIAIESIRRKCGNKTVFFSLESDMWKPPGACQCERSFGLAAVFDLVL UV8b_02480 MQSPTSSTVECCGESICSQQHEQDSQRPENRAGILLIPEDHHHH QVADSHLASAGMLTTNTRTSPPETIASNTPHACRNPPPHAVHHPSSSRLDSSASSGGQ DVFDEEDLSDNEGGVFLEPVPSATRADTDFSSQPGGASTDDGYEASDDPRSTTSDLAD MEADQDEASIDEAPDPYLDLNGPSNIALHLQALYGAAPTMATPHHPPAAWAGAADSLA QAPVVVESMSMSSWDDYADALPPVQLSNPNPTIPGSENLGLVDFLRNWAYQSGFAQAS FVRPPHLHQVLDQAGTDVSEVRYSDLGGDECDFQALNWLAMETTRSAARLRRRQTYKN YVNRAGSDELTRHVDDAGIPSCQSFFRFRKMTIRQDVHLAHFQLRSVLACPSRTHAYY PSPVGVNRINTVSRQTDCVMSMRDFPAMGGAISTLDAGCGVLMGGTFNGDYCLKSLNC NDRTRFVEGQITSDFSGITNHIRLYRPRRSAGPVAAIASNDCGFRVMDVNTEHFISET TYPCAVNCSALSPDHRLRVVVGDCPNVFITNADTGEILQELTGHRDYGFACDWSEDGW TVATGFQDRGVKIWDARKWCNSSGVSTSICTIRSEMASVRGLRFSPVGSGHPVLVAAE ETDFVNFIDAQTFSSKQTIDVFGEIGGVAFANDGQDVNILCCDTHRGGLMQVERCGRG PGSVRDSLRQRDSSRGRRVGEDEGGCFRSRWARSHGPALLDAHLEPF UV8b_02481 MVHVRISEPPRLTATTSLTSASRVYIAGLHGQRLKSKFHPHAPA PPLPQEFQQPPKMKAAVMLAAAAFVRLSLAAPAHAVFKRGEIFNLYQLAVNSFSDQTT KDLFRKNLELLWRPAGPLPISTAYFSQMASEYAARMQSYLDKGLDEQVADALTRLYHS HNSKEYPVEKAYVDDFINKIAATMEGK UV8b_02482 MSPEQIDAPNLAEGSPAQSLPSRPSKQPKEKGAKGGKNAGLELA ELPQFIQHRLDIFDEIKARQDAEDAAKPRQEITITLPSGKEEKGTSWETTPLMIAKGI SKSLLERTVITKVDGELWDLTRPLEKSCKLELIDFESEEGKAVFWHSSAHILGEACER RFGCFLCNGPPTKDPPGFYYDMANMEGQVVAEEDKKALETIANSIIKEKQPFVRLEMT KDELLEMFKYSKYKEYFISQRVPDGTKSTVYRCGPLIDLCRGPHVPNTGNIKAFSVLR NSAAYWLGDSKNESVQRIAGISFPDKTALAQYKKFLEEAAKRNHRKIGQDQKLFFWDE MSPGSTFWLPHGTRIYESLMTLIKGEYRKRGFQEVMSPNMYKSDLWKVSGHWNHYEEN MFTFDVEKERFGLKPMNCPGHCKIFAHSDVTYKDLPWRMADFGVLHRNEFSGALSGLT RVRRFVQDDAHIFCTIAQIQQEIEGAFDFLYSVYGLFGFEFKLKLSTRPEKFIGDIAV WDMAESKLKDALDSFTQKIGSQWEENPGDGAFYGPKIDITVYDALRRDFQCGTIQLDF NLPKRFKLRYVQAKDEAAAEGAGIEEDLPVGYARPVMVHRAVLGSFERMIGILTEHFA GKWPFWLSPRQVLVVPVMPAVNDYAKQVQHACNEQGLFADVDLGSNTLQKKIRTGQLD QYNFIFVVGAEEEKSRTVNIRNRDDQSTQSKGELIPLAEALDHMAKLKAERRLLNTL UV8b_02483 MNNFGVIELAGTKTTSAPGWAYVPDTDVARGSASIQPANRKRAR HPTGGGPSLSDLTARQEAKIRKEVEALERDGNRDNTIPLPVKSGRAQSKFTPSVRKVM QSQKTFANHLDDYLALQALAEANPANASSKRSGGNRKDAAASSALLKSCAADSPDAAA RQLPPAPPPPPSPPFEERPAAHPGDDDALLMSRVPEVPSDEELRRLLSHPPLPYLDAL GTWDERYPPRVFCELCGYWGRVRCLKCGTRVCALDCLETHREECVTRYGI UV8b_02484 MPAASGFVSSTTRALRARPFSTSARRLADAPLPAKRPVGAFRGG LFGFLFGSVLAGGAVYTYLLQEYKASNDLLTEDIYTLQASVNRLTNYVKALEQRTQEK K UV8b_02485 MHHKKVAYGLLAAFVSLACAQESSDVVQLTEKNFDEFINANPLV LAEFYAPWCGHCKALAKEYEESATELKEKNIKLIKVDCTEETSLCSKYQVEGYPTLKI FRGLDNISPYNGQRKASAITSYMIKQSLPAVSSLTKDTLAEFKTADKVVLIGYIAADD KASSETFTSVAEKLRDNYLFGSVSDAAVAEAEGVKLPSIVLYKSFDEGKNTFTGKFDA EEIEQFTKTAATPLIGEIGPETYADYMSAGIPLAYIFAETEEERDSLSKSLKPVAEKY KGKINFATIDAKAFGAHAGNLNLKTDKFPAFAIHETAKNKKFPFDQDSKITADAIAKF ADDFASGKIEPSVKSEPVPEKQDGPVTVVVAKNYEEIVMDEDKDVLIEFYAPWCGHCK ALASKYEQLGEAYAKSEFSGKVVIAKVDATANDVPDDISGFPTIKLFPAGAKGSPVTY SGSRTIQDLVQFVKENGKYKAAVSVKEEATEEAAPPAGAKEGDADEEAHDEL UV8b_02486 MVRFDPRNKGQAGVPFYTPAQEPAAGTAADPASAPTLFQPLRIR SLELHNRFVVSPMCQYSADNGHLTDYHLVHLGQFAFKGAAVVSVEATATEPRGRISPQ DSGLWQDSQIEPLRRIVNYVHSQNTKAAIQIAHAGRKASTLAPWIGGSVHKALAEEAD GGWPDDVVGPSAIPFGPGYAEPKELSAEEIGALIQSFAESAVRAVKAGFDIVEIHGAH GYLITEFLSPLSNHRTDKYGGSFENRTRFLREIIEAVRGVIPEKVALWVRISATEWME HAGKPSWDLESSIKLAKLLPALGVDVLDVSSGGNSFEQKIPHVKTYQTNLAREIRRAL RADSLDLIVGSVGNIDTPEFARDIVQEGGEEQSADLALAARQFLREPDFVLKAADKLG VPVKWPVQYHRAEPKSVHDAFKL UV8b_02487 MASATRQFARVATRSALSVAPRQAFRQQGRRWYSSETGAQKSSS TWLYLTGAAAAGGLGFWYYTASAAPSAASNKFVNPTKEDYQKVYDEIASRLEEKDDYD DGSFGPVLLRLAWHASGTYDKATGTGGSNGATMRFAPESDHGANAGLLAARKFLEPVK EKFPWITYSDLWILGGVAAIQEMQGPIIPFRPGRSDRDVAYCTPDGRLPDATQGADHL RNIFYRMGLNDQEIVALSGAHALGRCHTDRSGFTGPWTFSPTVLTNDYYRLLVEEKWQ WKKWNGPKQYEDKATKTLMMLPTDMVLVQDKKFKSFTEKYAKDNDLFFKDFSAVVTKL FELGVPFAEGTENQRWTMKPTWEDSK UV8b_02488 MDPAPLPVVKFRPAKRRRVFRQSNRDYGADVEHSTTGTSAPEPI SSISSSTATATASATASASAPAPVAEPGTEPQPEDSLVPAAVRLRNARKARLRGVGFS TDSRSHHHTTTPELELALVRDECKNAAANGIPDRFTYQTGLISTLNDKHMNEYIESRL SSRAEPRSSCTRAGPSPDAPSSGTTSFGRPMGVQPTRQGRLVEVDVSSDPLQDGDPTK RRTGMTSQPAPRRRNRRGSDDIKRDQLVDAFLHENKLDVNKVPVRQPLRQPIRQPVRQ PRQHQSATGSTRDGRSADDRMAEEFRQRYMDEMAARRQRRRPIPMTRQQKQLQQQRAK DVLKGPKLGGSRNERAAVRNILLQQEKEKAGMRF UV8b_02489 MPPRASLTSSFSITDSNNEVVCPLRNQDGSSCRKRCIGEKRYRS MQEHIRRAHPEHYIPKLPATEESFLLMINTPPQDRRYEQASTAAGQDTHDRRPCHPNA SSNPGTPRNSDEYTTSGRCSMLGAASAAAALAELHTVKSERDMDMDGGYYSDSDGRRI PRTSIELPPLQLPNHDITSDPYTSNINRKCEILPSLLANSPPGRSSTLPPLQRPLGPN RPRKQSITKRGRDPNHKKKNSRGSAADWLRRIQSEDRFRIGNDRKALSAEPSADYGKR WEDLIDAADQAASAAGDIDEDRTPVPQSPVSNHRASLPPFTHQQFQTDSYQASPLQQA LTPPSYNQDNVDPFPSVESGESGENFHIGSRGLSDSSPSNTSSQNTQIYCAACQSISL LKNSYACTECICGLCSTCVEVLMAEQGARRKCPRCATIGGRFKPFQLDIR UV8b_02490 MALTIRKLNGDASFLLTLEPIELGLLGAATSPEPFRILLDPCLT APPGRPDAKLSSSKRDAPYPATLENLPEPDVIIISSNRGDHCNESTLRYFAHRGAQSL VLAEPTAARTIKNWRYFEQGRVLSLLPWQDPRRTGQDNAIRMPIPARVIGGNEGMVTV AFITRKRDRKRLHSAIGITYRPASSGPAVSRRPTSTIISKPSAAAPSLASQTGSLARL GRLDSPTLPPLPAFKPLASPSIPDLRTIRTSRSMASLSPHARDRGVSVIFSPHGIPYT GVEPYATSHLLAEAALPLTALLHCFDTVSRPWWLGGDLTTGFDNGQEIIAKLGARAWV STYDGDKGVTGLTARFTRHKKYSRDDVRQLVQGTADAGAAGHKSTAQNNNKMIERRTE VLSLSIGEDVTLTSEGIWATDQVPITDSTRLSIRHVSEHFCQWQGDAATFKKSQTRPI SVTV UV8b_02491 MAYNRPYNPDALPRFAEPEQKQKPGSAPPQTYQHGRYENKPTPP APRDNYSPSHSKPSQSQTFHGGVGAPKPAPLPQGRPAAQHHAPSSRGGGPPPSPALDA GSGSDPTLLPLFRAVDKDGTGQLSEMELSAALVNGDWTAFDIQTVRMMIRMFDSDRNG TINFEEFCGLWSFLASWRTLFDRFDVDRSGNISLQEFTDALIAFRYRLSPHFVQLLFR TYDKRNEGVMSFDLFVQSCISLKRMTDVFKKYDDDRDGFITLSFEDFLSEILKQLK UV8b_02492 MGATSASPEAADGAQPEFWLFGYGSLIWKPPPHFDKRLPGWVNG YVRRFWQASSEDHRGTPEAPGRVVTLMERSYWEKLVDHHDTAPERVWGVAYRIVPHKV AQVKRYLDIREVNGYTVHHAPFHPADGAPPIKTLVYIGTPDNEQFVGPQDPQILAQRI LRSRGPSGLNKHYLYSLDEALEGLSSESGDHHVSDLAQRVRALEGSVEGDSLS UV8b_02493 MAKLFIGGLAWHTEEGTLRQKFEQFGAVEEAVVVKDRDTGRSRG FGFVRYTQESDAQNAIAAMNNVEFDGRTIRVDKASDNGPRGGYGSGRGGGPSGFGGRA AYPAPVSYGHPHQAPGYHVAPQMYAPVAYGRPYPQPQPGYGMPPQGYGVPQYGYLDPS QQHQPPPPQGGRGY UV8b_02494 MKNFSSEAICKETVTVEPRVTDLHLSLQDRQTGCHEQMTDLSFH SFDLTNIGGSARRAPDTGQLGTRCRRSDAPAHFENSRPTLTNSRSAAMAELGRGLAGG STALAEGYSIPDHSRRPIIINELCEPSQVPRGKGRRVGEFPQLRQKSATLTEEPTYLD AMVFAGDFQEPGLCPPPIRQKKPGTSHGPAKAHTYAGFLEAVRPGPGPYKCPDAQQER TPSPCDGSCDESVNGGDALACPACLFEEDGVVHSEPLASYDSRRCARPTIMTENTPGN ETFTVPSRRCGHRRLLPYPPYSSTAAGLIASSGPRSRDMEKQYLVSQRAGKAKNGPIL CSRTMHQRGELNSESNLNVPGHLGQYQPGRGERVTHHDLRNLDTWMLASNHSPGEELV VQHHASVPSQVYVDAEGRPLLLTDAGKIYKLYKPSELNR UV8b_02495 MATTAALAATSSSILRRSLLYVPASSQKMLTKSLALPTDNITYD LEDSVTPSLKQAAREQLKSHLWSLASRPQSVSELAVRVNAVSTPFALDDLTALSSAPL IDAVVVPKVASAADLTFVSDVLRHAAPGRHADGSANPIKIIALIESARAVMDLASICR ASPFLSGLIFAAEDFALDLSITRTPSLTEFLYARSAVVTAARAAGLPSAIDLVCTSYR GQEGSSRLQDECANGKSMGFNGKQCIHPSQVSTVQAIFSPAEHEVEWAVRVCIANDKA GSLGRGAWTLDGKMVDAPVVGKAQAIASKAQQCGFDIDALRRKWKDEEPE UV8b_02496 MEQQQQQQQQQLPGTLSWRLSSHPITLLTFLAFRISSVFVYFFG LWFSSSMVMIFIITILLLAADFYYLKNIAGRRLVGLRWWNEVDPQSGESKWVFESSDP GTKAVNATDNRFFWLSLYVQPLLWILLAVLAIVRLEFLWLPLVVIALVLTIMNTLAFS RCDKFSQASNLAGSALGSTNLAGSIATNLAGRWFSRG UV8b_02497 MTHDMTTLEDEAGQVVDGEGFSSPLPPTSTEVASPWAIEDIPSG GLRPIDFCETLSSQWKLRVFNVKTTLRWRVDGNTRIHWIAWMARSDLVSEEETIDKLT AGLPTGDRKLIWPVGNDASGVTLEVTTWASQRTYSTPHRYQPQPGDYMRLKIAWTNQD TQERGNSTTGLFTVAEGTGADSTRGKWSDDIFNHFNSSQKVGGIDPSWEYHLSTSSSH DTQSAHTTAAFPPIQASSPSSGGLAPSQSGLSTGAIAGLTVGCGIALVLIIIGLVWFP VVRRRRQKQQSYDAQDKASACVEDKSAPVAQHDDSGRSPSSEDNTRSSQAVNPVAALL ASSRSELGPAHPRLHDAQEEPSRHASRAVNYAEQGMSDEERRRWEEEERQLDDEIARA RGC UV8b_02498 MSNTMRAALDDQAPKPASHTDDFGLPIRHYQARAPPGGTTVLAP AEDAYEGATDATKAVSLDKHGSSSSQLPPAAPTSASTSNTKSGKPFHENNSDGGDADN ADCVDAQTAVPINACRSDKTEPGSNALRTPGAMLAKDNPSAGTTSECYPEIDETSHNK TRAPNAVENEEKGDAVVDAREEPNHSPDVTTSNARAKSEQVSSSQPKVAHSRNASGVS ASSIGSGPNVVLSELSEFSHQKLSTQKEQAEEEDEDADSGWQAMPAFAPYDIYDDDNR LIAREHNDEDDEKYGYSGLGGAGKGYTRVVMDDDAESATSMDENTQYLFKDARGTALA DEEGRDAISQMQATKDLLTEGQRIAYVGVVRLEIANMLKEIQERQPAKKNKRHITMSA ESQKMWGQKMMIRLYSHMDISEAEQIMIEQLAEHGVMPRDLTPALHANSRVHNPMAEE SKRSPGSSSASPSASPREDEEPAELLPPPYGSIDHSEVPAVQSPSQLPTTQKIDIDLR WTVLCDLFLILVADSIYDARSRVLLERVAGSLEISWIDICKFERRVTDALEMQQAAEK ENWNEDEHMESRRKMAQTRRLMMMGLATVGGGLVIGLSAGLLAPVIGAGLAAGFTTIG VTGTSSFLAGAGGAAIITSSAAASGSLIGGRAANRRTGSVKTFEYRPLHNNKRVNLIV TVSGWLTGKVDDVRLPFSTVDPVMGDIYSVLWEPEMLRSMGDTINILATEALTQGLQQ ILGSTILVSLMAALQLPMVLTKLSYLIDNPWAVSLDRATSAGLILADSLMDRSLGTRP ITLVGYSIGARVIFSCLRELSKRNAYGLVQNVYLFGSPVVVKNDEFLRARTVVSGRFV NGFNRNDWILGYLFRLTNGGIRRVAGLAAVGTRGVENIDVTEEVTGHMQYRTAMPVLL RKCGWEVESDEFSEIEDPDPENHDERQRELINEIEEARKELEKEGKKKSSRFSIFGRR KKIERQEWEVYEESAHKDGKDAKASGGKAEDGNSSNHGVLFDVDAIRAELAKEAYGDT DADAELTLQIKEIISTLPPMKLDVPRSTRPIPPSCADARGSLRETKSADMIIASGTGY ASAYGSRELSAYHADALEEEVQMTFDTSFDEPSLTHAETLALGEPTCSRPGMRTSQTL PNIAISDPWADPDDEDFGTEKEISMTFA UV8b_02499 MRGKRSKQYRKLMERFCMTFGFREPYQVLVDAEMVQDSCRFKME LAPALQRTVHGTVKPMITQCEIRKLYAQKNDAVGREAIEVAKSCERRRCGHHPDEYPE PLGTLECFQSVIDPKDSGENKHRYVVASQNQDLRRMLRAVRGVPLIYIKRSVMILEPM SDESAQLRAREESSKFRAGIKPTIGKRKRDERGHTAEDAATVADDEDGVGTLNGHNLS SAASARKKAKRPGPKGPNPLSVKKAKKKAEVSKQNKLSEEGPVKSKRRRTAKPADTQS ANDTQGDGTALAEPNGDES UV8b_02500 MRTSQFSKPGAISRRFPRQSRSLGPPWRRGCCQRPYATTAPLES SDSQAERPKPPFRLETGIGLFAKRPPRPFPPPFTSPPSGSFSDPLSTHHRSRDRRSFV NGELIKGLTNGDDAVYASESFICANDGVGAWAVRPRGHAGLWSRLILHFWAAEVERDM SHPSSRDEPHRPDSISMLQTAYEKTLDATLAHDWQGTTTACGAQLLVRHENEGSSDKP MPLLLVTQLGDGQVMVIRPRSKKVIFKTAEQWHWFDCPRQLGTNSPDTPKDNAVTDAV DLEVGDVVLAMTDGVIDNLWDHEIVENVLQSLSSWERNPGKSRPKEERESGHPGMSAV AADLVEAARAIAVDPFAESPFMEKAIEEGLASEGGKLDDISVVAALCVENK UV8b_02501 MDMNHGGSVAPSCKISMLWNWHTIDACFLTPSWHITNNGMFAAT CIGVILLVVLVEFFRRLGKEYDAFILRQFQRESSKRYIDGNSFGSQLVTFRATLLQQS TRAALHAVTFGGAYILMLLAMYFNGYIIICIFIGAAMGKFFCDWMEVNIDMDGRHADD GRVKAIEDPSICCG UV8b_02502 MLEPRHIQNFSDGATSEPHWGYADRIVPCKNDAGSCQYLDAVYL AHDLGMLYMGVLWATIFSVLFIWALWRRARRPAVQNILESPRPNKGATVKLTGTIATA ARRFLLPDASNLLFGRTTRFQVALLALLAGYLFVLSFLGITYATWVTPVKNMPGVFST RVGLGPWADRVGVTAYALTPLSIMLSSRESLLSVLTGVPYQSFNFLHRWLGYIIVMQA ILHASGWLVIELHLYQPQPKVGREWIVQPYMIWGLVAMALLLILFALSTPWGIRLTGY EFFRKAHYVLAMVYIGACWAHWNRLECFLVPAFILWGVDRGARLIRTALLHYHPTSST LAGFSPATATITRFPDSEHGDVLRLDLTNEQDIWKVGQHFYLCFTESSVWQSHPFTPL NAPVVEHGSVKHSYVLRAKSGETRKLAELVQRKKARSAEAQRMTTSVLLTGGYGENLL ERIDQGTNIVCVAGGTGITYVLPLLLHLARDRLRSDRRIHLIWAMRHSRNIEWVDDEM AALMRRAQEGLNLSISLFATRDVGDTTGNWIGKCDFGGAAADETSSSPGGIRPCHVEA ARGTEKMADISTCDGRRPNLVKLVGTFVESTVSGRTVVFASGPGGMISDLRSTVADLN VPSKVWRRQERFDVELVCDDRLEW UV8b_02503 MGSVGADSDSQRAVTAILNSAIAAPAIGAAWELGILEEIHRNKS ISPRNFAKQHDLNPVSTEAIVAALMAVDILAKYDGEVAGGKHFEEAYKKKSIFHFTSL GSGGLFAKMASLTRNANRVGGFHSRTRDAAAIAYACREINHVYYDPAFWKAMKELDFD FKSVVDLGCGSGERLMEILGRYPGACGLGVDIAMPSLQVAISETAERGLSDRLSFVEG NVLALEYRDEFSDVDLLTCFMMGHDFWPRENCIKTLQWLRQAFPKVRRFLLGDAHRLL LNSRDQGEPPTHAVDEHNVPFFSLAFEAAHALMDVYVPTIDEWEGVFEAGGWRCVRKH LIETADWAVVFELEHA UV8b_02504 MSGYFLIGLAILAAYLYRLAYPWPYKGIPYNRRSARRLLGDIPD LIQFVKEGNDSDQFALEQCRKLNSPIVQLFFWPFCRPLIFVEDIQQVESIVCSRGGEF DRAPSTITAFRPFVPTSSILKLTTPEWRAQRRLWKDAMSGDFLRRVVARRMYHTSLEL MHLLKTKAVIADGRPFPVMADFELATLDVIWSCFLGSELKGVLNETRGIERAAGETRQ PEAKDEPAEIPAVSRLDVYRAIDYFNMTLEKTLSSPVPRWHHWLLRQMPMYRKHWAIK EEAINQLIEAARDGTSRSSEAGSERNEHVCALDVMFQRQRQQMLANGQKETAHLVPQT HEEIHDELLMFVITGHESTATTLSWSVKFLTNWPEEQAKLRDALRGALPSRNPSVEEI LRSNVPYLDALLEECIRLANVVPRIVRVATVDTQLSGLPIPKGAQLMCRSQSAGWPGA AKDAHGGAALDEFWPDRWLDQAGNFNPAALPKLGFSAGPRACFGKAFAMQELRVMLTM LILRFRLEAVPEGLNSMQSRPRALRAPRCAYVRLTPL UV8b_02505 MCMDIVRNGDVYEENGDKSDLLISILHPAAIYGPPSASLRRRNK TQDDNNTVVSRHFAQVRNAWDDGCRLGQDEEVKAPAPPSGHNRDNQV UV8b_02506 MSSTEALSRDSLFNLQGKVALVTGGGSGIGLMATQALAANGAKV YITGRTREKLDRVTAVHFGGNTDKVVPLQADITSKESIRSLVKEIASREKCLCVLVNN AGISGETQSTAEAKSAKELQTSLFDGSTFESWLDLYRTNVAGAYFTTAAFLPLLQASS EQHAGWSGTVVNITSVSGLIKSAQHHFSYNASKAAAGHLTRMPASEIAAAGLKIRVNA IAPGVFPSEMTTGASDDKQKSQLPKEQFEGKVPARRPGKDEDMASAVLFATCNQYVNG STVVVDGGYTIEAGK UV8b_02507 MRRSLVGVVAGLATATASSASPTREGSTIGARAGGFWYADMDHT GAPRGYAPHADKAGEYAVFKAVKPGHGADIQDAIDSAVNGPREKKWLASQPRVVYIPS GTYTLSKTINLRTDTILMGDASNPPVLEAARDFSGNTLINGQDPATGVSGEISFAVGL KNLVLDTTAISGGHNFTALYWGVAQVAQLQNIMIRMPSSRNGQGHTGIELGRGSTLGL ADVRIEKGQNGIWHNGHQQALYKSIYFYQNTVGMLISGGNTISLLAPTFDTVGTAVKN TGGSPFVAIIDARSINSGVTFDSSVYPSIVIDNLTKDTNSDIVQLPSGTALGAASHVN NFSFGNTVGRHPIYGATQSSATRPAAAAPGGRIPVFAAPNFAGNPITDFINVKDPMQN GGHTIKGDGTVDEAAALNDVLQFAAQHNKIAYFPFGDYRVESTLLIPIGSRIVGEAWS TISGAGQFFKDSSNPKPVVQVGKAGDVGTAQIQDMRFTVAQVLPGAIIVQFQAAGSKP GDVALWNSLITVGGTRGAPDLTGSCTDASNECMGAFLGLHFAKDSSAYVENVWNWVAD HITEDFDGGSSIAGKGGALVESTKGTWLHALGSEHWWLYQLNLRSASNVVVTLLQSET NYEQGDNARQVVPAPWKADVEGWGDPDFSWCNGGDKRCRMGFANYIQGGSDILYYGSA SWAFFSGPGYQACSGPYQCQRYMHYISQTPKNLQAYGLCAKDTSVALRLGDGTEIQAQ DGFSGGWSPGSDVGRYTT UV8b_02508 MGLLETIDTCITDLFSQWNAYSTALATALVVLVTYRIMSATEPD AHPLILASQAMPSAVRNEGESAVYRSHSAPHGMPLNSGLNVKDAGAPKFSAGRDGDLR HVWQKAAGAGESGAAGKLLTVFGSENVIEHKFDDINRQINVFGRYVEEQGFVRVAIYL PNSIELLVALLACSFYPNLTAVILPFDVPESELISMLRRSAVDTVVTASGSFPLDAVV QAYPSLRQLIWVVDQGSRHMDWNHVPEGMGSSVNVTTWQDILNDAPHAATAELPAVDK DRAPQDIVTFWQGKNGHVEEMVRFTQANLVSAISAQIAAVPAKQRLSQADLFLPADSL SEIHALTLTLAALYSNASVAFNSVAGSSCDLALATRGIAPTVIVASPASLLRVHEESI RRLGGGLAKLSHTISTNTLTKRGVLAVKNSLSTFAAAAHPCLGTTPGKLRIIYVAERI GGDAPLLSSQILSDLRIFTGARIVYALAAARVAGAVTQTALFDYRVNPSVEAHFGVPL SSVEVYFKNMGDYTTTDDVVQGEIVARGPCVSGGEARLGIAGKLNDDNTLSYA UV8b_02509 MSGLKQDYLIHHRIRPALSADAEGRLPEKLPSIFADALALTRAL NYRYLWIDRLCLQPSLTLIIAADQDTLGGIPGVSIPRGDQLSLNLKTALYTTTLTRPD LEVASSKWDSRAWTFQEGLLSRRRLIFTPSQMYFQCRALHFHESISLPLRLAPDFKLG RVFPAGDGCPKQPGRFQALIRAYLCRDLTDNEERLDAFQALLGENDGRDGLRAAHFLG LPLFHPDVFLATGVVSETDRLAASLGWICDWATSSKTPTPPSCYANNSYPSWTWLAWN LGSGHTMADNMFSFNLVGDTSPILNGVSAPPAMEISVGYKDQTVLSWEVDRDAISQKS GVATFLRLRTFCFDLDVSVDQQSNLVTMQDAALSNGNRLVIEAMVKAAVPRDSGPKKH ERPTQAYRLVGVCSCQAETGAGAPSAPPRP UV8b_02510 MHFPHSKCTLPCAERPTRGNRHRRSPAPTASYRSLLLRPRTQQA ARPCSHAPAAPYDGPRGKYQTFLDLKTTQKTSRSKGLEFLDVRKQGQDVRMLNGDKQS DGATAMSATRESGNRGTETDGAACPGSRRTTRVSLSRLGCTNCRARLLDCIENGEPTG CLPRPLWEQRHSARGKLCIIKPAPIRSYQSSSIGLCTGGYYSQGRWARYCVRSIRPDP I UV8b_02511 MTVLDAFSQQAQHGDCCNSNSNSNSNSMGRGASTSRCVLNHHLC NSPRKRNDLADSSRDPNSITAPAATKTKTDSGITHTIPNNSVVTVTKHTVIFSEAPSV STTSLTTAEPESTSETGPPTPACQTCSQAPASTARPPDAGSLGISSGAIAGAATGAAV ILALVVVICVVSRRRARRGEHPDPVETETLGRRSPVEGGYEKHAGADAHCGSDPFAPF GGRADQTDYRHRPPSGTFEMDGTGIAPVELPAVSVTEVANDGETVMSGRAVCPVKKDI FDPTLNLGSANWPTGKYHVPE UV8b_02512 MSRRTDSGAKVIRDPSIEQVQTLYVVSGPMLELPGKARGRHQDA EVPHDLSESDSCDPEVDSGQWTEAKMTSTSLSAKSQQLFCSQA UV8b_02513 MSNQRTSRPKPPPPGNEEASATLNLGEFQNVDTLTLSEASLVLN ALVAKRRNDRKNVNETEMLNQTLNYLDHFSRFTQKENVEAVERLLSAHKDLAKFERAQ LGSLCCENADEAKTLIPSLADKIKDEDLQDLLDEISKLQNR UV8b_02514 MRYRQTDSTSLVGTMTVFALIIINKAGGLVYNKTFYEGGLRKIS TNDYLVLAGTFHGVHAIASRLNPVRPTALAPGTNGVVNRPEPSSGLEVLETENFRLQC FNTLTGTKFLLFTDSTQANVDVTIKRMYDLYSDYVMKNPFYALEMPIRCDIFDRKLSS YIREINNR UV8b_02515 MGLEAVMIVVDNSESSRNGDYQPTRFDSQVDAVTVLFQSITHGN PESSVGLMSMGGKGPEVLVTLTTEQGKILEGLHRTKKKIGGSSHLKTGIQVATLALKH RQNRSQRQRIIVFVCSPIEETEKELTQLAKKMKKGNISVDFILFGDLDDDATQKKLQA FNDAVRGNEGSHLIVIPPSSKLLSDQLVATPILLGEAASGAGASGGIDGGNEEFEFGF DPAMEPELALALRMSMEEEKARQEKKAREEEEAAKMASLEGIKEENEPGPSGSGDKKD GGDRMDTS UV8b_02516 MAPARTKKKVSDQSVAQAKRQGTTTGTQVAVKSPSTPGDRSPIR RRRMALSAPQKQALIDNLQLEITERARRLRAQYQLQAQGLRSRIEIRINRIPMALRKL KMGDLLQKYAQQEQHRIAAERPPVPAKDTPRSTRQPSVPSRVMPARRGSKRMSNAISG DKENEVENMDANKKRFHLTQADTGRPRPAQVLSPTTSNSRLVNRDRPASPIKSYIARP ASPFKAPNASRAAAATSVLSSMVEKARATRARGTRKVTTASEISSSSNGTAATGRTRR PVGTAASRAPASRPATRAGHRVSSTSETSEASAGTVVRKASTSRKTGGPATRTTVMKT IRKGVAGDTKRAAASSKPAATTTTANATGRVLRKRG UV8b_02517 MADTEKADLPAEPQARHVIYCGVCTLPPEYCEYGGTVKKCQEWL ENNHRDMYDRIWSAEALEAATASLSVDAQKRAAKDAQKKAAKAEAAEAKHADMVANSV VTIKRIERNKKKFVTAVIGLEAFGLDLKKVAKDLGKKFATGSSVTKLPSGGEEIVVQG DVSHELEEFILEKYKEIPENNIELVDDKKKKKAAA UV8b_02518 MSDHFPTPKGVHYLPAPILPSSPTTVSGDCSSPSTSSNLWNRAS TATDNTEFEDLYDVSEEDDDDNIQRGFGLSSARKTARGRTISHLLSTQPAPLMIPIRP EGREETWSAVDELKKITSPMPITPSVQLSMPPDQMDFMGKQQSLELPTVSAPPSLDGS LSSEQLAAMSAPPTPVIGNDENDTEEIWAGIQLQPDALATLQALSAGEDDLREDQLQV IDVSMTASRPMLEMTQQPLSLVTNFATRPVHGEIHSSAPSRQSLAGLTSLDIPSPSGF FLELSPRTRNTWHPPGHSPLDMPPPTSTTAEQFYRCPWNLDATVPPARKCPDSATGFY QSGNMSSEPVEQVIEVKDDENDDLTDDMPTARPLVPLEGSNGSAAAANSPASPEAEEV PIEIVNDYEPSYFRKQQMEALSNLGRTELWLMAQRAYLKGIDGDEAILKTIQDSPEEE DDDYVSKHPIPESGTEHNPETKKKTVRFSNIIPTTVQAKQLPSKLSRRESAYYRAFQH YIVRTQLQDVFVQQLSRFEALQSQRTALRETHRNQLLGKYQLSVVPQSAKKRLSANVA RGDLVLTDDLEKLREEKEFEAMSQMTIPTWHVAALKFLNGGKLISAPVTKRLARISQL PRGRDGMVLERSRVLDLGGQSTGDWAWHCALQYPNAKIYTVTTKTIRQLSNANIRGPP NHRQVAVERLTKLPFADDQFDLISARELHGILKFVGENGEDEWEGCLRECMRVLKPGG YLEFSILDSDIINAGPLGRAKSVEFGFTLKTLGYDPSPSKLWLGRLARAGFDNVRRAW LCLPIGAKAKRMTPPSQATQGDVVSFEDGKSCQTEAMVTGSSDTIANVCSIVGGWSWE RWLLRCEMEKVAGEMRLADTVTTGAAMREAGKCLDGVHAVMEEGRTCKAGVRMLNGYA RKPRGRSEVIQIALAQ UV8b_02519 MSDMGNGLGPAQPTTPSFDLGPLGSSALTTTCRKLVSLVNTVSD AKLAHKSTTIMSKRFDWQDEAMRRLRQVQSRSGYGGRPGGGPPQIPRGTNGFLIGGIL LASGAWVLSNSLFNVDGGHRAIKYRRISGVSKEIYNEGTHINIPWFETPIVYDVRAKP RNVASLTGTKDLQMVNITCRVLSRPQVEALPQIYRTLGADYDERVLPSIVNEVLKSVV AQFNASQLITQREMVARLVRENLSRRAARFNILLDDVSLTHLAFSPEFTAAVESKQVA QQEAQRAAFIVDKARQEKQAMVVKAQGEARSAELIGEAIKKSKSYVELKKIENARLIA QQLQESGSKNRLMLDAEGLGLNVFEGGETK UV8b_02520 MVSQADNLLSCKRVRTLTGKEIELDIENEYKVAQIKEKVEEKEG IPPVQQRLIHGGKQMTDDKTAADYNLVAGDTLHLVLALRGGC UV8b_02521 MPVRSLFDLATATCVKNLRGLESIGDYLPYEAVRHILLKVDSAA QLRLIEINSPQIQGQTGEIWLKLIEKDFPMEYKAKAYKPQNPDRWFRVWDKYKRDHDA ALQESEDKLKNALAGLKQAKENNTSRIIERKLLPKSVRLGGGKRLYGGSRDAHSNHLS FNAGSRTKTANGASVMRKVRREVKEIATIHGALSRPIRLPRRMPAVQKAPASMVTDYR RAAQPQYQSLAQPPDPSAAAMAEHVERATYISDSDEDGGGGGHGDGHGDGHGDGDGDG DDGCDRLFDEDGGGSSQAPLEPKTRTALQSPPQSLPKPRPPISSASSSSLSRPSKSAS ESSRTSPVKRVSGILSNKYKGSVTTAQPRASASSPPPKALVRASPMPQPQPEPPRAAQ HLPRTQTCPLRGASAGPGPASPPASESSPPGPPPPPDGILRKRKAVDIFMRRKKRSA UV8b_02522 MADSSFVKPEPDDDKIMPSATPMDEDDPFEDAGDLEFYDKSIPG NSSETLYLARVPKYMWDSWLKLAERLGDKDEIQIGTLRTWNEPQPDASVEGGTRDVTK LRMLLNSNCPEHQVIPREYDLEILDRDVKNHFIFSEEDLPGYKIKNKARADAAAAGIP QFLLRQRQNQGVERTERSFDRRSRYQPYYRKAIPKRTKIFGKIHYDVRVEPRNIQEEE RLLAKRIFDAEHSKSKLQIISRNSASSIINPGTAGSATWGGNFIKNAAPIVKARKGEV LKAARIPKNQLLDLMFDCFRQYQYWSMKALRQKLQQPDSYLRQVLEEVAVLHKSGRFA NHYGLNDAYKDKGGSEAKEEAAEAADDGDEDENEEMEDVLPA UV8b_02523 MPLPAQAVVRSCTSASPVAASKLRMVFDFDGTITAQDTISELAE SAIGWQSRRAGQDARPAWDRAVRAYLDDYDAYMAAFQPSEPERTSIDQEKLLLAGLKS VEEASLTRVSQSGLFAGLQRHHLARMGSEAVSSGRIQVTRGFKALCDAAAAAGPGAAQ PTVLSVHWSAAFIGGALGQPGVCVIANEVSEDGHINGPSPGASRLTTSADKARALGRI LDGPERLVYFGDSATDLECLLWCRGIVVAKQEERSPLLKTLARLGLAVPHVGQLRHHA GKRLFWARDFQEVLESDVLAHFQLGRAQDEDEDEDGST UV8b_02524 MTSQSSAFHQGHPRRAQTGGYLPIEDYGMIGNMHTCALVGIDGS VDFLCWPDFDSPSVFCRLLDKDKGGYFSIAPPAEFNCTTKQQYLPSTCILQTRYIHED GVVDLVDFFPRPKDGNVMTKDFKQSSYREAKRVQEELKKWLVRRVECVRGSLTLDVEI FPAFGYGLEHHVTTLCSPSDSESKIATFHSKDVKLQVDVAVQEGDCGKGCTSVKFKKA TKEGIAGEGLVARIKIHEGEAISIVLRNDLPNHITGYISALELDTKQHDTQMFWHQYI RQSKYKGRWREVVARSLMILKLMTYEPTGAIVASPTFSIPEDIGGVRNWDYRYSWVRD SSFTIYILLRLGFRSEADAYMDFIMERFVKSRWPDGGLPIMFTIRGGTEIPEFTLDHL DGYRGSKPVRIGNSAAFHQQFDIYGELMDAIYLYNKYGKPVPWDVWCAVRELLDYVLT IIDKPDMSIWEVRGQKQHFTYSKIMLWVAFDRGLRLADKRCFPCPNRANWMAARDSLY EEIMMKGYNTEMNAFIQSYENRTVLDSSILIAPLVFFIAPCDPRFVSTLEKILLPPEK GGLTSTGLVFRYNTDLSDDGVGGRDGAFSMCTFWLVEAMTRAGVYEPKYLIRAVNMFE NMLGFSNHLSMFSEEIARSGEQLGNTPQAFSHLALISAAFNLDRAVEGWTGPR UV8b_02525 MPLGPSNSSCPHHTSSRLSSSFPRSVDNSASFSILGGQIQTDPQ PPASHPAGYSSSSSSRDTAGPGSGGIDIEMEPVPGHRRRKSSLMNPIGGPSNIGIPLP GRPITRSSRANGTSDMLEEPKEHGESSNRPGDSSSSRDGSGRDSLSDEDVRDDEEMGL TGEDRAQKQKKRRRNTLLDNRIAREKNLSADEKKEADRNVIKSLVINGVFILLWYFFS LSISLYNKWMFDKDLLNFAFPLFTTATHMLVQFGLSALVLTFVPSLRPQVAHNSNGER SRHESDSQGSVMSKMFYLTRIGPCGAATSLDIGLGNTSLKFISLTFYTMCKSSSLAFV LIFAFVFRLETPTWRLVAIIAAMTLGVILMVFGEVEFKLGGFVLVISAAFFSGLRWAL TQILLLRNAATSNPFSSIFFLSPVMFAVLFILAIFVEGFGPLWDGLRVLTEQWGYIRT PFFLLFPGCIAFLMIASEFALLQRTSVVTLSIAGIFKEVVTISAASIVFDDKLTPVNL VGLLTTIAAIGAYNYVKITKMRHDAQTKVHERHTGSDSVPVVPISQYGRGSDGENDDL AEETAGLLLQNSEQDQSFVTPHPPHRPSNS UV8b_02526 MAYQPPPGTSSLPPRPPASAGNGSTGRSGFKPAFSAFSKAPGQS YSSGTSYNAGGPPGYSGASTTPHYGQSTYQSHPAAANPNLSSGGRGYGYQAASAQHQQ HQHQHQHQHQHHHHHQHGYGPQGYTQAPAAPYVSAPQIRNPFPSPGQQAATAAAAAAA NPNDYDPDMAAQIAQWQSAYSRDPAAPTFDRYGKPIPADVPPRPELPPTAVPDNPDKK KTVVRQGGGKKWTDDSLLEWDPAHLRLFVGNLAGETTDDSLLKAFAPWKSVQKAKVVR DKRTNKSKGYGFVSFSDADDFFNAAKTMNGQYIQSHPVIVKKANTEIKAVTAKDKKRG RQNHKKSGNKQGSDGSFGPSAGGYEPSLGPVAPTGVVKPGQKTKNGLRLLG UV8b_02527 MSGPVADLGLIGLAVMGQNLILNMADHGFTICAFNRTVSKVDHF LANEAKGKSIVGAQSVEEFIGKLKSPRRVMLLVQAGKPVDEWIEKLLPLLEKGDIIID GGNSHFPDSNRRTRYLAGKGIRFVGSGVSGGEEGARYGPSLMPGGNEEAWPHIKDIFQ SIAAKSDGEACCEWVGDEGAGHYVKMVHNGIEYGDMQLICEAYDIMKRALGLSNKEIG DVLAKWNKGVLDSFLIEITRDIMYFNDDDGKPLVEKILDQAGQKGTGKWTAVNALDLG MPVTLIAEAVLARCLSGIKPERVEASSKLQYVSRGSGKFEGNKEQFLEDLEQALYASK IISYAQGFMLMQEAAKEFGWKLNKPSIALMWRGGCIIRSVFLKDITAAYRKDPELRNL LFDDFFNKAIHAAQPGWRDVVSKAAELGIPTPAFSTALSWFDGYRTKDLPANLLQAQR DYFGAHTFRIKPEFASSKHPEGKDIHVNWTGRGGNVSASTYQA UV8b_02528 MAQPDPSSSVPQDLMPHVHLVSSYRYPTMPRLDLSEVAKWLMTA PQIARDRAPFFWTYLDKPADGTILLTWQPLQRLGTNFATDGFVWAPPEQVYKHDLGNG LMLEIYYLKTGYIPGEQYALHTRRRFRLIPAPGHPNPPQLDMSLFIVHYGPSEHNDRI PATMVPYDERVNAIMGQRSYLLRAGQIRRKEFMLSDRVNWPSLPELTRQQMGPQATPR GVPQQMAYPPHAAPGPPAKRARHAQGQNQQQQPAAQSAPPADDDDDDDDDDEDVSRGD MFDLWTPRELAIERYRQNHEWMEEILSSAYRLGQIQPSDLNLGLKGELASLTQGIFAA HGGDLSAQSPEKPYVGRLDPGLADEFRKRVDKHIESTQAEMKSMEEEHAKLMASLNAA SLLKAKEREVRSIPDPPAPEISTTQDRTEARDEQGKTLSPAAAAAAAAMHETTTTTPP FDEMLKEVEAALGRKIEARPRVKRVQDGGHLAPAAKPPTPAAHNPQMSRQPSQSGSHN SGLMMGDSDMDMGGTAAGLLDQMHTGFSSMSTPQAQASAAQSNAPTPSNANNAPSPGP VATLPPAQSAEPAGGPPRAAPQAAADVDMTGTTDGVAAKGPAAAAAAAAAPAPDTGTD SGDWIVVPKGGGAPPDNAAPMPAASAPPAKGPGPAAHAENDQQQQQQQQQHKPATAVA KPASSAATPSAFDQNDFSSLGDLDTAADAMAGYDAPDLDGPGGLGDDLDLQMDMEDSA FGDAFHGVGSAGTPGGDGHTQEL UV8b_02529 MYRLILSTLPLLAYVGDAAAQDVSVYTNTCAGGQPSTVFVPTTI YVSVTVPFQPTSTSFLSSTGSSQESDPVATDDPARNTESKAQQRPSTAESDQGPTTVA TSQPALKTEQKVTQGDSASGRNQSQRDQDIADDGTTSDASGTSLIPASPSEQNQNVRP TDDKESTLDTDDTTQQRGSDAGQGDQDSEATDESTLASESKAQQPPVDQKDQQDQDSV AASQSTSDTENDVQQEETDPEQDQDQTDQPDKEDQDSTAVNQSTSGRENNVQQGGTDA EPDQNQMDQPDKQDQDSTAVNQPTTENNVQQEETDAEQGQDRQDQDSVAANNSPSDSQ APQNESDAEQDRDNNDSALNAEENVQQEGSDTQRNQDQQGQDVAADIESTQDTQPTPP QTSSHLPTVSTTKDGSQIPQPSQGNPQGIDANDGGQWANDTRQDDDLDNSAEPDGDVG SDEAENPPFSGPGTTLPTDSSFRSPTNTTGSWSVSVTPTGSVFTVPTASIFKTPASSA GAANVSGAGFRFVNPVSPTPPVTQNGNLGGARNMLYFTNWGIYSTDYQPQEMAIDKIT HLLYAFADLKDDGTVISSDPYADVEKLYGGGNSSSLGFVARNSSNAQGVVYQLYGLKK RNRKLKTLLSVGGFKYSRQGKFARIAGSEQGRKNFASSAVRLMADWGMDGIDIDWEYP KDAAEADGFLKLLQETRSALDNYASANKQNYHHLLTIAAPVGPTQYRLLNLKAMDQYL DVWNLLSYDYADSHYNTTSHQANIQANRADMRTTKFNTAQAVEDYISAGIAPNKIVLG LPLYGRSFANTEGMGTPFSGTAPGASKGIRPYRDLPLPGAQVTVDPQLGAAWSYDPKT RELVSYDNAESAKLKADYVKSKGLGGAVFWQASGDKKGSDSLVGSMATYLGQLEATEN MLSYPQSRYENIKNGAS UV8b_02530 MAERQRPGYNVAVEGFRDREYPMLKDCVYLDHAGSTLCAKSLMD TFSHKLTHTLYGNPHSGSSSSQLSAAKIDDVRLQLLRFFKADPSEYDLVFVANATAGV KLVVEAMRALPNGYSYVYHNSCHTSLVGAREDANQSKCVDDGGINLCLKGGDAIVRPD TSSATLFAYSAQSHMNGQRYPLSWPRDLKASRRSASASTFTLLDAASFSATSQLDLGS PDFAADFIVLSLYKIFGFPDLGALIVRHSAEHIFSHRRYFGGGTVDLVSCHKKEQWHV RKSQFLHERLEDGTLPFHSIMALDSAMAVFSNLFGSMERVSAHTSYLAKRLFDELRKL RHGNSLPVCVMYHDQPEVPDSLGTGPVVSFNLQNSAGGWVSLAEFEKLAVLRNIHIRT GGLCSPGKMAAALGLEPWEMKRNLSAGYRCGAENEIMNGKPTGVIRASLGAMSLESDV DRFLAFVREFHIENECPNAVVPNHGETGPSDSTCGLRVTSITVYPIKSCGGFNVPAGR RWEVRPEGLAWDREWCLIHPGSGQALSQKRYPKMALLRPILDFENGLLRVSYIGPRSD ANLPSELQIPLYVNPAFFEHASKHMPSRVCGEQISARAYVSSDVNAFFSEALGVPCVL ARFPAGGQGLTCRTAKAGIQKDQPFGRHLTLPGSFPDIPSPPDSDSEWPGRGKILLSN ESPILLIHSSSVDALNEEIVQRGGEIVSQDSFRANVVVASNAEDGTVGQSAYSEDGWS RIRIGSHDFRLLGACRRCQMVCVDQATGHRRQEPLSTLAKIRRFDGKVYFGAHMRHEP QRGDSTSAGQSPTIEVGAAVTVERRLP UV8b_02531 MDSYSHRDPSARSPPDRTWGRDESRNWEERSENFYRARSPGNDR RDRRRSRSPGPVDRYEPRSRREDRERDDRRRINSPPANIDRYVPGQENASPGLTVNPL ADPSRLPYQVGFSYFGEWWRMNEKIKDDKERAKPGRRREPERPRGARETQEEREKEKA KIQAAYDSYKEELQAKMARAFVTEHKKEQWFRERYVPELRDELRSKLNEIRRGGYSQW EQDLETGTFDEFSLEGLPKADSNGAGGIVEKEEGEATSSNEILGVGDLLPANGADIRD EHQFQPTLLIKTIAPHVSRQNLETFCKENLGEGEGGFKWLSLSDPNPSKRYHRIGWVM LHPSSETALPTDRSDPKDEDGDENLASPQPVLNAEKALEAVNGKTVKDEVRGDFVCHV GVHNPPNNPRKKALWDLFSAPERIERDLLLVQRLVNKFEEDFGSDFQAILKVQEKVED LRNAGRLQPAISSVPSKKVKKQREVVLDEAMDDDYGMAEQEEEEEDEDDGAHGDETDD EDMLVKKKQLDLLIEYLRRVFNFCFFCVFESDSVHELTRKCPGGHLRRPRSTLSSSAR AVARASATGEPFPEKKKPEAEEADAEAAEGDKKFRSTSNKTEQQLLRAYNWVKTFEDK IIQILEPQRVNIRKLGGRPVEQAVEEELAKYVKQEDEHKWRCKAPECTKLFKEEHFWK KHMEKRHGELLENLKQEFELINAYVMDPSHIAPSRTDANSNGHFPPASGQSATGTPRG FNLQNFTMNGVMGMAGFPMTGGNFPPMFTSIPAGNWNPMSEDRSGGGPIRRGGMGSRG QYRAAPYERRVNRFDGGRNRPGGSRWGDGAGGAAGGPREAVQGRSLKSYEDLDHVSGS GGGELNY UV8b_02532 MGLLHEKKSRSKISKDPNNTKWTRDTTTFGHRILRSQGWQPGQY LGAQDAAHSTLHTAANASYIRVALKDDMKGLGFDRAKEDEVTGLGVFSDLLSRLNGRS DESIESDKLARMVVKTNRYVEAKWGPMRFVKGGLLVGDDMRHESAEPTRAAASEAEAQ RPDDEKHSRPSSPTAAKSKKRKKRKAAADDGEECEPGSSDQAAKEKKRRKKDRGPKKP AADGAEDDAPEQKARAKRASSKDKARSDPGSGLAAAHGRADSKSSKKGKKTRKDCAEA DAVDSSATTPSIPTSATATGTSTPAGTGTSTPLGGRNFVRSRFIAQKRQAVLDTKALA QIFMVKT UV8b_02533 MSEPRQNAPPTNTSSPRRRSSGWMPAFESLTQHKGNQDAIRRQS ISDQQAKGGIFSQFFHNNFGRNSK UV8b_02534 MDGLDANYLYSLKLLSAAKDGSGTSLVIVDACRGGLRDRPFLPI TRSLALDKLVDAMRSNPQAGKHTICRSRDRSYMRPWLGTFIENHLREKPIARGGWHRS IAV UV8b_02535 MNHDHAASSPGPSPDETPMPQLDDDDTASIPTHNGPTPVGAFHH GESQPPSPSRSRRRRKKKNKKERHPGLVKKLSFVTHLLKSLDLLVFAEISSLYYMECS MFRLLLRAVGQYMYLTPKDESIPFFMPSGRLHVLLVLIPNLLCMIFHLFASLPHGPEF HRGYQHGGLIIDFVGQRPATSRLYYLAADITILAVQCLMLAIHTQREQLRVALKTFRP ILPEFAEEMQAALLPVQDLDAEERGVSLHDPDIAFSGLNDAATDENGNVEMQLLDGSR EQGGGQGQHGEAAASLQRGTGQGCPRAQLSDVMNSGNAVLGNYFVVQSVLSAASLRTL SYGAALATLQARRRAVVAQTATIRPNR UV8b_02536 MSNSTEFLRFTGHRSFARRLTISALTGRPVHISKIRSSSPTNPG LAPHEISFLRLLDAITNGSSVQISYTGTTVTFHPGLITGAIAGQGAVDGDVIEHKIPD TCTRGITYFLLPLCLLAPFSKAHMNVRFSGSGVITSATETGDVSVDTFRTAILPLFAL FGIPPARIELRVLQRSCAGPGGKGGGGCVELRFASQVRLPKTLHLNRSPGRIRRIRGV AYSTGVSASNNARMIHSAREILNQLVSDIHIAAQYDQAPLVPTGDKAGSKRRLGIGFG LSLVAESSAVGVLYSADAVVPPSGGVVPEDLGKRCAYQLLEAVAEGGCVGQVSASAML ILMAMGSEDVGRLRIGKRVLATEDNVALARDLKVFGASSWGLRDVDDDESGDVIVSVK GTGVGNVGRKIA UV8b_02537 MRRTAEPPRGASYSSRAAAPSATKPSEQLGKQTSVTQRPRPSRS ELASYLQGLKDLQRSASGPGHHKSRDFTVRFFEQDEHKQRELKDDEAFQGSLRELDGS DFKDALRDIQASLGSREEQDAFQAVLREVGGDMNNVSSARDMEKMMQRFESYTKSIDA EIETAGSSLPRDVLRELRRDLRDLPLSDDGLRPRHPAPQIPAAPWTLNQRKKISVLNL ELARAFRNHGRRDAGFSKKTVSAVFRAYHAARFALVRNSDVIPLNVWELLWNVLSADE SVNVNRLAHLSLLSRDMSAARVTLSPSQQLLTIEAMFVEGWQAKAVESWKRCLSTLGD DKSGTFQDYWELGARIFCRTGDMDQAERAVDRLLSKGTDPRILMPVIRTFSAQGTPES QERACDAYRRMRSLLGKKMKLSDYDQVVAYFLTSHQMENALCAFVDMMTDGKVDLKKQ KYMPSVIANKFFLGKWLKRLIGAGDLDGAYSVVEYMRARGVHASPIHLNGLIGAWLRS GGADDLARADTLAWSMIASRIRFVGSRASPPAPAAGGSGGSSGSSGDAPWPPATLETF SLLAENYQLRHRPARLEALWDACHDAGISPNAFMMNQLMESSLQAGRPEEALSLYRAL VAERGVAPDPYTFSVLWKTLAINRLHVIPPESSAAETDAARELFRETVAFAPVFEPHG MDGQLGRKILHTFRRLQDPAGFLVALTALRRVLRFSPPEMLVLELVLGTTKLSCDSPA QRRRLIVAKRTLDGELSARCGGGAEDLDGHKRQDALYEYLQDRYRPRGELEKTHEAVL MQVAKQMGVGEIVAREQEGKRAVC UV8b_02538 MTTQTAVFHALLRPAILQILRATGYHSAKPSVVDSVTDIAARYL SVLCESTASHAIHNHGDAGDYTITDVRMALHDAGAFQPEKVATEEAWLGEEDVGGVEE FIEWFSGQRMRELMDIGIGDGESDATDYLNALKKKHSKTGEDTKYSGTVVGKPLDSVV EIQVEGGPVTSISEWIQQRSACSSSAARTNGTRGHECGSPTPSSGLSSVGSRLDDDGD EGMGLS UV8b_02539 MTVHASRLTPEVLLSAPRRSAGVPNSSGELVLYTVSTYSFETHS RSSQIRLLNVKDGTSYLVSDDAGASDPVWIGEQEVLYLKPGDNGCTMIMAQHVLDKSE PHMIHYLAGSISNPKTKVLHNGKVAVCFSGLTTPKGELYWPPVERKSHDSARTYTSLF VRHWDTWSTANQNSLWYGQLAKMHGKWTLENPGLTNLLAGTSLESPVPPFGGTGDFDV SAQGICFVAKDPGLNPARYTKTDLYYVPLKSFAEKPGAPQLAKTGKLQGYSVAPTFSS DGQKIAFARMKNIQYESDKTHLLLVPNVDDVSKVEEFYETGDGEGAWDAKPDWITWSH DDKELYVGAEKHGKVVLWKLPSSPSEAKELPTPIYEEATVSNARLLGAGPSLLITSKS RIESSLYSVLDPVSKSVTEVSSSSRNGKSLGLNKAMKDDIWYPGSMGYDIHALVTRPS DFDPSKKYPLAFLIHGGPQSAWMDDWSTRWNPAIFAEQGYVVVAPNPTGSTGYGQKHT DSITCNWGGNPYQDLVLCYEYLEKNVDYIDTSCAVALGASYGGYMINWIQGHPLGRKF KALVCHDGVFSTQNQWSTEELFFPEHDFGGTLWESREFYAKWDPSLYCANWQTPMLVI HNELDYRLPISEGLAMFNVLQARKVPSKLVVFPDENHWILKPENSLVWHKEVLGFINK YSGVADAKRPA UV8b_02540 MAENNQGPLPTLAEYKKSQARVRELVEKRRLLEKRLNQVEESIL TKEASYLESTPSGNIITGFDNYMKGISGAAAQRRKSGPADQNRVFSKSSMSYRPNNGD STPGSTPASHAPTPVSATFRDNGTPLSAAGSKNSKSKKKVAVAATPADKEVEDSENDG GMTKKRTNFGASRK UV8b_02541 MASKVDARLLKSTKFPAEFNKKVDMQKVNLQVMKKWIANKISDI LGNEDDVVIELCFNLIEGSRNPDIRSLQIQLTGFLDKDTASFCKELWNLLLSAQTSPQ GVPKELLEAKKLELMQEKRDADKAADEARKRRQDSDHTKCLIIDQGAKSTIVQQRLVA RQNTNSEIREILTVAIVMFPVHDATMTAIEDIIATAPIQEMNRLGPDRAIEAHLVMAT HLQALRAEIPRRLGTTGPERGLDLPEGVPTARDAAEKIMTMMMTMTMMTVTSAGPASH VPFPLATDHLRPRNGKNRVLATAHLEEGRDHHRPYPTVDPDLAAGTEICLERGSRSTV TATSLKGLTAVGAVTLGTMSRPNTAPNGRTDDVATVLPVRPFLNAWKSGRATRRQLSL CIIINLASRGQEAIYDSEQLAAARECSLLVADEDQLAVGVDILACGEPRRAGGALHRQ IKREDFCIFHIGYKALMAGHKQQFTAKGQEKVKDGVTGESIRSLT UV8b_02542 MNNLHAPTVPSGPTTSAATNGNAGPLSFAELQRKKNDLEEELKA LGGVLESHGVNMDTPLLTRDGFPRSDIDVAQIRTTRARIISLKNDYKDLTANIERYLH QHFASLDEEVDNPAPLDSSVPSALPDSEIHTLDEPFAKVNAVATGSPADHAGLKEGDQ IRNFGYVNKANHDSLKKVSECVQGNEGRNILIKVSRPAGVTHRQELRLTLTPTRNWGG RGLLGCHILPL UV8b_02543 MATQPIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLGVD VHPLGFTTNFGQIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNW HRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFL WLARKLVGNPQLEFVAAPALAPPTAQVDESLMEEYRKEMEQAAQHPLPGEASDEDL UV8b_02544 MSTTTTSPPVALVSIEQLPRADSPSHFDASSTSRTSFELTTHDA EVEKHPRGKRKRTAAKDKMVLEEAYRNNPKPDKQARLDIVHRVSLNEKEVQIWFQNRR QNDRRKSRPLSPEELAALRFGGMQHISSDLVTSPDGLIATDALDRSFPTSDPGVSRPS ESDPASPSLGPAKRELTRSYSDVVVATPRHRGASPPQSRTHEASSRRSDDSFESLSRS FSNPVGYLANRWNLGSSFSTPSTLGRGVDDSLRLEPFQPSSCSSDKTDSSRSKSQSRF RLSLSLEGKAELISHHQTSPSRQSPSLSPPLLFSSAQPRQRAGLSRSHSALPSVTLPP ITALTNSLPPRLPRGRSRDVQAWESCADSEKRDELMAQAEHESSGSAIAAISLLRSSS GILQPSSAKRNASVSRPPRPHQAKKAKLSRASSTFARLETGKPAVDPEKLRQNTAGKV NVSMLVSPTDSDKENWSPDEDGTPHRGHRRQPLPGRPEFKSTNPRRAGRVLRDQKVPP MLGNRANTAPCRPSLATSKASPSVRIFEDGNPGQRNRREDDVERFMRGEVSPSKKPDM DCVAGLLSLSQGAWR UV8b_02545 MWTKQTGEASDSATASEMLADALQDLARRQATPTTSTLAALQSP CFYHQRFDDAVDIDKVLEEIKNDESMSHSRLVQTATGVREVSRQLQRRPIRRAVRNVM IVTKARDHQLVYLTRELTTWLLRTPRYGSDLGVNVYVDAKLRGSKRFDAPGIVAQHPP SEHMLRYWTPDLCWNQPERFDLVLTLGGDGTVLFTSWLFQRIVPPVLSFSLGSLGFMT TFDFERYRSHLDRVMGDDGMKINLRMRFTCTVWRDGAHGDDKGEQFEVLNELVIDRGP SPYVSNLELYGDDELLTVVQADGCIFSTPTGSTAYSLSAGGSLVHPDIPAILLTPICP HTLSFRPMVLSDTMALRVAVPRNSRATAYCAFDGKGRIELRQGDHVTIAASQYPFPTV TRTDTEWFDSVSRTLRWNVRAAAQKPFGAGPSPSSDGTGVGTHAVDDDDDDDDAAAAC WDIDTDSAYYPSEEGSVSASPVRRQMSMLGL UV8b_02546 MTASSEPLAELSPLPKADGSASFSHAGYVVTAAVNGPIEAPRRD ENPFEALVDVVVRPSAGVGGPPERQLESIVQSALRQLIPVRDFPRCMIQVTLQVMQTP GNAYQNTKLVHAQLNLTIIPALLHAAILGVLTAAIPLKTVAAAAAVAVSARSKDLIVD PSPDEADKAGSVHVMAFTSNQELLLAESSGSFSVQDWDKVLETGRQICCQAQTSGPDT AMTGAELASPSIKAFIRSVMGKSSAADFQRK UV8b_02547 MASPHPVSPASPVGDACPATTSPDLMSRIPKLEPRRRRQEPSNP TPVPDTPQLPPPPNTSASWSYLVPQRRILSPRDHETFLASPTCRLIKAWIFGLADSVV DTPCSAVHKEDLGDTARALLGILDEAEGFVSQSPPDEQGSSRFGNKAFRGFIDLVSEA SPRWHAALGVGQDEAVAEVSTYLEQSFGDHGRIDYGSGHELNFMTWLLCLHQLGLLRR TDFRPVTLAIFPRYLSLMRTVQMSYYLEPAGSHGVWGLDDYQFLPFLFGASQLLHHPY ITPRSIHQQLTIEEFGDDYLYLGQVAFVNSTKTVKGLRWHSPMLDDISSARSWSKIDG GMRRMFVAEVLGKLPVMQHFLFGSLVPAPEGMSQDDASEEQEGGGQAGGAALHAADHS GHAHHGAAHDGAGWGDCCGIKVPSSIAAAQETRKGAQGAALRRIPFD UV8b_02548 MRNGLECLERLFTYKRKPSRSSNGGADLVSQPPGQRFPSPSFIR PKTSRMTARDEVRLRRATGRSPSVPEILASQRMTRGHAYNSLPADGNCQLRYKSVSSP SFTHRQAETLVAGLCEFQFPKPTAQNGDSCSSSHSFDTTRLAGVARLPSPRCCSPLES SPSTPRLNNPPSPHLEDVGSASQRPIAKPGNPNLPACPPTPARLPNEASRSGAQLRGV RSADALDKSPFRVIEDRMGDLFDRWSLNRSYSQSSIAPSAHSFCSSTLREPGVKEFLN LSDDDIAESAPESPALGPLRRLTTSALPPMDLSISSSEPLASALLTLTPPRASRPAAA AAFEAARIARRHDFDLVYVVNLWPDTSKPIHGHGTQKPMIGRLLAAHGLHHVPSPLQV AADVHSRILRADGWIEYRNHEAMPQDFARGYACAFYTGQYPRNPSARCASPVSGVRLS ERIDRGIVFAAYRKPRDGNGGLGRDLGEEELGELHRDAEALVEMVLDIHVANRNRQQA WRASLADETGPIPAQPIEVA UV8b_02549 MLRVCGAGAIIFRLQSASDRGQNAQTTRKPSSYCANSRGLTDDG ILLEFAPNGNLRDYYLVPHPETSLQQRMTWCVQAAQGINYIHSTKRVRPSLPSPPRQS PGVDDNLDLKLADSQGQHLSADGVALLDALSLESSKSCPPRTPADRARVKTDLTPLAH GEGLDGLSMQTSEALEGESPQLMDPWLSGICDAAQAASSTPACSAARWLRLNKSYDFL VLSLVTLGIPYLGHMLGVRGSTAGHVIVAARKSPPRLNSSYPWS UV8b_02550 MIESDPLFKTLFLQNAPPHKRDGRGIWDEIGLAGSAALRNDLQE HVVSINCPSATVGCRGAGPDFVADVAELGKDWKQTRRNETNWPL UV8b_02551 MGGGNHQDGNNMSASARETLGKVRRMIPPMLDKFHKGQLGRVAV IGGSRDYTGAPYFSAMASARLGCDMSHVICTPAAAAVIKTYSPDLMVHPLMRQSPSDG GHAAAAAAADAEDVSAGIIDMLPRLDVLVVGPGLGRDPLMLETVSRVVRAARGSRMPV VLDADALQLVQRDPDLVRGYRQAVLTPNVVEFGRLRSALGLDDAGDEDGSETAKVEAL AAALGGVTVVQKGRSDFVSNGDATLVNDMEGGRKRSGGQGDTLTGSIATFLAWRRAYL DRIWDVGRDLLGEDESIGLAAFGGSAITRECSRLSFIKRGRSLVASDLTDEVHSAFLG LFGEVDGDPSGSRL UV8b_02552 MFRNALRQSTRAVGAVSAAGRVAAARNAAPAAVNSLQLRSYADK ASPTEVSSILEQRIRGVQEESGLAETGRVLSVGDGIARVHGLANVQAEELVEFASGVK GMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGAIVDVPVGDELLGRVVDALGNPIDGK GPIKTKQRRRVQLKAPGILPRKSVHEPVQTGFKSVDAMVPIGRGQRELIIGDRQTGKT AVALDTILNQKRWNNGNDDSKKLFCVYVAVGQKRSTVAQLVKTLEENDAMKYSIVVAA TASEAAPLQYIAPFTGASLSEHFMLTGRHSLIIYDDLSKQAVAYRQMSLLLRRPPGRE AYPGDVFYLHSRLLERAAKLNKEHGSGSMTALPIIETQGGDVSAYIPTNVISITDGQI FLESELFYKGIRPAINVGLSVSRVGSSAQLKAMKQVAGSLKLFLAQYREVAAFAQFGS DLDASTKQTLARGERLTELLKQKQYSPMAVNEMVPLIFAGVNGYLDNVPVGKILQWEA DYLAHLKSNEAELLATIDREGALSKETEAKLKEITPAFIKSFLG UV8b_02553 MASEKANNPMRELKIQKLVLNISVGESGDRLTRAAKVLEQLSGQ TPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSETGN FGFGISEHIDLGIKYDPSIGIYGMDFYCCMTRPGERVTRRRRMKTTIGASHRIKRDET VKWFKQRFDGIVR UV8b_02554 MSNKQGKMINWRMRVTLNDGRSMTGQMLAFDKHMNLVLADTEEF RRVKRKANKSSAPGSSSQLVETEEKRTLGLIIVRGAHIISLSVESPPPADPSARLGKS APGGIPSTLAAGPGVAKPAGRGAPPPSLAGPAAGVGAGAPPPGFPGFPGAPSFPGPGR GAPPPGFPGAFPPPPGGFPGNAQFPPPGFNPPGGGPPGFNPPPRR UV8b_02555 MATGSPPNYYAILEIAETATTAQVRDAYKRAALKTHPDRVSADS PERASRTRRFQLVNDAYYTLSDATRRRDYDAQRKLFASSPDRDPFEEADEEIPHPTGG NPFSWAWNFFNGQASGGQAAREEAENAQFSNVFEEMMREEGMAEDDGTNRPTGKFWGV VGGLSGGALGFIVANVPGLVAGAVAGNRLGAVRDAKGKSVYAVYLELPPDDRARLLSQ LATKVFSHVAGI UV8b_02556 MSQLPMLAPRPPGDVLAIHEKPRCREHTGEEWEAKRETIEQLYI RENRRLVNTMAIMASKHGFAATEQMYKKRLKKWNVRKRAYRNTPEGSVASTPASAASA SGCATRKSRVQHMHAATTIARNTRVNQYTGLEAVLDSVRAWSLGKLEAQNVPHDPMVD YLANPTLPPIRDSRTMYRTFELVFDLWYYGKGRLAGMAARKAFYMLEFVLMHDHPDLV WHILDTVYDMVDKGHIQLLRMFLAHASELCASRLPHGHPLVRILQQLIRCDYRSPQGR QSVCHLLQTAWMRNVDILGDCIESRAAQNLWLYEQLIWDGRSRLRRVCNLAARAGVMT AALSSLNQRRNQDATVPDLDDLRIMALTLEYTQMDLVDRQKAEDLAWGLLRLTAQGMG ESRSSARFHAYGRKMLARLQEHRQEWAQAEGNLRFAVERREAAHGAHSDLRVIRDMWV LAGHYQRAGRDGEAHQTVQDAISRAETFLGAGAGGPQPMK UV8b_02557 MSQSPVIVSSKQQFDSILKSSKIVVADFFATWCPPCKELEPVYD ALSKALSRPNSVVFVKVDTDKAADVSREWKITALPTFLLFRDAKVIHRMKGAETAEVS KIIPQLLSEIDSLGEASESASGRSSERWVGAEIPRGYSDITDQVEIRNCELLNAGEDA GPVKVLFESARPSTLNKEGDVATKDFVQSGADDQLLLFVPFQGSVKLHTLQITSVQEG QDQVSRPEVIHLYINRPRNMDFSEADDTEPTQAITLKAEDWNSEATANINLRFVKFQK TTTLVVYVQKGCDGAETVRLDRIALIGEVGTKREMGILQKVGDDE UV8b_02558 MKEKLWTTVSLERTSVDKLRPASPPKTNPWTARPSATVAVSQDW PSITPDFEKIDDESESSGATRKALHSFERGNHQKRDGNRIDTASTTNRERPAPPAPPA TQTGDDSLWLRPLGADIVVRVAHETFQVHRSVVEPQSTWFRENLPAPDLNGTPIEVNL QVCHIPIGYTLRFMYTKRLEICRYDREHPRDLIHIPRSALLYIGATDLGVEAMQLHIV QLLRQTAHDLSVYLSTALANRKMNHQDILGAFDHLRNALEVAHSYCCQDDKLQLRLAL AQILDTLLPFLIQHPEVLDLFSSDVWRKYSANISMDLFTVRQSRNVAPQALDEEAEVP FGDENDFQ UV8b_02559 MARHSSRKRFYLSRPEPDLLTTRRNITHIIPPPPPPPPPPIEPC PVVEEPAEPEPEPEPEPLPCLPPCAPEPSVSPSVPEPETQIEVIAVDVEPSVRSHKSS RSHSHSRSRRHSQARQKEVYIERDRFIPVPVPVPVPVEPKYDTFRYVEAPRRFIEPSP PPPPLMIQDRGRVTHVHVHTAEREGKETYHAGDWDRYGHHRR UV8b_02560 MSQPTSRCGLSSPSDASSTLDIDADGAAAAAAAAAAAAAAAPVA VADADADADADAGVAPASPRDQRPSFASSSSPRSPASLDQEPTSPASPASPSSPTKTR GPVNVPPLSSVRSLPQPGQRSPRPTVPSPLSTASNVATSRPSTSSSELAVSATQQGSQ PTGCKPTEEETSKAPVGQTTFLRDSSNSSTTEKDLARPNFPKHANDSKTFSGPLASKV VKAAPGPLRLAGSTMAATIASTSNEAMTRSPRNPSSDTILSTTSSTQRRSSIAASQDS QSFPDIASLVKAAGSPEAVIQHLLKEKQSQSQQNSQLWRLVDKQRAMILGLNKDLEAA LKEKEKYRRKLKEVMSSPAVARVASHPGASGHRISNAQRDTRSPRIEVPDPGTQPAVP VPDSPSLDSDSQKNSPVDLSMAPYPITPPADRPHVSDTASEMSSPKHSMPKPSEHALD NFDHEEEEKVADTAWKDKAEQQMGQLPYDISLPPSRSLPTGPPKVPPPKVPTAPPFLA VVEPSPSSDTDLCHQLPSPPRKAPPAPLKLQQQGCPEVTTPAEEDGTDSDYDDILEVE EIVDGHRGRRITRDDKDEERENARVPDLQTPKVDVTKGLAPAGYPLRTASVNALLLAT KPDLLAAPPLLSPGLPSSPRPFGNSQNPASAPLSARFGPASPLSPRPPRQPIVLAPNT PLMSSPLGSTSSLATHNIPGVAKCESDGGQPKICRGLVTEEYPDLLLPPNALPLVEIK VASSRMKPSRASLLSLNQLEEDPVFTLAVLSRAHQSELWRVEKDIASLSRLDARLKQC HAFTAKAPERSLFNGHAPAKLDARRLALEQYMDDLLDTPLDTATAAELCKYLSTHTLP PNADESNSSFRPTPENSGLQTGADGRPHRTGYLTKKGKNFGGWKARFFALEGPQLKYY EAPGGAHLGTIKLQNAQIGKQAPTQSNDNQSPARTNAGEDLDNQYRHAFLILEPKKKD SNARFKHVLCAESDKERDLWVDALLQWIDYRDPDEAELVQSAKAGADLQAFEADYPVG GKHRKGIQSKPQLQTSGSGTLIGVRYDSTHAGEAPQKGAAAVSEMMASPPKHGNETLS AQVNKSISAPKDAHIISDSAAWGNKPGLVPPTHDEKKQRKRSFFGFGPKARSSSDGQD SLFGGSDAGSTNGPCQAHSQQRPVRQVFGAPLAEAVRYNPPADVNVPLPSVVYRCIQY LDFKKATVEEGIFRLSGSNVVIKQLRERFNVESDVNLVLDEQYYDIHAVASLLKLYLR ELPTTILTRDLHLDFLATAELQDHAEKMAKLGELALRLPQANATLLKYLIAFLIRIIN NSDINKMNVRNVGIVFSPTLNLPARVFATFLQNYEAIFGIDPEEYELPCSSLTTGLES PGWHMDAATTPRFEPPRQPSTSSGSASPQGQARTENVREQNLRERSRCTPTPPLISTA QGTRSTPTPPPGSRAVHESGHAGPAGHDGHNHYPSPRATTTALSHDRQSNQPPSDEQG VNAAHHQGSKSRPREESRLHKFSE UV8b_02561 MDVDPWMLRPSRPAEASVSGAGGRANDSQLPREPGVSTRHRPAA ETPPCGRDDWESPSTTSTSRGGSPGQHQHQHQHQHQHQHQHQHQHQHQHRDGVGQGAG GAEAADAKKPRACEACRGLKVRCEPGPGDGPGPCKRCSKAGRRCVVTVAARKRQKRTG SRVSELEKKIDALTASLLARTAAPPGPVESGPASRASIASASQSRDHSPPPPPPPPPP AAAGRKRRASSSSPSSSPSSDCRAVETEASPATATATATATATATARPWSTPRPDIVD RAVISAERAAELFRRYREQMARHLPAVVFPPSTTASDLRRSRPRLLLAIMAAASGETP GLQRLLHRELMQLLAESIVVVGDKSLELVQALHVAVIWYRPPEHLDGLNFYQLVHMAA VMALDLGLGRRRASARRGTPIDPTSLECRRAWLACHFLAANAAMSLHRPSLVRWTPFM TESLELLATSPEAGPTDAYFCHLVWTHRMAEDIGLQLGADDPDARPSITDAGTQRTLR GLETALDEYIASVPGGMMQPTLRMGLSILSLYMHELALHCDGRVQDDDDDDNNNDNNN SSGSEPLRSTVQINALSACLAAIDSLFQTFLAMDVASIRCLPVFTFVRVAYAVVVLIK MHFSAPSRSLPSSSSQSSSSSSSSSSSEPGKPVGRDDLRAAYYIDALLEKFAAAAAGD RCRPASKFLLVLAMLRTWFLQHGEKLRASPPARVASRPGLPSSSSSSSSSSPAPSVPH HHLQQDQPADSTPLQVLSEVATRRDASVPRALYPCFLPGGGQAPQTAHSDPPGPFAPP PPPRPQQQQQQQQQQQQQGVPEGPAYAAIDPSAGFKFGGLAASPAQSGDWYGGGARMA LSDPLFGDLFHALPDSSFFSF UV8b_02562 MSSEGSPNGMGHRRSSITQAALSNLFQRGSSTGSIGGSAQLNNG FDPQRRRLSVTTIGLSGTSPANPPSFSMRRGSMSTSSDSIDESAIEDEDVPGMSRTAP NTPFARRMSFGGSTMRSYRPGGNSPGNDQQGFNWSEQLRSRAESSVTGARPSFSLASS PPRAAPHHDRARSVSDMTQPPVQAPPAPHHQPKPAPRKPDAFQERILKGDFYMD UV8b_02563 MPIGIQRLNARNSQPNPNIVFIKPLPGPHEKSAQAFLERIAAQC LPVMRKHHLSVMSLEEYEPNREFVGRNFNAGEVVQLVLRSVQTGRWLPFNCVQMVMMH ELAHCKQMNHSRAFWVVRNQFAAQMTDLWGEGYTGEGLWGRGANLTTGEWERNQVNSD EVLPEHLCGGTYRSPRRKRKAKTALTHQQRKERRVLKKFGVNGVALGADEDTKIKLEK GKRVKAKPRVAGSARGRELRAAAALARLEQQKDQEIDDGKKCKVEENATASESETGES QYEDDDVAETDRQDAIDLDGVTPLRDAKGRSMVRVCSDEDATNPDARDELIQLQDVFR ARVKMESPVPETSLRPAVCQERAQSRRAMSPGETGPLRVTLVKVIRNQAWAWRASRVD SCTAASFISPIIISLFNVLIQQQHFGSYVQHVRECVEPCTLAGFMDMQHLIMQKQRIR QLG UV8b_02564 MKLLGVKLKDAVQDGANAAHSGDSILPVHIGDEGVADGHPAASE RLRDRIPARQKAADAYVKSLFPFLGWIGRYNLQWLAGDVVAGVTVGIVVVPQGMAYAL LAELEPQFGLYSSFMGVMIYWVFGTSKDISIGPVAVLSTVVGSVVRDVVSSPEGKDIA PHLVASALSIVAGSIVLSIGLLRGGWIVDLISVTCLSAFMTGSAITIAAGQLPSLLGL AGFSNRDSAFDVFVNTWKHLSEAKLDAAVGLSALFLLYLIRHTLASAAERYPGRKRFF FFISTMRTVLVIVLYTIISWLVNMDSRENPRFRLLGTVPKGFCNVAVPELSRNLVSHF ASHLPATVVVMLVEHVAISKSFGRVNNYTIDPSQEMVAIGMTNVLGPFLGGYPSTGSF SRTAIQSKAGVCTPAAGIVTGLVVLLTTYHLTAVFFYIPSATLASVIIHAVGDLVTPP ETVQQFWRVSPMEVFVFFVGVFVSVLSQIEDGLYATVSISAAVRLYRILKARAQFLST GRFRSLFGEHVVGDDPKISAEYGTFHVSGGDSSRNSSLPIGHGERSNLQN UV8b_02565 MPGSSPEAKAAASGSPSYIAHVDLQVPPSPRTHRALRRLQSAHT LGASRSCTSSQPSLITQQRRDLQRNASPTRGLNTTRSPQRGRANSDATSASAYTGAVA ALKRTGLKKPVFSHGHLSLQQIFRDGPSDGDFLGALESARWKVIDEGIKSADDGMSPL RIYVWLVLLDAPIMSTSDYLSLIHRGASPAYSKIRNDTFRTLTTDPLFRRRVSEASLI RLLNAIAWTLHDEKEQQRQTSRPSSSQSSAPRQSLGGSVSGHSQGRSGTGPEPGTYVQ GMNVLAAPFLYAARSEAEAFVAFHSLLTKECPGYIRGAMDGVHRGLALVDKVLAIVDP KLSMYLTAKGLSAEIYAFPSVLTLCACTPPLPEVLRLWDFLFAYGPHLNILCIVAQLT IMRSQILQSPSPNKVLRSFPPLNADLIKSVTIGIIKKIPDDVYAEIVAHAM UV8b_02566 MSDVTTALTSACGYKLREDESSANAAAAASSSGTDSHTADRPST RRRRHSSSVLPRPRSIVETIMDSEEGLLLKVDLFLSELERRLDFIESYCGDPGKDYSF SRALATLQAVRSRCCQASEEVLGAGRRRLQIMVETLETRYHETLAATGSLHEKARVGV DLLEGMLSEFEARALKLREQGLANAAEAFMGEGRRVASESIERAREVVDEGLGRARRA ALSLEEHIQQAIAQARETRLLHYHDLPIPWRNNPHITKGYRFTQTKIECVQSAFNMSN EFINIWSHVVGLFLVLAVALYFYPSSANFSLSSKSDVFVAAVFFAMACLTLVCSTIWH TMNAVADVNAISIFACVDYTGISLLIAASILTTEYTAFYCDLWSRWVYMTLTAGLGIG GVVLPWHPSFNGPDMAWARVAFFVGLALTGFMPMLQLSLTHGPDFVITFYSPILKSLL VYFGGAIVYASKIPERWWPGVFDYVGGSHNLWHAAVLGGILFHYTAMQTFFSSAFHRA EGGCPA UV8b_02567 MVIDKIVSEPSLVAVLEISDQARDQAHALLGLIDQQSDAPPSAD THAEIAKQQKHLLTSISHLRGLHRNACISARETKAQTAEARQEVDRLHLQLQNLYYEQ RHLQGEITACESYDHKYQQLPLIPVDEFLSQHPEHAGHDDNELMVARIDHERTEREGL EQQRQELLKRKQKLIAENKRRKDDLANLDQDLEKFIDAAKPILELFEKAP UV8b_02568 MAIVETLAILVPFASLHDCEASVDQAVMQYNPKFSVELSFYSGK SFSSGHPVVHDDPLILVAEDTAPETGHGLIVPAVCVSFISTSVKTLQAQLWMVDLPSS RAYL UV8b_02569 MSLFVWCSRRVGALSIIALTALSFWVVSQEAGAERPFGQLSDNM RVEESSVTPLQKLSGIGARVFAYYSLLVHLLVFVFPVRACWSVWTIAKLLRRDAQSRA IMEYKRRNRRRRISLTSDSSSDTVVFDTPPSDSTACSPAVSETSDSDLENYTDSTDYS DHLVAHAVIIPNYKEDMDTLRETLDVLASHSQAEYSYDVYLGMEQREHEAESKALRLI QDFAKKFRSIDFTMHPADIPGEAAGKGSNLA UV8b_02570 MGVRHNIMVTVIDADSHLSSSYFTSITDMHYAHPETSATTLYAA PIIFDRNAHNVPAIVRVADIMWCAAGMSGLYQGSTIAPPTSVYSLPLTLVDRVGGWDC DAEAIGEDLHMYIKCFFALNGNLTSRTVLSPVSQSNVVGGGSGGIHATVADIKARYKQ ALRHMWGALDTGFAMRQAVEMWKERQCTTRTFRPLHNQGAHASLYSPDIDFRSGEEVS CENDFFSDLTQGSLREPHWEKIFYLFHRLFEAHFLPVHMTILVFASSLYVLFAEGNGD PHNIAWTFSASSTLRIMGFLGVASYLFLYESFHRIGVAAREREMTKANLAGGMCFSHR RIKRNYIDYVLVPIVAPLYGAIPCAQAEISHLWTVNLAYSVSRKAARQTAI UV8b_02571 MNDGDKRLIVEWAVKSSPTLAKSARRRRASQCKSTGLHRRPQLT VAHSHLNPPSARVSDGSDVWWQRDGVCRQRKSQVRMKTPFLDTLPVFLRTCLIMFCLG RAIDSYISKGGPWPDKCKAGASAKYESSLSLDMGIWALAPGASHQRRHRATIRLVLSR AWHDRPFPYPCHQIPQSQSHAPTMSRCWSSTLPSFPSIARTWPPCHVLNTTPRALDQR SYRPIQLFTVHGGPVERRKLHLAEPSIAFVPKHALSGACEASQTLFMS UV8b_02572 MSAVPGPVYGLEVPPGEILIPASMDFPASFRITMAALDPTAQPE ADEEGNVPSVPRSTLRLVKRAFPGLDEDEDEDDEIDDEYMKAMLAASDDDDDDEEEEA NGGPSDPAKAKKQKQAAAIKALLEATQDKDDDDDEEMEDAKPNGAKSKDKSKDKSKVK PKDEAKDKSKGKGKGKGKANAVEEEEEDDEEDDEDEDEDDQSDEGADLENFVVCTLDT ERNYQQPLDITVNHGEKVFFVVTGTHSVYLTGNYIMDDDDMDSEDDEEDDGFDPREID YAMGEDTSDDESDDLDDVENPRVTEVDSDEEEAPKLVETKKGKNKRAAEEAEGLDEMI SKAADSKKQQKKLKNNKGEAVAAEEAKKDKKVQFAKDLEQGPTGSSKQAGKPTTGVKV VQGVTVDDRTLGKGRTVKSGDTVGVRYIGKLANGQQFDANKKGKPFSFKVGKGQVIKG WDIGIVGMAIGGERRLTIPAHLGYGSRGMPGIPANSQLTFDVKLLEIK UV8b_02573 MKAKLVSKPSSCAALDPVTRPPPPPGSKMDCQKDDEQAEASSVK VRPGGAKVFSEIRSAIRAIRRTPYNCSDGSAAFEWKQRRSSSANVDKRQLGHELNNIP TPILQRKPKGMSPKAIAKRLGFDNIGVSSNATVVLRGSPLRRRTSLQALPSSPSLQLG DAVSSISSLYTDKSSNVGNNSTRKTSVDSRFSDYTTTKSSLKRRHSRRHPWSKSSPDG GTLQTIDEGLHQPQPTILTVEKASAAKVYLETHFNNLLHKPSARTTRLQYLESQLYYS PHLDLQEKDIIRQSFYYQEMCHSREVRVTKTKSLTSLKQGTWSQADGYDCLKILGRGS FGVVKLVREKADEAHAFPRQVFAMKVIRKSEMIRSCQEGHLRAERDFLVASEGSNWIV PLVASFQDLNSLYLVMEYMPGGDFLGLLIRENILHESVARFYIAEMILAVEEAHRLKF IHRDIKPDNFLISASGHLKISDFGLAFDGHWSHDVSYYSCQRYSLLGTLGIQINGDAD DRKKQTNISSQIPWLQAMRCILDRHERKGVENDDDLPSLLG UV8b_02574 MAPEVIRACKGSEYDGRCDWWSIGIILYECIYGHTPFFADDGRK QTKSNILDHKNMFSFPQRPLVSDKCKDLIFRLIQDKEVRLCSRRYQMKDRCQADDRRF ADVFGRHVFPDDAEDIKSHRWFKNFPWDRIHTISPPFIPRITSLEDTHYFDESGPFTE PIGSYELDTVDHKADDVRWVLRECRPVVQNMAADMLATPYDSARLRSADRRIDRTFNI TPEERKTLKAFLRMYGRKERKRPRDILLRDQSTKNVAMDVRKKTAFLGYTWRRMRPGG YIASNLGIV UV8b_02575 MEMALSETKHGDTKLGDTKLSDTKLGDTKHSDTTPLPSEITLLT LNCWGLLHISALRQPRLAEIGRQIAALEPTPHVVCLQECWVQDDYRAIRDATRAVLPH GKFYHSGAFGGGLAILSRWPFEESTMFPYTLNGRPTAFWRGDWYVGKGVAAATLRFGP GERDVVDVFNTHTHAPYESGPNDSYLCHRTAQAWDIAKLIRAAISKGRLVVALGDFNM IPLSLPYRIITSLSPIRDTWRVLHPDSSVGASDQVEEQARGLPVPTAEYNLSINGAAS DTVYNTWRWTKQEQKKLKSHPCPVDPDTEDPRGKRIDYVFASTGDLSDGAGWVVKSAA VEMTGRHPELNCSLSDHFGVRATLQRHAASSGPSSETAAYDAQLRYNQQHSSALTLYD FDDMLAMTLKYSARETRQQFWRAMHFYASLIVWIACLVAVWFSPRSFVSFLLTVLASL GLTTGVVDGLLALLFFSREIRGLKEFEWEIRNARAAAVANGKASTS UV8b_02576 MNHIIKQSIVTQERIQIATLRFKPSLQRGSELPLSFRDVCRQLQ AVPGVAASYLGEQLERPGTWTWIIRWAGAAAHDAFLASPSFTSWLASFRAVVDSYIVW KANVRGSLSAALNAPCTEVFTAYGASDTWLEMRMKPFAAGVDAASPPAYHGSFYGEYD VLMHDAPAPPAGNTVGILLGWDSKEAHLAQRGEGKAIDQNIHYVREERKSVDMYHVNL KRL UV8b_02577 MSTSPPQPTSTKRPLEETSSPSRATGQPDAKRPALDKVIKNDEE TEASESTLDAFDKNGDEDVNGTKSDLPDTAGTDATSDTKTIELSATTAPLNDNAAHSA AAHDETSWIHIRAVISSPEAATIIGKGGENVSNIRKMSNAKCTVSDYQKGAVERILTV SGIVDAVAKAFGLIIRTLNNEPLGEASTASSKTYPLRLLIPHILIGSIIGKGGARIRE IQEASGARLNASDSCLPMSSERSLVVMGVADAVHIATYYVGSTLLEQLNERFGGPAAS AYATRSGAPAGSIPGGMQVVPYSPQPASGHYGRPENYSRHQDRRAHQMTPAAYPPHYP HGAAPANPAMPIQYAGQAGAYGAAPHAQQHLPPHVGPQPHGGSQAQPMHSGMPGGPLT QQIYIPNDMVGAIIGKGGQKINEIRQMSGSVIKINEPQDNSNERLVTITGTEECNRMA LYMLYSRLESEKHRV UV8b_02578 MDQPTRNSEAEVYAANLNFTLKELQRRVREHQEELDRLRSAQPD AAMLSAEGQALVIKDALNSINASEPFLPFAGSVLPALLAQRRTHQTISESKAYLGSEA ASADSERKQLESDRAALKDQHLLTEALTSRLSALRAELRSKAEMRPEDSAREKMDQLR ARDKTYVRETRKLMKALGDFTDTQLAPMLAAEELGGPVVGDVMEIDPDELAAGFDEKG KLRKSKAGGGGDADKRQRRIDEIWGPATRNPARQAEAPDGQEEIAIAGREMRGLMEEL LNRLAKAKGDNSASYVVLERESAAARFLVRSKVAQFHPKDANKLRLIDFGRDLDD UV8b_02579 MKLTVSTPEGPFESMVFLRDRMDWPSWIDDVSAIARALDIWQYV DPDSRTEPMEPLMPFMPSKPKPEHLPVREQDESDKVYDLRVERERNYYALLMREYDIS YERYRMDATQYIIRLNCHASQKNDIQKLYRIIYRSVSEKYQAYLKLDNAATPRSMVQS LRARINPPSDEDRASAALGKLNAKLNTQQPTKDKQDLIEAVTLAVVELHRLKSPSFDE ATAVKDLVRSLQAFDRPFADAWSRKAEGNGAAATVLDIVEDFKYQLRKQEDKSFVPDT MQNSETVATHKPAQNDSVTERNNKVATPTPANGHIKGGSSPASPAGVKGNNASERAAQ DQLVDKSSGAPDQAVKSVKQQAASPLPENVSKPRDSPKPETLVKEKPPTSNKKAESTS LRKAVCPGCQLRHLFRDDAWWENCYVFYELSQIGGIPSYFIVKDRFLDLVRSRLQDFP AELKRSQEWASRRTKKTKLNEKTNGNPREIKPEGPATRKTKAKNSEERANDTIKARAA VEEPETSEFQLW UV8b_02580 MSCSIQNFDPKPQQELVMCPTSEAPTTTSPPAKRVKTDSSTMEA PPALQVKKLSEKARLPTRGSAFAAGYDVYASKETIVPARGKVLVDTDISIAVPAGTYG RIAPRSGLASKHFIDTGAGVIDADYRGQVKILLFNHAETDFEVKEGDRVAQLIIERIY TPEVVEVQELEETVRGAGGFGSTGGFAINGAQV UV8b_02581 MPDQATRVLAVASHVVSGYVGNKIAVFTLQSLGYDVAALNTVQF SNHTGYRQWTGTKVSAQEVTDLYDGLRQSYLDDFDMMLSGYIPGAEAVVAVGDIAKEL KEKNKRSPSGFFWVLDPVMGDNGKIYVEEDVVPAYKGLIQFADLILPNQFEAELLSDL KIVGMASLKAAIQALHEKYRVPHVVITSVNFSPSGQPPSHSSVVGSSMTSAGNSRLFQ ITFPSIDCYFCGTGDMFGALITARMREAVGRVPGLVDRASWLSDDSVAPTELPLARAT EKVLASMHEVLTKTRDDMQAVMDRTRASLAEEDRASGKGEQQIKSKASELRLVQNLPS LQDPRVQFRARDV UV8b_02582 MVDMLEARLEQANILKKVVDAIKDLVQDCNFDCNDSGIALQAMD NSHVALVSMLLKAESFVPYRCDRNIALGVNLTSLTKVLRAAQNDDIVTLKAEDAPDVL NLLFESSENDRVSEYDLKLMDIDQEHLGIPQTEYAATISMPSAEFRRICTDLIAMSES VNIEANKDGVKFACNGDIGNGSVTLRSHTNVEKPELNIDIELAEPVSLTFSLKYLVNF CKAAALSSQVKICLSSEVPLLVEYIVSGSSYLRYYLAPKIGDED UV8b_02583 MEPVVYQESPLADYLKDGASGSEADWAAMDSSSPRLSSTRSDPS PPSSPSSFAPTGRPMVKTRFRGKPPATSQTGVPKPSTTLQAIRRSCSAAVVASIDRSD NAKFLEQFRYTIIASQLLSGTSIFGYRPLASHMPSVAHAHEKHQSLLSTEGVVASILV ALAIAVILSWFLGNGPSHVTRKRLAFLLIILAAASLLGQIHIRRRWLRYRRDQSLSEI ALFVSNSNNLDSATDATLSLVQEVELVSRGYRVSAPLPPISRLEDRNQARKCIRLRKA LRNTLADVLTCYNQRSNLIEGFAERAELEKYYDMYDINDVDASDARLGFSETEFEDSE SLRTLKVLAARFHTTRKMLLCALLALDANGEGPDLLRWTTAVEVLRGLNESTNGAYQG LQSILCEAETFPVPCTPKIPLTPGRERWRSQLRKLNSLSTGIRGLQAKLHLLREESDR ALDDSNDICELVPNLVTQYESIGVDLKELMSAWEEGKAALALGIDRNEKRLSSMSTLL SPRSSLSGMTTVGEGTAADALLALTGESPTTSEGSEPVEPDMPEVFEAVARPRPRSLL TREERIVKMKEEREQKEQARKQLDATQGMLRELEAVIHLRPKAPVSAPPSQGGIISL UV8b_02584 MGGQFDCLQPMLSKTEREICKAYGGWTNFMISMGLKPWVDEDAE EGKAIIAAFARDKEEEAAEEANGTSSGKTSSQ UV8b_02585 MTTPTHVQNGFDDSEASEAHLLPPHKRESVIQVPVTPGVALGTY DKGYFGPNAQEPAEDASNGTWNGGIPFTSRVISATFAMPHTLEYNQGCDWELRRCSQR SALLDALHYLSDDSPWDHTVVAWTGEIHPSSAAAHRLEPDTRPAVIPTSTSISLVGSP HVPTELKSSEVHITAEDQMRLERQLREDKLKIVPIWLHDDGNASERGVTLRDQSRWRR YAEHDLCSLLHYKQHPPTDGYKEAFRWTDYCRMNQAFADKISEVYRPGDVVLVHDYYL MLLPELLRQRHHDIRVAFFLHTPFPSSELVRCLARRKQILQGVLGSDLIAFQSFDYAQ HFANSCSRILGLQASSKAVYMPTRRVQLDITPAGINVPNILLLAWSASVTEKYSMLRR MYAGKKIIVAYDPIDRLGGVDKKLIAFDRFLQMYPEWQDGVVLLQVIGQTTIEDDDGE ESRYASSVNALVNEINCKHGSLDHMPIRLHAQSLSTDDYFALLRSGDVALFTSIRDGM STTSLEYVVCQQNAHGCTIISEFSGTASNLEEAIHINPWNTVGVAEQIHKALGMTAEV RRDMHAALYRRIMQSDVSHWVDSILQRLTSAVHSRDAASGITRSPRLAL UV8b_02586 MPTRPPASCRPTPSRRAVHVSSFGAWITEAMLVQAIDQYLRCSS GGACRRINSHAGPLESRRRAAKRRMTGLMPTSQPFPTLWQYDVGPRTPKWEAPTSPER RRQKRELSRPGFVNKIVGWLDSSGPARESTIEPLALPLQSDSTQTKAPAWDSTLGSVT LQQPTVQKVAASPDFPEEILSLRTGILNLELADYDDLFTLGKNCMASLRKSIVLGALS VEGLKIALDPLDEPSKDRFPNVDVANKMCAMIRRGILHAMSDAWRNDPAAVPGTLWLA FTESILSDKSHWQDAQLFWRLMDVLPAALKDAVSFQHVQKISCAFVFAQANRHNVFAH WGARAARFSQALQNLDQEMRNELDGRMEKFVEKQSRPSKIADRVRFSWLTVKAYGSAD LTTRDFVATYYRCTGPEYRLGSMQRWQVLVARLAAIGALDPKICEGLLSRDCTSVRER WSQLLETIMQSDARDAGFRELCAFLTGIGEFAASVRPLTFPPAASDALAAVVSALDDH KQALELFYWADRKPRRNPWMPVLPWSVWPKHVEKIIKDPALDPAIIWQVLKLTYVRPG LKDDEEAFEEETRAKSRLLDQMGLWFVEADHLSDRQVLRSIQRCINQQRALTKAVSPK SLAHVLQVLCRDLEKGQHGRPARVMWLLGMVAQNQGQQQAEQTALAIEGWQDAMDKSC LRTH UV8b_02587 MMRDSRRRSLESSRTPSQDQRRDSRENLTYIPPQPIYEDSPSNP FTYMNMNMNMPSSSSQPDYRASRPTATATATVTARTRNGDAFDTERDTRRDGARDRDR DRDRDRDRDRAQKWRPHQGEERSSRQRAVSDSSSSISSSSVASSLLDISRHYPSDTRF GGVLGTFWRAPSERRARRRRSGRAKKRRVLYFANSSSSSVNSDLAYGNGYIPRSRSRE LTRNRTASGGYATHSLRDSDRRTLRESERSTAADSSRYPAAKRDKTDAEILAIGRELS HMATQQHDHHSRTSGKIKASGLVAAAAAVNEVRKKRQENQTRGTGRSRPRRFYSDSSD DSDWEDASDHDAGFSDVESALAYGSVVSRTFKPAQPSMTTPAMSGAAGLVLGDGVPLM TGRPRPSSKATESLPAKNYKKSSVVDPRLFGPQNSLRGMISTPCGFGPGQPIADHYEF NRLRRVETEPIYGGPLPSAHQVSTTSAPVSLHPGATPPLASHTDVPYRSRPSPIPIQQ PSPKAPVSSKVYQAEKLEEESKRDAPKLSHTRSDEHGLSTDVKLAGLAAAAAAAVALA SDRKSKRESRREERRQERKEGAQKNKEGVQESGELGRDAPEHRKQPEEPSAADSTNSR DRERDLRRREKEVESELGRKSKRDSTLSKYVDESGHKRHGKHEVSETSQKRSDRSASH HYAEAESSRRSRRRDGEKDKDRDRHSKRELSRSSRRESRKHEDGDHQGRAQERDLEQT TETNSYNPPKAPIDPFQFLVADGAFEAPLVSAATEPAQPLTPTVVTVDREPNFNEEPA PLPQSDRLSRKDSFEIERMTEGFNMEVHDSSGNHGYRDEGRREHEARSIYKETMRSTA PIAAAAVASAVAVESTRSKGYHQDDDPFPERFRTTSRDSVQQEADRRYREDVVARKVA DKAHSSRDASPHTSIFNKYENESQDVHYTIVTPPEMEDTKLKLKNSPYAAPDADVRID NKFFPPELQKFQITDQPGPMFTSRDPSCERERPLVNIVYPTPIPSRQPTPNLPRHSAE VGNRSKFETNDRDKDLDEEQEGTKNMSTSKDWATDDFVVGAKEAETRPTPSAISSTAK SVTWGENSTKSFEVERPYSRDTNESLKDGIGYQDKPRAVISNTSQWGIIAAAIAGNSS EPKSEPDVKVSGSKNTESSDNSVGHRNESGGSDFLDDAKSEPPVPGPKPVRPTADKMP GGFVEDIEFAATLAAGLKDTGFDPNIVIDDPAYRRRDSPPHSSEPSGDGWNPLSISDV VTDLTSKQKDVSEPGFFSGPVDVSKEDPIASTEERSEPSRKLSKKDKKRLQKEERRRS RGASEPVADDPSVTCLREDAPESHLSEMERLETDKEARGEERAPERATDDGGITRDDR HEPPSIGDSAGGEESGKQKSRRSRRNGESEDSSSKVIDQGDSFDSVSTIRMVNPDDDW DSQRKSRSRSNRDPETYSRSAPGSEIGYGESSSKRSSKSKHSRKTELDNYGDDPPDRR KEAWDDRDSSSSLAGSSRRSKDREGDKSEKKSGGLFAAFFKGNSKEDSKKLDRESFLD DAGTLGAGVGLATAAASLASSTSRSNAALSSPDLHSEALRHVKTHTSRAFEDFDLEIA PRVIKPAIDPQYGDLLPLPPSEPGSPKTTPEDLPSLPDSRPDTPPEERARKHDWLSHR RRRSAQEAHAKSPSSTAIPISLRIGQRHSFPPSSPNNNRSSPGGSPVATPESSRRSAR ISWDSSREFKPLYLLEHSRQGSADNIPLIDLPALPPSEPSEPSSRDSPAPGFESSTPD DAIDRAISLGDIAGIDLRIDTRLASAAPVQDIAGSQETTPKATTKPELPPLTPGKDSP ELLTSTESDTAPASTTSEDGSCYLPGSAPFITTPTENLTAGSILPDIPEDLTSADEHF SDALEGPNSDTFEEARDWFDDAISSNPVVQSTDIVETADQPVPVIESSPTAAEFSLTE GKAIMKEEEGKEETRSSEKDGGETSKTMLGIECTVPRGVETGSLPCTDENELHGDANA GVSHQVSDTTDPAPEGVGIAATGQLPEVVTNTTTQDAANVLSTVTTSRNAEHSGQQAA HDATPNADGSEASFKKSKKKKKTSKNVLSLGGQESSQSVETSTQSEADATLAIAKDPQ PCDKDSFAEGAVDQATTIKLDTVEQEPQPTLHPVEEVVSAVADDSRSLTPLSKKQKKK QKRNKSLPMDESLETAQQPDDPAPLEPEQSPSTQIEPITVSEPKCPPTEKAPEASRPD LATESSASHTERGESLQTEKLPLEDSTTDPVECAEPYLKLDEKVAIEPSIGQQPAESQ ELAIAEEIPTTEQPALSHQEPTGPVMAGETEFCEAKTSKKKKRRGKQVNDAVSSPKTL ESTAAMEEKPPVSKECAGDQPTPASQASYDSEVAGKAEIVSATTDEEKSQENEQPDDA CKVQQDGEVPVITDSYPPEEHAPVNENSLAPQGIPAVDSASASKLTDKALSGSAAEDG QPTITTTSAQLAADEPSTSATSTATLEKPEESTQTSLSPLSWKSKKNKKTKKKSPQSD DASPTNSPTAPPTPESQLPTAESGADRLGDADVVSSEAGSVAPATGHTELDEATSTSG KKAKKKKYFYKKKSVQWQGTIPEPGSVATDSSVPTVDAPEEADAAGNAVEENAEEPQE QEQQRSVDSEISEALFSQDDAAIKPAQEEGTSGKPPQTQEHVSQEPPVDLALTEPSTS QEDDKRNNQINAGDLLKENVIGDHATEPPKAEPVDDALEIPAAEASHVVAHAMQTIPD IDTVKTSCDDEREQDGTTTPSGKKSKKKKQSNKSTPTSEPQAVPETSADPIEAIPSSK ELPGEDDHSCTLDEDKPPSQSTSNEEGSQAAGKKKKKKKRQSLTAGSTAEEKGSSELL AEAKNNEVDTQHTGLVPADDEGPQASGKEKNKKRQSLGIDYPQEEMPRSNVDAETITA VKPETTVSVAAVAQEQELETSKQKGKGKTDSLSQESHEIETPVSSDFEHPNTAVPSFD KTKATCENVHSDEPSVSVDEPVTLTAVTNPDEPKGEGSSAEHGATATLLHVDNVVKTT ESLIPDEALPASESRTEDASATKTRKLSKKEKKKRKQQGISEDSVQELRPEHLQDSKT KDVDTPSAQLPATEATAEEGAISGLPRESTISNVSNFIDANEESLGRGLNVDESKNDE SGKTKDEELEEICQPKADLATEFAADSAQVTPKEAARKDETTADASEPATSVEMQQSG DTHEMDKETLAEGKNVAEAGAVSSNSAEETQVSEELETTKAGEATEDSWSGTMSKKDK KKKNKKAKQGSEYHDFPKQSTSSQEAEISQPADARPEDEKAEPLDPAVVAEIVTDTEM SDALQRDVTSQTQDTPTTAVQEKPDDFSDAVVAATQALPQQPGLGESSPSPASEELRV IQRSPVAPSGRSDMPAAEDQPAGDEGPLPDHFALDDGAIQPEVPQSSAELGAEPTCVD EDQQSRPIPNIGEEIFDIVASKPELQNLQDAPAAHGGVYTFSTMAGPSVDESLTRQPG HGDPAEDAGESLPRNELSQGDQSNSAGDSEEPINAEFADDQTAAAPEAGLETCMIYDT PEQPVIAGADSAELDAGQAEQESEKDKAEADKVDLSSTVSVSVSVAPAAAAAGASSVV EHESTTVPEGEHKQHDENQELGQVQQKSSWAEEMEYAALIPSDEPDAAIIRVPEHDEG DTAFSASEEAHQGETGKGKELPSDKGPGEEQAAVAETSLRQISQHLTETMVEAAEATE AKGADQSEEQTAATEIPPGEGMPLVSESTVEAAAEATEAVNIDQNEEQTAATEIPPVE AIRRASEPPLEAAVEATEESEQQTAIEIPLEGVPQQISDSTPEVALGAREGSNPEQGG EHTVAPEISLEEIAQQTSKYIVDLAPEVMETGSMSQQVEPLRAVDTDDEKTLPTKKKK GKKAKKAKSSSATTARAEPNTVAELDVTNFPSEALDSLAAVEAAEPILSGPDNPATLS AEQGLVVSSEKRGKKNKKSKKSKLGSDVASPVKACIEPGEQQAQLEPAMDREDQGASS APSLEDELLMQPPAEPQEASSTSETTCLPPLVESNIGHESRDYSDKDGQGGDHDKDES GLRAPTAPYEPAGEMAEGKGSQNEETTEALPELQPDAMSLWETAAAPELVNTEADCVV DRTTEVRVDKFSEPSLSALSGDGHPVPDAKTEAQMEMERTSNRPVSNVAVTTFLEGPD DSADLPTDDTPAPGSASGPTVKADIPHEVEAATLAEVALQKKPKSSLTVALEPSPTEP TTMTEPEPCPMAASLAEEEAQYEQGEDISVLKAKENKNRKITDPAMVLAQEVEGTETM ETTNTKKDAVNPDHSNRQDGILAVGHIEKNEPIGQSVILLAEKFGGGRKKRTKGKQRN ILDKRQPEEDDLFDDLVLWEGADKKVVDDRNDADLQKGFWEGEGRGNENMEVRGGEEQ REAEGEEKAKMGLDEDMEKGAVWVEEKDQMELEGDEKKRDDEGQEPEKMQATKDEEEG WVGAEENDKMEIKAEEGNEDEKSELRKDGGKATSEGAAEAKEALQVSLSGSLTESDGG WKETAGQGVPLDDEIAESPVLGRGDSGEATPTTSTEPKGLLRRPSGLEEPVGGLFKEM ELVEPQTTTRHPGSDSDVGRSPTRGLPPVQEVPETEAEAVASECNWPTPEMNRDSGFA PDLADAQPPQDATTKDEQQRDSGVHTDDYRDSKIHSPDPSTEKDPQRRGLATPVLRKP ELSATTPEPEKKVKGGRGAKSKKGYGEVGAMGGTAAIAGFAAAGAGTAKDSRGSGDVQ DARGRRSTSDSPYDYRHRHGSQTAAAAGAAAGAASGAASAGGTSRAARAETPVARRTA SNTSLSRQRAALEPPRLRPESPGTSSVARPTPTPTPTPPLRRIDKRMSGDLRALRQQR SSNPLTPTPTPSQSQSQSQSQSQSQSQSQSQSQIIPPPAAPLANESRVRAKGDDKDMA DVYDGYGEGRIGSPRSPTRPHSMRRRQSMQVLELESRVDQLIAENRLLNEARVRAEQN VNHKALGVLSERDAEIEALKQSLHFLQNEAARLAEVNEGLASANAELASKDSGRHADL ARELGEARGAHATLTRSLQDKDAEIADLRARLDEAKEQIRLMQRKILEAKAANSHFLN LKDEDYFDHRCQQLCSHVQQWVLRFSKFSDMRACRLTSEINDEKTIDRLDNAVLDGSD VDAYLRDRVKRRDIFMSMTMNMIWEFVFTRYLFGMDREQRQKLKSLEKLLTEVGPAQA VRQWRAVTLTLLSKRDGFRDQRDLDTEAVVQAVFQTLCKILPPPSNLEGQIQSQLRRV MREAVDLSIEMRTQRAEYMMLPPLQPKYDAKGELAATVSFEAAMMNERSGHVGATNEE LEARQAVVRVVLFPLVVKRGDDDGVGEDKIVVCPAQVLVVSDDRHRRGAALSSDAGGA SLGAPSHISVVTESTGQPEAQYLEGGI UV8b_02588 MLSRASTIPHQGLLRFFWLFGCDRVLITSSKGLAEVLVTKSYQF KRPDFVRKLLEPVIGVGILLTEGGVHKAQRRNLMPAFAFRHVKNLYPVFWKKARESVQ AMTATANGEGIAQLDALDWASRSTLDIIGVAGLGVDFGSIQDPSNALATTYKSLMSQP VASRPAVLLQALLPKCLARLVPAGGSVVAEANRTIRRTCQRLIRDKKRKMAAEKERTD VDILSVALESGFFSDDGLVDQLMTFLAAGHETTATAMTWATYVLSCYPEVQARLRREV RQSLPPLDSAVDISSSDIDKLPYLNAVCNEVLRVFPPVHRTSREAAEDTTIEGQHIPK GTVVVLAPWATNVDEKLWGSDALEFNPERWLVTEGRDARAAAGGGASSNYALLTFLHG PRSCIGATFAKSEFACLLAAWVGRFEFELQDEKLTDRGNLAIRFGVTARPKGGLHVKA KVVPGF UV8b_02589 MARSRMLKFYMSCLGRWAGKRLLGVGDYMRHDHYPPGLFESDQE KQDLLLRKARGSGDEAESDEEAKRTANGKARETRKKPSRRASSRTNQPATRAWSKCGE KTKLEILIEGVDRYEDDEDDEDAMLTRRLRYETMAEFYRDLSSWSVWKASRLYDMKLD DLYPADEVWILRNLTAKEMVRGDRFEQTPGSPPRGPFLEHQGLGTAVLSRICWTSDPS ISMEDPGGRISRGVWAGHGFDIATLKRHEEALAAGEIWRDVSAEVVAEVESMWRANRC DEE UV8b_02590 MDQMNFLPNNSRRFSAGGAPVQASPNSWLIQSHHSRMSAWQNQQ HPLPSSSVYYSHQHAFGRPSIAPDSVQPPLPIQPGTLPHPDRGLRALQNSDSAPGLSA SPVYWASTLQPMPAISVPLPVNISAVNSSSGDSASVAGFGSMNPGGRGFMLQPPVTVD WTSSPGITSDAQSHVPLRFAFSERLSNESERPSSFPNTGHSYPISPTSPRRASQLLSP SSQRVHRSQSSASSLGYRDFTGLPSPASERRRQPHARARRIAGSRQSGSDPSRSLEEA NDYAFGDSPTRAPRLNRRNTHGAIHSDESVARQIQMLRGAVSSKLVASKAALQSLESV DISDLPNDEKICVICYNAYGVASPEGVNEAPLRLPACKHVFGDHCIKKWLEDSDSCPY CRNKLQSEQKHSYGSARTFMNMMRMRGLPLPAGITDELLTRLATRPMTESELHELFTR AVRPAERRSPPDDDAAGQDQRRTRQRRNGPTPVPDSSPSSLLQSASRNLMLAEGPWSV YPALSGAAQPYPQQRSQTRPANELNERRVVAETSNPTRTQTRNTDPEAPVIAHGSSIR NPSLSSSTHTTRNAQRPTTTVISTAKQE UV8b_02591 MCDAAATCAQCMRRSSQVESLGLGHGLRQTDDRSKTARQQDSKT ARQQDSKTARQQDSKTARQQDSKTARQQDSKTARQQGSRQQDSRQQTVDGRRAVFNRA TS UV8b_02592 MQQGHLGFATKDAGHLSTSTSASTTSTTSTLKSTSTSTTTTADG VAYMASTPSFNRPGERFRVYSPCTAPQPGLNEAMQTAMLPISRCSGRDGRQRTVW UV8b_02593 MTMTASRVRARKNKVLRSVFPIDVSQPTPLLVSTAPGELFGGPL ASTAAVSDANTPSLQPAGDQVRWDRAWHAVTSKIQLPSSVAVEDSFGTAAPDSRGDDS SFRESLALVLDAHLSVPCANHTEDILQWHTQQVRQHFMHHVLPLLAACAAQDHHAQVL LSSVQTLEAAHRQYLYGLHVVVRGIRDQAAGQLARDRFSRDLHAIIGNSWARGLVEAL RGVVYRLLAAILASSRCSTAAGSGRWQGDDERRVPAAREELLSLLESLNNVGLGGDRL HVLFAEVMDTCMGEFIQQTYAGVWTALDSDGKVDADSSSGCMAHLCDWVENHYARLAA EVFSRLGGQVARAHVQQWREIAVGRLASLRMQELFDIVLDWPRSKGGLEDLRCAVATP QRRLQLTDTFSASVQKRLLHPGRSTLNILQTYISMIKTFHQLDASKVLLDRVAHALQL YLCQRDDAIRIVVTGLLSNPAGTSTNDARTQLVELGVLLNEASEQQQQQQQQQQRAHV DEDDLDWDDMSWVPDPVDAGPNYKRPKTEDVIGTLISALGSQEIFIKEFQSIMAERLL SNQAGFQQEIKVLSLLKRRFGDSALQNCDVMVKDIYDSRRVDALLRKSLRGSRGHVES CDKYHAKILSRLFWPSLPREPFTVPAPVAEVQAQYEAGFERLKTSRKLTWLDHIGSAT VQLNLQDRSIELECKTYEAAVIYAFQSDDGSQAGPKQHTLAELWQKLMIDEDLLDSAL RFWISKSVLKDAGNQTYVVLERVQDGETATDARGDAASVAGGEDAGPLSSRRTRIDPK EQERRRVYWQFIVGMLTNSGPAMPLGQIAMMMKMLIADGCSWGNEELQEFLGDKISEG VLELSGGKYRLVKK UV8b_02594 MINMITITITIIISKIITIVTINTGSSSRVFGEVVGNQGDAVVP ARHAAVL UV8b_02595 MSAVAIPFDPVFDMAPRSAFTWPGPLYSTSATHNIFQTDIGSFL GGSDTRLTPPSEATSLASSPMGAPMTPGHQEMRRPKTRSRRDLKLTARMRRAESASYT TSPPPMGLTDVSAAIPLSLYSTEPSISLLPEPPSGLHAQSYFSSLNLPLQENSSSSQM FAPPPYQQPMPLAYSLSLDYPTLYTGPSELGTRSTSLPISHDDGLLYPMQTVPGENNA SNAQESSHVRVVQSRPKPRCWEHGCNGRQFSTFSNLLRHQREKSGQAAKAACPNCGAE FTRTTARNGHLLYDKCKQRKTN UV8b_02596 MPPATAVASLTMYTVFWNYFQVSSLPLFGAWKLYGPSTHRGTGV PATRSILLWLLPPALTHIAPALVELACTMSETPLWPAFCGLNPSSQRLTPRQWSIYEL IAFWAI UV8b_02597 MAAFVIDLWESIFTPGPTPSILKATNASFAALLAVLLVLLAATA SVHFAILSVLSAGLWWAINWFAGELAVAQAAQRREEEEHRHRHRQKQKQKPAAGAADD SDTEVETTAREAASTRASQRAAPQAAARDEASRGGVVTSRSSVSTEDEWEKVSGSERD RTQ UV8b_02598 MASLVGRPSIRGSSEAMRMILLNFCVIGITFTWGIEMTYCTPYL LNLGLTKSNTSLIWIAGPLSGLIVQPIIGVVADENTSKWGRRRPFMVVGAVIVAGCLV VLGFTKEIVGFLVNDAELARGPTVALAVLSIYALDFAINAVMSCSRSLIVDALPLEKQ QAGAAWASRMNAVGHIIGYGSGAVDLVGILGTGLGKTQFQQLTVIAALAILSTTGVTC WAVQESVLVSSKGSGAAGAKGGLGVLRQIYSTAQHLPPRIKAICWAQFWSWIGWFPFL FYSTTWVGETYFRYDVPAEAKLSKDTLGEMGRLGSTSLVIYSSITCVGAFVLPLLVKS LDEETYTARPPQAVAGLLKAFQGLKPDLLTMWVFGHMMFAASMFFAPFATSYCFATTL VCLCGIPWAIAMWAPTALLGIEVNKLSGHGYRRLSNDPTEMEMPSLNEGAPSLLSGDG PEASPSNTSPSGELSGVYFGILNIYTTIPQLLGTMIATIVFSILEPGKSRELADDSVV EPEKDGPNAIAVCLFIGAMCAVVASFATRKLRHL UV8b_02599 MESGPDGGQLRDDTINTDPGTFDPQNSQPSLNQLQPLDPAQALT LLPPCPLHPADAELTSSLASNLSSYDSVAARAAQPNSPDSNSTPYHDSASIDNHHAQP SPVDAGDLYENNRTPDGSSVPYMIPMAATSSSRPSLRSYDDGAAPKHPTAPACRNLPR SNLRSVSSPQGSRCFTNASAPKIPSGKPSVKDLKKRFDQNVVVGVPTIPPLPSRARTT SSKVPKPSPRGSRALSSHRSSEAAVAETGALDSASLPLRQSRFVADHQAFSSIQSFAT RVAKPRNASQIPMLDTSRKFSSHHLHHQVPFVSRGSETRGLLFGEVPPNQHDPSLGGF GIDGVRQRRTSEPSDHITASRQRSLYSPGPESSAPSPPSHLSSPNQPGEELAASPSHP YPRSRSHSDEAGSSPSSRMARNRSSTSSPRSSKLPVSVRKFSTPSNSSSPSPTRSSSP STLKRHQPNGRLLRASPGAGRAKTPTQGRKSGPTSHRDASLPAYMTVPPSNLSPTLRS SRPRQPVSIASTPTSRMKESEVTSSGKAGELATRRRKVSIGPIDFEQRREHIRLAYTK SIRESEALEASHRAVVDEPGHLIPTPVSDKYTGEDSISPRASVAHPTPHAGDTEPDIP NPSGNDGNGRLVDNSTVLSEKNDKQDHWSDPRSGNAVATPSLTISTEKRPPAAEQDSP TLGLPGSFPDEMSPRMDEGPPSPAVSETSNTTEFDTEPQTNPPVQARSPLDAVEPQSP HKRHPSPGAPTEYRYSQDQESELPVLVAAPSKSSITAIDETLVAVVRPSSDFTHRSEG EGPENDSFPPRHFDIILSTVSQKEYAPREIEKHSIPFPSLDSLYESDCELNLDRRRVA DKENHPDQDGVCDTCTGEMDHRKGHEGCQSCHDDLARSCGTSTCAYSEACVYENLQHQ EADASSSGKFLMPTSGYEDGKPGRQSAWTDFSVDSTDQSELPRSSEGQGTSESPAFGH VTIFSSHPGSSTHDDDNPGLETRYCSESTQPATDFGPHHLPELDTGVGFSVLYLTRES SDNASYVPSPSHEPPPVPPSIPGSDLNSRTSSAYYEQSQYGSTLLNSERGSDESMSHA GTSRSIDSASLTTTEQYMSTQTPADSDSKSITQDGGELTDKDRQRLIQRRNVIKELLD TEAVFVRDMNIVEEIYKGTAEACPKLDGQTIKLIFRNSDEIIAFHTSFLAELKDAVAD VYVPKGSRGAPRDDSTLSSASSTKMAGPSDMKDRSTSLGPVFKSNMEQMKLVHEGFLR ASDQAAKRLIQIQQDPTVKVWLNECNEVAKDLTAAWDLDSLLIKPMQRITKYPNLIIT LLQYTPQDHPDRETLLGAKDVLETAIIEINKSKKNFELVGQIVGRKRKESDVKAGFAR AFGKRVDKLQGSNMRATGDGDYAKLNEKFGDDYLRLQVVLRDVEFYTRQVSAYVHEFL QYMSSIELVMRLQPGSYPELESKWVQFNISVRDLEKVALEEHLSQVRKHVIEPFEHVI KAYGNPSLAMKKRQKRRLDFERSEQLKRSGKSPDSKLKELVEQYDALNDALKKELPQL SALTEKVGNICLGNFVNIQARWYSIWTEKMKVVLSDCSDMPDLKEVLSTFERDFPYAN DQLSSIGILNPATWGRTSLSTSVSVDDASFRTRARGSDIESRSRGQSVSGDMAPTLPA PDFGNRRSDSFTMSPNNGATGFASGNMPSPHHYYYRDYYAGIHSCQGGLTSPRSPEFP SSSRSGAGAGVASTRPSTGRSCESGMLRRSSDTAGQNHRDSNATYHSNHAPQETQREP RRFSNMFHSAMPMSDGPDESSSQRQSRASSRERSQTSDGYNVLWLAASLFEFNISTTK HEAGYPYLTYQAGEIFDVIAEKGELWLAKNQDDPNDQVGWIWSKHFAKLADS UV8b_02600 MTDSSDTQSEIVDFVIQALFRREKRPSSWPKNLLCDGYRKRARE DDPCGSSIPNVYSLYPNHHAAALKRKPWPELLALLGQSGQRIMIELLFQGSIYVTVDA GFGNYYQLSGTPLSELDLVDNLRRSPDKSRRPSDVVLVRRRMFYARPALTARGRIQTG FQQIHVLNRCRHASPRKENADVQQADANTMKIMMYMFPRQFGLHNVFTSSVNRLEMAG RFQDYTVREDEILSLAQTLQTGSEKKLPKLPKRLRGIAWELVKKLQVLHSRCSYTALL RHYCSSEFDTRSSQKVSGNTLHHVVAARTTKAEQRLGTNEPCGGLFPRASDKCETPEC NSFVDLATPASAVSAFCQAVLSNLVPNDFWGKGDAMSHNKTIILRRVDQFVKLRRFES MTLHDVTQDLKISDVPWLQPPGSRSHNTSLTDMNKRREIFNELIYYVFDSLLISLIRT NFYVTESSSHRLQVFFFRHDVWRRISGPALAKLKGEMYEEVKVSEAQETLASRRLGFS RLRLLPKDNKLRPILNLRRRQISRNNSRVLYPSINSVLAPISTVLKFEKDTNAHLLGS SLFSVGDIYTRLKDFKRSLSSHPGVGDETRLFFAKLDVQSAFDTMPQDAIIQLMTSVL SQSQYTMIKHAEIKPGERAMSKSKKAATKAICQWRASVLGRDEEGAFTSRLHNGLANK KKYTVFVDGVAQRTHDAKDLLDLMSDHIGGNLVKMGKKYYLQSRGIPQGSVLSSLLCN YFYADLERRHLSFLFEPDCLLVRLIDDFLLITLDRHKAEKFVEMMHRGLPEYGVEVST QKTLVNFDVHIDGKRVPKAMAGTGFPYCGIRINDTTLEITKDVEARKHIAIQNSLTVE YGRSPGQNFQRKVLNTFKMQSHLMFFDTTHNTAKTVLDTLRQAFSETARKMQAYIRCL PKRQRPSTSLITQTICQAAQVAFAILSSKSRRLQYPEYACSIRRGQVTSVAYGAFLDV LSKYQANYGPVIQWLRQHAEKPALAAENR UV8b_02601 MPHLDDLLRAEYRAVFLDAVSHGRAKPFVVPYCILGIYVIPAVW LAIPHTSRPWVYWTRWPVVAFLIWFNMHILLHTSSTNFSYSYAAGLAPAWGIMLSLNF LIWKRPQFEAARVIKVPKSTDKAPVNEDQVLPAQQVVPAQQVVPAQQVVPAPNHLPSA SEDALRKRNPGSATPTHAHAQAHAHDTIRDEKSREESYEYRWQTFPRDAPFLERLGWT LDLVSSCRGAGWNHSINSIPRPDIPPVIRPNAKVDITTVPRQTSSGYVRPLGVADFLR DRLTTIFFTYLILDFLLIQMVTDPYTLSGPDRSLSTTSSPQQHYPPWAQTFYRECLSL VCIWSTITLLFASNDLVLYPIFRTFFPSRAALWQFPTTFGGIAQVPNRGLAGWWGAWW HQTFRLSFLGPSVYLINKGYIRRGSKAADAFGLFISFFQSSLLHVAGSFTALPPTKLW RPMAFFLLQGVGIFLQQQLISVLKRPCVNAPRLVRQTGNVLFCLLWLCLTAPMFLDDL ASAGLWLHEPIPISPFRLLGFGRAGDHWWRWDRHTLPRWSPAKHWWEVGIAL UV8b_02602 MPSKHSTQPHADLLDTDGHPSPLRRESGVAACLLDCSTARLLDC SLACSPLCLHAGAVWKLRRKKQAGKLGNGQAPEKLRTPALTIHGAHPVVFGEKSLMTT CSVHEGHRESCRHQVH UV8b_02603 MATLASRDHQDLINNGLATPAAPDGTVRIHKRRLHQWADAEYAH LPLSPTPPESSEDHFTTIPAARISLATLKYLGFNSERADEIWTRWQNWPLNPIVFLQF VMDHLEDYVWQDVYDEDDDEWFRYMDLCGINEELQKAIMDPEFKSMRLMETCLYWLKD TMELRYMGLERIEATSREREQALRHSEPRV UV8b_02604 MAAVIVPPDQAGLLGPILPALPAAAASTQPATQVLPLLSPILRQ RVQILSSSSTEPWLRLLCYDASKSSQLADVARGPALEPHPVSGEVEVDWGYDAETRYR RLDKETLQALVALPHLGLAFRLVYCINDSDRGGDGDGDGDGGGGGWRIGEVTVTDEPS PFSTFGGASTIAEAERQYRHSQGSRDALPVNGGSAAKSVRQEEEDDNDYWARYDATPA RTPAQSRSPAAGTNGNTSATLLQTLDSFKSTSADDDGYFAQYDNVQPAMDNHDPDEEA HAAPFMPPLGLCQNAASPRATAAAAAAAVASATNHSLQAGGIYPGMSNTDMALEHPRP HSSASSSRSNTVARLEETAGKQEQNEFGVKQHVSRSIRSLYMLSKASGIDRDEFERLV QTELQLLGMMDDGD UV8b_02605 MTPALCARKGKGSGPATRRKRAARCRQRKRDTPDDNTAASLSHL SFRHRNRLPQTTPDPETPNAKLATTACASEATPPGEHGVPAKGQHLKDEGTKLQVVTA GAVAGLVSRFVIAPLDVIKIRLQLQPYSLSDPPAPPSQGPAYRGAAATLKHILRHEGL TGLWKGNVPAELLYVCYASLQFTTYRTTTLFLRTALPVRLPDAAESFVAGASSGALAT TITYPLDLLRTRFAAQGRRRVYSSLRGAICDIQRDEGWRGFFRGVGPAVGQIGPFMGV FFVTYEGLRVKTAGLNMPWGGGDVTAGVMSSVVAKTAVFPLDLVRKRIQVQGPTRSRY VYSDIPEYPSAWRAVATIVRTEGFRGLYKGLPISLLKSAPASAITVWTYERCLDLMKR MDSSRESRL UV8b_02606 MNGVNGAGAASQVPVWLDCDPGHDDVFAIILAAYHPRIKLLGIS TVFGNASLDHTTRNAASVLTAIGKHKHVPLYRGAHKALERPAVHAPDVHGESGLDGTD LLPRPECEPLPGSAVMAMAEAIRAQAPGTTWIVTTGSLTNLGTLLRGDPGIIDHVKGI SLMGGSFGGDFSDAVMGMVDGKERVGNITPWAEFNILIDPEAAAEVFHNPKVASKTTV VPLDLSHQVLATDDIRNLLLYGVDGKREGRGKTVLRRMLVELLYFFAHTYADVFGITA GPPLHDPIAVAAVLLGTDDEIAFHQWDDKRSAAPKHEERFQVTVVTEGTFEKARDGHA QTGRTIARLLPPGEEGVRIPRSMDRAKFWQVIEECVRRADEENELLGRQ UV8b_02607 MNNEQFRKLMLANSKSSPSESQNGPSPSPSSSRPVIGGSLGPRQ RASIPMTPRSVAGTQAGFARQLAQREQSEHPSKKFRTSAPRGIKLGQGYVDRAQTRQG TLGDDREDRLRALEASYKNEEIDTDAYETLRFQIAGGDLESTHLVKGLDFKLLERVRK GEDVYSKASPKPTCESETRRDDEDDDEDDYEANVDHAFEQLETQEVHAVEKAKAEKKK GQLSTVATGRKRTRDQILAELKAARAAAAQAEPSLGNRFRKIGTTQKLGTRIERDRKG REVLIIVDADGHEKRKVRKLLPGEEEQAKNDLPMPDKNAKPLGMEVPEQYRKKQEPVA EEDEGDVNIFGDVGDDYDPLAGMQDADSDSGDEPRSEAGETGEAASADGQEACSQPRR PPEPLDGPRNYFKDSKTGLISEEASRGPAMSDPAIMAAIKKAATLRRIETEDEEEDED DDSRRGSRDAPDAQERRRKLLQMAARDDDDLDLGFGTSRFEDEEDWEDRKLSSWGGKE DGVGGHGKQPRKRGPKKRKGDANKAADVLRVMEQRKKA UV8b_02608 MGSVLDKNSSRVRKRIESHRFENEEGDEYKGSAFGGFGEYFRRK KIKLQNLDADIRASSDKPQIFRGIVAHVTGYTQPPLHILHRDIVEHGGGFLQYLDSKS MATHVIASTLPPKKSVEFSRYRIVKPAWIVDSIAAGKLLPWADYRVLDEGPRQQVLKI GGDTTISQTSPQVRRGYKEQTDNSFYTRQINSATTTPCRPLDGRGKGLSAPTRQPVWE ESKDEKPWRDEEQVASVSDAYDTHEMLENHTLTRRTPPARGTTSNEGVPPPDRLDDPV SHSLASGPSKNMTSEEYNAWLLSDPRLRKSSTANPEFLQQFYSESRLHHLSSWKANLK SSMQRLAAERGLSQSKLKRKPGARQYIMHVDFDSFFCAVSLKSHPDYKDKPAAVAHSS GPGSEIASCNYPARTFGIKNGMWMKRALELCPNIKVLPYDFPAYEDASRLFYESIMSI GGVVQSVSVDEALIDATEIIYSACGSQGVGIDEGSIWREQQKAEEIALELRKSIKGKT ACDVSVGIGGNILQAKVALRRAKPAGQFQLRPEDVLHVVGELTVEKLPGVSYSIGGKL EDLGVKFVKDIRNISRERLASALGPKTGDKLADYARGIDRTQVGEQPPRKSVSAEVSW GIRFISQQEADEFVYNLCKELEKRLLNEQLRGKHLTVKIMRRSLDAPLDPAKHLGHGK CDTFNKSITFGVATHSCETIGKEAVSILRAFKFSPGDLRGLGVQMTKLEPINKAGTGH GGHDEGSQRTLSFGRFAVPVSAKKARRAEPIDEIDSPRKPKKQDAVSGIGADPIADDD SPTPRKPKSHAAMALSRAHQEDVKAHTPLNVSGTQFIIPSNPDAAVMAELPSDIRNRL VGQQQRQNRPDIPSMQRQDEPAPARGLLPTQIDGEVFDALPDDVKAEVLAAYARAPEQ PQSPRREPQHGPPPRRQATPTKRGGMRGASSRAQRRRDARVGVVQTRFKSAHEGAAAA IEEIEELDPEFLAELPEDVRREVVADHRRRRMALRSRLDAPGRRRQVPDANAPLAGGQ RRIQFPAPPRKVGFAGSGVTSTPEIKDMMDAWHSETQDEGPHAGDVAVLGKYMARVVK DERDLEKAVALIKWLELVVEQDGKPGPGQASWREAVKQVRQAVQTAVTERGLGALDMS UV8b_02609 MLVPLLALAPAALALSTHSSSTYSYYGCYAETTQVEGSDHSRAL AGGVNQVEPDMTIPKCLDFCSRGTNYRYAGLEWSKECWCSQSLSGIAARLDDGQCDFP CGGNNSLACGGSLKLSVYKRSGAAGASAPLALLLGGLAGAVCL UV8b_02610 MGHVVLPALIPDIRRVYDVYFAAFEHDLMGRIMLDIIFPGSQIT AEGFRKAHTAGTLQYWHTSTEQYTVKCVDTSSGETIGMGLTDVYLRERSPEQRRNHGV TWLEGEHRERAEKVLNPLWEMREKLFGGRPYIYCHVIAVDPKHQGRKAGKALVQWWNQ LSELTGLPAYLESSPSTANLYKKMGYELLPEKITHKAEVLGTETDIEVPLMVKMPPAA GGMTFDEWRQKGYPEFPALPLASP UV8b_02611 MGSYIDWSKTTKSANYHGSGSFSTFMIIGPTCFFLGILFASFPY DFPLLWSKEPVPASYYDQLETHLKFIHQSPPLIGRMLNIIVSVGFLGMFIKLFKPSEA NFLFDGASLILYTIGVAVYISNIVKGLRTVSSGFWDSEAFENANKNADGDLILGREDS LRVLSASNTILALVLIGVLVLQAGQWYAEKRDRDDEAAVASKKDSPASKATTKKKQ UV8b_02612 MDHAQINVQPSSAMPSELVLRGSSALVEAFEGIAYGSIAGIIGK YIEYPFDTVKVRLQSQPDHLPLRYTGPLDCFRQSFRADGFSGLYRGISAPLVGAAAET SSLFVFEKVGREALFSTGFSSREKGLSVPALWATGAFSGVCTSFVLTPIELVKCKVQV PAHVEGHAAPPVRPLAVVRHVFRHEGLRGFWRGQMGTLVREGGGSAAWFGAKETVTSL FYGIRERSAKSRSEAQTAMAQPLPLWQQAVAGASAGVSYNFLFFPADTIKSRMQTVAV GTLARKSGFLQEGIMIWRQHGVRGLYRGCGITCMRSAPSSAFIFMIYDGLKRNFPMQ UV8b_02613 MTTYLPSITIPTAVFKHQAASVLLPIALGTAVGFGTRPAETQKT YLDLKQPPLRPPPWLFGPVWTVLYGLMGYAAHRAATVGLSPLSSPSTVQTTLQSMTLY SVQLGLNLLWMPLFFVAKRPVAATIDIVGLVGLNGYLAYLWGSVDRTAGLLQLPYLGW LGFATYLCVGAGHLNDWDFSDKEAKKE UV8b_02614 MPRTTLGKRTRSSAYPILLETPTKPSRHYDAYNGHGHDEENRDP QDAPEAHDAVTIEVEAVQDAPRKRQRSESQTSAKKTPVTPSTPRHRDALSSYPTTPRH AVMSAGRLFKRLTPSSPLSPSTIQTVYHSARQLFARGAEPGQLIGRESEREQLSNFLS RCTSSVPHGCLYISGAPGTGKSAMVSEMTRDYAQRHRVQTAYINCMSVKSSKDLYTTL LTELGLNGGLPESEAISALQQAFCPKTESPAVYLITLDEIDHVLTMGLESLYRVFEWS LQKSSRLVLIGIANALDLTDRFLPRLKSMNMKPDLLPFLPYTAPQVKNIITSRLTSLM PDNSKEGYVPFIHPAAIELCSRKVSSQTGDLRKAFEICRRALDLIESETRSKHEEEAR EKLVQMTPSKRPLGENVNGALGSGSSRSLLQLMTASLKSLTAETAPRASIGHLNKITA AAFSNGTTQRLKTLNLQQKVALCALMAYEKRSRAAIKSLKAPTTPSKSHSLAPTIKTL FDTYCQLCTRDSLLHPLSSSEFREVVGSLETLGLVGAVEGKTGGLTMAQTPSKRGRRA TIGTGDDRRIASCAGEKEIESVADGPGAGILRSILSGEAMD UV8b_02615 MSDKQRGQRRHNFRRRRGSKPAAPGKQPPAPVADQLSSSSSPGA HDDASPAAAADANPDARPDARPDARPALTEDVPADTPRFADLVGQNLLHPSLIRAITD DLKFDRMMPVQAATLWELLPPRRCDCLVQARTGTGKTIAFLLPALQTIVARKQERSSA PPISLLVISPTRELAMQIAQEAAGLLRRLPDLVVRTAIGGTSKDKEEKQILSGCHVLV ATPGRLIDHMSNEDILYSFRQLDTLVLDEADRLLDMGFMPSLREIVGKLPDRSRVSRQ GMLFSATVPPRVSQVAGLVLAPGYKFISTIPAGQVATHERVPQLLVKVAAFSSVTAAA VGAVREQAAQQKDVFKAIVFAPTAALAGFYGHVLSRVPGLPPVSTLHSRMTQSRRTRV TDEYRDATSAILAATDVVARGMDFPGVTTVFQVGIPMEKQSYVHRIGRTARADADGMG VFLVSEAESFFPRWTLKSIAFTPHDADVTAQDEVDRIILETVSEEEKTQIYQSWLGYY NNHLKGLQWDKEELVRQANQYARQGLGTPDVPPIQKSTVGKMGLRGTRGLNVVPDKPK SRGSRGRGRGRGRG UV8b_02616 MLPGPSASDGADGRLPASSSPSFSLATSAPPANAAVSPASGPSS GPSSGPSSRGPGGSSAASASAKASPPSSSGPGTRPSLLSRLALPLQLPLPLRSRNRHV ADFHIRCDEPHKQYCAGDSVRGAVVLVALKPLRITHLVVSLHGFVRLFKDPMSVAKTQ NLTTLPPSGSSQQPLYQGNGLTSLFQDEQVLSGDGRIEPGKYEFGFDLMFPDQGLPSS LDFERGTIAYLVTATLTRPTTIAPTSTCDRKVVLVERIDVGLLSPPRPRTIFLEPISK RARRKKAAPTEKPSASGTPHEITDLASEADSSVVTEDSARGENTNNHHQTPGDARGGT VPSDVRSEISGESGRSLSATGRPEHAHLSQIGSTLTSAAKQQVVDDKTITATIELLKG GCLPGEAVTVRVAVQHIKRIKSMTGVIVTLFRQSRIDLNPPDSPSFEASQSKNRLHKD EPYPRFRTGLIGLSLSSAGSTSVFRKDLDQNAAPLIIDPATLQASVTVSVRVPDDAFP TIRGVPGEMISFKYHVEVIVDLGGKLTSQLGVGFTPSRFGTSGGSSNEPSQGLFGPQR GSNVADTSQVKRQKGVISVSLETVVGTIDSGRSRPHIKPGVVRQAAIRIAEAGRTEVV QHEVPGHSGTLPYGSILTNGNPHLSSPNRRLDDYTAPPPHPSDIAQHRPWSSAGLSRD FAHVNGAARSQAAPCYIPPPPQIPDQANMTEKERIQQAEARLLPSQPPVGPSTAEDEE DLYDAEDTPQGTLAGPVVPTVEEVEARLPAPLMDEAASGRPTEDKQELERQRLLQEAS APPEFPEDMDTPASSSSARDLPDEPTAPHLDEDAESYVAYGAVSESSRAGGSEQLPAY QR UV8b_02617 MRAAPPLRRLCRICPSCRRASSLARPAATAGPARPFPVWQIPPQ PSSLPPNTRRLATRNAATGHYPSPPEPAAQAAQAETLYSFFPETLPLGPPPSGHFPVD TRRLRSEFLRLQAKYHPDKHPQHAKQQAEAMSAAINEAYKTLSNPLLRAQYLLQLQGV DVANDETLKMEEPELLTKVLEAHEAIEDASCPEDLGALKESNEASIAASEKVLERAFH EHDVEKAKREAVRLRYWVNIRLALHDQH UV8b_02618 MAKLFDASEVARHNTAESCWVILYGNVYDVTDFLSSHPGGSKII LKLAGQDATQDFDPVHPPGTIEENLKPEANLGQVNPETLPSPISTSPKETPCDDHDYL PPLESLLNLDEIEEEATKRLSRKAWAYYFSASDDLVSKTLNSTAYRDILLRPRMFVDC TECHLSTTLLGHRVGVPFFVSPAAMARLAHPDGERGIARAAARFNTLQIISNNASMTP EQIVDGAPADQMFGWQLYVQNDRQKSEAMLRRINARKDRFKFVCLTLDAPVPGKRELD EKSNFDRGSSNMVQAAVTSASGSGSGSQRPGGGGGGGGGVGQQLFFGTASDLTWKTTL PWLSKHTELPIVLKGIQTHEDAYLAAQHAPQVKAIILSNHGGRAMDTAPPAVHTLLEI RHYCPEVFSKIEVWVDGGIRRGTDIVKALCLGARGVGLGRAPLFGLGAGGQAGVERTF EILQAETATCMRLLGVRKISELGPQMINTRRVERDIYNGDPGLDKRGLWTKPKL UV8b_02619 MSDKQDVAQMESGAGRVGKKKALKNHCKRFWWLHLIVFLVVSAA VICIVIFVGVKKIAQAKINDAELEIQGVNILNAEPNKFLMQINSTIRTDGTVKADIDP FVGNLTLHDIPDARPFIQLSFPATNADKFQTVNISQEVTITDEAAFAEFNKYFFQNET LRVSISGKTKVQPAGLSKKYDVDFYKVITFNALNKLNDTSLSDMKIKIGVASDGIPNF NATATIMNPSYYTLDIGNATFNNYADDQLIGNLTIQNLVLSPGKNVIPVSAILDQTVV IVAATKKPYCENHKLPVQLLGTQVKQGSETIPWLLSALSSANQTLNLNLDETFKATGI NFPGCT UV8b_02620 MAGLVGYASSDDEDVTSQKTESPKVTSLSTERITHPISTSKLGP EGAAASANPSRRPSNPSSPSAPSQPIVGPVALGPSLPPPDASPSDPALKHLPEDFSDS GKGASAPPSPFSANRALIHHLTLPSIPNLDIPASPPGSPPPGANQKMEQFLHLKRRGT HFNSKLESSTALRNPSLMDKLLSFVDLSGPRQYETTLPPELYDPGSFPRWAYRKELRH SREEVLKEREGDKAAARGIEFVPASSTTPVGGLSKGEKRKSGWK UV8b_02621 MARPRDSRSPSPAGSQYGSRRHRKDDDRRDRDRDRRDGGREYRR RSRSRSPDNRYRDRGGRDRDRDSYRRRERSIDRRDDDHYRGVIRDHRDRERRRSRDRY IERPRSPGRRRNRSRDSDRDHRRRPDSRDGNRGRREETANSRPRSGRADSRSRKTSLA DSSSNKGGSEQLKNKAVAPQSDADKKAERLAKLEAWKKKKEIEGQKQKEVNPSLTRSL LAEMDMRASGLSPTVDSAGVSTVASPATLNESGSASPAVPYAGKFDPKTIAKKSAASR THDTSKPVLGSLDVQPERLAAQVQQKAIASALPANRAKTNGFGFGKSLVDADKLPAKR KLDLDGEDTTKRKLTKLPALPMETDDTPYADQDEDDDSDGGNFAENEEEAAAAARAAH EKRLQAENQTEPQPVGEPMEEDAQEEDPQVAQVAQVDQETNGVDVVDSNVTAHEQPLA QQMDVDEGGGGDDDGDDDGDDDADPLDAFMADLKQTETKKVAKVSTTKKNQAPEAYFS DEEYNFDSERKADTDFFAIANKRKKKDIPAVDYSKIDLVPVRKNFWVEPAELSALSEE ELVDLRLELDGIKVNGKHVPKPVQKWAQCGLTRQTLDVIDSLGYEKPTPIQMQAIPTL MSGRDVVGVAKTGSGKTVAFLLPMFRHIMDQPPIKDTDGPVGLIMTPTRELATQIHRD CKPFVKSMGLRAVCAYGGAPIRDQIAELKRGAEIIVCTPGRMIDLLAANQGRVTNLKR VSYVVLDEADRMFDMGFEPQVMKIFASMRPDRQTILFSATMPRIIDSLTKKVLKSPVE ITVGGRSVVAKEIEQIVEVREEGTKFHRVLELLGELYDKDEDARALIFVERQEKADDL LKELMIKGYPCMSIHGGKDQVDRDSTISDFKKGVVPLLIATSVAARGLDVKQLKLVIN YDAPNHLEDYVHRAGRTGRAGNTGVAVTFVTPEQENCAPGIARALEQSEQPVPERLNE MRKAHREKVKSGKAKDSSGFGGKGLDRLDQEREVARLRERRTHKADGEEEEEKEEKDE GRQKAEKALDAIRAAASSVQARDAAKSSAGADDQRASGDTPMGGEKTKDALDKVSSAI SAINSRLGKAGQLRSGQPIDNKGPDAGAFHATLEINDFPQKARWAVTNRTNVAKILDS TGTSITTKGSFYPPGKEVPANGDPKLYILIEGDTEVAVGAALQEMTRLLREGTIAAAD ADSRAPTSGRYTVS UV8b_02622 MGNLCGKQEPDSAPPGRVLGSAPTPQRNASVPVPVTSRVGASPR TLGGATDTTGEAADARRKAAEAAEARARASSKPGGKLQAQLTAQKRQSRADALKKASA EEQRAREIAQTHDSQTYN UV8b_02623 MEGPHMTLDGQMDTSSRIANDEMPDLHIDFDTLLASEHACASGL GPFEPCIHQDSSCLHFTQSQKLQEKLQPPANPAAPFARRQRQTKPGKPRRRKSRTEGS PPNMMQSQDYSWDHIFNDMSVAGCDFHGAGDWSYCKDDDCVSMSCSSACEGGCPSQCG EAGLAVCCDDDACGTPQLCVDEHCQGAAEPCMDENCVMDPSMNLQKRPSASVITDGDK AAAVALASFGDNQPPPPPPPPHLMPSLYMQQLHPPATNASGDGNLSFMDLPFSMPCGS LSMDSILSTVNGSPSLPNQPFHMAFEFALASHIMQYHDPAHGLANHGSCVANDPSQLI AKCTLPKYDPNDLANTDPFLPQLQASECGFQIQDPSEFAHHIFQQHGPNLTMHAHQAG LQGLLHPPDHSAPHHQHHHVGLGPFHYGSACSAKDFSPAMSPLTNLSVGPSLSATPAS LPTPSPPGSEPSLTDHEAFPPIKPVSPTSVAQPQVLGEEDPLLCRWVTGNNNAICGRR FENDEQLQKHCKHDHLKQLKKMGGGFRCGWASCTRDTCFTQRSKVERHMQVHTGYKPV QCNICGAALSAKQALDQHMRIHTGETPWVCKFPGCGCAFKQQSALTMHERTHTGDKPL ECEICGKRFSESSNLSKHRRTHNVKGVHECQLCGKDFHRLDQLRRHMGTNHKDRPAEV DALLSEAKSRIKAYKVAKVKRVKLTKARDAKLKQEPLLDLEQDGGVDMIEESIHAVAI GS UV8b_02624 MSSGKVKTVQLWDKSKDDLMKQLGELKTELGQLRIQKIVSSGSK LNKIHDLRKSIARVLTVINAKQRAQLRLFYKNKKYAPLDLRAKQTRAIRRRLSPEDKA RVLEKAKKRSTHFPQRKFAIKA UV8b_02625 MAASSPSAGWAQLRQQARTLETQTESLFHTYSQFSAAANIPPKP TEEERTTEQKIEELLEKRDSTISQLARLLDSETTLTSSALKQNNLSLLREKLSAHRRD LHRLRGTLQQARDRANLLANVRSDIDEYHASNPEAAEADYMLNERGRIDNSHNMADSV LSQAYAVNDSFLLQRETLASINRRIHVAASKVPGVNNLINRISARKRRDGVIMACFIA FCFLMFFWFS UV8b_02626 MSGPRRLAVTVAGLTSRQPSSTLLTTCLYCRWPRSFASSAARGR SEPPASGTSMDPQSHISGAPIDAPRSYGKRVEEGFVPKPLPRPIGMPLPPRAGENTGA DTRSLRQRKHDFGDYEKHVARRKELTAKLSRPYFRDWGNLQFHEGKSFIAPPRLFKAE LSLFFPNLRGDTLVKTDKEPRDTTPLLTGKASVVSIFSSQWAEKQVATFVSAESNPAL TAVLAENGDAAQMVSINYEDNKGKALLVKLFRASLRKRFDEKDWEKYFIVQRGITDDI RESIGLLNSKVGYIYLVDHHCRIRWAGSGPSQPEENASLAKGLARLVKEIDTDAARPA SAREQLPGKRTVDIPSL UV8b_02627 MFLQRGSRVLRRQLQTSKLVVGRSTPSTPRWFTESRRLYAVKPV LLADIGEGIVECEVIQWFVQPGARVEEFSPLCEVQSDKASVEITSRFSGTVKKLHYEA GDMAKVGKPFVDIDIEGEAEPGAPAPPPPSSPPTPSSSSSPSPSPAECQPSPSSGSPS AQVDSASNAATSKPKGKMATLATPAIRHLSRELRIDIADIDGTGRDGRVLKEDIFRFV KSRDQVDGDRLPTGTPPPPPPPPPSPGPQTETRVSLSQTQQMMFKSMTRSLTIPHFLY ADEIDFSHLVALRARLNKVLLSQSVMVQQDQQPRKLSYLPFIIKAVSMALYQFPVLNA RVDADASSNKPSLIMRSQHNIGVAMDTPQGLLVPVIKDVGSRNILSVAAELVRLQELA HEGKLSPGDMSGGTITVSNIGNIGGTYLSPVIVDKEVSILGIGRMRTVPAFDENDAVV KKHVCNFSWSADHRVVDGATMARAAEVVRRVVEEPDIMVMHLR UV8b_02628 MQCRTTSESSSQGKKPSISTPGTGAGRVHRYHQSRRHLWLLTGP TGCGKTTVAQYLANALQMPYVEGDSYHPQANIDKMSAKTPLTDADRWDWLTELRKQCN SRIGDGADMVVMTCSALKLKYRDVLRVAAYYNRSISVHVLFLDAPEEVLVKRVAERKG HFMGASMVKSQFEILELPQKDETDAIIIDASQDIEHVQRDALEKVKAILAAV UV8b_02629 MASIDVKHFLADQPPTVVRLEIEKHFEALNDKQKRYAHFVSKAC FAGTRIVLRQISPESEPIYDLIISLHNSCRGDWEALAKQANVEQSELTSFLEYAAMFF GNNGNYKSFGDSKFLPRCSEKSVAALAATSPEAAKFYEATNGAIFSHEKPGLLHLGFT DAGHMTTYYPDSPAITKDEIESVSTWMEQKGLLPENNRLRKNPDGSFDILIASAVTSI PSEGGDIGKDTEFTVGNGLLKGKTIRLVFGDYTNEMNNITAYMKQAADNADNDTQRSM HLNYSRSFETGSLEAFKDAQRDWIKDKGPTVECNIGFVETYRDPAGIRGEWEGFASMV NRERTRAFGELVSAAPTLLPLLPWDKQFEKDKFLSPDFTSLEVLTFAGSGIPAGINIP NYDDIRQTEGFKNVSLGNVVSAKAPNEKIPFIRQEDLDIYQKYRDDAFEVQVGLHELT GHGCGKLLQETSPGVFNFDKENPPVSPLTQKPITTWYKPGQTWSSVFGSIAASYEECR AELVAMYLSCEFPVLKIFGFGDGTLDIDGQAGDVLYASYLSMARAGLASIELWDPKSQ KWGQAHSQARFSILKCFLDAGDDFCKLHYTKDDLSDLTIHLDRSKILTTGRNAVADYL RKIHIYKSTADVETGTKFYTDMTTVGLDFWGTKVRNVVLDKKQPRKVFVQANTTLDEA TGKVSIKHYDASLVGIIQSWADRSL UV8b_02630 MSAKPTTKKFGKSSRDVPAHSEKAQKWYPADDESAPKQVRKSIR PWAPRKSLQPGTVLILLAGRFRGKRVVLLKALDQGVLLVTGPFKINGVPLRRVNSRYV IATSLKVDVSGLDTKKIEEIAQPKYFTAEKAKEKAGEEAFFKQGEKAEKKKINSSRAA DQKAVDKALIANIKKVDMLASYLASSFSLRKGDKPHEMAW UV8b_02631 MGGEQEKPALEQFGVDLTARARDGKLDPVIGRDAEIQRTIQILS RRTKNNPVLIGNAGTGKTAILEGLALRIIRGDVPESVKNKRVVSLDLGSLIAGAKFRG DFEERLKKVLDEVQKAEGEVILFIDELHTLLGLGKAEGSIDASNLLKPALARGELQCC GATTLSEYRQIEKDVALARRFQPILVSEPTVEDTISILRGIKDKYEVHHGVRINDGAL VAAATLSNRYITDRFLPDKAIDLMDEAASHLKLQHESKPEDIMRLDHKIMTVQIELES LRKEKDVASQERREKLERDLDKYREEISQLNARWEKEKAEIDSVKKVQEDLEKARFDL EQAQRSGNFARASELRFGVIPNLERKLPSDEETRRKPEEALVHDSVTSDDIANVVSRI TGIPVSKLTSGHIEKLVTMEETLREAVKGQDDAIKAVSDAVRLQRAGLSGENKPLASF FFLGPTGVGKTELCKKLAGFLFSTESAVVRFDMSEFQERHTISRLIGAPSGYVGYEDA GQLTEAVRRKPYAVLLLDEFEKAHRDISALLLQVLDEGYLTDAQGHKVDFRNTIIVLT SNLGADILVGQNPHHPYKEKADGEIDPSVRQAVMDAVSHAYPPEFLNRIDSFIIFKRL AQSALRDIVDIRLAELQHRLDDKRIKLTVPDPVRDWLAARGYSPKFGARPLNRLITNE IANGLADKIIRGQLKMGEVAEVRIKDDGSGLHVASGF UV8b_02632 MGLTFSKLFDKLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDAILLVFANKQDLPNAMNAAEITDKLGLHSLRQRPWYIQSTCA TAGDGLYEGLEWLATTLRKAGHQ UV8b_02633 MHLPTARSLLAAATVAFRVASAHSIVLPAHGIECFHENLHRDDK MTVTFQTGDREFGSAGNLDIDFWISNPSGAYEVNERSVSTGDHDITAHSDGKYTYCFG NQHWGANTKEVSFNVHGVVYVSESELPSDPIEIEVRKLSGLLTSVKDEQQYIIARERT HRNTAESTNARVKWWNLFVIGVVLGESLFQVWWLRRFFEVKRVV UV8b_02634 MNRYVLYGAMGIIVLLVVSLVRASQVENWKVANGKVKTTAPPPP AWENFPFLERYYGGIRTLRPFNESEPSYPNRPIEQPQSNGKFAREEEEAEIKLASSLS WENYPAANKEEHIHECFIDAAGKVRVPPLRYYDGRTHGFPEHVSGSYEVLKLPEEICF ERYGRYGPYGLGYSNSLGGLGTGEHGENEGFDSVWDKTPRVDYRQVNWADVQRRCYRT NAGRYKSLPSKAPSPHGFYIGEPSSASILARSASGTNNVTEENTSKPHAGTAFAGSSK GAGSEGTTSRTAVVVRCWDEFLFREDDIAHIRAMIAELSMASGGRYDIHLLVQVKEDG KYPIWADGEAYEKHINETIPREFQGLVTLWTETQMLALYQGMYDLWTRGPDLPVHGVY RGLSMAMQHFAYLHPEYDYFWQWEMDVRYTGHYYDLVTKLEEWAKAQPRKGLWERNSR FYFPSVHGTWEDFSTMTRFQSEMGTVGAHNVWQGMAGADKSPQTPPPASKTVWGPVRP SEEQDWFETEQDPLPPTAYESDKNQWGVGEEADYISLNPIFDPEGTTWGLKEDITGYN RTQPLPPRRAAIITTSRMSRRLLMQMHRMTAFKKQFAFPEMWPATVALQHGYKAVFAP HPMYVDRKWPVAYMAQTYNGGRDGSSGGSRTSVFGDKEHNLKGLSWFYNSGFAPNLYR RWLGLKVNNDGGEEFEKTVDESKEGPGVGNMRGGEGRMCIPPMLLHPVKDVELPVEAP PEDEDIVENSDPAA UV8b_02635 MSITLASTPLPVSSVPAEDDTLANPTASSLTYQPQQKSLSVTLN GPSIVTLSAILVLSLHTLGSVLTQCLVLLTPLVLLLRNDYCNFISLGPGGTPSTFSGY LQIAWWRLWALRDPFTLSKNFDSSTQAGILPEQHLPYRHGLRPVVAGIAPQRQIDQHG SRHSYQTLRRAMVKVGHLHPNKFGTERSCVEKHGLALFAKHALRTNCQGEIVHVHDSD HSMHMCLHADDIRQVLRKGWGQRHPLARRGWFLQMPVSPNFVMVYAPRDECELQIVYR IIEAAVWYTVEERVSLS UV8b_02636 MEPPFPTDVQEFDADDRISFSRLDNKFIAVQDDGTELEFHQELK RWLPHEHGDIEQQPHDSFPDQEPTVGARTRQHEADDGSERGDQLQPTRPSKKQKLAPQ PKQNTAVYVTGLPLDATASEVYELFSRKAGVIAEEIDSGAPRIKLYTDESGNFKGDAL VVFFKPQSVDMAIMLLDDTDFRTTSTGTREGRIRVQAADSTYKKTQYDKNGAAAPEDS NGGHEGEKRQRNNRDRQKIIKKTQKLDAKLADWDDDEPYPSIAQSTAKKNKTVILRHM FTLEELEEDPAALLEIKEDIRDECSKLGTVTSVVLYDEEPDGIVSVRFKETSSAMACI QLMHGRSFDGRVVEAFIKTGKEKYRKSNKDASNDADSD UV8b_02637 MPIAPIITFKAGQCEIDDSSSRPLKVKPQPQPGYLYLYSEDDLI HFCWRKRDQTVDNPELDLVMVPADGSFVSYEYDAAHPTSKTNGRMFVLKFASSSQRYL FWLQSKPQGRDGNPSWFSPRDRKIGEIVNQLLQGEEVNVSAELASIRNVDDGRDDGND DDEPMEDVEGHRDSHAHRGSGSGGAGPDATGGDVRQEGEEAREGGADGARAASLSAPD AAAAVRNFLNSLRDQQGLQRGQQQQHSDVPYPHLSHLLTSTMTVPMIDGASSDFIDTL LSLLPPTVIVLTAGFPSDEEGQKEPSAGTVERAKASLSLDDKRGLLKKVLRSPQFNQA LGVLTMALRDGGLPGVADALGVRVENGGYMPGGQMPLGGGQAVKAFVDGVRKTVKEDV Q UV8b_02638 MQELFRFPRIPSYARSCPKKIKNMYGDQGVKLVQHAKRTQNLAH LPPYQAELVRAVTREVRDLDKDAKDLLQPFQGSFDPAADQAIACTLLVNHMSMRRNKR CLLAYHRTRTEKLEELVWNGSDVIDLSGQQVRDSTSATNGIPQAADASTSSLSPQEED YVRQYSDLLAAYKGQWTEIDLTGSLEPPRDLFIDVRVLKDAGEIQTEYGSITLTKNSQ FYVRQGDVERLIAQGYLQRLS UV8b_02639 MSSILDFSGWRSRSSSFSSQPSPQHPSRDDASEIGFAHDDSAPR LSASVGSPATTFREPIRSYIHASVRGQLAPVDSQQNARTVREDTAELATYLLSDGTTP RRPSFLQRSKASAQDLFASNQEGGPRDDDVAAASTTILEVSEPASPQGDEDVTAEDIG PSVLSNLIRRSPPQSVKPDTPISPDLHPAEPERKLEGEEQGQSKRRVAEEAMPATERT PLLGQVVGEDATAIDIEGQQAKKPNCWLNGLLEGGQKAETHLAHAVKVAVNPHHWNRK ALWENVVVEPISCLPAVAVGLLLNILDALSYGMILFPVGKQLFSHLGSAGISIYYVST IVAQVTFSSGSIFRGAVGSELIEVVPFFHNMAQKITDNVGQDNADAVIATTITAYAFS SIITGLVFYLMGKFKFGYMVGFIPRHILIGCIGGVGWFLVATGFEVSARLDGNLKYNL DTLNKLVQADTVLLWIFPLALAAGLFYGQSKIRSKYFLPLFILAIPLLFYVFVLSIDA LDADDLRDKGWIFQGPPPGEPWWYFYTLYQFNLIHWDAILEVVPAMLALTFFGILHVP INVPALALNTGEDSADLDKELKLHGYSNILSGLLGSIQNYLVYANTVFFVRSGGDKRL AGFILAAATFGVMMMGPSLIGFIPVMMVGTLIFDLGFELLLEAIWLPRKKLKVAEYLT VLAIVLVMGMYDFVVGIGVGILLAFVSLIIQTSRVSAVRGMYDGGIVSSTVRRNPAQH HYLDQVGQQIYIMKLNGYLFFGTIVSVEEKIRGLLDDHAFSKKPIKFLILDLWHVSGL DYSAGEAFNTISRLLDKKGVLLVLSGVDAESQLGRSLRAVGLGNDKIKVMMLPNLNSA LESCENELLKTLYAGQEELNSTRRAATPSCDVPTAANASSLSSFDPPFNSPRQSHLVE AAREALTSAKVPNPSKWQSFNEPLRLMLQIFQGLSGKNEDFWFQATRYFKRMEYAAGT VLFRRGEPATGFYLVERGIVRAEYDLPQGWLCESIVAGTTCGELPFFSETERTANALV ERDCVVWLMDCESWKKLQKDQPEVARELLRISLKLTSERMSSITSYILTTAG UV8b_02640 MPQSIRSILGKTRVAKAKPAAQRTASSAHRGTKPQSRRSARHDA LFPDKLEDLGGAPVLTEREELTLRDVVQAMRYIRSRMFSAVPETGLTSTRRAEMLRRR ASMPPVVAASHVQAVLGGTAPARVEREIAELVGRGVLRRVRVARRGGAGEALVETRDL EGMLGRARDGGGLSAGARDAFLDHLRRNPTAQTVGWADGLTRDQVDELVRAGFLTSAG STTPAPGPSALSALSARPEDRTTLTSIQHVSRFASGTASAVGGRNAVHLAGGGGGSAV FSAAHGRPESSSSCRVAVPGHGRHLKLADGAADWIRDVLGKTAWGEGPESWLKERFEG NGLRGTRWRDFCGLEWEWLLGQAVGLGVVEVFDTGSVGRGVRALGG UV8b_02641 MATALPRPSRPPSDGPPNMALPALPVTKTRKSTGGLSTSAVPKP APSTPRTGLRGPSAAAAAAAAASVPPTLAPNTPLPQFKALQGVNAAGKTLRKTVSISS FPHPPRGDGRSSSMPPSPLSNEKARARKSKPPKEASHVTYSLSTPSLLNGSGEGKSIR NARMSDGLMSISSPPQSRSSSAQDSYSTSATTYDDPADGSGSKSDAAALSFDSVSDRR SSKSDGKGNVLVSVRVRPDHSASPMNPEGEWMVDGRKSLIAYKGKEGGDYFYDNVFTT HDNNSRVYDHMAKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQGTASSPGVIPLAITDI FSYIRETPSREFLLRVSYLEIYNERIHDLLNMPTGAGAGAGAAGVPQQDEIKLREDSK RGVYATPLKEEIVQSPTQLLRVIARGDQARRTASTQFNARSSRSHAVVQIVVESRERM PGITAGGGVEGKRSAILPGGVRVSTLSLIDLAGSEKAAESKERRQEGAHINKSLLTLG TVISKLSEWRDKDGKGGDKEGKHLPYRDSKLTRLLQGALSGNSLVSILCTIQIGAAGT AASANSHTTETINTLKFASRAKNSIVSHAKRAEEALGAGGDGGARVLLERYRMEIQEL RKQLESQKNGSGDDVEKDEQVEKVRELEAAERHEEQMLEMQLARTALKERIDHLNRLI LSSKSIGVNSNGSLSSLGQYSRFSQLSLSGSIRSSVATSVGSKPAFERTASMTSASST IGRRSSGGHRLSADAGGEMEAVDAEEDNVGEFGDGLASLNAQNRALQADLADKNRYIA TLEKRLLQARRASSSRTSAGFSGPSKSIMVGEDHSVAAALKEKDAEIADLRARLDDKD RMLAALRSAARSRDTADASFEPRSPPPPPPPAPTEQQVKDLPTSLAAPGRTHRIRTRS VDEMNRMLEEMIQDRVETGQIIRGLRGSVRLPGGHGGHKLEPPVPASHKLEPLRQSPV HMMTSDEAPAAAPATETTA UV8b_02642 MAPRLSLTQPTTGKVAIAPPRINLRRAASYNDRISGPISSTSST FNFNHLLFSPPPSPGLPALVPRQRKRSASDLIFKARPSRVLRTILWFSTLCAAFYFSV SVAFRHRHVIPIVLPYFGDPQYEMVGQDSFPDFPTPVVVHDAKKRPRWTVFVPRNYEF PLSVDEYSAMNALCREVSARTRDLHHKPPLSDQTLLLKGKSDDYFVDVHEAEQTGLLS VKPKGQPPKHSGHFVGLSLESKSGKPFCDRSLTYVLESSNAGLGEAMMALWTVYSLAK EQDRSFFIDDSRWAYGAYTDVFGPPPVPRCRPPPRHQMLPCPAQARHLVVSSQTIRDV LPALLAKHQQQKKTALGTRHLFELAHHGYKALFALSKDDDAYVSKRIQQIRSKAKAKA SKLAVDSPVIGLHIRHGDRHPYENQYRETYIPSEVFASQAYNLVAEYYNRTTSIKPDQ HDAVRIIASDDPMVHEEGEFSDAMMAQERIRLATKQVIEGANKDPHYLHRFVDEAFGW EGGFFAAMFWNLGGKPRSNAAGEHEPGRDGDEMARLRSLVGRAYAMDMAVLAGASDKV VCAVSAMGCRLLAVMMGWTRAMEQHDWVNVDGDYGWAGLVW UV8b_02643 MSAPGAGGAPSQAPKPKLKINVSRLSSFVGSATSASATPAPAAS AAASEGPRKVKLKLTSSQPPTPAAETAPPKTKAGRQTKPSQKLVESRKRPRDDDGIHH GHGGPPPPPTATTTTTTTTTTKIKIRPGLKAAPTQLVLKPKGRPPHHPPGDGYDSEAS DREIDPAIEEQFILRMLPGEHCDYVRKCMDTGKMGVSPEQGGADLTLRFFDEDSRRAV VTVMGQPFAAVMADLPTITESMKTWDRKSLMKSADVCQMLLVFQPVGSDDEARRAPLP AVLDGNFRWPHGITPPMHDCVNRRFAKTISRKEIEDKEAEVERLLAEDAKAASTRWEW VDDRRDADADADADAEGDDEDAEGELDDAMDYADDNAPRRADAFGDDNDLEADLEAAF ADDLFAETPATGADMATPTTAHVETPAPALQESIENDESAADDRDDDDEDDDEDDDDD DDDDDDDDDDDDDDLDEDQRAQRDEEQGVKDIIHDLEKQLARRVADLEKTQNKILRVR LDNQIKQLKSEIELKKGSIGIEVDD UV8b_02644 MTTINPRLFERAEKLALMTNELKLHKATQQVDEITRDLEQLARR TQFNETFRQQHEERMESLWCEILAVRAHIESASKLRAEERLEMKDYRREVVEVKREMD DMKGLVTGLAGKVKELPTLSEANAVLAAVHTQREACEMAAATATDWMQKTMNQRIQET IKSTRRWHHEHKTTGLPDAAFTAKYLRKQSKRDPHMAILLHRAIQRRVESRRDGRDSQ PRSLEEFCQDVSWGDVTQTVEDELVKRVAFAVRSLRQISQ UV8b_02645 MATPVQVCSPGSAQPTDLGRIVRLWGMDPSSLPPAASPSPSEDL VPFLTCVFEEAASFVDKVPTAPCSNGSAWIPEKTRTFDNSDAPVDTFKRIVPASDLRA IADGNVVELRPETWFVRRSVHRDDQKPGTASWSEWVRHLLDDGANSERAFTPSTVVHT RRIKTWACQDLKVVQGDHTWTNVTMAWEESVQKLPWPLKKRVFPVLRVTASDENHKSR AEFLVIQIALRDEGAADRHMAVVGAYTSIERLRHTMFGVEWTMGTVSDYRGRLPVWLQ KCCAPRQLAKGVDVFLKWVAAQRTMMTASAGGDEGDLLDREGRRVKKVATFRI UV8b_02646 MGVLQDVAGHPLAQHLQALDLASQIALALTAFVVLSIALNVANQ FLFANPNEPPVVFHWFPFIGSTITYGIDPLKFLHENRAKFGDVFTFVLLGKKTTVALG LAGNEFILNGKLKDVNAEEIYTVLTTPVFGRDVVYDCPNAKLMEQKKFMKIALTTEAF RSYVPIISAEVQSYFKRDPDFKGRSGVVDITKKMAEITIFTASHALQGSAIRSKFDES LAALYHDLDMGFTPINFTLHWAPLPWNRKRDHAQRTVAKIYMDTIRERRAQGDSDKEL DIMKHLMNSTYKNGTRVPDHEVAHMMIALLMAGQHSSSSTSSWIMLRLAQNPHIVEEL YQEQVTSLGADLPALTYEDLAKLPLSQAIVKETLRMHAPIHSIMRAVKQPMPVPGTKY VIPPTHTLLASPTISAYDAAFFPNPEVWDPHRWEADSPNAPTMARNATAEEEEKVDYG YGLVSKGAASPYLPFGAGRHRCIGEQFAYVQLQTIVAEVVRLLKLRNVDGGNSIIGTN YASLFSRPLEPANIFWERRD UV8b_02647 MKLAAVIATGMLASPALAAPVEARDAAGLERRVPILYCPANVNA NGVATTILKIDTEWATGQARKAAFDDKTKSGDPHRYMNGDHLAFPPYHCNLPTTALFE YPVYWIGHNEKNPEWIKDRKTTDQPGGPTPLRVVYANVNGAVYFCGVMTHATVTADYR GEGRFYRCLEDADAARTIGQRAAGQ UV8b_02648 MPQYQRMGRSGGSASTGSFSVKLLVSYALDWIVLLVITVIAGFL GRIEPNKRPFSLDDPNISLPFTERETVPSWLLVVLCALLPAVAILIVSLVLVPGSTVP KDTSHAYIWKRKLWELHVGWLGLLMAVGSAFFFVSGIKNMCGKPRPDLLSRCQPDLAN AAKYVVGGFPDASDASKLYSGNICQQTDAHKLNDGFRSYPSGHSAASAAGLIYLSLFL ASKFSVTLPFVVSTAAPLDDSLHTAFPSRIVFADAAATAAADSYETARLRHGNDEASL PTKSGIARRNAKYNSRLQSLRRQAAAPPVYLLAITLVPFCLAIFIAASRWFDFRHHGF DILFGFLIGTITAIYSFRYYHLPITAGAGWAWGPRSDDRAFWAGIGRLGYAGDNSDEY SRRMHPADLGESGDVDFPTAAQSGSSQAAAGAMHRGERTNGSSFQFQDVELERMDRLH PAGAAPSAYGQAQ UV8b_02649 MMEDYVSESDSDYTSYWRDWFISSRGNEYFCEIDEDYLTDRFNL TGLNTEVQYYQYALDLVTDVFDLDCDDDMRETIEKSARHLYGLVHARYIVTTRGLTKM LDKFKKAEFGKCPRVNCRSHPLLPMGLSDVANVRPVKLYCACCEDIYNPKSSRHATID GAYFGTSFHNIMFQVYPSLLPSKSTERYIPRVYGFKVHSSAALVRWQNVKRDEMRRRL RKLEIDSGFRDAEGGGGGDDDDDDDDDDLEFEEVDGTPGVIPI UV8b_02650 MYWPIGTPRIYAASSGRTPELKLFVSSDGLENPGGSSLAPPLAQ HGQMGAAVDDEVEIQTPITPMTPITPGVRPILQHDHDVSSAKGLETSTRRNIQNAIPL KDPILALRVARTGHLFGVITRTTITLWQTKPTVILALVVRSESSIELYGTNVDLLLRP DSAILVAQTSKDYLITYSIASDGESCVYKPQFPNYHNVQRRKQSIIGSQTGLRPDHIL WGPGEGSGVPDVSVRFRMVIKIDAGIESALALDDELIVATRKPAAVQCIRWTPDNAGN QTRTDLVGKLGWVEKRSSILEMTYDRPMNLATWITSDGRAYAVQRQNPRTDGNSGHMD ERRLFKGHCFHNTQKHASCAVKAVINARFSLIAVGCVDGTIYVYSVRDYSGNIVLSHK QVLPVSTAVAGAFKSLCYSPDGYCLFAGFEKGWATWSMFGKLGSNSFGADAGISSGND EQWLCGVSSASWIGGGSEILLVGPRHEAIWSLEMAKSAVTGCYHEANVFRTALQTPTA VMVYRGYDLPDMTSISAEPFLWHTAKIPASYLLNQWPIRQTVISPDGRYVAVAGRRGL AHYSVNSGRWKTFATEEMENEFQIRGGMCWYQHILIAAVESNRNYELRLFSRETALDM SQVIFRQQVPAPVVLVTTSGEDSLLVYTYENLLYHFIFTPSPDAVRLVQVGQIAFHGI VRSPARVRGLSWILPESQMLAGDPSQDVAAASVIFLVDGKLVLLSPSLNDEGQLKYDM RVIAQNVEYHASMRDQPLLNVSHLSDDPSLRRGPPALKDSLWIFDGIEVKAWTNINEV LEAASSEGAKDLPVPVSVPVDFYPLSVLLEKGIVLGVESDLVQRRDVTFSFFHFAIRT QLFLPDILRFHLRQSRTVEAAALYEQYQNLEYLSHVMEILLYRVLDEEADTCPKPGDA VLPRVLSLLSSSRDYLDIVLQCTRKTEMRQWKTLFAYLPPAQELFEESIQRGSLKTAG GYLIVLHALEELDSSTDQSVRVLSRAIQEGDWELCKELARFLAGMDDTGEALKEVMQT VNMGGRCGAGSDGIQVRLEMPSPRLANGGGNGNGWREAEDCDGTESDMPSASDVSSVG STVSRMNGYRLP UV8b_02651 MPSVDATPTVPDQLNNDQSQTLSPSNGVKGANGEPNSASPVIQA ILARRAKAGKLVAGVAAASDSDMFKGPQTGKPKAKRWESHLTKESLSRKPCTLKQAAR HLKQPGLISLGGGLPSSETFPFAEIGFKVPAAPHFSEQETLDKGQTVTIGKYDVRDDN AVYDLSVALNYGQSTGSPQMLRFLTEHTEIVCNPPYADWRICQTIGSTGALEQALRMF CDKDRNDSVLTEDFSFSTALETIHPLGIKVFGCPIDDQGLVPEAMDQLLTDWDENSRG ARKPHVLYTVPSGQNPTGATQGLERRKAIYAVAQRHDMFIIEDEPYYFLQMQPYTGRD KPRVPPPTSVEEFLSSLVPTLVSIDVDGRVLRMDSVSKVLVPGSRLGWVVASEQIIER YKHHAEVASQGPSGFSQILLYKLLDETWGHQGYLQWLMNLRMQYTKRRDILLAACEDH LPRDIVTWTPPAAGMFLWLKVDYSQHPHASTKAIEEVEEEIFDSCIDGGVLVARGSWF LADRQKPLPGLFFRATYAAASAQSMDEAIRRFGQAVRASFAKE UV8b_02652 MGAVENVMEQLSARAVFCFLLAALVLRYVVMRIHEHRRIKSLGS YGIDMGSWVPFGLDIIYHGLLASKSDRSMEQWRDGFFRRNKSDTVEARIANERVIFTV NPDNIKAILATQFTDYGKGQPFHDEWKEFLGESIFATDGPQWHNSRQMLRPQFTKHRV SDLECFESHMSTFFKAIANGGALDGEHQAVNMTKVNGQVIDIADLFYRYTLDVASDFL LGADVKSMSTPKQEFAEAFNNVQHFHNIYSRLGAMRWVLPKFKYRADLRIINNFVGRF IHLALRMTPAELESKSKSDKGYTFLHELACFTRDPKIIRDQVVSVLLAGRDTTAGTLS WATYELARHPNCLARLRQEILEVVGPRSPPTYEHLKNMPYLKAVINETLRLYPSVPFN LRLALRDCTLPRGGGPDGSEPLPVLKDTKIAYSALVMQRLPELYPPVSETFADPAVFS PERWAKWHPKPHHYIPFNAGPRICIGQQFALVEMSYVLVRMFQRFSRLDSHMYKLDGD DPTLRANVVLSPGKGVHVAFWEADRG UV8b_02653 MPVEIALDTPLANALSVAIQPKLLEVGWGSGADDAGLAEYIILM LANGKTQDQISAELSGDLLGLPADDPSVHDFSRWLFEQIDALNHQLNGGQAAGQDATQ SSSQDPTQGREMDTDMNAHDTSGLNAPTGPKSMRNGNPRGGREKRMLGHMSKAMDRSL DSTLHRVRGSGNERINANGRNPPSGPRGGGQGRMQRNNRAATLQAGLTAGPQGGNWMM NGQPSQMELMAMLEQQNQMMYQLSQHLMNGVNSNFPNQQRRGKSLFERIQDPNKRRDN NNNNNNNNNNNHHQEANADDGSEMEHTGGGGEDVEMSGETREPANPEETVCKYNLHCT NKDCKFAHQSPAAPTGATVDVSDVCNFGVACKNRKCVGRHPSPATKLAHQSEQECKFF PNCQNPRCPFKHPSMPLCRNGASCTTSNCKFTHVTTKCKFSPCLNPSCAFAHEEGQQG GFKDKVWTSDSTKQHVSERRFVDENGQEELIKPDADDMTTHQAAHDAGIIE UV8b_02654 MMLLSLAIAVRSRATAQAAAQCRRPRLWSPHLRAVVNHSTTSEA SAGACAGAGAGAGAGGRGRQRQRQRLRQPRCESCHAAASTIPGRTYATHAAQTALKDN GRIAVVGGGLTGLTTAYYLAKQLPSTANITLYEASDRLGGWIQTDRVPVDVHGVKGTV SFERGPRTMSSLHSSTSRFDDLVLYDLALDLGLQVVTPPDQPRRIYYPDHLVTLPPAS SAAEFVREPLFLQSIWAGLGYLFRRRSSARRGGVPVKDMSISEWLHQISGSRRLADNL ASAMVHGIYGGDVDKLSARSVLERFYWAYYLPSTGPALRHMAKREQVLMSELSRDAQI RSLALKASGTLLHFGKQGMDSLPKALEHVLSKTANVEIKRGSPVTDMSYDEELDKVKI TTGRGWQQPEQPPKQHDRQDTQTQTFDRVISTTSSQQLARITAGKLPSLAATHSVSIM TVNLWYPRENLKPPGFGYLIPRSVSQQRNPERALGVFYDSDVGAAASPDEPPGTKLFV LMGGHYYDSGTPPPSEPEAVEQAKSLLERHLGIPADTPCFALSRLAEGCIPQHYVGHN ARMMQADQELRDSFSGRLAVAGGSYSRIGVLGAIRAGYDVAKQTVSHVHGWHTTGLEH LEFPEPFVSVPVSKITVRKV UV8b_02655 MESSGQNGTRTDHDRDATTNGASGANNAISQHAGPSSKDKAATT NNALNAPNGTRESRQDETSLGERPEAERNRMNDLPDEIIHITQGFVPLSLLLTRLAQT THNAVQDKVAELAKMPLPATFANGNAPYSSSNSEDSSVENLRKKGSLSHFAQEWHGKW LKALVITEWSRKAHLVSKLIDLKFHIDQQRMLYDAALDNIVNVKRDLTFARMPSPDLK TALQILSSGTAPWMPDLQYIEPPALSAEDQSKWINDLNTLLSLRLNLDDFDKIPPQFR NYEISSGRVTFRVDGEFEVDLTIADEDFKKQFWFIDFRYAFAPAASRLPESLRAYLEG CVNDVLGREGLEGCYKYLHEFVLTSKINELRRQALQLSRSSWTGTLNVEPLNRALAIQ YWTSRSATTVSKSWILVAVNGNRKANGKQNANSSSQLVVKWYRDGREVEDVDIELDLA QLSAESLLTNVIRLHVGYILGSIHERLSAAARFKNREAGMVLRLSKTHPAHSILTVQV GCSDKISMLLEPMTGVFAVKPQSKFTIQPEHQLNNGRNAPEDGVNCLEHIRCAMMEDE LHRRSTTMGGWEVCKPAMTTEELKSVTKIREWTRAIWLRKAGWGSSWLVVVVLSLSGD EWWLLESNGGETMSSPRFRAKLPLDNGYPELSDTFWNDLGYLTTGLITQSVDLRELHR HRIKSRSSGKNVPSSTRRVRLPSIEVALSALFPAMVFDKDEAAPDLSLCSVNDEDEDG DMELLSLMERASGATLAPKKAWADNIVSICFNSVKALSKTGSTGEDGSQENSELMCDS VATIKVRKPAKFASLTGLADRDVTYDAQLGQFSMRIQRAVGKPVLDTLKSRIKAIDRF VNFLEAMECARSTITTESVTLRQITFYYSEKKAAGDAEGQTQDQSKRWRVVLDLSKDD IDVEIEKGNSHLRLVDLMRQLVNSDGGIGALMSWLPSSLAALEAIDKMETRWEPLLAA GRGGFEFSMKTIAWMTITYSINPAGSSSSSKGTAEVVTLEVQMKRRRNEAWWHVWRSD ADADDAASQALKLAWNGKGEGWLGLATSAAGRPQRGVVAMLLAVDEAIRKCVTDDGKG DVALEQATRR UV8b_02656 MELKENTSIVVLGASGDLAKKKTYPALFGLFRNQFLPKDVKIVG YARTKMDHEEYLKRIKSYIKTPTKEIEQQLEYFLALCTYVSGQYDKDESFQNLNKHLG ELEKGRPETNRLFYMALPPSVFTIVSQHLKRCCYPKKGIARVIVEKPFGNDLASFREL QKSLEPDWDESELFRIDHYLGKEMVKNILILRFGNSFLGATWNRNHIDNVQITFKEPF GTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFDSEDVRDEKVRVLRAIPPIE PKNVIIGQYGRSLDGSKPAYKEDDTVPKDSRCPTFCALVAYIKNERWDGVPFIMKAGK ALNEQKTEIRIQFKDVTSGIFKDIPRNELVMRIQPNESVYIKMNSKLPGLSMQTVVTE LDLTYRRRFSDLKIPEAYESLILDCLKGDHSNFVRDDELDASWRIFTPLLHYLDDNKS IIPMEYPYGSRGPAVLDDFTASYGYKFSDAAGYQWPTTAVSPPNRF UV8b_02657 MPSESGHRLYVKGRHLSYQRSLHATHPGTSLIKIEGVDDTSAAN FYLGKKIAYVYRGQKEVRGSKIRVIWGKVTRPHGNSGVVRAKFTSPLPTKSFGASVRV MLYPSSI UV8b_02658 MASRSGQYQPRQSQQSQQSQQQLQRPQQYPLQYSQPTSEQHYNG QAKPRTYSIHSQKTHQSSGSKHEYHETHEEKEAKRLHSKADPTLAMNEAEPAMVAAMK PETTQISLRSIQHKDPWGNPIADPDKSNPTRNRWERPLDTIRSFEAAIDGGYQRKSMY RADSATWNRRSSFHPASQPRFPQDSYYGSRPVSFRGDGQYGFPAPANARNSHYDNQNA GGYYNGAYDRGYGGHQVPRERSHRMNSDSPHYQVYGREQGVYPAPHKDRSYETVTSAA PSGNSDPTGYQTDPTSSDNSSIDRIPPRRKEPMNDYGIGFSNTHAYEAPTFSVGHQAN SHPLPPPPPHGNSAQVGAPSAVPRRASLLRRQSTQQDGNTDKRKSWFSRRFSKNS UV8b_02659 MATRRIVSSEKTILEKDDYAEEKSNITPAVPKDVIFKLLGFTFA MIVVPIGSYFLTVHSVFKGNSSLAGGLAALLANVVLISYVVVAMKEDDSERRGGEKGA ESKKTQ UV8b_02660 MSPPSSPPPLRHSHASLRTKPIPRGSIPVDEDDDLAGDTIPCSP SAFFRDQSREPAVTQPTQILVKPGLHPLDRSSSPPSVIEVPASSPFQQKQPARLGSLV APRGTFYRPPSRPPPPPPPPAISKRPATTQPISLISDDEEDLTPPRGDIRPTAFKAKL AEFAYNPAAQERETKAKLRQVYDVLGDKFTSDRVRQALKACKNDPHEAIAWLESQPSE SQKSDSQDSIKAKGRRLTSKPSAKHRPTTREPFRYRDTSPLATSRLPKNEPRKPPKRR LVQGLKQRKDSSPRKAFPSSPPPPSSSDEALVIDLVNDDKEDAYEAEPSPEPSLEDDQ RVLKCLNLSTLAELAAMTGLKEADLEPLLEKRPFEDLSQARLVSAAKKPGARKSARVS IGETAVDAVAIFLNAVAAIDEVVAKCEVKGLAVKDVVDEWDLDAFGHDKRSSQALPDD DGLPPTPTSLHSKYVRPLVPQQPALMDGHCQMKPFQLFGLNWMSLLYNYEVGCILADE MGLGKTCQVISFMCHLVEEHERSPKQPRPWPNLVVVPPSTYNNWLQEFERFAPDLSVV GYRGSQSERAEIAYEIEQEPEAYHVVLATYSQMTTEADIDAMKSCGLNAAVFDEGHKM KNPETKIYKDLRRIPASWKMLLTGTPVQNNLLEMTALLNFINPKMFDGYTEHIRYIFS QKVTIRDVSNGAFLYAGRVGRARTILEPFILQRRKDQVLSDMPQKVCTVVHCDMTESQ QETYAEYEELFRLEPSLRARKAKGRQNDQNNVWMQLRKAALHPLLFRRHFTDANVEEM GRILMDRIPQDELHQPDIKHLVQELKNSSDFELHLWCRDYARLLGRFDIPAAAELDSG KVRKLLELIGQYREKGDRVLVFSKFSRLIELLQEVLALQGIDHRVLMGNTNVSERQSL IDEFNGNAEIPVFLLTTGAGGTGINLTAANKVIIFDQSDNPQDDIQAENRAHRLGQQR DVQVVRLISSQTIEELIYKACQKKIELANKVTGALDEEREQPNLEQEVRKMMLQGKMT PPWQDDGVKTGTSG UV8b_02661 MSVLHRREHGNMLLPSAPLSCDSAQPLRPAAQQPSNYFSPPSSP PTLSSARALSNIMTTCRSLQSLIAAPACSSSLSVAPIAPVDPVAPGRLPQLPTPPLAH APLPMKLRLRTRPGTPADSTSSSGDDLYTRRRLAKRCAPPPGLHKRRRDAGDQMGRQD VLWPSKDQDQDQDQDDGAAQDEDGAHEENGKTANGGEDGQSPVEAEAEAEAELEPVAP STPKRARLAPERLPLGLERSDFHRVHSQAHDQAHSQAAGGGQGRGSLGGGSDVEVEAD GAEWSAEDDRILVELVLEKLRLSKLEWQDCARNLGKDRRSVHRRWKSLVVKGEVGLKA RSSNRRNRLLSTWR UV8b_02662 MGREASKRVLSRLGDGDGDGDSDSDRSQSTTGEEAGEDSSGSSD AMTHIAKLATPLSPRRLEAP UV8b_02663 MAAASTSPPLSPSPNVDNRDPMSLRGFPSASTTGGHISREPLDS PSLEAVSAHLSDAPVSPRRVNLQGKSWPQPSNANVEHTTPHAEQFGDPASTYAYEFNA TVEQSRDLESPLPKLPPTTVASVPSDVDALAVEFSRGSLDPNLPVISRTDQQHYMEPM MTTVASHDRPPGRGVARTRRESDNTVSTSQRQPSAQSSAATSASSVYGANDPSDCLSN GEFSESSLTEGSSFKNMPVPLFQYHHQQHEYPPAVASGAAGQVPRSTSSDFLESGASI RRHLSPNSAFLQRTSLIRPKSSYSTLSDYSSRGRSPILGPGNSHMRAPSVQSRKSPDA RSSSYAELLNLPYPQQAPAPLSLDNSNLRTVVGNNASLLSTQKTLEMYRQNVRKTTDF SIQYSFAVFLISTAQEQGLDVSDPKATKKQAPQPLRDLESPVVEGSVASPYELVREAR QILQRLANAGYPFAQYYLADGYASGLFSKGKEDYNAAFPLFVLAAKHGHAESAYRTAL CYEFGWGPRKDPARAVQYLRSAAAKRHPGAMTRLGKACLSGDLGEKRYREGIKWMKLA SEAADSQYNAAPYQLGCLYETGYGDDIFADASYAAELFTQAADLGHPEASYRMGDAYE QGRLNCPRDPALSVHFYTGAAERGHAAAMMGLCAWYMVGAPPILEKDEEEAYEWARRS AELGNVKAQYAVGYFTEMGIGCRRDMLEANVWYVRAADAGDERAKQRIAAIQAAVSGE GTPMEVGPPRGKKGGKDDKDCVVM UV8b_02664 MVLVLVLILILVLILVLVLVLAPLDSSFPNECFAAPAQVLSHGF ISSTPRKVPLHPLTAPFGGDFHFGHVGFSGGQKKKKKKPATILEGASPEWILAVRLSS RLAHSLSFEPWAKK UV8b_02665 MAAPHSRSQDQQSSTSPNLWEFVSNAGDPGAFLGHGVDHQRSPP FPNFTGGFPFAGGSFSHRGPPHPPHHHPRQHHHPHHHPRQHHHPHHHHHPRHHHHHHH HPHHHPDGRGPPHPRPDPPLNPWTPDPDCSEWSICGRHHDQQAHQHGGPETRRGPPHC PDSSDDEASPSGDKTADENATEASPDTAAADPATPEDGQYPPPPPPPPPYGRECGRRG NGRFGGRRGRHAGMRHRGPHCPRGSQAFDFAGMMHGLVDHPFFQALRDQAERHRGATP AEDVDLFAPPVDVFDLEQAFVLHVALPGARKEDVGVSWNPHTGALTVSGAVHRLGDEH AIQRMVSAERRVGLFRRSVRLPPPGPAADKEDVDGFSITAKLEDGLLVVTVPKMEKEW TEVRKVDVE UV8b_02666 MAAVNEHQLHRPASPDACPSLAIGACPQLSRCVSTASSMSQGSG IDTSSRDSLGSQSCRQSSGSYRSSRQSDISDASRHSSRSVDSKGRRRGYVRPQATDFA ASARSRESVMSLGSIAHLQYYFARTGLLDGKGGQLARKRPIQRATLDMSLLEGTSGSP ADVDGSDKDSSFVVSMDGSGDSGGLVLETNPMVASPTQIMEDEDYFEDSFAESDPGML PPTVSTYHQKEKPIPKPPKMEELKADLEAALHDMMKALDEVAARKTGSFQESVDTPQL PNMPEENTQGWYELHGMHMLDVVTLAIRAAKVYYTAHELPDRLDSIKSEKQIRADLLA VMEVLKQMATRNFMGGMKDEEVATMVSWVESVFAVLAREHEIEMAERAERESWAWLAG DWSGREYEREMAFLASMDPDAAEPLPVWTPLSEAPECPTPFLRSLQNGLRLVQLHNAA VKKSRRRFGAIPTFHTDTLKPYRCADNLRYWVKAAELRFEVMLKVDVLGVVYDHGPGA WQEFEDAILKWCKHVREEIASELATP UV8b_02667 MVATGGTQAWLPKSGPGQSCACNVRHRPLRLLPSPGLIDELGVM AKPVQGHSCRRLACYSDWKAGTGFWESCGESSQPSASSPPDDNPDNPDNPDNPDNPDN PDNPDNLDNPDNPDNPDNPSGTCQVPGARGFLALNRTLRPIHPPTRPITTTLALFPRI PGGQTPDLPSSALAFLPVSRHFHLSALDRALDTTASFHGVGVGRSRSRSLYFIRLSDI VQSNPYRPSLGALCAAWSSCILSFRRFVHHYILH UV8b_02668 MDGHSRKRTHHRSWDGRGHLLRGRWSGHFRRKHGCSQRPGREAG KQGAAASRRDGTGSRLGTRTSVRLCSADGGIGLERVSERAHVEWMDGWMDGWMDVLHS PGYA UV8b_02669 MASLLRAFALPARLVSSRHAAAAVAGSVAAGPGASWASCLASAW TASARAAAGLGAGFVRQQTRGMKVHSSVKKRCEHCKVVRRKAGKRHNGYLYIVCKANP RHKQRQS UV8b_02670 MAPKHKSWAKRKAGKNATQPSNAVNSVERYGMAESHARLLKHGF HHAVTLKKPHELEQEWLVMAEAAFKRPTLEQLKGAQFPAKHLITAMLLFRYGTKHAHP FKTPGIPSSENNLARILNTVDIGGQVANLIFAQGWHTVLKTALTSSTSWQAIADCAKI WDFYSSDLRTDGNSGVLLAVAAECLIGDMNRSHELDPYGLDFDNGLSSWCAEAIKVER EIYLSIYLIHGRISGKTGRGKSFHQRRESKKIVPVDFLANDIVVAIRKIRDVSRIWGD SQFISSPQTQLFEPQAIFSVERMKRMIEHISGQRTALKVLYFQRIPYLDRRMIAVILR ACPNVKMIGIYDCPLIHFGDVVCLLDLIHEINAARRASGSPQVRGLDFYPKYHAGTPF ATTHSATYGLTWGPHELDVVQRGFFGLVLKAFMKARRMGLGLLFDKDKAFCRYLRQVP NYPLAAPTFLDALHRYLEARDEESKRRATYDLLKPVRVGLETRIDHDWPKWYTTIMGK SLVFCSSCGYETLEEFFSAFARDMQPHRRVCAACTLQVWMDEEDDHLKSHKRSILDTL YPSWKGLEFNQDAPLPHQAKDIVRLRSTVSVRSDVDGVTADHEGAMYARPTLASLVRD NKVHSDSVQSLPTLAELLQGDQSKATWGQVYNKCSNLDIYCRAVRRLKREGKKDEPVK DQGGKPRTDGGMPDHVEELQPPKRAREGIPCHDFKSATLLYAGLTLKGWAGDRGGGVC ERRG UV8b_02671 MNSMSTEIPCESGERHYPHHHAQTRGPRPVKVRRFCATRTRNLF RSLHPSTLASSCLPRPPPSPAQRAPDADQAYTFFSEKPQLTSIDRVSEISPRQSDFTD RDYHQMREQLPKVLLKPVVTGQAGAVQLLADDFSPSS UV8b_02672 MAEEQKPVVELPTELVAPAAVVEAPTATETAPALAAEDKPAEEA KAVEAEPKTEEPAPLQPVEEGHLAHKAQGASFPKNLIPSKEFFFFGQDAVDAKALAAY LRGEKNTEHAHHNIAWASHTGKGLLFIGDKTAPSSVINLAEATEPENDGSNKFHLTHK GAKHSFKAVSTAERDNWVAQLKLKIAEAKELAASVTESETYKNVLGSLKPAPKEEEPK ADDAVRTEESPAVEGETAAAAAAADDGKPVEESKRRSASRKRTSIFGFVKKESTKEKK EEAKSEEPAATEPAAADAEPVDVDVAEGEAPPAAVAVDDKATEEKALESPKEKPSASK RNSFFGGVFSKKEKKPAEVKPAEEPAKEAEAADAAAAAPVIPPVDASTPLSAEEVNAA AENAEVTPANGAEPAAKKDVKERRKSSLPFAFGRREKSPAPADGEEKTEKSEKRQSQS PFSKLRATIKGKTTPKSEEKPAEEAVKETTAETSTEEPAAAAAAEPEAESKPENVASA TPAVIAAA UV8b_02673 MEPTYFKKPRTGSSPPRRFEIPPAATCPPASSALCEGPSSPLFF SHTPSRQPGRPTGSSPGVTTSMLSGAGEEPSSGVTTVKLPHAAVKPVNSAISGSTPGS LVSSIDMSAPALSPDVRIASPSLQSIGVLELVEQDDRPTFLIDMLNPTQSTSNQTFLH ILYCNASLRASEDLAKSLGMVTDDHSIEPGTYGRFVSWATATPSSDRLNRESTSASHF YGGTTWTFATVRRRFRFVSGSADPERRASTTPGSPAPLAYDPISVATDSSSAVSQPMP VTPPTDAIGPPLDPPDYFGDAASVAQDDDRMDSIPAKPDDAAPPLQHPDEFTNQVLQL QRVKQSFDWTRLPLQDDLPDHIIFAKSRDWASTALGPIDTWSADLRTMSSLVMATPHP AAMYWGTEKVALYNEAYISLAGKKHPQLMGQSYEDAWPEIWDDIKAIFDSAWNSGEST MKHDNRLFLKRDGFLEETFFSWSIIPLIGSDGDVVGLYNPCFDNTRRKINERRMHTLR EIGEKVSTAATVTSFWPQLQIGLEYNEFDIPFGIIYSVKDDSESEVSSLHSGNPATTL QFILEGSPGVPQGHVAAPLVLDLKTSDEGFAPYMRQSMSEGGAPVVLSQEAGTLPCHL LEGMQWRGFGDPCSTVVVFPVVPTTTKEAVAGFVVLGTNPRRPYDDDYRLFVQLLSRQ LATSLASVVLFEEEIRRGQRAARLAALDRQELSMQLIQRTREANESEYRFTRMAEFAP VGMFIADGQGTINYCNDMWWQISRHTRSQDAASSWMDSVRVEDRPALEAAWARLMDEK VTISLEFRFKCSQQSGGNTIDTWVLMSAFPEKMSDGGSLKSIFGCITDISSQKWAEKV QSERREEAVELKRQQENFIDITSHEMRNPLSAILQCADQIVNNISTFTAHGDNKQVES LLEGCLDAANTINLCASHQKRIVNDILTLSKLDSNLLSVTPGDVQPVKIVQMALKMFE PELIAHDIEFECTVDESFERHGVSWTKLDPSRLSQVLINLMTNAIKFTQGRERRSIVV NLSASRDISEVTRTGVAYFERNDMQRTMSMNINDESEWGNGDVINIHCSVEDTGPGLA EEEMKLLFQRFQQATPRTHVQYGGSGLGLFISRILTEMQGGQIGVSSTRGVGSRFNFY IQCRKCVGAPAAHEHMSPFKLGRKTAGAAAAPAAEHHAQKPADGSVGRSSSSTGSRPL FDVLIVEDNIVNQRVLQRQLRHCGNNTFVANHGFEALQTLQKSRFWAGQEHTGVDISV ILMDLEMPVMDGMTCARRIRELEKEGTIVTHIPIIAVTAYARPEQIESAKAAGIDDVI SKPFRIPELLPKIEELVAKYKNLSVGA UV8b_02674 MGLTTFTPRVSRPYPILRRVWPRISRKATAWLLLVEFIGLVPIL VIFGLAQPDMYRSDMWAIGFANKLNSNPNMVLYAYANYQPLPKIPLIWSQTLTDFNVA ISVTSLFFLLAKLIAVIMKVWYPVIAVLVNCSMVALYTVSTYGQIGPDYADPRYPAPA AWYFRQGCHLARQYGKYRTCQIAQASLFITLYMLVVYLLNLGFAMTAMWPNPRNAGDE NEDDRGSDSSDPKEQINWEMHSFKSPMSPRETPFTPRTQAFRTLDRQLPPRQQC UV8b_02675 MTVQFLADLKEQLKDPSLLKHNVAYINGEWRPATSGETFEVNDP STGKLIGTCAECDAQDTRSAIKAAADAFPAFRSLTGRQRAKLLRKWYDLMVENADDIA TLITWENGKPVVEAKGEAAYAANFFEWFSEEAPRTYGDTIPATVPGNRVWTIKEPVGV CGLITPWNFPAAMITRKVAPALAAGCTVVCKAPGETPYTALAVAELGHRAGIPKGVVN VITTSANTPEIGEVLTTDPTIKKVSFTGSTAVGKLLMKQSSGTLKKLSLELGGNAPFI VFDDADVDTAVAGAIASKFRGTGQTCVCANRLYVQRGVYEEFVGKFTEKVKAFKVGNG FDDGTTHGPMIHDRAVLKAEAHVRDAESKGGRVLIGGQKLPDLGANFFQPTVIRDMTA HMAMATEETFGPVAGIFPFDTEAEVVALANKVQVGLAGYFFSRDLQRVHRVAEALEVG MVGVNTGIISDPAAPFGGVKESGFGREGSKYGIGEYQVTKMITYGGMGQPLQS UV8b_02676 MAQCTPSSILRRALRLATPVERRVPHRPLHASPPFLKKRRIAPA ANSPPRPGQTPPRSGSGSGSGTGCDPDPENPLDLSALRAAYAPLDAHFRAQLQTVLHG GRFDPSSLGALPVAVKSAGAAETFPLRELAQVVPRPGRAVSLLVHERAFVKPIMSAVQ ASREFNQQPQRGDSDLELLMRVEPERREDLVRRVRDNTQAWKDRVRQARARHDKALKA WLKDGTVLPDVVRRAEREVQRAQDAKMREIEAEEATGLKQLER UV8b_02677 MNPQALHSACARARPEAYKTAHALGNYFRDFSSSQSLLGDGNDA PNASSRPSLRQRTRAAASEINSLLKNRGVSPLVHRAAPQAGGPASASRPAVIDVRSLP RGLGTRGRGAFRGRGGGQAGAASPGQPREGRSFAPGSRFTRFAGAPGSGARGSAARAR GRGGGGPRGRRIAGAKGRQPDKDEPAPDAAKLGKKQDPFETLDPYEEQFDTEMRFGTP TTFQPSLTPGSLEAFAPAVPTSEAGRKAAVLQSLSVLGAADPVGTPQDLQAGSYVADL EAHGVRFFADVRSKEAARQHLQHLQRKQQDAQADKGGGEGDKEPVAVSDAEESVRKVI FEQAVLGEREKTAFATAPVGIARAWHLRAETWTKKDVDKFESKLASLVGKAGKAGQGG SGMRVKSAV UV8b_02678 MGNQSSKEGGGSSAAKGSGPDGLQTYPSMSRSDTKESSRSFRSL RSKIPGGGSGSGSKSANADSPRNSTLMPNSEPNPDKTLDAASVRSGRSGRSNGSRNSR SEMPHLRQTSADLSSTDLGLAEDQPPPSPVLASHKGSAHDVAAAQASGEVDHVSDQPP MASNASATAHMQSPGTPILVKRDATITVSSLPSMDSTTKVDSSSNMGLSEIKDMDLDD YIKRLLDAGYAGKVTKSVCLKNAEIVAICQRAREVLLSQPALLELDAPVKIVGDVHGQ YTDLIRMFEMCGFPPMANYLFLGDYVDRGKQSLETILLLLCYKLKFPENFFLLRGNHE CANVTRVYGFYDECKRRCNVKIWKTFIDCFNTLPIAAIVAGKIFCVHGGLSPALSHMD DIRNIARPTDVPDFGLLNDLLWADPADMEQDWEANERGVSYCFGKRVITDFLAVHDFD LICRAHMVVEDGYEFFNDRVLVTVFSAPNYCGEFDNWGAVMSVSSELLCSFELLKPLD SSALKSHIKKGRNKRQQMLNSPSVP UV8b_02679 MADLQNIWNNAPSRLDRASLVMRSPRLFTRCYQTSTPPFSREDV NVQPVYRHAPVCFQFPSMVPVSVSLAGPITSLRPGHSAFLIWTSSKLPKSCVFYSNLG TTPLCLRFANHRVTATRYGLDIFIIRINSMNKERSRRVTIIGLGYLAQGGWKVRRIHR QIVRIYLYHREGRRLQTPAPALFDPDSPTR UV8b_02680 MAQQGEQGEQGEEQGEQGEQGEQGEQGEQGEQGEQGEQGEQGEQ GEMMSKPVSVKSNSSPVEPEWRSAATLRYATSRRVSLLRGVISQGPRQRK UV8b_02681 MGVGRFVCVAVPLILTISSLVALLIATLSGVAHNSLWIFEINTQ NMSISPLDAAHLAGKAGLGLRANAQTDNITAAQLQLANVYQVHLWGYCYGDGKNQRQC TEAKFDWASQALNSSLTEELTSLTGVKIKLPDGIQTALKTFRTVAKWTEVAFIVALAA LAVELLVGVFAMCSRVVSCVVWLASGVTALLVVAAAGLSTAMASVVIGAVDGTAKYYG VKGQIGGRFLAAVWLAAAFAIAAAFFWIFTICCCKPEARRSQSRGRRQVDSDGGEKLL PAGSYRPISGNNFEMRGGHHDDNTGYYSPGQKLHDFHTGYQPGPRHPAGQERTELAYE PYSHRV UV8b_02682 MATTSSPSPRVVALERQLAQVPITALAFLTTDYILAGEDGCLAV YEAAAASNGPVLRTRVFEDQPVHGIRVHERRVLLWGAARVALLEGGEPRRGIAPAVLA RATLADWVHDGAVSPWDQDSAALATAHNEVVVVTLRRAGGTLRVAAAAAAAAAPPSRP MLFACRLAWLSGGRVLVAAGTVFGDIVVWECRVGADAAAAAEAEAEATEALVLRGHEG SIYGVDISPELVGPDGRPVRLLASCSDDRTIRVWDVSARAAARHAGARVDAAAAETGF KPAETCDGVAPAQQQQQRQQQQTKGQASPVAVAMGHASRIWGVRFAAPGSCALADGAA VPLYSFGEDSTTQRWSLKLAAASPDPGSRAGLAGTLSHERTHSFHSGKHLWAHSLRVD EQGVRVATGGADCKISVIQEALPPPPSAAFTASGRGEAGARLVTLDVPHPPPAASSAA GPGTRDREIVSRYDFVTDDRLLAVTNHGRLFAGSLGDGTTRWEQVAVEGDDLAADLRS CYVLRSIGHGAAVIGTTSGKVIFTRGGGELVLSAVVQGRITEMNVVSGKDETTAKDDR RATTTLEVAAPRQAEILVHLHGSSASRLLSLDPSTGLLSKEQAVVGLDARFVAVSAAR LHDAGLLIIGSRHGWISLLTRQGDSWHPVLDVATRSRDAITSVVALPRSEGSSSGSCR HILTTSRDGKYRIYGVGAASPSPTLTLLHETAPPLGPMIEGAWFTTSGSPELILYGFR SKDFVIWNESRREELATVDCGGAHRTFRLSFNARDAARFRFAYTRTSRLSIYSQAQAP HRTLKPGTHGREIRALSSSKNKQYIASGAEDTCIRIWEYHGGGRIGGPGSEMRCLACI KAHVTGIQKLHWLEDDYLFSSGGNEEFFVWRVRKLSSSAYAGVGVVCEGVLGDKSAAG DLRIMDFDVSRRRRRADGGSSSSSSIIIVTLAFSNSTIKTYEYNDGGGGGGGGGGGGR FELLASGSYTGACITQLRHLSRHANRSDDRSHWVMTSSTDGHLALWSARPRGNDDDDG LRELALRSVARIHQSSIKSLDMASDGAQGRCRRQHHILTGGDDNAIGYAVLAEVGDGD GEGEGDGAAYEFTRRGVVRSAHAASINGVAMLPAQQDGAVLGVSISNDQRIKTWRLGG DGLARPISLVGCVKSGVADPGDVVVVVVGQEGRGCHVVVGGVGLEGWSTECRASAT UV8b_02683 MKLRSLLAAGLASWPVYLAVAAPIGPTGPCPHSKVDAILKGDLD PSACCSYGKCLKDVVISVGD UV8b_02684 MPLKPNIGVYTNPDHDLWIGEAQPTAESVASGSDLKPGEVTIAI RSTGICGSDIHFWHAGRIGPMVVRNDHILGHESSGDVIAVHPSVTSLQVGDRVAVEPN VICNACEPCLTGRYNGCGKVAFLSTPPVPGLLRRYVNHPAAWCHKIGDMSYEAGSLLE PLSVALAGLQRAEVRLGDPVLVCGAGPIGLVTMLCCAAAGASPIVITDIAESRLAFAK ELCPRVTTHKVGRDGGGGSPEATARAIVQSFGGVEPALAMECTGVEASIGAAIWATRF GGKVFIIGVGSSDISIPFMRASVREVDIQLQYRYCNTWPRAIRLVESGVVDLSRLVTH RFALEDALKAFETAADATSGAIKVQIQSFD UV8b_02685 MAMSLARPVIRSPALRMASRRFDSTAAAAKATEAARDGASKAQQ GLSRVASAAGPAIAGAARGVGNALGGIGGRTGRLIKAVERRTPVVVYYAKVGAETAKL VFQGQKMSPPSVASFQAFYQNLWASVQNRTLLRAPQNLVQQARNVSTAQLVAGGVVAA ECLGFFTVGEMMGRFKIVGYHGETASHH UV8b_02686 MTTVQLDIEDVSWDPLMKNCDLVHLRMLYGSIENSRWPGIYRRA FEHLTPGIGYIEHVEIDWKPRWPGDAAPPASAVSEWSRLLLRGWNRLNRKAEVNRNEV KRALETAGFVDFKEETMPCYLSPWLSDEFQKETARWFNLGFSHGLEAMGLVPMVEKLN MTKEEVGELCKRVRDEICTLRFRAYMTM UV8b_02687 MMLRFARAKESLGWDAHSPAYATIPDAFYAHDRLIKGRQTPATP ALGREDRTRVQKRSSAQSRAPASVILADTKPSGILYGKKGTTEDAQQAQPFGGVGKQD YPRSLLA UV8b_02688 MSNQAVGTVYQTIIEEVINSSRVDFEESGVEESVLEELRLGWQR KLSQLDVARFPWDPKPDPPPPAPAAPVPPPPAPAPAPAPAAQPTTPQPQFPPTPSLPN VGALAATGHNGVKVEQEAVKPEPAIKQEPGTQGPSMPALPSYSSIDNKNSVAASRAAQ QLQLQYGVRAAGSINAIQDRMGQQQQQQQQQQQQQHQQHQQHQQHQHQHQHQHQHQHQ HQHQQHLQHNQTPSPPQQDSAGPSSISNGQTDGPADGADFQGILMRRGAQGTLRELGR VDIDGLLHDQIAARAKAMEGGGLMLPLKRAARPSRGAASSSRTRGSRGVVAGHDGGGD DDLDDDIDDEDAINSDLDDPEDDHDDDEVDDEGLGHIMLCMYDKVQRVKNKWKCTLKD GVLTVNGKEYVFHKANGEYEW UV8b_02689 MATATVSTPIKSHKALFSTRTAGGRMPLTPSPRQSGNGVNSSPF TPERQGKSTYGGNLMCHLSRSNNASNTHRESPKSNIARGVSTPRKALELGVSDFTLTG TGAKTPSSAKSKKGSLRQKLGKTTVSFAGDRFIPNRAASSAIANAGSGKLSRHDRQRP RSNLGESSSVLSSAADDAAAALESLTINDEETDGYSRPSPNTVAYQDSLATACGVKLK TRILEFKPAPPESSKPIDLRQQYNRPLRPTGANSAQLRRRIATAPERVLDAPGLIDDY YLNLLDWSSGNQVAIGLERSVYVWSADEGSVSCLLESPADTYISSVKWSDDGAYVGVG LGTGEVQIWDVAETQKIRSMFGHDTRVGVMGWSKHLLSTGARSGLVFNHDVRIAEHKV AELVSHTSEVCGLEWRSDGAQLATGGNDNLVSIWDARSLSVPKFTKTNHKAAVKALAW CPWNMNLLATGGGSYDRHIHFWNSTSGARVNSIDTGSQVTSLRWSPHYREIVSSSGFP DNSLSIWSYPTLVRNVEIPAHESRVLHSCLSPDGQMLATAAADESLKFWKVFEKKAGS AGGMSGSGASGKADAVKHMTIR UV8b_02690 MAAQPSTPGQAAASSSASASASASASSSSSSSIFGGPAVARTHT STSAQPNAVLSSLIPTGTTSAATPTSAASSNNTYIMPNSPAKTRPVPDGYRPKITRTL GQRPACLVNASVTYCGNNQMYAFGGFDQYTDEVYNHVLRLDLASHQWSLVDNYGDIPG VRMGHTATLYQGDKLLVFGGENEHRTYLSDLIIFDLKSAHWTQPQVSGPIPKGRARHA AVLHEDKLFIIGGITGQNNYVLDDICYLDLKTFTWSKAWRFVGRFDHSAYIWGDRVWV FGGLSEDMDKISDLWWLDLKGCPEFDSRPHMGAFDRNAIATRASGLPRPPHTMAPAAA VGSSGYAANSRTAQVNPPSFQLKSYAPMAPGCISAVKFMFGPAIPSQGSGIHYHQYSS GMLLDFVTPAATITPRECSLSALDLNTLRWQKLAEGREIFKAAYRWHYCTMNEDGTKA WLLGCPTDPASADLGPNGYEEYLSDIMEVDLRRYGFLGNRMTPEPRTESHPSALIRAS DQSSHGLGSDLAKLFNQPPETGSGTDFVVTALAEDGQDDEALGSGLLPCEPSPRDQGW LASDAPTSRPIHVHKLILQARWPHFARLYNAQMAEFHTKKMHIPEPYSVVRAFLLYLY TDSIHGTGEAEAEADSDATTDLSDVAGLLVMSNMYNIPHLRLLCVNRLAKELDVENAC VIWYCAGLASEEWLRKRAATYCMTHWGRIVRTQGFLRLPRSALVELSQEIDMEGRVVA GDELEWGGLGSRCLDGGHGGRKASVSSNQTQMVESEADDDDDEGMEL UV8b_02691 MALSSRPSSPTSTAATINTTADTTTDTTTDTTTGTTTISAATNT ITIPAPPATPVAPQCAPPCSLLPAALASRPGTSTGQLSSCGLASAWASSSSGPEATPK LKPPNCCAKAGTGTTTAANSDASTTPSTSSTSTSSTQSTCSTQSTCSTFTCTRSTRAA SASASASAPGAACCEPAPARHPARQPAQARGPAPPDAGSPSWASTSTSLCSSASRTQS PSSPPQPATPPDLLFARPSKSTSATSPVTAISSPFASFASSASSAPFASFGYNPSTRD QLSSLAFTQSDLAVSHLDLDLDLDLDLDLDLDLDLDLDLDLDIDVDVAAAAAGHDGDR DDASATMTSSTLDAAAAMGRSRQDSFVSAGPKPISVNNQNRESANRNRRESLAGSLMG GMSWGGMSFGSFVRDDIMMQGTSPNPFGGVAAQSSSSFHSSSYLPKLEANFMRDFTCC GKILPNLHDLLQHYEEAHTQSSPSNPRNNAFAQFGMQGSSTMSSSRTTPTGGSGQGGA AQSPDPAGQQVSVGNGLALGGMKTGVSNGVGQMNPALATNLHDDMDAVADMEMDDDAV GTMDFDDNNKMHQTRQLFGQQQRPRLNMNTSGITQGLRTSQPPTPAAASFGLQNNPTV SSVNTPTLSTAQQLPQQAQQQQQQQQQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQ HQQQQQQQQQRNGGLDDMDDDIPGMPMGGGNSADLGDDSFAGGNDTNFCINDPGKHLF SPGGAFQQGNRSIQAQLAQLGLTQGQFADPETNKVLLQRLQSMMMPDEHKPFKCPVIG CEKAYKNQNGLKYHKAHGHQTQQLHENGDGTFSIVNPETSAPYPGTLGMEKEKPFNCE TCGKRYKNLNGLKYHKSHSLPCNPEFKLQALAAGLNLPGIGEDQMMQ UV8b_02692 MLPRPPARHRCLLVAFLLARSLAAGSRSGAPRAILRKQMDGSKG APPEPVDASGMAWYGMVLMECMYMRVPCSWLAAACGGLRLYVSHRLHRTAWQARRQAT QPRTTNHADQPPDRTRPPRQDQFLHRD UV8b_02693 MAVDVYYGAAPYRAAQSTDYAKTRMTAKNTKNTKITNMALSAVR TDQAPGGEGTLDRDTLPAGPLAQMPGKQQAGGHHALPAGSSDG UV8b_02694 MILGWPAEMPWGGGALLGMYMWQANQPTHFAIVPRATVSPTLFH GANGYLPLARPVPAAPFRFQISTTGARPNVSTLGSRTNMEKKKKKKKKEKAVLVWLCH DPLDPGQPVRYVTLRRYMRSVCRQGQEGQRLQRQVSSAICQDEKAPRLSPSPGSEDAA DRVLRPSMPAARCPLPAGQAWLVERNTSALGGLAVS UV8b_02695 MKVISKEEEAAHYGVVLRGGLVGGSAGLALGLGGVMLASRRYPA FRSLTLPFRTFLVTSTATFGAIVNAERRSTAFQRANDPGHGYQDEAQRAARLARENDP AYRRLARWGRDNRYGIVFASWLASMAVALAVVGRAPISTAQKLVQARVYAQGLTLAVL VASAAFEASDANRGSGRWETVMVLDPSDPEHKHLIEKRVHKEQYEGQDLWRDMVEAEE RRLAAKKQPGSKA UV8b_02696 MYAKLPVVLAAAAALSPAAAQKYAPGTHNICTSTGPAGDGAPVI LWQADICRQKSFSPVTLPHGRGQVTPSVCCEDVVGVFLQSEVIQDGRAVCSYQGIEKK SVVLNFQRGGRSNSYMVTGGGVKQ UV8b_02697 MAVTEPVLLRLSAAVLGLAGLSTLPGLIAQVEQIRHRTPPDNFY EDADGKSTPETMAAFSNRKPKILLIVSSLAGFGLSVAVAVCSLLHPRGDGLSLANWFT TATWAALALQAIFISAQHSPVKSHDLGLWAFFSSLITAVTTTLQASHFSNFASVNDTQ LILRVVDAVVLILFLLSSISLPRRPEVFYKGEKVDNEWSTTVLSRYTWSWASDLLETA RRKGDLDPKDIPRPDHTIRVESLVESWNKANYEGSLLQSLLRAYGGRLVLQWVVIVLR CVIGVGPFWTMLRLVQALEDRDAGLGAPRELWGLVILMGFFTLFEQWVDGWIMWFSIT KLFAPMRGQLSALIFEKSLRRKNVKNAEKTADDEASGETKDGTKDKAAQAETKDEEDD SVLKSKQAIVNLVGVDSKRIADFALMQLFIISSIAKLLIYSGYLVRLIGWLPFGAGIL AWSLVLPANTIAAKYYLKAETRLMKNRDNKLAVVNEALLGMRQIKFSALESQWEKKIL ELREIEISSLKSVFKADSFLFFSWVASPILLAAASLAVYAIVNGTLSPSVAFVSIGVF KSLEVSLSALPELLTGGIDTLVSTRRIDKYLNGPEMKKTLSEGYDVAFENATIAWPVD DEVPDEERFILNNVNLSFPAGELSVVSGKTGSGKSLLLNALLGEADLIEGKIFMPRTA SPMVRNDSKAHPGNWILPGAVAYVGQSPWLESASLRDNILFGLPLVESRYNTVLEVCA LRKDIEMLTDGDQTELGANGINLSGGQKWRLTLARAIYSRAEILVMDDIFSAVDAHVG RLIFENCISGPICKGRTRILVTHHVTLVEPITKYLVELGEGTVLHSGLTSDLAEDGTL ELIKSHERAQPDFQYDDATDGPTAVNSEDASIEETGATDDLDQHANTLQKVPSKTARK FVEDEAREKGNVKARVYGTFLSSSGGWFFWGVCAILFVAFEAGNLGRNWWVRIWTGAS QHTSSTASREHGMVFGLSYEHSTLHSVAQSQTLTATQAEHGLSYYLWVYVAIAAASGI VGTLRFIWSFIMSVKAARTLFRRILFTILRTRLRWLDTVPVGRVLNRMTADFDVIDNR ITMDLGFMFWRLLGLLGVCVAALLVSSLMIPLALVLIFIGGFVAYRYLTGARPVKRIE SNAKSPVFELFNSSLSGVSTLRAFQKTHVYVNRMHMHLDNWDTVSVHNWTLNRWLGFR MALIGTVFTTMVGAIVVGSSFVDAAMAGFTLSFALDFSGNMLMAIRGYASLELDMNAA ERVIEYTELETEDLGGEIPPAAWPSTGNMEVRDLVVGYADDLPPVLKGVSFTVRDNER IGVIGRTGAGKSSLTLALFRFLEARSGQIFVDGIETSKIRLHDLRSRLAIIPQDPVLF SGTIRSNLDPFEEHGDEELKDCLSRVHLVDSQPVTPTNEPSSAAPSTIATKNTNIFRD LSSGISESGGNLSQGQRQLLCIARAIVSRPKVMVLDEATSAVDMATDALIQRSIREEF TDSTLIVIAHRLSTIADFDRILVLSDGAVAEFGSPRELWEKEDGMFRSMCEQSGEKAK LKEVILGLN UV8b_02698 MTAHVSDLLTLIIITSPTLSAPSTELLSTVLKSFRNHCEPLLRC RVIVVLDAYEHITAQPRLKKGHVTQEGAHHYAAYKDNVRKLFLGEYLVKYNTASGETA SLKMTEICSEAEYGSPGSTTPVPITVTSTENDCVTFIEATGQKRLGFGLAVRTALRAV NTPYVWVHQHDWALVYDIPISALLGAMCASEVSHDAKPVKYVCLPSGRRTSYATSDQV MPFPELRKVAMALTGDYVPLNGDKAASIPLTPMFFWHDKPHIASTKHYLERVFPTRLA MMRGAFIEDTIGQKARGQMKNGQWSKWATWLYHPGNGKQACLKHLHGRTWRGQEEESR MKEVYRERNLGCVAPPTCG UV8b_02699 MKATPLIINWHDQNAPVYSAHFEPNGKGRLATAGGDNHVRIWQV DCSGPERKVVYLSTLTKHNQAVNVVRWAPKGEIIASAGDDGNVILWVPSDLPPLSFGA DAHDDKESWRAKHMCRSSGAEIYDLAWSPDAMHFIIGSMDNVARIYNANSGALVRQVA EHSHYVQGVTWDPLNEYIATQSSDRSVHIYSLKTKDGQYTLSHDDKPPRLASHIKADL PPRRISSNSPAPPDFGHRAQLSVIDSNTSASVGSPVPSAPGTPTSIPLPMNPPSVVSH SRRSSFSSRRSASPAPTLPLPAVMPMEVSPKTQMGAKNASLYANETLTSFFRRLTFTP DGSLLLTPSGQYQTQHQADKDMRPMYEVINTVYIYTRGGINKPPIAHLPGHKKPSVVV KCSPVYYTLRQTPPSTKHITIDTSGEEPLPPLPEPVSKSASAPAVMEPPPPPSSTTSD AGVAAPSKSISVETDASTPGPKPAFALPYRMVYAVATQDSVLLYDTQQKTPICVVSNL HCATFTDLAWSSDGLTLIISSSDGFCSSLSFAAGELGDIYKGEIGPPKTMAGGASMNN VSSTQNTPTPTPTTSFAPPSPFPNGSHPQHRNSASSFAAPSPPASLLPASQRPPSPTR SNSTSSIATQPSSVPTGVVSNPTLIAGSVPALTASSSTKVTGMPVATPPETPRTMMVN AAGTKRDASENEKDEVKEPKKRRIAPTPVEKPS UV8b_02700 MYGLPGDPNSVRLNRNATETRIHSLWNHLVETASRQTGSLLIWD TGTFTVLPRRTKHSPSKDPSSPAASSSSQDVDGEKITQQHLLEQAFRDRKIRLRLHGF KLPNPYVLNIRLTKSEDAHGRATSTTPKRRPRARPKAVDPETSPSEEDEQPTHSEEES HLVSREEDDGQASATDREIREIEDDQTPYRDIEPCPPVLREPIAE UV8b_02701 MASPPKPWERPSATAAPSAPIPSTAAAIPTTAAPTAPSSASPTA PPVPDRPSSLSSTINQNASAYSRAGAMPGVGVGASPYSAYGSAYSSPYSSPYSRFGGL GGYGGGGMYGGYGGYGGMYGGGMYGSMGMNPNDPNSLTSRFSNGTAATFQMLEGVVTA FGGFAQMLESTYMATHSSFFAMVSVAEQFGNLRDTLGSILGIFTLMRWIRTLIAKITG RPPPADATALTPAAFARFEGRKPGPDGTPGSAKASRKPLFFFLAAAFGLPYLMSKMIR ALAASQEEEARRLQQQALEQQQPVDPSKLEFCRLLYDYLPQGNASTMELEARKGDLVA VLAKNDPAGNPSEWWQCRSRDGRQGYLPSTYLEVLKRPVPEVKKIKAAPSDTSRTNSL TSASETLHQGKTEYDATADGMQRSHFYS UV8b_02702 MTDNLDENATGQEPLPAPQPGSGPKALAKAYNKFNAPLPADLAS AVSRIDNGGLSVEERAAKRLKTDNALESTNASKGDKGGEEAEQTNGTGESKAAAGVDG QSDRRAGLAAIKKEFIVDVSTLKNPNAEVLDDDAAEGRVGGDARDARDGGGRGKKGKK EKRKKGQNTERSFGSSRDSIQLCNSRALYSEFSPHDCKFGDKCRMSHDLRKYLEEGRR EDVETFDGRCPVFEQYGVCFSGWKCRFVKSHMKEMEHEDGRKELTLIDRSKDEEFAGH DGSKKVQVSSGDETDERRPGVYNNVDMTIKIEMNRKRLDFAKADEYIKWMNDESSLNQ EFHHRRKGQSNEGIEDLRARYVDPPFKPSEKRRLYFGAETPTLAPLTTQGNLPFRRLC VELGCELTYSEMAMGMPLLQGSKSDWTLLKAHESEIAPPAFKPGKNSFVFDKYDHSRD VRFGAQISANQPWIATKAADVLNRFCPHLRVIDLNCGCPIDMVFKTGGGSALLESQGK LERMIRGMNAMSGEIPITAKIRTGVKSSRPTAPAVIGKLAFGSREHRERLGAPGCAAI TLHGRSREQRYSKRADWSYISECAALIKTYNNQKDALTDTIAEPDASTLPNAKDGRLY FLGNGDCYSHVEYQEHIEQARVDTVMIGRGALIKPWLFEEIEKGQYLDKSASERLTYV EKFVRYGLDAWGSDELGIGFTRRFLLEWLSFAYRYIPIGLLEYLPPSLNDRPPAYVGR NDLETLMASGNYKDWIKITEMFLGPVHPGFEFQPKHRSNAYEAEG UV8b_02703 MRSKTAIESTSPNSKTALSHGSDDSEEEEPATLPRSHTHSHLAS KSINDQQPEDKDGLKDKDGQEDKDGQKNEDGQADKDGQEGKDGQKDKDGPQNEDNDND NENKRTSKSRRSSSLDGRKPPSATEPARPRFKNTKPPRGQHVPFPPLPDAKESPDVEP APASGMYWSKAFVSGSPHSNLRAHTTTLIGSNIYIFGGCDSKTCFNSLYVLDADAFYW SFPHIVGDIPAPLRAMTCTAVGKKLVVFGGGDGPAYYNDVYVLDTVNFRWTKPRILGD KIPSKRRAHTACLYKNDIYVFGGGDGVRALNDIWRLDVSDMTKMSWKLLSRPEKSAKS GSKQSQPRARGYHTANVVGSKLIIFGGSDGGECFDDVWVYDLDMNVWKKVHMSVTYRR LSHTATIVGSYLFVLGGHDGSDYCNDVLLLNLVTMTWDKRKVYGKPPCERGYHGTVLY DSRLILIGGFDGNEVFGDVSILELAMHAYYSQISHFTIDV UV8b_02704 MFCQKCRQPLKLHDSLQGLNAAAYDLLISSTTTTSTTTPQPPQH EIEPRSSLLQSQQQARKSLYNQAFQNSSHPTFKRHHGGQSRDSAMSFIYLTESQVGHP QTLSKETPPTLPAQAPAPDSKEGSAFNSQHVNRGDDVERINRLFEILSSRSDIDHPIC VECTDLLVEGLQRKLESAVKERDAYVNHLRQVKAAKPDDDEIKSRQEALRRAEKDRAA AVDELKKLEKEKDALDKEILTLEDESRQLDKEEEAFWRERNAFATKMADFQAERDSIN AKYSHDSQLLEKLQKSNVYNDTFYISHDGSFATINGLRLGRLSNKPVDWSEINAAWGH ALLLLVTVADKLEYKFQGYDPQPMGSTSKIIRYDFPSPSSSRLGSRAMQAPPKKHTLE LYSSGDMPLGLTFMHRKFDNAMVAFLELVRQLGAYVQRETDPPGNALRLPYKIDGDKI GDVSIKLGIAQDDGWTKACKLTLTCCKFLLAHASNVDSKARNTMT UV8b_02705 MEPPVKRRRLGQPVQDLGDEEQNGDEDWEECEGDEGDEDNQEAA GEDERQGSVDRDGGYRLAIEKAYADSRFQATMARIYEKYGRDFEGIGDEIDMSTGEIV VHNGHLENMRHDLDVGIPGEAEEAESGEEGGGSDDGNLTDHDMADASPQVTGDQDGIE NTEQNTIWVTEVDDNQVAPDYMQMQGSYPDPDALDVIPGYDHGATTEGGPSLMSGLYD GGGLRYSSSLGTFGASPFAVGPWQMLPPTQEPYHFSKQDGHSSVWASDYQLKDNESDQ RLSLRAQLGLSGKKPRPKRPKALPCPDTHDAATQSANLFEQHPEEASALSVSDEKRYG KRQTKCARGKRRRNMTGKSLSGPVREDSDTIEDSLFSGDELDVSSQTGDLTDEAPQSK PSHAAGTCDRVIPDSQDTFASQDNDANVQPPAAKSTRLASHKPTRQDFDVACMLSDDE SPLFLGPSVGVTNSGPKSIAAPTAEPKLVRPSGTHPGDTTTNKGRGRLKKGILPPTAS RKQRRFVQKPLNPSGFTHDTANRNDRPLYNKHHPHSNQAKPVEPPHRNTQQQQKRAIP NSERSSNPPTIQPEAASGMKRKRGRPRKYPPPASAAEQEAQKQTETPVQPQPMPYPTQ GPGQPFFYPPFPQAMHPQQHFPYPLQPFMPQPAFHHPFLQFPPPFPYQPIQYPFLQQP MAQMQHQMQHQMQQQMLQQPFQQFMQQPTLPVRNPLSPQRDQQPVKRKRGRPRKYPVG YKRSRSRAQPRPYQQIAGLQNSMTALLSGHATRTMVSEDAWYGVARSLAQDISSLHGS FLLANAFQAQTEPYAPNMMPPRSSSPEKSSESGSSSSDSEDEVVPYETSRITEVLEDE NSVITSRRPATDAAETDTEGHSSAAETPGFEMQNGGPDDDSDDEDEDGVTMDDDAGFP TMESPVRVGQVVQPTPSPPSPPTSAHRSSSLVKYARPQRTPSPVRSIGRKTPLRLQTL KPRTPTSQQSDPFDLPSSPQPAGTFSQGSAGTFQNDGAEPEAPHDDSQKFLGSFQEVV DVESQVADEAKPCWIDDASRTDDMSAGLHRGSSALAGRQRESSEAAASPVSQARNKGS PNRAARAAASTGNVVATPTKPVTDVSPKAPPTSLTRLGPGQSKMTDFSTLADATPRKS PRAVRVSPKRTLLDTSATAVTPEKPAARRSAMVPRSTERCTPVFVESTAAPLKLPMLE NRRTMKQLKQAVIAPRLKAVDPNPPASKGPPTNPGENPVRDMPGFPAEPKKALNSQAW KAASTKHTPEPKNTDSRAASSEPRLASRTKGSEPKASTRSISPTTSPPRHQPPDVVRR SAPRQPLPPRASVDSKSRAEQDQGDELGNLAKPKKASSSKPPRPFISSKIARDSSRKT RKPSPPTTEEHRPARERDGKGKKRRRADVPGTPAASGGSAAKVCGVDGYTCNRDFCFT CL UV8b_02706 MARVYADVNQNMPRSYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGINVVNYQKCVVKVLKPVKKKKIKREIKILQNLAGGPNVIALLDVVRDSQSKTP SLIFEYVNNIDFRSLYPKFNDLDVRFYIHELLKALDFCHSKGIMHRDVKPHNVMIDHE NRKLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGNSNADQLVKIAKVLGTDELFDYLDKYEIELDAQYDDILGRFQKKPWHS FVTSENQRFVSNEAIDFLDKLLRYDHQERLTAKEAQVHPYFDPVRDPEVFKRHLADPN GTYKS UV8b_02707 MDTLASAKTTGIRNQPWQQLAESLQISQDDFISFHQRHFSAQAV ASFANDFTDLPSEETYGYYCGTHEDDYWAEEEDDDDLGYYDDGVKRTLTDEQVEMFRH SELRELAKNQERASTIKSRGTDRIASGEEAHPSTPDQTAHSSTGHTKKKKKKKGKGAK RVAKEPKPDLRKRTWDVVDAGLDFLEYD UV8b_02708 MPSSSPPHPAQESLASSHSSEKEEEKPAADDTITASDLEKQAEG QETPSDAVENHHANVSSSPSPLPADVPPFDWDDFETRYERALREADEAEREILKEAES LSKTCKYFQVWAASASAHDDDRAAKRLRTRQRFVILSEEKMAQKQKHYEEVVRAFESA LALLKSS UV8b_02709 MDCDICHRGHDAQKLPFLCAVDARNSLYEGRMKILYAVLENEHL SKQVNDTSATNTKNSTNLSTVELSLAQQRMSEDRTDQILAAAERLREEIKAAREEIRG RKAALARRKADLAAVSDGLVQRRFKQQQELDKSAQVLRFRWAQAAEATANTRAFLCTE AIRLYGLRRAKKTSSGRYEYQLGKAPIIDPTAMDSSTPEAISTSLAHVAHILILICHY LSIRLPAEITLPHRDYPRPTIFNLNGSYQHSRASFPGFSGSSSSPSYQRETESQRIPR PRPLFVDKPLNQLAKEDPSAYSFFLEGVTLLAYNIAWLCCSQGVSIGDTSNFEDICSM GRNLYAFLLSASARDFRTVNTNATGWAPNGGEVAEEGRGNWLGRYTHGGTFYFLGSAE GTELIRTFKLPSPIKSADKLKKKLIGDAPTPDWEVLDDDAWKVEDLHPTSNATTVGAQ GGAAKGSTTKTPSRSGSNGWTKVKHR UV8b_02710 MSWDDEIISLKDFLKLDRLRQDEYLHRESPGLAAVLSGDETTAK KLFDSVPQSNPAGPDNCLQRSHFLLRVVGTLASQLAWVFWKNMPVIFWVLPYYHYVRL ILKRTIPPGWVLVAWLVVVLSHDPVKYTVSRHPLGTIWAPADLWRLWYILAAPVLCQS LLQIPTLLKKLHGLAARCFGDAFDVDISLSEAVIESFKPTLPGSAGDLHDRKVMMDAD LAARDFNVDTPEYDWQNRTAHRKSAHGDWDRYYSRLKYTARRRQDRRSLSHCIIVPRF DDSASKRASDLPPKSLRVRIFENRGTQTNLEELQNGMVVAGTQTEAHDATYMQGEEVA DKSIAKVQEAVSRVPLSADVRIKTEFITQDPKDAAGTETAQIMYAREKLSLSSTGLPN PERRLRIPAHEKPVHEKEGSGPGLDKQKQPVYDSTDGGSGIPPSVNPADALTRPTIDV ERQVQKIPETPRPSLDNHEKHIFCEPTASRVADMMSLPIAPTWNRILQSDAANAFGTV QTPLPKLEFDFRFTRAKENPLIQRSKAILNHEKKRTSLSERRRGKDSIIQKATMKEIT SDNDETTRLQYRYGRLGAAVSPTLDAVPEASKDEEARLSDDDTTTTAPEHVPLPASPP TPIPNGDCQLKLLQSCVDVDMAELWFADTLPEPTPQISLPMGFGAEGSMDFDTNLLEF FYQDGSIEADISELMTMEVDTATSREGYKDNVDADAPEISNYSREDALGEHFDASASL TQQPAGLEMADLNILCGQMDYLSFVDGDEMLWDNTEFPSGLSDDSFQAFLEALSAPLI ETTTDGLLHNEDLDLGQWASFEPTDAVNTDMELDHFGFSEPEYGLDIVMEDQSEHGQK TNPVGSKMGDTADGENVDMQPCTGLEEFEFYSSFTNISIDDYNQLSEYLELGNDQNYT QVERNTLGPVEYDFLTAGLLTNEAEPAPLDDSNGILELFPAEGTAISDAHHSVQQPND QAGPEACRGQHLEDGSVANPAGSEINSPKRQVAEAEHQEELIPSPQGVERPVADSELR VPGKPDRPSTQVLFESLGTEVIAARPEIALTSAEPTAGVVAAHSQISSRTDIEQSQEV ETKDDEATIAAGKKLTSESYRRPELLLAGAEQPSDETANTSFNAVVSGKEVEADSKSG SRAQASDPSPKNRPTDSQDISTRESYGEETIRTPTFVETGTGQLTTRSGHGSDGREDD AKAAAAIGPINIGGLILPGGNPSFPGSLEQPVTPARPAKSPSLPRDKEEELQRQKLRW RKYRQARVFIDKGNQVMSTKYGTREKGSVERDEDLKSSRKLAELAGGLPVSNLSPSKE AERWQDKVQPRGVKIVPLSTIRAMMEGQNSGDENE UV8b_02711 MAPPPTDATVPSTRRVRKSIGSHVSLKKTMDKENATVDVAGALA EIHRKSRSKSIGPGGLDALKHANGNRRASIAAAPMPRSILRPTPYSAPEIPQQRHGNQ RTSKLTDSKDSTRALASSHDDNTNGSKVALRTEEEQQAAAREREERERRDARRKSLAN RRVSFAAEATLHTFHEVEYMPDSTTSTDDSFRRASSVNGSDISRSASKAADDENAPRR NSGLPALNFHNVDDATLTSTIYSSDSEPADAVEEVEDDSGSSSDSDDGTMMTIETEEV TGTTFASERYGSDDESSTLDQALRAAAERAGTQRLGSDPEEDEELDEGEEIIPSFGWV KKNNSSVSAGETEPSLPTEDDGTEMDMGMDMEMTSAVGRILRPQQHTMDNTRDGEMSM DVTQALGGIVSEDKTRRDAPGPLVAGENCKDDASMEATMEFTTALGGIHQPQKMQQDG EFDTNEDFSMEFTTALGGVLSQSKEVEASTSRRQTLSRLGEADITMDMTVAYGNILAQ NSPQKDSKIMEEETFGMDITAAIGGILGNGLVPSSPSPGHKMMKERGRKSLNPDRIAM AAVAQQTPTRRSSRLSAISQAAAASAASEMKDDSRNSHVELPALRGEDLRRSHEPQTP DTISSPLRTPTSSPPKLTKSRALTDSFGTPSQRRRTQRKSPRSVTKIQSTGSAHAEKK VSPPESLNPRCSLFQSDSETGTRTPTVIMTPQTRRLSGYGADKSGLGSRQVTELFDRR SSIGDSATKFIPGRQAVSFDDPKAVEGEVDKDRKHDEAKESPHLFSSAKAAALQQPDK DATFNLREMINSLSPRRNALRGRKSLHVGSAKGLLGKRPAELDEDEDSDDNDGVKRLK GHKGSPVKNIKLQQPPSAAETTGKLNRASPSRLEPNASNLLSSSSSPLKNGTNITPHK REERIGILGQNPTVQEVNFYHNQGIKKAEEPDQNLDDGRIHLQDFLNMTSIRFMELTT TKRRHTVAPNSLQDQSTVEGEDGMSLERFVVAGACTVPMLELYQHSCRELKNYIAEGR RIVKEIEAETLEDNPPLFREYVTATPNVKALMDNQFKNVKTYARLLSKAMWYEWRMKL QEGLKEGLVKISEDMDRDERMLKEREDILAAVLPDAVAYHDALEREREVLEEAARELA DCDPAELQAARDELTNCDAGIEVKQRLIAEMRQQLQSAASIAEDLGAKKENLVREIEQ HEEVREACRGWTCSEVEALKARVDVLEKQHGWAVTGLTGSNLSMAYRREIEIVFDIAS FQPHQPNSPIDLWYIGDSKDGQQSKTAEKEFFLQCIRDHVRALPQSRTKILDLLNMVR AAWDKARFVATQVRAINVTFPTKVVKTSDSSVAVVSSLLLTALRTRVEATLHLHSRSA SLGVDVGISAHVSVVYGEPFNVSKVGEFLAAKIGDKIGTKEEGWSDVLIELQKRLTAR GKKQVLNAAQ UV8b_02712 MNWKWALRIMYHVGSNNAAGHVKDLRPRSFKMATELTVQSERAF QKQPHIFLNSKTKTKTARPGKGGRRWYKDVGLGFRTPKTAIEGSYIDKKCPFTGLVSI RGRILTGTVVSTKMHRTVIIRREYLHFIPKYSRYEKRHKNLAAHVSPAFRVEEGDQVT VGQCRPLSKTVRFNVLRVLPRTGKAVKKFSKF UV8b_02713 MGWFSSIFGSEKPSDPLGKLDPKLREFLEREAPVKYTTAQPSSS TAPTGAAPTKDAQNVSEPTERPAVPSSSLHQDGRYAHLWTNYRPLAEVESEAATEHDK LMDVLEGFKERKAAIGRAALENCALQQEEWANCMKSGSWEDQLQMCRHQLRRFERCYT MQSRFLRALGHSSAIGRPASVDEDIQMHADTLYQRMLDHEAAVEQAKKDGSPVPVFNP ALPRANVAKVNPTTELEKHWREKLDKLPEEERVVEEAALRADLQAESEVARSVKQIWA AQKEERDTRKADGQSTFGDTLASLLGRGGSDGGKAR UV8b_02714 MSAPQDSLSNLEKHRLQLEENVNQLKQALQHWQTWDAEYEALKE EVEAVAEPCTPARLQKVHHDFDAQLLTGKEIDQVFGLHYSKPRHQIINLLQRRIDYVG KNVANLQSQLETAQDQYAAATAASQSDAVDENDQPVTEIIEELDDNDNVLSYRLNQPA DFLPRVREVLEEAGVDGLAPQNAGAGDENTKTTKTTRTTRTTTLSAPPPSSSQSGTDP VSEPQTKSLHQPPPQDPLTAPPVEKSVAFSEDVDVDAQPSDAASADMSIRFKRLDRIM KTAKEQQESISKDQPVIPDDEDAEDASLRQEMLQYCMGEVGAVVAELELEQGDSDSGF EHDEDEYDEEYDDDDDAYDTDEDKYGRSTGRLVTDKYRQRMLELEKKLGIKSRFTEQA AEQAERDADGESPQSEAGVGRIVVNRDPEPAISSASRPAPSKSNIKNSKNGDAENKKG VRFAQALDVAPQAEPTTQQMQQPPKSSGPPAIDPLSDVVERTGSTKMAATKSSPTPSR FKKARAGTESNGVSKGPHDVPPRYMRQEQRTRPAGPDGVTIIDTLVEHEPSENATAPD EFDDSMVYQEVADTYQRMRRKFIHRDGGFLREDESAIQPLDEADGDEKVSRFKAARLS RQ UV8b_02715 MSMSGSETKSLEAKCFCGSVHFTVDVPTSLLPLPAYICHCSICR YSTGAPCLFHASLPRGLTPNFIAPSAEQNLTQYQPAQGGTYDFCSACGCHVAGVSFDR LEWTVSTSIFLHRGPADFEIVSHVFSKSGPGGAIPACLSEIGGRQIQHYNPPDDDARS KVDVPPAELGPDGHERLRARCHCGGVSFTIGRPDQRVLEDEFLSRFVSPLDKNKWIAT YDVCDDCRLINGSHVAGWTFAPLALCDPVIGKDLKLGSSKTYVSSEGVLRSFCGTCGA TVFFSSAQRRPADGRAVVDIATGILRCPEGVMGENWFTWRARLAYEASGIRFDRQLGE ALRDAMKAYSREKYGQELTMEIV UV8b_02716 MTSRSYSDAIDALNSLQTPHAEIEARRKAGIRPDASSIREMKAY LARIGYAPSDLNRLNIVHVAGTKGKGSTCAFVNSILSQYQSTPGHHLKCGLFISPHLV AVRERIRISSAPISEDLFAKYFFQVWDRLGSSSALPDDAVPGSRPLYARYLTLMSWHA FLQEGVNVAVYETGIGGEFDATNIVEKPLVAGISTLGIDHVYVLGETVDKIAWHKAGI IKAGSPAFTVEQVGPAAEVLHQRAREKGVTLNVLGIDPRLQGVKVRPDAQFQRKNATL AVALAATALQKLRVVPENLVDASRPLPRRFADGIEKTVFRGRCEVKVEDSIVWHVDGA HTADSLKESSRWFADETSHSTGPRVLIFNQQGRAEAVDFLESIQATTSRPSRGKPSFD HAVFCTNVTHAKTGYNRDFVNRGIDPKEIESMSVQRRFADRWASIDPGAEVVVLPTIE EALDYARQVGDVAARVGDEKVQVYVTGSLHLVGGALAILEKAEAL UV8b_02717 MVVGRTWQRCCCPVPTLLRKRPRASLPPARQSSRLFFSIIAPRD YREALSRLAQLQANRAVTQLFDRPPGAARDQEDLNAAAIPEMAGWLARAGYAPQDLAR MRHIHVAGTKGKGSVCAFATSVLRQYADGPVGTYTSPHLVSPRERIAVDGRPVSQDVF ARGFFELWDRFTEQAVRRDGADAAAAAAAAAAEARGPATKPFFFRYMTILAWHLFLQQ GVGDVVMECGIGGEHDATNVLPAEAVSAAVICRLGRDHVAMLGGSLDQIAWHKAGILK PGVRAFTVNVERSQPSVMRVLRRRAAERSASLVELDPDAVRSWGGVRGGSLRGDFQAT NQALAVMAAQHHLGLEPPSLAALPDKMVRGLREAALRGRCEILRRRAATWLLDGAHTA ESLDQVARWLARELQPDDRGVVLVFNQQERDAAGLLRHLLAAVGRETGTSGVFRHALF ARNERRAQAPPGGRDALSVQRDAAAAMREASPRSQTAVFGNVDDAVHEAERLVAGGGK VLVTGSLHLVGGVLQVLEPDSLL UV8b_02718 MLRSSLRSARALRGAPAAAAAGRQWPVAPSRRAGLLGARWHADD KKPSSGNVPKPPVLPASETLSSEQSPPPSADEIAKSRLAEIREAPLTPPPPPPPPPPP VSATRNFAPQPSAPRPRKKTFFQRLRSFVFTLMVLGAVGFAGGVWYSRINDNFHDFFT EYIPFGEQAVLYLEELEFKKKYPNLIVGHESRPKETGAQVKIPAHSGASWRVADVNEP SSGRRSSASPGSNKSDVKLAEKKDVADKVAADPTAASSVAAEKATPPAASEQPASPGK GFKAPEVNEPSRFPPIEPIDLMKLPDAKDPIVRDLVHMLNDLILVVNADGAHGRYSTT IAKAKNEITRIGNRLQDMKSAVEKKAAGQVKSSVEEFDKAATELVKRVENTMLAQEME WRREFEEEMKSVRSSYDERVKLLLEREKKLNEEKLQTRLLEQALALKREFVQEVRERV EKEREGRLGKLSELTSAVSDLEKLTVGWNDVVDGNLRTQQLHVAVEAVRASLENATQP KPFVRELVALKEIASEDPVVDAAIASLNPWTYQRGVSSPSQLIDRFRRVAAEVRKASL LPDDAGVASHATSWILSHVMFKKEGLAEGDDVESILTRTQTYLEEGDLDSAAREMNGL QGWAKTLSKDWIGEVRKVLEVQQALDVIATEARLQSLRVD UV8b_02719 MATRGPAGGARGMNNRFAQFKLVLLGESAVGKSSIVLRFVKDQF DSFRESTIGAAFLTQTISLDESTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDI TQSSSLDKAKAWVKELQRQANENIIIALAGNKLDLVTEQPDKRAIPAADAEAYAREAG LLFFETSAKTAENVRELFTAIAKKLPLDQVGPRHARPGHRPGVSLAPENANTNVSGPC SC UV8b_02720 MSSHRPNAFNSLRMGEVIREKVQDGITGETRDLQYTQCKIVGNG SFGVVFQTKLSPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVQLKAFYYSNGERK DEVYLNLVQEFVPETVYRASRFFNKLKTTMPILEVKLYTYQLFRALAYIHSQGICHRD IKPQNLLLDPNSGILKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRKADANAIDLIARLLEYTPTERQSAIDAMVHPFFDELRDPTTKLPDSRHG SGQLRDMPALFDFTRHELSIAPNLNAQLVPAHIKPVLASQGLDIDNLTPMSKQEMLAK LD UV8b_02721 MAKLETQSTTRAQREHYSTNRLERADLASEERERDWTWLESWIV PVLARGMSDTGGGGGGGGGGGGGGGGGGAVGAAR UV8b_02722 MDTLLTAEIAANAPRYRRKSSTFIDGIHDVTESENLAPAQLYST MSGRLFHSGRIAIVMVGPPARGKTHICVSMARYLQWLGVKTRIFHLGDYRRATIGPEG NVPEDYFFPDASPSSVILRQKILKKCREDIYAWLNHENGQVAIYDAVNPTASGRRALA KELAKHDVQTLFIESYVDDERILRENARNVKISSPDFAGMDPDEAAKLYLKRIEMRIP VFETMDEAELNYIKMINAGAKFFYNNVSFNYLSHRIVFYLTNLHIKSRTTFFVRAGTT EEEDSYKADAPLSELGEAYAEKMSEALLKHRQQEHAEQVEKSEGQQAPLRPLTVWTST RIRTVQTAGYLKEKGYKVRQRSQMSQINPGVCENMPERMIQKVYPEEAEKHDLDPYHH RYPRAESYHDLAVRLEPIILELEREQNDLLIIAHESVLRVLYAYLMHCSTMEIPNLKF PRDEIIEIIPAAYQNEAKRIHIPGLDAELLVGSPHVPLSSMQSTNMPGGAGGSVAGSG QMSPIPGGLSSPAMPVERPPERVINTAKDMVADKVDDED UV8b_02723 MAAQIDSIRSLLFANPVAAAIGEALNSFSERRAKLGLPNPGTTE NLSKEVQRDVLLNNYMFTGIRADLTKIFSMAPLFQVSHQFALGERINPYTFAAMYGTG KVFCQGNIDNEGSLSGRFNFRWTDKLVSKSQLSISPGGQDMAQFEHEYNGDDFSASLK MLNPSFLEGGMTGIYIGSYLQSVTPKLALGMETLWQRPALTQGPECAVSYCARYKADD WMAAAQLQTAMGTLNTSYWRRLSDKVQAGVDLSLGLVPSAGGLMGGGLQKEGVTTVGA KYDFRMSTFRAQVDSKGKLSCLLEKRVAPPVMMTFAADIDHYTQQAKLGLGVSIETSP DELQDQQEALGAQTPPNIPF UV8b_02724 MKEFPRKFLNLRDKNGSHSRSKSVSPGAKDKARPLSAEAFRAMF KKDGPKQAAKSEDESSEPDQTKIEEVQRRLDKMRISNVTADYIKDIMATNIADGDSQK TVEFIDLEQKAASGIIVSYDPNVQMLGAENRGNVTCYLDSLLFAMFSKLDAFECMLKS DFPVDDPRVKLVTLLRIWVNLLRSGKLIRTDLTKLMQEALSDCGWSEAKLLEQQDTSE AFAFLTETLQLPLLSLQVDLFHQGIKDKDDHKVVYERLLNLAVPPDPKGKGIKLEDCL EEYFNAKVDVLRDHEEAWKGSVDEKGSGDRSPLATQNTIRLVRAEEGASSSVAVPLVD IAPSQSSLGDSLDRSLPRPLDSPSADINSPEAQSVEISGIQGDSALKPQSSTVRNRSA SVIQRVVMDEAGRSRENEDGVTAKPSRHRRSTIVKAVTIPAWQFFRLIPWHALRPNEP RSDSEVALNFDQRPVVGICLKRYAMTESGQPKRHNTFIDIPDSLRLPHFMLAGGPKLG QELNGLSTEYKLVLQSVVCHRGDSLHSGHYIAFARVAPKLLTGNRRHDFDPPPDYEEA QWVKFDDLEAENRVSYVDDIKQALKAEMPYLLFYQIVPMFDMPRCSTDGAETGPPSYN EFKLSLEINGAAVPSCAFDELIGGCPDEPLSVDASASSNTPSMRLSAEMDRFHRGGHW SVSHTGSTPMASRRESLATTESPAVTPGANSPVMTPTDEPTTSRLSRAASRFALSRQS RPQSQSGEGRISLTMTRLGGLMRPSREPLAEPSSNGLPVSATNPTGSLSDTSTKALDS SAEEKQAAPAAQGKEKLKYRGGKAKDKEKPEKHHKANQGDQPERECAVM UV8b_02725 MSALREGGRLARAIGQVRLPLRPLHPCGRRSVSTGVDEAEAPKL GHLADLESTTTFTSSEPDQEAIDAFDSATKSSARERRLPGSRYQYHPPKYYRGPLHPV QAPPSSDPTARDFVPGPFSFPRLKHTYDSTIAPDLLTLTYQHTPPGTVPPSSEKGVLR QWDGSSPYHKNRPRRGPRGGGSSRLGILERDIEWNNIPEIEAVTVNSYAPMSSQNKEY LHVARAVVQAITGAFPSVTTVKHHVIHWGVHKGDKAGAKVTLRGGAAYDFVDKLVTLV LPKIKDWPGIKASTGDDSGNLAFGMKPEWMAYFPEIEFNYDMYPSKLMPGCDIFIHTT GTSDRQGRLLMEALGFPFYGKATR UV8b_02726 MADKRLNDLLRWSVENSDVPHNDPSLAAPSQPSSSHLTPELMAA LMGGPSDADLMKASMEIITSPDSEVTLENKLIAFDNLEQLIESLDNANNIGNLGLWTP LLEQLTHQEAEVRKMAAWCIGTAVQNNQQTQERLYAMGGVPALVGMATRQEEKEDVRK KAIYALSSACRNYQPAMDVCVEELSKNGHDADKVDARDMEAVDVIINVLRDKVKNSG UV8b_02727 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAIARVDMAGRDLTDYLMKILAERGYAFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSTLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSVMKCDVDVRKDLYGNIVMSGGTTMYPGLSDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF UV8b_02728 MGSPTTPMHRLLLSVRPICRAKVPFTASPVAANRRLTYTSPLAK NLPPRPKPPPESEIEESFIKGSGPGGQKINKTDSAVQLKHVPTGIVVKSQATRSRSQN RKHARELLAQKIDDLTNREQSRSSIVGEMKRKKAASAAKKSRRKYRLLEDEKASMRAE IIHPPLAAQGEPAATTSPANGRLSHDAPPVQHTDAETSSLPDRDKPHQ UV8b_02729 MSMAADFRRWLRQKQYTFEVTFALNMFRSWEKSIIYSVLFLLIS MTFIAAVLYLPHHINVLVGRAWYYIKGEHINAAVSAREAVKEISASLASEALPTAAAK EAIAGTIEKEL UV8b_02730 MAAPKGMSVEYLSVGANRQTCVADWSATGLLAFGADVNIALWRP AASPPRGVETLLSGHGETVKAVLFLPEEQDDDATFIVSGADDSTLICWKSNRGGLDYE PVQTSVEHTSPINCLSAVRVASRPAKWILATGGADATVKLWSFERDQLQLLQSMRTSP RFLPLAVSISMLDRDSLILAAAGTRETVQIFTADLKASAIRFDLQATLHGHEGWVRSL SFAKEPGKADGDLLLASASQDKYLRIWRVRRGREPPAIPASGSDPISGAFLPGRSPSN KVHMLRAGGKDFSVTFEALLLGHEDWIYSARWHAHSDGKLQLLSSSADNSLAIWEADA TSGIWVSMARLGEISREKGATTATGSTGGFWTGLWSPTGDSVVCLGRTGSWRRWEHDA AADAWRPCVAITGHTKPVKGISWSRSGEYLLSTSSDQTSRLHTRWSTSNGGAWHEMSR PQIHGYDLNCIDSLGEGQFVSGADEKLLRVFTEPKAVAALLKKLAGFGNEKAEGMPDA ANMPVLGLSNKAIDVVDEDQEIQPIDDRDREAMDPATVVRKSCLEMDHPPFEETLSRH TLWPETEKLYGHGYEISCLAASHDGKVIASACKASSTNHAVIRLFETDKWTEVKPPLV AHSLTATRLRFSRDDKHLLSVGRDRQWAVFRKDANEAAVYTPAQSDPKGHSRMILDAA WAPSPTPLLFATAGRDKQVRIWASRAPAEEQVRFSQSAALPLSGPVTAVDFLPVAVKG KHILAVGTEGGKISICLVSEDGSAVAELPSPAELNMAKPVLQLAWRPTGEKSDEYTLA AAGEDSSLRVFSFPESCFKAQE UV8b_02731 MAPTATKNPDDQLILTVEFSGGLEMLFADQQHHSLKVPSKDEHG QPANLAFLIHHICENVMKDTRKELFILDSHLRPGILVLVNDADWELEGEEAYELSNGD NILFVSTLHGG UV8b_02732 MQRPMAPQYVVAPVYNAAPMTTGAAQHYQHQHQHQQPQPPIYAA YSAYQSPPPSTGSSFKSDFQDRPHAVPLNADVEVNGAVSARRGSIQRSNARLRSPARS ESNVSVSPSTHSNPTANSKTITYNETINPADRIDFGTDVDELMKAIQAKAEASEGAHR HILTPAHTPRGDCGADAQSPAGSCRAPPAQELKPKKKWVCDGPSCNKRFVQKTHLDIH RRTHTGLKPYICGKENCGLTFSQRGNLKTHMRRHTGEKPYSCSLCGKTFAQRGNVRSH EETHKGLKPFICRLDDCHKSFSQLGNMKTHQNNFHKETLKDLTNMFVKFAQMGQVPDE HRDLFDYFKEHYKNSNKGIKGRGKARTIAVRKPKGSSRPSATAGATAAAPASAPTVSN HYSQAPMAGSAPAYVPRTFGMLEPEHDHTGAAGLLYEDEQARQMAFANRLY UV8b_02733 MAGALSRPPDNAQDFGSPCPPPPPSSDASYVPDRRHGRLPPAAV ESVAGLSAGIVSTLVVHPLDIVKTRMQIHRSAGSASPPPTTVAILRSLASNNRPVLLS LYRGLAPNLVGNATSWASFFFFKSRFERLVARRRGRERPSPSDYFVASAAAGASTSAL TNPVWVLKTRMLSSDRGSAGAYPSMLAGARAILRTEGPRGLYRGLAVSLLGVSHGAVQ FAVYEPCKRVYLNGRKRAREGEGEGAGAAGDAAVKMTNEATVVISSAAKLVAGAVTYP YQVLRSRMQNYHADERFGRGVAGVVARIWREEGVVGFYRGLVPGVVRVMPATWVTFLV YENVKYSLLR UV8b_02734 MAQNRHWEQDKEATIYIGNIDERASPATVYEIMLQMGPIHNIHM PRDRVTQNHQGFGFVEFRTPSDAEYAANVMNGVKLFGKSLRVNKASADKAKGADIGAE LFVGNLDAVVDEKLLYDTFSRFGPLLSLPKVARDDAGNSKGFGFVSFADFESSDAAVA NLDGQYVLSKEVSVQYAFKKDGKGERHGDEAERELAKQAKKRNIVPEAQALPAFLQQA QQQQQKTQTQQTQQTQQTQKTQTQTTTSTPTPTPTQQQAAPQLPQPQPQQHHHHHHPH PHHPHPYPHPHHHRQQQQPQPQPQPHHPLASQLHHPQQHLGAPPAPAGFEPPSVPGMP GVPGVPGVPPPMDHHIPPPPAGFVPPHRGLSPYNAAPHGAVPPAPGRGPVPLPPPPSG LPARPPQSQNYTNPADFHPGAFRPPSASPNPPGYPVAPPPGLPSAPPGVSGGPGAPAP PPGFMPPPGFQPPPGFAPR UV8b_02735 MTYRGALPTGQPGFPDQQQRRWQDHNHLYGGEPGYQEGQFQHQH QHQHQHQHQHQHQHQQPPSPSPSQQQQQQQQQDHMDQMQQMQQQMQMQDHHGLFTNGM NDQYYAQQQQGQFHPQQQNQYAARQQEYLRMQMARQQQQPQPVSPFVGGASGAQLHSL DQYAQRQAPAHQLSMWQQPHQQYPGHPSPRQSIMTQQQAQHSQGIQGIMHGQHPQHAQ QQPVPVPVPVPDPMMQRMYQQHQGAQQHMTSPQIHHQPSPSPQPRKSPLLPSQAQVQA QVQRKQSLQSPAGIQAMQSPIQQQQQQHLPQHYHSPPPPQAPFRPQNHAQSPQPHQQP QHRHPPYHKLPAPTTPLPPQPLPEQAPDPDDDDDDDDDDDADADDDAEPTVQEKQQSR PGAHGHVLQPVPEPTMTGEQQPPVLEFVPEPENEQASNPAVEMPVPMPMPMPEPPPQP QPPIQEPINFVNPQDIFNAPPPPPLPRLPMADLPIQGLSAEPARPPNTMNPPNSSIEM QASSAPHPTAVGSIPKPPTPKQEVKKEPKQDAKSEPLSHIKSSPQSSVTNSPALSTRS PAFSKKSPAPKSRPTNTIPIMVAIAEECLEKARKSVHDVAMSLDASQVDEYQRLIATS LSCLEAAMQNSKLAPREEARLRLRYAAVLQEETENLMEAEMALGKGITLCDKHRLVDM KYCMQYLMLKVLFQRNHKAALKAVDGHISDCEAFKHVPWYYAFRLLKSTFYMAMGNAS DAAALENIRAVYHVANTRGDNAMRVLASVLEGLTLLKTSKDSSIERVNSCIAQAAKFQ FDPTVKIVQLDILILILDFAAGLYHSSPDTTGQKLRLLQTRLDECEGWNNVQSDFHIP IKRQPYGVRLISDETSAILRGGVEGEGEFDFIVMSFMTKVELRSLVLTLSGLASMHKP SSQGRRSTEFWLEGVRILDTWDGLTAGITYGPSVSLSTAIRQRLWRTDAQAYLCVLLG LLAASHCQWNRVKQFMTRLESLLTSATQPAVALLSSYLTGVYNQGTGNLQGALDVFLS PQFDIPQSSSGVRAGQREIALLAGLSRLWIMQHPSCRNDQQTQDLIEQLQPFCADHWN IDLRTAWHNGIAALETDPPQQLNQQKQHIQAAMSGSKVTNNILGAAVTLCIMRSRFFE NVIGEQALKSARAASKQAQRSGNMLWQSVADGMLAQSYEVQGQREESSKEWQKATREA GDAFSGSL UV8b_02736 MAPAPAALPSRFPCWCRAVYSWGGESKRDLGFIEGDLIECLNAG DGSWWVGRLYRDRRTVGSFPSNFVELLPEEFRPMTRSVSPLPGRNPSNSKTLPAKSKT FRKPFEAYAKAPHYTTAKTPTSYRDPPAAPPPSAPPPAPSPAPTPRSQQHRAVDNSAN SFRTSVHDAIGRVPSPTPVHGYGSRAPSPAPTPSYMTLNRTSSPDRDHVPPPAPPPHR HVAAASHDMSRQGSNASFRPYSPAVVTRHESSASFHHQQQTPRQSSYAIQEDKQYQRE MDGQYTPLDRSPRQTSSDELHMTPSPLREAMDGVMEQLDQLDGLGSSWPSEQQQHQRA QDEQPLDPWAPESFDMFARKSRQRQVERARPVTSSGPLPHDEGYETWSGESSQHTSYQ KGMRGKGHALPELGDYVERMEKRLRNTPHHNSAGAGMAADIDLDASGDDVPPPPPPKG KHYQRPKSSAGDPSDQSRQIENRKSAYEMGRGHAGDGSISRTLTTRTNTTNASSGNQS HTSSSTQSSGRTLWSGVSAGGFSSTSAGSMARQQHMRTQSAMDTREADTDRPDSPFTG VTYHSSHASNAAAGNRQRAQTLLEDELTGSLGGLVQPRAPKRNIFKKIFDSAKTGVAS NRGSIAAGSIAGGSARASPFDRPRPMAASSGLGSRITPVNGNSYGSDAAREMGLSSGS SVDWVQVRRDVNRSNSLSKAERIERQDRCQTLEHPVLNPVDELYEGIEGDEAADGMPV RDAVNYQSINLSQVDKNSRFVGDLPPMTTATQLATTYVCRPYRSDVQRIRAIFTWVSE KICWEEDFEGQVDTRHVIQAKRACAEEYAVLVMEMCAAVGIECEIVRGHLKAPGDIYD IHTAPRPNHWWNAVIVDNEWRVIDCCLASPSNPKRGLYSSTSASTADSWWFLARPTEA CWTHVPEHHDQQHIVPPVAHETLLNLPCTCPAFFRHEMEMVDYNTAVTRIEDLEMVHV KLNVPGDVEIAAEVEARTLTRDADGDVFESGDTVKKRALAQAEWHNGVKRYTIKALLP GDEGQGILKVYAGKRGLMQSIKDIPHPLAFALPVMHTGENPPYEFVTRHPTPHAQRHD IYVVQPQCQRLALNNTFVFAIRQHPSTALSGPSSALTPASNPGRASPNPFARPSSAMS MNAPSASGSNPSSASGTIAGKKPAKLAIQTPGGKILRLMRKEDRKGIAVGGKGLSSDE DVSDGGTWETIIKCSERGVWRGLVLADRTARWCVFAEWVCVG UV8b_02737 MADEGVAEHYQVLEELGRGSFGVVYKGIERATGETVAIKHIDLE SNDDDIQDIQAEIAVLSTCASPYVTQYKGSFLRGHKLWIIMEYLGGGSCLDLLKPANF SETHIAIVCRELLLGIQYLHTEGKIHRDIKAANVLLSETGKVKLADFGVAAQLTNIKS QRNTFVGTPFWMAPEVIQQDGYSFKADIWSLGITAMELANGEPPLCHIHPMKVLFHIP KNSPPKLEGDFSRDFKDFVTQCLTKDYERRPSAKELLKHRFIRAAGKIEALQELIARR QMWDADQNRQRHPIYYQETLQTISPKDGQQEWVFDTVKSVAIVQPKKPVSKHRKPSSM LGTEGLRRLDIAEGPLGLSSPAPAPAPAPAPGTGTGTGLGTGTGTGTGTGTGTGTGTG TVRKSTVRRAPSLAQPSSVLRTSGPPRLTVAAKKPLQPDMSFGNLGSTMRLFRRVPSD GSTNGQLGRPSSPDDVFCDENLPPPVASPVEPYGKEAVLGRRLYNKAVEPALAELHAQ TSAMQKREALAKLSDAFAGLDAVDPEGAYHLMRNLVASMSQDSKLSASLLRQPALKIP DDGTPQGTVIVKSSATSLASPTKLVLSSSNPHLKSHKRRQGESPRPSLGEKELDRERS MLLEEKYPGRDARSGMEHCKQLSDVLYHRWADNLRIRWPAV UV8b_02738 MPRPRRSQPGSTNSRKQVESPDDGWFTIKRILEERVVRGRIEYL VDWDDNKTTGEVYAPTWSREVTDEAKQEWESLKVSRSQEGCGGTQDSQPPRPSNWRQL SKLQRVDAAGPSSSPRSGLVVTDDQGNRPSQVHRVARRAASSEEPVPSIGSTPSADSL DCPEAFGSDCFAPWDLDAHRSQQLIVALQKNSDLDKSEYTSEYNTQSSGHTSQSVAEL EDQDERALFASQLNHQIIPDSQEPSGQTWSVVIESTDHFAAPAGDESVSPSRLSVVAL SPISQQDEPFGADSTSLILGGEGSQHLHIQEALNGPDIPSNQFGQAQPTSLASRQFHL ATPATKSNPKAQSPEATRDWVDTPVTSPAFLSQPPVTREFDVPESSVSHGHGAVRTIA ETPSYDRTKSAGTSSAVELTQESQDAQVIEPIISQIGVFSDSHDTDNHGTAVNSHATP QDMPLSQPLDMDRQENLHESPNPAPSSSAVDELSRLVNLDNVMTEGSIFQKGKQTYLD TSHFATPLPNLAMQKEKQLVFGSNESAEHENTPASGAIVPDALHSSGPHTVSLADIST SQHGVERTALPLMPSLSPQDEPAPSNVFQSSGVPFPMAQPKEDSDDDSSETSQEPIPL KHIITLPFQARLRPLYDDILLDSKKEITQFGAIFNSETYVEPDDALVQKIDDVFGRLH NICDYPPECIGTALESLPSTQLIKYCRDANAKFNFLYELLQGLTEETRVLIVARSAEL LSLLYRLCEALHLDCVCEDIGRSQKTSSNATVTLVLPTRMVDEDDFDVVIGYDHFFGA SEIGKKLEPVIPDARSPLVLILVTIHSIEHIDLYLPEDLTPLEKKNALMSGIVRARQF LAEPDRGLPEPHEPASVFLDYLNGLAKGIAWNPVPVPEEILDIYVESQSRSQMPTAAG NTELENARKRKLDDSEDENAKRMRVFRNKQPTAQVNNVPLPEDVKALLGSVQPEQDAG KAPRVLVSVPLAVLQALAEHTSELNRRADAADRETQYKSVISTLETRVKEYERSSAKM YSSQRSALEDRSKFEQQARKAEAALQKATEVAQRDAEKAQKRITDLEATVARLTAGSG GADDDTPLAKTQQLLQTAQGNIQTLEKRLENARKDAEYTMSLYQDGTTTSSALRGENA DLREQVADLQKKTEETMGKIHAIQADKTTKQYVGQIRNLKTQLRERDIQLDLMKEEIR QLKNGRRDTRQFMTQPPGNGRWNHLRE UV8b_02739 MPSTSLLRAAARGSTSFYRAAARPVAQPLMARAFSTSMSRRTEH AEETFEEFTARFEKEFESVQDVFELQRNLNNAFAYDLVPSTSVIAAALRAARRVNDFA TAVRIFEGIKAKVENKGQYDQYLNELKSLREELGVPLKEDLYSEEK UV8b_02740 MPGILEKLPVPTIDRPFGISLWPQFSRAFEAVVGYPADDFKFVP FDTPMSTLKSTSIFIVVYYIIIFGGREFMRNREPFKLKSLFLIHNFYLTAISGILLAL FVEQLVPELYHNGVFHAICHRDGGWTQRMVVLYYLNYLTKYLELLDTVFLFLKKKPLT FLHCYHHGATALLCYTQLIGSTSVSWVPITLNLTVHVVMYWYYFQSARGIRIWWKEWV TRLQIIQFIIDLGFVYFASYTYFTSTYFPWLPNAGNCAGEEFAAFAGIAILSSYLVLF ISFYFATYKKGGKSTTRKSLRRMSQAPLPDPLHIQAADAANLHNGATATGAKTNGATT RSRKA UV8b_02741 MDVVVVMDVVMATYHKLAGALRRDNRRESLKSTDLNIFKTMAGQ WDWARRPCLSDLTGWGQAAWGRRHGAGGMGPATLDRRQPPPVSCVPAPAETSWCHLQ UV8b_02742 MRLPFRKKRQEREGLASPVFDEFRAVGGYGLKPLLFPPSRFSAQ LLASLPPRVLERIFVFVCPHAVDDSYETCEKSANEKGCMLCDLRDLSRCVQVNRAWRA TAIKILYHSVRIDPVHYCQLEAFLAERRKRTSRFDRNGTPEDPALARLRLLRRTVRDD PTRIGKTVRFLKTPYMIRESCHVELAQTIAVLPNLKYVDLPEGMFSDEPSYTTLRLEV QARCSNIRKTTYAGGSERSFASLMSGQIWPCLEVLELKRLNVDPKVLRGVLTCLGNLR ALKVAETYSLSDEVLLADESLPPLPALEELVLKDTPSLTSAGLIDYLSFYETQNALKV LTLKDTGIQPWKLQDVLAMAPSLKTLVMQSEISDSFPTGEAIPPLKHTGLTTLRFEIS STSAAGPFATQAYHAYLANSILTGNLPRLRRLYVLDEQFPDQLRNLPPPTPTFAGGRV RTGYSASASAYDPPSPRVTAPGSGLLSPTSPTRQHMPSLLNPHRLSSNNPFANMKSGA GLWPVLPPTQTLEVFTKDDEHGKWNFAQVDSLTDPATAPSHRWSSSYGLAADVTGQGW DRGEARRSIMIGDGTGAFLPLDGAEDGPRRSEVFALGPVPVRGGMKPRSSSRDGKVLG IWSKV UV8b_02743 MKSLALAMLAATAVAVPSQPKRAGKRPLPSPIASANGRPPIWTG YCDVYSQQCVFEYVKTVVTYGRPTNMREFGFANCTQNALCLRHGDRCYAMEFGGVDRG ACGNVPTIEELRAEARARVRARKNPAAARRH UV8b_02744 MKFAIAILAAGAGAGAVPIDRAAEARGLHVVDKPYAVERPYVVE KPFAAERPGSGVKSPDGSKPPRNHESHDVIPGRHN UV8b_02745 MSLPEQPCFRAGCIPVQPSVGDHPATSLHYAADRPYRRSSFSPA SSSAYSPLQVDSKYPPRLSQCVATKSVIPTVRHNEELSQQDQGTISDESESAAGSDTS SNSSTHGVETPRTDEMLDSANLSTSSSSSSRNGLAVPTTEAPGLPARSSLRLSRLLTA IPQKKAATDDQPMLPHAAPHQIYLSSEEDASSSADDFSDAGEDNESDGEGSDKQTQGR LTRRREDTARIVAVVFHGKPSMITLSPRRSMSPSSSELQQAGACILRTVTEPTLSRPR SISPTSSTMTLNRPPRLSSMMPTYEKGRPTFLNIDPFAKPGEDPESKGSPKTSPTGML RKTLSLVKKRSKQNLQQSESQPTRMEQVSEVEEKIDAQEGRSLEEATQPSPSYQDIMR GARRCSAISVTRSEASSSKSPKNRFRSGLSLGRQRSVRA UV8b_02746 MAADSEHIRDVKNHLLFEIATEVAHRVGGIYSVIKSKAPVTTAE YGDRYTLIGPLNHASAAVEVEEMEPTNPAMTTTMQVMKERGIGMLYGRWLIEGAPRVL LIDTRTAYGYMNEWKTDLWNIASIPSPPNDDETNEAIVFGYLVAWFLGEFVCHEKDKA VIAHFHEWLAGVALPLTKKRRIDVTTIFTTHATLLGRYLCAGSVDFYNNLQNFDVDAE AGKRGIYHRYCIERAATHSCDVFTTVSHITAFESEHLLKRKPDGVLPNGLNVTKFSAV HEFQNLHQVSKEKIHDFVRGHFYGHYDFDPDNTLYVFTAGRYEFRNKGVDMFIESLAR LNHRLKNSGSKMTVVAFIIMPAQTTSLTVEALKGQAVIKSLRDTTTMIEQSIGRRMFE RSLKWHEGDPMPDEKELISGQDRVLLRRRLFAMKRSGLPPIVTHNMVNDSEDPVLNQI RRVQLFNHPSDRVKVVFHPEFLNSANPVLPLDYDDFVRGCHLGVFASYYEPWGYTPAE CTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIIDRRTKGVDDSVNQLTSFMFEFCG KSRRQRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPSSFSGDEEPEDFIPGL EQKISRPFSVPGSPRDKSGMMTPGDFASLQEGREGLSTEDYVAWKLPAEEDPDEYPFP LTLRTKQAGVESPLPAAGLNGD UV8b_02747 MDASQIQVKVIFTTDEQDLQLPETKRQLLVPADIKRYGLSRILN SESMLDTSSPIPLDFLANGTYLRTSIQDYLQANGLSTEKTLKLQYVRSLIPPVYQASF HHDDWVGSVDVLSATAPAAKGLDGAVADRVASASYDGLVRIWNPSGDAIATSGAGRGG GHTARLDAVRWLSPTKLVSAGLDRKLVVWDYSESEDGVSGSLKHSMELWGHQKNVNAL DVNCSTKRILSASSDGSVGLWTSSKRTAPQAEPESLPSAHSSKRAKLSAAATTAQRGP LAMMPMHDSQVTAAIFHPNDATVAYSASQDHTVKTIDLTTQTEVSRLTTMNPIMCAAA LKNSLIAAGSSARHITLLDPRESSASTAAMTLRGHINMVVSLSPSPDNEYSLVSGSHD STCRVWDLRSVRQATTEEGRGVVSEPVYTVGREWLSGKKLPPAGDGAKVLSVVWDKSW GIVSAGEDKKVQINRGRGLLAS UV8b_02748 MTYLTEAGSRVAGSAARLTPCCRGVGHMPQQRAVASAADAIVPA SSPPASSVSTMARSIESKGGSRICRGSAGPGRRFTPSPGPEPSPAPLYPRLDMLRFFQ TASSQHMASSSSSHAALDSRETTCANPVFDDDDDDGLKHLGAGAWGHLNPQPSECVPS PPPGGQPTDAEEGTQPAAAPAPSADEANSGVAEPPSTSLSFNMCPGLFHAARSATKGS PKSFWSHTMYQTTSLSGAVEKVKVHYCTSQETMELVCRQHFLGQDVLGFDLEWLPFAT RNSGPRENVSLIQLASPDRIGLFHVAIFPANDDLVSPAFRQIMEDPNVSKVGVQIQGD CTRLRNSLGVTAKGVFELSHLYKQVKFTKANTPELINKIPVALSTQVEDVLRLPLYKG HAVRSSNWMKRLNYQQLLYSASDAYAGIQLYHVLETQRKELQPCPERPYHLELRLRIP VAKPPVDTVTAAAAAPEDQLSHDSGPSSASDAAAQNLPPIASPTPTLCTSTSLQSSRD VRVVAAEAKARDYMASKQNVSAKPSALRAYYIWHGNSELDPGAIARILRDPPLLTNTV VSYILDAIVAEKLPHDKGRLKREIVSLLHPTLKVGRKYGELVRSCEVSA UV8b_02749 MASQLLPLELIDKCVGSRIWVIMKGEKEFSGTLVGFDDYVNMVL EDVTEFDYSGDHTKLPKILLNGNNICMLIPGGEGPA UV8b_02750 MNAPDRFELFLLSEGEKKIEEKVYSGMSNTSDFVLNKEDHTLGN LLSEHLKLHPNVHMAGYKLGHPNVPQLLIRVQTDGTKTPRDVFTAVCEKLINQLESLH QEFSREWELRRITNTGEQGNMQGNGF UV8b_02751 MPRLPPWLSRQARCHSPNLAALIPACRDLQCSKNELRWLTDFVE RTVFEGCNKARRLRDLCQKRGRGVPLQYILGSQPFGHLEILCKPGVLIPRPETEAYTH YLADLITSGQGLGGDPCTQDLRIADFCTGTGCISLLLYSLLRQSFRHLHVEGVDVSLE AVDLARRNITHNQTLGNMGKSEPGNEVSFSRKDIFNDQDIAAMEDKGCDVLISNPPYI SPKVWDYGHGQLGYSVRKYEPRLALVPSQQIPTPSGWQHQDVFYARLLDIALRLRPKI ALFELGDEMQARRILSGLFQHEISAVSKAENLLA UV8b_02752 MSRYLRNSSQLARLARLSQRCSFQRSLRFPVVSASTSLRTLQAP YGICVRYYSSSKLPQSDEPDSDKDHESKSPKDRKVAEEESPEGENDEHANKAAPLPEG WIRLSKEEIAQLEAFQLLLPEGQKATLKNILHGLQHIGAPAEVRDMLQKMRKGPGNLS ILDKGRLMRCVFVMAERMAKWEAEEQRKGRGIFTKNPKPNRSQRDDETGKGDTDFEAR DKKSDEESGSQQQGGPGQPKKPERSGWVDAIQAGIVLGITLAVGDALLKPFYEKEITW QEVRTAFLDKGLVRRMVVVNGSQVRLELHPEATQGEAGKNTYVFTIGSVESFEKKLEE AQDQLGIPASERIPVSYQAGGSTIGNLVLAFGPTLLFIGLILWTQRSMGGRAGGGGMF TFGKSKAKKFNAESAVKVKFSDVAGLEEAKTEIMEFVSFLKQPEKFEKLGAKIPRGAI LAGPPGTGKTLLAKATAGESGVPFFSVSGSEFVEMFVGVGPSRVRDLFAEGRKNAPCI IFIDEIDAIGRARQDSGRGFGGNDEREATLNQILTEMDGFNTREQVVVLAGTNRADIL DKALMRPGRFDRHIFIDRPTMNGRKEIFQVYLKKIVTNEDKDYLVGRLATLTPGFSGA DISNVVNEAALIAARGNAEEVKMEHFERAIERVIGGLERKSLVLRPEEKKTVAYHEAG HAICGWFLRHADPLLKVSIIPRGQGALGYAQYLPQDAYLMNTNQLMDRMAMTMGGRVS EELHFPTVTTGASDDFKKVSHMARNMVTQWGMSDKVGPVFFENDPNRLQKPFAEATAQ QIDQEVHRIVDEAHKRCRDLLMEKKKEVGLIAEELLKKEVLVRDDMVRILGKRPFDDN EDFEKYFGGGKEASAPPPFPADGIPTETDTPGDEQPTPAPAFKEHADGSR UV8b_02753 MSGSTRTGPVLPTSVRGKSHRPASAWWKLHLFRGMASDVRRRLP YYASDWADAWDYRVVPATVYMYFANILPALAFSLDMFSKTGSNYGVNEVLLASVLGSL VFSVFAAQPLVIVGVTGPITVFNYTVYDILQDTGIDYIGFMAWIAIWSLILHVILAVT DSCNCLRWVTRFPCDIFGFYVAFIYLQKGIQVLDRLGHDTPFYLSVATALLVFMVAYV CGGLGTSPLFTHRVRVFLKDYGTPLTIIFFTGFVHIGRMRSVQLEKLPTGVAFEPTSE RQWLVRFWHLSPGEIFTALPFAILLTVLFWFDHNVSSLIAQGSEFPLRKPAGFHWDLF LLGITTGVAGILGLPFPNGLIPQAPFHTESLCVTRPVKQLDEKGQDQGAFSFEATHVV EQRVSNLAQGLLTLVTMTGPLLAALHLIPQGVLAGLFFVMGIQALQANGITSKLVFLA KDRALTLPTTPLKRIKRRSAVWMFVTIELLGFGATFAITQTVAAVGFPVFIFLLIPLR ALVLPRIFLPEELDVLDAPTASDFTMEGIGGSWGKCKTGEVGKETVSRMPSNKMGAAY RDGIFACPLNSPEAPAGESAQSGQSTATRTLSVERRSGHAM UV8b_02754 MELQDAEADVVHPEVRAHINSLVSALGGASTDDDGQYQLGDDAL EVLRDLKRWLRFYDEKTNRMDVARCIHQANLIEGDILPILKMWPENEANNSKFRSRIA LACFELMVPLTWPMDWDKERMTVNHHRHIPVLELAQVQYKRAIINFDSARILHAAVRV ALPALALPMGDRTTRDQGIIKLALFFLRNMASIAPPPNVKYEGDESQISRSATIDAFS YQDVFHLLLTLASNMGGDFRTEDTTVMEIIFHLVKRVDAEKLFMNEGQFHKAKAGELS AMMKKESAMLRSHNLKGPTRHNRFGTMIWVKRDGNKMSALSGQDALADATIRNQKMDD NKTFKPPRRARKENKDDRELGPPAKLNARANDQLRKFVQDFLDSGFNPLFQHTRKTID REAPHLLAYHRRQFFYLVAWFLEAERIRRKAKMPAPQPSDKDVNSFHLVAGVLNQEMF VTLTRALHESYDMKDWAELTSVMRCLTQILLTVQEMAASGNEDDQEIAENALSRLFYE ETTHDIVANIIRTYNGQGFDYLDAATELVHHFLRILELYSNRNADMQVRSRKRSKKRH APDAGSGGEEQDDADVDNSAAEEEAAERISKERKFDFHRFCSRFTPQGVVDSFTRFTK HYRDLTDSQLKRAHRYFYRLAFKQQMSVMLFRVDIIHLLYNMIQGPDHLDKSASMYRD WEELVKQILRKCCKKLQERPELIIELLFSKMQGTAFFLEYGYEKQTVSKSQPKPGAEL EFKHTEERDEQIAIAVGALLDKNAGAHIDWVRKTLSDAEYERSAWLAAENARHDAPEL FGDEPRVDGEPKTHPVFSVRPDNAERQTAIFKNSYLRLLMKLAGMRLMGPASEETPES AWIIPEDVSPAQLKDTIHFINQAEFSPPTFENGLLAENQLKRKTAPRIKAAFDDDQDD DADGFLDDDALFPAGGPTARRLADGRKPPNRSRKRRKGNDAEDADTDDAALLNDRAEK RQLKELEKARRIKSALYVRDGDDEFDSDEDEAFFAREREIAARAKRAAETSFAQPLVG SRNGKSSALLDESDADDADGMNADGRGGMGSSREEDADDDEADVEPVDSGGGGSRKRR RTSMEDDEGDDADVDMDARGPRTTKAGETEAVVARRPRVRGGFVLDSDEE UV8b_02755 MASSVLKLRALGAARQARLFCTTMQRRNAAEVKTLGVIGAGQMG LGIALVAAKEARVPVALIDSSDKALSKGLAFADKLLAKDVSKSRITQDQADQARSLLK ASTNMEDLCSVDFVIEAVPEIPSLKFDIFRNLAKICPKHAILATNTSSISITKIAAAT TSDPTDTSTSSRVISTHFMNPVPVQKGVEIISGLQTSKQTLETAVEFCTKLGKIASVS ADAPGFLANRILMPYINEAVICLETGVGDRDSIDAIMKNGTNVPMGPLQLADFIGLDT CLAIMKVLHQETGDSKYRPSVLLGKMVDAGWLGKKANKGFYDY UV8b_02756 MSDKQFPPAPVEPDWQGQYAHVPQIDSEAYPQRSSVDARNHGQN SKARLATDVGHHAVQSRGLKASNFNQGAVSSISHSPQRSPSITSNSSSVVKSANYTHC SNPSSEPFPFSKPSDSSNAYYYRPQGDQISVIEPSQSDREDKEQSLDQEAGYDVEDQD DGDGTEDRVHSRHQTVAERLAARRKMKRFRLTHQQTRFLMSEFAKQPHPDAAHRERLS REIPGLSPRQVQVWFQNRRAKIKRLTADDRDRMIRMRAVPDDFDNVQALHSPYGAVHG IAPVLSPSHMGPTVSPYPNRGSRPVVLDMRRAGGESYASPTGLTQSFGGIDIGQAPPG MGHSELALTTSPLYHDRYGSSASSPVASGLGYRAPSSAYWNSATSSIGSVSPPSRSAY RDSNPLQGRDWGCRSVSETVQTPTGMYQGQSPTTDAPDRQIGYSNAQFDHSNPSGFGG LEAQTYPDLPGRASDGNVTGMRGDSSMSRGRVPAVTTEGPLSMDFGFRDSYQSVGLPP SLPHQQHQHQHQHQHPHPHPHQHDDRSQHLPSLRQNMTPPSSNYSRGGPVSASLEMAT DRPLLVQGENMGEYPVPQLPAPMPASGGLSRPFPPPTSNRDPSSGSLGL UV8b_02757 MTLRVTCVGAGLASLTAAVALRKHVSSIVLLEAADSFEQMNIRA AGLALLPCGSRILKDTLGIDPVEDIKAIPMQSVLELGWDDGSVKRENGPLPPNCYTAH RGAVLDALVKKATSTDGEGPAAQLLLGKKVVEVDVESGNVTTEDGSVYESDVVVGGDG VRSVTRKAIVKETIVEPSGLSAYRWTMAPGDWAHLPERFKERIKELVSPANNHLNVVD SRERRVVTYGCHASGLINGAVLLADYELRQTREDWASAGSLEHLRSLVEDLHEPMRSL VRCVPTCGLWQLRKQAPLRTWYKGRAIILGDAAHPMLPHQAAAGCLAMEDAEALQYSL GQVGYEPERVTEALAKTFCLRFLRCSVVQHMANSSTFGNEFMDKAARWSLDKAHGRDR NEGQGQGQGQGQGQDQDQDQDQDRDRDREDMVPVAKSGLDDVVQKDIVAKVEETAAAF DVRAASAWVTGYTLEGFVKTGQQWILERPYV UV8b_02758 MKFKETRKCWGGHGMLDDAFLTRQVLELASKRIKGDDLLVIFEL SSCALTREIRLQLTKQASDKQGKDRSHDRKAPFSSLPMTRWGQNLGPRGINRWMVFRY HRLSIFKSRCHIFACRLLSILLYSPAKTPSLIKIFFSGSILSILRSTCRDSCRDGKDD GQNVQHSSHQVLHIMTVSHDNPGLLAVNASEMTRLLLH UV8b_02759 MNLFRSRPDTSWVPVGPASSFPDLGEDTGSLLESRLCDAKLQPG CKIFRVPKDDPSKSEQVFLSSDDPEAPGRGDDLLDQVLIFRYRGRFHAVDHRCPHSAY PLSNGMPFDIEDFGVRLSAGLTCPKHGWAFDLFTGMADTGRYKLAVWELQLRDGSGTK VIDPLADESDHVNRTLWVRRKQRMG UV8b_02760 MSYHPQTPQSPSHFSPATSSDPTAGLCSGGPSTLTATLPTPAHS VIGSSSHLDITMSDESPHKRKRDVDDNGDRHRKKMHFEDSKLGIEDLHLDVGPKYLLC QTQHPEPLPRTSEDLYAMFGLADLAAEVAREKPNGEKNALRKTYKGHIKRLGVAGHFD VQKKKEDAPSEFMAMIQVPELEWNVHQVKGREISDGLSETTLSNLGRAMTMCKGPIPR SVWDTSVLGDLAPSSGNPSKPLSAKPSVPNMPLAPTPNAMGRPKTQVAAGQDPIRPRR NIKKRSYGDSSFEGYGEGFPDDDGGMDTGYSTGEGESGQKRRKKSSGNTPQNPIMRQQ SYGPGMVGA UV8b_02761 MTGQQHGSLLLQARDSQKKPHSHSHAQSHLHSHSFLHRFRRKSS QLDVQSQQSRDGAPDQRGLDKPVEAERNPGSINGGDVNPAEKIVAANVIVNAASQSSD APVKHVVQTVSLVQYVDPWGSPYKTSTLYGPPNTVVVDPKSGKTLSSSSDTHSSVILA SSTAAGTSVAASSPSASIKRKSTSASASASSTPTNVPYPIVGEIRNSTNTTFHIHNST FIPPANSSSIYKSLLYQATSSLSTSSVGLETTSETRTSSIESTSSSEDPSRSTSALTS CSDEAYGTITVTGGLPTWPPTPAGEAGSSGHSVESLTRQQKQVIGGVVGAVAGVAVFG LLLMLFLRYKRRSGDRITLDGHSTTTRSIGDGTSGCTAGATMAERSAAASGAIAAALA SLTGKKPPPAAEPGAGEERGFYRVSGRKLPSILHSGGDGYSYSRESAASEYSDYHGAS QAFQPRLGGATQLALGSPMRPVSGIPVIRSGPARNPITKDPFAVDDAPATPSNKSSRT LASRESPRASGSRFQEGI UV8b_02762 MHPERRAVLGDRPATAAEYQPLTCEQDDQDSALDGAAGLLHHEH EIPFSWLEYSIFAFLGVAMLWAWNMFLAAAPYFSSRFASDAWIRANFQSGILTVSTVT NLGALLVLSNIQDSASYPFRINLALLLNTVMFSLLTASTAVFVDASPAAYFAFLLLMV AFSSWATGLIQNGAFAFAASYGRPEYMQALMAGQALAGVLPAVAQVAAVLLFPSPNAS AGEDSDGGLRQGQSSAFFYFLAAVAISVLAMMVFVPLVRRRNRRLENRMTERLAESTS SIEEAERAPRKATSLWLLFRKLRWLAVGIATTFAVTMFFPVFTAKIHSVREDAGAIFR PAAFIPLGFLFWNLGDLGGRVATMLPFSLGHRPFALFLLALARVAQLPLYLLCNINGR GALVSSDFFYLFVVQVSFGLTNGWLGSSFMMASGEWVEEGEREATGGFMGFCLVVGLT IGSLLSFTASGT UV8b_02763 MALRLVPPQPSDQQQDSASDPGDSPEPCFDDTSSVCSQSSASHP PCSAAGPVGGHDFFLQHHQHHHNHRNHRNHHHHHQHDSICITPQDMAILKVIGSSESC LVNCQSQDHHGTKRLPAGTKPVKLVGEGSANAVFEIKVPQRDRAGWDFKGLLLRVAKV PSLGAPPTYNYLLQQKFLQTAIKPILGDHVVHQELVVLHKSGIVRELNSLLRDINPSR KDKFKGTFVGETEWGFLVEDMRPQDSDTCVLVEFKPKWLSQSPSAPKDAVRCRQCAME LRNLVKDLSKNKASPETKPCPLALMSPDCPWQVSCPFRIAPHLADKGNHEFYLEALRR VINHPAIHELKAQQDAHDTLGPLHAMPSDPFFALAMTLRDCTCFAQIDKNSQSVRIRL SDFDWKDPQVKFERWRSVEEELVKSGFYTAERIFCDSSFYRPPTLCLLEHGPAASTKQ PEVIEIVDRRCAPSHGGCSNELQLQAPKGTKTYTYSTHVSPLKQLLEPYKLQAPSQIK YEA UV8b_02764 MADGRWQMAQIAQIALGSVIQVGESRWHNGFANGYNTCTLPTYL ASLICMTATPFSDWHADAWEGVHARCYR UV8b_02765 MAFNFNWSPLTADAEFYSRARGLLTKALNKPPKPPIIVDDIFVS ELNLGTVPPDLEILEIGDLAEDRFRGIFKMCYSGDAFLTLKTRVQANPLNTYLYSKPA FTSPRPLAAASGLTIPLSITLSDFKLSAFIILVFSKQKGLTLVFRNDPLESLKVSSTF DSIQFVRDYLQRTIEEQLRNLMMEELPAIIHRLSLELWCPDQANKSAQTPQDDADEKG VDPFASPPLDPVDANGNLLDYNAISELALNGGGEAQSLFSQKNLLRLATLTDSHRTLS LFTPGIKDVVFRAWSGHGDRSEAASPPFATPSLAKTYSLSADGSTTYTFSDSGSTTLG YFPSKPSLANLASATNGVANTAGHRSRPGRKKKTRIIDLRRAKAEKPCSDAPSEDVSD TTSVNIPPSEPAASMSIPEQPVAPEDVTGKDGPAGKVRFQHPRLDHTAPLRRTLMSDL CNNNNNNNNNNNNNNNNNNNNNKSEHVTSTREASQTAQPPARCDLEGKKTAPDKNGKA IREPFQGCGGSDTSSVILEQAWIMKMAGEIARRVYDEKRRQHSGLWEESEPTPPPAYE AATH UV8b_02766 MAPSNTLGKRVKLTQVRRPFIVGTTAVPFSESNPKPPGTPDNHT HSWQVFVKGLEDTDITYWVRRVQFKLHESIPNYVRMVEGEPGRPFVVKETGWGEFDIT IKLYYVNDSGEKPQTLYHYLRLHPYGRTEEEKQAMVTKNGEVRSWSYEEQLFNEPYEA FYQVLTSGAVPKGWKPSGGGASGKGKGKSRAPPPLPAPDSGEVWEHTAMIPNHNRPGQ PFSRETEAAEVRKLKDAQNKTEEMCKRILSELRDKEELLAKLKAENHAAAAAAKAV UV8b_02767 MSAAAKRLVVCGGSGFLGSRICKYAVDRGWEVTSISRSGEPQWD AVTSSPLPPSWSHKVSWERGDILRPATYAPLLTDADYVVHSMGILLEADYKGILSGKE SPLTGLQKMFATVRERGTNPLDGGSGEDIKPADPTDQFSYEVMNRDSAVALAKHASQG KASAFCYISACGGAPIMPPRYITTKRQAEIAITKNFPQMRGIFMRPPFMYDNSRKLTL AMAAMAGAGSVFTKLTGNYLKDFMGAAGTKPLQVDTVAEAVVEALHDEAIQGPIEVPQ TEQLANKGWRNSML UV8b_02768 MASPAHTPAASSAENDASSKSLERMARFKALQARAKSSSASNLK EATRESQRLGTDQAQLAALQRKQDIAAHKLLKAEVEDAGEDFERKRAWDWTVDESEKW DKRKKKKAAHRDNNAFRDPQQESNKVYKRQLRNIAPDTERYEKQKLAAIEKAAASGGL DIVETEDGELIAIDKDGSFYSTADSTSFAQSKPDKAAVDRLVADLRRAEEQRLKKRKE RMARNGDDGDVTYINEKNKQFNQKLARFYDKYTSDIRDSFERGTMV UV8b_02769 MMRRAAPRASQLNQLTKADLRSGRRQRRFKTFVKRVDKNNEIYY TRARNSLADHPHPDPDKQYEFFAMPDLLLDLDAEGTRLVRPFADADEQLFKANWGESP ERTAARSILKQMEEQVYESRQKVQAMRAQTTTIWRITPHDVISTALHGGSATQTTPAR DILSHNRRPGLDSTQVSQQRWQRLDLTEKLRAENGIPPHAVDDDQLLLRWMTLRRKAL RTCPKSKTAPPSPSHVIEAIKAQSSLMGIRRLVFQCLAAGTSISSFQRDTEADSNLPR HIRDACIRILSQDAAAAADSSLRIDALAFLGNLAERLLAMGAHLDPLLCGTALALSAQ SCSLEVTSVWLHRCHQASRRPESADASFMEDLLTALTSLCSRLSTRDDGGGPPSMHDA KSRQLLLQLLTGIDENKRLASDSFRSFAVACLDDGSRAAANAQRAVYAACIRLLGLLG AVRTLWREWRELPCLAGDAAPIPNQHDEAIALSFGAAMHQAVHVMGTADPDVLQDTSL GTRLEECVTMDYHAIEAHLGDAGGWRALDPCSALKCLPDGTTCRSTLNLPLEACVQKI KAWGTCE UV8b_02770 MESAKETLHKVVNPPTKEGKSSQTQDKPGLERDMESKPTKVHLQ CGEGGGRSTYTPCGKLKGKNAVITGGDSGIGRSVAILFAMEGAKVAVVYLPVEEDDAQ HTKKQVEKNGGEIVLVPSDLSHASNCRDVATTIKSNFGKVDILVNNAATRNEKGTISE ISDEQWASTFRVNIDSYFHLTKAILPLMSKGGSIINSASVDSYIGVPSRLDYATTKGA IIAFTRSLSNYLVKKGIRVNAVAAGPTWTPLVASGVDEKGQKGHGLGNWTPMDRIGQP VEVATSYVFLASSESQFMSGQTLHPNGGIVVNG UV8b_02771 MTGNQNPGNFANRPKEEVQEIASKGGQASHNSGFASMDPDKQRE IASEGGKASSGSFEPGSERAREAGRKGGSK UV8b_02772 MDVPGKRQAAIARAVSRALAASEESKQRETLVETVHHFLSILEL RLARFRPADFLALRHNHWHIDEGEYRRSFQTPTTTTTTTTTTAKPGEASPPRQGSLGL VAAGNLGYSGSTFLRTADGNYMVKSVDRRFESQFLLRELFAPYVAHAASHEGSLLIRI TDILYAPRASLGSLLGVQPRHYMVMENLLRGRPDVQWQTFDLKPTDYFFPERDLVHQR LVPSDVVDRLADEPPRGVDVSSRAALELTDLVAEDTAFLAHHNAVDYSLLFARSRARG RAVREPPSWRTGVLSSDGNWVYRAVLLDFLWARHKLRAKTMAGLVGVFNLLFDKGPMT ITTEPFEYRRRFMSMVTDLLSKDDYVADANSPVKTGAVAKGPKRSSAHNPPTLPPVKV UV8b_02773 MAPVQLPMYEEEFYPVDTTKGPVLVSSSLLPIPRPVRPPQCLGA ATASWPSTTSTSPATPDADSAQPTTDLPPAYLGQGPDAPAVAHGGSASWTHHGCCYSD TRCCEPTQPESLSPALSDGPLDGQAQEGAVARPEWPCDDRSVCGAARGSTTSWSRQMS TAEEAQPVPSYMKPNAIMARALLLKCDLPSIGPSRGAGPGRSVGRGCATRGHAGVSGA GMPESAFR UV8b_02774 MATPSRQARGAALLMLQLFRLCQAVPCLSEPRTTVSAAGTSIPS VVAHTNVTSHGPYTGPPATTTGALSTTVLAPSIPALPPAEDAFRYPADGKLHAPQPAP YTPNGGIGTNGSAPVYRVQSDFDYQSLALALYHEWIELDLFHWGLATFSDEEFEAYGI NAEDRFLIQHMADQEIGHATVLSNMLGPQAPVQCTYNYPVSNVREYIDFNQKLTRWSE AGVYGFLPHLNSGPAAQMLLQSITVEARQQLIFRQFGGQFPMPEWHTPGIPQSWAWTL LAPYISSCPYNQTRLVWQNFPALHILNQPNPARINGSQAWNETTGGWSNTLSTADVPS SELCVNATDKTLDCSAAISQNRSIPLSFPGRQVFLKWDEPGQLVGPNNSYVTSTNVVE PKFAAWVSQLNVTYSPLLNVSVEHKTAYTIQPDVSTWAGDPAINGTMFLALTDVDLYV TPYNVTLINPHVGALAVYQAG UV8b_02775 MQREPMVHKDAGKLPGAAAIAAPRMRQNGTGQLWSYISRAWKLR RSATAPDRPSPPGSEQDSQPQPQSQPQSQSQSQPQPRPPSPSQPPPPPEQAQPISWLY ETSRPPIVTVKVSSHEKLLQDEYDTHRKIESAFDRVGRGVGRAVVLPYVPRCVTFRPR VHLSQYSERELPRTGLPTYSAAYEMEHIRPFNKDHVNYLVKRHIAHFVQDKALADVVD SRLVVQVCLGDLRPLSDKWQLGLDKRPAYLDQLHQEGVDINGLAEIMGSALAVLHWHC GLDGAGVEFVLGCERKGYIRLWLTSFGACRPFEPTAAQVRTSLVDAIMQNNSCWPRWV NLRPFRHMWCKFRMAYINMALLLDDDCEGKLAEEFLPSIFINELEMARGPSQLVHGRS CGLAEQDDEKRFNDGMMLGDDVTTGTTRQPAT UV8b_02776 MATSALRILAGVNHFIIWASAIIVTALISWFINVFSTWSNRTHI IYQEVIAVVTLAFWTIGLVLPFIGAYKGHLWPLNLIFSYLWITSFIFSAIDWSNNRCR IVGPALGRCGRKRTIAAFNFIAFFFLLCNTLIEAYIWAAHRRDRDGVRNGVAKERPST SSAPAQPATTAV UV8b_02777 MVHMRFLQLSSLTLRALELASAVVVVGITGFFLAESDAGAWNNG RLIYTEVVGAVSLVSILLVLVSRLEPFFQIFLDILLSFLWWSVSGLLLTLREFPCDWV FEWMNVAPFDEQCGKFTAEVAFAVVSATLYLASGMLNALMERHLFRQQVSDVRSHYLK REMRQSQTDSQV UV8b_02778 MASKVDRIVARLQQKIAEGDYYEAQQQTRVAASRYIKTRNWDAA IDILCNVAQSLLRAGQGGSGGDLCVMLVDVYKQAELKPDAVSKGRLLTCLRLFDPQEP TRKKFVGEMLGWSAKAGEYPGGDPEFHHVAGSLYAQEHDTYEAERHLLLGTKDSPQLL FKMEYAWYREGDAHLAPHFAARAVLPYLLAGNVRAANTCYRLFTSALSNDNPSLGVQD VSSATADMRIFPSLPLLNFLGLLLLAVQRAAPEVYKSLISKYATQINETEAWAEPLEM IAEMYFGIAKPRQSNPLMDMMSGLFGGGGGGGGGGAQQPQPRRPGIRGSDVPVAEGLD UV8b_02779 MNQRNQPPPPTNGIGRPRAAAAAAAIRPNLFRSQLTRRPTGTGG SHSTTSPADAARLQVEVLSDSSEIVVRNQHGEIELGDPPTPGLDAADEQADDDRHDES EKERQRLADAVKHHSINHSSLPDQPEELLEEVRASLRAKVAALAEDNWMFEPEDQLQ UV8b_02780 MEQDRLWKFRRPEWLNNVWARNSGVYASGALFCVAFFVMLDSAV WSKSSMNGSNVHVKFVDWLPFLFSAFGMLIINSVEKQRLSADSFSYSGSGVAWKARVV LFLGFASLAGGMAGGVTVFVLKFAVPGVSMPALGMGIENLVSNALVGLSSVVLWVSQN MEDEYAYNLSI UV8b_02781 MKPSEYLPGPLALLSALALASAAIAQNSSPSSQQQSSASPTPAA SSTTPKDQSSNPPSSSVVASISNTGSVVSSAPSAPAGAPTITGGPQATSGLQLTGFPT LTGPGVIPSYPPASVPPTNNAPFMQQSNLPDGTVFIAVGSILGALGLAVLLWRSIVSL MLHRSVARAAMAQHSSDNKTSFPAPPAPFYKYTDRASPSPAGAAAAGGGGGGVGGVGG AGGAASSAAPAGRGVRRTQRGPTPSAAPSHSNLFFSPTAGAGASSNRGSSYLPSGFYA AGNSSPGGGGHNLANSISLSNLRPDSRGHYANVSRNTMDITPPESPQGGARRDLSSSS LNVNLIPGQRAPSAYLDDLLMDDPSAFPPPQMPPSSGSRRTGSPDNRF UV8b_02782 MSPLMATGYKRPLERGDIWDVNPDRAVEPLTLKLKESFQRRVKN GEKKPLLWALVETFRIEIWLGGSCALFTSIIQVVNPFTLRYLIRFATDAYVASVNNEP APPIKNGVGLAIGIAAMQVLQALGTSQFFFRGMMIGGQSRAVLMGLIYEKAMVISGRA RAGTTKASVSLETDDKEKEGASKDESTAQEKPVKVNKSGNKSKRGKPEDDGIGWANGR IVNLMSVDTYRIDQAAGMFHVIWTSPIVCLITLALLLVNLTYSALAGFALLVIGVPAL TKAIQSLFRRRKAINIITDQRVSLAQEILQSVRFVKFFGWEKAFIARLSELRAKEIHS IQVLLSIRNAINAVSMTLPIFASMLSFIVYSVTSHGLAPAEVFSSLALFNGLRLPLNF LPIVLGQVTDAWSSMKRIENFLLQEEQEEPAIYREEGEHAVEMIDAQFTWEKTAAQDA DKGALAGPGKHKKPAKGAVQSKPTKSQDASRDSGEDSASTLVDEPEPFKLQGLNFQVG RNELVAVIGTVGSGKSSLLAALAGDMRKTSGYVIFGASRAFCPQYAWIQNATFQNNIT FGKAMDRDWYREVIRACALQADLDMLPNGDQTEIGERGITVSGGQKQRLNIARAIYFD ADIVLMDDPLSAVDAHVGRRIFDNAILGLLKEKCRILATHQLWVLNRCDRIIWMDAGK IQAIDTFDKLMRDHEGFRTLMETTAVEKKQEELEVVAPAGLADERKKRKANKKGAALM QQEEMAQASVPWSVYGAYVRASGSILNAPLVVILQIVLQGANIATNLWLSWWVSDKFG FSTAQYIGTYVALGVVQALFTFMFSVYLSMLGTRSSKVMLRDAVQRVLRAPMSFFDTT PLGRITNRFSRDVDVMDNNLTDAIRMYSFTISMVMAVFVLIIVYFHWFVVTLVPLYTL FVLSASYYRASAREVKRFESVMRSNVFAKFGEGLSGVACIKAYGLQTRFIKELRESID EMDSAYFLTFSNQRWLSVRLDMVGVALTFTVAILVVTSRFSVNPSIGGLVLSYILSIG QLMQFSIRQLAEVENGMNAVERLQYYGTELEEEAPLHTVNVRESWPEKGEIIFDDIRM RYRENLPLVLQGLSMHIRGGERVGIVGRTGAGKSSVMSALFRLVEISGGAIHIDGINI STIGLYDLRSRLAIIPQDPTLFRGTVRSNLDPFDEHDDLKMWSALRQADLVPDDASFN DGTGDPSRINLDSVVEEDGLNFSLGQRQLMALARALVRGSQIIVCDEATSSVDLETDD KVQRTMASAFRGKTLLCIAHRLRTIIGYDRICVMDAGLIAELDTPLALWQRGGIFRSM CDRSGIRQEDIQGAKEGLVSVDAGSGER UV8b_02783 MAVDQVPPVAVPGQTLGPASKYVPGSGTHVYQGNVVSSLLGRVT VTAPARAPGPAKRLNKITAPVPEELATISVARHGRKREILPDVNNVVLARVVRLMPKQ AIVVIQQVGETVLQTEWQGVIRVQDVRATEKDKVKMHESFKPGDIVKAQVISLGDQAN YYLSTAANELGVIMATSEAGNDMVPVSWKEYKDPETGMSESRKVAKPT UV8b_02784 MPSRHRRHSGPPSPLYVHRAHPSEAYSGHHDEYVHPPGLPPAHP YGQVDGYRRESPPLEHPDRPLDEPDRGRQRYRDARDIAPYDYDYDYDYDYDRDRDRDH DHEPLPPRGRSYARFPSSPPQSRSRASESWRDARSRGPPSCPPRRAPSRRGGSSRTKS MSAGAQRDPDSPPWWQNPLVQTCAVTALSTGLSAALDSRGDPGQWKGAKGAKVAVATL GAALVDGFLGTRHPDGLRHKVMKRGVEVAMEKAEEKKHQSPEGCQERTSRHGHGHGHR RRHSTGRSHGRGR UV8b_02785 MAVLTTSPARIASPAFPPPASPDAACPRCGSSRPHDLTRAQARI AELEAQVAQLTNKAAQAVVSRWADCEAQLRAPRSQPPSTPAQAEPAPPSPTTTTTTTT SLLQRTSRLSSFLYARKPTPALRVDVHRQNSLPLPNLHALASPAASPTPSAEDLIEAL TREKTLRQEAEGRLTSTSKEVEELSASLFEQANEMVADERRARAKLEERVDELEKRDK EKRRRLERLEGALERIEKVRRMLDE UV8b_02786 MASDVIRDGVFAINKPCGQSSAQVIRECQQAFNPSNFFRPMLEA ERARRQKEGLDRRRAQKRAAQVKIGHGGTLDPLATGVLILGIGTGTKLLSQFLDCTKT YETAVVFGVSTDTYDRVGRVLARKGYDHITRALVEKELDSFRGKQTQIPPLYSALKMD GKPLYEYAREGKPIPREIQGRQVEALEVELLEWYEPGKHNHRWPTEEAEAAERNLAEQ VWRVKKQQETGKKLTPEEKEQDDQAIAAHETFKKRFEERQDELIRDAPLKKPWHAKES KAMMSGGLGAMPQPVYSNKGSNLVPPTPDANTPPPWSDEGPPACKIRLTVSSGYYVRS FCHDLGARLGSAAIMAELCRVRQSDFTVGGPNTMEYEDLAKGEEVWGPKVADMLARWN GEPESSWPGSKSSMSKSAGKPLFMTSNGQQRSAMPGAESASSPSREKRRRSPSSEATD PPRKAAKKPFAGGGKRTLDATGKSEDEKSWNGIED UV8b_02787 MSASRRRASTSSVETVDDSETRWRQESSVIRSVNKELPSDDWPI FELQNAIVLDKDGKNIENALLTGVRGPFIIRGHLVIDDPSQKSYLIMRVRSSAPVEIR KSALYSIGESPDGRPLIWVSGQGGWYELDPCPEYQPMYNKMCEATTLFYNILDIYNQD PPRKPKKCKNYTPMDELLHVFHKYASRIGDGSTIEEVIARAREHVDFFQDKFSHNDDP IVWSSTAFHKWITGDPVVMERQMNEALERIRRQPYVPTGVAGRLPRRVKSDIVGVTKP QRNAKPKITSLAHGTDKQTISASLRTPLSADGRHHIVPHYEWTEKDNLPNSPFHAAFA TFEDCFRALGQTKRGLTLSGALGYLYFNYSMPNYRTGEPGSYKQPAREWLHYYSRAFL HMYKGRKEAKRHSLFGELQSMAEEDFIPIAYKARDFPVIFTNRKSQPRKASAQVPSTP APRDGGSSATDVPKPMGKRPTRTPGKSSLRPISRATKKRRLHDELESDYAISDSPGNK RPRNHGEDDSFNNMDADGDVDAEDGPELGSPGEDEAGEKTGGKHPGSQCNIEPIKLVI RAERLPETSPRGPHDTWTCDQEGCDYIVRGGDEDECQARIRQHFRDHEQQLARVNLAV TEGSRGHLPIHHLLEKIKQMRRKSSLPSQTHHEVQPIKRKLIV UV8b_02788 MADYDRRQSGGPNYRKRRFRDDDDHYDRRQQRRRTDSAPVPVRL RRQLLGLADSPLRGWAEEVTSIARMVTDNHDDANLRDTFVNLTLQMVVEQPLKTPFVA AVLLVVNALKPELLDVVLARVAAQTEENVAKGLWREVKLLLRLLACLQGCLEGDGVFP LLEDLFTRAADLQTASSEDVIGTEIVKIILLTIPYAMAAAPGQFTRQAADLMERTDVI ASEPHALQAMVDPYQPEGTDESPAASLNLCMLLQKQLQTEASQGWELACIPRPLSTPL EDMELRDKLASALKHAIPAIAIPPTAVAGPRLLFPEIHFSVYSGQDVESVPPHTSVAA SLIRDALADTINSLDFNRNVTARFLMDIDSYFADGTFVKRATPFDELRNLGPGKSTWK PEDVAVDAVFSQLFQLPKPEHKVVYYHSVLTEACKLAPAAIAPSLGRAIRFLYRNTPQ MDLELSYRFLDWFSHHLSNFGFTWKWAEWVEDTDLPDLHPCKWFLLGALDKEIRLSFA QRIQKTLPEPYHKLISSEKEKDVPDYKYGRSDAPFSKEGQEIAALLKRKATDEELQPA MDSIAAQAAAQGLDPVVASTDVFMTAVCWVGSKSLSHVLACIDRTKGRLVDVGTTNPT ARSQIISSVMDYWSAHPGIALSIVEKLLNYSILTPLSIVDWTLTASTPHNGCRGGEVL GQSHMYELVCNTVAKVSGRVRHLLTSPDADQETRDSETASMRDLFRAVHEALAGWAAA GRQDEPMEDGGGDGSPEREALLRRWAQRWLRVFQRLAAIEETFVAEAKNHRWTEKVGD EAGITKPADMNADMNADMNADADAVEPDSNAQE UV8b_02789 MLPVATNKFPFRDVNLRVTNDAYTFTSPSSPDALALVIDRPTGD IRLGDANPHAAKRATRVSSIAGILGMIQLRLDRYVIIINKTLPVGRLKGHMVYKLVSA QVLPMRERQIHDPDEDTFIGLLSSFLQRSPMYFSYSIDLTNSFQRQSQADNSSPLWMR ADDRFFFNKHLQSDLIDFRNRGSRSQPGRQTAIDPYILPCIFGMLEIKPTTFKNTPVT LVLISRRSRYRVGTRFFTRGVDEQGHVANYNETEQVLIVNDRSSGLGGYAGSTDMQSG KLGGGGPGQEMQIMSYVQTRGSVPTYWAEINTLQYTPKLQVRSTESALAASQKHFDEQ IRIYGDNYLINLVNSKGRECKVKESYEQMFRALASSPKERREGDRLTDEKFTTLQPGS RNQQFDRLHYVYFDYHTETKGMRMDRAYALTDKLDEELSAQGYFRGVDMPGSLDGKMD ARSLQSSVMRTNCMDCLDRTNVVQSIFARYTLNRIFEDLGLMQRGSQFRDEDPAFEYI FRNMWADNADVVSGAYSGTGAMKTDVTRTGKRTNVGALQDGRIGITRYLLNNFRDGPR QDSYDLFLGAYDPGATSIGTGRIFVDKRPILIQSIPYLLAFSVFIVFLGLVTKRAPDA KVLPMRLFLLFWSAVAAWCLVFVRTHGMLYVNWPRLNPRPFAVDGYSEHYSKASKDPV IGGLVARHERGLSTARYLNAEEGKKRIE UV8b_02790 MRRSKRLQSSGGFLLQRALSGSSRSAGPGTPARNSAEPDGKALQ SPQASQPVRSNQHRLSQPTETCGAVSSGEQTTHSQDDRSSSHDDEIDTDSARIVQMAL SLSESRRIASRRQTSRAAPPRLGPMPDASSGSNLQKHFQQQRKSSHSNPNRHSPRLQQ GHSPRLASRALDSPLAHTSFEGVHDGHFSYQFSPSTLARAQKAKDHLELMAQYRRLLD VLPPLKSGSGRNLAASPPGSPIARKSFNFGGHGDSGQLGRQYNPLQYIRNRKVRARER KVIDGEKQGFRDLDSVKPWVDRAVERATSFAANPDDGNCVLPPFPDARDALEQPLPDS LSRTATRARRPRVDWFVEPCDIMADAYWLELGNHKELIEDRNWRKIFPPLAPISRTVS RDATVDPCDVIPPFSLQDDDPLNGQISGVSKVDTATSHNSAKDRAKQTLHNIRAFPHR HNASNLHSDFMWHKRDSASASASASDISGSESEQRKVLNKLPGPRENDSNGSVQRNSK PLLDAQGADMMVVAKDACQTDVSKASGAAVSDTEPFPPPGTLKTPERNPLSQRSSRHR GRKESLVDASDSDQRGAFDSSLLLGSPMRNVAGRQSLHVMDQSRKDAICSRDSSAPTS PELQPARVNTEPMTSTQHALAPSLSRSESPHKNPISKIKQIIRHKSSGDCGILEQDDR WRPAALEPDSSEKMPWSEGRQSTSSQPSLPVALMPLEPVKTHLRTGSGNRPWPEEQAG LRGIFRGPRIDTVIRGGVSKLGDMLRKKDDGGYLPADMETTDESGGEGAQGRSGSSVV SRRPSRRAADGQSQSQSQSQPKNFLDSMPQFNRIHEENDAPPAGNPADDSANLWHQSL QQPNLLRPLRMGPQSVSPSASAESRPAKLGESDASESDSRRGGGNISDRLRDADGRST SLLGSCRAEDDGRSTKSGRWPIANKGTPTEQTRLMRREIVRFRALVLSTGIKAMEISR RAQEAHKPFEPGCLATTDCSARSGCAGIAWTDIAKLCPDTAAQIADGSVACYELYSVA GRCLHTAIQTSGRRWQASADQFTSRTCLQLQNRIGDVRSRIADDLLEKSRQAGDTADE TSRDLALGQPLKVKAVVDTIEKMLRRRRRRLRWLRRALWLTVEWLLVGFMWYVWFMVM ILRVFLALGKGFVRAARWLLWL UV8b_02791 MSKSWKATLKLPKSSFPARPNPKFRQQYLQACSQELYQWQSSNR PQQDPFVLHDGPPYANGPLHVGHAINKILKDMILRTQVLGGRRVVYRPSWDCHGLPIE MKALGSNPAASGHGPVKVRQAARRLAGKAVIDQMKGFQSFGVMADWDNKWTTMDPDYE IRQLRVFQKMVQNGLIYRKRKPVYWSTSSRTALAEAELEYRHDHTSHSAYVRFPILAD GKPVSELRGFDGPIFAAVWTTTPWTLPANKAIAVHGDLTYSLLQIDNYGLLVASSRVD AMRGVLPEFRVVADSIRGSDLAGLHYRNKLRGASSSAQPVVHADFVSAESGTGLVHMA PGHGQDDYEVCARLGIEAFAPITDDGYFTEDAYPDQPQLLTQAPNILEGAGKAVLDLI GDDVLATHQLEHKYPYDWRTKQPVVVRATAQWFADVGRIKEISLKALSNVCFVPESGR SRLESFVKGRSEWCISRQRSWGVPIPALYDQDDGAVMDEQTIEHIISVMEQRTAQAWF SDAPEDPAWIPSALQGRGYRRGTDTMDVWFDSGTSWMETETPVDVYLEGSDQHRGWFQ SSLLTYVANQRANGVPDENIQPPFRTLITHGFTLDAQGKKMSKSMGNTVLPEEVIDGR LLPPVRSKGKGGNAGCKEAPRFDALGPDALRLWAASSDFTSDILIGPSILQPIHNALV KYRTILKMILGSLHESSRHAPLTRLDQIAIMQLRDTMAQVWEALNKYEFHKATALINR WVATDLSAFYLEGLKDRLYCGDGGGALEPILVGFLRMLAPIAPILVEEAWSHRPPWME DISLSPTRQLYQSPLPATERLTVEPAKLRKDLVYLTAVHEAVKAGLEQAREAKAVGSS LQCSVLIDTADEQVTSILEEYIDELDAIFVVSEVQLKSEPAESQSAWRYSRDIELLEG SVGGTVHVLPPRLQKCTRCWRYLAEQPDGLCGRCEDAVGDVATAG UV8b_02792 MAPVRRYLRISKYSVLECRIYLDNPSLAQSWLLNPVKPVLPRII ECVRPLVLPKLREERERSNKKSSKKRSVKDVIVREDFEVSVFLTETSTRHSLLTKQKH FREKGPGLMQSNSAKLIRETNHVPVDVDRVQTEALVIREENGEEDDVQLSEIPPASAQ KRRRGHGKNQDSDSDAHAPDTNREQDGHIDLDADGDERRPKRPRVPDVLENDDSLDEE DDKKKLTMDVSYQGFAIYGRVLCLVVRKTGNKTRPNQQNANAASGGQASMENWITSTQ IPMGQESE UV8b_02793 MLSPFPLRPLGRGPWLLRAPRSVLRTPCSWSVLVPQASQPPTHP PPSSDAKPRLPRDSTFSEPSGGGDDVMPACVLRVLRSTM UV8b_02794 MQQIGYYCGGRGGLQAKLVVPLHTQAFVSNRTPQDAWHWKPTRR QYPLEKLTCLLFETASVEQKDSMMPWGIVGWLDCYSVRLLLWTELVQGVKLRRITKGR LARGDVTFEVFLWVREATKSRRASLGLVRHRMRRHVPSNRESQQQTWLPAYQGMLLVP TGMPDVQASPKSVRQLC UV8b_02795 MLPQGFHVVDPSTQWRQTKPWAATSEMSQEVEVTESSARTKEKG TTTTTTTPGGNRENSSRGAGRVPADPMKIMNSWHQATCRFCVAYGFMARLSLAFVAFR SAARHGMMARLRPSSPAEHTAVAGLPG UV8b_02796 MGQSRPVDLKMKRRRGKGMVAANIARCFVALCNIQNRVEQKSLS FPASAGAGPPAPESDVKAVKRRCGSQIETCVSSEDEMMIDRRRQERMLWAERQGYVWL GGCL UV8b_02797 MRLAGRAGEGRAGQLSHHGASPPARLSKAVRTGELIGLPACTTT LPLPFPPQVSQVFLESHRDLTRPAKMTQTRRHQRA UV8b_02798 MLDLYVWGPAFGLPSIDAECLATLTYLRHALPSSAWRLVPCSDP SVSPSNLLPALCHENAWVSGYGPIVEHVASSSLGRDLDEHLDAVQKADTVACRAFLLA HAAPLVDLSLYVSAANWSAVTRPAYSSLLPFPLTWTLPPLIRAEAVKRAEHLGLADLD TDFDPNGGLHLSAGGDALPETFRRHLPATAKKSSVREEMTPEQAAAIRLFSLADDCLS VLNALLSQGQRDGRDGSKRPPRFFDGASPSSLDCLAYGFLALMLVPPVPRSFLRDWID AEAPRLAAFVDSMAPADLPCRPATATTVLGSTTRIADSILRNIPRLGEHYTNEMRLRK EAGLTGLDQRCLMIVTGVAIAGAAVGYGLQLYRRLQPFGLRTQIWTQSRGGSKLSEFG ELGLILNSAMVGYQAERVVAPSDNGSASGRLVELDSELD UV8b_02799 MESIMTMRGKAGLYASAALLRLMLVVAFPGLPDLLTGRVEISTP VTSFKRLQEGLFLYMHNVWPYDGGVYHQAPILLPLFSLLPDVKAWPIFTSALYILVDI LGADALLRIADSGEASQSKLFTSPRRAKRATGLAVAAAFLFNPFTIATCIGRSTSVFT TCAILHAILRAVSGSAFNAMVALSFASYLSMYPILLLPPLALLSFDRQPEERPTKSAV VYGAKCVAITMVCLGVLLGTSFLLTGNSWEFLSRTYGIQLTLSDLTPNVGLWWYFFIE MFDSFRAFFLAVFWLHLAAYVGGLSIRMRSQPLAVLTVLLGIFSIFKPYPSISDASLF LAMLPLFWHLFPLMRYTYVASATLLYSTFLGPAFYHLWIYAGSGNANFFYAITLVWSL GQSLLVTDFTFAVLRDEWEVERPEMVGKEVKQI UV8b_02800 MPRIKKKGQAGAAKNYVTRNQALRKLQISLPDFRQLCIWKGIYP REPRSRKKVSKSSTASTTFYYAKDIQYLLHEPLVQKFRDQKALEKKISKALGRGDVGD AKRLEGNASRPDKTGKPRYTLDHVIRERYPTFADALRDLDDCLSMLFLFAALPSTSSV PAKMIARCEKLCLEFQHYLIISRSLTKSFLSIKGIYYQANIQGQDVLWLVPYKFNQRI VGDVDFRIMGTFVEFYMTLLGFVNFRLYTSVGLKYPPKFDAAKDENAAELGAFTLEGK TLVGVEEQKQLEDASQQVDPQTQAAVNKVLKKIRNGDSATEESVAEDTGADAEHQVDD SGAIDKFEPVAPGGDVLLQPSAANNDHATLFSNCTFYLSRETPRQPLEFILKAFGCKR VGWDPVLGDGAFTTDELNPTITHQIVDRPPIQAKAGDGDDDEQEDNQTSQKLAANRRV PGRTYIQPQWVWDSINDVELKEPHLYAPGAALPPHLSPFVRSTPGSYDPTVPLEEQEP EDEAMENDDADETVEGMDVAEDDDDESEEEEDDGDDDEDDDDIDVDEEVDEEPKTDNV SEDADEDEHILRQQEIEAELSGAPVKNKSINAKARAKEQARKSLNKKAKKEAEDLERA KGMLSKKKRKLYEQMMYTNNKKSAEDQKLRAKRRKLEKEKKAKA UV8b_02801 MPLTTPLPEEWDFDTDEIASIASEDLHAHRPNRWTGAKSTWREL TLEERRLWRSLESLSEDDLAANLYRVFLLKDQAKNPKAPSLVTVRPDTKQGVARAPPD SWTAWPLPRRHVARGRPSKQRRGGEDALEARGAQARMPGDELRDQLSATILRLAKQRF RKRMVKGGLRNAGAGTSCNPSSEPAAKLELESFLPSSAPEESALPDDDADVSAKDRER ASKSAAPGDNPVSGQDDEEETNPKEGDTGQYYEPRVSTDDDISYRLLRPAVNHVLAQL NRTLTILHNARVACLGNIPWRGAKPRQRRKAAPEPGVSRTKGKRERPRKAHPTPQQLG EAHDEMPAQLAGRSRKRRAPTAEAENAEFEGDARKKRELEQSPVPRSRPCRASSAMPS PRPSRRPRRPRRPRRSRAKSTYAKWGRRDWSDVVGAAALAGFSEDVVARTAKRCANLF GQGMITRQFPERPSNKPGFVDTEYLPERICLPSSEDEAAEALEGAITVYQRRLMALCR SATASPSRSSQRSRSASSTRLFFCPVKTCRNGANGFTRKSNLHRHIRNFHPGFSGKIS DDDSDHDFVGAVHVDGFLRPLVLGRGPAAAGPSGRKRKRVDASRARGSDDQSDG UV8b_02802 MPRVQPSTLELGPGATRPWPCCGCVRCGDPSVQCCNQRGTSGVC FRCASLHRPCVFRWSEPPGTEAACRAIKLTGSPTRPTTDATSSGSFVSIPLAPVPLNR EFLDLFERGIVALEGIQARLDNASPASLPLSTAVETINLRNAPDPTPSPTSQGRAEIA KLLSNARTARDREIRLEKAAADAGVQSQVTQTPPGRRTRSGTGPAATRLSKKKNAMTP SQRLGPRGGRSAATTPVKPQAGQKRPSRGRLAPEPPPKLSKAAATLLARAKQSARGKG NNGDSDDAEDTGDREWSGGEYDE UV8b_02803 MASRRSTRYDRELSRSTMSSSIRSGAGSRTAMVRHPATRSSSLR QPSSLVAARAVPDRAGSASPADSVSTATTGMKRKDRDYESEAGGIAGVGSGSGGGGEE TNINVVVRCRGRNQREIKENSAVVVQADGVKGNTVSLSLGPNSLSNKSYVFDRVYSQA ADQSMVFDDTVRPILDQMLAGYNCTIFAYGQTGTGKTYTMSGDMTEALGILSDEAGII PRVLHQLFNKLEIDDREHCVKCSFIELYNEELRDLLSFDEGAKLKIYDDASKKGHAAT VVQGMEEKHIRNASEGIKVLQDGSLRRQVAATKCNDLSSRSHTVFTITAYVKKTNDQG LDDFISAGKLNLVDLAGSENIQRSGAENKRATEAGLINKSLLTLGRVINALVDRSGHV PYRESKLTRLLQDSLGGRTKTCIIATISPAKANLEETISTLDYAFRAKNIRNKPQVNP MLNKKMLLNDFANEIEKLKSELISTRQRNGVYLSNDAYEELTAQSESRRIVLEEQVAK IETLETNLRNKGQELFNLTTSFMGLKKDHDGTKAQLDDTKGVLDQIEIVLSATRRTLA EETQLRKAHEQTEEKLTEIGGELISKLHKTAHDVSGLRAKNKRKSDLQSLNRAAWGLS QAQVADVTSMVERRVQEFREEQQGHISSMTQRMNLFVEEELQKLSSTQDFLEGHVNAF AESKRELLAQKRQSKDDMDELLDEIRTIRDTVKEKVGERLHVMSRATEKISGDMLQEM TNFHTELHSSYSSLGKDFKLIFEDLVKHITEQRSEADGLRRQLQSAVNAIALQNSSLS ARVQEALEEERRQAAEDRQRLLTQVTCLISSQAEAQEARLGDGAALIQSSIGDSSACM ESAMSQYTLGMEVWDQKESQLMDDVKKSREQLKNKLKDDWTAASDQSTAIQNVAKSIH AETARVADDQTDELDSQMEALDDFVRRAKSENASHHDAHGQSLQALCKSAEQTFGNLS AHFKTSSERVKNLGEGMELDTNDLRDGLKPLESQLCQPLANLREDITATSLQEYQPTG DTPQKTTYQYPLKLPRTQPAEGLVPKPGDDTTSLGVEDDGSVDAYTVLLPEFRPKSSP IASLSLREVNPNVATSLTMTTGGASSFDPRASATSLPIEYTAPGFDKPTCRMKGAAKQ SVLLEGRENMPPTTFEQSLSRRKSPRLK UV8b_02804 MAPGPSTPSRRTSSEATVDVKRDACSHDRKDASQQRPSANINTN VTTGANTDHVDPPSSNPADPPPPAPAQHAEPEADRTRLESFLVVFALCVALFLAALDM TIVTTAIPTISSHFNSSQGYIWIGSAYLLGNATFVPAWGKVSDIFGRKPVLIGAVAIF WLGSLLCAISNSMGMLIAARAIQGVGGGGTIVLPNICVSDLFSMRKRGMYFGILGGVW AVASAVGPVLGGVFTTRVSWRWCFYVNLPLSGIVLVILVLVLKLHNPRTPVKQGLVAI DWAGSLLVIGGTLMFLFGLEFGGVQHPWDSAIVICLVVFGVVTVGLFVVYESMVARFP IMPMSLFRHKTSIAAFLLSFTHAFTFMGGSYWLPLYFQAVLGATSLMSGVYLLPFVLS LSLTSAVVGVAIKRTGNYKIPIILGLLVMTLGFGLFIDLGDDRNWAKIVVFQIIAGVG VGPNFQSPLIALQTNVEPRDIGSATASFGFLRQLGTSISVVVGGVIFNNQMQAQAGYL QGQLGPELAAMFSGSRAAASVHLVGDLHGNDAAVVKHAYWSALQKMYILYTCTAFVGL LISFNISQKRLSKEHTEHKTGLQSLKQRKEHEVEPAGEKTST UV8b_02805 MLRSALNPRISEKIIGLGVSRKDYDTAVARYREIAIDLETSLTA KRYADPYRNHNDNARDPQATARDKDGDTPMTGVNKLGSKGKKKSSAKRAVWVSREVLK ERY UV8b_02806 MSESASPSPSPSPSPPPVRERQRDRPREQDRDRDRDRDRDRDRA RNRDASVQSKRLSITERLRGTFIQARKETSAAVQRRASASSSAAKQSPLPRIREWLDT CTAEHGLHCTSGDGPDAATWRPLRLIDCVDRLLVRAKPTDGYTALSYVWGSSNPRQGG PQDHAQLLTTNIDAYQLSLPDKHVPQTILDAMWLSKKLGIRHMWVDRLCILQDDEQDK AEHVDNVAFVFANASLTIVAAYGDAHTGILPLDPRRPARTPKQASTDHADQLLSSTWM TRGWTLQELTYSRRGVFFFEDTLTWQCHCDVWQCSTTSVVKMLRGKKPACTRRLAEAA LAFQHAPWPDLDEYARLVMDYSARRVTTVDDTLRAFAGITNVLSRVFPGGFAYGLPLM FIDAALLWRPQATVRRRALSRPPFLPSWSWMGWWFDGIPVDLTLWRAAADYVEEAQPA SRGQESKRFQPTHPFRIKATCTWSLSDRANSMPIKNNGLEWREFRSRRASSLAMPPGW SKSGAHFRHDSDDDTWFKYPVPVRGAPVNGAYESRATELTMPGPLLFFKTTAGYFDVD YAISMVPKDKANPPIAVGNIWGRSNRWIGEFRAHDGWLGIQSSNYDGGEKLEFIAISS AMERKGSYVYPMDRFAENMDEDGVVHFVNVLWIERISGVAYRRGIGHILQKAWNAHAR DEVDVYLG UV8b_02807 MVQASCALMALAIAGFAIAQCPLADPGRLASRAEGQADSSRAHL EPYEVDDSHGYLTSDVGGPIEDQNSLKAGERGPTLLEDFIFRQKITHFDHERVPERAV HARGAGAHGTFTSYGDYSNVTAASFLGAKGKKTPVFVRFSTVAGSRGSADTARDVHGF ATRLYTDEGNFDIVGNNIPVFFIQDAIQFPDLIHSVKPRSDNEIPQAATAHDSAWDFF SQETSTLHTLFWAMAGYGIPRSFRHMDGFGVHTYRMVNHNGESKLVKWHWKTKQGKAS LVWDEAQHIAGKNADFHRQDLYDAIESGNYPEWELNVQIINEDQALAFGFDVLDPTKI IPQEYAPLFPLGVMRLDANPTNFFAETEQVMFQPGHIVRGIDFTEDPLLQGRIFSYLD TQLNRHGGPNFEQLPINRPVVPIHNNNRDGAGQNFIHKNTAPYSPNSLNKGFPKQANQ TTGKGFFTAPGRPVTGALGRRRSKTFADHWSQPRLFYNSIKPIEQQFLINAIQFETSH LSKAVQQNVLTQLNKISHDIAVRVGRALGLEAPAADTAYYHDNKTDGLSIFGEELPTI ATLVVGVLTSTHSSSSLAQAESLKNEFKSSNVTVVTVGETLAEGIDQTYSAADAVAFD GIVVTDGAEKLINGKKSTLYPPGRPAQIVRDGYNWGKPLGFLGNAANVIQAAGAHKGS GIYAQKNPGDLVRDFKKGLATFKFTDRFALDGQE UV8b_02808 MGKNDKKAAEKPIAKAKGGTDKDSGSSKVKGAQAINVRHILCGK HAKKEEALAKIKDGLKFDEVARNYSEDKARQGGALGWKSKGSLDPRFEEVAFTLEPST TSSPKLGEAKTEFGYHIIMVG UV8b_02809 MSDVEENNAPEVSEEVEVSADAPKGQMSVLDALKGVLKLALMHD GLARGLRETSKALDRRQAHMCVLNESCEEDSYKKLVAALCKEHKIPLIKVQDGKQLGE WAGLCVLDREGNARKVVNCSCVVVKDWGEESQERSILLNYFQTSQ UV8b_02810 MVRTSVLHDALNSINNAEKAGKRQVLIRPSSKVIVKFLQVMQRH GYIGEFEEVDDHRSGKIVVQLNGRLNKTGVISPRYNVRLADLEKWVVKLLPARQFGYV ILTTSAGIMDHEEARRKHVAGKIIGFFY UV8b_02811 MPRRPLSVIATFFFFAICLYSALRTSRHEIQLVLKQNARPSSGQ RTPKHAAPPRHEQQPTTAIAGTTAEAETAYDDGKETSAWEDAPAVAGGPKPVAVPDPE QHPVRYLIAGAKRQLAEMTQRQSGSLKDAVHEYRRRYGIPPPPHFDKWFQFAKDNKVV LVDEFDTVHALMTPFWGLKPSTIRLRAREALGYDNSLIGIAIRDRDVSHMEGGTEWQR NATYGMLRKFLPHLPDMDLAFNIHDEPRVMVPHDDLTRLVHKAKHVNMPASNATKKPI NDFSSASPELSRKMVFEQVKRTRFNSFGRQATWTHSRMSCPPSSPARILEEDERWDDL SQYGMGELGFVYNVTAMSDICLTPSLGSAHGFFDRPNVYKVVHDLFPVFSQSKVSSYN DIVYPSPWYWYDKVKYRKEEDVSWSEKESKLYWRGSTTGGFSRHGGWRRQHRQQLVKK INAGGQAKIMTMTMTMTNQGRDAKPGWGVVTVPRAQLDTLVDVSFSHVGQCDPGDCKA QQAFFNVTDRAGQADAWKHKYLLDMDGNAFSGRFLAFLQSMSQTFKFALFREWHNEWV KPWAHYVPLSLQGDDWLEALHFFSRSDLGAADAETMAAESRDWAGRALRKEDMEAWFF RLLLEYARVIDDRRADIGFDPASAGSRLAV UV8b_02812 MSSGARSGERMVHQDFIARIRFSNALPPPPNPPKLLDIPNTGLA SGQYTTPGFASRLAREQPLNIEADAELGMPLDLVGMPGVFDGDERSIQAPAQAPAVHP HDRPLLRPIAALGKPKVAEANVSFLRRTEYISSMTPKRFEGGNPRALLAKARKPERVA PDAAADSPQVIKRKIERGFDAAQQDLRDPKRVRHPAKKHLKAVEAMPLLPDLDAFPDS GAYVTVKFLTNPVASANEFDTRLLSGLFRPIERTEAEEAAFEAALEAHERDPLNNPKP QNLMNYDFYLGQTGAVADNFRRKLDVDDPDHGSEDLYTHHQGDSGGYFQFDRVRAYET AQETELDHPTKYDDELILAYHDEDMYPKQRAMYYYPVMQKSTIRPQRTKNIARTIGIP EQEEQIVDQLDITVDDPTEEMLDAMKRYKKEPLGWEQEAEEEELLQEQDADGDQAEPA SPSEERDAEGEEEEEEEEEEEEEEEEAEADHVGEEEEAVDDDDDDGDDDDDDDDGGNG DDGDDDE UV8b_02813 MQTWTLSAVMDSTIPWQTTWNLEAFRHQLMISSARSTLGSTAGA ANLLAVRQPQPQPQPQPQPQPQPQPQPQPADTPRPDQD UV8b_02814 MDAPEPDQTPFAAVTAHTTKLQRKYQALLDQSTPFVLYRWVGTG AFLLLFFVRVFLIQGWYIVAYALGIYLLNLFLAFLQPKFDPSSDALDQEMEDGSVGSL PTKQDEEFKPFIRRLPEFKFWYWATRAVTISFACTFFEFFNIPVFWPVLVMYWIILFV LTMRKQIQHMIKYRYVPFTMGKKTYAKDSS UV8b_02815 MRIDQEVGHAPRPTLDEKDASDTGSRARRHAGPKHGGILARLRD VEARMDARLGVESDAIERKRADEKAPVSWTEELTMALLWASGTMNTSCFATGFLGWGF GLSLQQAIVITVFASLLGGGVTGYCATFGPATGLRQMSVSRYSFGWWPSKAIALLNCA QQMGWAAVSCITGGLALTAVSDGHVSLILGIVILAVGALLISLVGLNAILAYERYAWI IFLVIFLIIFGETGRYADSTAPASVTGASLGGAVLSLIAVVYGSSAAWCTTASDYYVH YRADVGKVKVFLMTTFGIAIPTSVSMVAGCVAASALSNQPAWRATYNNDGLGYLIQDM LHPRGFAKFLLTLLVLSGVNTNVISMYSASLSFQQLARPLALVPRFVWTLATFASILA LAVGGREQLNTYLQNFLSLLGYWCTSYGVILFEEHYVFRGGSFENYDLDGWNDPARLP LGLGAAVAFVLGAASWCMGMNQTWLAAPLARVVGDKGGDVANELAFVVTALGYVPARY LERKYIGR UV8b_02816 MIDKEHYNIRAGSSHAKSLGITHRTTPLASPWTGDMRVRFSDFQ VNEIAKDGSVTHLRTVGLQGESNKRQVTQSPGSRGPKPPDEEQQARAEPSVVQVAPED VALLESLAGQRFAQDLVELFNSGNGPTGDPGRTATSHAFEDKAKRAQLHGQVRRIFNS RLETTTRPDGAIVANFNAPRKGPRKSRGRRGQADDEPVGQYLHFTLYKDNRDTMDAVS QIARLLRVKPQAIGYAGTKDRRASTVQRCSVRYQRKTAMAGLNGKLWGISTGDYQYQD DAIHLGQLLGNEFVITLKNCQMSDQDPSSSPAERLSRLKTNVESALAHMAAHGWINYF GHQRFGTHDLGTHQVGKLILGDDFEGAVHALLQYDPEMASKAEAGEVPEEPSKRDECI RHQACMLFRTGQDLGKAAKIIPRRYAGESCLLRHLTRMGKHSSRDFAGAITHITRGLR SMYLHAYQSYVWNHAASHRFSLHGAAVVKGDLVICDVGSQPLASQREQDQDGDDIINP AEDDEDAPLRARPLTEEEAVSGQYTIHDVVLPSPGYDVIYPDNEVGDFYKEFMGRPEN GGLDPLQMRRLRREFSLPGRYRKLMQKFLSSPSVQVSRYADDTEQMHPTDLDLIHGQG QGPDGASKRKNDVDGVDNAEEAVAKKQKVDDGAGVDASVRLAGSENDEVIEAGIPPAA AEEAEPTKIAVLVKFQLASSAYATVALRELMGDQIDEET UV8b_02817 MAHVRIKHVLFYAALPASCQAVRVLVSSCLFPLFSGCRFIHSPA RTQHVAQPCFEVWILDPAFNCPGFQVCPLTPSSPILPRRWLIAQRQPPPIEASITICR VGGFSNIPPSNFKSLPCPVRSTSRHPAVSAQSSFAATSTLLAFVVLHLVAFTHDSSTS SEVQSHSLVSPPTCSWLARGSVMADAPNPPPQSSEPSAQRSTPYAAPPVSVSTPNVVP QLSSPAPPVLRPALPGGRPGGRTPRLGLAIPPSPNAKALGSQAAQTVSSRPPLPTLHL ATPMGSQITPYEQPPRSQGAQPGHSADGGSESSAAHSRSDSFGPLDGKTSNPTSAGSQ FSALSFASQFGIPVTRAQGTPDPISAVGSLISERSEGGVPMERDSSLQGLEAFDLLSL EKARNADVEELDDEGWRIASVEKRVVELGNLGEGAGGAVTKCILKGGKTVFALKVITT NPDPDVKKQIVRELGFNKECASEHICRYYGAFVDPSTATISIAMEFCEGGSLDSIYKE VHRLGGRTGEKVLGKIAAGVLGGLTYLHTRRIIHRDIKPSNILLCRNGAVKLCDFGVS GDFGTKGEANTFIGTSYYMAPERITGKSYTINSDVWSTGVTLLEVAQHRFPFPADSSE MQPQTGLIDLLTYIVRQPVPVLKDEPDKDVYWSDNFKYFIASCLEKLPHRRGSPWKML EHPWMLEMQAKRVNMVKYLSFVWGWDEEQAAVS UV8b_02818 MRRPVQTDDSSLASNIDNASVGESSAESDYLDSPESRKRRRTSP SHDAVHTDASPSKHGSSDDGDHEEHSRSVLSTLKLPSRARPRAGRGAVEQISSPQPRN PILAPTDPHTSFSSLSVRPWLVQSLSNMAIKRPTGIQKSCIPEILSGRDCIGGSRTGS GKTVAFAVPILQKWAEDPSAIFAVVLTPTRELALQIYEQFKAVSSPQSLRAVLVTGGS DMRAQAIALAQRPHVVIATPGRLADHIRTSGEDTICGLRRVRFVVLDEADRLLHAGGP GSMLPDVEECLSALPAATERQTLLFTATMTPEVRALKSMPQKPGKQPVFVCEVGTQVL AVPPTLHQTHVQIPVTHKEHYLHVFLLTESNKDKTVIIFCNRTSTADFLHHLLRLLDH RVTSLHSKLPQRQRIDNLGRFRASAARILVATDVAARGLDIPEVSLVINYDVPRDPDD YIHRVGRTARAGRRGESATFVGQRDVELVLAIEDRVGRQMTAWGEEGVNLETRVIRDA LKLVSEKKREALLEIEENKEVGGKRKRTKTKLRAE UV8b_02819 MSIAQTYYLAHTARAKLSREAAQPDHDLRLLVGHANLLDSLMLE LADAEREQERRFNQSVRGVGKLAERRVQWADKVVAEQPEEEYDDSDSSDSDSDDDADF DDDVDGVEMTTAPAMTSAPRPVARQTLLAGEDDEEDMGDNLEEDYAQLELVRTHSHAS PPPELVHHDDSESSDDEATPPSPADVSLEYDDKDARQQGEDAEAFEDGFYISRQTPAG LISAISVY UV8b_02820 MRLLSRLAPRRDWSPPRAVPCPGCCHVQQQLRHSSGSSGSSAKP KPKPEPPASGIAALTSRQLLLVSGSDAPKFLQGIVTANMMAPDGLPRTDAFYAGFLNA TGRVIHDVFIYPFRSGSGLAAQEEHGYLVEADAAHIARLAKQIRRYKLRARVTVRSLA PDEASVWHAWHDASSAPRPPLAPASGKTAIVLKDPRAPGLGHRIIRLGGADAPEIDVE TTSEDAYTVRRYLHGVPEGPGEILPELALPLESNMDLMNGIDFHKGCYVGQELTVRTR HRGVVRKRMLPCVIYETSKAPPQALVYDAECGPPDELTSDMIPAETSIGRFGKKGRSV GKWLKGVGNIGLGLCRLEMMTDVVLPGEQAAATYKPGDEFVLEWGEGEDDKSSVKVRA FVPEWLRQGLTP UV8b_02821 MSDDKRDLLRFAGEYAEKHLDLYHLLGVDALTPKEDVHRAWRKR SLKYHPDKAGDDFDAEQWELFERARDILSDPNARAVYDQASKAKLLRKQEREAMDREH KKFADDLEAREEAHRKMREHKDESDRVMLEKERERLAAQQRMRDEEVERQAAAAQEME DLAEARRRLKEKKDEKARRKLAKESIKATLGSTGKGTGPANGAIDIPGDYVADPGMNK PYWELVCDKLRAVQAVRNLQKQEYSKEMLQEAEGVVHQARQRIREAELQYQRAKPIA UV8b_02822 MAPLGSTIVDIHTHMYPPQYIRILESRSTIPLVRKFPQAPEPRL ILLESELADLDRAMTDATAKPPGRPLTPHFASLDQKIHFMDTHRINISVISLANPWLD FVDSSQSGKIADSVNKEFSDMCGKHPGRLFFFGTLPLTAPLDTLRASISHLRGLKYCR GVILGTSGLGHGLDDPNLLSILEAIAAARLAVFLHPHYGLPNHVWGPRASEYGHVLPL ALGFPMETTIAVTRMYLAGVFDKVRDLRMLLAHSGGTLPFLAGRIESCVLHDGQLVGQ GRVGSNRRPVWDVLKEQVYLDAVIYSEVGLKAAIDASGFDRLMFGTDHPFFPPITTSH EQGQWESVSLNAEAVARAVGEGSVEADAIMGSNAVRILRLQE UV8b_02823 MATVAAVAKEGLFIPLVDFSKFLSGTPEERRATAKTILHGFQTA GFIYLENHPIPADAVKHAFAHSARFFALDAAAKLKLSWTTPEANRGYSAPGREKVSQS LDTAEVDKIRSAAPDLKESLEIGRDTNPKYPNPWPAEEGNLKGFRAGLLDFFARCQEL HLQLMRAIALGMNLDEAFFAKFVDAADNTLRLLHYPGVGAHVFKANPGQVRAGEHSDY GSVTLLFQDDRGGLQVKSPTGQFVDATPIKGTIVVNAGDLLARWSNDTIKSTIHRVVE PPGQGQDHPPRYSIAYFCHPNADSFIETLPGTYASTKDKKYDGVNSKEYLVRRLTATY UV8b_02824 MAMAAHSPPAERDDDIFTRSNCHPETRGECLDFARLAFPEEHVA EADTQGHCSYTVAVSTTHLLQFRPERFKLDMGIYDDARRMFGHVVAETTYLGPLGGIP LRGEGYDRPLLHMYLVERLPGVPLVSLLGEQDGGGGGGADAASSSASAASASAAASAT EFRAALVQDLARIFAIAYHHRRPRRDLAGARGRIGSSLQRRADLVAKIKDREVCREAQ SVRHYLDHIEHLPWCLTHGDLIPSNMLVDPATGRITGLLDWAEGEWLPLGVGMYAVDE ILGADDAARGFVFSPHHQQLRMLFWKTFLGLCEASAPASAPAPAPAPAPLDVGSRCVL REVEMARRMGLLLWRGIAFDDGRLDRPVERGRDDAELKKLKVLLGAPGATDCLVWVEG FECEEEQDNAWYWGRWMGGCFGVLVDFSEAKVLAESEHPSLRWAGGTLYLGVEGW UV8b_02825 MADKRGSARPASPLKSAYLILYNFASAVAWSVVLGRTIGLLRLR GPATVYAGVGEWTKWTQTMAIMEIAHSLLGIVRAPLSTTLLQVSSRLLLVWKIVDSWP FLALSPFYSSMLIAWSVTEVIRYSYFALSLAGVLPAFLTWARYSTFYVLYPLGITSEC MLVYGATIPAAQTSKIEPYILYGILATYVPGSFILFTHMMKQRSKVMRSLKANDAKSQ UV8b_02826 MRKTLVLCFIHGFKGGESTFGDDYQFTKHLSDVVALKLPKVDVR VLVYPKYETRGDLGQCVSRFRDWLEEKVIDMEVASGTPSPTVDPSVRTVLVGHSMGGI VAAELVIALASEKPIYSEDGIEKTGKPSFNSLMFPYIQGVLAFDTPYLGIAPGVVAHG AEEHYQSAAATLSQLSGLKTALWGTNTARAPASAATKSAEAAKSTEAAAAAAGGGGWA KWGRMAMYAGAAGAVAAGGAAAWVNRDQITEGWTWASSHLEFVGCLARAEELKKRVRF MVQLHEDLNMGYANVYTRLGKAASSKVSMAGTVLGKDRTFCNLPKTMAAGDWMEAVND KATDETVAHMTMFEPAKNPGYEKLSLDAAGLIAQWSRNDWYESSSDDGSGPADEGERP QTAPAES UV8b_02827 MASVQAPLAVPHPGAPMPAGATKQQAEEVFRKLKQMKEQGVPNT DPEYIKASHFLMSFQQQHNIRRSQQQFMQQQQQQQQHQHHHHQQQQQQQQQQQQQQQH HHQQHQQQHQQQPLQNGVQSGTPQPAQVNAGNSQGASSTPAQVSGNAPSTAAATGSAS PQFSQQQLSLLRQQIHAFKLLGKNAGISVQLQHAIFNQRQRRQAASVDTAQSSGKIIQ PSQEPEKASAAGSEVPVSEDSPSLPKPHTFKTVKSPYGTSMIRPSIKYFDHAQRKNRW FIPGVFPTGIDFDHLRYEREVVIFNRMSQRYAELKNLPANLAYWDSSKETIEADDSLK RKALIEMKSLGLYAKQRALREKIGRQMTHYDNLAMTTNRTHYRRMKKQNVREARITEK LEKQQRDARENREKKKHVDFLRGICHHRAEIQDVANSQRTKSHKLSRLMFAQHFNIEK EEQKRIERTAKQRLQALKANDEEAYLKLLDQAKDTRITHLLKQTDGFLHQLASSVKAQ QRHAAEAYGDDTEQFVEEESEDEDDDGGKKIDYYAVAHRIREEVTEQASILVGGKLKE YQIKGLQWMISLYNNNLNGILADEMGLGKTIQTISLVTYLIERKQQSGPYLVIVPLST LTNWNLEFEKWAPSISRIVYKGPPNARKLQQEKIRQGRFQVLLTTYEYIIKDRPILSK IKWFHMIIDEGHRMKNANSKLSATISQYYTTRFRLILTGTPLQNNLAELWAMLNFVLP NIFKSVKTFDEWFNTPFANTGGQDKMELTEEEQILVIRRLHKVLRPFLLRRLKKDVEK DLPDKTEKVVKCKFSALQAKLYKQMVTHNKLVVSDGKGGKSNARGLSNMIMQLRKLCN HPFVFDEVENVMNPMSISNDLLWRTAGKFELLDRILPKYQATGHRVLMFFQMTAIMDI MEDYLRYRKFEYLRLDGTTKSDERSDLLKEFNHPDSKYFMFLLSTRAGGLGLNLQTAD TVIIYDSDWNPHQDLQAQDRAHRIGQKNEVRILRLISSNSVEEKILERARFKLDMDGK VIQAGRFDNKSSETDRDAMLRTLLESADMAESGEQDDMEDEELNMMLARSDEEIAVFQ KIDEERALDPIYGSAAAGTKAKPRLMGEDELPTIYLNEGSVLEEETEDVILGRGARER TKVRYDDGLTEEQWLLAVDDDEDTPEAAASRKQARKDKREMNRLKKMAVMNSIGNSPS ASRASTEEIETPKKRGRKPGSKNEKRKAEDGDDEPPTKKRRGPQGRPSKGGGANASDS RVSPQQREVLQKSLRALYDGLMNLEVDDMEPAAEDDESDAGKRLIIGPFIKLPPKREY ADYYVIIQNPICMNQIQTRIKKEEYSSLDGMRKDFDLMIRNCQTYNEDGSILYQDAKT MDEFFTKKLQEELEAHPELQQMEGGSKAGSAAPSGNGVNTPQAGGTRIKIISSSARNS EVANGTKSAAQSDGE UV8b_02828 MASDDEHVEIQELGLAEDDPMRTSLPASFGKKSKEIQIAAQIDR SRRAVGIVPPASTREPDAPDLRIPSTVDASSDESDSDDDSDDSEEYPTSHELVLKTHS RAVTTVSLDPAGGRLLTASLDCVVNFHDFASMTPSTLRAFKTIDPYETKKSAAAAESH PIHHVEFNPHSGGTFLCVSAHPQAKIMSRDGQILTEFVKGDMYLRDMNNTKGHISEIS TGTWHPTDRNLCITAGTDSTLRIWDVNNKRSHKEVVVFKSKAAGSAGRTKMTAVAWGN PAQAGHAVLISAALDGTLVMYGGNGPFTRPAGEIRDAHRPQTWTSGIDISCDGRMVVT RGGDDLIKLWDTRKFTKPLVSVSHKSTSDHFPASNIMYSPNSTNIITGSETGHLHILN PGNLRVEHLTPITPGSALITVNWHPKINQIITGSANAETHVLYNPTMSRRGAVEVMSR APKKRHIDDDPSRTMDQGAGMSGESIITPGALPGSRRPGVTGTGRSKDPRRPHIPQQT PFQKNQPDEKHVAENIPLARMLHEDPREALLKYAEKAKSDPIFTKAWSKTQPNTQYAN ISDDEEEEEPDRKKAKR UV8b_02829 MEVQGSGLFSKLVAITAVATVIYIFYSGISNPASKVPGPWHTKW TGWVAKYYWFIGQRPFYVHALHQRYGPVVRVSPNEVHTTNIDDVRAIYNAKVTFRKSA FYTNLTGPQVLSIFSTTDVDVHRKFRRLLASQLSETSLKQHLPLLNSRINLAIEGMKR ETKSQGYTDLFKWAMFTATDVIGTLSFGESFHMLESGKKNQYVEDLEQIGALSRLRVA FPRLLRFAFKYPIVPLFSRAIARGKRLNGYARQSLQRYQDYVDSDPTTLRLTFFTKLF KAEEDGKVSFNDIFSNAQSFIIAGSDTTAISATYTIWSIIQRPQLRQAILKELQALPL GFTETDLRGLPLLSQTIEEALRLYTSIPAALPRVVPAGGAEIGGYWLKEGTVVGTQAY SMHRDPEIFPNPLEFNPSRWENPTKTMKDAFMPFGRGSRVCIGLHLAYMEIRLLVARF LLEFPNARESTREGMSHEDMESTIYFLAPPKGKRCLVEP UV8b_02830 MPTFGSFLVSLVAASSLVAAAPATSSTDHDGVSVAARRNEHFRP DGPAALARAYRKFGKPLPLDLARAVSRSHRQRTSTGSVVASSPHNYDVEYLAPVQIGT PAQTLPLNFDTGSSDLWVFSTLTPPSEVNGQKLYDPSKSSSSHLMDGESWNIVYGDGS SSGGSVYSDVVSIGGLAVQGQAVEVALQVSQQLTNDSSSSGLVGLAFSSINTVTPDQQ NTFFANAMPNLNLPLFTADLNHHADGTYNFGFIDKSHYTGSITYTPVDNSQGFWGWTS TGYAVGSDRFRKTSISGIADTGTTLLLLPDSIVKAYYAKVPGAGYNATAGGYSFNCSA SLPTFKFGVEKSAITIPGSFFNFATLEGSHNCYGGLQSSDGIGINVFGDIALKAAFVV FDGGKTRLGWASKRVT UV8b_02831 MGFWPGSFRRCSASRQCQESGVGVGVGVDVVVVFEVSVCAFDLV TILVFLGEIRDDGGNGIMPHPYHHG UV8b_02832 MSSDVLLQDDDGQYVSSQDSDFAPEEAQDVGSDESDVDEPAAAG AHSRRTRTRTRTRTRPRPSPAAQAREKEDYDNSGDEAVLLKGQKLHKRAQEKGRADQD EGGLVKTRAQRAAEKAERKHAANHAPVTVDVDALWAQMVSGKPASQAASQAAPRAEDA SGEAPAAPAWTTQDQPQPRKAIAPPDSTQPAMVRIQRTYNFAGQVHSEEKVVARDSAE ARLYLASQGQGGASLLDASPTTRRATRKAFRSAFEPVLDSGPGRTDLVLGMAARLRAG KEAQAKKLNTVEKSKMDWAGYVDKEGIKDELELASRSKDSYAARQDFLARSEAIREND ARAARMAGRA UV8b_02833 MAVLGKRKASASSTPPLDADDLLRRHFEARFQPLEIQTAPASKS LPQDDHGHGHGHDHDHEHDHDHDHDHGPDDDRTGSEWEGLSDDDGAQGGAHVSDHDEG STAVQVVDHSVPHTAKESTMSKRELKAFMSSRPPDQTVPPTKPHPESSPRPSPSSLPE DAPSLLAQDLELRRLLAESHLLAPHAAAKSLSGVSSTPAPPRSFAAGRTRQKATDLRV QALGSTVSVLKQDKMPMRIRKGKAAAADAREAKRRREARENGIVLEREAGKRARRQRS GSGRGGAVDRPGVGRMKGAELRISESDVRGIQGARDVFGRKGRR UV8b_02834 MYSQHSNMAPQKPETFMLSTEAQQALPHDAQVALQQVDNLKYFL ISAPVDWQPEQYIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQAFGRPVKNS KKFEEGIFSDLRNLKSGTDASLEEPKSGFLDFLYKNNCIRTQKKQKVFYWYSVPHDRL FLDALERDLKREKMGQEATTVAVSEPAVSFQYDSSQSLYEQLTKTQQANSSSFNAQQS TSFSQSQSTSPIIRAMDSMPPPSMLPQTMAAPLAEAMEPMVSYDQMPLAAAPVPQQIA HVKREADFTRVQYNQNGVPIALGQQRHSSMPAYGLEYSPAPSFVSSQYEDYNARGISF EPITPPQQALGMTGEPAYIANEETGLYSAIPDHLSGMNGLNGMVQLPTSNLAGSQFNR SYGTNNVYSVIEGSPTYKQRRRRSSIPPSMSAVASAPVSNTVIHRPSDLRRSVSACVG PVAEREESVDNSPPGLSYSASGMSMASQQHKEIIDLSRHGTPLSNVDGSPALNSLGLQ QGFGEELSHEGAFKVHRRTFSGPNGVVRRARSATAMELGPYPHKSHSCPIPTCGRLFK RLEHLKRHVRTHTQEKPYICPHCSKAFSRSDNLAQHKRTHSREDGGEGSFNLSGEEEE EFSGEDQLGSLEEASPSDAAYVSTSLNGAANDAMSCSSHGNNSHRMSQAQTFNNLQTL GMPMTISHPATINASGVM UV8b_02835 MAGRFVRASKYRHIFGKPTRKEFCYDNLRISRNAWDTNLIKVNP EYLSVNWDASGGGSFAVLPLNERGKIHDQIPLFRGHTAPVLDTDWNPFNDRFIASASE DGKVFLWEVPENFTLYTDAEEVTDVSPVSKLSGHSRKVGQVLFNPSAENILASASGDF TVKIWDVSTGQSPLALKHNDIVQSLSWNPSGNLLVTTSRDKKIRVWDVRQEKPVHDAP GHSGAKNSRAVWMGEHNRFATTGFSRMSERQIALWEPGRTEPIGGFSMLDSISGVCMP FWDEGSNCLYLAGKGDGNIRYYEYENDKFEFLSEYKSADPQRGIAFLPRRGINVHENE VMRAYKTVSDSYIEPISFTVPRRAETFQADIFPPAIGTNPAVGAREWLDGKTGIPAKI DLESIYEGSAPKEVPSDYRPVAQPAAAAAPAPAPAPASASIAREEPGMVEPKEEPKSS APITRGPQSSMSDRQKSSISSVANKFQDKEEEDEEGQEEGSGFEELPRATQRTSGYAR SEQKPKAAPGSVQSRSPEPAKPGSPAKTSTPPKTFPAATAAPSCAPSAGVEEWLGQIK QLLESQTKIMTAQNDKIGQLVGEVEALKKKVNTSASQDQSERIRQLELELEEARS UV8b_02836 MASEPVHPLRISKNTPASSPSKKMPSSISRPLSEISPGEKRRNS PSWHPYSPKKVVLPGNDSSPFQSSPLDSATSPRLFWQNRNSENMLHGGGSGSPSSNRR SSIERLQRASRVKNSNILALEQKQEYDPTRIPHIERPLAKVQGSIHVSSSSCSGFPSP DFDHREFDSSSSSLPGMSPSKISPDLPETAQIPARTPSKEQTSPTKSSLSPSKHKRNT VDAAAGTWSGHSSINEMDFPNSRYQLRHPKSVTFDAAPPQVNEYEMATPDLSSIGTNS REGSYESVEDDEELYDPAHIDIQDESFDESLEDTDKTPVVGPDDWRGESPVAHRGVFM DGHDGSPMPDAVPMKAAGGRTTPTNKRSESINSNGDHRPLPPLPGMSEPGCLSPEAAR ASPGLSATAERMLGGSQRNLPSPPPASASKSDIQNMGSHKMTLEERLKLMMLSDDTDA KTAAEQQRERRLRRRGNSRERGGTPHSEADSENAEGAREADDTVGDISGLEFQSPPKI SRESIMRRLQGENAVAEDSENHLSSPAPSPQRSLLRHAKGSIMDLDPDVPIPSTEDSL IGDDDDYDQEGSVIVTRSSEDDLGYYDDETLDGEEEINQDVGHQVHQECAEPQQELHS DVAEKRLSLLQQLKLDVPLTGFGTGGLEDPFTSSADVDEPAKTESKHHDGLVVKAPEK PEPANGPDTPTRRWSKPEYDGSGWGEPDPEECIDEPGTPDSIIHHSPPDEEDRDSPPA IPERMATIKAPGAKLKTRLSNTPSDLAAMREARRQVSQEVPVVPPIPEKHRKRLSDDL SNEQSSVDDYVARHPSFKNRSLTLDLDMGLSLDQDFERVIEAQKRGYLMRQNTKVITA SDKDGDDPHKTKSAQSSPIKQSRPASWVVEPWNTESRSRSSRKRVNDANGPAPPLPGN AAAVGQGIEEDLQSEVATIDSGERGRLFVKVMGVKNLDLPLPRNERTWFSLTLDNGVH CVTTAWLELARNAPIGQEFELVVPNDLEFQLTLNVKLEKPAAVAAPVTGKPAKSKTST FSRVFASPKKRREMEQRQKEEERQQKEALARQRNRVPSTYEFLSPLTAEDGSFARAYV CLKEHEHRCFGRPYMTEVACFNEWATEEESFASSVKSKRGYAAGAGAGAGGGIVRRAP YKIGKLELQLLFVPRPKNATDEDMPKSMNSCVRELKAAEERLSRNWEGHLSQQGGDCP FWRRRYFKLVGTKLTAYHESTRQPRATINLSNAKRLIDDRRALTEKETTGKGGKRRRS AFAEDEEGYMFVEEGFRIRFNNGELIDFYADTAEDKEGWMRVLSEVIGKDSPADDSNG VRSKAKWCEIVLKREEQLRRKAENTGRRVNSRTKSTCS UV8b_02837 MTRFRPCIDLHAGQVKQIVGGTLDSSSSALHTNHVSQYPASHFA QLYRDNALEGSHVIMLGPANTEPAKEALRTWPGHLQIGGGINDGNAREWLDAGASKVI VTSFLFPHGHFSQSRLDSVLEALAGDKDKLVIDLSCRRRGEDAWFVAMDKWQTITDME ITRESVRSLEPYCSEFLVHAADNEGLQKGIDEKLVERLAEWCSIPVTYAGGGRHLEDL ELVKRLSGGKVDLTLGSALDCFGGSGVRFDDCVAWNRMQG UV8b_02838 MSSAAVDYSVYLVTDSTPALLGDRDLPSLVEDSLRGGVTLVQYR DKTASSQEVVAMAKKLHRVTSKYGVPLLINDRVDVAVAVGCEGVHIGQDDMEFQQAKA LLGPGKIIGVTASSKQEALAACENGADYLGIGTVYSTATKKDTKSIIGPSGVREILSA LHDAGYSSTPTVCIGGVNASNASTVLSLSSSPQKALDGIAVASAIIAADRPAAASRDL LGEVIKSKIPGVLAAAARKTPLSHNMTNLVVQNFAANVALSVGGSPIMSNYAAEAADL AKLGGALVLNMGTVTPDGIRNHVQALKAYNDAQRPVVFDPVGAGATSVRREAAQTLLS SGTFTIIKGNQSEIQTIHGAAVTQRGVDSSSSSLTIPQRANLVRCLARQRSCVVVMTG PTDLISDGRRTVRVDNGHELLGAVTGTGCALGTTLSVMAAAHDSDPFTAAVAGAVMFS VAAEVAAERRDVRGPGSFVPAFLDELHGIRTAAAEGNLAWLARAKMSAVEVEAVADDA ELNSMSKAKGVKEN UV8b_02839 MQSTQKGPKACTTCAKAKARCIPRAGGGDKCERCHRLKKDCFSR APAPPRLKKRPKRSRVAELEKRLNELSSQFEGAQPAAAGASKPASPPPRASRAPEKSE LYSFEHLFPSPSPTGDEGAEASAWSPEALKELDSPWPLPTESEMLLMIYREMFSAFFP FVLIPRELSSAELRYQRPFLWKAVMLSACLFESTRQCRLGEELLADIGKAATVDGTRS LDLLQAVELMVAWWYFALKSSQVTNLLFLARSMCVSLSAMSYGSLGEDAKYGPLDHLR AYAGTYYLNTIVFTTNKKTDVFMSTTQLDSCIKVIETTMEYPSDDYLIKLVKIQRLAQ TISTTMAADSKTPMSLPLVMVVESFQDQLDTFRASLPPHLSENPTLLCHVFVAETLLR DFAISDQRCSPENMQVSDRLQLLWSCIKSLNEVFTVRFAAPEIEKPRFLCIIASDLAY TIITGIKLLTLRLPGWDLNTVTQKLDLVTVLGKVIDQMLKVITKRKSGLLSPDRCDIE DPLERLVRLMKTARELVSMHTSCASPLTMLDNMSNVAWREFMGDVAWGVDEAHGMLGL ATQS UV8b_02840 MAMMDAALRQSKTVCPFLKTASPATLRALSTAARSKPSPCGGTM SKLQVFAHRCPVMGKAIAIQSARAGVAGAGLRAFASQVRADRADRADRVDRAARARFH TTRVHEARTVDAPILEGRNDGRAHPSSIGKFDYEGFYTSELEKKHKDKSYRYFNNINR LAKEFPRAHMADQDDRVTVWCANDYLGMGRNKRVLSKMKETLDQYGAGAGGTRNISGH NKHAVQLEGTLAKLHAKEAALVFSSCYVANDATLATLGSKMPDCVILSDSLNHASMIQ GIRHSGTKKIVFKHNDVADLEAKLASLPLHVPKIIAFESVYSMCGSVGPIEAICDLAD KYGAITFLDEVHAVGMYGPHGAGVAEHLDWEAHARGSPRNTIMDRIDIITGTLGKAYG CVGGYIAGSGKLVDMIRSLAPGFIFTTSLPPATMAGARVSIEYQMEYDGDRKLQQLHT RAVKEELSSRDIPVIPNPSHIIPILVGNAELAKAASDMLLQDHQIYVQSINYPTVPVG QERLRVTPTPGHTKEYRDELVEAVDQIWTRLGIKRTSQWAAEGGFIGVGEHGKVEQPL WTNRQLGIEQAAKEMMAAGCCSSSGGFTEALLEREVIRTTSAPGVAPGVAAH UV8b_02841 MDGIRSTDPFFWDVDVVAAELCSLQRPCTRDPERLSAKLQENEI DGHTLLTYELVGMANSHDLRNELFQVLNIQLARHKNALGEAIMKLRARSPAFRRWKLE NLAAGPMDDVDVHSRGRESTYSARMTPQSEPLHVSRNVVDMNEVNSCLPSTTPQGQDA NRAPASPLPSSGQLSMDVEKPNETRNVPQSSMADRHATKIDGDSATAPIGSKSSTNGL KRKRVAPVLIQDKPVNTIHMPIATEADALSYAPFVAKPERQGSTFPWDNNAFFKYLGA GALRVKDVQSPVTSLTCQIRGIGDAISTPVPTRFPPGRRLVVNKIMRRVMNRTSQTIN AIDQGFHPVNSRESSGESDTILELDDLAEELDEETMREIEADEAESSKRIGGQSAKFI TSSQVQKLLDEEVSDMAQSWRTRKLPKYQNRAFSLWTDASKRGLKRKRILDARTSAKW YGERIKKLETEILHQIWERERDVRLQARCLEQSIEDRLYQSWLADLLESSSDEENFVV VDDEPPPRPAQVKTPRLIPTPLNLERTPTSFLRAGSPVFIDLTQTGSSGNCSPVERGL EIEDRGGVPEDVPTPAKIPSNQAGPRKEDERKDTPQLQPPALLESSSWPNKYQDIEKI VSNPPAYWSRQKDRFALVISLLWRLGHLRRSAVIKHIKLLSADEAFESSILRHITNPI KDLTLLSEANEETPAFDLSRLFLCFLKVKNLKESRLADLKQNQVRKLRAQQGKNSWTV FYTFISRIAPLFPQDNQIYRDGGLDEDLVDADQVEDDELLDEREASDKAPRKSAVKEI VCNKEAVDLRERERRRAEDQEARRLRLRAKLGAAEMMPSDISRLIINETKQDDQPLIY INQEIGSRIKDHQLEGVRFMWNQLIQEPSVRQGCLLSHSMGLGKTMQVITLLVTIREA SESPDQGIMSQIPQDLRRSKTLVLCPSSLVNNWTDELLIWDKDQVLGKLHVIDATLPD DERTRIIENWAQVGGIMVLGYSMLRQLYESKKVQQKGFFDQPNIVVADEAHSLKNPAS KLHTLCARFHTKSRIAMTGSPLANNIEEYYFMINWVAPNFLGPLSEFREIYAKPIQQG VSNDSLAHQKRRALKMLQVLKQTVAPKVHRATIKTCMDKDLPPKQEFVLCIKPTSLQR ELYNLYIRSKRGDTVGYSEDDASGPGLIFEIMTDLSLLCSHPSEFQAKATEAGDASAR QERRPSIPLGIIPKVMALFPSDPKHPSLSTKVEILIRILDNARLKGDKVLVFTQSIPN LNFLASLFTEQKRSFSRLDGSTPISKRQDQIKKFNSTETELYLISTKAGGVGLNIQGA NRVVIYDFGWNPVNEQQAIGRAYRIGQTKPVTVYHLVTAGTFEQDLHNRAVFKQQLAS RVVDKKNPISWGNRLADLKHDIRSVAQEDLTQHLGKDYILDDIISYGGGSRKLCQIIP TDTFEEEDDKAPLSVEEQKEAEDMIRWNLLRAADPAEYRKQKELAERRLQPPSHPAHD STHSLNAPGLVVTVRVPRSMDGAFDSSTLPTTQTQPGPPQASLSPAAADSTSSGLNLR SDASIPRWSSTPSSAALLPTLGAFTFFGKDERKPAEVLRSDGKTDLTPVTDTMGLPRK ANPFSSGNKNQGKTEFREKLRNKLSSMPRARFPSLSEAPAEKVADQIVDSIDVTLKIL QRGFLPDIQHWRALCGFAEHDRFITAVLSGVLTASYLARTDVKELERKMAVLNDVSQA EFEAKTNNKVKEMNGGPRCY UV8b_02842 MRPESMAGIEPSAPFPPHLTGDERERLIQTIKDWACGHGLVVRP PPSAIPQEADPNSIAAVPAPVTVFPSGIARRAFNRGQAVQRAYNELYAAVSRDEKFLA GIVREVIDGDDFVRDLWAVHETVKSEGYTQPLSLGLFRSDYMVHDDTSVLPPVAQLKQ VEFNTIASSFGGLSVLTAQLHRYLARSEYPFLEHAIAEGTLHLPENRAVEKLAGGIEA AYHAYGAPRTGYRKCVLFLVQGDERNIWDQRHLEYQLVKSQPGIPVFRLAFSDVPKHT SLAKTKERQLEYSPPHNPGARFEAAVVYMRAGYGPGDYPNQQAWDARCHLERSYAIKC PTVLTQLAGTKKVQQVLATPHPASEPSVLSRFIKDDAASAKDLWGTFTNIYPMDASEV GLEARKKALDPNQCQNYVLKPQREGGGNNIYGGAIPAHLNSVAESHWGSYILMELIKP CPTRNLILRNGKIEEGNVLNELGIYGACLWNHDTGEVLHNEEAGWLVRTKSDKSQEGG VAAGYGCMDSVCYVWTNTDSPELEEEQANESDAGN UV8b_02843 MSSSLLFRRATAASPGVVRSFSSSSRLSVARLSLIGNLADTPEV QTTGTGREILKYAVASNYGPKENRQTSWFRVTSFADGPRKDFLLSLAKGTMVYVEGDV SVSNYQDSNGQPRTGLNIVQRSIEVLKRPQNVADSE UV8b_02844 MPGNSCVMSFSALPAPMGSSTPFFVLCAESRVDEAERTMFFLFT PPIEQKQKQKKKKKKMETETKTKTEKKRTTWYKGNASHSLVVHWP UV8b_02845 MEALPPNANPNQLASRGATTILATAASYPPYSNPALAANLVCRT VLALLANLVCLVPLRQLYRNGEFAAVVFILNVELKNLETVVNSLLWRDDDTASWWPGY GWCDADAYLHNLSIGLFVTCLLAIVRNLAQRVGLMQATALTARQKRRRNVIQALIIFP LPIIQLALTWPITAQRYLVATLIGCNWIPHPSWPYLVFFVLPPPIFAILTAGYAILIY KRFRTVSKTTQVALNSNRAALHRSQRTKRRLYLMVVSILIPFLPVVITLSVLNILEMH AVRPFSYDQVHNHASPFPWNTILFVPSGQIRWAYLNNCYIPIATAIPIFVFFGLTKDA VNNYRQLSLCLGLGRLFPSLLQEYDPDKGFSSGASTLGSAQLRTQHPFKVRVKHEISP TE UV8b_02846 MTTTAGFGDNSTLFNSTIPAGQLPLPPQLTPGWGVAGVIMLATG VIYTLVGIKNRWIHTFFSTAYVTVLGVAVLIIYVMSTPVSNALQGGYVAAAVMSGCAV GAAALFFKELTEGFGCALGGFCISMWLLCLVPGGLLRTTPSKPIFVASLTVVGLAFYF SRLTRDWALIVLIAFGGSTITVLGIDCFSQAGLKEFWAYLWGMNDNLFPLGADTYPVT KGIRVETAAIVIISLVGIISQIKLWRIVREKRAKRAAERAEEQRNLEHEEETVGRQIE EVNARERQQWERVYGDGAAESIADSRFTDTGSEKRLRPSLAESKRQLSAEAVELTDMS ESDHSRNGPEALMAAEVNEEGKVTVRVAAEDVPESAMGVQEAATPDEKDEARQGAQVS SDDGKRHSKSTVASKRASQAQIVPEAPELVPLPFIVPEETDAKSEADRSSIATFADEA EVDATSAPRKYQSLVKRLSLGPASFLHNISQRSDRTEGAVADGLSDSGKSTEELFLER TRWPEDDERSLAATIDEESVSSKGHNPIDEDHETDEDTGKGEPATPRLEIQAKLGQPD NEPPVEGAEAAGAIPASRPTSSTRTPGPTVIVGEPAGHDTTPNAEQLSQAQAEGQGKR DSPADPNLPAASDRAESVASNSSTAPASLTKDRLPRSLSRVAMSYRTNEWAKHLSNAE RPELDELHIPRARAAPKTARDTKEKAAPVDVEALRRTAEDGVPPFLVRRSTSQTSDLA MSSSSTRRSPRPDLPAATTAVVPPASPTEQNPRLEQRAAQSAIARPASSLRKKSSGFK QASPNFTSIAEERANGPTDEPIAEEGSSETPQPDSSHSSTVALDKMSSQPPATMAAAE KPSTPGIVSYSSPQTLMGQREIFIRSKSQGNLFSNTAEPTLASQGLGSESGSLRNYAL HAATMPSVADPDDVPLSQRKEMMRHNGLMLVSGSPSQPAPVPTRRPTGADSSENLAFN SHQPKRSSTRPTLAAREAQLASFRQSVQHGLRSGTPVMMNTGRDSPFGSPPLLGGGRE AEMQRNMEMQRTVLMGQKEAEAQRREVQKKEKEDADRAFDERMRNGDMLEIHREAMRK MQKHAR UV8b_02847 MPNQGGSPSSPSHATAPQACSTSKPPTGNSKSAAFATGVSGPGG HAIRRCKTVDEAAPARQRQRSHTSRSEDASLDGMPQGLPRISSTFSDYKLDGARNILN PRANSVHELPTPESSSLASLSLAFALLPAVAGILFKNGHSVVTDIMLLALSGIFLHWS VTQPWVWYHAAQQVRIKQESETEIAVEEVAVGEDDGDGDDDNGNDQLAAGSARRNTRL DGVPEDTRGPWKQDDGRNAGGKQPTHFATPQQRAALRELYAHEILALISCFALPLLSA YLLHFIRVQLSRPSEGLVSNYNLTIFLLASELRAFSHSLKLVQSRTLHLQRVIHGNPF ASPTQIGSQIEEMLERLERLEARSLAEEFVRDHGQDTSSVGGQDRATATREVRNAIQP DLDALNRAVRRYEKKATMLQYQTDCRFSALDLRMDDALALAAIAAKNSNSKSIFVRIV ESLMAVLLFPLNALVQVWMLATRSLFSLTTLGKKPRISAKHGRANRVAKQSSKPRYSG VMKK UV8b_02848 MPAPAAARKGTGRACTSPAAPAPASAPAPAPAFWPFLPFWRQQS HHHHHHHSAIGPTATTTTTTTTTTTTPSSPSKLPADRALSATGKKPRSATLDHDAPAV IARRKTGVETRRPFQPPEHHRHDRRAGFNSPATPEAFSNPSPAPTQGFALDAAAAHRL GAHSRQPTSPVAVTLLESAAEPAEPEPAQPAAAAVIGSQPPPPPASSRRRDNVKLAKS PFRSRSTATPGLPAGRLWNPTNSTPRIPQQHRKRRPSTPPPPAVPLQHPLLDASALSS NPIAIGTGSYPLLTLSEQRQSRHSVSARASLQIDRSGSSRRRISLPPSVRASNEGEPP PNLKSKTLELAAPGLCTAHSPAVDAAPASKGKQRAIMTTQLDESRRSLQRDLERGPDV TDPRASNASAADGIGSAISSSNSSIMGEDVQPDSGDEWGPQHPCYPHLNPYVPLDSSE YATTRIIRVKRDWLVAGDLAPTFSNLYPEILDPAGVSEPEFRRIVEKLNKALTRIFDP FSFRNLLDSVLGLVTGWLWDDFGLAAAKSRLRNLEKWIEKWNQEMEKTMASEEGVVPP KLIPLRQSGYMTLDIQIPDPEIAPASSSIRAGESRNVLPTEPLPVLGA UV8b_02849 MSALRPLRGGCVCGRNRYIIALPEDGAQQAQVLFSTERSHQETL ATPLAAYLRVPLAWLHSTTFAFFDDETHAMIRRVYTHPRQEHAKRNFCGFCGTPLSYW SEQPRSEAEYINLTLGSLLQEDLQDLEDLGLIPDESESEPEEARKRSPEAMNRGQVLR QSYGVPWFDGMVEGTRLSKMRRTHGIQRSDDGTVRVEWEIVEEEEDAGSSTDVEMETG STASGKRKLQDRAE UV8b_02850 MSGPSSRIQSILGHLLPQPQPPPHIHHLSPTFFLERAAAVEPNA QAILHITPTGVTLRRSYQEFADRARGLAYYLKKHKLARVGILAPNTPAFLESIYGIVA AGGVIVPANYRLKEHDIAYIFEHAEVDCIIVDQEFENLLGAYAKKHSNVPLIVDVDTH VARGPSCGQFNQTILEGLAYDEEQGGLAWSGLQCKASNEDDMLAIPFTSGTTSRPKGV VYTHRGAYLAAMGNIIESGLNHGHCRYLWTLPMFHAMGWTFPWSVVAVRGLNVCLRKI NYPLIWKLLKEEGITHFNAAPTVNTLLVAAKEAEKLPSPVQVTVAASPPTGHLFEQMT NLNLMPVHVYGMTETYGPITKCYQLPEWNDLPPSQKYAKMARQGHGFVTSLPIRIIKP DQPEGVLTDVAKNGQEIGEIVFSGNICAKGYYKDADGTRKLFAGGALHSGDLAVWHPD GSAQILDRAKDIIISGGENISSVALESMLVQHPDILEAGVVAVTDDQWGERPKAYVTA KEGKTVRGEDVVAWAKHQSNISRFMVPREVEVVEELPKTSTGKIKKNDLRDWARKDRN P UV8b_02851 MAQTRSRIGADASENTASSDPDAAEEDTPVPDAFETSHHGDADE HAEEADASAELDELPIELMTLTDSFIESLSAKVHSTPPSIERLSRLFQDFYALASSHI NTNISALIVQQTRRTSSVPSPSSTPSAASRFRSKAASLGSKDKPKEEGERQMITSEEL ANRKRLRKALELKRGLLEEAVERRLCEGIYHRIYRHRSTQDEAQDEKLRSKTAALALV GISPLDLGVDYGEEAAQDPEASAAVTSQMRASLAEARSDMVKMSRGRYPLAKANHLKA AHRSIVDTLAQVHPSASADEIMPMLIYTLITLPPEKLNVISDMHFIQYFRWAQKLTGE TAYCLTNLEAAVSFLQTVDLSTLRPDEQPSGPPKPDGQAAGTPKAETFPPAYGVTAPS ASGSEASSDSAAAPKPRPSPFGLGAGLGADLGAGPALRNRRLSDLVNSPAQALGAASG AVFNTADQGLKTISNSLGDSYAFLLGKLKERQDQPKESIMVPRTLDDARKLVSTPPMD DDDNASTTSSAAAAAEGVEQQPKRPSARQDRALNLAGGRRDASADSALSGTSAAGRKP ALADDLKRSSTPTSAATPAPAMLDSVRNLGSSFNPIGRLSSMGMIRGFGRTAPTPSAS TNSTQATDGGDLATAFPDIAPALPPKQVVVPRIQPPNKRFMELQSPGELKLGEVRELL KDYRRLAVVLKNLGAFSEE UV8b_02852 MLKGARSYLWEQLKAAKPAFIAKKPHFSFITVHYFWIIGATIIA SVAIFIAGKGKLAYIDALMFASGANTQAGLNPVDVNLLNGFQQAMIYLFAMTSNPITM HACVVFLRLYWFEKRFRSWAREVRLRRRTLTKSKSKGQSDMHRTEQGVNGRNITLVPQ NGPKQRITNDGILLEGAVAHQPKTTGAKISDESDASTVSGDHSQSAEPAVVEKSDEAS PRQQLVGQERRGSGNSGRNLPAHTAITFAETVKRSDGMEDDATKFPQHRSHAEHIAIL ERQRNQDDDEVLRIPGPRDAERGLGPRRLEAGDIREEDDNRLNLAATIDSRLESGRPD SARLRERQPTIIIEEPHRRRMDELEDGVKAAGSTLDTLRFRKPRILNRSQNKYHEEDA EDARPVKAVRTRTLDTIRSALSGSKADDMPYLSYTPTMGRNSNFVGLTLEQREELGGI EYRSLRTLLIVLLVYFWGFHLVILVCLLPFILHNDHYGQIVEADGVSRTWWAFFTSNV AFMDVGFTLTPDSMISFNTSKFTLMISWFFILIGNTAFPVMLRFIIWVAAKLAPVGSG IWEELRFLLDHPRRCFTLLFPSGPSWWLFWILVVLNALDLLFFMVLDLGSEPIAKYSV SNRVVIGLFQAASTRTAGFSAVNLSDLHPAMPVLYMIMMYISVFPIAISIRRTNVYEE KSLGMYQKHDVEDDQDAPALSYVGTHLRRQLSFDLWYVFLGFFILAMSEGPKLVAGRF ALFDVLFEVVSAYGTVGLSMGARGVNASLCSQFTVIGKLVIIAMQIRGRHRGLPYGLD RAILLPSEEKFRREAEEQEAALARTNAALFPATASGFQRQGTNMTRTRSKSRERGRTN SVVRSFLHPGPVVARDGPSHQRVGSTDSQGSPISPMRTFTEPKRDGDTVELDPISSAA SRDQPRRADTAPMF UV8b_02853 MPAKRKAEAPVQGAAKVGTKVGRSSRMSTPGAATPRSIDSNDDA EEDDGPVDEVLERDIDKNIDRFSLDRFQKRHAIREPLPHIFGDHDFSYLDLKKDHQNR PLWIDPQKGKIILESFNPLAEQAQDFLITIAEPLSRPTFMHEYALTTHSLYAAVSVGL SPSDIINTLERFLKTPLPVEIREFITSCTKSYGKVKLVLKNTKYFVESPDPEMLQMLL KNPKIGPLRVQGTEEITTSAAPKIGGLVIPGTKNAAGVRQANGLQQGGEQQPNRQAIN EGDVMATLNEEDDDDQEVTHSFEIADKDVETVQKECLNLGYPVLEEYDFRRDEVNSNL EIDLKPGTQIRPYQEKSLSKMFGNGRAKSGLIVLPCGAGKTLVGITAACTIKKGVIVL CTSSMSVVQWRNEFLKWSNINPDDIVAFTSDSKGSVFTGSTGIIVTTYSMVTQSRARS YDADKMMKFLTGREWGLMLLDEVHVVPANIFRKVTSSIKTHSKLGLTATLLREDDKIS DLNFLIGPKLFEANWMELSKQGHIARVQCAEVWCPMPTEFYDEYLRAPSRKKNLLYIM NPRKFQACQYLINYHEARGDKIIVFSDNVYALKAYALKLGKAYIYGGTGQQERLKVLE NFQHNPLVNTLFLSKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDE GFNAFFYSLVSKDTQEMYFSSKRQAFLVDQGYAFKVITQLANIEKTPGLAFATAGERR ELLQKVLVENESMEQEDITDDLFHSGTMGRKKKTNARRTAGTLGELSGGQDMAYIEQN KRVNQGLKKGRGKKESHAFFKKIGRENARRAAA UV8b_02854 MCKHILNAQVSIRSPCCRKWFDCADCHREQEKHPLLQSLEMVFA CKKCKKCFRKDAQVFEESDEYCPHCDNHFVIDAKTPQAALSIESEDVRVNNKMLKDER VRQQGYRTMFDPDEDADKLG UV8b_02855 MASGYDRALSGSPDGHVFQVEYAGEAVKRGTCAVGVKGKNVVVL GCEKRSAMKLQDTRITPSKIQLLDTHAALAFAGLNADARILVDKARLEAQSHRLSVED PVTIDYITKHVAGVQQRYTQAGGVRPFGISTLVVGFDPGSKVPRLYQTEPSGIYSAWK ANAIGRSSKTVREFLERNYKEEMDREATIRLAIKSLLEVVQTGAKNIEIALMAPGVAM EILPTDEIEGYVKEIEQEKQEEATKKKTGRAPATGSTAIPTRGATDSAAE UV8b_02856 MATPANLLRRAGTGDCIRVKGLLAPVASSNLARSGISKRAAACS PSSLSSSPSPALQVSCPNAVRRSSRPFSSTSPTRLAKLTTETYPTLARDARFAKLTPE HVAFFRELLGQDSAVIDGVNADAAADMEPFNEDWMHKYKGQCSLVLKPGSAEDVSRIL RYCTENMLAVVPQGGNTGLVGGSVPVFDEIVISLARMNKIHSFDDMSGSLVLDAGCIL EVADQYVAQRGYIFPLDLGAKGSCHVGGNVSTNAGGLRLLRYGSLHGTVLGMEVVLPN GTIMDDMCTLRKNNTGYDLKQLFIGAEGTLGIITKLVVQCAQRSPAVNVALFGLESYE KAQKAFREAKLQLSEILSAFELMDGTSQRLVHKVRGGTKPLEGDYPFYCLIETSGSNS DHDYDKLEKFLEHVMSSDIIADGVVAQDATQSKTLWSWREGITECIGHWGGTYKYDVS IPLSDMYSIVDDVKARLCKAGLLGDTVQHPVVDVVGYGHMGDSNLHLNICVRKYTKQV EEVLEPYIYEWIKKRQGSISAEHGLGLAKKKYIGYSRNETMIGLMKQTKALYDPMGIM NPYKYI UV8b_02857 MTTLEQQRWINVQQKTFTKWLNTKLISRGLEVRDLVKDFSDGVM LIHLLESLSNESLGRYASKPKLRVQKFENANLALDFIKCRGIQMTNIGAEDIVDGNRK IVLGLIWTLILRFTISDINEEGMSAKEGLLLWCQRKTACYDEVEVRDFSASWNDGLAF CALLDIHRPDLIDYDSLDKSDHRGNMQLAFDIAHKEIGIPRLLDVEDVCDVPKPDERS LMTYIAYWFHAFSQMEKVENAGRRVEKFINNMQGAWEMQSAYEKRMKELLEALRRQVK EWESAQFEGTYADAKAQAKNFATYKRGQKRDWVAEKSDLATLLGNIKTKLGTYRLRPY DPPAELSLEALDRDWGTLTRTEMSRAQLINETIRDIKNALRKSFADKANDFAMALNTM QLAISGLDGDVEDQWHHVKKLSDNLPPLDRYLETIEAVDAKCHEANIEENDFTTYTYD ELAYELGLVKLSVQKKLSFLENQMVARSMTNLTPIQLEEFESVFRHFDRDNSNSLHEL EFGAALASLGLVFSEEEMHDYFMETSNGRDEVTFEQFIRFMVDVTEDQNTAEQVFQSF REVADGKPYVTEMDLRHSLVPDEVIDKLVEIMPPHKGPDMSQDRGQPQYDYISFMEKM IDVEDNPDDVPMHVLKDRTNLGDTSPRKGSKTNGLHG UV8b_02858 MSFAPARRSLGCLKSCFRQARQQKTAQRSLATTAEAPSSNETAI TQRQRALREKVGNFQVWPQIPSVRSTNPDPMPVLRQQQITALDPTGARSRLFSKEHAD SAKVGDVLMVTTKSGEPFSGAFIQIRRRGVDTAILLRGQMMKTGVEMWFKIYSPSVTG IDIIWRRPKRARRARLTYMRQPKHDMGGVDELVSAWRKERYALRSKSKQTGAKKAGK UV8b_02859 MTRQAAASALGSIERYVFHMPSLPLATRRPFSSTTSRSVRAVFS ETENDELRNVLKMIQEKIILPAYLPEKQRRIVFDPKKRSYLEQNPIIIEVDGLEHKFS SIDRFKDVENSKKALSRALEHMHTPEDWTNLGPLLAGYRRAGIRLKAKHLGKIVRVAG TRGQIFAVIECAKQSDKTGFTLKNPEVVARVFAFINDKISKAARETPDGPETKQALTW TEMVLDLLQRPEHTVDDAQTRQRLHFSPLVRGMTLFARASAARLGAASERDLNLLRDE VALLVSLWGDAANKDLLEVAEIAKLTPAAERDAAQKSNYIPRALNVSSYVQVLAQNIK GIEIAQEILGDEAQGLSAISTALTSHLRDFAGGIRTKSDMWVDEYEKVMGAKPSWLAV GDEAV UV8b_02860 MLRHSAARLAAAAVKAAEPTAHTVAVSRAQGVSQGLTGAIGNTP LIRLNRLSEETGCEVLGKAEFMNPGGSVKDRAALYVVKDAEERGLLRPGGTVVEGTAG NTGIGLAHVCRSRGYKLVIYMPDTQSQGKIDLLRLLGAQVHPVPAVAFDNPQNYNHQA RRHAERLDNAVWTNQFDNTANRRAHIETTGPEIWAQTLGTVDAFTCATGTAGTLAGVT RYLKSVSGGRVKSFLADPPGSVLHSYIGSGGELAERTGSSITEGIGQGRITDNLQPDV GLLDGSLHISDEKSIEMVYRCLDEEGLYLGASSALNVVAAKEVAEKMGRGHKVVTVLC DGAYRYADRLFSRKWLQEKKLLGAIPRHLEKYVVLP UV8b_02861 MDSRQPSLDLEKELTCSICTELLYQPLTLLDCLHTFCGACLKEW FRFQAAKAERAPTPPSHQNAIFTCPSCRSFVRETKHNATVVTLLDMFVTANPAKARSE ADKEEMMAKYKPGDQVLPKLNARRKTRHERRADEEDRRLVDQVREMSFRETIPGQSLQ EATPRRRHSRSVAATSRPARHRSSNSRRRIARDSANPPRSDDSSRQDSDTSGGALDHR RRRRQSDSRQRRVEHQSSLRSLIGTSEMSDRDIEREIEEFARQIQEEGLLDGLDLDNI DLSRDDELSRRITDAYRRRQRERSRNESSRRHASSSSNADARNPPEAAQTDTRLRVSE NRSSSRSRGSSRSASRHAQPDDRSRPPPAGPTSLEARDLARRPRRRTASSGRSVTAPI FPLAREGRLAARSQTDLDVDVRSHTSDLAAAPRQNLGESQSRNSSSQSVPVSSHATND LSPTTASGNNASFASRVPQWNPNIQDSPPPPTTALPIHEQAEVRSSRAHPPAELAIVH SSASSPLSSPTASAGHQRARSQLYPEPCINCSRCNKQHIEYELHYHCATCAGGQWNIC LGCYRSGKGCQYWFGFGYGAWKKWEKMRQQGDESLAAPHMLTASRYLPPPSSQGGADG RRTLTTDDPKGRLETGTFCARCMACTNDCYWRCDVCNEGDWGFCNDCVNQGRSCTHML LPLTHEAEQATGRPRSPRSPGRPPTATIYSGPQATSIGPFKPLTFSTRCDICQDPIPP SHVRYHCSGCTSALVPDAPPGDYDICSSCYNGLVSSAQISPENGHSGWRRCLNGHRMA VIGFTEGKVGQWRFVERDIVGGHALRSEPFEDADHKEQGLQKWSWKNGSERWERLATK EVSAAAPTSVPSASFAQSFPPDGGAGMRANARWAWYPQAGSEDELLFPKGAEVQEIED VNGDWFFGTYMGAKGLFPAPYVRMSQQPS UV8b_02862 MNALRFVRPVAARVPFQRSTLPRVVRAYSSESFEYIQTSTPRPG VGQVTLNRPKALNALCTPLVDELNDALNGFNASDETRVIVLTGSQRAFAAGADIKEMA PLTFSDAYTKSFIESWSLLTTQIKKPIIAAVSGHALGGGCELAMMCDLIYCTENANFG QPEVKLGTIPGAGGSQRLTRAIGKAKAMELILTGKSFSGAEAERWGVAARTFPTYEVL MEETLKTAETIAGYSKVAVQACKEVVNKSQDLALRDGVEFERRVFHSLFGSQDQKIGM KAFAEKNKAEWTHS UV8b_02863 MEGLNSLPRRSLMRLSRTPNQSILSRTGFDLAGIQRRLGTKNAD RERIVILGSGWAGYAAARTLSPSKTCRVLISPRSHFAFTPLLASTSVGTLEFRAAVEP VRRLGLDEFHQGWASAIDFNNKVVRVEANERGDVSAATNQPQVKGEEFDVKYDRLIIA VGCYSQTFGIQGVKEHAHFLRDVGDARAIRLQILQAFETADLPTTPDEERKKLLHFAV VGGGPTGIEFAAELHDLIKEDLSRMYPGLPGYCAITVYDIAPKVLPMFDAKLAAYATE TFHRQGIRVKTEHHLTRIRRQGRTLMLQIKEEPEEVGASIVVWSTGLMQNPLDPKTGG IVTNSRLQVQLQPAKGHPGTETLKDVYAIGDCISVQGGGYPATAQVASQQAVYLAKQL NKPQGFSRPFHFRNWGVMTYLGGWRAIHQSRADNLKGWAAWVLWRTAYLTRSMSLRNK ILIPVYWAMTWIFGRDISRF UV8b_02864 MATSLAAQLAQIAAKSKATLDVKAQKAAHSKSLIWEPRVAATQS YQAIYATCHPGFEELCQLDDRLARFQSTLFSEDSQSQDRAQLSATENEELDRQVEAFL RLSGSKLRLMPAIKSIEWLIRRFRIHEENTSLLLMTFLPYHSIPVFATLLSILPAKIS PEFRFLDPYIRSLTPPPRSLLVHQAVHHPEFLSSVSEYTLESCRKLSHYPAQVIFWGG LMTEAVNGMLDRTRSGRAAVQSDNTQALLHQLGPIFAESLVMKKVPSLQIASYMAMTV FVSKGNLGDVAVTALMQQTVHGWTSDSIRPGLVCLAIMAQFRSAKQMNSKVTKALMKV QNIGTLLVDIGKERRVDKLANGLCLALIERFTKKGDSRGLPTIMAILDSQILKEKQTT VIFKSLLLTALKLDDKNDTDGTLRRELGSALIALSQTTGKSADIFMSVIQDMEFDIEE LEMKLSLSLRASKLPSSAAAAIDDEQQQHVKEAQDPGVAIEELSSRKGSLPQCLVPEP TDLFEEFSHLFFSIVSESSRQTEMLSKFDDIPQLGRKTAFQKWTYFSFFIRIWCGPYP ALARMAALNMARNRLKSEDRAKVDVQALIPYAVVALNDASKRVRQAAAQLLRAITEFY TPLITAKSVKPWASGSLYGKTKDKSPLASDITAKLLHLQIIPTVEECVMDPEHISSVI KSVIEQGQYKVVPPPTLEKKDHMGQSARLAVLSFFASHANLTPIIAVKQNLLKFLNEV KGVGSTTRTQVLLPLLNWWTSLSEEEALKCCGVEQLDATALDSQFVDVVVATDSDGIA ALISLLQDGAQRQKTSLVRAIFGRLRRMWPSMKDAVKFTVAEQCLLLCHGPSGTADST TVVPSEAADLLRTVPLTTSILSYFLDAVQSGTKMVTEPLPKKRRRTSSADGNRVLATQ VTPELSEALRRFTFVLQLVENSEPIFHPELLDGLFTALSELQHFRTVVGSELGYLQNL ILRSLLAMMPAYKANKELEIDSSGGFGDLLVNCIQKSSSPVVQNAALLLVADLATTAP NLVLHSVMPIFTFMGTSVLRQSDDYSAHVVSQTIKEVIPPLIESLRKGKNGPVAGAAD ILVSFATAYEHIPAHRRQELFVALVETLGPQDFLFALISMLIDRYDTSEGLLSFIVEL FNRFDMKTQLQTIGKILDLVADLHKPKPSISYVLLGIGDDGDVKDIEKVALRQLSALS TLLENKKLRSQVSKLADREDMDAAEVRELYAALLENLLILADTVKANKMLFARCGSAL SNLLNLLSISEFIKAVENLLDRSDFGLRQKLLRALEVRVETENNSDAASRNALLAFLP QLTAAIRDSSDIRYKHTAVTCVDKIAEKYGKKDVEAVVAAAETIAGPHCLGQQDRRLQ VMALLCLTSLVDVLQDAVVPILPMAIPQAVHYLEASLGKDDMDQEIHSACYGFISSLA EHLPYMLSTYLDKILHISYRSAESEMDDEVSESRKNCLEFLAKKLDAKEVFTSLDRSW DKALESGFCAISEYLSVFAVAIEDNSKSTIARHSSVLYSILIQVLDLRRHVSFNSEEK ETSLRQVTRIETTLNETAMKMIYKLNDAAFRPLFVQVIEWTDNGLPKNDKSGRKLRKY SVYGFLQAFFENLKSIVTNYATYIVEDAVKTLEATELKSVEDRELWSRVLEVLATCFE HDQDDFWQAPSHFGAVAPVLLDQFLKASSADVCERLVPAVVELAAVADSQAHHKDLNT RLMKHLKSEHAAVRLAAVKCEQALTDRLAEDWLSMLHEMLPRISELQEDDDEVVERET HRWIVKIEGVLGESLDAMLQ UV8b_02865 MAHSYPQIVLLGDSLLQQSVDIRDGFSFQAALQTRFIRRLDVVN RGFSGWNSANAVEYLSEMIPQQTSSSPKLKYLIVLLGANDAVLPLPSTSQHVPIEQYK QNLIKIITHAHIRAHNPEILLVTPPPVDEIKRTKLDIAEGHGRAVRLFARSASYSETV REVAREHPGTVLIDLWKAIMERAIEMTPHDYTTGGPWLGSPENGKQGGLDNLLPDGLH MSGEAYKVFYDEIIGHVGREWASLPEEDRTGYMFPDWRVLNPIES UV8b_02866 MDSRFTTSRDDLYTLQMEVKQVQYTQNNHAERLLRLEKRNAEDS ALKSVWNSPFPGVLGGTPHQGPVQIPPNDVFDDLDEQGEQLLGSLHLGPAEEEPVRRG AASRANSVRFDESALHGPSWGGQSNRHSGDFGPIRPGSGLMMERSLSHKSDGRHSSAG HSVHSHHSVASGRASSLGLDTNYAAGDESSSSFDVPGPPVSLYVLGTVPSIVRCWLTT NFAHGTLLYADICTGSQKSVVDSSLLKELDLVDEIERDINGVYRIRLNVYLAEAVVTR HENRNTSSTGAVPSMTATFEVTGLEQSALTGDGKGIRIYIGSDALRAHSADVLFSQNT MVLYGNEQERVRVPFVRPEDENLFRYICTTNMTPGKPKLNANATPFVFGEGQSGNSIH PKVASPAAQREKECVNQLESSDEIAAEPNRGEARSRIPDHAADDADGNARDANGSEAG TSEASRQEPSISAGIWGSWRHGTGTGPDGTQREAGNLGGYQPAGRSSRNMKVLKPLKS NSNSARTGASYEPALPPKSSNDGWRKSQASLNGDGVSVVNRWDFKRSISSGADVRSQN PNRENQKGGAVPRSANPVGVASAFSWMTPMTKASKTPAPED UV8b_02867 MASCVANSMKPAATATATAHWALLRPASAGLLLRPSPSSPSSTV SSCSYYIHSGCRPLHPRRSSTPLQATASPSPSSSPPSAVAIVPASASATASQGYRHAA HTRTMASTICTSPSELRLKNPAILICDMQEKFRNAIYQFDSVVATATKLLNFAKAVHI PVHATTQTAAKLGPTVPAIAGFLASTPHDKTKFSMFIPPVAQALLPRSRVALVGIESH ICITQTALDLRDAGHTPYVIADAVSSCNRAEIIIALDRLRAEPGVIVTTSESWMYECT GDAANPAFRSLIGVIKGSLADTRKVLETLPPTPKV UV8b_02868 MVVIDVSKQLKSFLTDSAVLNHLPPELLDIIRQQSTAKLLDAVA QAALCPPLTEQYASSAQLSLPMFDEVFLSALGEHDLLESLITVWRLLNLDLRTYSPIA STSYMQELFIHESRPIRYLAIRIFCLLLHSSDFKLERLILQHIGKGDSVLGHVDGVAV DFAFLPLYEHDRAQKVLALRRHVSDNAGTRGEKEVFQSLTPYVISYGDVLLPRPHGPI AEPSRLVMTSTTTKNLERLATLLRTEDPVLICGANGAGKTSLVHEIATQLGMHSSMVT LHLNEQTDAKMLIGLYSTNSKPGSFQWRPGVLTTAVREGRWVLVEDLDRAPTEVLSTL LPLIERKELLVPNRGERIRAANGFRLFATIRTSRGMHGRENLASFVGMRFWQTLHANP LPPPELEEVVLNTYPMLRKFLTGILAVHDRLRQLGSNASLHGRSVMDRQVNLRDLLKW CRRLKECLLLAGSRTGEELISETTRDWMFMEAMDCFVGSCPDVELGKHLTYAIAEEMH MSKDRADHYLTANIPPLDEADRVFSIGRVQLLKKKKLYSRLQKSKRPFASTAHAKRLL EQIAVAVKLEEPVLLVGETGIGKTTVVQQLAESLGHKLIAVNLSQQSEVGDLLGGFKP VNIRNLAVPLKEEFEDLFAATGISSSKNQKYLEQIGKCFAKSQWSRLSKLWKEAPKMF VKIVTELEHVHGEQGDSQDGSEQPPKRRKTQSKLQTLSELRPRWDSFAQNLDQFDVQV SGGSGAFAFSFVEGNLIKAVRNGDWVLLDEINLASADTLESIADLLTGPCERPSILLS ETGEIEKIVAHPNFRIFGAMNPATDVGKRDLPIGIRSRFTELYVKSPDSDLKDLLNII QTYMGGGSTRADHAADDIARLYLNTKRMAEEKRLVDGANEVPHFSLRTLTRVLSYVRT IAPLYGLRRALYEGFSMGFLTLLNRESEQMLLPLIYHHLLDKHGNPQSLLSQPPKHPN DGRQYVRFENQKRDRHYWLFQGEGTPIKRDDYIITPYVERNLLNLVRATSTRRFPILI QGPTSAGKTSMIEYLANFTGNRFVRINNHEHTDLQEYLGTYISGSDGKLRFQEGLLVQ AMRQGHWIVLDELNLAPTDVLEALNRLLDDNRELLIPETQEIVRPHENFILFATQNPP GLYGGRKALSRAFRNRFLELHFDDIPEDELEYILQQRSRNTSPPDCKRIVTVYKELSR LRQTSRLFEQKDSFATLRDLFRWALREADDREQIAVHGFMLLAERVRVEEERIAVKEV IEKVFKVNLDLESLYSATSAPELKNLTARQNAQGVVWTYAMRRLYVLVSRALKNDEPV LLVGETGCGKTTVVQLLAEALSKELRIVNAHQNTETGDLIGSQRPVRNRGAILGSISS DLAMIFEQIGHQVADSVEEMLKEYNSLDPAIKDSIPQTIRDRLRANEIRSKALFEWSD GALVEALRSGHFFLLDEISLADDSVLERLNSVLEPQRTLLLAEKGVDNSYVVGAPGFQ FFGTMNPGGDFGKKELSPALRNRFTEIWVPPLSTSEDIHDIVEAKLVDRSKHLVDAMV EFAQWFAQTFRPMANGTFSIREILVWVQFINTFEMTNPLVSFVHGAAAIFIDSIGANP SAMVNSDPKTVSCQREECIRKLGELIGQDVSHIYNAEPAVVLTDGMLSIGDFSIPRTA TGAADPAFAFHAPTTRLNAMRVVRALQMQKPILLEGSPGVGKTTLVAALSRACGQPLT RINLSDQTDLMDLFGTDVPVEGEEAGNFAWRDAPFLQAMQRGEWVLLDEMNLASQSVL EGLNACLDHRGEVYISELDQVFKRHPNFRLFAAQNPHHQGGGRKGLPASFVNRFIVVY ADIFQNEDLKLIASHNYPKLSDEVITQLIDLVSEMERQTVVVKTFGASGGPWEFNLRD VLRWLHLLDSSDPVLQIAVMDDFFDLIVRQRFRTPKDRAEADRLFTRIVGREPRAHNL YRNLNSRFGQVGFALLPRNLTVQPERLPNIDVVPRLAELESIMVCVKQNIPCILSSPS GYGKSSLLNYIAALCGKPLVVFPMNADIDTMDLVGGFEQADPLREVNTALIDLRECLQ ESIMSLVPSAVPVEALNLVHLLDAQNGDHESLSAVLTAIGNLLEQIQPDSDAGIAAIR AKKLLEGPLVLANPRFEWLDGVIVKALVTGQWLVLDNANMCNASVLDRLNSLLEPNGF LSINERCESDGKPRIIRPHPEFRIFLTVDPRYGELSRAMRNRAVEIHMLNPPPAAPSC LLRIAPVEGGLQRFHDLRLLTNTASGGAASTSASRVAVDHLSMEDLSLLPRFVETPLG ADVQSRDLLEESKSVLGFFQTPNGCQILRSVMQLYATLPPSGTAGYPDAQPIHMLNNQ VVAKLLPDDTLAPWAAVRYETSLRLHRVRVAIQQFQSQAQATRLAALSRLQRSLVSQR VAAVSKDSTVKLGVFFSSIIDSMESFMEHLPHSRDNFFSRLQLFEILCLFLEQTLSLT SGPDFDEALFQAHISLGTKKLLSFLEVMKTEKDSAFILLAVSQVSGSFNNGFQLCTGL SMENLWNAFKPLPIPTQPALDQCIALNHLSSRFDALRWRVSSSITNLCKAQEALAKAY AVVKFGNPSSDQLIKDLTDAVEELESGIGHESRETVPFFATIFEHLRQLRLLGALTKE FQVPRPESELVVLANVPTKATMMFACASENALRLQSIDFLTCQNNFTWNGTMVTTLWE KLGKLRSVSLSSLALLETELPILGQQLASLTSQITADPVAELNELLWNLLLDTFGAHS SQLKAELSNCFTRTENDIATILRTAQDGLLSADGFFNEFIQAIDAAHLREVALDHFVP VTISLAAAKVDSKKATYFSSLAWLHFSISLVKLYVPDRIFDPQLQPKAELMFFRQLES SLHEKLDALKEFQNGFTGQTISARIDLVQSQIDQLGPPPKLVRPAFRPERSELSQVHA EFVNILRIVTGPGLQPAMRAPSLNWDASDEGSLRVVRENICRLIDRLSSRFEVYQDVV QPTVNILRCLLVGLSLSDATRAKSLEASTKHLIDVTPFFGSNIRKFPPRNLETNTFEF LSLMSLNVSINGLEAFSSADREAVFGCFHGFYNDWNRKLDADRKEEAAKTSIYRFRGA LEDEEELDANEFNELFPAFDEEDSTSRGDTKPDFVRNISLMVADAHRKIFLERQTPSA AFRECCMSIGQRIVTELSGESNVDRNTNSSMLASTLVFLSEKTDELQLTVPDKGYNFY KNANLTEARQLVSLVLRIKARFRELQQIDEIAHLQPLADVIQSCDRLLEQVNGEPLAK LLPKVEQLHAHVYEWQFGGWASKTHGVLPLHDSITDTIIRWRRLELSTWANLFDMEQK KCKEDAYSWWFVSYQVVIAMPLTMMESPSELQEYAKQLIENLELYFTTSIVGQFETRV ALLRQLLSHLNLLTCDYPVLSVISVAMTNFLQYYSRYETPAREAIQKGQVPIVKKMKD VLLMASWKDTNINALRDSAQKSHKKLFRLVRKFRSVLGQEMKTIISQGLPDEDVVPAA KGDPVPFDSGMQDISVAPLVESLPGWLEEHTRLHNLSRTVSIMRKITYSPEVSSNVAV LLSDFVAELNASMAELRKETPCTLTEETKIQIKHLKTRKRKLFADTLRDLRAMGLQHN LSGDRLVQQSTLAAILSKISPSSPAKTGAEKDAEYFLHKTLDLAPRARDAAREHSEDL TGAEVARSVGFMEGLISFSLSQRQHLGATAKSHESLQKAIDQFKQLGEFSKNDRLVHN SRDYNWRRLLPWLEHGIEYAALLIEIHGKLGKVDNQVPIDGLRRWAERLKTRSTAASN LPTLPPRISSTAHDKLNEDVLADLKEIDEDITKLADARPDLGFCLGYLKVGIEAEFTG IDMSSKNTRLSEFADAISKLTDTVLVAMERAKKLGIVIPRDPAEPGWLSKHSDAFFSM MHQLHMKKVERTVQGCVEMIQQLQLDDPEISSAAMCLVGLAAPVLNQFDALCSRLIDQ GQELHRATAHMSYNLTKAFSQIASQGFCTPNETSEESSGAEGQLEAGTGLGDGDGAED ISKDIQPDEDLTELAQEANKEQNADMEDEKDAVDMADEDLEGEMGSVAGQDEEDEGSQ KGDEEKDDMDEEAGDVDDLDPTAVDEKMWDGKDDQEAEKDQKGNEAKGQQQDDEQVAG EEETKQEREKQSHEDVQDEEERQGDEEESEDDDIHAQEELNRQDQNVQEQSALDLPED MELDFEDNDAVSDSDEADDLPDANEAADEVEEPPADAGEDDEKMEDDEDQGLHDKEDE EDKTSQDEEGEGEVVAGAEEVTEEVKEEVDSGADNDAGDEGEEENPAPVSQLPQDRAN TDVDDAAPSDAKNSSTTQDQQAMDIDDTFEAQAAQQEQGEMGDGDADQDASAGTRGGT SRSNENNEKPQQNKDEEEAARSDPFRKLGDALEKWHRQNADILQANQEDAPDQRGDDQ TDAEQRRQEFQHLQNDDAAADTQAMGTAAEDEVQPIDETMAVDDRGTGEDPNAHRMEE DADDEAVESADKVDMDDVAEARETEATELDGVRTGVQTRQGNYNQEDSASEADADLSQ EVGHDGPIEETSEQLSSTHLSNEARGLRDYGECVHQWSEFQRKSHALSLALTSQLRLI LTPSQSTKLSGSFRTGKRLNIKRIIPYIASSYKRDKIWMRRAIPTKRTYQILVCVDDS KSMGESSSGRLAMESLVMVSRSLSMLEAGQVGVVGFGADVFTAHELTEPFASDAGAKV LQKFSFGQDKTDIALLIRQTIDKFRQARDQSGGSSDLWQLALILSDGLTPSSAHDPIR RLLREAMEERIMVVFIIMDDTGKRKGDSVLELKEAKFVKGDNGESRVVIERYLDTFPF QYYLIVHNLEELPTALAGLLRTWFAEVAS UV8b_02869 MAMSCRYAAQCCARQLRVVGSARASAQMLRVSSLTRFNSTDAAP TNPKIAEIVDQISQLTLLETADLVSSLKSKLNIPDMPIGGFAAAAPAAPAAVEEAEEA APAAAEKSLFTVKLQAFDAAAKAKIIKEVKSLLGLSLVDSKKFVESAPKVMKENVAKE EAEKMIATLKELGATVVME UV8b_02870 MADPFEVRMRFSLQLQHLNASVNSAQKAAQYALKFKDMDEDLHS CILEQVERNNMNTRANIMYFIEHFLDLAKEGHADYVRMMQRDIIRVVDAVAPDDGSGA TNVKVVRKVLRGLQSKGHLESQAVSQIEDVLKERETNDADLSPASPNADVDMADQPDA PSAPKGSHKPAAHGLDKRQIEQRIEEDRERHKRQRESIWAIPKTRDAEMERLWEETSD FGEDDDRLLTEEAVEFEKEMTMQHCPHQRSANGDH UV8b_02871 MSSKQQGDFTMTVLGCGTMGIAILNGILTSLAEINGPRPLQSPS SGVSTPGEDRPQSLPSRFIACVRSVESAKKVKSALWEHSSIVKVVRNDNLAAVQQAEV VLLGCKPYMVNTILGEPGMADALKGKLLISVCAGITAEHMEIALHGSVPATSPDADGR CRVVRAMCNTAALIRESMTVIGITEPPLPPHAKTLVTWIFKRVGDVVYLPANNMDACT ALCGSSPAMFALMLEAAIDGAVAMGLPRAEAQRMATQSMRGTTGLVQSGEHPALLREK VCTPGGCTIGGLLVLEEGRARGTISRAIREATVVASQLGKGIQGVNGTRFNATG UV8b_02872 MAALPDLTDWLVDSNEAFGISLVSPSKSGLRLIDSFHPKFTYAI FGDDEKIFGYKDLRITLQYRANDMRPHVETIYSKKLKPPTGVDEPTDINALLQEGNHL PKIAFVKGSDFENSAQQLGDNWTPPGTLYETIEGPDGKYEVWKGSLADPAVSQLNSRI QILVPLFIEGGSYIGQDPNSDSPTPDLSDADRWTVFFLYRAQKSTDEPEKTSYVFVGY STVYRFFYFGRPITPPPDGDKWELPEGNLDLATLPCRIRLSQFIILPPFQGKGNGARL YNSIFKHYFDHKQTHEFTVENPNEAFDDMRDLCDLAFLKSLPEFRRLELDSSVEIPQS GAIPKLIRGEERLESIRQEAKIAPRQFSRVLEMYLMSKLPASVRPTMGFNDAPAAPTE TDKQLERTWQLIVKQRLYRHNLELLSQIEPAERIEKLQETLAGVELEYARILAAHERC MSHLHRPTKPKRGLEDSEPEISSKRPRIEGV UV8b_02873 MAHITSTGAPVGSVVFSVTVLLVISVVVLLILRHYLPLRATPGF YLVPIFFALWLPSIAVLLVPIDLASSAATDDDASRGIWLPERVILVSWRITYWLTFVL TWFILPILGEYSDAGYHEPNDKLKYSLRQNAQFYASLLGASFLGLLYVFIAYRPSFSS LKGLIMTLAYCWGLVLAIYLMGHGLVSIPRRMIRKASISGRLRRLQTRAPKVHEHMED ALITLEEVEGQVAELSRRKTGTSLNFQEWIEELQDVANIGHGRFAPIAGGSSATSNRG VPNFITEKYLAELSRKLVRARHTRTRYVNEWIQLVQEAGKLQAILDSAGPKQLDLEAS STHARTWDPSRLLNPHARYLCFFYLVPFSHLACGFFLALASACIVWSELVRYTFPRLS IVRISVVHHWVREKPEVGFAGQVVSAFWICYMCAATFVSMTEVKVWRGRALVKRNTSY ESAFWYSMQVAKLTIPLSYNFMTFLSKEVYEKTIFYKFLGQLIENTAPGRWFDDLFPI VVLFPVVATLFGLYGKVKRVFFGIDVLGDSDENAPTDGTGSWRAGRDLLERELRGTAT YRRRGDTISRLGLSNGRSTPTLSVPAILEPTQSPARNPVSAADHGRRAHLPRGVYMAA DEAPQDENMFQILGHRMKNTIDTMEAPRWLQHVGQGIRLPKWMGGETDGSAGSAQGSS TVRRWFGGEGRVRL UV8b_02874 MDDYMFRYFVDECRTVHEDTSYMEILNYSDPFYNSCEEHALTCD EFENFLLRRGAFATPDNMRKGTKLLSGVRLIVQKNAKDKDTFMPKVLSLPKDSYASMV RLMKLPYRGIETTSVVGPFFWSSFDQDDDDPHLQLIHRKSDVRKKGKTRGWEMMLSYS FKARITTGFLKGTPSSDIVPALQHLRACAAQIGHPMLLPIIILSYDLSPVNDQKQRDA RDWLRRLENAVSLRNEVEENEQYFQDGLLEVDGLNRDLVECHGHVMWKRPQAYMALAE EMEKAMDRFREKLVGVEAGGSDDAVVDAERAHHKEVDKLHRSLSARLEFYKTKLKGLE NYIHTTLARLKVQREALYNIMSQREARLNLEIAGEQRRIAHASKRDSTAMKTISLMGT LFLPGTYLASVFSMTFFNFQEAAHPVSTGLWIYFAVTVPVTAAIVAIWMWFDRRREAQ YAKDDEDLEQNIDKMEKEIMFHLRKRTMSKAHTWNSLSSPPRP UV8b_02875 MPSLGFLKKKRNRDSNPDPSASNPTSPVAATIPSQPFDSSQQSQ PSSVSQGAIAPRAAACDALNQTSSPAPAQSQSSPPAPQQQQQQKMDFTNAQGGTGTVF VAPVPLQASQASSQNLPAISNLIHQPQNGASSNNYPSASFDPAVLGAQISHPPSTSPG TNPARLQQQQQQQQQQAYSQPTMQPQDSPDQLSDREQQQQQQQQPQEQQYQHPQLHQL QLQEQQQQRHQQHEQRPHHQHQGSVSRATKGKYSLGDFDILRTLGTGSFGRVHLVQSK HNQRFYAIKVLKKAQVVKMKQVEHTNDERRMLSDVKHPFLITLWGTFQDWKNLYMVMD FVEGGELFSLLRKSGRFPNPVAKFYAAEATLALEYLHSKNIIYRDLKPENLLLDRHGH LKITDFGFAKKVPDKTWTLCGTPDYLAPEVVSNKGYNKSVDWWSLGILIYEMLCGYTP FWDSGSPMRIYENILKGKVKYPAYINADAQNLLERLITADLTKRLGNLYGGSQDVKSH PWFAEVTWDRLARKDIDAPYAPPVKAGTGDASQFDRYPEDPEKYGGAGGQDEHGHLFT DF UV8b_02876 MGIAEADHASDLKSISLRTHSIQQPYLDSEMQSRWFDFGGDTIV RTDSYIRLTSDRPSQSGWLFSRVPLTATNWEIEVEFQIHGKNQLYGDGFAMWITKQRG QPGPVFGSTDKFEGLGIFVDTYKNNRPGVVFPYVMAMHGDGNKSYNKNDDGKDGELAG CSARGIRQAEMPTKLRLTYIQDKQLRLDLQYKEEGEWESCFDVKNPPQIPNIAYLGFS AETGELSDNHDIVAVSTKNLYNSPGSSNTDRAGASKGRSVKNPIVKEGSSWTWFFVKM FLLIFVVIGGYVGYTAYRAKSRSHRF UV8b_02877 MDSDSVKQLVKKQVLAEANLANARVLIENIQQNCFDKCVPKPGS SLSSTEQTCMTNCMEKYMAAWNQVNAAYINRVRQEQKTSSQ UV8b_02878 MSKTLAFGLSVSGKAGAAKPKPKPLQKPSAFGGHDDSDQEEQET GASEPQELDGDLYDDLEMARTDPAASQKRARRKPGAPAQPPKLKSQSRPAAPFGDLSS SLLSRKNAQEAAELDSTIYEYDSVYHSFNPPKTATTKEPAERKPKYMRSLLQAAEVRK RDALIAEEKKIAREREAEGEEYAGKEKFVTEAYKKQQQENRRLEEEEKRREAEEAKAN KGGGMSAFYRKLLDKGEQRHGEIVKAVAERAKLGPAPDDDDDAVDDDEPCADKSEAQL AQELNEKGASVAVNEEGQVVDKRQLLRGGLNVGSRKKEEVRKQQAEHVQEADKRHVSH AQFGRKQAMRERQSRMMEEQLEQSLKRSREAQEAQRHDVERALKSRKTESDISSAKER YLARKRAAEEAKRQDKAT UV8b_02879 MEFCSTCLTSLSSQSPSLPEPVSRGRRVACCGRIICPDCIRRNP RFEQYCPYCQVSSKLTSLPRHLKNPPSYTAVPSSRTITVESSCAPPPYTPTASAVAPT SGGSDKHELQENEKEPVRDDVLHFLNHDHDSIASLSLRYGVPAAALRHANRITSDHLL LARRTVSIPGAYYKGRGSLSPRPIEGEEEEVNKAKIRRFMTFCKEPDYDVALLYLERS YYDLGASVDAYLADGVWERRHPQELASKSSTQVKIKRPFWHGQSPQ UV8b_02880 MATTSNMFLYSLTIQQPNNVAQAVLGQFAGTKEQLIITGAGSQL TLLRPDPSLGKVTTVLSHDVFGVIRSLAAFRLAGSNKDYLILATDSGRITIVEYLPAQ NRFSRLHLETFGKSGIRRVIPGEYLACDPKGRACIIASTEKNKLVYVLNRSTQAELTI SSPLEAHKPGVLVIAMTALDVGYANPVFAALEIDYSEVDQDSTGQALEDTETQLVYYE LDLGLNHVVRKWSEPVDPTASILFQVPGGNDGPSGVLVCGEESITYRHSNQDAFRVPI PRRKGATEDPSRKRTIISGVMHKLKGSAGAFFFLLQSEDGDLFKVTIDMVNDDQGNPT GEVQRLKIKYFDTVPVATSLCILKSGFLYVASQFGNFSFYQFEKLGDDDEELEFSSDD FPVDPHASYDPVYFHPRPAENLALVESIPSMNPLMDCKVANLTGEDAPQIYTACGSGA RSSFRMLRHGLEVNEIVASELPGIPSAVWTLKLNRGEHYDAYIVLSFTNGTLVLSIGE TVEEVSDSGFATSVPTLAAQLLGDDGLIQVHPKGIRHIRNGKVNEWDAPQHRSIVAAS TNAHQVAIALSSGEIVYFEMDSDGSLAEYDEKKEMFGTVTCLSLGEVPEGRVRSSFLA VGCDDCTVRILSLDPESTLESKSVQALTAAPSSLAIIAMDDSSSGGSTLYLHIGLHSG VYLRTVLDEVTGELTDTRQKFLGPKQVRLFQVTVQGTTCVLGLSSRPWLGYADPITKG FVVTPLNYVDLEWGWNFSSEQCEEGIVGIQGQSLRIFSIDRLGDTLTQKSVPLTYTPR TMVKHPEQPVFYTVESDNNTLPPDLRAQLLADPAVVNGDAKSLPPEDFGYPKGNRRWA SCINVVDLVAEEPRVAQTVDLENNESAISAAIVSFASQDNESFLIVGTGKDIIVNPRS HSEAYMYVFRFQEEGRELEFIHKTKIEEPPLALIPFQGRLLAGVGKTLRIYDLGMRQM LRKAQADVASQHIVSLNAQGNRIIVSDVQQGVTYVTYKPTTNKLIPFVDDTVARWTTC TTMVDYESVAGGDKFGNIFIVRCPQKASEEAEEEQSGLHLMNARDYLHGASQRLDLMC HFYAQDIPTSITKTSLVVGGQDVLLWSGLMGTIGVFIPLISREDADFFQSLEQHLRTE DPPLAGRDHLMYRGYYAPVKGIIDGDLCERYTLLANDKKQMIAGELDRSVREIERKIS DVRTRSAF UV8b_02881 MEPMLREAASAVCGRCHFLLRRSAHGSWPARLPIRRAGRTTTLA DVDRLLPPTGRKLSISTARRFTSSSNSKSQAEASRTEAVSSKLRLSQDDLFHPFSSSP VPEFRRRAAFMRQHAYCPHPDHAPTKLPTVTQKPDGDEGANPNMPPAHVDFECPDCGF PVYCNEEHWMDHYEEHLKICDTLRQINEDDHDLRSGRVFQEGNLPDLQLDEAAVNMTN WDTFMYTREFAAVNSDRSMRQITRLLTYPVTIGSILHELSPYSMKKGGRLTAEGLKSF SALRYNLHPPKSGRGSSVNQLRPEPPPVRVFVLGARAESSLPRAAWVQLAHLFPESRL HLVLIGPESMANRDDEFPLPARTPSNPFGAIVEDRIWYKMKISTIVDYYHTIHKTGHF APYDPYFDCFVLFHPGLGHPASSHEWEETLPMLLETKVPIISTGYTQFDLERDVEWVH KKSKGEFDVLLEPGENIFRSLRWDLNDMDPQDVSCGNWGVWAFRGKRYEATKKEL UV8b_02882 MTSPAAAASASASAPKLAPAPGSAAPTTHKASKTSTPMNHRRPS RAPVLDALSDRATQSLIRKVLLPRESGDKGRDCQTPIDQLLPPLTSRNDVDLQLYALL AIILREYIQAWYSKITPDEQFVAEVVRVIAHCTRALEQRVRVLDLENLLFNEIPEMVD RHVTIHRSSHQASSVSLRDNSREAYHALWPLPFLSPVPVAGDLAALDAQLKNEAAYRQ LLAQAALSILLPTEDLENPCLTALVGQIFSELIIGNAMANKAAQPWLLLEVICTIERS VREKKEAGNRAKTLQSLHSATTNPRRWSAQGLLTSIIRFGMLFLASARFAFDLVMMSS SLPARRRVEEGVAKTSTAAQDNSPRPTKVPILSFSTWACLGNVMDVQARMPWLSGFLS LLHLGAIHGPGRMAALDSTLDRLLSHHIRLVLSASHLAPILRTLRGALFPNNAPGSPS MFPPSSDEELRALRGRASKALLHLLPATVARIYLGHGGMDPRREEDAVRELEQLLSIV DDEYCNKHLMYSVLELVLVRLMPELETVGVEELLRERLG UV8b_02883 MSLPSSVARVYRVASYLPVGYKGKNATKSERQSPNLGASVFNGR WLVASVINPLDDGSSRAGYILDLMSTSDAITKDGSEALK UV8b_02884 MAQDHMAITQSSGYNNEGWATISPYNQSPYDSSPMNEYPGFGPF VPHGMPSESLSRMPPPGQHQQQIMHPSASMGHHQLPMPNTTWPSQLTNPSPTSGSLSA PTVSMPSVPRIQPAIDVPKLTSQGEKCRKTLTTEQKRAMCQYHEENPGTRQADIGLRF GVERSTVSKVLRHKDQYLRRDQEPDPAALKRGGKAKNPDFDRTLSNYVRRQQQRGFDI EDDEIMEQARLFARASGNQDGILVNLTSSWLQKFKQKHGIGTAKLPRRASETNIPDNR MLSPRITRHDATSKEVSPTSPTQPMSPLSGSRSDDEGQREQNIEFDFPFRQQESHSTA ASLASDARDCAASSFSGGTLSPTGPFTFSPDPNVGGFQPMSLRHDLAPDFHHREKRSN TFPSIDISFKSQQGPVPEPVTPQLPPPVSAPSVLESPTNEAQPGPYAINTGLTSPPSL HHHCGSKSSIAVRNSMAPAESSPVSPSQEDARRAANTLLSYLQNSGQSFQASDYNAIV QLTKKLEIHQQMNHRPSVGGLSRIPEGDTEMTSSTGPALMQAG UV8b_02885 MQVINGAVSTEEDACAPDSSLVVIVTEKHKPGGMARRPFVFDLE SRSNQGAAGKHRLLDGNGATAEDGDPADVSVPITDAVDAKWCWCSGV UV8b_02886 MSTTVEKIKEIEAEMARTQKNKATSFHLGQLKAKLAKLKRELLT PSGGGGGGGAGFDVARTGVASIGFIGFPSVGKSTLMSHLTGQHSEAAAYEFTTLTSVP GQVMYNGAPLQMIDLPGIIEGAKDGRGRGRQVIAVAKTCHLIFIVLDVNKPLTDKRVI ESELEGFGIRINKEPPNITFRKKDKGGLNITSTVPLTHIDNDEIKAVMSEYRINSADI TIRCDATVDDLIDVLEAKSRAYIPVVYCLNKIDSISIEELDLLYRIPNSVPISSEHGW NIDELMEAMWDKLNLKRVYTKPKGRAPDYSAPVVLRSNRCTVEDFCNAIHRSIVEQFK GAIVYGKSVKHQPQRVGLAHELEDEDVVTIIKR UV8b_02887 MDAQNAAESDQTTPAVDAPQRRPCSENEKKPKSPLTANVSILSK DPAVKRTSADDLHYPTAPPDDAPADRDSDAETIVLPGKDGHSPSKARKVRQEDKSESD GHVAKGHDANSNGERPRHVDRSVHGSRPEESARKKLPSVSAASSVDKDRPPRNKDAAS SGLSSAPASPSHQHQNQNHNPSRRRKSDAALSSSPSDDSEPSARKTSSKASLRDSLKS CERPSLHKRKASKIESDDEADLRKARRQRTTSVGYDSGRPKDSRLSHAKHDNRNRSIS PLPRQHRRSTSTQLPSHSSSSNGPGNKKQRLPLPLQSTDYQSDSSSTCGSPHPQSSKI RSLATLASADSNISPAKMGPHKKHLDAHGQTLLARACARGEYEGAKQRLRERPEDLNV ADYAGNTPLQIAAINGCEDLVRLLIEAGCNLDCVNYDKDTPLLDAVDNGHLGVVKLLL DAGVNPRKANVNGEEPIDRVSDETDNADEIRSALLEAKKRAGERRRTSEDQHSHTDNH DARDTTHAPESPRQSPAAGAPVGTGRRTGTVRSTKTRNDLLYMPLDDKTLRQAAGRGD EETVARILQVKEGYDDPESMVAAARGGHDLVIQLLLGLGGANADPGPVASLPAEFATP ILAAIGQENIKVVELLLEQQGFDPTRRFKGETYYEIARRRQGTNWKEEEHLLKNAYDE YKRSHKDLLKAKSPGGRRDRERTFRRDDQKEDFRASKRRLSSPSRDIEPKKKPQKLGS PTEKKRSGSITNKGDDEAQKRQPGRLRKEYSGISDREVSPAPSHKPHKPRRVESDIAA MSSEGETAKPRRKLVSKGELRGERDKQRRTSSHKEPSSPRDPKNDDGSEKLRPSEKYH DRAKALKRDETKDWPSGDGTSKRPRSSVTPDRPSDVDKESSDAPIKRRRLDMEGQESR QKRPSSEDGRPRKSNSSRDGSVKPAASTHHKDRDEHSKRDSDTKLTAQSAQTGVGEKS INVKSEDVDIPMPDLEPAKTARSEEVGKGTSESDKVKRREAEEDAAKRDEENRRRAAA EEAKRQKEAEVKKAEEEKQRKRLEEESRKRKEQEERERYKAARREEEERRQRLEEEKR RKEEEEKKRRIEEEKRRKEEEEKKRRIEEEKRRMEEERLRKEELEREAAEEARRQREA EERKERERRERAHREEMERKRAAREAELRRIREEQEQARLEKLPPLLRWLDTHPGPKT GSITEKFKSMLGIRYDTIRPAATGTPEGREQWVLNTHVALLLGEKDLDLSRYTAWERA PVSLLAKTTIWRTEWPRYSLLSEKLWELGRQLPGYYGDVEPSRLDFQTKQRLKVSAWE KFAALDMFFVKLSDFLYTVPNIPHLRNVRLAVEYRELLENEAQLVGWKTTHKWKQDPD ADRFHGFAPRSKYYMNGSMVGEDLPTSHKTSKTPFPEKRVPRRGLVQVLPDDPDYERI CLEQGLEHLIKSLQTPSLTNGLDSSPMVHTMPNASNASISLANGYANGAKVNGIHDTS UV8b_02888 MESIDHFSLPRDLELTKIQGLPSTAYYIPNFVSQQEERVLLDKI ATAPKPRWKQLTRRRLQTWPSDLIRDRLLDAPLPRWLEEPVVSRILSLSCSASIPDDD HTIEQAITLPHHIFARSPHQRPNHVLVNEYSPGVGIMAHKMAARIGLSMDDGALDPTP VWRILQEPRSLLITADDLYTAYLHGIADADEDVDLSPDTIVNWSLLRDPLQYAQGRNH RQTRTSLTYRDVLKVSKMGNRLDTMFKR UV8b_02889 MRRLEHALAETAPSALCRTAFLVAAASVLSLSLLPRHVRGLLMD YGPRRRGADPRSSPARSAVDAVASCGQVPHSWFWHFYLGSVGLSALWAWQYASRGRVM ALVAEAQARRSSGQPSVELGRVCLAWLMMAAQGSRRLLECLVVIKPGKTPMWIVHWAV GLAFYAAMSVSVWIEGSEAIVESWRHFPDPVSPLTPRVSLALAVFAAAWFKQNQCHRY LASLQKYTLPSKGMFRYLVCPHYTCECVLYLAISCAAAPPGQLFNRTILCGVSFVAVN LGVTAAGTKQWYAGKFGASKVASRWRMIPGVF UV8b_02890 MTVEQCVAECKGNGFRYAGLEYYGVCYCGATVNGPQLDESKCSF PCSGNKTEKCGGDNTLSVWQDPTFPKAPNEVTVQDYKSLGCYTDESHLGRTLSYPLAL DAATFTTKKCLAACEKQGYPFAGTEFGKECWCGVVLANDTAKADNAQCDMPCQGDSSA TCGGRSRLSLWVAKDLESLEPCDAHHGATTTALPPATTAETTKPVESTASTKPAETTT TGPGYTSTTKPAETTTTGPRYSTTTAGPAETTSSGPGLSTTATEPAETTFSGPGYPTT TGPAETTTTGPEDTSTTGPAGTTTTGPGYPTTTAPAETTTTGPEDTTTTTGPAETTSS GPGYPTTTTGPAESTTTCLGDTRTTGPAETTTTGPGYPTTTAPAETTTTGPEDTTTTG PAESTTTCLGDTRTTGPAETTTTGPGYPTTTAPAETTTTGPEDTTTTGPAESTTTGPE DTSTTGPAETTPTGPAYPTTTGPAETSSGPGYATPTAQQPSHDTKTRPTGDETTSTGK PYTTATGPLPTTEKPTTTTTSPTTGGLCTSPVTVPPKCEWQCGNWCAPPLPHWNDKKG CRHAQKTCHQQVSSCFKNAGWPGSVDCFKFKAWCFFIDSYCQTSCPSGSCGKWDCWNK HHGGDGNAPSNPPSSSTTVYPCPATSKTPAAPTTTKPAASCRPQPTNICTQPANEKYG YGPGKPVGGIPLPVVGCNDCEDEFDEKPFKFYTEADSHDCPGFPWPAWPSVCTEACEE QHRQCVDTYSKGCETLGWKNGFHRRAGESFDRWDGSKRRPASRGSDSESCASAGSDHA GVWLEPGSDSFDCWGWGGNQPSWAIARCDKQYKDCVRVNRWVDGEDKCKAWPGC UV8b_02891 MPPPPHQKPENVLKRAHELIGVNQAFAALNLLHEHITSKRSRNV PIVSLEPVMLLLVELSVEQKKGKLAKDALYQYKNISQNTNIGTIELVLKKFIELAVEK VTAAQQKADEVQSSLEATATSNIDDLEATETPESILLATVSGEQSRDRTDRAIVTPWL KFLWEAYRTVLDILRNNARLEILYQSTATQAFDFCLKYTRKTEFRRLCELLRNHVQTA AKYSSQIHAINLSDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDIHTLLSLSKRP PKNVMMANYYEKLTRIFLVGENYLFHAAAWSRYYSLLRQSSVLVATGQGRKADNPPAS DADLQKAASFVLLSALAIPVISTSRSRGAMVDFDEAKKNKNSRLTHLLGMTQAPTRNR LFRDALSKSLLQRARPEIRDLFNILEVDFHPLSICEKISPILTKIGEDSEMERYILPL QQVILTRLFQQLSQVYETVDLAFVESLAQFPEPYQVTRSTIEKFIMNGNKKGDLAIRM DHATGVLSFDNDVFSSSKAGSAAGSAESETGTVQRLQSTPSEIVRSQLTRLARSLYTT CHYIDPSFTKQRRDARRAALQRAKAGAEEERLDVLARKEIIQRRKEEASELQARREKE NARQKRLREQALQEAEDKRLAAEQKEREAKRMQAERDRVRKEELKKQIADLKMGDKAI DIDLDDLDNLDTNRLRAMKLAQLEREKNDVNEKLRITGKRFDHLERAFRKEEAKKLSD DYARQNEQDRAIYEMVKANTLKEAEEKHKESVELKHRLTRLVSFYEEFRSSLHERRRD EFEKRRRDAERELEKQIAARKKEVRDRKLREKREREEKERELREAEERAAREKEEQRQ RDEARKAELAKLKEQRDKERQEMLEKAALQQRREEEALARRKAEKEKGGLPARTETPE GRKPPVIGGGSWREREASKNAEAAAASSRPGPSGPPMERTDSNDRTSGSGPPRLQLAG SGSKPSWRDRETAKAGGAGGTPSASETVPPPAARGFGTSRGAGASMERGGSSREDVGR TGSPAPEKESLPSRTAGKWVPPHLRKKA UV8b_02892 MAGESSRRSRSRSPASRDSRRSRGGFRWKNTGRRNDERDAPGGR RDVGECSRDRDRRRGLDENYGRRQNTPRDRDGEDSRRGPDRLRSPRRGDRYSGDRGSG KGSTKERPAAAAPAGGGGEEMIIVHVNDRLGSKSAVPCLPSDTIGQFKMMVAARIGRE PSQIMLRRQGERPFKDHITLEDYGISNGVQLDLEIDTGD UV8b_02893 MAQYTSRDVGDPSQIKKTKQSMADLKLRRLTELNNRLREDLERE RIPVSIAAKSIISYCNGTRDYMVPSVWNSVPKGEDPYAPQQSSGCCAIM UV8b_02894 MLKSKYVRCCPLVWRRFARNQHQVALGCPQLRPASDKPEPSFTN AQEEKNHSRLPSPLLTSGAVCRPVYNALVETWPPIPGPPSRLSLPYFMLGLFAAALNS KEEGKKKRKYSMFSASHVACDAPVGQALAVSALDQQTGIRRFV UV8b_02895 METETETKAEIGAGAGAGAGAGAGVGLADAAAPRLPRVTIRFCT QCRWMLRAAYLAQELLSTFPASLGEVALQPATGGTFVVAIQHEGGLGRVLWDRSADGG FPETKELKRRVRDVVEPRRDLGHVDRHDGAASAAGCRDCSG UV8b_02896 MADQHEVDLDSIIDRLLEVRGSRPGKQVQLLEAEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKFGRR UV8b_02897 MPTPPLLLDKVARNLPLDPSSRGPVPAASPHPTAILETDKAHAG PSLIAPSGMPSDMPSASPAPRRTPTGRPRGRPPGSTNAARAARQALAAAATSEPPPPP SAARAARQAVAAAAAIPEPPPKMAEPPPKRRRCAPGGLGGGGGGGGRFVDADEARARA AASASRPRSTPRPRPRLGTVPSNIVGATSSAMPRRERSTRSRVSASEELEEMRWGSAA AVAASVKQAEDYKPREERSWEEFHPSLDIERTFVVFPADEVDGISKESPITPAAQQQR TGTPLASGSMTPSRQVNPASTGTTPNPQCRTETNPSDSRNGTPSRRSRRPTRDVVRFY TSRPTDAGPTPRTPKVLPISNQTPKEKLDLKLPSYRKTNRVDLFESKTFGQARYVDKA MSNVGYQESDVFCRPDVALIKAIDTNAEEDPDLTSLIATEDHLPQSRLGRVEYDMDEQ DDMWLEQLNASRKQNDLEAITREVFEITMTSIEKEWHTLEKRIPKPNPKPPQTHRPRS SSAAAVNGETQSGEEPDSKCAICDDGDCENTNAIVFCDGCNLAVHQECYGVPFIPEGQ WLCRRCQLCGRGIPTCIFCPNTDGAFKQTNSSKWAHLLCAMWIPEVTLGNHTFMEPVM DVEKVPKTRWRLSCYICRQRMGACIQCSNKNCYQAFHVTCARRARLYLKMKTSQGALA VLDGGMVLKAFCDKHCPLDYSKENNVHQATRSAKKFYKRNMKGRLWADNLAIANVIAA QQRSMLTSAEAPANTKRDGAANPALGTDKKKSEQQPPKNMWKLPSGAPIIPQVVFDTV ETSIQRFPVLKRKDYLSEVCRYWTLKREARRGAGLLKRLQLQMETFSSMELTRRDFST MGPNGKARLARRIDFAESIVKDLEQLKDLSEKVVQREQIKFDAAELEGEFVDECYFPV ARLLPAAVERAISLDKDLFADGLAKLQARVEERYFATTLAFAHELADVIGSGIAKAPS PATSGNAARSVSTENSPAKTTFSEVRERRKRGKRILKAVQPYLESALRVESEISNKPY DSLQKELEQLIDAASMDTPPKTAAVAVAVSAAAAAASSTLPKQEDGEDTIMVDAPDSS EITVKSGLEDASAAAEADADADADADADAEGETDPLDMAEDEAEADGGNIQVNTSGLS IVVDKCEEAAAASPRRSKRGKSDGLASSSSETPPGDEVFVNLPPATHTGPPTPPQSNG SFGKDPFDPLSEGGIVWYLKSMQPRGTSILGEHWAAGRDAVRMLSEELTDLDDEELKG LRAEVDESVKAAELELGSLSDGGAAKPKTAAKGRKRRGSGRRR UV8b_02898 MDSEDGQHFIKQLAAFVRTHEKALANALQFQRREVRHRSSQSTS AATISSPAALPERPSTTSSTTGSLAAAFSLGALSFTSHNVKSAKLALTPHHLFFMLSR FEDLGINVGPMKVRLENLHDSSSSTNYVSFLGNSQRARSRGSDVGSVHSVSSMRSVMS GMSALWNSWGIGASISAARTERQKAAIQADLKYLYSAFTKIPCLRLAPDWRARLISGY EEFPFDSAVPLYVFKNLQALEVNSIDFRQFFGWDRLADQLRSLSVKQAGIEDPADILI DIVLDDMDKRRRRTSKSQSSPTTTWAGTNNPRRSPTIAPPELVRAASAPGSPEHRTLL AELGLESMTPNEPVVEDSESSDTARLSLSREDAEDVSRSPAKVPRPRSISPSRPASSK NHSSHVRPSQQRIRRSGSGSSHSSLSDSWHHHVRGSSGNLLAMGILPASKWRFLRHLS LADNAITSIHPSSLAPLANTLYSLDLSSNLFSQIPDSLATLTALRALNLSHCMIDSLQ SLTRNPLPAITALNLRANRLRSLAGIEKLYPLERLDLRDNRLTDPLELARLTGIPDIR EVWLEGNPLTRTRKDYRVIIFNIFRSAPGYTEDVVIDGSGPSYSEKRLLVERAPIPDS IPVVKSKVADGPAVVDVGKAAIVHNVSKEPTVTRKERPVPRAVTSEVNTSSSRRRKVS KRRIVDLATGDGACSSVAEPRFERSIATLESPSDGSYRFLGRSEMALSPPIAPVVLTG SLHAPPTPFVTGQPASDQGAPKMLQQFDAAVSLEGQKEGQDWDAGGELYRRKIEALRD RVGSGYLSVLSEESWDGNANPFLSSGVPSVAALRTGHVSHHVPQAQAVHSGRTLG UV8b_02899 MPALDVPQCLVVYSSSLHQKDGRQLAARARCCLKYAAQCPPSSR LFFRYPKTGTYKYSHPTIVTKSLCHASTMRFSPMSYKNMRQRAGSRRFRPFPPPPCLP ISAARVDPDSCQVLNILLRHCQLPTPTCSTVYRERGSTLAASLRPKGP UV8b_02900 MTTSFPRGFSLLPSLRLAAPPSVVARTSFLPSCRFFTTPASRLA TAQSSSSFSQARPPSPPPSAPPKPHLPARRPPPAAAAAAAAAAAAAAPSRYAFIKSLA SKQSPTVLYEAPSHFWFYFGCWSTGLSILAWTVLTGPTAVDQPDEVPRWVGVVFGTSY VLLGAMGFYLISKTPHIVKTIRVLPRASGPAAGQPQLEVTVKRMLPFLRPRVIKTDLE NVSLKSRFSLPEEYVPELRRLERELELEKKRKALHELDMSHLLTMPFRRIGRALASMF RGVRSAWTDMGFGAIKVDGKLYKVDVTKGFAHDGFKTLEQIAHVGFK UV8b_02901 MASFAAAARRASARLATSRGASRGLSSSARCLAAQNFVMPALSP TMTEGNIASWKVKEGEGYSAGDVLLEIETDKATMDVEAQEDGLVMKIVAGDGAKSVQV GTRIAVLAEAGDDVKTLEMPADEQPLGAGPSSSAGPSGGPREAGGPGDGDGRGRGHGH GQGKPAAHAAQSAELHEQRYPLMPSVETLAHRNGLSRDDLARIKPTGPNGRLLKGDVL AHLGSISADRPAAVSSRFERLSRLDLSNIKLAQNKAPAAKAAQEKASAAAAPPPLRIN LPISLAKVAEVQRSIHHTLGVSLPLSTFVSRAAQVANDNLPPANRAPTASQLFDQLLG LDEVKAARGSRGVYLPQVSAAGPRAQPGRAASRAASGEGTDIIAVLAGRPAKKRAREA GAGVPAVPGLSSGLNVFSLVVPKEEERRAHVFLERCKAILEEEPGRLVL UV8b_02902 MSASHGPGRPDVEAAGFDPGAGPSSSHSASRERSASTEGLQEQL SDWNNDGESDGQSDGNDQDSEYELLMDPNLPRDEAGDAMEMTSLTAGKLPDNEDSPYP EVRAAVRNYDEDVPCNTVRAWVIGLTLVFFGAAMNTLFSLRSPSISIGPLLAQIIAWP IGHAWARWAPDKKFTTFGFEWTLNPGPFNIKEHSIIGVMASVSFSVAYSTDIILAQLI FYKQNFGILFQLLLTISTQSLGYGIAGTMRKFLVYPASMIWPSNLVAVTMMNAMYEKN EVRDPSVLGGSMPRYRWFTFVTVAAFLYYFIPGFLAQFLSVFAFATWLAPQNPVVNQL FGGTTGLSLLPITFDWTQIAGYIGSPLIPPWFAIANTLIGVVVFYVIGCSVLHFSGAW SAEFLPMSDPNTYDNTGAPYNTSRVLNPDFTLNEDAYGKYSPLFISTTFAVSYGLSFA AISSLVVYTFLHNRKRIWKQYRNSSNEAPDIHMKLMKKYKEAPDWWYMGLFLVMLAMG LYTVMAYPTKLAWWAFLLAAAISFGFSLPIGIIEAVTNNRIGLNVLTEFIYGYIQPGR PLALMIFKTFGYITMSQALSFVSDLKFGHYMKIPPRTMFWAQVVATTLSCFIQIVVLN LALSYIPDVCDQHQRDHFTCPGGRVFFAASVIWGLIGPNRMFSPGRIYSSLFLFFLVG AIVPILIYFGAKRWPKSPLQYLISPLIFGGAGAIPPATPLNYFSWGIVGFVFQCWIKK RHFGWWSRLNFLTSSALDLGLALSTLFIFFAFSMQGIEPPKWWGNDVVSSTMDSQGTA VQRQVVAGQQFGPKSW UV8b_02903 MRRVVVTGLGAVTPLGVGIRQTWSRLLAGESGIVSVASRQPRAR WSDLTSTVAGVVPHGQDPARGQWRASDWLSVSDQRRLATFTQYAMAASDMALADSGWT AAKQEDAEATGVCLGSGIGNLDDLYETSLAHNVDGYRKVSPLFVPKILINLAAGHVAM KHGFQGPNHAVATACTTGAHSIGDASRFIAAGDADVMVAGGSESCIHPLAFAGFGRAR SLSTAYNDCPSSSCRPFDADRNGFVIAEGAAVCVLEELEHARSRGARIYAEVKGYGLS GDAHHMTAPREDGQGAFLAMKRALKNAQVKPSQVGYINAHATGTQVGDAAEAAAIRRL MLGEEGVSSEAEVTVSSTKGSVGHLLGAAGAIEALFAILSIHEGVIPATQNLHRPDVG VDFNFVPLTPQEKKVRVAISNSFGFGGTNSTLVFSSL UV8b_02904 MACESCWSQTRGLARVRASARASASFAPWRTVQHARPTSPRLPQ RPPTRAFGDTAPRRILGMGSSLRESYRVLGATEKLYKACAQPADYHISEAARKNDRVR RLPDGEELGEPLDGRNVWHKTFGLQPSFSTWSHVTMLHLYLLNARVRCLERDAYRNWQ QQLVDHFFFDCEKKMHLDHGITSSALRQRYLKDIFVQWRGLLLAYDEGLVKGDAILAS AVWRNLFKGSADADPRALCAVVGWMRSCLASLELVSDADFAHRAADTLAKPVDGFWTR LEEPFKRAFVEEVSRGADANGCGGQGGARLA UV8b_02905 MQPPELTLIVAATRTMGIGLHGTMPWTGLRKEMQYFARVTTHVP PQSPAGSVNAVIMGRKTWDSVPPKFRPLKNRLNIVVSRSAPGETPPPAPSSPVSEPVR VRSLQDALALAKAHPLVSRVFVMGGGQIYREALGRDEAKRVLLTSIEGDFECDTFFPL DPSSDAARDAGWTRRSAAAWRAWTGEELPQAQEEAGVKYEWQMWERS UV8b_02906 MGTNRIDCYLDIASLYSYVCFEDMHPNLGRLRANGIEVEFHPVF LGGLLNLTGNKPPWTSAAKARYLGYDSPRAARRVGITDYAPPEDLLQRAKTQSALRAL LFVKARFPRETFLSVLRFLLHRFWTPPHADVVDEASLKALLLQATETPTRGSRLFTEP DVDAIMQGRAEMKGKLIEDTGRLAEDGAFGCPWLVATNSRGEVEPFFGSDRFNHMYIF LGLPFQDVVVLEPGAKL UV8b_02907 MVSKRKRVLEDFDPNKSDSEDENFDPSETRPLRSSKKARPSRGS RISGGRKKSHRYRGSDIDDDDEILSGSLAEDSFNEDGSDDVEVPVNAAGRRTRKAART QLNYRESSAEEDADPDLVQDSDDVGDLDESEGPATRRVVKLKVPAKSSTEIVTRRTTR ASTADAEEFVELSNSGKHAVVSRDSRSRSPETYSRIRRPSRSTKALHAKPETIEEVTQ ESSSRAAHDADEPDELSHEYQAGDKKDVDEEKTAGGVATDDGNVAAKQDETVTADDDD DDDVPVTRRTRASRAAAVHEEQQKADDIAAEDAAATSRRLTRGRSKKSTQEPSSDFEP GDDSEDEVMSQSKADAVESPTPRARGNRRSAKNSRRGGDSGDEELDVDLDELNEEARE LRQASRPRRRPRDESPIVYQESRRARTKVNYYMPPLTAVNIEEEEVEDPAPTPARRRR GGTNMGWERTLNTTFGPFGGGGGVGALLSGPWGTGAAGGADSDSSDDEMVHRSAVAGN VGMTPTSGATVGGLLQGASGLNVEATPNVGKIKDRKALADADPLGVDMNVDFSKVGGL QGHIDQLKEMVQLPLLYPELFTKFHVTPPRGVLFHGPPGTGKTLLARALANSVGSGGR KISFYMRKGADALSKWVGEAEKQLRLLFEEARKTQPSIIFFDEIDGLAPVRSSKQEQI HASIVSTLLALMDGMDGRGQVIVIGATNRPDNIDPALRRPGRFDREFYFPLPDIDGRR SILDIHTKDWGLSPQFMSSLAEKTKGYGGADLRALCTEAALNSIQRTYPQIYSSTEKL IVDPDKISVHASDFMMSIKKLIPSSERSATPGAKPLPKAVEPLLRNQLRDAKRFLDEL LPRKKKSTALEEAMYEQYDDDDDGFGREAIQQEFERSRVFRPRFLIYGTQGMGQGYIA SAILHYFEGVHVQNFDLGALLADGRPMEQAIVGLFTEIRRHKPSVIYIPNVEVWYATL AGTVAFVAFHTALRSIPPTDPVLVLGTADCDKHELPDGLLNEFFGFSHKNKMEITRPE RDNRMEYFSWTLEYVKKKPAEFPSPENRKKRVLEELPVAPAIAPRPPTKEEVKAIKRR DHQFLNCLKTYLQPIMDQINRKYKKFRQPVIPQSQIDYLFAESDPNYVRPDVAEGMVR PYEIVKDKHGHDVLRDTATGKCYYNLETTTIEERLSNGFYARPKDFLFDIKALAKDAR NIGDKERTLKANELLSNVEVDIATIEAAHPNIDWDGLHSRQVRRAAEAAEKERKRKTV RSILERVQSDLGGGDEGESQAPPSLGEPVPGSCTTARFQLRSPLSNGQVDDKTANTSN GTEGGQKEGNDAVMSGVDDQTQPATQASVMGPPAADKPEDISSAHSAGGGHGGVTQIS QKSAVTPLPPGVSPSAVINDASTTKTSDPSTHRSSNLSTQLTNGNHGGPSSGENGNDA DIPDTLANASQVTPSDDGWIHSQAHGLAHSNAGAAGRSNLAGSPASSQSQALLSSAQQ PGGRRSSGQRSSGQQSSGSVAVPSRRGLQSQQDPPLIRFSGSSQPPSVEGAIENLLQV LTDGTSGCTIEQLEQINRELMDEIWKSRHEWNRHKVVGNLGKVFNDTIGDIETMQGVG PFSQKRSQL UV8b_02908 MNGSSRAAPPPPLNLQSSPPPNSSSRLKFIRANTADSGPASLAN SKRSASYSSAASSSFSSSFSSLRRITTGFIKSSPTVNVHTTCGRHTDQLLFSGPSLKD LARSIRKRF UV8b_02909 MAALVHTYPQQTSTTAMLQTRPSSNGAMLSASQSHGSQQYNMGA SQSPRSAYQQGGPRGSSISTQPYAFSSTPNLGQTLSWQQYGAYRTNPSPTVPPPQAYD AAPNHRSVMQGNAPVYLSSFGVSHGGSRDDSALPLTRNTAPTPRPHSFLSVSTQPSFS ASTKQTGPDRYRRTAGAQPPHHGRSHSSTLQPSPSIIPPSHMSQANRRYSASNLTGSQ GLMSVSSMDDLMQFKRAGQEDAMRAHRRSVHKSENPELVKTRPISRESADANKQTRNT RLSPHSRSGSTESVSSTRSGHSRPSSATNRAPTCNPSPLSSDETPGKNDQQKMFNIPP RGSSSDVAKRTLNSSPLSKPATMANEHGEDGSLPVVGTATNQESPAVKQLAALNQKGG KGKSKTSRLRRAFSFGSATEFRKTVEDVDRSEPSRLHKKPTQDEAYDAEQARIVRAQE AGGIGNSIYGGRFFGSTDNLSISSTASSASIMIRKMGKGMKKSTRSLVGLFRPRSIIG ATIDEATAPQSSSQAIVSMVTVEAETQRVNVTPDPHAANGGTGFPHLERNSMDAAQVT ETGAERLGSSSGEQAANNRQSTLGPESERAEALAAVRKGILKRSGSPSVKPVEATSSP ELVLPVIPAVADSPISSAPSTPNDDALGHRRTGSIAIGGEDYFMSALRLRRDSQSGTP SAKRNATFSPRLIFYDTWPSQEYDRRGEIATCNRLTPLLAQQIKEELNSFKMEMEVHE NSKIYTHFF UV8b_02910 MASQTQTETQTLRAASDAAGPSNKLHGRAFYESIGSPKFVLAPM VDQSEFAWRMLTRSFLTDQEKKKMLAYTPMFHARLFAQDAKYRKAHFQALKPDSTEPW LDGNPAVDRPLFVQFCANDPDALLSAARQVAPYCDAVDLNLGCPQGIARKGHYGAFLQ EDQDLIFRLINVLHRELPVPVTAKIRILESRQETLAYARNVLRAGASILTVHGRRREQ KGHLTGVADWGTLRFLRDNLPPETVLFANGNILRGSDMEECLAATGADGIMSAEGNLS DPALFAAEPPVGQGGREYWRGRDGKGGWRVDAITRRYLDILHKYALGAEPPPRRPLFV PGDDASWLQESQPKEAGAGAEAGAEAGAEAGGEAGAEEPARKRRKKDAHGGPARREEP CPNLTAMQPHLFHLLRHLVTKHTDVRDMLARSRKDGIQGYERVLDAVERKVAAGILEY EATGGRSFEEELEKLGDADADADAAAADDEGQSSKGTVRRCKRPWWVAQPIVRPLPSE ALAKGAIRPSKKELQAQARAKAAAAVAEGRVESRAETVAGDGGTGSRVDL UV8b_02911 MASADVGLPRRERGRDRGPQRDGDSYRPKPRERTPPPVRTDEEK QAAAKAEYEKLLNMRSGGTYIPPARLRALQAQITDKASKEYQRMAWEALKKSINGLIN KVNTSNIKHIVPELFGENLVRGRGLFCRSIMKAQAASLPFTPVYAAMAAIVNTKLPQV GELLIRRLVMQFRKGFKRNDKAVCLSSTTFLAHLINQQVQHEMLAGQILLLLLHKPTD DSVEIAVGFCREVGQYLEDMQPSISVAVFDQFRNILHEADIDKRTQYMIEVLFQVRKD KFKDNPAIREELDLVEEEDQITHRVELDGEIDVQDGLNVFKPDPEWQDNEAAYGKLKS EILGQGTDDESEEEDGDDEESSGEDEQEEETKAMEIKDRSNADLVNLRRTIYLTIQSS AGFEEAVHKLMKINLPAGQEPELPSMIVECCSQEKTYTKFYGLIGERIARVNRLWCDL FEQSFARYYDTIHRYENNKLRNIAQLFGHMLGVDSIGWHCLSVIHLNEDETTSSSRIF IKILFQGIVEEIGIPRLKARLTDELLRPSVEGLFPKDNARNVRFSINYFTSIGLGALT EEMREHLQSMPKPGLPAPAAADDSDSDSVSSYSSHTGSSYSSRSRSRTPRRIGGRSVS RASSERGMTRTFAETRSRSRARSRTPPGRRRNRDLHSASPARGRRRISGSASRSPAPR KGRGARSASYSSYSRSPTPRRRRDSESFSPPPRGRGRARSPRTHRPGSPRRRNSSSVS GRPQSHRGQGYRRPPSSYGSRSPSPRRRARDSPSPAGRGRRRDSPSPSRSRSPSPNAR PPRRGYRNGASASFGEPRGSRRPSPAAAKRRCSDSASRSLPRAKKGRHGD UV8b_02912 MKFSAGFLTLILVQRMRPSLAQSGDTIRALAIVNQARQAKGVQP LVWDANLTVYAQLWANEMASGRRPFEHAQGAYRPNQGENLYEQTAGQCDVAYDNPLQA AVHAWLSQEHLYNNQPIMTGHEPWLHWCKKSPATLGG UV8b_02913 MAARPTRLLTRLVRQPPRGPHPRTTSFLAPPFVTPKIRPASLRA AHSIPRPPRRTTPEAQPGAPGDAPTSEGRPPPPNPAYYQLSFTCLPCGHRSHHNVSKQ GYHTGSTLITCPGCRNRHVISDHLNIFGDRKITVEDLMREKGRLVKRGSLGEDGDIEF WPEEEQSARQGGSGNNAVSDREDHAR UV8b_02914 MSSKSSGRIEKVRKNKYSTPHQKKHRWESFSTKIAKFNSLQPLR KVRRHDLDNEDLSTTTSYFQNGLLKWGELNISKPFTSFKREVSPLSESLPQILHFQDK IMGLLVQYISLQDKDALEPLLDLLTAFAHDLGVRFEKYYLESVNLLLAIAGKPQDVEV IEWTFGAMAFLFKYLSKLLVPDLRPTYDLMAPLLGRSRHPQHIARFAAEAMSFLVKKA AAPSHREVALTSFVARVRDDLATMVGNGQFSLYNDGIMTMFAEAVKGADNTIHSVGGA VFGALVDAIPLNERELARDTVWTDVICGVLTSVVHHATADSMGELMDEILAKLQSIRL EVVDEKATWRTVPSFRILGVLAGVRRGSRLRSWDGLVRESIDTLRITGDGAAEVRQDQ ADILWSSVIVKLAIIWHHAPMDVLLPHISVLTQKFTKEPFMPWFISFCAYFCDLDANR FGSLFRTEFQRYVAAHWSQGQNEDTLCLLLPRMIKNRAFPPLGEKDCCRLPQAWQDQI VSKFERLEISPFPERGPYNKDPQVWRDRCLPKYAGLLQLLQLTSVHPSTNARIAELLS RKLKLALRPSSSLASDEVHFIISQGFCAYLRMSKAAGISDASLSPLLRAAVPRFVRSV GFLEAYRAYLQDQKSLCTPDRPDAVADNGYTTEEEDPSVKSLVENLSCPNHEIRLVSL ELLRQLSHAEATIECVDIMLQIEQTPLSHENTRVIAMLVRKIGQQYITFHSSTWLKDA IPRYLFGLLTVNLSPIWDVAVESLAHLSQSKMGEEAVSSIAFLWISHPSTRWTPPNSG STASNRRIYTDFECTALGSLERTAIAILDLTEKADNALLENFDAKQHLAASIPATARS KSLRVLNAVPAVAEKRSRQLVPHFLCWALEEEDVDNASPEDSSRDEASNWSLADRKAI LGIFAQFTNPKVLYQHEKVYDALLLLLENGDSEVQKLALKAVLTWKQDGVYAYKENLE YLLDEARFKNELTVFLQDDNIIKPEHRAELMPVLLRLLYGRTIAKKGAASGKNGMQAT RLAVLRNLSVHDMGQFLDIAAGKLKDVQVIGPKAQQRKLFSEPVITTRKQVGFLNMMS ALISELGTHVESYMTIIVNSVLYCLVYASRQLRSKPASAEADDEQSSGKTSDLSLLKT ARSMGIKCITALFQNAQKFQWDPYQELIIEEVVAPRLDSLAAETTQGVSAMLQLFSTW SALPKAAMLLGPNNNVSFRGVLPSILDCISMEKTKDEVKIFILNMFQNLVKLALAPAT ESEFNELIRAELLETNAAAILSKITIVLHYSNLSNELLESCVETVLALAPVLQDLGSS QTVIRMSSFLLQQPPRRVRPKTKGRILLIVERFLALPDAAKDRELLDQIYNTLASLFS YFKDQENRQSLSQAMISISKQDAEVAEVASFCSELNTFKEARIDEPDYDRRLAAFNAI STTRVTPWTPKQWLPIAHNLVFFIGMDEEFGILSSNSADGMRRLIQNAADCKSEALKP MFDSLLRTVLLPAIYSGSRESSETVRREYLRVMGFLITVMPDWEPVADLCGLLTERNE DTAEPSFFFNILSPATSRQLEALRTLEAANAAKQMGSQNLGQFFIPLLEHFIYGRVDG SDDHGLGANATTTIGSLARSLSWNHYRTTLYRYISYVESRSDMQKQTVRLLGIFVDAL VSSSESDKSDAMNLDSRDASPSSSRLQQTVPKMSKLSVDIVDYILPPLLKHLHEKDES EVSYRVPVGVVVVKLLTLLPSEQMEQKLAGVLTDICHILRSKAWESREMARDTLVKIA VVLGPSFLGFILGELRGALTKGYQLHVLSYTMHSILAATVPTFAPGDLDHCLPAIVNV IMDDIFGTIGQEKDAEGYTNQMKEIKSSKSQDSMELIAKNASIIHLIHLVRPLQALLL QKVDLKMVRKIDGLMARISSGLLQNPAADSRDMLVLCYEIIQDTYRCQKPEELQKMDP RVRKYLIQRGARKSGERGRTAKHTHKMVRFAFDVLRSMFKKHDDLRTTVNVAGFLPII GDAIVDGEDEVKISAFRLLAVLVKVPLDSEVGVSLYKVAVKEAVKSVSQSTSTQTGLS QASLKLLAVLLRERKDVAIKEAAIDLLLGKLKDDFTEPLYRHVTFNFLRSVMDRRVET AIVYDTLDHVGTVMIINDDKDTRDLARGAFFQFIREYPQKKARWSKQLNFIVANLKYD REGGRLSVMETIHLLLVKSSDDFVQEIVATCFLPLFLVLANDDSEKCRLFAGELMKEI FQRADRERTQQFLALLRTWLDKEDNSSVQRLALQMLGYYFEGHDDARSNRKDCRLVMK KAAAVLKTDDVEDVDVQLVETTLGVIRLFTTILPEEALSETREELWADVASYLGHSQP SVKLAAVKSFNSYLTDFAKKRTKAFAGEAISGSHGLTLTLDSMYRFIRLALGSLNSND VEETLANELVQATVFLGTSLPVLAAEEEEEVGEEDLEEEAGEQDQDAAAQGRRGVDYL FWRLSHILRREIPPKSSAINSKVAAMEVLETVCRRVFNTSGRPCLKTILVPLQQLTDP SIAAPFSNDEVFKTKLEGVKTRAQIMMDSLQKKFGTAVYSKVLLGIREEVKARRHQRN SKRRIEAITQPEKYGREKRKKFEKNKERRKVRSKEQKVARQSYKGW UV8b_02915 MAAQKVIVLGALDGQLEPALKKLAALHAKNNFSVAILTGNVFAS STDDATVSSLLDGTLEVPLSTYFTIGTRSLPPRIASKVENDEDVCHNLHFLGKRSVTK TSEGLRIVALGGLLDRNVVGGQSKEQHLPFHTEDDAKALKGANSADILLTSMWPSNVW NGSKLGLESVQQAANQSTQAIAELCAALKPRYHISASPGAFFYEREPFVHSSTLESQS LPYTRFISMAPFGNAAKAKAMYAFSLSQPDGMMPPGVTASPFTSQRKRQRDDESGYSR FGNYDNRSRGGRHSKHRRTSPPPGPDRCYFCLSNPNISSHMCCSIGDESYVTTAKGPL TTSTTFATEGLDFPGHFIIIPLPHAPTIPSMGSMSDPSSDAVRSLNEMTRFRESLQAM ISHRSSHKLGAVTWEISRNRNVHLIWQLIALPAEIIQKGIAEAAFRVEAENQKYPALL TKELSLEEQASFGDYFRLWLWADNGEDKIKGKSLVMPLSSDVRFDLQFGRRVVAKLLG LEKRFIWQDCEQTTEEETQDVKAFREAFKDWDFTLELS UV8b_02916 MEASSPLAAMHRPMPTPAWGNRDLFRPRPHSYYSASSGSLTSVS LREQLHKNAADYFSVKEVRGSSPAASLAADLSQNFRLDNEASPQFPTPRRALFTANMM GGMSSRHYVTTPPLPSSSPAPVVEYMELSPLPHKTPFFAQVEIQSPTPGSTPSSDQDM VLDSPAPIPRQVSSESTKTFLADRRIAAPRRPSLSRMKVLSSSCLPTRAPSESQNPLP PFRFGADSGMDYANTSLSLGECFESTSPPQDRQSMPFNSPCVPISLLPRRPLFNSAAG AGGARFGSPINGHARRQSNPFFRNRKQFRRSLSMFEHPADIMKPRTDAEDSPSTLKSV MDIEEVHEPSLPHFLPDDPSDTIPRIDKDTLLDVLDGKYAEHFDQKMVIDCRFEYEYD GGHIEGAVNHNDKELLATQLFETPMAGRTLLIFHCEYSAHRAPLMARHVRSHDRTINA EHYPNLTYPEVYILDGGYSGFFAEHRGRCYPPDYVEMSDEKHQRTCEREMGRLKARKG LGRSQTFAYGEKDPCVHDSPTAPSRPSSRHTALSMLAHSPIQVDRSQTRRMASY UV8b_02917 MAKKRKRSNKDGQHNIASGPKKAKKELNSLDLSAYSSPTLEVRP FVETPTGEDRRREAVLYEMLGSEIQSERDQASACIISSLLESDGVSEAVLQRHLGHRL FRGLASSRNASRLGFSIVITALLEQLFDRKELAKTKYPGLTFDKTLELLVEKTRIGGN ASGQEERDHAYGLLFGLMCFIQSRTPFEDTTRLNAVLDLLLQLGNKRIWMRPECGWEL VRALEQMNESDAKATLVKVAEAGMAKTPEGVAAWIVTLNRYPNLKPQPWKNPLSSKSL ADLTAVLKESFKDTSNYHKEEQSAAKHASWNAQLHFVWDLILKRFLSVQNSDAEDSFD QFWSRVVDDGLFSRQATDGQKFKGFMVFQKMLAGLAEVPSKLQVLFSRNLMSCLLNQA AKEDRYLHRAATKSLKTVEAVVSEHPALLAPVLKNLLGKNGSYTLDQRTNCKTASTLL QNVNEQNMAAVLAVIKQPMKTLKDQELTKAQLVVRTYIDYLTKILRKYALSAPIPSED RAAGGGQVSFGTVLQELASLAYAQPTDLSKEILTDPIRKLARSGLETSLASRAKFARS SFEFKEFTETMVAMNSSVSNMAPEIKQAIDTGLLHINKLLKSNFKSPKDEQIAHVLAL LYSISIFHLCNEEPDAMDVLEDLSQFYGRLNIGLTKEKKKKGTGKAKLEESLQDDSSS EGSSELLVEIILSMVSKPSKLMREVSSQAFHVFTSQLSAAGLQLLTEPLIAEENAAGQ QALFNIDDDAMDIDIDSNVSDAETADVEDSADMANADNIEDVEDASDFELDPGMEFVG LGGDDESEEGDKEVLNTRGEWQNPEELDSILGKILDSHRLDKDAEAETSEDEGDMSDS QMFALDEQLSAAIAPHIKANKPDSRKLKLEAKQSVVNFKHRILDLLEVYMKNEPLNQH TFRLLVPLLRLMRTTSTKPLASRAVSMILAHRKHLDKARSEARAAGRGKTGQSGAAKE DRDDEAFLSILEEIHAEAFEDQSGEYARAASSAGLAVATAVLERSADNFDSVVDVYAR TLKALARGKRKWQKRFIVDWVDWCQEHATPVMQEHGDGTV UV8b_02918 MTSIGTGYDLLNSIFSPDGRNFQVEYAFKAVENGGTSIGIRCKD GVVLAVEKVVTSKLLKAGANKRIASVDSHVGVVYSGMVPDGRHFVDRARDESQSWRQN FKTPIPTADLASRMGSYLQAYTMYGSVRPFGITAIVGGVDTSEETPVDGEVGSGPDCG AGGKVPGKHGGPFLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDLQSETMTLEEAV KQAARIIYVAQKDNNNKDFELEMTWISGVDGPTKGRHVEVPRELREEAERLAKAEDDS EDDDDNDNDKKDDDVMEE UV8b_02919 MVSVQPGMPGTSQQAQEDLASLFSRSLTFNPDSRSPVVPKETTR QESVIPVPTAPTQSIVYSISQHYNHSAHVARLDSQPLDNRSHSQPQRPSSEPPQSDIS STEEVLRSYGFNPAIFTPSQLQLFRTADGSQKLRLLELWSICPPNKAEDIPALAWSST SLDQEEQMARMRYELQQNPIMSLDGTPIQSENGGWTQQGIAPETEPYMSSGYEELMRR EYGRQAHDDVSRSVHSHFGNALSRNNYSRATDPVYKGSDYEIQQQQLKMAVQYGALER FRAGSMEVDAMDM UV8b_02920 MAPSTSNKLFTLEGKGLKLDTAADVEPHIKDLRANDVEEVRLLG NTLGVEACKAIGEVLSTKKNLKVANFADIFTGRLLTEIPEALSSLLTSILNHPKLRTI NLNDNAFGLNTQAPLVAFLAAHVPLQHLYLNNNGLGPHAGILIADALSELHARKDAAR KEGAEVPDLETVICGRNRLENGSMTAWAKAYKLHNKIKEIKMVQNGIRQEGISHLISE GLNHATELRVLDLQDNTFTLKGAKALAKAVTNWAVIQELGIGDSLLSAKGALLLAESL SRGDNQRLEILRLQYNDITAPGVKSLVAAVEEGLPALKRIELNGNKFTEDDESILALR ELLEQRKEKLAGDLVNGDEWGVDSLSDLEELDSEEEEYGEEEDEGVTTEELAEKLITE AKEAQEEPVVQLKEKDVDALAEKLEKTKV UV8b_02921 MLARSCLRSTRTLNGLRSGVNNVSKRAATTSSGAAGETSTARLN FAAIASTTVAAGSIAWYYHLYGPVAFAATPAEEGLHATQYPWVHQQWLKTFDHQALRR GFQVYREVCASCHSLSRIPYRTLVGAVLTVDEAKALAEENEYPGEPDDQGETQMRPGK LADYIPDPYKNEEAARAANNGALPPDLSLIVKGRHGGCDYIFSLLTGYPDEPPAGAQV APGMNFNPYFPGTGIGMARVLYDGLVDYEDGTPASTSQMAKDVVEFLNWAAEPEMDDR KKMGLKVLIVTSALWAVSVWVKRYKWAWLKSRKLAYDPPAESKTRH UV8b_02922 MPCVAGVDNFRSARKRRWGDDDASARSGIRRLCDHDQPNPELYF VDVNQDMSRARKVVPFPGKRLRTMAPDDDDDDDDDDDDDDDDDDDDDDIMHACHGSRD VLHGAAPRRRGPALGIQLSLKATAAILAPCYICHRRPTKKSDLGSFATCQACGERACF VCLRQCRGWDYCGGVSAVSDQAALSRSFHVDDDSHDVPARLDLDGDGPWRAGLDDDDD DHHHHHHHHHHMGRLRGQCDHGGLQHGGGRAASRHRAVVCSRCCVEQGSEGEVACLGC LSGLPGE UV8b_02923 MPSATGRNWEKYQKTFADDETEEKKITPLTDEDIQVLKTYGAAP YGTAIKKLEKQIKEKQQSVDEKIGVKESDTGLAPPHLWDVAADRQRMSEEQPFQVARC TKIIEDDKGDESKKKYVINVKQIAKFVVQLGERVSPTDIEEGMRVGVDRNKYQIMLPL PPKIDASVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFVNLGIDPPKG ALLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKA CIIFFDEIDAVGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTL DPALMRPGRIDRKIEFSLPDLEGRANILRIHAKSMSVERDIRWELISRLCPNATGAEL RSVCTEAGMFAIRARRKVASEKDFLSAVDKVIKGNLKFNSTATYMQYN UV8b_02924 MSAPAVSAGQSHNATFRDKEKPMAVRSSNIVAARAVADAIRTSL GPRGMDKMIRSGKGETIITNDGHTMLKSMSVMHPTAKMLVNLAGAQDVEAGDGTTSVV VICGSLLGAADRLLSKGIHPSVISESFQRASAAAVEVLHDMSQPITLSDTSALLQAAN TSLSSKIVSQYSNLLGPMAVNAVTKVIDVKTADNVDLRSIRIVKKVGGTIEDSELVPG LVLNQPVLKNAGGPSRMEKARIGLIQFQLSPPKPDMENTIQVNDYRQMDKIVKEERLY LLNMAKKIKKAKCNVLLIQKSILRDAVNDLSLHFLAKLGILAIKDIERDEVEFICKST GCKPIADIDSFTEDKLGYAELVEEAESSGSRMVKVTGAKATGKTVSVVVRGANSLILE EAERSLHDALCVMRCLVKKKALIAGGGAAEIEIAAQLSKQARSLTGTEAICWKAFADA MEVVPTTLAENAGLNSIKVVTDLRHRHEMGEKNAGVSIKSGGVNTNISKENVLQPLLV STSAIELAAETVKMILRIDDIALTR UV8b_02925 MDKSAMSRRNTRKRPEEVSDPTTIAIQAPQRILELKKERDERAR AIVVQAEIALSSMTEQASAYQKQRHRQRASEKAERLRRVTDLIDRRRQIEIKMVEVVT RIQDTVHEVESLMLTGCKGREKEAQQALKALTDKHHPIQSMT UV8b_02926 MDATSFAIPSSSVQADSSTASLHTATKPKPCCVCKDEKSKRDEC MLFSKAPNPAADCKSFVEQYKTCMAGFGYKV UV8b_02927 MASAPIGSGEPALPPVDLKPLLTKLWPLDNEVTADEIAEAISHF FTNQVTEVQTAALLMALHFTKMDLRADVLQKTAGVMLKAAAQRPVAELAAVLAERGRK EGVYNGGLCDIVGTGGDGHNTFNVSTAASILASSLLMVSKHGNIASTSKSGSADIINN MKPRAPVLSNVKPDTLVRIYSASNYGFLLAPGFHTGMRYVAPVRKQLPFRTIFNSLGP LANPVDDVLEARVVGVGRSLLGRAFAESLKSSGCRKALVVCGEEELDEISCAGGTRCW MLKEDASGEIGIEHFTLTPEDFGAERHSLDEVSPGKQPAENAEILRRILCGELPENDP LIDFIAINAAALFVVAGVCEADTSSMGHGDDGNVILERGVAGQRWKEGYRRAKWAIKS GQAWKQWQAFVQVTNDISS UV8b_02928 MMPPGGDVSGGGYQEDLNNILCCPDCKEVPPNLVEEFSSGDMVC QSCGVVVGTRIIDTRSEWRTFANDDHGGDDPSRVGGPQDEFVEGEQLATTVAFSESKA HKALSRTQNNANQDKAQKGLMQAYKEIVSLCEAINMGQNVSNAAKHIFKLVDKHKFMK GKPQEAVIAGCIFIACRQNNVPRTFREIFNLTSVSKKEVGRVFKQLQKFLQKLQDTEG EGAAGLNTVTNYENTSVGAEDLCSRYVSQLGFKNQQKISKISRDLAEKANDISALAGR SPLSVAAACIYMACHLVGEPRSSLPIAKQAGVSDGTVKTAYKHLFAAREQLIKKEWLE DGASMDNIPHLQA UV8b_02929 MARALMPRSDCSSKNHRRAGQVDHQVRVKEEEEAEAEAEAEAHR PRGAAAVSASRLRLLIASPALLCRKLKNAAARLLCHVAAVDFASASAFAFALRQKRSA ASQGARARARHIPLAVELQQQHPLTDPRRGRAYVSNNVRTSRYTVWDFVPKQLLFQFT RVGNFYFLCVGVPQTIPGLSTTGSYTTILPLLFFVLLTIAKEGYDDFRRHRLDKVENA QFATVLARRHRYTAGTRTKSPASLSRLNPFRLRTAAEPRPSPTDEYRGIRWVPERWSE LKVGHVVRLSRDEPVPADLVLLHSDGEDGLAYVDTMALDGETNLKTKRVCHAFDRCGT VRGISRCKAELVVEDPNPDLFSFDGKVTVAGKTVPLTLNEVVYRGSVLRNTAAATGIV INTGEDCKIRINSNQHPKAKKPALEKIVNMVVVTLAIYVVVLSVGVSLGYVKWSNGYE QHAWYLYQATVPLHQIIISFVIMFNNVVPLALYISLEIVKVGQLLLLNSDLDMYHEES ETPARCNTNTILENLGQVGYVFTDKTGTLTDNIMKFRKLSVAGTVWLHETDLEEPAPR AAAAAAAADAQQTGRSFQDVGYFDRKPSVHKSDAASPSPMIREEGVGLEAPVPASPSR LSAGRRRSSSQWRSTGRPDHVQPDVTTADLTEFLRLRPHSAFSRKARRCLLAIALCHT CLPEVRNGRPDFQASSPDELALVRAARELGYWVVQRTSKSITMQVPQLSGGSEMIKFD ILDVIEFSSARKRMSVVVRYPDGRISVICKGADSAILPRLKLASLAMQKAHQVRMSAD AEHQMYRRSQQHEPRNSFGGRPSLTARRISRGRSIDQKRQQASSRRKSFEVNKLKGVS VDRPRGGSPARRGVSLDVSRPRNPPLGPPTTHHAPSSVPAHLGFLEAAMVYDESDVFT KCFKHLDDFATEGLRTLLYADKFVSEQEYRSWKKRFDDASTSLTNRQELVEAAGDVME QSLELVGATAIEDKLQRGVPETIDKLGRANMKIWMLTGDKRETAINIAHSARICRPES DLYVLDVAKRPLEDQLAALGEELSHAGSTHSVVAVDGQTLAAVEESETLSRQFFATAL LVDSVICCRASPAQKALLVRTVRSSLGKGGRRGRRGLTLAVGDGANDLAMIQASHVGI GISGQEGLQAARVADYAIAQFRFLQKLLLVHGRWNYVRTAKFILCTFWKEMFFYLPTT LYQGYAGYTGTSLYQSTSLTVFNTLFTSLCTICPGVWEQDLAAETLLAVPELYVYGQR DGGLNAGKYARWMVLAAVEGAVAWYGVWAGYGRTWAARDQGLYALGTLAFTVGVLWIN WKLFIFETHCKTGIVMGAFLVTTSGWFAWLAFLDGVYAPGPDGPYSIRRSFTGQFGRD AAWWATAFGVVALLGLLELAGKAGKRQMLVAGAWRWPPWEARRPSGNVEEWDVALWQE LEQDAGVRERLRGLAGGDEAAEWEEEEEEEEFAVEGGEEGGPGEGRDGGGDGCRVDG UV8b_02930 MSEVASRSSASRGRGSGRGGRGGYAGRGGRSRTNGDKSDHKDEN LGAFEDEGEFAELRKQYGDKTSVIREMFPDWSEADVLFALQETNGDENEAVARIAEGT ISQWGEVSKTKKTTRTKAKDAPSAPVNESSASGPRPSRGGRPASDAARGRGRGSDRGA RSGAGRGRSTLPSTNGPRKESQQLSVPTEESFTWDASKPKMEQPEPKIAAVDKSTPAE ASQPATPATKTWASMLRQTTAPKPVPAAPKETPASKPTEYEAQPQHETVHAQLEAPEP VQDETTPVAQRAVPAPFPANPESDLLLLPPAKDELTETNLEQVVDVSNPPATDTARST AADSWDPRQSPVSPNATPISAVHQQHQAQRSSATSGFAASAMKATAERSSRAASFQRR VLDQEEPVRMPGNREVDRAAVKFGAFSLNEGDEDVDGEREEPETRAQPPADSPVAHPR TSLPPVAQPVAVPETFPQKASAPPSGVTGVVPTAPAAAHVPAAAHAPAANAQQYGRFG PQDAAAPATKPLDAFHAAQSTPVSSQPPFDNYATPAATQPQAAQLPGTAFSSGPSDYS NYYTTNQQERTPYNAYGQQYGGAQQGVHGQHDGTSQQRQFAGYNNAADSLGQYPHNGS LHNQPRFGGSSDSQNSGHSTPNPAAQAPQQGGQQTQQGGQQGQQAPQQQQGTPGSQPQ SHGQYPGYSHPYYSNPYYHQYYSGYGQGGFGPYGGKGGVYGQPYGMSPNAPYDHASSS STFGAPSSVHRDSSLGSGLGDYGRANASGQTASSQPGLGGSSFGGSHDGFARGSSSSY QTQGQSFNNQSQAPASGSSDDLKPFGDSKANASGPSPSLGSGPRPGSATNNTSGGQSG LAPPQNSQMGAAGYGGYPSHVQGHNLHGSGYGMGGGGAGASQHGSSPYNNYGQGFGSG YYGGGQQQRGGWGGNYH UV8b_02931 MLSTKSAQSLRNLFAGYHEPAGLSKQQSRKLLDGLKSSFRHQLD REYGRAPDRAASPAAVSEAHHQIRHSAATRHLRSILSNPLFSYDRQAKSASSSVLPAT KRDPMDVFDHAVAKGMMTLKAATGCILAKRQVLSRSSNPPAIPASASASSDTALRVVR WLKFSGSEASLRFLEDRAFVRALTPLIVAEGLDDTAWEWLSRTVSEPNASWGDDLRVR RAAFLLAQLVHAKGQPQHGNLDAAITTILDAEQLYRSNPLLPQLLLLPWRSVSWLSTV ESYSRAAPSERLFDAHVATAHLLPRALDVETAHLHLHHPTCPDHGPALRFFSDKEKLH RLVARGGPRDAKRKGMSVLPWIEFLARDTVNHLAQLGKTREADHVTALLRSELASCAV DSLSPT UV8b_02932 MKRKAAEEGLASDSSSNKKHKPSLSADETQMRFRKGLFDQAVLD SYTKEYAASSPYKHAVIHRLIDDELLRSVRDEVRNNVQFAPKETDIYKIHQSGDLANL DGLDDESLSKLPSLLALRDAIYSETFRKYVSTITGCGPLSGRKTDMAVNVYTPGCFLL CHDDVIGSRRVSYILYLTDPETPWQPEWGGALRLFPVQDKKNDDGQVAKTPLPDFAKV IPPAWNQLSFFAVQPGESFHDVEEVYHAETEEQLDIDGGRVRMAISGWFHIPQMGEDG YVEGEEEDLAKNSSLMQLQGDPAQYDAPRAKPVRVANPKATEDAFEEADLEFLLKYIA PTYLTPDTLDQVSAHFGENSSITLENILSEKFAQRLKRYLEDQEKQPLPRGSAAIEKS SAWKVAKPPHKHRYLYQQPSEPDQLRTSQEESPITELLDIVMPSRQFRQWLQIATGCT VESHDVMARRFRRGSDYTLATGHDGKARLELCLGMTPTPGWGDDARGRKTTDRPEQEG SQDKGKGKGKGKAAAVGKPEPNKEDEDDQEEVGGMEVYMAGDDDDADADEDAAVYKSS GDDDNILFFQAASWNKLTIVLRDSGALKFVKYVSRRAQGDRWDVSGVYEIEELEGDED EQEDGRDNGEGAAGPALESDGEEYNGFPDSDESESD UV8b_02933 MKFSLLALAAAAGLAAGQQTFSLPDCSHACVGSYITGNNISGCK TTDIACICANKEFLSGISCCLEHKCSKDDIDKTIRYAAQLCSASGTVIPTQLICGQAA SSTSASAAASQTSTGTASSTSSATAPAATKSSAASPAAANLGNVLGAALALAAFL UV8b_02934 MSDLQRAWAKSKLGLVSEPSAELEEEGGDEHVPELPEYVDDDSS SASSVSSAGTIIPNPGQKLFARPQGVARGRTLEPIPWTTYFERELHLRSSDPSGPVFH AYLTSPSGKSPLFVMHHGAGSSGLSFATVSSEIRKRLPSAGILAVDSRGHGSTASSSE NQAVNLGLATLSGDLLDMIQDTKVQMAWPELPPILLVGHSLGGAVVTDLAYSGKLGSS LLGYAVFDVVEGSAMDALQSMQTYLSTRPGGFASIEAAIDWHLRSRTIRSSLSARASV PALLRREETVDDASRPWRWRTNIADTQPFWEDWFVGLSKKFLGAKGGKLLLLAGTDRL DTELTIGQMQGKYALQVFPEAGHFIHEDMPEQTAISLCDFYRRNDRSALVLPPKVSDL LKQGKKV UV8b_02935 MPARDSAQRQWKEAKIFPSFSVASGEPGMGSVADSVKVPLEGGA WGTDSSDYTPQSRIQLASFSPRLNNSSTRVTAPPIPNLVQLRNEEHVVP UV8b_02936 MVVPIPRILPKESTSQKQEHKTAKMVSSEHQRSPKSVLKKSFST PDAAQLHRTAAEEAQVQQVLSGEKKRNKLGYHRTSVACSHCRRRKIRCIASPDAPNRC VNCIRLKKECSFYPPDQQQPGAEGQPKASSRQIGEPGASSTSSSPAVGAGSPADTPTA MGRVQRANMERFSLDANCNQYTFSSQALNDWASTDMNSSSSAKSEDMTIPWPAYPTES PVDGHYSQYTQPPYVALTWTSGASEAERHDEMNWGDYPAPMRSISYGGENGESQPQAR FLSMAQAQGYDRRQSGMSDVYSHFPTTVAGASANHLNAPSQAVPGAAFSPEVNLWQTQ QSVASQGQTAAWQYALPGASQAVMVDEHCGLPTVTQAPSGVYYST UV8b_02937 MFQPRPEEHLYLRLHRARSVVLTSQELVELRAAQRTFEGAYMRT ALGQFSFSLIILKIFTDEFYAIGALFAAYGSAVVLVAAHRRYQGNRQFFTAESPSGAL RSKFQTSGDTVLLMTALSLGAYVTLLILTWRLVS UV8b_02938 MTPWYKPTATTRHRQEALQLPWMTSRRPFNLRNKQACRGAITLD GAFRNAPEDARLVTAQLLGPRPSALDQVADDRDAAGSDIGRQ UV8b_02939 MRMRTGRVCCLQKQRRGRGWAVVRRKYGVERSGRAEHGKNWIGW MVGGRWLSQTCAALQVHATLLLLLTTHKRQVPIPGALGRNLGKHWRVERPNRFGYCDS GCAAEGWDVNVPSKQETEDVTSASPVTRVVPAPVPVPVPVPVPVPVPVLVPGGRRSLF SLESFSCHGPWVPSMSRFPPTVGYAFWPPGLASFSMGRSNYGALLTLFGMARLCRRHP LSDLSICAPYPVLRPPVERVLCNQTHMEKESLALEVGPLWRCSPAPRGAESGESGESG ESGE UV8b_02940 MASSFLEAPASLRLRQVSEPRNSRPLAANPNHHQALLSFSINDI DLSCVHSQAGSSPVQHVGTPSGSNATMGLYQSSDISDLDDDPFFGANIGNIDPATASC LDGAAWDPDAFSANNLSTPDLGSEVGNGFAYPLTPTHTAPMHASSPTCDLKDLGLAAT SSTEVIPTSVSPQQLQKPFSSHSAFVNSSAITPSHSGSNRTSEEGLAPAFVALHPQSP RVTVSVWDKDNDAPLHTVERTLENSPRTLRSSYHSAGDLICAARHGIQVSGPRNCLHR SPQSSAGSGRAGLDPASRCSGQVSSINQIASQREMEQRNQQVGRWLSHNLDHVAIPLE KSAQEILAIDSPRDDDNDIPLGDQTENRYVPGHTYFEGAGGEITLVDRQIIASNRNWA DAPMIHGIQPAESGRFQPESSQAAIARFEMLCRDNDSILSRSATWGTRRRSFPSVLDA EGVTSGNFLKKLSISRGNGEKPSRSSSFFKDLRGLVTRTGGNQLRKRSRSRSRGLSIG GQDENTTCLEEPINPAKRDSGPHLSPPLEPAVAGKKPTPSINTTLVSMGQNFASMLTS HSRSGSVSGTSPIASPRASLGGLGVRNSLRRPRSKSEIPKPTAGRPTMESTSSLVDMW RKSGGPPVAPVSRVSHAPAAGDDEDEDEDDELYEDNDMTANCGMIDDITPDLAGFRQH VLALNPGMETANGYLVDRIAHQQIARYKHLLKARAEHLGLGSLCMALGGSANMLDLNG DAKGFDPLSAGMDDVGDLHAEVAISQENFPQDIPMPPTQSLPAVFECHLCFQKKKVRK PSQWTKHVHEDVQPFTCTWDKCRDPKIFKRKADWVRHENEGHRHLEWWTCDVDDCRHT CYRKDNFLQHLVREHKFLEPAVKTKAAMKRAGGMDPTWQKVEKCHVETADRPQDEPCR FCGETFPTWKKLTVHLAKHMEQISLPVLRLVAARAKELAADTNISPVQDPAARQNNPL PLQSSATTAAAQLLGGHLTQQQQQRHDYNQQDLGLHSPSQLMYPAMPLGQFQQPHFYQ QQFESLGQGMQYSDVSIGQLNQGHDANQRLHGVSSNEEGTYSPSGHGLVNVPESDVEP FPQLTLSAPGLPDVGAMPIRGQLGVQAGYGTMLDPSSVDGSPFSEHESLSTYSRSPRQ DTSSNSSGWQQQQHLWNERHLYM UV8b_02941 MARSDAGYNSWGLGAVVLLGLLTTTALGGQILKTNGFSDCGSDP SINVDKINISYNNEDKTVTFDVAGSSTHEQYVSAVLHVTAYGNTVYSNSFNPCDKATL IDRLCPVPAGNFAARGSQEIPAQFANMVPAIAFQVPDISAHATLQLLSLNGGTRVACV QCDISNGKTTNVAAVSYIAAGIAGTALIVAGVSAAGAGLSGGGSAVAAGTMSPSFGET VGWFQGMAMNSMLSVNYPPIYRNFAQNFAFSAGIIPWSGMQSTIDHFRAKTGGNLTQD NFRYLQNATLIFPDGTSRSPNQGLFNFKRAVDGFVELASREIQTSVNSTGSGAAGGGN DFQHRVRGIQAFAEQLLVPKSNIFMTALLIVAIIIASIVVGILLVKMILEAWSVFGSF PESLKGFREHYWGSIARTITSLILLLYGIWVLYCVFQFTNGDSAVAKILAGVTLAIFT GILAFFSWKIWSVVRKLKQQDGDASAMFEDKSIWVKYSLFYESYKKQYWWLFIPVILY MLAKGVAIAAGDGHGMAQTIAQMVIEGLMLCLLLWSRPFERRSSNIMNIVIQVVRFLS IGLILVFVEEFGIKQTTQTVAGVVLIAVQGALTAVLAILIAWNAINVCCKMNPHRKRR KEAEKLKREMDNLTPLDARNSLLLGRHPVTEKFMLSTSGDVKDRGFVHTESRERSYHT GVGSRPAVGPSGAPAYRPLTLTLDTDSHSLLGGAAPISVSDRQERSYDYNKSYRAAA UV8b_02942 MPQAATEAYAVTVAVAVAPPEPSNDKPVLAPCHLADSEAADAMA SSVTTIKAPTPTSHHEHAAHHLAAVQPSYVDPTPATPMISQPPSRSPSSAARSHRSGD PSPSRSDVEEDKSYASEDEQDGGSRSEIQSIMEQFSEEGGGPGADEVMSPRLEIASPM FAAPVQHPPRKSSLEPSSQSLSKQVSEFSSLRVATSSPSSERGDRSNERGLQDQGPPV PPKDSVFANRPRSTEDGHPPSVTSLPSPQLAKHRPPPPEPEPEPSLPFGFHRFLEQLR NKKADPVARYLKSFLSEFGKKQWMVHEQVKIIGDFLAFIANKMVLCDVWKDVSDAEFD NAREGMEKLVMNRLYAQTFSPAIPCPKPIPGAKPKRRGADASLGPGRRGQHQEDVERD EILTQKINIYGWVKLEHLDVPAVGDSGRRFLKLAQQELLKIKSYRAPRDKIICVLNCS KVIFGLLKHNKSDSSADSFMPLLIYVVLQCNPEHLVSNVQYILRFRNQEKLGGEAGYY LSSLMGAVQFIENMDRSSLTISDDEFEKNVEAAVSAIAEKHQTASPDHQHQTVFSEKT GLHFPGEPSARRRSTDAAEGSTPRRATSPYEGESQDGAAITGLLRTIQKPLSTIGRMF SDEANPLSSAAASAPRSQGFQDRQLPRPPYLDAPPSPRVLLPPPPPPPPPQQQQQSSS ETLARRALPAEEAAARQASAEAAEARRLHRAEHTNVVETLAGMFPDLDRDVISDVVYQ KQGRVGLAVDACLALSS UV8b_02943 MACNHSTLITITITITITVTITVTIAIAKKGLAYSFPRSQPARR RYTPIMKPVVSAMHAWSCTVISVFAIVILSALAGLYRSGHEEFTGDVNGPSPEDGKAI AGTIWTAVVVYAAFLFFCGFQGLLHVRENRRGAITL UV8b_02944 MTNGSGMDKSARAAESTSNAADSSSPAGASDTSATRLPQAPTSS TAKKTKTARSDKPSAPASSPNSLIICRNKHWRFISSFHGPWLQMPVEMLQVIANINYN TVRPRPVDPAVVFDILKVRRGVDEATAFAIGAANDLASSTLINVNGAVPYLNSLGHGA KLSKERKLRMRELACQRLARAYRLDEIACSVATMQGASALEDVGGLVLQRNRDDLDAK YVHFFHEKIPSRHLVESTDLQPLTDIIASGHGEAEALRTRATVKVFQEDLEGAIQDLT EALKHSRYRDKAAHDPGSAKLADGPQDAQQIRNGKKKPELVLPENDQPSGLGPQLLFQ RALVHLTMACRHVEGSVRAPSTPRVPLGTGPASPSTTTASTAEAEAAGAAEEAETAEA AEAAEAAEASEAAETAEADDAQASVPEEQLDCRKLVKLWAKRALKDYMSFLSCFEYSP NLPVKLLSEFNERVSLVANGIFRHPRISNAPAARPEHIVYSASELFSSVPPPHLPAYP LQPSATRGAKATTCEIVTYHPLLTDALHALLLCHCLVQTSAKEIQRHAYMVARLVRLC DGYPVFHASRSAARSDWVEVIRRTKNWLQLDSTWEALCAPVNMHDGDAICDHHKDASS AAAAASLFLLPRGGASSADDASLPSPSGAAGGGSGNTSTGGALVAPQPSVLKGGSEPC AVPWPAEDARDFPFLTDRAVPVSRWIREVPTVTGTTKRKKRSKRMGRKGDFVHVGGMQ ELAVSQS UV8b_02945 MDPGHIFRQGLCSVPPRPHQTYASQYQQQPPLATIDDLSDNDGP SHRVAHTLTACCRCRQRKTRCDPTLPRCLPCERSGSTCEYLDTAKGQKINRYYVIKLQ GRVRALEAELAQFTDDESDYPRTAEDMVRPGGMIRLRASDETSRYLGPSSGIAMTRLL MEEAKRYTASNRISDLIPEIRAQSQARMQSIQFTGPPSGRKKSYPMISERPATGLPSR ATMDKLIQLFIKKSQTFWPVLHEPSFRQDMEAVYNGDKDPYKNFALRIVIAISLHLCD PQYAGLADGYYLSAMQYAEAVIRPKDLKTLQCLVLIGHYSLLTPARTPVYYVLGLAAR ICQQEGLTDEKTISASYNTDAKAIDMRRRLVWIVAMLDFELSYHMGRPSGFATGNDRL DVTLFADVDDEYITTSGMAAGPSSERKCVALHYIKSREIQAEIKRTLYEQKQPEPNND SHPWYASIEKRLQTWLDTAPPNPPWSPPWFAGLYHHTRMVLHRPSPQVPHPSTRSVGI CFDSSKHVISSMQKQLDHGFSSATWVFLLTLTSSLNVLLWCTSYAEVRQLHPRQEVED LVNMALACLDKCVERWPGTAYTSQLYAIISKACLQSYERGSSSSRALFSFASPPSVPE PPQSSPDAYPHPGAPQDQMPFMSPPQFGFVFDSPSESMNAYTFDPNYLPPQPSFRSNS IFCNPATDSSGRRFSYFPPEGSPEDAVQAATSAAAAAAADAAAPPDHGTPSSMDHGLK QMPTPPESLAPGSVSAGTTLSSPAPLSLRPPALSDASSSSAPRVVPTHDHVASPPPPV AAEFAPTPRPSVPQRSLPMASSTADWFSPPAPFISPYNFGPMSSGGGGGGGSTSTSTS TSFFNGALPNGFAATPAAAHLGGLQNLATGFDVQAHYGFLPGRQGSLTQSQQVELMNA LETEGVGDIDAFLSATHSMADVRWY UV8b_02946 MRNARSSFSRNPLLRVSRPVSACSRCRSAKVKCDGKLPACTACE RAGRENDCSAANDQFARGKERSYVAALELRIEKLERRLSYAKSRKASVVQHEADGVSH INLGESDRRDSLAVIRDAIHRKAARNRENSDVNSLISDFGILSVDATTRDFEPSIGNI TFARLVLAATAKDPLPQSSQSKLPSKQLAHSLVEYFMSNVYCLFPCFSETALLTTLDD VFRQDDRAVKDSDYWLLYLVLAIGSTAQSRASNDERYGNGVDFVCRALAYADRALAPG YVAQIQSLLLLTVYSMLDPAHFDTWHLIGLTARAVVDLGLHQDPPMTSTSDGSALNMR RNIFYCTYALDRAISMVHARAFSFTDESINVAFPQPTAVGDGQLITRRNSGSADSALL LFQLRRAQSYWYQALYQSEPTPLPSPAAFLWQMCLDMREWQDSLPGTLPPAVRQMFEQ ELRYSHVYCLAPCARAPQMTDYTRLLIFEYSLEYLEIMHDVAFRGLNGAFYTYHDALK VYFMANQFLAVLRDAQDLILSGARVPPPPAPPGSAPPPPIPRRHLRPGVPLDSNLDRS LGCLERVPKTLKLYSVRWADAMMLKQSWEQLSNETVERLRSVHQQLSGVPLNQGHVQY ANRMPSASQQGVLQTPVGPGQQQQQQPSAAGVGWVNVEAAQMMRGPG UV8b_02947 MERPKPQAFQSSYAPRLRAYNNSLLTPVLPSTAPLGPLSRTTKR GTTIINYAEDGYDDLEDDSDDPRRRPTGLRSLRKEDSASRQDLADRAGKDTKQPVEVQ GIWRDWMGKNRAMKSDQQNAAQASLPLTLIPIRIDLDIPAFSPLPAFPPPNAASVDTS LPQYRHGEMTVPYKLRDIFCWNLHETLITTDQFAQTLAQDLDLPNRPLVVAEISKQIR TQLEEYAGVALHPLFHSEQSAPAPAQQAAATVNGAIGANGANGANGAGVAGTPRPNLI FKPREESPASFARGLSRPDTPAQAGDPAAPPQPAAAAPKVTAEAMPIPPDSDDYNPDD TYRCIINLSLNMASMLYTDKFEWSLLHPPGTAEAFAKTTCADLGLTGEWIPAMTHAIY ESVLRLKKEACEAGGLVAGWGGLQQDLPNDAALGSEAGWRYDPDHLAGDWEPKIEMLS KEEMEKREGEREREARRLRRETARFSSTAGMLGGTPFGGGGAAAAAAEPPEEERMGRG ERSKKKRRFRSLSPPHPRGGTPGGGARGTPDVGGYGGGGALTDVERSTWRCTHCRVWG TSVWAVRDGPAGPKSLCANCGYLYERDRRLPRQTKNLHLADHRAA UV8b_02948 MGEDSAAHVPPRAKRLPFKPTALRRRASTAGSPVADTKAAAEDD DLDLFRRGKEMQPVLEADRDRRLKKKKQQRKKEREREREQEQEQERRRAAEMAAKRLL DDGAAGLVASDVHPQASLSAHRPVTPLAAGNRHGLDGDNISDIITPPASKRSRLDSTP SNSISLASTAAEPLSHSSPPSARFQNLNGQPTPPPPLSHPRENGFKPRATPVVVFESD EESCSGHDRGDSGVEIVSDPLGATDQDDEFDEYVRRAEEQRAKDQAMLASVRGGRGQD GAAAKETVDLVVTSPVPDSKPCRVKFLYDKPLRVVRDTWLALQKQKGVLLEVETREEV VLTWRRKKVYAASTLLHLGIRPEGNGRIKVDGPSTKGLAENRTRVHMEAWTVDLFRQM EREEELQRQRDAGELSEEEELAGPNEAGPPTAEIKIRVILKARHLGDVKLTVRPETTV ETLITGFRTQRELAPGSDVGIWFDGSRLEEHVTMEEAEIDDMDTMEVHVK UV8b_02949 MSSLENGSISQGEGKDPSSFLSDIIGHPVIVKLNSGVVYKGELQ SVDGYMNIALEKTEEYVNGAKRRDYGDAFVRGNNVMYISADS UV8b_02950 MAAQQTVAQAATTGFDNAPAYDAHRPSYPPEAVGSLLAHLGVAD KAGARIIDLAAGTGKFTELLAARGEGYEVVAVEPVARMRESLERKRLGRVDVRHGLAT EMGVEDGWADAVIAAQSFHWFANEESLKEIRRVLKPGGKLGVIWNIEDYNQPPGWTAS TKWEDGVKQLVLALPPDGIDRFRDDKWWQVFERQASASEPYFAAPIGRETVSFTAWRT KELLWDRINTLSQVAVLRGHEKDMFKARFDEIFENGDQVWNDEGEVEFHGVTTLRGSI RDGWVRYWQSNQIA UV8b_02951 MTPPAIIAPSILSADFAQLGRECARTMEQGADWLHVDIMDGHFV PNITFGAPVVAKIRNHVDKPTHKHGKGTFDCHMMIAEPKKWVKEFKRAGCDLYCFHYE AAFSTAAESPEETSDKKTSPKELIRYIHDNGLLAGVAIKPDTPVDVLWDILAATDANE KPDMVLVMTVHPGFGGQKFMASELPKVQALRSRYPELNIEVDGGLGPGTIDQAAGAGA NVIVAGSAVFGAKDPSEVISLLRKSVETRSGRL UV8b_02952 MPIRNPFARRSGLAARHDHLHLQSDQEASHPGFERVDTVGSKAS SARSRRSIKSQDPGEYKMSVVNDSGVYLPPSPTENKGHWPRKYLSSRESTDTRSSFGD IEHFPISRESFDSYRRSFDITARSPVVSHGDFGRRSADSSRFPRLPRTAMNGPFQRQP PPADEGFEDIGLDDQKQQARKRGFFAKLTESQDASGQPTVSRFLMPGRKRGHSGQGAE LNPMNRPKEA UV8b_02953 MATHQAPTPELAGLTLSTRTVHADDFISVHRAVAPAMHVSTTFR YSDDPDDLKHCADASQLADWSNTDPNAPNDSHVYSRDSSPNTTRLEAVLTSILGGPSL TYASGLAAFHAMLVRLNPRRIAIGEGYHGCHGVIEVVSRLTGLRKLPLDCDPAELGPG DVVHVETPLNPTGEARNLRHYADVARRAGAYLTVDATFAPPPLQDPLALGADVVMHSG TKYIGGHSDMLCGVLTVRPGRRAWERDLRQERVVLGSVLGSMEGWLGLRSLRTLELRV TRQSRTAEALVAWLRGEMDDPSSSSSSSSSSSSSAAGRLVLRLHHASLQPEAGQEGSW LREQMPAGYGPVFAMVMRDADVARRLPSRLRLFHHATSLGGVESLIEWRAMSDPHVDQ RLLRVSVGVEGFEDLKSDMEQALRGLL UV8b_02954 MPRLALFRLPTKLRRQARRNRISTLAVLAAAAALLLLVLLPLYL VYVVYKPPRALIGYLRHKYPDVLFEVATQDKLVALSLDDAPSAHTGAIMDVLRASGAR ATFFVIGAQVPGREDTLRRLVREGHELANHGMRDEPASRLGPEQLAREAGEVRDALVR AYAAERAVLPNNYYRPGSGFFNYRMRDVLGRRGYRIALGSVYPHDPQIPYPLRNAEHI LSMVHPGAIIICHDRREWTVPMLQVVLPELKQRGFRVVTISELVKTAEPLGGR UV8b_02955 MGTLVQVPYNRFARAGLFGLETGRPFRSAPPKIPQEYPTDAVRL FPCPFKSLSEAKQAKLPVSPSTKSTSHARTMHRRRPCPDGDISGTRDTPTVRGKRLQM TRDIDPWPEVGRGLIHRWLGGGAGSKQVSLAGKQESLVGSRHQLPTALASTATVQQQY RAHRVVGGGHAAVRSRE UV8b_02956 MSLSRAFTTRRLRQGSDLGDSIKMPQRSNTVTRPVPPNIRDIIS APVQLIHTTNMLSYNAPDIPRAARSNSRKSSNSGSDADSQTTADTTPPTSPDVSSPQP NHLSCYFKTGASPLTALMSDAEPPAIPKRSPSHTKKNSYEAIAHQRSVSRKSRESDRS MSIRSGLAFSRSPSTTSTRASSVSHASVSCSRKQVATPPPPCQEPSPAAFSPVQPSKD THPFGQELAQVTELAEEYVNSSRLCVIDEEQQYLTSRGLCKFSPSDYMSAIQDVAGTF FPERIHATSTTPLWI UV8b_02957 MAQVSDSQSRNSSGGGDLLVATDGNWLPLAQAWRRLALALLIPP PLPSPGRFCLFPPPLPRFSLRVPSMRDCESRLRSTCRDYGYPALFLSTNIGLTEYLLT SAPRLRDRMGLVRPLSLCLVLTTPAGCRQELSPKAALLAFCIPDS UV8b_02958 MSMITAAQWVPRGFAAPFPQKYTLDESEFERIAELAKLQLDDAE DDLEAARQQGQGSDEKNEKAQTDQPMEVEGNEPKSEVRYDDDDLKEYNLEHYDDDDED EAAAGGQGIGMGMFGNLKALAYYESNKDDPYVTLKDDDDDDDEKEDLQILATDNLILS AKVEDELAHLEVYVFEDEGDNLYVHHDIMLPAIPLALEWIDIPVNSSGGGKDARGNFV AVGTMDPDIEIWDLDTIDCMYPNAILGQGAAGAESGEKEKKTKTKKTKSKKGKKAKAN DAHHVDAVLSLAANRQHRNLLASASADRTIKLWDLNTAKCAKSYSHHTDKVCSVAWHK TEATILLSGSYDRTVVAADMRAPDARAPRWGVESDVENVRWDPHDANYFLVSTEKGCV HYHDVRNAPSNPSATKSVWTLQAHDESVSSFDVNPIIPGFMATGSTDKTVKLWNIQPT GPSMVVSRNLDVGKVFATTFAPDAEVGFRLAVAGSNGNMQVWDTSTNAAVRQVFAQRL PAQKGDVVEDRLIGVDDDESSSSEEEIEGGEGQDQDGESMDED UV8b_02959 MTGDRDYGFNPKRRRLDSSRPPNRFHNDSRGSRPRARSSHVPTP TPTREQEHHEYHEPAEQDMLALDRDWYGGDELGGHAFGDDTHNPFASYEMSAWEAQQQ ESSKAEKMASRYDARQEQRRRENDAWETNRMLVSGVAQRRDMASDFDDDEATRVHLLV HDLRPPFLDGRTIFTKQLEPVPAVRDYQSDMAVFSRKGSKVVREARQQSERQKQAQEA TSMAGTTLGNIMGAKDNDEDSALPGPGDREAEKTVSKGNKFSAHLNKSQGASDFSRSK TLREQREYLPAFAVREELLRVIRENQVTIVIGETGSGKTTQLTQFLHEDGYGRVGMIG CTQPRRVAAMSVAKRVAEEMEVELGTVCGYAIRFEDHTSKDTVIKYLTEGILLRESLN EPDLDRYSCIIMDEAHERALNTDILLGLFKKILQRRRDLKLIVTSATMNAKRFSDFFG GAPEFTIPGRTFPVDVMFHRSPVEDYVDQAVQQVLAIHVSMDAGDVLVFMTGQEDIEI TCELVRKRLDALNDAPELSILPIYSQMPADLQAKIFDRAPPGVRKCIVATNIAETSLT VDGIKYVVDAGYSKMKVYNPKMGMDTLQVTPISQANASQRSGRAGRTGPGKAFRLYTE KAFREEMYLQTIPEVQRTNLSNTVLMLKSLGVKDLLDFDFMDPPPQDTISTSMFDLWA LGALDNLGELTELGRKMSAFPMDPSLAKLLITAEQYGCSEEMVTIVSMLSVPNVFYRP KERQDEADAQREKFWVHESDHLTYLQVYQAWKAHGFSDGWCIKHFLHAKSLRRAKEVR EQIVDIVRAQGMDMSSCGMDWDVIRRCVCSGYYHQAARYKGSGEYINVRTNLGVQLHP TSALYAGHPPDYVVYHELILTSKVYVSTVTAVDPRWLADLGGVFYSIKEKGYSMRHKR VTETELNRRMEIEAKMAEDKRRDEERKQAEQERVAKKKAPADGKRLVVTQGAVKKPVV RRRARGGF UV8b_02960 MARRVYFVAHGGTVQGVGFRYFTRKKAEELGITGWCRNTPDNKV EGEAQGDEEALGQFLGCVDEGPRHAVVVRLTREERDAAEGETGFSIRR UV8b_02961 MSDFASFGGSEEEYATVRKYQAEVEADPDSFESWENYIKFAETL DGGLNRNSSPQALATFRDAYDRFLHKFPLLFGYWKKYADMEFNIAGPESAEMVYERGC ASITNSVDLWTDYCSFKMDTTHDPQIVRDLFERGANFVGLDFLAHPFWDKYIEYEERQ EAQDRIYAIHARVIRIPLHQYARYYERFRTLSHTQPLNEVVPADVLGRFQAEVEAESA SSGGGARSELEIERDVRTKIDAMYYEIFTCTQQEVSKRWTYESEIKRPYFHVTELEHS QLNNWRKYLDFEEAEGDFGRAVSLYERCLVTCAFYEDFWFRYARWMAAQEGKDEEVRN IYIRASIFVPISRPGIRMQWAYFEESRGRVDVALDIHASILTKLQDCTEVIVSWAHAQ RRQNGLEAAIQVYRDQIDAPTVDLYTKAALVAEWAQLLWRVKGSADEARAVFVKNSQW YGDSLVFWETWFAFELDQSTAGEEEEETAERVKKVFDDFRTKSRLSAAVKRELAREYL NYLVQRGGKEAMKIYLEVDGEMFGPASISAVDKSATGAKENLAIKDDLDAVSRRKAES RPLAFYKAHSEPMAAAQGPADFN UV8b_02962 MDNPQSSWAAQAPAPDKSSELYIRDHPHRSIAIVSSSHALILRY SSVASSETPHNGSVTSLHSLKSRGGTELQTAKCMVEFAAISSQLSKHYRPLTSTPVYG TLGLISVNGQVFLSIITRAFRAATVRPGETVERISAVEFYCLSSADYDDVVPLDTAEP DFSDALSTYSTQSGYGQSLGRRDVAIEHPCHELRKLLSNGSFYYSTDFDLTNRLQDRY INSNSFDIDNFDDNYLWNSFMIRPLLEFRSRLMPQEREALDFSRILTSATRGFCKTMV IPQSASPIREPKNGMPSYLSLISRLSCRRAGTRFNSRGIDDDGHVANFVETETIFSSP GGTLFSYVQVRGSVPVFWEQAADLLPGRQKITVTRSPEGTQPAFNKHIEDLEQVYGAV HIINLLSDTKPAEVELSTLYRNAIGHCPYSQSKSGDAEHALLRDTHYDFHAETKGPAG YEAAKDIRRHIANSTDGFEYFLAEESDDPAEKAIDTYRKRMVVVLQQDGVFRTNCLDC LDRTNLIQTLISQMAVETFLVAHKSDYAASDFWMRHSSLWADNGDSLSKIYAGTGALK SSFTRHGKMSLAGAMADVRKSVQRIYHNNFIDPSRQVTIDMLLGRLIGQATVLLFDPI SDYVSAELAKRSDEFTRSECTTIWTGTFNLNGRTDGIDHDLSPWLFGPGSGVEQPDIY VVAFQEIVELSPQQIMNSDPSRKHVWEEAVKRTLNHRQATLGGDRYVLLRSGQLVGTA LCIFVKKARLKLIKNVEGSVKKTGLSGMAGNKGAVAIRFDYANAHICFVTAHLAAGFS NYDERNRDYATIHHGLRFQRNRGIEDHDAIIWLGDFNYRIGLGLEKARALVKARDLAT LYENDQLNLQMVAGLAFPFCSEARINFMPTYKFDVGSDNYDSSEKARIPAWTDRILRK GSNLKQLSYDSAPLRFSDHRPVYATFECRVNIVDEEKRENISKELYQRRKADVGDLTA HLAEGEESEDEELIEYDAIEPSLPPASSDRQKWWLHNRQPARAQVAVPTGRDGLPMAL NPQRPSNPFGPSEEPDWVSVPRCSPGLSSLSSSPYEKLSPPKALVVGHGQGTGPRKQL PPPPPHDPSNLPAQAGQLKLSHGQSRNGQPDVGKTSSFPAARTSPPPLPPPRRQQTAG SRPNATEAESASAAFPREVDLPRRQTLPPRSTSPREALGSTPARQLPVPQVSRRPVGK SGKPPPPVGKKPAYLATSLPALQTINGGSGAGSSARSQEDSSTSAPPLPARSASGVSR ANFQAPLGTKAVGIAQQQQQQQQQQQQQASSCLGRSSGRSSVGGGGY UV8b_02963 MAAAKPATISASTQNRILIWRSEVASALDDAASSAASSAGLNCS LSDTQSSAGTSYLQSQGAGVVGRGRRIWRRIARRLSGGRFGAMGHGEMDREPALRTAM YRAPPPPPPPPPPVDARGAMADHGRVVGLREDSSSDDNGAPGRGLKASQERLERAARL LDQHHHASPV UV8b_02964 MVSERESKTFLARLCEQAERYDEMVTYMKEVAKLGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSDKHVSTIKEYRSKIELELEKVCEDVL NVLDESLIPNAATGESKVFYHKMKGDYHRYLAEFASGEKRKGAATAAHEAYKNATDVA QTDLTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDNAEAEGAGTVDAPKKEEGDSTEKPAEETKAEEPAPEATS UV8b_02965 MKPASLSSFLVQVLFAWQSAHSQSPLGSDSESPSTRYGLVPDPS ITVSTPLFSDLERLARLVDISYCIGNTGVHKPFDCLSRCKEFPRLVLKTTWSTGMLLG DSCGYIALDRGNREAGDSDKLRPVSRETEDGAIVVAFRGTYSIANAVADLSMAPQEYM PYPAPGDDNEDHPGGKETSPGTKHHRCDNCTVHMGFWQSWQSARKTVLPELKALRTKH PTHRIQLVGHSLGGAVACLAALELKLSLGWDNVVATTFGEPRVGNYQLSRFIDWAFDL DGTSGLEQRSYRRVTHNGDPVPMLPLEEWGYRPHGGEIYISKRELQPAEEDVRVCVGD SDPSCSAGLQVPLLEDVRRLFRFVPSSTSTQQFVAAGAKSLPTRFKLWQLFFAHRDYF WRLGLCVPGGDPANWGRGRFDQEEFYEL UV8b_02966 MTTTRDVNSPLGVSQPRRRHEEYQYLELVREILDEGEHRPDRTG TGTISVFAPRPLKFSLNRDGVPILPLLTTKRVFLRAVVAELLWFIEGNTDSRALSDVG VKIWDGNGSREFLDSLGLTHREEGDLGPVYGFQWRHFGAEYVDAKTDYTGKGVDQLAD VIDKLKTRPYDRRIIMSAWNARDLHLMALPPCHVFAQFYVSYPGRRRRRAQAPAGETA PPAAAAAAAAAAEEKGHLHCQLYQRSCDMGLGVPFNIASYALLTHMVAHVCDLIPGSL THVMGDAHVYADHEEALNVQVEREPREFPELEISRDRGCGIDGWKVEDFVVKGYDPHK TIAMKMSV UV8b_02967 MAKEAPAKSGLTVGLNHGHKTTPRVVKPRVSRTKGHLSKRTAFV REVVKEVAGLAPYERRVIELLRNSKDKRARKLAKKRLGTFGRAKKKVDELQRVIAESR RAH UV8b_02968 MASHVVVIATDLRRTTVKVSPGTYLSDVLDQACQKLNLSRDKYI IKHKQKQVDLSVPFRTSGLTGGAKLELVQRSNTPSAVQIALQLPQPEAKDIPGGRLIK RFPADLTLWTVMRQFESGEASAGRNMNITARGYASTTSGSGQLYYETPVLNIMGREFS TLEAFQKTLSQIGHNSGNVLVRLGYKRTDQTLFEAMERISEFFEEASQEASQEASQEA SQEASQKASQEANQTANLGVKGDAMSETDGSSREAGSDNVVGKQTHVPPAALPKDNVD ATPRASPDGLEQNATHSTSSALRDPYRPVNVFLAPTGTTPAAASIPASDSDFTPTVAH AQLHQARLLESSRNKRLPSDKELEEKAAAEDARIASIRSVLVKIRFPDNTSSEWQIAP GDTGAFLYEAVRRVMADPGQAFHLVLPGSTTVIKDSSSPSHNLVKAYKLSGRVLVNLV WEDTVPSSVRKQPFLRRDVVDRGREVSIPDLPQMQDEPEGVAPLKETRTDDKKERVDG ANKIPKWLKLGKK UV8b_02969 MVGKDCVAIACDLRLGLQALTVSNNFPKIFQYGGVFLGLTGLAT DVSTVSDLFRYKVNMYRLREERDIAPRTFANLVSSSLYERRFGPYFVSPVVAGLDPKT GKPFICGFDSIGCIDFAKDFIVSGTASEQLFGMCEGLWEPELDPEALFETISQSLLNA VDRDALSGWGAHVYIIEKDKVTKRLLKGRQD UV8b_02970 MENAFARPISTVLSHFGVDEHKGLSNQQVDELRTKYGRNSIPDE PPTPLWELILEQFKDQLVIILLGSAAVSFVLALLEDEGGWSAFVDPAVILTILILNAV VGVSQESSAEKAIAALQEYSANESNVVRNNGHVSRLKAEDLVPGDIVTVSVGDRIPAD CRVIAIESNSFAVDQAILTGESESVGKDDEAVVEDERAVLQDQVNMLFSGTTVVTGRA RAVVVLTGSNTAIGDIHESITAQISEPTPLKQKLNDFGDNLAKVITVICVLVWLINIP NFKDPSHGSWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSL PSVETLGSCSVICSDKTGTLTTNQMSVNKIVYLDEAGNDLTELDVEGTTFAPKGAVTA GGKRLENLTESSDTVRQMTEVAAICNDAHLAYDSRSATFSNVGEPTEGALRALVEKVG PRAPHGAHPEDCLHHASSHYEMQLPRLATFEFSRDRKSMSVLVQAGKQKKLLVKGAPE SIIDRCSHTLLGANGKKVALDRKLSNLLMKEVVDYGNRGLRVIALASIDDVSNSPLLS AKTTEQYAQLEQGMTFLGLVGMLDPPREEVPGSIQKCKEAGIRVIVITGDNRHTAESI CRQIGVFGAHEDLTGKSYTGREFENLSPGEQLEAAKRASLFSRVEPGHKSKLVDLLQS LGHVVAMTGDGVNDAPALKKADIGVAMGSGTDVSKLAADMVLADSNFATIEVAIEEGR AIYNNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPATALSF NPPDHDIMRRRPRKRDDALIGGWLFLRYMVIGTYVGLATVAGYAWWFMYNPEGPQITF KQLSRFHHCTADFPEIGCDMFSNDMAKAGSTVSLSILVVIEMFNAMNALSSNESLLSL PLWKNMMLVYAIALSMALHFALLYIPFLQSLFAIVPLNGTEWKAVVLISAPVILLDEL LKFVERNFFMQTTTYETQATIKEDKKQL UV8b_02971 MAKPKRNPPENVPSPFEGFEEGFLGSDSDFSLNSQSTSQPSFKY VSAIRSVSASPVESRADTETSQTSYRVGRKTGMTKSEELFSGNDDLSEHTGQPSREVY GRASKRRKVLEELITTEQSYVSDIRSLLNVYITMLAALPSLHIGLRTSVNQNLCEMLQ LHEDILNELRRVLSRSYNQECRYYRDVRVTEEVSQSDGQHMPYQFDADVSQVSESPKH HEAFADPQLVTEVSKIFHKKMSRFFIYKEYAAKYEMMVQDTAHVCDAFPGWEENQNGL EALCALLSSRETRDWSQRRASTLKDLFAKPIQRICKYPLIFAELLKFKLGDK UV8b_02972 MALAADGTTGAAPTNKASASESLIPTLLKSAVDQTVKAVTAVVQ TEIRALPKQIIAAAVSQRAAEPVKARARRRDASDGEESGQPKSQQLWNDAYDLLEGDD ATAAIVKSYAAMLTFAITGDDGKPQPETSALMAELKDPVKRQAHMRELVKKGREKFAG DSKTAQTVGDVASFILQARGIIDVAIKNVPQAALPWAGVCVGLQILTNPARVSRANLS GITHVVSRMDWYCSLVDHLLDERSIIESQEPAVVVMQRLESLVLNLYKSLLLYQMKSV VSYHRSSSLTYIRELAAWDDWDGDLKVIKTAEEALRAASVQYNTQSSLERLSELLQQG DMMQSTLGNVHQDLRDFISAQKEKVADDKDSACLRDLFLVDPMRELERLERRKDKLID IAFSWVLGTEEFASFSNWTQFDARRMLWIKGGPGTGKTMLLMGIVREISLQSAALAPS VSYYFCDGTADQTRNNATAILRGLLWLLLVQQPRLVQHLRSRHKFAGPCLFTDANAFS AMSEAFENMLKDPALTPAYLVVDALDECKNGANDMIQLIFKSLRLSDKVRWLVSSRPG LELLKPGMGEALVELDAQRLQGPVHAYITYKLSKLRRASAFKDETTSVELSNEICDRA SNTFLWAALAFREIQRTESKDFESKDVLATIRKLPSGLPALYSYMMSKIEGHHGLTQI RCKNVLSAVYLAYRPLTLRELGVVAGLGSPVKPLNVVKACGPLLTMTGRVVSLAHQSA RDYLCETYGKLLEQGHPLISHQDMALRSLSEMQHTLKQNMYCLPGNGYFPRVLGDAIN GSENDPLAAVAYSCTFWMHHLCDATDSATSVELVTPKEVLAFLKGYFLRWIESLSLLG KLHEGLESLRMLAAQSRFESCAPLRRFLKDAERFLISHQSTIKAAVLQTYGTALLFSP AEGRIRASGWDERLDFIRGIVTTQQIGDSSTRTLDGRYGSVTAVAFSEDGRLFSTGTE GSLTVWDTEAWIPICTIFLPDCNGVAEELSFSPDSTSILAVYGDTLHICSLETKTQLR AITHSEKQRIACANFHSDNKSIVMVLSDATVYMWDATTEDIQQIFTAKGETKSIMALS PNNQTLAVSMLENLIGIWDIATGTGHSARVLKRHDEAFRDFTFAADSKTLGSVSTDGT ICLWDIESGMCKQSFSPGWNNWPTIALSPDLKIMAMGGLEDTTRLLNMENWSQQEEAH SHHGRVTDVVVSPDRTSAATASHDKDIRLWDMERGQCLHRFSGHRDAVHSVAFSPDGQ RLASASSDRTVRLWDVGTAECLQTWTGHASAVRTVAFAPDGKTVASFADDETVRLWTV ETGSSLPIKGQSDSHCCIAFSNDGKTLASVNADGAVALWDTETGSRAHSFDKEEDDCP MALVFSPDDSIIFVGSANGCIHALERATNSRRKVVDQQESFSHMSFADDGETIVTTAG SVAGSASGQTALNKKLPEKTLFVYKPVAFPGMEAEARLGDVWIEGKGILMLLPNNYAT SVLAVCEDIIILGHASGKVTFLYFRFPKRPDTYSWRG UV8b_02973 MTRGESTRSKVHYKGNSDDFLVFVDDEASYKKWKLGDSSVPLAD FVAAFQVFQTHKQGSQGTYDTAPKNILHAEFGTDNTDDVITRILKHGSIQSMEMPGRQ GPTNDSMSSMRAK UV8b_02974 MGRLIKNHWGRLIILTAAAYQVAAAVEGFFWPKVFWDFLTKNLD GAVKPVPILQTINLLSGLGMLALEWPLSFVAGSSLHRSLEFRLAILPLTALSSILMYQ STNPAIYYLIGMFVYFWAYSEGEMICAKPWTLPQRGTTRPRR UV8b_02975 MTCRILLLAAPCPDAKLRIDRLAHQAAPQSRTAVLFLLEGQESM SMFMELQIHMLQHNCTAAIPLSSPSQLATTLECLQGYCGTPRYDPVQYDKRAIQQEIA RQCVRGFPLSKKQAEVVVNSSAGLANLARMAESAQGQARLCEALGAVDGGRVSSYFQC GPQPL UV8b_02976 MVPLPLFKLAALFVRHISKYGANRIKAQAHDHPRFRAFAAKYGQ HMHQLNMRMSVALLRDLEAERRAKERAEAPTVKTEEQHKRDEAAKEKRLKPTASSSLS ASTSSSSSSSASSSSSSSSSSKYPRMTFQNVWKRKFRPLPEAKAVDLFADVIGDAFIL GVAGGLIIYESWKALQKPDVNKQRIDDLSERLEALRRREEELVEVDEKQRRRLEQLED ALRQLRDPKTKQPMLPTLYNIDAA UV8b_02977 MAQRLAAARGGVDLEALSPRDANAQRLPKATEVKAKTAAQFRAA KDKEHPPPPPSEVLEPSSSDRSDGALYQVGKLLGKGGFAICYSGQDAATRHKYALKIV KSRMPPKTEQKFQTELQIHSKMKHKNIVQFFKAFSFDNCTYLVLELCNNGSLMDMVKR RKGLTEPEVRFYSVQIAGAIKYMHGKGIIHRDLKMGNIFLDSQMNAKIGDFGLAALVV TGRDMQTIRRTTLCGTPNYIAPEIVEKGKKGHDHMVDIWSLGIIVFAMLTSKPPFQSS TTDEIYRRARERDYEWPASEASQKYISQEAKDLVATMLQDADCRPEPDAIIQHHFFTS GFMPAESDMTFRLRELPPERDEFYGGSRMSAAVQAASYRNLKDVCRECCIGPWADANI IHSQIWKEMAQEEKVGLTPMIPLAENVVYRPFEAWLRENMPQRSKFSNSVGPYTTSSA AVVVEESQTGTQRGPRGLLRQPPQSFAAQQRAQNRPALASAAAKSNPPADASAGSNQM ARSGSRRGAAATSAAATKAAATKAASSPEESSSKKLSENLGKAAVRAPVRTALPATRS APSLQVAERAATMSCAPLQPRLKKPSSSSPARQATLFSPSETPEQVANSKPDAILERL RRLQAELERALNSRTMAIISPKTVSPPHPHVVVKWVDYTNKFGLGYILNDGGVGCVLR DIPTTEGSSNVMLPPAGVFIRGAEKHILRRQDESYPDRNQPVPMTEPIKFFENNGESG LSEVNVSPEQFRVAVNEDGSAGKMNPGKDIYQHRKRERIILWKKFANYMIAYGRDEMA ALEEAEGQGATCPGQKGSSSGLVTFYQRFGDVGCWVFGDGHLQFNFPDHTKVVLDATG TWCHFWHLPQDAAERLASTGSMGAAALDDRATLSYPLQTLLNFQTKPSSRSASTRRRP EIEAELQGIPAANDFRRKIEFIKNVVKEWVANDGLGNSCMSRETRLRWTGHRELGSTT GSQKHVWVTIGARWGDRRLSTLVDPRKPGELGEDVESDRR UV8b_02978 MSFPEPPGGSPVYEQPNTYRNSPSRRPRPRPNDIESGLHPVPRA GHQRGISASSLAQTISNPNAVAETAPLSPARAVASPSPHSPDHSFNRKRSLIRPERNR IGKDHRNYHYLKHAANMDTMPSSTGNDPLMEGFEASTEQSGDSQANGSFSESGLSGGV QSRQTSGDHEKSRVRARPRPQPHRSKSSKITKSGRREKSRKKKPDIPEQIRPPSAWNV YCAIVTFWCPDFILKCCSKPTKAQRRAWREKMGLISIILVIMAIVGFLTFGFTATVCS SPPERLRINRVTGGYMIFHGVAYDLSNSHHPPAEGIPLRQDRKGANVLYDLPEKHAGQ DGSFLFQNVNGHCKGLITRTQDSDIPTNSNSDLAWYFPCTTLNQDGSSKPNFTNPYYL GYACHTSSNARDAFYLGLRGTADVYFTWRDIRNVSRNLVVYSGSVLDLDLLNWFNETE VVVPDRFKVLRDTNSAVNRAIRGRDVTRMFQSSGDKQVAQCLEEITRVGFVDTETVGC IASKVVLYCALILILSVVGTRFVLALIFQWFICRKYAADKTSQTSDRRKRAKQIEDWS QDIYRAPPRLPGDVGSSAMGSDRTSKRGSSFLPTTSRFSAVYGADSRPGGGRPKPTTM SSQGPGSSLLNPNPMYRQANESRASFLRSDPYSSPASPSDGPGPAGFIHDAVVPQPPS DWMPFGFPLAHTMCLVTAYSEGEEGIRTTLDSIATTDYPHSHKAIVMICDGIIKGKGE AVSTPDVCLGMMRDHTVPPELVEPFSYVAVASGSKRHNMAKVYCGFYDYGTKSRIPAE RQQRVPMMLIVKCGTPDEADQGKPGNRGKRDSQIILMSFLQKVMFDERMTELEYEMFN GLWKVTGISPDYYEIVLMVDADTKVFPDSLTHMVSAMVKDPEIMGLCGETKIANKRES WVTAIQVFEYFISHHLAKSFESVFGGVTCLPGCFCMYRIKAPKGGQNYWVPILANPDV VEHYSENVVETLHEKNLYLLGEDRYLTTLMLRTFPKRKQVFVPQAVCKTTVPAKFMVL LSQRRRWINSTIHNLMELVLVRDLCGTFCFSMQFVVFVELVGTLVLPAAIAFTFYVVI TSIINSPPQIIPLVLLALILGLPGLLILITAHSWSYVVWMLIYLVSLPIWNFVLPTYA FWKFDDFSWGDTRKTAGDKVKKAGIEYQGEFDSSKITMKRWAEFEREKRARFANQGQV QGARDNVAGGPGSWASPLNQLPQDSYYSDA UV8b_02979 MDMDVDMDVGRNDRNDRNDRNDRANGRRTTDHGDEDDSGPTSGS RPASCPLPVAVAAPVETLWGQYGLGNYHCATPPLDSSGTSYSSGPI UV8b_02980 MSAVATCIRPRAVVMRPLSIAHNGLRRYYSTPAKAPLPLEGYRV LDMTRVLAGPYCTQILGDLGADVIKIEHPVRGDDTRAWGPPYAAYTPQSNLDGPGEAA YFLGANRNKKSLALSFQHKQGVDILHKLVAKCDILVENYLPGTLRKYAMDYESLRKIN PGLIYASITGYGQTGPYANRAGYDVMVEAEFGLMHITGQRDGPPVKVGVAVTDLTTGL YTSNSIMAALLARSKTGRGQHIDVALSDCQTATLANIASSCLISGKKDSGRWGTAHPS IVPYRSFKTQDGDILFGGGNDRLFGVLADGLGKPEWKDDAKYKTNAERVANRDELESA IEAISQQKTTHEWLRIFQGSGLPYAAVNDVQSTLNHEHTKARNMVVEMNHEACGPVRM VNTPVKFSETQPCIRSAPPMLGQHTDEVLKEHLGLDESEISALKEQGVVR UV8b_02981 MAHGPWSLPRDHCMSPTVPDDATAPPSPPRIAHAQVQSVSAKTI VTSANGPQAPGPRQHASYAPQFKATAQLILSRFRAMDRGPASNVPGQTPSSTSADDAG DDRPDGPNPADELTVALPTGPPPLSSGGASSSQHGLHHAAAVSSSLKRKRDQGYEVPD FTQDTVSLPASKAPARQANAATLPAVRGDTRAAADAQDTCSASTTDCSANPSWPSTSR PPSITDGVAAAQPELVGFCAGEASDFLRSRYFLKKTRAELLRILAYCDQIRPQLLSDI LVSVSKKHPELPIFDSADWQRSVSDAPSSRASQALQGSRPTGRPRHGHGHAATNPTAR QRQKNAKKAFKRLILAQSGPNEQADEEDAYAEDETLPPTWPKAGEGLYAKLPPETEDR ALLMDENDDEAFSQFLVDKFGKPVAVPACAMSKGYH UV8b_02982 MRFLTALAGFAAVAYAIGEVDIKVNADVEVASVAHVDVNAQVDI EAFRRQASGYRCPHSMSYCPWTKSCSCAPGQKLDPIAKVCVGAQLTGAWPEPDVSVYA TANVKLGTFCAISPTRIVKYNPKHEYCQASLDTITFVALADIEVEVAALVEIDLNANI SVELKNVCAALSGLYLKSLVDAVVLFNTNAFGLLTLVADVQANLSVGLLGLVNGLTCK LGLGNCKYDCVAYCTKGCKNYVEVVGEVGGHITGLVGLCILPKIILVIGVGQQIVEYV VESLLCLVGGLVKTVLSTFNCHCH UV8b_02983 MCGIHVAISAAGKRTISPALERRLRNRGPDHTGTVTTRVGDTTL TFTSTVLSLRGDHVVKQPLIDVETGSVLCWNGEAWTLRGNSILGNDGQAILSSLSKAS RHGLADRDGDDDDNFNDTGVLDVLREIKGPFAFVFLDRPAKRLYYGRDRLGRRSMLVS PGQPFLLSSVADYTLSDWVEVEPDGIYMVQLQEIDMAPKQPVPVRYGWAEDESLISGI GTFNSEIPAKSSPLTNHSDVVRQLRQVLTQSLRLRVLQVPLPPGASHADARIAVLFSG GLDCTVLARLASEVAPGDQAIDLVNVAFENPRIAAQNKGSSQDELFELCPDRITGRRS FAELVHTCPERKFRLVVVNVPFSLACQHRSEVIDLIYPHNTEMDLSIAYALYFAARGD GWCQVDADSQPEAYRTTARVLLSGLGADELFGGYSRHASAFERDGYGGLIEELKLDVS RLGKRNLGRDDRVMAHWEKEVRFPYLDEELVRWGIHVPVWEKCDFGKPPDDGDTPEAG KRILRLLAQQLGMGSVALEKKRAIQFGARTAKMESGKVKGTSVISPAAGPQQRE UV8b_02984 MPLLETIRHLAIATLAMASTIQALPPSRPADGAPKIPLRLMPLG ASITYGQRSSTGNGYREDLYNLLTQEGYTVRMAGSRKHGSMADNEVEGWPGFRIDQVE RKAKRAVPGRLPNLFTINVGTNDCIQDYNLDHARNRMDDLLEYLWTASPNSTVILSTL LVNVNATVESRIQRVNRRFKALAMRKTQEGKRIILADMHGDDGPQKADLSADGIHPND RGYAKMANIWNRSIREASREGMIVEPRPLPEA UV8b_02985 MSCVNPRRRPPLVHPPGKGSESGLTPIAATFALRKGATFHSPTS PVRSSPSDDIFVPPQLSRSQTHLDDVVDANRRRIALTLNDIDEALAKTESHSLLSKPL NKTPLRDNSLPVPRGFLDLPVVDPAVAKPEPPGRISRPRSIGRRRDHASDSGLGSSIV SASEEKETRAQVIPSALTGLGAPGTKHLLPALSRKAFNRIHEHVLGPLVEKPTLKAFE PIILDVPRQIRSKEIICLRDLEKTLIFVAPETAKSAALYLDFCLTSIRCIQATVDYLP DREQVRPGDRPYTNGYFMDLKEQIYQYGRQLAAAAAAASKEEGGAVNADAVDVDKDDE IRLHGGIAENGRPAELIRVKQDGSAISLATGEAVDLGQSPVTFKRSLSEQREDEEEIM RSMARRKKNATAEELAPRRCREPGCSREFKRPCDLTKHEKTHSRPWKCPVRTCKYHES GWPTEKERDRHVNDKHSDAPPTFECKFTGCPYKSKRESNCKQHMEKKHGWTYVRTKTN GKKASAPSASSVQQTPPLCSISTPTSTPTYSVPTPPQDQDAVAFPAYQADAEWLSTHG NQPETLDAMDLMLEHTSPSSSATSYDQYPPYQNGAAFLVNDEDIYAANVQLPADYSRP EQLYNKAMPQQPAVYQAPCPGSQVAVHVHFSPAGRENAMLFTPDDADDFDEGFDESFN NGGSDFQLLGMNKGDNCQQSLFGEVPSANLGFSQASQLDPFHPFYWTDVEYLPMIE UV8b_02986 MPFASANRLYLGRLLRSRTARVTLALFCLFNVLDVHRIHHKLCL GGSTPPPPLPPPRARQRVYIASMHFNNGDVLKSHWNRAVVDLAETLGPANVFVSVLES GSWDDSKELLRDLDDELDRRGVPHRVETSDVTHQDELDDAHKGQGWIDTPRGKRELRR IPFLARLRNRTIEDLLDLFSKGVEFDKVLFLNDVVFTVQDVLALMDTNRGEYAAACSL DFSKPPLYYDTFALRDVEGHGHITQTWPFFKAPASRNALVNNVDAVPVTSCWNGMVVM PAEPFVSSTRLRFRGVPDSLATHHLEASECCLIHADNPLSKTLGVYLNPRVRVGYDAA AYRATHPGGSWVSWWRILTGIWRNRLTRWTAIPRFDGGGVVRSRVRRWEQERRGNHEP GVFCLIDEMQVLVHNGWAHV UV8b_02987 MARPQTPQGSLRHRNVGSKKSDAASFSSDFELDKLSKAAGQRKA SSCERDHWVAFIATTFLAFVTRFWGVTHPNEVVFDEVHFGKFASFYLQRTYFFDVHPP LGKLLFALMGWLAGYDGHFLFENIGDSYISNNVPYVAFRTLPAMLGALTVSVIYLIMW ESGYSVPACLLAAGLVLFDNAHILQTRLILLDGTLVLSMACSLLFYIKFYKLRHEAFS RKWWKWLILTGFALSCDMSTKYVGTFAFVTIGSAVAIDLWELLDVKRPGGALSLPNFG KHFAARAVGLIILPFLFYLFWFQVHFAILSRSGPGDDFMSPAFQETLSDNIMLAKSVD IQYYDTITIKHKETKAYLHSHPERYPLRYEDGRVSSQGQQVTGYPHNDTNNFWQILPV NDDRQLGRFVRNNEVVRLRHIGTNSILLTHDVASPHYPTNQEFTTVSIEDAYGSRAND TQFEIRIENGRPGQEFKTVASYFKLIHVPTKVAMWTDTKPLPDWGSGQQEINGNKQIV PSSNIWTVDDVPALAADDARRQRPKRQVKKLPFLQKWFELQRSMFYHNSKLTSSHPYS SHPYQWPFLLRGISFWTNNDTRQQIYLIGNPLGWWFTSSLIAVFAGIILADQVSLRRG IDALEHRARSRLYNSTGFFFLAWATHYFPFFLMGRQLFLHHYLPSHLASCLVAGALVE FVFNAEAADEAKTLGKRPRKHVTSRERFAGQSMMGSWIASAVVICVIVAGYWFFAPLT YGYPGLSVEQVVRRKWLGYDLQFAK UV8b_02988 MSPRIVRLAYRASIFPGSICAAYARRRAVVAGLKFTPPPVHSRT KGTMADQQVIFTKDAPAPVGPYSQAVKTPTAIYCSGQIPLKPDGTMVEGSIADKTTQC CQNLDAVLKEAGSSIPKVVKCNIFLSDMAHFDEMNSVYEKFFAHKPARSCVAAKTLPK NVDVEIEAIALP UV8b_02989 MAEPEVHHLFHSPIADHSFSADHSTLAVARDSTVELYGRVGNAF KLKDELKGHDKTVTSVDIAPGSGRIVTCSQDRNALVWEPSPTGYKPTLVLLRISRAAT FVRWSPSESKFAVGSGDRVIAVCYFEEENDWWVSKHLKKPIRSTITSVAWHPNSVLLA AGSTDAHARVFSSFIKGVDSKPDPGVWGERLPFNTVCGEFLNNSAGWVHSIAFSPSGD SLAFAAHDSSITVVYPTGPDQSPRAVLAVSTQLLPFKSLMWKSEDEIIAAGYDCEAFR FKGGESGWQLAGPVESKGRDAGSQREESALNMFRQMDLKGKVKDDTQLKTIHQNTILT IRPFESSGGQVTKFTSSGVDGRVVIWNA UV8b_02990 MATAVSSRSLAPNSSLPAKVQPIPPNQTLYVTNLPSSKIQKADL RTALYLLFSTYGPVLDVVALKTMDMRGQAHIVYRDVQTATQAMRSLEGQEFLGRNLKI QYAKSKSHFVAKLDGSFKMPNSYGAAVVEQTRLQQSIFNAPPPGTATPATAQTKPPVA ADHVMKDAGTPESRGQKRTRDEEDEEDSEGDVSMEADSDDE UV8b_02991 MDLQNYPFLTAEEFAEACHHIDSRYRQATLGPLRKEWKLRVCTA LDMKFSLNSGYTTYLQIIRPLETNADVDLDLSNFSISTCQEDDLASTDTDNSDMMDAE DADKAAILNHASQNTAHVAYEVHLHPSYRVPCLWFSIHGLPPHEPSFNIDTVFRRLIP DQYKSGLRKVSGIGGISADHHPVTGVPAFFVHPCLLGDAMSSFDGSKKNYLMIWLGLV GGCVGLWVPKEMAVQ UV8b_02992 MIRDPFDIHRKNAFKATAFHTIRNIKDTAAQVGATALDMSFNIP KNVPNFAAPHRNLEDRAWEALSRNRASAGGGGILSGVQNKVSSYFEDDALPMYKDKPY GYSRRRWWRKKRLLGTIALAALTLLYLTGFLGGPGEKRLPSSASTWAWLGMSKADKTT DWDKRRNSVVEAFELSWDTYARYAWGFDEYRPISKTGKNMAPKGLGWIIIDSIDTMML MNLTSRVQHAREWLANSLTWDQDQDVNTFETTIRMLGGLLSAHYLSSEYPELAPLKED DPGKAGEDLYLEKAKDLADRLLGAFESTSGVPYASVNLAKMEGLPSHADGGASSTAEA TTLQLEFKYLAKLTGEANFWEKVEKVMEVVDHNQAKDGLVPIYIYATTGEFRGSYIRL GSRGDSYYEYLIKQYLQTNKQEPVYEQMWDEALEGVRKHLVTYTEPSGYTIIGERPDG LDGDLSPKMDHLVCFMPGTIALAATGGLPEAEARKLPSWNQKKDKDMRLARELMQTCW GMYKCMATGLAAEITYFKVANPPHPEPDHPHPPEAFDPDPDAAWREDFQVQPNDVHNL QRPETVESLFYMWRITGEVKYREWGWEMFESFVNFTAVEDAGGFTSLSNANVIPPVAR DNMESFWLAETLKYLYLLFSPNDLLPLDKIVFNTEAHPFPRFDLGKLFSTGWKRKPRE AHDKRVGETETASP UV8b_02993 MAPAQNIDVGNLETKEESVTHGSSYSMPPSEYYDEPAPRRFHRF VDSFKRDNNLSFFPSDHLSQVNSHASQGRYGTHYYDLRLATLESANTGLARKLKGRHL QMIAIGGSIGTGLFVASGKALHSGGPASLLIAFSIVGLLLYCTCQALGELAVLFPIAG SFSSWATRFIDPSWGFAMGWNYAFQWLTVLPLEIIAASLTIGYWGDGLPRAVFVGVFL VVILLINLFGVKAYGEAEFTFSIIKVIAVIGFILLGIVLNCGGTPDRGYIGGEYWHDP GPFNNGIKGLCSVFVTAAFAFTGTELVGLAAAETANPRKSLPTAIKQVFWRITLFYIV TLTLVGLLVPYNDPRLISGGNKANAKASPFVIAIEEAGIEVLPSVMNAVILVAVLSVG NSAVFGSSRTLAALANLSQAPRILGYVDKRGRPLVAILVAGALGLLAFLADLKQQGTV FDWLIAISGLSTVFTWTSICLCHIRFRRAWAARGRGLGQMAYTSQVGVAGSYAGLGLN LLVLVAQFWVGAFPIGWEDMAASSVAQNFFLKMMGVPIIVLFYAYHKIFFRTRFVRTC DMDVDTGRRDYNLPILVAQEQEERAAWPRWKRLYKFMC UV8b_02994 MASVYRQFLASSSSSLLAEKASLHYVTTATSIYGATEIIKHLNS LQKQVKIKKQEFLNVVDGHSVVVAEVETALEFQTSGGAYLPGLDDNFLSDRVAYLAII HVVTFDKGGKILQIRQQWDQASVLKQLEIIGKTGRNWPIKDSREQLTLIHSCLKSAGI ACPQADSHNDVVVRIRGESSNAIRDPHASLQLFGNREELESAQGDAVVSPYAGRQRRS ERSFADILGDDPSGEHYDGGRSHHRSTSPSKAGQGKNVQPMRIFDGQELVGEEEEEEA TKKKSSFIRPNPQKYNHFEFTDGSDPQDRPKPGVDLENRPRSKHDSQWDFKDFTTPSK PQPTKTYHRTQDVRHWDTEAAIDTEDGSTQHPRKGRRDAETHFELQDDGDRLSHQDRA NARPRGSMQNDNLGLYKNKLFDHEGATPEAKRALGNITNLGGRGKDFDPHFAMVDESP APAAPRNQHVPEARMKAVKMMDANWSAYDQSPTSQKENQKESQKENQQRGEGRFLEDN KINIAGDGMGGRKGTNRDWLYGGGDDDTPKPTSRKANPKAAQASQKNFWDF UV8b_02995 MRPGGKAAATWHMLLSFLLWTVALAKVEPSIAVNKFDNPPWNLN YFEDSDVIVFEDKVEANVYRSTNAGVSWKRVDSVPEGRVLSLVMHPHDKKRAYILTPS KTQYRTEDRGETWTKFDTKALPSRFQPNILVFHAGDPDRIILNAMNCDGIFCSERAMY TIDGFKTTKGLRVNTSGCRWAKASVEFTTGDSDKDRSRVLCVVRESLSFFNQVQRLTV SDSFFAVVDKDFQEFEPNIDQNKGVSGSLNLAVVKKHLLIATASPRSDEMSLFVTDDT VKWHRAIFPKDDNHDHSHRINQGAYTVLESTNYSIQIDVMTSHPSRPMGVLFSSNSNG TYFTENIPYTNRNTKGNVDFEKISGIQGIFLVNTVENGAEVAKGHKDKIVVTQITFDD GRTFSEIKSGGERLHLHSVTELDNVGRVFSSPAPGLVMGNGNTGKALGKFRDASLYVS DNAGTTWKKALDGPHKYRFGDSGSILIAIKDSPKADVKEFSYSLDHGDNWKSVALPND LSIKPDLLTTTQDSTSLKFLLLGEKDRTYHMVAIDFDGLKERTCEDKDMEDWHARVDK DGQPTCLMGHKQTYRRRKKTADCFIKQEFKDPVPQTEDCECADADFECDYNFQRDPED RNKCKQVGPVPSPEEVCENNPEGTFAGSSGWRLIPGNTCKRASGKQKDDKTERKCSEG ESTPHQPPATGKVSVKEKTFDLKLKGFDKIYLEKSEASSSTDETVIAWPTGKVSEREN QIWLSHDHGKEWKRILEGEEIHGVYPHQHFNDVVFFTTKTDKIIYTIDRGRSFHSFKA PAKGKAGAMPLAFHPDKKDWLLWMGTTCDKVGKEETCFGQAWVSTNRGDDWEKLGLRA YAEKCEFTGNSAYNFRPMKQIICLVTREERLDAKLTIKTSDDFFKDHDKEFTYDGEVV NFATMSEFIVLNAKDAESGIERAVASLDGKTFEPAHYPHNFHQGHHDQYTLLDSSTHA INLFVRSEAGKDRSFGSIIKSNSNGSSYVLSAANVNANEQMYVDYEKVPGLEGVALIN VVANADGKEKTKVLHTKISHNDGSQWSYLPPPAKDVDGKPYPCSSSRGDGRCALHLHH YTEREDKRRTFAADTAVGFMLGVGNVGPSLGDIKEADTFMTTDGGLTWTNVKKGHWTW QFGDQGSVVVLVQRATPKKSIKTKTVSYSTDEGKSWKDLDFSDQELTVLDITTLRSGT SRNFLLWCRMGDGRMASFNLDFSGLADKACEFNRDSEAESDYTLWTPKHPLQSDDCLF GHKARYLRKKTDRQCFNDQSLKRLIKHENCECRREDFECAYNFELSDNSGQCGLVKGL DPLSGKEWCAKNPNATSWFEPTGYRRIPLSTCEGGKEMDKTSQEHPCHGHEDEFERKH RTSGAAVLLAVVVPFAAAAAVGWYVHRNWDGKFGQIRLGETSSTFDSDRPWVKYPVVA VSAVAAVVVTLPLVLGGLWRWATGSYDRLTGGGDGAGDGAGGRSWFSSGARRRFTTRS SFARGQGDYAIVDDDEGELLGEDSDEDV UV8b_02996 MATETPPSAETGEAEIVASSIGAQGAHDKAAARRSFLGLGLAPN SDAAHTTWASSNSCSSCLYHRLLLSNSRQQHLFHLQQQRGHHAETAEHVDRGQHYNKT RRYQSTMRHRECHLVHEFPEFQETPTSSRGTSRSRSPQLVQTYIKSLRRCSDERRASM DGFSSVAREEFEALPPAIQRKYFSTQERLRIVQRPHHKHVGKPSLDRSDILSKTRLPY DAAQGTHPTLGLGFGRHELASAPHRRAKAGNTSARVYAHPHKRTARLRQTGSGESAAL RLGKCVILDAAEESIIRLGKRHKGGIEHEIVPNDPGSARPTPRNMVSFAPDAAAAAGS DAPSAADSMFESFRWLEAGEDLDLRLLLDDGRFPLGEGNVSTQAKKIPYSKRRLSMAK LPFAGRTSTTLSRPASKDASATWSFMVPPNPSSAPALPPPQGHVRRRSRALSLITPIK HSLPNSAAFVDSAAAHYQDPDARMKLRVYLASPHKFDEAVEFGFPSIDEVESKGFLEH KRPVPPKAGATGLVELQPCEEKKGLMLGGEASTAEMASPGTPEAAAAEDDAPRARPTD QHDDAETMTTTARVEYAQAPVACREMTLRMTLTRPDLRANEEQMYGWQKTPSGRKSSV TKDEPQSPTSLVRNGHSKESIERQFAALDQEDLLASENGVMRRFWNRMRRS UV8b_02997 MNNVCPSSRREGVADAGYRQVCSRGAPSLHVILRTPYLAGLRSL RSCASQGTGSHEHRPGTSGGRRSPAQRHTLAGTSPVPDNAGFCISPTPPQLVHFPSPD LAACSFFTVKYNVIVWSRRITRPKKPPKLLQHTRTGVPDSSRPDCVRDRQTITTTARL MATRQDF UV8b_02998 MKLVAGLLRIGAKDCRGPKFVAGRSAALRFASTSARATTSTWKA KYVAVGLVVGAALGSTIFTSSSDGRWNGKVPRWQVAHAAQHGSTRKYADKKTMLKAVD EIRTLLGEDAVSLEDSDIEEHSFSEWSTSNTEEKPVAIVRPQSTEQVSAIARVCTKYK VPMVPYGAGSSVEGNFSSPYSGICIDLSSMDKILAFHPEDLDVVVQPGVNWTQLNNDI RDSGLFFPLDPSPTALVGGMVATNCSGTNATRYGTMKDYVVNLTVVLADGSVIKTRNR PRKTSAGYNLNGLFTGSEGTLGIITEITLKLVTIPACHSVATVTFSSIRQATSAASHI IRSGVPVAALELMDEVQMQIINKNGGSGGRMWPESPTLFIKFSGTDGTVKDNIKSVQA MVKSFDCRSFEFASTREQMESLWSARKQALWSSLAVKPAGTKVWSTDVAVPISRLAEL VELSRERAGKLGLFSSLLGHVGDGNFHQMIMYNPDAPQEKTAVAECVHMMVRDALAME GTVSGEHGIGLGKKHYLAEELDGPTLGVMKALKDTLDPHWLLNPGKMFDE UV8b_02999 MTAEKMPLILDNALPVDLNNVDELFGDDVPLQLPVRSRGQPLQQ RLDDVRNRGCCQAVAWSRSGTIASLTPDRQNLELRFLRSHPDSGAWGLSEPTTCDLVK GTSTVPLVHLEWSTTNSPELAVVDAAGRVAIVSFAISLNHPFITRKWDADSIDDCHGI VGCFWLPTAPPNPQKPFHLMYGPANKQGHSYHYESSLVYTLGPCHPHSAKSALFCVTM AGTLKMYWSQNNNRMEETAMELESVNASDELVTHAAFSSDKKYLLAAIATSSSQLRLL RIEIQWAGPGSSSEKNALPQNARLSPAIVETHVASANWLYGGPNDINVDASISKLSFI KVLPAIADNAGPSTATPLILTLRSRSESETYQEAQTILDRWEVVESKQSLDPAFEQLG NRRSISSEPPNITKLKKLEPIVVNKTVIGVEVIHFGKTVILAMSDGSIEYRDRDTFEE ICGNHDVARIMTMRQAGWSFSDEGPCLQAALSPTCCSMIQVGDDGKVKWNQLQYSLGD LGSSIQDENYSATIASITITAASTIWYQSNYDDLLAVVQPMATKKRFVLDWVSELVRI LKIQVDYSDEMHHDALMRNSSLQSCLSIMASLGFRGETRPRSFQSKFARLNLNLRNVV ILITLASNTPINIREKMSPLDEHEVVDALAGCAKWSVELLSWLVDCLFELMNDEEFTQ RVVPQRFSELNGYLQERNDVSLHLLLASSSRSFLSAVCRRVALLEAISNKAMEFYKEQ ASGDSPAGGKAINPQLQQAYQRMQRVTSTSVIKVAEMEKLLNVLGQDIRQAYATYLPQ MVKSQAKAPQGKHLDVALKTTQIQFEVAMLLCSSLPPFFLPVVKKLFAKDVPALRKVA DPAKLFFADFELLGVQEDKRSLAARRAKGVYVDMFKKVELRSGSGGARWRRCARCASV MEDVFGSRPGYTFVLGQQRKCSCGGYWALLPKGKLVL UV8b_03000 MTKDHQPCCAVLRLCDKPFCCFAAVSIGRYGLCYAVRLGFTMDA LVTTDQYMNSRKHNVPPLITHPPLHRPIAL UV8b_03001 MAPFVSGHGPAALQQQQQQQQEEEEEEEEEQQQQSILFADAASA PSDTPRHNKAPSTCHQLRHSQESKLKQQEQQQEESDSFEKTYNQTASDTDTTHHTHHT LPTGQSVTNSLGSFTSPPTPVTTSPPLASGTTRGSTYYPPSLTSRTPIKRKPVSSPAP SALSLTASLNLRDSTGTAPHLNTELFPRPDQRFARPSSVDSPTIYDYPRPLRTSVPYC KTPIAPFASPHKRTSAVGNIQAVEPQHRNSAELSEVLSVYEDFIFREIDSSMPDKGAA RNSSSDEAPEDSNSEGVESLCGDHLGPFPLPPMHAPKPAPPHLQLNTVETPPSDSELE PNGEPGSPDSAKLVASPQLNKPLPRSPSQTSPFATLFGWPNISPSVTEFSSIPSPLSP SKPVNEVLASSRNSISNLSSSNSNAAASNPIGYCESFLSTPPPGTAESSLLLDEMEDE LKAISSELAASIRREMDLEDLVDRLQEQVNNPQAPSKRSSDYFSDSGYSSTKVSDYDQ SRDEIEKIQRRSEQEKASIRLELSNKLQDERSRRKILDQQIKDLAEKASQMDLAHMNS LDANDRVRDLEKSCEDLRRRLSEERESTANFEDLLSGLKSELQEACNERDNLRDEVVP QLRARVEGLEAQAASYATLTYESTKMQQQLQSLKQENSSLRNSMGPEDVALTRSNSVT TRSFKARGPPPTFGGLSRSASVKNAQSESREALAERLKDVEAQRDALHGALKNLLERQ EFQNRENNKKIRILEAERQRLILEPRKAGFDREISSLRTEINVLRRRAEDALEQKWQV EKGLGGLKMDLDRAEEEIASLRSLLQEKDILIPPCLARSSMAFDGAASPPPVTSESLQ KAYQELQSAYTESLERIKRLELNTGDAASDAKTRLAVERLEQSLASAVSEREAAWRHL DSLQTRVDELSAGESRNVERETALADQLSESAQRVEQLAAQVQQQLTTNAELRKRLAD TVARGDTDRKMNSERITELQARLHELEEQLVVAQTASEDRVGRHEEEIFQLREAHNEQ LRRINSGGGVGVGARNGSLLKAGVAATTMLGRSSQTIKAKSFEDEVEIKTLRARVVEL EKALADAESEIQQVVAKMNEAQIEVLSLQEERETAARETRRLQKMLEEAKLTPPGRLD EVDELARTE UV8b_03002 MALKQPPLSEKVVYFAQLERLREQGDSEDDGDAGMREEQDRRAR TRFFNKDERSGLTSRVPRPGKDSISISVAVAVAVAGLADAQGKEVAGRAAASDGPGAP THTVQATQPQPRVTRARAHRLLLDPAADETAVPDTARAKEPQRQALRTSCAAAESPSV STAKTRKRKREHPVKVRPEHEQVFQGLSLYYIPNNDIAPARRLRINKSREYGAAWSTD PRTATHVIVDKGLHYEHVEKAIGSHHGAKVVNEDYPIDCIRFRSILDHTQKKYRIPGQ PREELDGNDAAAGDEVPSGSNEPETSLQLKPRPGDQHRPDPARLSRSLEPSDASAVGG APPHPDSKLASPREEETAPSSKPPDASKDGAADAGADAGATPQGNVPHEHKHGGNDEL SSLIAMVQEYRHLPLDKDEEEDESRSTANQAQHPSASEGSEECGPSEDEGEGEGEHLK TDKMRLRSASRRKQLRFEDRFGCHSAGAQHASLDNPNSRTIEVLQSMASYYSRVGDQW RTIGYRKAIATLKRQSVKIATEEAAYELPHVGRRIAKKIEEIATTDRLRRLEHAQAEP TDRALQLFLGIYGVGAKQAQRWISQGHRTLEDLRAGARLSASQQIGIDHYDDLNTRIP RAEVEALGAAVKRAAARIDARVEVIIGGSYRRGADSSHDVDVMVTRAGTDAAAQLRPF LAELVGALRSDGVVTARLASSRAAGDGSKWHGCCVLPQTQTQTQTQTRTRGVDDRGGC RPVWRRIDFLLVPETEMGGALIYFTGNDVFNRSLRLLASKKGMRLNQRGLYRDVMRGA ERENLHAGELVEGRDERRIFEILGVAWREPHERWC UV8b_03003 MATALVALQRALEQVVLDPRYHDLLSLLKTARNGAVYGTKVRFP HALVMIFLFRSGTVRQKIALVLRATKKHASNLARFATIYKLTTLALKHYGPNQGKEGP YDSFIGGLLGGYVVFGQRSRRSGNISSVSQQIVIYIFARVALALARLAVKPGHGLAVV SREPLHSRISYYAWPAFASLSWGLVMLLFKTHPEELQSSLRSSMTYIYKDCDEWDSLR TLLWHNK UV8b_03004 MAPSAKVAQALVQAEAAAGDKAPVYEALLSNVGSLSSPGAAVDD LNAIADSFLGQALGVVATRSVLSAFVSTLKALGNDDHLWIQVGTRTLSALSSQPSSFL DAAAALGELVATAHEANQDFLEAAKALADMPLDNAQRKVTDEDKARVWIRIVRNYLEV DDSTAAETYVNKLKNMMHTVSDPDLDLHFRLSQARIQDAQRSFLPASQRYHEISLSPA VAEEERLHTLSMAIKCAILAPAGPSRSRMLGRLYKDERAAQLDEFGMLEKMFLDRLLS PAEVDKFAEGLQPHQLATTADGSTVLAKAVVEHNLLGASRLYTNIRFEALGSLLGLDP DKAEETTARMIEQGRLVGRMDQLDAIVWFEGGEASGERGSGRAEAVAGKEIRRWDANV ESLSEEVENVTNSLQRKFPDFVAANLVV UV8b_03005 MTTTTTSMTKTSMTTTSMTTTSMTTTSMTTTFHDSTTPARPPPS TSTAMATRSLDILCFGDSLTSGFYAYGLGSHPYSIRLQARLQAALPETTVRVHTNGRP GDYAAFDGFRTRLEAECSKQHFDWVIILGGTNDLALHVEPPQMYAAFRRSWAIPLSQG SRVLALTVPETECRAARLVSERAHLNRLILAHREPNFHSFDLHSSLPFHSLSDDEKSR YWDDGVHLTDKGYDWMGGLVADALLEAFSSADSAEATCPRSQGPNSPGTARHEALLEE EGGDPRKLSEGYVVVRKKDLW UV8b_03006 MSASQKIAILSVYDKTGLLDLAKGLAEQNVRLVASGGTSRMIRD AGMPVEDVSAITGAPEMLGGRVKTLHPAVHGGILARNLDADDQDLAAQGIAKVDYVVC NLYPFKQTVAKAGGVGVPEAVEEIDIGGVTLIRAAAKNHARVTILSDPRDYPGFLQEL AQGGGGGGGGGISDASRNRYALKAFEHTADYDAAIAGFFRAEYAGRGEQHLALRYGAN PHQKPAAAYAVSQRLPFKVLCGSPGYINLLDALNAWPLVRELKQALGRPAAASFKHVS PAGAAIGLPLSPEERRAYFVNDVEGIETSPLAQAYARARGADRMSSFGDVIALSDVVD VPTAAIIAKEVSDGVIAPGYDDAALDILRKKKGGRYLVLQMDPDYTPAPAETRTVYGV TLQQRRNDVEISPKSFAAAAAAAAAPLPDSAARDLTVATITLKYTQSNSVCYAVNGQV IGLGAGQQSRIHCTRLAGDKADNWWMRFHERVLHIKWKRGTKRPDKSNAIDLLVSGEL PQSGPEREAFEAVFEKVPPAFTDEERAAWMRQLDNVCVSSDAFFPFIDNVFRASRSGV KYIAAPSGSQNDNAVLETAEKLGITFVQQNTRLFHH UV8b_03007 MAPPAKRRRRNPLDASDDEEQPQANNTLANFLLSSPVSLSPPKH RVSTPSPSPLKARIASRPSASSPSPPKTRRGGASHKIGRGSNATKSRDAGRSEEKKGK TADLKTLFSNQAQRASTARSNAETRPSPVNDPISDPISEDDEISSLKASSSSLVGQHA RKRLRNGSVATSGEPLSAGLKFFKPPKPTNPIGADDELRPWPERFGPLNLDELAVHKK KVANVRRWLEEVMAGRLRQRLLVLKGPAGSGKTTTVRLLANDLGCELLEWKNPATTAG PGFLSASAQFGEFLGRGGKFGTLETDGSSSAISPISHGNGNGNDPSKARRIMLVEEFP NTFSRSSSALSSFRGVILEYLAAHASSSLAQSGAMAQHRTGPASPIVLVISETLLTTT SASADSLTAHRLLGPEILGHPGVGMIEFNAVAPSILTKALELVVVKEARKSGRRMTPG PQVLQRLGEIGDIRNAVSSLEFLCLKGDENSDWGAKIAFKKPKKSVRGLIGLTKGESE SLQSISQREASLGIFHAVGKVVYNKRDEAAPSTDTVETLPPFLSQNARPKRSQVSVDS LIDETGTDTDTFISALHENYILSCESTGPMDLSTPMDYVNDCIEHLSESDLLCPSRDV FSRGRGGFGGWDSSSHVLRQDEITFHVAVRGMLFSLPNPVKRKTTTMAKGSDAFKMFY PTSLKLWKAKEEIEGMVGLWSSKILKGELQHPTKNLTDGAGAFLPTQPSGESASWMQR QQQARSAAAAKPQGRGGEEGSEPSPPLLSLGSAAQREMLLDRLPFMAHIARGRNIGVR ARDVEKMVSFHGISVAGDQEESEAEDDFASGEPWATDRPCEERSPRKRRAGIKTSAMS GMLARKLVLSDDDIED UV8b_03008 MPPPQRLQHQYSILQSPESLQALLRHHTRGQWQDAKFANMSGLL AFVLGGDGKNSAIDLPAVDVHHIETNPERPARSLKHLLKANHVNYAVLCSQSRSGNET AHALASAYLLGATATQLQDMYEEQVKELEPWKPSPAEVVDNDWVDFLGDDRYQRGFLD FFEDKLAMEYAYDWKKVVEHFLFSSERPLVHGLICGFGHPLTHLGYAYEMDSKEVAME ALTLASVQHSFLYKYTSDPSCTRQSSKRTSASVLELLVQLSDDEALRDLPEAIEPREL ESTISKYEGTVLDYWNAWDISEPVKDFEKSQQAAVGLFVSSVDPTLHEYSLLFADLLT TSYAVRVLLSFFPARYHVSLVKEWWLLVVAVFILKGRPRPKPGTERKDAAGRDWNHVQ QKALQSPHLNDAHYAKAVRVMRDLARTWGDDDDFYLLAAATFVDNFRGWAS UV8b_03009 MTVPNKDAPITLYAELLSNIRQVSIAATLPLPSNATTRARISHH GQRLCLEHDGQAETLDLPGTVAGPSHLPVAQKTSCDLTWRLPLSQTSTPLPQFSLENQ TAPWAAVDLESGSGVWCRACNTTFLPQGVIKHWKDLPSENWAEMMEFWHCHKPHHQGQ HQDDALPDKGYGANSTITAQTGIGFVDMTSFMFDHGDCRNLLFSTSGAETSKCSSEPL VYSTHPGKFLQILCNKCTAEVGEFSKRVGSVSLFKWQVNCSTKTPAEPAPTGPECLAA SLLANISRSGCAKSLISSHLSEGNQDSQLLLFLWVLNFNVVYSSSSAGGKRTAMKILY RQVTSQDGKRLVESIASDVQELNLPSATIHLVRHALESSSLLLPERERCFKEWHVGLL DRWNNNF UV8b_03010 MMTSSKLSHLGQVYVPRQDYIPIGCLGIPHHDTEICQEDWEADA LKTWKRFASKQLLVDQCATKAEADREFLSPEFQSRIFDSKALKFARRLFEFGWIDLEF CADPGGASGIVRVHVLPDDAQHEVAERAMHGLEKARKRLLRLLDYSRSTWEGTSTALI GKNSPFAPKDGAGEQDYTLLQVFNTIPSPVPDQSLVSKALFRDAMNDLLHGAITGLTT DLLPYQRRSTAAMLQKESDPGVVLDPRLLTMESQDGSTYFMDPVVGTVLREPRYCDNV AGGILAEEMGSGKTIICLALILATRNLPTYPPEMFRVKSRPRRREIGTLADMAASCVT RNDLPWRLYFETWRRELGYDFARCEQAIRRNPGYYLQPRPNLRRRKLRYSSFEEPPPV KMFLSNATLIVVPNNLVSQWRQEMAKHTSGLKVCILAKYDDLPPRKDLLDLDILLFSQ SCFECLAYLLTSVHFKRCIVDEGHKLGNSKISRKSNLLIELDSLTFSSKWIVTGTPSH GLYGVDDLALDKLPSLNAAESRIRPDSQPRRATAEMEKRDLERLGSIMSLYLKARPWA NCTTEHGDGGASWAAYMLLPRHSKNSHGSWDCLKATLNSLIIRHRLSEIGNLLPPVDE KVVVLDGSYQDRLSLNLFAMMIIFNSVQSQRTDVDYFFHPRQRKSLLEIVHNLKQSSF FGASFFTSEEIAKSVGTAEAFLAEGKVPISAEDRALLCQAIKLGRVAVRDKLRNLSNR FHEMPLLVRGPLGSASHAWSLDGEGGDSICTSASLLLSLQRLLASASHEAERLNSLLN GGLIHEGLLERDKMLAAQESPAAAKKTAAKKKELLLAGNTKLGSDTRRPQSRTHGPHG LEPMDGLFIDGLPASLRRAKVASTVSAKLSYLIDGVLHHQKDEKIIIFYENENVAWYL ASMLDVLLVQHLIYAKSLSTERKAQYVDAFNHNHVFRVLLMDVSQAAFGLDMHEASRI YFINPVLNPQVEAQAIGRARRISQHRAVSVETLVLRDSIDEVILERKQHMTQAEHGQV KSLLDVRPIYNWIKNATILDLPRMEEASMPAHMVDLRPPQPVFARAPDADEGALNSSR GRPTPETAACAQGAEPTVPAKRLHDGGEQPTADGRCGRPRRRIRFARLADSDETTGG UV8b_03011 MSLPQIPPPPPYVAVAADVGDEACNPRYKALSLEPLQKDLASIQ HENPLPSQNNPPFPDLSQIPEFSFSFSAHQPPELVQPMPDQPSTTPPSDATDTRHPGS DTESPHQSISPTRVPKSRSTNDLLFPSGKFEAWIISDDTRAEYNPSFLRKGEKVPELW NENGNILVYLYPRGSGCGPSFKVPESVAATSFVFSELLHADRPRHAGHATPSTSRTTS VSGRNSPSADDASKTTSLTIGPPVLGDPNHPLRLYLPAAPPSASVHLSAPGSGTQGEL DRLIAIRNLFAFLTGQPLVGTRTNPKVFQAFVEIAGLLEEFGFSSPDGSNFGEAVELS FGFYSEQLGLSDCRHSREKTVEALILGERMRCVDLYNEAFAHAAGKYSAIIDLQLPLY GRVSPLTRQRLERAHLDLVNRQHNANEHLEAFEFPSLFAGIANSTSIPELKQVRFKAW RNSFGRMRQFLLGYYKSKFGSWPPKASNRKSPFAESGLNRLVLNALYSDMCVLYDLLV DRTNLTSRVMDEAPAISGAPEKMTMSALRNMLSEFDRSKPPVLPPIPFDLPQLPSIKV IREVFGSKSAKDQNRMEKKMKDHDLVLVLQATYNHDTSRVKAPFLDQFKDFEKREGRG KGCQDLADQRIGYWLFLYVVLQSLPMLVVDAPGVRHTEGVEYFLCEPPMGNLPWVEDR QVVRKMWYEVAGGGGLVELSADAVMFSVEATYHRTHCWLAAKKWEGLVDGADARPEEP PISPLLQPPAPILPGAEPSRGAPLPPAGDVADAPSSSPGGRQPALRPRNLLPHQPSRQ PHRSSIMMGLEPVPLEPPGSCAFQSRNRSSSTGPPPTSSLFGNRTSSVGNLVHWPAQS GSPAPPASKPEAKSGATFDDILGKAPQNEKTSLTKKMGRFF UV8b_03012 MDARTSMAYSRLGQESPRLSSSPVVEELFDQIFDWRLYCESTRE LEPSHLPGRQTPQGLSKLITHFAPPSLDLDTLAISDLQPELYKMKTQFRPDDDTPTTS TSDYSAHSPPELVQEGGSTSPSDHSGSVFLDHVEERPHPADVTLHEVQAQDDEWTYPQ TEDALKPVRPGYPSHILVQGDCTGLQSRQAAGTKRRRSIKDIDKRPRQLVDPVQTADV RKSGACVPCRVTKTRCHDSGVCPTCRKAFPNHSHLICTRLTPATAWPVMAKVPDFWSS FAAEEQYLCSGPRFFTGSPRDIAILFSNEKHSPSLYATVQAYRSTNNHDETGCPRTAA FPREKVPSHPRLQRWVEEQIKREAGAEFGLLLQNFLRVYSKDDLQKMPKYDLVSKVHK MNCFFRIWKMPAFLCIDPSKKLVPLPVSVQAQLRSIARKALDRLEYDVFKMLDDCLSQ QGSPKADERVAIWVSMWQLMLMYRELLIAYKAHLGQMKRDPSSPDEFITSQTQQHRRL VDNFYPLLAIFYHYQFRTRKSIELSFDWLDGMPSSSVPREDKDNIRCIGQQLLVSRAS LYGYIESSADTVDVDKMLWTFVVEHEVKKMNARKRNPKGSGSKSKKSRQDDDELDDQ UV8b_03013 MPAADIITLANLLDKLPPWHPDDENHLYAIVDMGSNGIRFSITS MEPPTTRLLTPIFSSRAPISLFDALEPSASGLIFPSETVEAVASALSGFRQVALMHGV PQSQMLILATEAMRRASNGGQMLEAIAAATNGLGVLILDPAVETLLGAVMGSRSNLAH VPDGGALFLDLGGGSLQMTWVDATKENYEFDAAMAGESLPYGAAKLANRIRGQSAQAQ AEETKTLQDGIARIYASLCDKFPTLQATREAQDGGDDAAVVDVYMCGGGFRGYGSMLM HNDPISPYPIHSTSTYSVPASQFKQPTKMRQVNEEHVGKIHGMSKRRRQHFPAIAAVI EAFIAVVPNIRRVTFCGGSNRQGALMMKMPKEIRESNPLEALAGVKDGERPVFDAVVN LLSASLPAAETNFQNMPTVLARGLAALFIRQIWTRAGYSSSCNTSFALHHSVVRDSDC PGLTHLGRALLAVTLCARWGNDIGPSDEALYRGLWGIIENHQTDAVFWALYIGAVSNL LTSVFPVMPREPGTLVSALGFSSHVSKIKAGRNKVELTIQLSAEIAKRINLEQLADTF KSTTKNKGGKTGYKASLNASFLL UV8b_03014 MSSSEPFRAARPRRRDPSPTPKTPDPSTHGQAQPCSPPRQRLRL RRRVAAHLNAPTQQFLASVAAADVPIPSIEEPQVYDDEDMLSIAYPVIPQLDGIDDDD HDVSLAEQQSRGRAFTSPKTPAPGLAPLLPPQRFPDWSLDAAFGSLESSPDYESSRPS TARSTQTSSSLFSRFSPASEDLSRCESPEREQSERFGRFLSPGDVDRTIKPALAPRRS ARSRRRGLWTKAMSRHLWSTYMTYLQDPKVTPFRVGKSGIPPSGVCMRVAREAKRSWK GSRSLADPDPGPDPDSKSGSSTPTAGPACHFIQWPHTCAATRGHLRELCKAHVGSTAR HARYLSSSPTPFGKTGNRFWNRRSAAAAAAAAAAATTMTRATTRSPSVFSSSDMAMSL TVCTSDSMQLQGPLAQLTSSRRQLPPSTSSASAAAASEQAVFTFGASLEQPRPRPGSP STARSYGPSSSSDLAGGLSSSPMVQRQARTVGTRRGLGSPVQMDQGRTSTQKRRSRQP VPEPRRIKRPSLGSDFWTDPSSGDNARSQPQPQPQSQSQSQSQSQSQSQSRSQPQPQS RSRPRSQSAAEFPEYSSTDCKQRDNLFVPRTNLQELFEASNPPPTTMLPLSHTVPARL GSPFSAHSFPNRHCSVSSIDLRAVSRPFATVHPPMEKSATGATTAAATPCTRAPLANR LAYIDQRLKDFRQRGASSRRSQSPL UV8b_03015 MAVTMMPSASLAEREDGMATVLEGARQQPFRVNRKLLCEASPFF CQRLQNHGRPRRAACLWLPGESCTTFALFLHWVHSPQTFRHVLEASVSAALETSQRAS QDVHWALIRLHLLASRLDLRRLQDLAMDCVQDLYLTCDWDVPPSLIAYLYTRCEAVPA VRARRWAVAMVAFSCSHGGDDRLVRLGHRPQDSAAAAAATSDTARFRSLLSLVPEFAA DYAAHVRKMEAAGLDIRFKNPQLRIAANKLRNEQRLFGFRECSFHSHRATVGQARCPH HAVPPTSVRKAPMHRESACVPKPLFWNREA UV8b_03016 MFDVGYHQHSANKPVSPSLTSTATSVAALPSPDASDWKSHSSEA APRVSPGGVGASSAASIAPTMTGNINAGYPPLYVRPEAPPKTHEQNVAARQLSSMLPS NRTPTQLLSPTVSVPSNIPPQSVSFPAPGHALPSPTQLHPHLQHVHGQAPPHRHHQQQ QQQQQHVQPQQHVQPQQHVQPQHQQGQPPSFAASRVISFPSPSPTAGADTSSTAQYTE LLNLIRRTDPAIVRQVVRVTHETSLNGSEYHYSFMLNIALHKASRGTLDQAISSFGEK ITKPLRAQVLAHLTQQELDAAADTLLSKVSNSFLDKALAKRLETIRARSLVNALAKAE RLGYDVKDDVEERRDGTENVIPYLKQNEAQLSTHQQQRPSHSLKRTSAPAFEDQAETL TPPLAHHVPKKGSTANGDSGNLETLLISPQHEVNGACKVRGTFSRVNKDMCPHCGCEF ATTVLLQDHARSRPCGKYTTTDVQALIPALKTFYDGIDRGAKGARGGPSAENRNGARE GEKQRRTSYVGSTTPVSGASSPRDADAKANNPYAHLSPEERANFEAEMRQVEEKYGGA MSLAMSLPPEKRIPELNRVKNMLTSKQSLTRKKYGIRLRERRPKAEIDAERSRLMSLS LETPPMETRVEPWDRTNALQGGSALKRLRSNNQGERIITSPPADPGSAAELPRKMPGK DGDKSRDSARFSQQKHPTPSSASTQAASSNATPTRAIGEPRSNPSGGGPRTSAGTRND PMQLDDLTDESSDSEDEDDDIPAFLPPKTQ UV8b_03017 MSHYNHGQSYGQPPQQGYGQYPPSQPAQYPPAAAQYPPPQHQHH MPGGYYQQPPPQGQYPPPPPQQQQPYGQPPPPSHHYGAPPPPLTTSYGHHSRAPPTAP YGGSPYGAPSSQQGAAPYGGVPPPQQYGPPVPVTPPSLGYGAPQIIQWDANPDAQALR SAMKGFGTDEKALIRSLSSKDPLQIDLVRSTFDRTFRRNLIRDLKDETSGWLEQGLVQ LAYGPLLSDVHNLYNAMAGPGTKEVVLNDILLGRSNADMKAIKGAYYQTFHRSLEDTV KGDLSMKTERHFLMVLAANRAEDAAPVVPRQIDDDVMQIYKATEGKMGTDELLVCHIL SSRNDNQIRAIAHSYQQKFNRDLDKVIKSEFSGHMRDALLFQLRHAVDKYMHAAELLE ESMAGMGTKDHLLVARVVRFHWDHTMLSNVKAAYQQRYRKSLANRIKGETSGDYEKLM LACIGEWR UV8b_03018 MQARGFASLVTVLSAIATASYALPHPDALPRAAADAALRPRYSV VPLEPGGDDPDSYGGNGAQVAALSISIVPIAGNDQVTVTVTQQVSSSAANPTTWTGIA IVPIAGNDNATVTVTVTGAEQATVTAASTSTAVSIAPKPGNDDSTVTATATAVSTSTA ISVVPIAGNDDTTVMATATAKATSRAVATSAAISIVPIGGNDGTTVKATATHTEQATS KAVSTSTAISIVPIGGNDNATATATHTQQATSKAVPTSTAISIVPIGGNDNATATATH TQQATSKAVPTSTAISIVPIGGNDNATATATHTQQATSKAVPTSTAISIVPIGGNDNA TATATATATDTKQPTSRAFSTSTAISTVPKTANHNTTTSAAQQVTSTATSTHSAVSLA PVAGNHSTTATVAKQATSTAFSTSPIPTESTMPSFKADSMFNAEDFSNKTLVAIQGSD QEGTQTVVIISLLVTCIAAVVLMGVTFVLIAREMRRAGKTGAGSAQAKDGAGAVHV UV8b_03019 MAYPRLGLPSLLLAVTMLFATFSCGHAQSAPPSAAASRDTAASR MSKRAEAVGSSCSPEGQWNCMTRSFQRCASGQWSAVMNCASGTICTPSGHTVEFRVQR DGGADGSWGASGSGGGFTTSSAGRKGVDGSLAIGLLALASWRTLAG UV8b_03020 MWDQLSTTPLPTDVAALRQPLPARHARGAQNRLRAAPKHTRVVR PDGAYWAELDNDNILQPLDALGISSTRGPGRYAVQRGRVVPQHQHIGIVLPSQNPYTS AQADLIPPRQSSRRLPRSSPNSTWRPAPSTYDHDDSQVSTPTQHYKLASTHRSAGSAT ELVSPPSSPESNAPHDGLTAGDVSPIDEFDGSQQQALRGDRCDTLAAPLQQPHAGIES RPFRSAPQHHGGTDASDAQALRPAANPEWGQPARQAPALSSSDHGGSLYPKAGPDHAL RVRSAAPRPLASRANDPSRSAGQRTRAALAAKPDPMENRPPWHGASGRSAMLRPMRDN LGVAPLSLPPKSSRKSSPAAALASAPTEPQASGARGAGSAMRKLLPLASKHKTRASAT PSTRAAAAAAAAAAAEQRTRAAGKSYPSPLEGDVSVPEAKSCGDALREDQPRQSSRPR APAHISASLNANAIRRKPAPTSAHAGPASDPLLPSTPPAGRAGNAESLPSSAPSPCPV RPDPTCRAQQPSSRFSMTTCATSNPGGSPGPGPSSEKTPSPPTPQPPAVGVLDRTRPV ASRRTTPSGSQEPTLIALSSARKPTEPPPPHGTRHLLRHSPHNRSVSEAKPPDCRASI LSTASSSMSKPLPPAPPEMSPSNDRITHLKIQSDALLHRRVNIQRIIKQMTELMPQDN LLASDEVLRRREEEKQKVEKLRRELADVQREEHQLGLQLHRAYKRQDKDAEYEPTTLW VRRLAA UV8b_03021 MSEMSNCTVIRSQTSQNHRFQPSNITVQAQCPHIAIPRRIYLSP TPQAIQFHKWTFSVSQIALDDETLPARIYCLDFFHRAFTRFPLPHTQPPGPAVLPYET FNMVPGQWSPTRWLDFHADIGEWQHVMFPYDGLEGCFADAPYRPTSDLLIRLSTGGDA NQHGEGAIYGGPHNKLVFTSRKSIPYGSFWRFAFEFAFVGDPQSFLSQQDIAVPRANV DSVPRDSSLFGDSVQIWVTHNGFESAASFHYTFVKTFHGTAAGDDVTALLFCVAGVEH IRDTVVSNRLFQSLYRVKLAPIPEQTYL UV8b_03022 MAFMDVKPPSPSSRFVPMSRPSTTSVAGKSPTVRAPNMLINIYN GPKRNAYVRSQAQVVDMVLASPVVLYLGVSEPQTLFIVGGKDQTAIAIQWAPPEVAAK LGHF UV8b_03023 MRRASANRLRFVCESCALTRTSSRPPISFGLARIRTVKNAKPST WKTASAATRWASTAAAGPATEQGLPIAAKSSQLNQDIPARIPEPAELARVAQDGEKKL LSVDGIPASQLTTAALESCLRAATVLHPQLKRAEAQSRAAASKLVTLGAERTGSKIPI DAKIQDAVHRISHSAYAIITHPNVEMKPEFLELYVQVQAQLGRPESLPSVLGLYATKP KPVVKGGQIAYVKQNPNAASRAIEQGVAELALQTAIDAKNLDASLGIIEAAYCVPAFK RQKLVRHGTAPAFGLATLPLGIFGLSTAYAAYWQNTMDVSTATGICVAGISGYFFVVG SLGVIAKLSNKDQMKRVTWTPGTPLRYRWLREDERAALDKVACAWGFKEPWRHGEESG PEWEGLKEYMGYRQMLLDRVEFMDGMS UV8b_03024 MTARLGLRLPNHICQRPIHDPACHPPPPPPPPPPPGGVPGAGLP ARLPAGSGNRNALLSDIQKGRSLKKAVTNDRSAPVVGKTSSSGGPPVGSAPPVPGGLA PPVLESRARRNSDQGSTQNGAVVVDSAPQLGGLFAGGMPKLKKRGGGVDTGATSEASY LSDPGESRISAPKLPGVPKAPGGAAPAIPGSLRKITPLGGSKPPPPPIGKKPPPLPTS RKPSSKTVPALGAAPAPPPPPPPSSAAPTPSGPPPPPPPPSLASSAPFSAAPPPPPPA SAPPLPAISPTPRSQPPPPPSLPVSSASALSPSAAPPPPPPSAAPALPVSHSPPTPPQ SRSRGASLLQSMLDPSSYTLSANGANSPSPSPHHSHSPTLSNYSRFIINDSRWQFKDE SLLPKPRDFTGGPKKYRAGRGSSVPLDLNAL UV8b_03025 MFCARCVRTVTVRQRLPLARRLNTSTQLRYAEPKLSTPVTGADA TPPETPAARSICIEGTTLTGLNYLKGGQDPVAKKDEEYPEWLWSCLDVLKKTDTTDEN AGDEFSKSKKQRKIAAKRQKAVEAKLAAEGNLEALAPKIPLQHQSINLPGKEGGSVED NILAAEKRLELKKAMRKERKAKIKEANYLKSM UV8b_03026 MPTAESELFKSQKPTVAPTFNGVDYDDTKAFKAAEDAIIREQWV EAMKTRLVQQELGKCYRREGVNHLENCGELREKYLQLLATNKIKGTKFIQQNYIEQKD QELDLAAKVHTSDKIRRLNQGRFSS UV8b_03027 MAKSKSKESKKVGTSSAVKNVAASKPSQSSKAKSKDVSKAAAKK VVKEKDVPKKKKKVVEPEPSSDESDSESESQSESESESESEAEKKADKKIEKKAAAKG KAKKVESESESESGSDSDSESEEDTKKSAKNGKAKKAAAASESDSDSDSESGEETKKA AASESDSDSDSDPDSDSESDEETTKPKVNGKVKAEEESSDSSDSPDSDEKVGVKKAAA ETSDSDDSDEDSDGSDDESEKPEAKLEDATASKKRKAGDDTDSPSKKAKTESEGASTL FAGNLSWNIDDNALYEAFKDFEGLTNARVVTDKMGGRSRGFGYVDFDSPAAATKAYEA MKGFELDNRALNLDYANARAAESNPHERAADRAKKHGDAISPPSDTLFVGNLPFNVEQ DAVHQFFSEYAEISSVRLPTDPETGNFKGFGYVSFNSIDDAKSVLEKTNGVPFGEGRG ARSLRLDFASTRPQGGSGGGFGGRGGRGGRGGGRGGGRGRGGDRGRGGRGGFGTGANR TTSSFSGSKMTFD UV8b_03028 MEQNKPSQPKPSQPACSLPHAGTSRSSPGLSQPRRFEESWVEVA SQPSSSSLSSIGDEIVTTGLRVRGLHNPPRRRLQPPARSLPRQQTAVPVAGTSSQDES DESDSDGSDGSDGSDDGGLMASSPEHSQAAADVADRVSDADSDTDGDDATALGRASNR PVFRPQPNAFSHPSAHVPQRSHSATASMPPHPHSGFTRPSFSQRSQTRVHRSGPSFMS PSVREDNDAALRASLTTLLSCAAAARGLPKSKEEAETCRAKTRNGVGPSDQPMELCFV PESELMSEKTTHSQGPGPGPGPASPSRRRNSSTQSSTREDASAGRVKRSVSAGRHHRA SCKKKKMVAPSEETFISPTLFTWAVSAGVVVLVSVVGFGAGYVIGREVGRQEAQDAFS ASITAANETSHTGREVIRSSGGFRKLRWGAGAVGRSIVAQA UV8b_03029 MAPTFLKELRRRSRASWRTDNSTDASSDGTASQGTSPSSGSVTP PSVGHHSDPALPLQIKAGKIMQPHQAQTSQSLRPTMSVGNSSRHSMFGMSGVGAHPAG GRNNVTLSQYAPRIHNISENSWVHQKILLVLGTIGEPGKHSIDGTVTVSRYDDNFPAI SWPVCESHVKVLLYLQPGPNKFRLDFSSPKLANSCSSNPIHASYLTLHMIPPMNTPPL QLAIIVGCDSPEQFDAPPARVEKEGNGLQTAIRKFRMAAYLWQAFTAEQMWRNKLGRR SFRYEEEWGLGTANYRDRENGTMRSEARVHVIRSKKSAAQIRSLNETRESDKTAANQA LFDLAADEIKAYFNPLPGQKNYVSALFLDAHWSSEEKVVAGHAAMGGSSGDLQLAIFG SHCLHSYPSSFEEVVPAFTDCTPTDASCVANENNEAGSSWEVANIGIGAHLHETGHLF GCPHQESGIMQRDYVVLNRSFVSREAYSTRMKSKGGVVQQSDECGWHRLDCLRFRAHP AFRLPSDAPLNADDSVQAFATENGVVLATAATGISFVEILAEGDDVCHSWLEYTTESG PVQRQLALNEQDLRARLPEAKRKGRMSISIKSHGGGHLSIDDFGGFTAKASMVKLGSG KLASRSQRVGASQTEDCETQEFIFTSASKQDRVMSQIIAYHGSVLNGLEFVYDDNTSQ LLGKQGSKRECDSFEFDVRRGEYLSGLLVRAGSRIEGVQILTSLGRKSPTFGNAHGGT AHNIIPPRGYSICGISGSCGSWVTGLSVLIKR UV8b_03030 MGIEGTWYEEEDLARNDVDDRLDSEGEALPGGKMQMECHEWTRQ SQRQSQVPVLWAPPCKGAEPSTMTSCPCCCLLFVVVAAAVAAAVAAAVAAAVAAAVAA AAVAGTAWRAAE UV8b_03031 MSKRSSVAPPSANGDAGRSAISPSQPAVDKQKTLLSADVGHFSL VRAMHLADLITLMNGFSGVMSIFASLRYCLGDADSLDKVWLALAFLPFGLFFDFLDGR VARWRNKSSLMGQELDSLADLISFGVAPAMVAFTIGCRSVLDTVGLTFFVLCGLARLA RFNVTVSVLPKDASGKSKFFEGTPIPTSLFLDAVMAYWLHNRWILDQLPWGAVFPGSV LEFHPAVLLFMLHGCLMTSKTIRIPKP UV8b_03032 MESRSSASSSSHPAPSPPSPRLPSRSLPNPNPLSPALGLGLGLG QRNPASKKGSSATPAGAGKFTEEWDASQRGSSIIDESYYHYYNNNDNNNNNNNNRFNA AVMQRSNSVHSFAAGNDEHQLPVRSSNTLKKSSHRANSLRRSSSRRSHRAGSVRSLVL HSAADPDDAHSAFFCPIPTSGNPTDVLANRFQTWRKVLKDLINYFREIQSHYEQKSKS FSKLLNLSNSITTPPQFLHSAGIDDALQSIRSYNSAAMQEALKAREIEEDVLLALIGL RSDLQQKIKEIKRLSGDFKNSVEREMENTAKAVRALADVLNKTEIDSSSTTGKQDPYL LRLAVDRQVERQIEEENYLHQAYLNLEGSGRELESIIVGEIQKAYNAYAGILKREADN SHAVIEELREGPIAMPKDQEWIHFVTHEEQMVDPTTPIRSVSQIHYPGQDHVLAQEIR AGLLERKSKYLKSYAPGWYVLSTTHLHEFKSADKAQAPVMSLFLPEQKLGSHSSEGGS SNKFILKGRQTGTMHRGHTWVFRAESHDTMMAWYEDIKALTENTAEERTHFVRTHSRS LSQGSRRSVSSDGIVDEDDDEPFAANPLHVNPEPRDEAATRRPEPGGRFPSDLQVNAQ RGLQAPHSPSSVSSGQPDHPADHPADHPADAPMDAARQHDRQHQQVNHMGYGGTDQTP MEHMPSQAAIASQQAHDDGINPYTSEPVSHSSHKDGGYFAGAVVATQGYHQDEPASNY GGDGVVPQLPNELEQDQDVAVWRPETDTSGLSSSVAHRETCVSGPEQKNAANAAAYTV DTIPRSDAQREALLQAVPEASSADHSTPVGTPLGRMRMGDGEKDFRTNSLATISNLPI PGRFPKASSVASP UV8b_03033 MEAYDATVDVSTSEDEGRLWKEMAECLITDGNSHEAIDDALRKW LDMTACHLRGSASAPQLDSFTCAHVLLESETFRINKDYVRTQIIHSLLQEDEAGPLHA IASVLYLDGCRHELTFPQMIEESCFPRLLELILARRQKQPDARLHRLLLQLMYEMSRV ERLKVQDLMLVDDAFVHHLFGIIEGVSDDVQDPYHYPTIRVLLVLNEQYMLASTDAAA DGGTAQPPMTNRIVKCLSLHGPLFRTFGENVILLLNRETETSLQLLILKLLYLLFTTR ATYEYFYTNDLKVLLDVIIRNLMDLPDEKISLRHTYLRVMYPLLAHTQLNQPPHYKRD EVLRVLRILRGSHNAHFAPADETTLRLVARVAKVKWIEEKEPEREGSGQAEVARQILG ISLSPSQYASSVSVGDVAGVKERPGVQTPSRRASTLSNSNGEAGADSQASNPPSKARK PLPAVPKHRHGVPFAQTPKAHVGANGEGKKVPPKAPPPRRRGRLKSSAEPLEEAADEA HTR UV8b_03034 MATTLRRRGQQRKTRLAEDDSQIDHDSKVDDECPAQPATHIHVV ETAPKRTRKRRNTFIFMLGGLCGLFAAGFFAKSNDLIDFPEIGELSMDSFFDVLPAGL IRDMRDLVQGEREVTAAYDSFSVGLKVRAEGLHAHHPMIMIPGVISTGLESWGTANTS RQYFRKRLWGSWTMMKALVMDKESWKKHIMLDKKTGLDPPGIKLRAAQGFDATDFFIT GYWIWNKIFENLATIGYDPTNSFTAAYDWRLSYPNLEVRDRYFSRLKTHIESAVQYDG EKVVLASHSMGSQVVFYFFHWVQSDQGGRGGEDWVDRHVGSWINISGCMLGAVKDLTA VLSGEMRDTAQLNAFAVYGLEKFLRKEERAEIFRAMPGLSSMLPLGGNAVWGDLDWAP DDRPNQNFTFGSLLNFKAGANWSTPDRNMTVEESLQYLLDTSDGWYSKSVRGSYSHGV AQTAKEVEANEKDPLKWINPLETRLPNAPNLKIYCFYGVGKPTERAYYYRTTEQPTST KLKITIDTGLTEDEVDHGVVMGEGDGTVNLLSTGYMCNRGWHMKRYNPGGARITVVEM PHEPERFNPRGGPKTADHVDILGRQNLNELILRVASGKGDTIASYVVSNISEYAAKVK VYDDEDDAVGGARWG UV8b_03035 MALPKKQDVDLELRYIQYQHELESRYLPAIRSLISKDLSEPYSI YVYRYFLCQWAHLCFMAINPQDASLIGVIVCKLEVHSSHSPPTRRGYIAMLAVDSAYR GLGIATALVKRAVESMAKRNADEIVLETEESNVPAMKLYERLGFIRSKKLHRYYLNGN SAYRLVLPLRALDPGTGPGYHNSEII UV8b_03036 MASSDCHFYGPEEEKQRLEARVEKFDASAWLRQRNTLLFGCPSR KPSAFIDSSSSRLHNPYAGVGYAWQLTETLEAFLTRLPPATTDRTDDVPWIFICNPYV SSRERQTRDGGPLEGNQDEAPAEKGGQLHLVARGAAERLELLADLTQKVTSSGASPTF IARELSSARAQAAADILNLAHAGRVRTGKWMLFHPAVAVNEVWELVARATANNELGVA AKVAPRAPFDDVRSDRLVCIYTADFMDRCDVGRVLKRLRELKLAGSDQRRIYYKPDIY TYIGISSGNPWGLSASIYNSGDFS UV8b_03037 MCSLSPISSVKQLQLDLPPSCVQVCPFDPSYFIVGTYNLENSVA QAIAGADEELEATETATKKKQDRNGTLVLFRLDDDDKVVKVQTESQPSAVLDLKFHGN KIGGKSIVAVVSSTGSLAIFALNPERHPLLQHLATSRCDDIGEDVLFLQCNWHPAAKR TIAVTTSSGLARLLYLDENWCITEWTDLGIQNTLEAWSVAFSDSTNSKGEANQPTTVY CGGDDSIMRYTSWSCSHGHGAQTSDAVHPVASIRGCHDAGVTAILPLPRWAAGGGRVV LTGSYDDHLRVLAIHDLHESHGAKKAQLLGDINLGGGVWRLDLIDMHPRGSHTAGVAV RVLASCMHAGVRIVGIHTDDGQTWTCELLARFEEHKSMNYAADFVRKRDGKGLACIST SFYDRLLCLWEYPSSPCGGSQVRLPQE UV8b_03038 MAGAMASPAPNEAHPVKLLALASAHRQHAPYEPTDQFETKDGKS HLRLAAAAPSPLSTPLHVAIQHLHLSTPPLAPVLCNQLELDSTDQTSLRHGTCLPRFF RWYERTIHPSTHPSTHPSIHQATFQPASHQS UV8b_03039 MSLHAGGASLTSGGDPPHGEDGQILSRIHQALEVVHSPYSSNDA RRQAQSFLEEVKDVSTAPFQGYRLASDKSQPSVVRHYALSLLEHAIRYRWSTYSEEQS TTLRNWVLELSQAVSRQDPTFLRNKTAQLWVEVAKRSWGAEWMDMDSQLFQLWQMPDS AVHKELVMFVLETLSDEVFTGDDSVVAMREGVLSKACVEIFTPTSVLAEAFPNRQPGP DVRHDAQGWLGRVSDFLNFCINSDRKDDVEAKGCALKALSVFLSLMPWAIPKAIAAAQ CVNVMTTGLASSHVEVQKASLDALHALYCRTNFSDEEFRHLVLPMYSHNSVSLCRNLC HWAAVDPEDINEDRYQILKKLSEMLSCLGDHLERKFEEVPHNAASSEFLQLLIEVAQN PSLMVSIPILVTWTRILSHRTLGPSDLVSSTIAPLLEVCSSRLVRYENLPDDSNDATY LFLMEDTDTVPERHAFLGNYRRYSSQIIEQIVQLKLVDAISYILGRTEYILQNLYEGQ PAFDKQTYKKHSMPALRVDSHFTVIEATLKGYVKWRLYHVRDHEQQVAEIESNLEAWC NKLLEMNFQDPMIRKRSLQLLVFFSTTALNQNAGFMLKVLQHILLTWPTLEPDHRAYN DAVKDLQSDSMIELQRLAVEVPDHLLGVYDQIESRVSEMMASGALDEKRSLAYKSFLF LIIHRADGVDIQTKTQKLSEFIEPVKAQWQTDAVRTSLKSYAHFCQCLGLDKARGYLA SRRAHEVSDWGSCELDAEGLSLQAELEERLKTLPLRPTKSFLAFSVERLDKASPAFQA SCALWQEGFSGILADVLEYLRYAHATHNPASWTDWPTERRGMVDRVLCDRFWQSGISE GSKDDFYARVLDKKKTMEGLASTIRGSIRFVRETAYAIIYCMSRLDLQFYGFDGLSGP LSDALFSDSTWLSTHQQNNLLNLVRYLVDDCPVDHRTRFLPQLLTACFRQTDAKIHGE WDKMEKQETTVVEDEAGLKEEMKAESILRQVTYTAVMMIADFLDPTKANPPTLESRSR KGPATEPANASASGSYPSLRKFCLTRQEVVEPLLVFCTHVIRMRDTRCCGMIMRLFIS IVPEFRAVQGQDQPAASPHGRSDACTQAETTAVPAEIASVIREYISSDVLKACLTSFH EPYFVEIQKDLAALIATIVAYYSPLSSTPRDVLLSLPNVDASGLERLRPYMAKPEAHT RQQRAIVLDLLKDLKGVSVSEMGRVAKSADFGGSSRSKRGHRSKMAQGFMNASADGEA GLTGGSGLPAARGSTPDALEAVSNLFGG UV8b_03040 MHPLNHLQALISCHGHTFPVPQERSYLDRNSAQNRRSDFSSPGM FPCCLVILRCSHAMLATGSTTVVYLH UV8b_03041 MCRTRRIKCDETKPTCNQCSKSRRICPGYKDEFDLVFRNETQAT ERRARRATKKSLAHRQGREADRGASPSPSSSSSSSSDSGCSVSPTTPNSQLRVSAAPQ IPLEDQAACHFISNYVQVPRHGSLIGFMEFVVPLLKAEKVPLHYQYAFQACGLASLNN GVGNRNHFEKQALGKYTKALSTTFAALRDPEVAKEDATLAAVLLLGLFENITAKTMGM LAWGSHIEGAIQLAKARGRQQLRTKVGLNMFIAVRTQMIIHSLSTSKAPTMDTSWWIE DAVRDRHATECQRLCIKVGELRSEANRLLTTVSRTPDSMEIVIDMIRRCQAQDQACAS WCKNLPDYFQCKTATWEDNIPNGDYAKAEVYPGRVDVYNDLWVATVWNMLRCARIVLN SIIVRSAAWVCAPVDYRTTPEYANAARTTVDIITDVISSVPYQLGWFCKRKDLLDRAN LSTFACGEDDSSKGLCGYFMTWPLALIQSLDYLTDSQRIWVRGRLEYIGSHLGVRYAT LLTQLNIRIPSMLICRDVMITRPLPLSHELQKQAPANMARPTPSFLASPLVPQQETVE KAKLDKEAADRLAKALPASANNSEWRTKRWLEFEHLKTTAI UV8b_03042 MASTIGKLVPLIVAVIIVSAIGWVLYQVYDSATKFRDSASERMG KKNVVFTKDGVRVGVRHVQNENYVDKTQSWVVKAWNLGQPKPDADLQKRKK UV8b_03043 MLRAAHSSPRQHDTQSPKPSRVASASPSPSPSPCIKGLLDLLQT SKVGASIQVPSSVARCYWDQSKTND UV8b_03044 MSAPPYDGPGQYSAEQQQQQQQQDPSVQGGFAPLDAAAAPSKKK KRGYAAQAFEVGAGANAAVGGQLPGGGQQYGMPAAQQPAAAVYGGYPQPDVQQAVVGQ GYQYSQGGPQPQQPAPYGGYQAPDQGYSNAAALSGAAGVSAITQGMGGMQVGGQPQQQ LYQQPGAAATAQQPARAGPLNQLYPTDLMNNPFSVSELDLPPPPIVLPPNSSVTPSHN ANCSPRYIRSTLNAVPTTNSLLKKSKLPLALVIQPYGALHDDEDQIPVVQDQVIARCR RCRTYINPFVTFLDHGHRWRCNMCNLSNDVPQAFDWDAAAQQAVDRMQRPELNHSVVE FVAPQEYMVRPPQPLVYLFLFDVSHAAVSNGMLATSARTILDSLSRIPNADRRTRLGF LAVDSSLHYFSIPKDEDENAETNMLVVSDLEEPFLPVPHDLLVSLTESRQTIEKFLQR LPDMFQSNHSNGSCMGSALRAGHKLISALGGKIVVLTASLPNIGDGKLEMREDKKLLG TSREGGLLQTANSFYKSFAVECSKNQVSIDMFLFSSQYQDVASLSNLPRYTGGQTWFY PGWHASRPEDALKFASEFSDYLSSEIGLEAVLRVRATTGLRMNAFYGNFFNRSSDLCA FPAFPRDQCYVVEVAIEENLSKNFVCLQAAVLHTTCNGERRIRVLTLALPTTANLSDV YASSDQCAVTAYFSHKAVERTLDSGLEAARDALQAKLTELLQTFKKELAGGSMGGGGL QFPANLRGLPVLFLGLIKHVGLRKSTQIPSDIRSAALCLLSTLPVPLLTRYIYPRMYS LHDMPDNAGTPDEVTGQIVLPPAINLSSERLVTYGLYLIDDGQTQFLWIGRDAVPQLV ADVFGVEDRTQVQVGKSRVPELDNDFNERVRAVIQKSKDHKSLGVGSITVPHLYVVRE DGEPSLKLWAQTLLVEDRADQGVSAAQWLGVLREKVVQ UV8b_03045 MSRSNPPNAGSSRKISFNVSEQYDIQDVVGEGAYGVVCSAIHKP SGQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRSYDSFNEVYLI QELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCD LKVCDFGLARSAASQEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAE MLSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKVPFRTL FPKTSDLALDLLEKLLAFNPVKRITVEEALKHPYLEPYHDPDDEPTAPPIPEEFFDFD KHKDNLSKEQLKQLIYEEIMR UV8b_03046 MWTGLIRRFSTEDTQVDAEQQHGRDGERGKDGVNGVFTPAGRKT GTVSPFRPPPLEPLILHGYRESTQSSARLLTPVVAEEIRAMIPERLRIAEDWHLVYSL EQDGASLSTLYQKCRHYEGRRAGFVLVVRDQEGGTFGAYLSEYPRPAPSYFGNGECFL WRASTLASLPLPPSADAANLSRSTTLAPRVPPGSGTCTPSDSIRFKAFPYSGLNDCYI NCENGFLSVGSGGGHYGLWLDDSLDIGHSSQCDTFGNEPLSDAGEKFGVWGVEIWALG A UV8b_03047 MPSAAEHAADADRTDKVPVLLLKTKSAPTDAYEETLRSASFPRG EFDPRFVPVLRHVFDAAGTQRLKSLLEARQIDSRAGSRYGGIVFTSQRAVEAFSQALS DSKGGEGWPSLPGVPIYSVGPATTRALRAIRAAPPLDISGHHTGNGDALAAYILRHYA QRYRDRDARPALLFPVGEQRRDVIPRALADARLPPADRIPVDELVVYRTGVMDSFPAD YGAVLRSTSHLPQRWVVVFSPAGCDSVLRGLGLLDPQTGRASLGRRDGKTFLATIGPT TRAHLLEQFGLEPDVCAETPSPDGVLCGIMEYRSRAAPR UV8b_03048 MAEETGDNEAATTAAPTAAPSSREDDQVKRFPKGVVLGKDGNPC RSCTSFAAWAAMTKDKAKQTGGTSAAAARNAPAVAPAVAPPDCPPDVDVLGRASWTLL HSVAASYPDAPSRAQQTDLAGFVKLFAKLYPCGACAEDFQTYLGKRVPRVQSRDEFGT WLCEAHNEVNRKLGKPDFDCSRWQERWRTGWRDGRCD UV8b_03049 MSDVRAQSLQDPVDVCEYLFRRLHEVGVRSVHGVPGDYNLVALD YLAQCQLRWVGSVNELNAAYAADGYARVNKIGAVITTFGVGELSAINGIAGAFSEHIP VVHIVGYPSTVSQRNGMLLHHTLGNGDFTVFEKMNAQVSCDVVKLNRPAEIAGQIDHA LRQCWIQSRPVYITLPTDMVQEKLEGARLREPIDLSEPSNAPEEEAYVVDVVMRYLTA AKDPVVLVDACAVRHRVLPEVHDLVEKANLPVFVTPMGKSAINENHRNYGGVYAGSGS YPSGVKDIVESSDLVISIGALKSDFNTAGFSYRTSQLKTINLHSDHCVVRYSTYPRVR MKGVLRRLVERIDEAQFSLVRQPTHVAGNAVNVEHGHDESEGITQHWLWPRVGEFLRD DDIVVTETGTANFGIWHTKFPPGVTALSQVLWGSIGWSVGACQGAALAAKEHGGTRRV ILFVGDGSFQLSAQEVSTMIRHGLNPIVFVICNDGFTIERYIHGMDAPYNDIAKWDNR GLVDALGGRGKARTYAVGKRQELNKLFADPAFHSPKEMQFVELYVPKKDAPEALKTTA EASARTNAK UV8b_03050 MSSVTQKRKQGPPGASTGRAFKKSKGGSGGKWKTPHHKVKKAEK VELGTALDVGDQGIWVTFARGMRAKAVREFTQLCNEYGKTMYGVEIPDGYESASEVEE GQDVEAAIEAELNSMRAAQKSKTRQMFTPISTGVECLFFMKTTSPVDAAALVRRMCQD ARDCLDPRQRKCKYINRLTPVSDTDKATENGITKVARTVLSPWFDLSDGRTEESTHGA EAQPSTSRPASTYAIRYNIRSHTVFKSDEVIRKVASLIDEKKHKVNLGKPDKVILIEI FQLFCGVSVVDGEEWEALKRYNMNALYSLGVTGDEGVGGLKSTDSRTECLTSE UV8b_03051 MPAAKVRDVLHRDTDFRAKRGNAATSPGTIQRSSQGMGELQEHG VVLDGSRHHVLRKLDSANPPKLHLHYLATSGDGEPPMVLKLAPASARPLRFEYRSLRS EALLLQWLADLHLDLSTQGKYCNCQQLPMNPSQGNSNGDDHGCSKRPQPLPDRVPDFV PDIVKHGYAKVPHGLEYLLTKQAQGVPLSTLAQKLSQSHRKSVNYQIGQLIHRISLHK SPCGRFGNTSAVLELSRQGHPSSHRVPATCTRNRVYTCWSEAFLSLLESVLRDAEDAA VSVQYEKIRHHARRFKHVLDEVTVPSLVVLDAGLDSNTLITPPGHNEMKSFSVTESTS EDAADANDAHLSPPPTDQEPHSIAANQVSDGDDEHATGAAREDTCSSENRAPAVIGIR DWHNSVFGDPSFALVLTKSEDSVVWDGVRSLTHDATFAERDEADGLGNKGEKPRVRRL LYECYHAVTAIVTEYYRMSIDSDDRELPARRRLVQVLAELDKLDASREKKQTNASSPA PVAKRQKRETSDSPPPSQTRPDIRRERRISDHYRRPSADTWN UV8b_03052 MECREPSLPDVDAGRRHANECRVEYFLFIIDRRADGRRLTEQLG ALQKAALELCRSLADNYIWQRDEFRLELKTGQGQAFLYGATDYGDAVEDEWLIVYMLR ELTKTFRHLWVRVADSDGEFLLIEAAGVLPAWISPDTDENRVWIHDSKLLIIPSSSAQ DHQPGQLPQQGAISLRAAVEFLKSKPSALVHSPMIESEAFYRLEKYPEHIVSSAHHSL VTIPRTLAYVLHELPKSISMAVESFYLRDVVALKQIMSVSGPLTFPPEDLVTTSVQFS RVLFAQLKSQRFEPPPRWKALFETAYSSSNGKRLPRLEMGMKLTCGYEILSKRAHQSR HRVVQQLGILLQDLAEDGPGVLPTDREIRSWPNQERNDDEGWMEIDFDEFERGLAQQG APGQSKTEESARPGNSQIQTDLSKMVSRFEAFLNDDKSGLDGASIDGEGNDDEAEMSD EDSEYEDKIISFDDEEFAKMMRDMMGLPDQGAGSTSAVALGEPHNTLPPSAQGLDDDS GELQQLSLQLEAELKQNGALNLVSDAGRRPMPTQASRRDAVRQVKATVDPEDGEGIVD VDYHLAQNILESFKGQAGMAGPAGNLLGMMGLTLPRDDEDES UV8b_03053 MAPGKRTRSAADSQEPSDVWHTPETRAARPNHLSESQLKRRRLS PEAQSVVSRDGSNNPDAEESSDEDVEDEAAVGAPSPPQTQYELMRDAGFRHLQNTDKD DLEATQKLNQRPTSVGNNMAAESGIIESITCYNFMCHERLHVELGPLINFIVGENGSG KSAVLTALTLCLGGKASDTNRGGSLKSFVKEGRDHASLVVRIKNAGSDAYQPDVYGNF IVVERYFSRTGSSGFKIKNDKGRIISTKKQEVDEISEWYALQMGNPLMVLSQDNARQF LNSATPAQKYKYFVSGVQLEQLDNDYKMSQDTLDRTLILQEDLQGKLELVKKEMEESH RLANIVSKNSSLRERARHYRNQMIWSQVVEQERGLEKITEELEARAAKILELENDCVG KAKAFDDVQEKLERSKKLREGLNEESGAVEESIQAAEEVWTKAKKELQDQLLEERDAH SRLTALRSGIATFDTKIQGELQKLNESSGPARAEKESERDRFKERQTELMRRIDDERL KTPVLQSRKAEAEQAVAEQQRLQENKRKDIVLAEQRVQELKRSTGSKYDGFDREIPQL ARAVASDPGFENKPLGPLGAYVKLLKPEWAGILDKTLGEALNAFVVRSKRDQSRLSAL MRRLNMRKPPPVYIAYGGRIETTSQEPPAEFDTILRVLDFDEEVVRSQFIINNQIEKV ILIKERVEAQRVMIDNGPPPNVAACLCFHDGKGKRGQGLRYTNRGGTIGSSPVVTQNL RSRMQSDSARQLDLQRDDLKQLELELLDINAEDRRARQELKRTNSELEQQKNTVKDVE NELRRTDADVEKVLEELDSFEGIDARLDSLRAERDMKKAEEEQLGNQYGNLKLGKREL STKAEEAKKKLDEVRQEQKDFQNRVHKADEKVRKLENMRRIALMEKNAAYESVDIEKS ELRRAESKRVKKREAVEDFIRQAEEVAPDRVHVPEDEDYRSIEKKYEKIREQLLQREA RQGATDEQIFNRAHEAKAKYDDFVRQTQDVDQTVKSLKYAIADRLNLWRQFQRQISAR IRIQFNYLLSERGFRGKIDLDHPNRKVNIHIEPDETRKSSVGRNTKTLSGGEKSFSSI CMLLSVWEAIGSPIRCLDEFDVFMDNVNRAISTNMLVDTARRSVSRQYILITPNAIEG RARLEKDVKIIRLTDPRQRTLASFR UV8b_03054 MSSRSVAPSLRRAAHRTRIPLLRTSFHSHQHPASASASASPPSP GPAELAILRAAYRHVPQHGFSRRALNLGARDAGYLDISPSVLPDGAFSLVRFHLETQR LALAHTSRALSGNQPGSALRSKVAAITWARLIANRDILHEWQDALAMMAQPGHLSDSL RELALLSDEILYLAGDESVDSSWYSKRASLSMIYSASELYMTTDRSPGFANTNRFLTS RLEEANLVGGLIGDLTTWTSFTANAAVNVLRSRGLPI UV8b_03055 MADSGDTYRPRERSRSPRRRSRSPGRQSRRRSFSPRSRSNSRDD YRRGRDRSPMTGTGAPSGGSSTGYGGQAPHRSYEERAAAREQMMNSIRETSQQDRRVY VGNLSYDVKWHHLKDFMRQAGEVLFADVLLLPNGMSKGCGIVEYATRDQAQQAVAQLS NQNLMGRLVYVREDREAEPRFIGATGGNRGGFGGGMSAGFNHAQGAYGGGGGGGAAPP GGAGRQIYVANLPFNVGWQDLKDLFRQAARNGGVIRADVHSGPDGRPKGSGIVVFENP DDARNAIQQFNGYDWQGRMLEVREDRYAGAGGMNFSGRGGYGGGARGGFGGGFARGGY GGGRGGFGFGGGRGGFPGGAGYEAATPAAAVPPNPFTDGAVAGTDKSETIYVRNLPWS TSNDDLVDLFTTIGKVEQAEIQYEPSGRSRGTGVVRFDTADTAETAITKFQGYQYGGR PLNLSFVKYLNQPGGESMDTDTHGGLTQDQIM UV8b_03056 MPADLPHDEFFSKLALLFSQRRDTPHGAIYLSQKRLSFGQAGTD AESGTGTGTGTPFPVIVRASNGKSKQRRADKVKLSTVVQPHDLDAFYARYADVCKAGM AALKPRDRSKKRAKAKKKKAAS UV8b_03057 MSTPSCDAQAANKSFVSTASPPASLASHPRMGSQSPPRAGQARE YPLMTRDEIEVLIARGLKIVILNQHVLKVDAWLPYHPGGDKALLHMVGKDATDEIQAL HSQEAQQRMMRYRIGRISGTWTNFTPPIQGGQFRAFIDVDDATCGGESGAGQATESSP RPSSSRSRSRSREISGSDGPPPRRRKHGQERAASPGAVSSSSSSQSSAGPGTSAMAYI DAITREKISLDAEKYPAVDAATQQEIAAKYRALHRRIADEGLYECSRTAYVYECCRYV GLFSLMLLLLRCGHYTLSAVCMGLTWHQLVFLAHDAGHMGVTHNYQVDTVIGIVVADF VGGLSLGWWKRSHNVHHIVTNAPEHDPDIEHMPLFAVSHRLLGSLRSSYYDRVMEYDA VAKVMLRIQPWTYYPLLALGRFNLYRLSWDYLAARRGPRRGPGAWHWYLELAGHVFFW AWFGYGLVYRALPSNGARLAFVLVSHVVSSPLHVQIVLSHFAMSTADLGPQESFAQRM LRTTMDVDCPPWLDFVHGGLQFQAVHHLFPRVPRHNLRRAQGLVVDFCRDAGIPYALC GFADGNSAVVGRLSEVARQAAILAECQRSLAG UV8b_03058 MLASRFLSRKAVRLSSWQQSTLPTVIPSASMRWSRGFASSSEEK DLVIIGGGVAGYVAAIKAGQEGLKVACIEKRGTLGGTCLNVGCIPSKSLLNNSHLYHQ ILHDTKNRGIEVGDVKLNLANFMKAKETAVNGLTKGVEFLLKKNGVEYIKGAGSFINE NDIKVALNDGGETTVRGKNILIATGSEATPFPGLEVDEKRVVTSTGAIALEKIPETMI VIGGGIIGLEMASVWSRLGTKVTVVEFLGQIGGPGMDTEIAKATQKLLKKQGMDFKLN TKVVGGDKSGDKVKLDVDAAKGGKPETLDAEVVLVAIGRRPYTQGLGLENIGMELDDR GRVIIDSEYRTKIPHIRCVGDVTFGPMLAHKAEEEAVAVVEYIKKGYGHVNYGCIPSV MYTYPEVAWVGQNEQELKDQKIPYRVGTFPFSANSRAKTNLDTDGLVKMLADPETDRL LGVHIIGPNAGEMIAEGTLALEYGASTEDIARTCHAHPTLAEAFKEAAMATSSKAIHF UV8b_03059 MPANSLPELQQKLYKRMGICPNSSSKNTAAQSRLLPAQSRNTSR KEIRRRLRTDKKLRRYSKQYVEETGREDIIPTASRPAQKSSSSHKAKSALNDSHAEIG GRAVHDQDDTDDSDSPDDASGDESIEQYEDDEHYEESTDEPGTPNVLRTQLAQDDAEI AELERKLGIKKGRRSLPQAFKDDGLDELLEQVQQNESSSEDEMKKRKSAYDDWLSSKR RKVDSANEIAVDYRQPSEDVAESTNRQRPNGHVVDSVALDLSESEAVENDFGHAVDEC GSDTETPKSTQFLQRENPYVAPKVHNANLKYVPPSKRHGDDIQRSQASGLLQKKIQGL INRLTDANLLSIVQSVEKIYQSHARADVTETLVDVILAQMRKSESLPDQFFVLTGGFS AAIYKIMGSSFGSHLVREVVKEFTQQYQLASNEADGSAVIKKEPANFLTFLTQMYVFE ILGCGIIFDYMEKLLDRLSELNVELLLRICRMAGRLMRRDDPKALKHVSHILATSVSK MDRANISARTKFMVETIHGLSSTKAKTKGADSTTVSEHVIRMKKNLGELKSQSRRLDG LAPMGIRLKDVEDVERHGKWWLVGASVPEYRDAAERAKMKSKAVTAGDDAVPDDDEDM DFVLPDYPKKARAQGLTSSVQIAIFSALMSAMDYEHGYRQFLDLNLKRDDQLEVTRVL LQCVGSELDYNDYYALVGKQACTNSRIRFSFQDRLWRIFRGLGESLFGEGEDDESTLE GERMKNERRLSHVARFYASLVAEGALSINLLKPVELAKMNQWTCLFVETLLLGLLRKC RREKRQQDATMERIFGPSRDLPTLAASLHWFLRKKLSKTKLTTDKERKGIQRVRERVQ ALLQI UV8b_03060 MRVDFSNLAVTAGLLASVRTCVAQDIPADLPISALLTSAQSHLA RGETNEALAYYDAAIAKDPANYLTLFKRATTYLSLGRSHQASQDFGRVLELKPEFEQA HLQLAKIKAKVADWDGARAEYLSVAKGAESPEFQALAEAQKAAELANDAVKLQQWEEC VSYAGTAILVASRAPSLRQLRSRCRFERGEIEEGMSDLHHLLQLRPGDIDPHVFISAT TFYGLADLDNGLAQVRKCLHSDPDSKVCKSLHKQEKRVQKAFSKAESQLNRGQFTTAG RALVGTSDEPGLLTTVKEQIQELRQAGRIPVNARTRLSDKVVEMVCHAYSESNHKEAS KYCEEAIEADPESFWGLLYRGKSLYKREEYEAAIRALEKAAERWPDKRDKVNAILEKA HIALKRSKTKDYYKVLGVANDADERQIKSAYRKASKQYHPDKAAKQGISKEDAEKKMA SINEAYEVLSNPELRARFDRGDDPNSQQQANPYQGSPFGGGHPFMFQQGGGANFKFQF PGGGGPFGF UV8b_03061 MAQPVADSGEDYDYESLPPNFSLLQNMAAGAFAGIAEHTVMYPI DAIKTRMQVLNPNSTTAYRGVLRSTYQIASREGFFSLWRGMSSVIVGAGPAHAVYFAT YEAVKHAMGGNKAGVHHPFAAATSGAAATIASDAFMNPFDVIKQRMQIQDSSKMYRSM VDCAKYVYRNEGIGAFYISYPTTLSMTVPFTALQFLAYESISTAMNPEKEYDPVTHCL AGAIAGGLAAGLTTPMDVIKTILQTRGTSTDPQVRNVNGFLGGCQLLYQREGFRGFFK GVRPRVVTTMPSTAICWSAYEFSKAYFIKRNDSS UV8b_03062 MDANIQRALNDKLYDKRKVGALELERVIRELVVSKDYQRVHDIL EQLCNDYAYAVHQPHARNGGLIGLAAAAIALGPDLPRYLAKIVPPVLACFTDQDARVR YYACEAMYNIAKVAKGEILVYFNSIFDQLCRLGADSELSVKNGAELLDRLVKDIVSES AASYVSVLEAPPGFDDDKVSMEDRRINLPTAFSLTNFIPLLKERIWVINPFTRQFLVG WITLLDSIPDLELVAHLPDFLGGLLKFLGDQNTDVRTATQSCLDKFLNEIKRISRVRK GILESKRSREGGKRKRQESIDTESIQPDLREGDELDSEACEEEEEDSSEEDWIPGQDV EINYKKILEILTATLDSPLEEDCLLESLRWVVEFLDISPEEVLPFTPKILAHMLPAMA SSKETIHQAATRVNTCLMDYVVSLSDESSLNGPQAQSRSTSRLASIMDKPEDPHSNRA SLSGIREQDVPSLGPDRVRSATFGALSEPPQADLDYNAAVNSLTLLFLNDHEATRVAS LTWLIMLHRKAPRKVLAFNDGTFPALLKTLSDPSDAVVTKDLQLLSQISRNSEDDYFA NFMVNLLQLFSTDRKLLETRGNLIIRQLCISLSPERIYRTLADCIEKEEDVEFASIMV QNLNNNLITAPQLADVRKRLRNLEAKDGQTLFVALFRSWCYNAVATFSLCLLAQAYEQ AYNLLQIFGELDMTVNLLIQVDKLVQLIESPVFTYLRLQLLEPEKFPYLYKCMYGILM LLPQSSAFAALKNRLNSVSSIGYLHVSPRGAAVPSSSSNYDRPNRLKGREEGGIRWVE LLEKFRSVQDRARRVQRHNAGSEDVSSADMADLRLGDASLEPKNNARVPPAGNAQMTS TKDMGPTPAAKKTGLGRQFGRLGGAVSGKNRRS UV8b_03063 MDFSSPGDPSAHFSPSVSRMAASAAKDWSYVDSWLASKFPSGQV PPFERNPATLQALMATAAVSESVDETSDMLAGLNAASSSQRTSSVNDDETVGQPDARS TLRTEILLRVADHLSQEGRAALEALALTAAQQGIGCPDTDSLVRNFLAMQSSLFETEQ MLARVGAVRALLSREASGVAETLAASQDARHLVPSESELPRENLELQRKTRVAAKQLV DGKDDLAVAPSIRLPHPTIRCVLDDEEELLALLKEKKRLDAQMAVFAGLSSDPDRAKS QVEDSEQLLLALRTTRDEMFESLVEKASPVKRS UV8b_03064 MLRKHAVSLALLLPAREAAAARLDGQTICDYYAAERFGASNATT QLRLMQSIVSLAYAGVDSQNTGIFNWGTYAGRDVYLRPWFDGSNATTNANGAAVRTDW LDGGGLDPLLAFLNGSTSAPDIKPGSNQYTLFSHWYFAFGKIYSCSEYKSFAEAFDPL NPAYVHKYMDLNQTHIGYFIEQLISASQSFGFSDTDATTLSNYMNSKYNLRCIPPDHD QLTSICLARDCPLAAPAPKCDAYNDIPIRGVGVGSSGGSSGGSSNNGSTTTTAAAATS STGSSAPSPSSTAAAGGGHSSSSSSSSSGLSAGAIAGIAIGAAAVVLLALALGLFFRR QTKPRAGVDPGGAQTVGDYPASLPQSPPPHSINTLSGYYDQSANHSYVSPRLGSPPPP KWPEHSSPVQELGTVGHETASATTPVMTNGGFGFASAVATSPVQQHPPAPAPAPDVLV GGIAEMESPEPPSGWDHYANRA UV8b_03065 MALLALLLAAWAAAVGAVPTRSDCTFTDAAEAMKEKNACSTIRL HDLHVPAGVTLDLSNLNDGTKVLISGRMRFGFKNWHGPLMSVSGRNILVQGQASSVID GQGYRWWDGKGNNGGKSKPSLFLANHLVDSRIESLSVLNTPVNAFTITRADNLYIHNV RIDNSLGDKKGAHNSDGFNIGLSTGVYIDGARVYNQDDCFAANSGTNITFINGFCEGG HGISVGSIGHRLSNVVKGVRVLNSKVVNSDNGVRIKTIAGASGSVSDVVFKDITLSGI SKNGIVVQQDYKNSSPTGVPTSGVPITELTVENVSGTIKPGGTNVYIMCASCSQWTWE NNNVTGGEKIKENKGVPPEIEL UV8b_03066 MIRLGFGASQSGAEFGGDCIPGTLGRDYTWPKAASIQTLRRAGM NTFRVPFRMERLVPNKMTGSPDPKYLGSLKATVETITRLGAYAVVDPHNSGRYYGNII TSTADFAAFWTTVAKVFAKNPKVIFDTNNEYYGLNQTLVLKLNQAAINAIRAAGATSQ YIFVEGNAWSGAWSWTATNDNLKHLKDPKNKIVYEMHQYLDADSSGTSPNCVSSTIGQ DRIKEATKWLRVNKKKGVIGEYAAGPNSVCHDAVKGLLDTMAKNADVWKGAIWWGAGP WWGNYQFSLEPPYGAAYSNFLTILKGYAPSASSRSHSLAASAVSKNVENIEEVEDVEE VEDVEGVEGAKDVKDAVEKTQSTPLVVDV UV8b_03067 MVTLEEVSGLIPKNSTDGAHATPTRVADSYKSLCTFQLDKNRSY KQQYGDMYFLRLAKIKPAVEQVAAKAWEGTKIGGEEVKKVERVLDVRQGELCWVAGTI YMDMPLKPNILEDVSKDKWISAPINSQEPYFSLDGSDQTMLEDDSGRIRLVGDLLEKV PLVTGCIVAVMGTENSSGEFEIIDIKFPDLPPQPERWSLSKPGSTNGKGKSARKIDRV EDEGSGSDEEMSDCQPGKKIAVVSGLFFSTMDADHAMELALLQEYLLGEALSANDQAE VANISRLIIAGDSISTAAPDRKQALPLEKKANKKYGYDSSAYNAMPVQLFDEYIDDLL PSIPITLLPGAQDPANASYPQQPIHMAMLRNAKLYAPDPTAKKQPGWLDSVTNPWEAE IEGWRVLGTGGQNVDDVFRYVDSDDRLGMMEAMCRWRCCAPTAPDTLWSYPFQEDDPF VMKTCPHLFFVGCQPEFSTKVITGPEGQAVRLVTVPSFADTKEVVLVDMETLEVSVVS FAKYDGDNSK UV8b_03068 MIFSPSLRGCQVSCQLPEGLTALLNSFNLLENSSFAKGDFAELC VAFPPSRLPRVLLLPRSNTLRSQGTMGAPVLQPSRKQSIDSSPQHQETELAQDLALGA RLACVKSVDSHGEPPPSMPTGFAQSSLSKPKPYPSDDGATPADDEGWDQDEENDDEPA TPNNDQDESNCAPSPPPRSVAKRRSANHTRTRAKSEPKRPPSDLDRLPGEIRYLIYKE LLVSDKAVRVRGHWKIVYKRQGLAIPTSILRTCRRVYNEAIGVLYGCNTFLYLMRDSN KGVTDVDRVALLDQDGARLPMSTSADDEAGYEDLDDAADGSHDDSEWQEESVAPTRPR RRRPRRAAKPADDDDDIKVGKYLHLFRNIAIEAEKNMFSRSTKKLMAAALKPFAYKGK KTTPSSAPSSPTNIQTLTIRVAPEWDAIGGQDGFGCFTFADFFDKDSVTMKAILSVDC RFLRIDISTKYQTGASLFPGRGFTIDLTCARIMRLVSRTGRDPWKHDMAMQEQRWKKC QAAREALGSLAPRFSEFCEKFLERETRDDDEAF UV8b_03069 MGSLGCEPKLPWNKTPCVLSPQLTRIAGCNIYLKLDNLQPSGSF KSRGIGNLMTRAVAESPGHVHFYCSSGGNAGLACATSAVALQRPATIVIPMTTSEMMK NKLLDLGVEVHQTGKNLAAADEYLRTELLAKDPHGVYVPPFDHPHVWDGASTIIPELR DQMDEPIDAIVCSVGGGGLFNGLMQGIESFPWSGSKPEVVGVETLGADSMNASLRANA HITLPEITSIATSLGCSRVSAETWKWCQYPNTHSLVVTDADAAISCVRFADDARHLVE VSCGAALATVYRGDLRDRLGRGLGDAEWARKNIVLIVCGGSGVTLGILNQYVREYASK TPIKV UV8b_03070 MADHDARERLKAALWFAVGRIVDEECLRRNRNATPQFIGALTEM VWTQIENVAVDLESFANHAGRANVTTEDVVLLARRNPDLQRIVGAFVEERKAVKRRG UV8b_03071 MRLSPVLLGLLGLAGSAAADRTWPSNVDELEEIMFQIKSFRSRK FADTVHPCSSETSGPGRHAAAEWLRSAFHDMSTANTYFKIGGLDASLQYELDNGENTG PGHRTTLTFMGPYVTSRSSLADLLALGVYMSVRSCGGPGGPIVPFRAGRKDAHGPGPM GVPQPQNSPQTLLQQFDRMGFNAEEMIRVTACGHTIGGVHAPEFPELMPPRGVVNGNA PLDSTDTFFDNKVVTEYLSGTTRNPLVVGNSVRIHKNSDFKVYNIDGNKTMSTLADPD TFKNSCQGVLEKMINVVPPGVYLTDPIAPYTVKPVDLQLTLTNGGKSLQFTGYIRVRT TGLGADAIKSVAITYKNRKGSADCGSSSCTFTSTVQGVGKGFDDTFSFYPIEAVIPAS QGISSFTVTVNKADGTSKLHDNNGKGYPLQDDVLFQAPQSCISGKSGVLTVVAAVRND VAGNGAKASIWYKVPQPNSPVPHLENTVVPLRKDTCAGKYTLFSADFHVPGGSPYQSR VDVIAGDKADTFKPVTHIGGTCAAFAGARACGGWKPQEPRDNPTTASSSGSVPSPAAN GTVATTSADQPSSTQAGAPTHRATVGGYKYVSCWSEGVGARALSGGVGFANDTMTLEK CAAYCSAYTYWGTEYGRECYCGNSIDKSSAEASVGECNMVCGGDAGEYCGGSNRLALY STTSAPASATPTATLSHKANVSPYTLVGCWAEGNDARALKQNFTTSDKMTLEACATFC KSYKYFGTEYGSECYCGSYLTGGSKSAPSEECNMACSGDPYQYCGSASRLELYRNPNV TTGNPEQAAAVGDYALVGCQTEGNGTRALGASSLAQDDMTNEVCANWCKDYDYFGTEY GRECYCGNKLDASSAAAPAAECGMLCAGSEAAYCGASNRLSVYKKKKQQQTAASRRGS GGGEAPQGREQRKRW UV8b_03072 MRVPSVDGNWTDHSPDAAWLGDGEERLPRFGSPSSLHLSVPASC CVAMSATSQDHPMTATGG UV8b_03073 MGAKSGMALKSLQWFFRGVQFLCAIIVLGIFSYFLAALHNHDLQ TDTSVRAVAGISGAAALYTLLGLVFLCCVAGLTFTSFVAMVLDFCFAACFVYVAVVNR NGASTCRGYVDTPFGRGPSGDTASAPGGFTALPSFHTACRLQTACMAVSIIAIFFFIF SMPTEMALVRHRRNEKMFGPGAQKSFFFGLGTRQRGFWGRFRRRNKGGLYDETSRLPE HTHPDQLADSRHSYATDHTAVNPDGHGLGAEYVKQETGYAYQPGATTVPAHGGWQTAA LIRPPPASYKYDDGIYEHP UV8b_03074 MWSTARPVQESAPEPPNPTEDVADTGEAAGGVRGSEQLIKPPVP MPPSRPGLQRHSLPAGLAGAPGPAQGMQPQPQRQQQHQQQNNSGNPGPTDSLSLLQLR RMVAEVNRAEPVVYNFAYSDMGPHAEEIDEWFVYQFWQWVRLNAAQRAFEWHWSQESD GQHSWDDADRDMRARFIQAAIAGVQSNDAALRSASIGKLVYLVLGRWGDTAMPNAADR DGRSIASLSQLQAVKAGVECLASLEGIPVIWDALRSCFELHWSGDAQQQASPQEAQDE LMNLMTIMYIAIQETLNSPSDMSLSHKALFDLDPSLVDFMLTATSKLRWDESNSMPLT QIFLLFWKSILLVFGGTKDLADLKAAMSETKGLQKDTITASPLDYHVFRQEITSKYPA YVPPQPLIPLEDENTSSLLPPIPSQFVRNNGAGGIISTPGQSQNGGASILNQPVHIAT PAPSPPPSPGVGGKSGKKQNYQTNQNFPFMYPPLDATSNSAGGKGMAGIDDSIVSRRW EGSDIPASILEAGELFSTRVRMTRATRQMWEEREQFLRFERGWADDDGSDDGIDNLDL SELTLEEREVLKELRAEDKPKKATAHSHAEFQVDFGPHPERLSDRDKQRLQAVERFYK QALPHLQSLVIVLLRPILVNVTAIVAQQAGQMPNGAATRGNNPGMSGGGGGHAGPRPS DANAQMAAQDEADPSPEEVDAARTREITSKATTAILLLLLKWLRLSHLLKFEYLTQLL LDSNYVPLVLKLFAHQDVQQVVDSKMDRVENSFFQFCNLRSKFKDRTGNDAPPEEEED DEADKAAADQKPQDLSGQEAIVDSEDDAAPPPIRKHRSPAAAHGKPEAPDGPEAGADD DDQAASRPEVDELGYPVNPLPEEPITDFSWRNFFSLINYLRVLHKTCKNKAHRNLLLV QYKSSTILRKSLRVPQPELRLYTLKLFKNQVPYCGRKWRQSNMRVITAVYLHCRPELR DEWLAGSDVDAEVDAALPLEQALRSLTHWFNVRRYPEQIAPDIRVALREEHDFFSREL EKLDGGGWADDRGDEMSDWDHGEGWA UV8b_03075 MNHADAGFDADPRCTELETLEAIYPEMRQSQNPGLGASGIESRF CFELELPVEPAQPVTVVFPAASSASHAPRDERAPVGEGSAAAAAGLAETGASAEPLDS LLVSHLPPLSLRFTLPDGYPYEKPPQVAISTTPPWLASRTLRALEDDGPRLWEEAGRD MVAFTYIDHVQREADNVFGMIGSGGALEVDSEHKLAVLDFDMNAKKAAFEKETFECGI CLDPKKGSRCHRMMDCGHIFCLGCLQDFYANAIKEGVLAAVRCAAPQCARERASDSAA KAKSPSKAAISPSELLQIGLSEDMVKRYVTLKYKTELEADKDTVYCPRQWCNGAARSK RHKKPEGLQFAQSSGGDDGQDDDGGGDGGDGDDAEHGDGIDERAAGQRKQKEGQKKFD PADLLCICEECGFAFCSRCLQTWHGEFVRCAPKRNGDELSEEEKASLEYMQLHTSPCP TCNAPAQKTHGCNHMICSRCDTHFCYLCSSWLDPGNPYKHYNQQADGKVTSCYMRLWE LEGGDGDDVGLGFVGGGGPRGGEAGGGDGDGDGEGDGNGNGNGNGNGEVDGHGDDDEA DVADNSADEDAEQPNANVAAALEAPLVLRLMGNQARRGQQGPGGRRRGDGARERGQRA PPARAQGQQQQQQQQQQQRRRLRRRRGQRAAGGAARGPQGHPAGQQPAQPDGGGLDAA QEAWVRRFVRMALVDAEDQVPGGESDSDDGNWRIR UV8b_03076 MSLVRCADLSPHQHAINFIHHSALHIVASGIARRADPPHASTNA CMSDIDMTAGQLPATYTVGGGFLQLTTWGLVPVHS UV8b_03077 MAELRGEPPHSSLWPNYGGSSHLSSRLGSMDSSIHSHSSAHLSS RPRSDVDQSYPYSRYSQDEPASYERQNSYSSLKRSFSQTEQPPYQELVHDMRDDGSRL TVNQDHKLLSFRRSQDKSTVVDQQGRVQQLELSAQLHGMFFLSEMPASNNDGSSLQPE LTCYRRNLFQISGSLVIPRGQLSVVNEANETLPITNMEVAVSAIESVDGNPVRLIVIP WKTPPPNSPEINQGPDQEPAPLPLIPFQEDGSDADGEFAVYPVGWRRLQFRIATANNG RRKELQQHFVLHLKVFGTLSDNSKVVVSESITAPIVVRGRSPRNFQARKEIPLLGSSA GSRGQALVETGLGVAASAISIKQDGKPRSIDMQVPRSAFTFSPPKSHPSSLGTMRTSS YPGWNSQVPSTVASDSYSKLPLSGSSNFTNDSQELPLQSSMAPSVPLPLSTSDHGPPG IRSQYNYAPTSTAHLPASTVTIGADSNFSIPRYVDGNPRPSKSPRHQGHQSIHSSSSI PAEGPDYRYSSFRGLTSGNGDVSPGGNAPATRDYYPSVSTWTSTAAEPSSNLAYAGHE SRPYSAVPPLKHEAPPYSSSTRGPFESMNNYSWTAA UV8b_03078 MFALPDAKRVRREDLNQPDGLASGDAADAALHAELQARLDAQMA KSLGMHTHAHVPDAAPPPPNQPREDDGHGAADADVGEFDFRLFRSAGAPARVVLEQEP TAQQAPGGLVRRRDPSSYLPRVPDARRQEYRLAAVSGEHVLARSARPCWGLQLPWKVE RVAVTRKAGPGDQDAAAAAARGSEEGGRRARTKRLGKRRRIAVRARIKALRDKEAADA SRALGKEEHLKEKKKRLNRAKKLRRRARDRETKASQGGDGDGE UV8b_03079 MSDSTAPLASLSLTHVYYDPDDAVSLLCAYLALLPQALCVVYAT LILFTREVEILLMFAGQLGCEALNFFLKRLIKEDRPRRIHGKGYGMPSSHAQFLAFWS VSLALFLLVRHQPPPRAVAGRRGRHRPWSLPERLALSAAAAGAAAATAWSRIYLGYHT PRQVLVGFGAGVAVALAWFAATAVLRRAGLLAWGLDLPVAKALRVRDLIVSEDLCQAG WEKWQLRQTDKTKTG UV8b_03080 MNEKLLAYTWLTLGLHWLDVIMDLQAVQMRFAGRRYVPAAEPKY FGTVTCMLACEKGKSTLRVHLFPFVLALALVFQAIVFAPIQSCGVKSLFFLGYTPFGK QCFLAMRLFPLDMPRIKTALKHVDIYKHDANPSLRSKIKRAVPLELICRSSSSNSSSR RRSKTNSFEKKHGRKDSYPRLAFQSANAPMSRLLDLQPPYPLVSGSPAYTTCHQLNHS TTCLPLLSGHSVKTDQLPTAPLAVGENLRDNGELRLSPTVYNRWKTPKSRRRAASLET SPPPRPAWTLGNLRLESTKLTESEACCTHNSPR UV8b_03081 MDAVKQGATYVSETVKQATSGVSKETNKEVAKDSDASIGTRASA AKDALGDKVNEHKHEGKAAAHKQQI UV8b_03082 MADLPPELWDATAVASFLATAAILLAASLASASALPATTSPPLR FLFAWHLADALCHFLLEGGFLYHCFFSHVRRDAAAAAAAADLASLFPTPYNFLGWGAE RIYGPQSGGDSPLAQLWMVYARADRRWAGVDLGVVSLELLTVFFAGPVACLVCYLIRK QSPKACFWMIVLATAELYGGFMTFCPEWLTGNLNLNGSNFMYMWVYLVFFNGLWVVVP LYAIWYSYGQICNAFAAQAARKDL UV8b_03083 MKDGSGESEREAASAQQASVADAPRITDGSVGDSDQDAEPLEVT FDSSNPYRRKSSLVSSEAALPDAYRAASRRDQCLVHQLLDMRRLTSAPAGDSAQRSHH HVHPVHAHPVHAHPSRAGPGEHDEHVLDMTKEEHQRNAVQPKHRPRQPCRVEIDPTSL DGDQIGQADQEAWAREMTKSMSQMDVTSYDDDDVVHSRMLTKKQLTDMAWGVRGLSRR LSSMRIRFRVRTIFLLTKLHDQDLIPKARELTAWLLGKDRDAPYVVYVQDKLRTSKKF DVAGIVDDVAGEWAGAADGHAADMATARAGVERRLRYWDEPLCRSHPHAFDLVITLGG DGTVLYASWLFQRIVPPVLSFALGSLGFLTKFDYEDYERILGSTFAKGVTVSLRLRFE STVMRSIRRRHPDDNDDDKESGEDDEHLHLHRRRDLVEELIGEEREDDHTHRPDGTYE ILNEVVVDRGPNPTMSTVEIFGDDEHFTSVLADGICVSTPTGSTAYNLAAGGSLCHPE NPVMLVGAICAHTLSFRPIILPDTIVLRVGVPYGARTSSWASFDGRERVELAPGDYVT ISASRFPFASVQAEGRRSEDWVNSISGKLGWNTRQKQKEYKQWQ UV8b_03084 MPLPNEAIIFVLSRSAAGRKPARCLTSDDTPSPALQCASFASLG VSPSQQTKAMFMLFLDPGVFALALCWKLRARLAPSQLLASWNPNDAMVGETKYSQKD UV8b_03085 MKHLILTGATYLDTILSVPYFPAEDSKLRATKLELRRGGNCANS LQVLQQFDLGDACLHLVSCLPREGSPDTERILSSFGPDCRIRFDHCLFRDEDDAASSY IVRSEASGSRTIVNYNGLPEMQVGEFASVVDGFREDEETWWHFEGRNPGTTLACIELI RRRHPRARISVEIEKPGRQGLLDLAARADIVFYSQSWAESRGYASAEECLRKEHRRPG SLGLCTWGAAGATAMSQATGEPLHCPVQGAADQISVIDPIGAGDTFIAGMLWRCFVAG DWPSVNVESAVSFAVALATLKVQQDGFAGLKESLANE UV8b_03086 MYPDLSAQTPDALPYAQETLLHTLPAQRTVPQQNYWRLSRGAQQ RSGNAMRVSKPSSANNSPGSSSTGPLRRTVMGGDGWAPHRQQQVMDYFLVPHRQNRQN SRPVSWHPASYLPIISPPHPSQPQVQQHQQHLQQASGYAYPSANSCRSELYDLCGCQP SFSPNLVSYSNNTSPTSTLSPLPLFTSSDVAQFVQTDGWDEPQASASTCTPDDDLVMR ESFAMLDNGSADARSVAPGGLDWNSFVLQGYNSTTPPTPEAFSQPQHAHHPAVSEDAV PYQPLDEPEEEGEILVGMGLYDTPDKFYEDPHLNNYRSTVSSLLGSPYRLREAEGRGL KLEETWEPPQAEDDSEEEDGDAEEEEDDDDDDDDDDADADDADADADDDDDDDDDDDD EDDDEDV UV8b_03087 MVQYILTPWRNRDELCLVREQFYGGTPTTATAATDPELRGDQRQ RQRQHQQRTTPSPLRDLDSHARPDGHGYGCVVGQQEPAGICAATPGAAAPVVDALPGN LHQQDYAADSDVNRRHGDKRPPGQVTPLTTGTMTMTVAAAAAAATTVEEGAQQRKHRQ RRAVARVSMWMQRGNCPHMVESTALLMAAVLSDEDALGETHHAGSTTYAVRAAYSAAF SRFVTGLLDGHQDKQRKQSMYSVAKNIGLPATFVELRHQATHEQLPSLAKLRSAARNA LAWIWDYYWKDLDDQSPSPSKTLDPCRAAVLDYLHGVDDEPRRAKTMKDLHLYDTRRI LAVIGELQHSLPGNQVYLRCLKLSKEIMTRQEEQVDKPSLVQHALESDGATAMSGDED AESSSGWSLYPGVWKPKPIGMV UV8b_03088 MHRHHLKVMFQGASAARQIFRSFPRAVVNKRNMHIQSIPMWVGS GNNYAYLVVDDRSKDAVIIDPANPPEVAPILKEAISSGKINLTAVVNTHHHGDHAGGN KKLLAELGTPGLAIVGGKDCEGVTKTPAHEEVFQLGDIKVTSVHTPCHTQDSICFFME DGTGRAVFTGDTLFVSGCGKFFEGNAAEMHEALNKRLGSLPDDTVVYPGHEYTKSNVK FAISVLQSEPVKKLEEFAASNQVTTGEFTLADEKKHNVFMRLDDPAVQKATGATDPVD VMAKLREMKNNFK UV8b_03089 MPPKRKPGASSAPTKARPSKLAKEHNITAQEEGEIREAWSLFAE PMDGEKDGVLPIDDVKSALTALGVPPASQAELREFTEILDPEADGFATFEPFFAICAL KFHARERDGSEAARRAQVDEAYRLFTNGQEGPITLAHLKRVAAVLREDVDDEVLKDMI LEANGGAGVARGVRMDEFDEVMRSAGVWR UV8b_03090 MSMRPQLFTRGLSGLSQSTSASATDAQSPAEQRDDAKRNFLKTM RPLPTQHYWSVYFDRQSKDDQSSRDGGYTVQLQQLGTQIESVQDFWRYNNNTPVDQIK MRESIYLFKQGFKPIWEDRRNLNGGSWTFRVPKAAGPDVWTRVQLLAIGEKLESVLNE HDQLCGVGLSARFNSHLITVWHRDSSKQKSIDGILACVLEEIPPETRPKPENYFYKKH RDHAGFKAPPELQAVLDSQDRADRKATVASADQPLLTVNAPSE UV8b_03091 MTTLQNQFKSSLQTANNNVTITQETYLPALALIIHYFNAGNTPS ELAQIRTQAQTWCKSYFKTDTPPCHAPPELVAAHPHLFDLGIPSWQRLQHRAAFAQET DADGDQTLPFPDCLEPTLLEDYEIYEMVNRLHNEQAVHGQQADDYGRWRVPVTAADVG YTPLVFYIPDIMVHLARLAPGDGMDKAVLERRLRDVDVQMGGVENGDGLDEDGDDSSV MAIDTDVGNGEDVVMTRWVL UV8b_03092 MALDARLMPPTATPQNRQVRSSASTPSLRSRDGSLPLLSRMDGA ANVRVVVRVRAFLKRELDRKTRCLIRMDPITQLTTLQAPGQDAVDSSIPKSRKIIEDK TFTFDNSFWSHNIHDAHYAHQEDVYNSLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTM MGTPDQPGLIPRTCQDLFERINAAHNENSNIAYNVRVSYFEVYNEHVRDLLVPVTPNA PPNYLKIRESPTEGPYVKDLTEVPVRNINEILRYMKNGDESRTTASTKMNDTSSRSHA VFTIMLKQIHHDMETDETTERSSRIRLVDLAGSERAKSTEATGARLREGSNINKSLTT LGRVIAALADPKALRSGKRKDVVPYRDSILTWLLKDSLGGNSKTAMIACIAPSDYDET LSTLRYADQAKRIRTRAVVNQDHISTAERDAQIAAMAEEIRALQLSVSDSRQREKNAK EAEEKLEEYQNRVTAMQRMMEERSMVAESKIKKLQTENEALKLHLKLAIESLKNPIPP VTIKPQPAGEAGRDKMGQDVSDDDGGDEDDAHYYDGEYDSDVTAGGDDDLQDEMHRYL QDMGNLRKLIDGDLHRFRNEQTVRMPLGARENV UV8b_03093 MAKEYASPAPADGEPRKRGRPRTLEGRVAKAPYVPTGRPRGRPR TATPKTPSGRPRGRPKGSFKKTEEGKTPAKAQSTGTGAGAGTGTGTGTATTTATGTRT GKRGRPRKSDAASPSASAKAETPVTKTKNGRGRPRKVVTPLEPVAAADDSDEVDEDAH YAEVVGDAEDAEGEEDEDISGAPTSAPDEMTGQSPWLSKLTGYFG UV8b_03094 MDSPPDQAIITRDQTPSGTAPPSPRNAFSVLMASVQQPSRAPPP RFHGRLALGAYTADPSSSSPSSSSSSSSTVIYRNDDFVAIHDKYPKATVHALLLPRSA AHNLLHPFEAFRDAAFLAAVQAETARLRALVAAELQRRLGRYSRSERQRQAVLDGVEE EPPPAQGLPLLPLPLPPGRDWAAEVICGVHAVPSMSHLHVHVLSRDMRSGCVRHRKHY NSFTTPFFVDLMDFPLREGDARLDPRGGGYLRSELRCWRCGKGFGNAFARLKEHLEGE FVSWRAE UV8b_03095 MAPGMVKKGKAKAEKPAQASSAQACKEGAKAKKGQKAEPSAAEL PAAAPPRPTVKQLIGGSSWTGKLPVNLLSEYCQKQKWEKPDYDTRKTPEGFSVWVTLS ARDVKTQQLTRLDPFKIPPSHKHLLLRDTALEAKHAAATYALFRVCSMQNKHTVLPPD HKSLWRDFQALKTQDVKDGKGWMYDADPFKALQERQEAKAAAEKKRKELEQAKAKAKE MPGAAGLVLMSNSAGDGKSSFDPMRGWETAPKVEMGRETRAQLEALLRKRVSWNPHRV RMQRSLKEAIVADLGRLGFRKSHIAEAVEHCKDREETLEWLLIHVPEDDLPRWAMPES YSAGVSIGSTDLKREGIIKSLSETGYSVELCARVLDENDGDESKAAEALQRLLLSSNV AETTASDDFLDLGSPDEQWKDEVTSLEAMYGEGFRQESGDVITIKLEAVKDAMSGKGS QVETFLQVRRPSSYPKHLIIAITAKLPSYIKLSIVRQALAYMEESLQEEPMKIYLVTD WIQQNINRVIENPGNLVDISAVSSAASDARPREQRQSRGKRCHKPRAVVHWLPDTKSK EEWLQRQESPRWKDMVSKRQKLPAWQMRQRIVETVDRNQVTIISGETGSGKSTQSVQF ILDDLYAKGLGGCANMIVTQPRRISALGLADRVAEERCSKVGGEVGYVIRGESRQSKD TRITFVTTGVLLRRLQTSGGRVEDVVASLADVSHVIIDEVHERSLDTDFLLTLLREVM KMKKKNALKLMLMSATLDAAAFMDYFAAEGLSVGAVEIAGRTFPVEEYYLDDVIRMTG FDAGKPEAGFTTDEAMGKIIQKLGHRINYNLIVEAVKAIDYELSYEKKCGGILIFLPG VGEINHACNQLRSIHCLHVLPLHASLETREQKRVFSGPPTGKRKVVVATNVAETSITI DDIVVVIDSGKVKETSLDPQSSMRKLEEAWASRAACKQRRGRAGRVQEGKCFKLYTQK LEQQQMAERPEPEIRRVPLEQLCLSVRAMGMRDVAGFLGRSPTPPEAQAIEGAMKLLG RMGALDGDELTAMGQQLATLPADLRCAKLMVFGAILGCLDDCVTMAAILSTRSPFISP QDRREESRQARMRFSSGDGDLITDLDAFQEWHGMTRDGHVAQRQVRSFCDANFLSYQT LSDISNTRSQYYEALKETGMASEAPEQLGALGALGGGGGTGGGHRRRNARLLRALIAA AFTPQVARIQYPDKKYASSMSGAMALDPEAKAIKYFTQENGRVFVHPSSTVFDSKGCA DNAAYMSYFSIMATSKVFIRDLTPFNVFTLLLFSGPIELDTLGRGLLVDGWLRLRGWA RIGVLVARLRGMVDDLIAQKVESPGQDVGGGGDNGGGGGGVIEMVIKLIELNGLDA UV8b_03096 MAERYIPEHRRTQFKAKNTFKPEELRRRREEQQVEIRKAKREEN LAKRRGIGTGENRPGAALGAAPDSDDDTAPTESQLNEDLPQMVQGVFSDQVDLQIQAT TKFRKLLSKERNPPIEEVIKTGVVSRFVEFLRSRHTLVQFEAAWALTNIASGSATQTQ VVIEAGAVPIFVELLASPEPDVREQAVWALGNIAGDSPQCRDYVLSCGALKPLLNLLG DSRKLSMLRNATWTLSNFCRGKMPQPDWNTIAPALPVLSKLVYSLDDEVLIDACWAIS YLSDGSNDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINC GALPCLLSLLSSTKDGIRKEACWTISNITAGNTSQIQAVIDANIIPPLIHLLQNGDLK TRKEACWAISNATSGALQKPEQIRYLVAQGCIKPLCDLLTCPDNKIIQVALDGLENIL KIGDLDKQAAGEGAEAINRFALFIEECDGMEKIHDCQNNANEDIYMKAYNIIEKYFSD DEENADEGMAQPAGPNGTFGFGTNGAPQSSGFNFANGGDSMDM UV8b_03097 MPDVKCGDLVIVFGAKVQCYESAFSLCTNKVTDVLIYDSTKIPK PGNDASRALRPSNRSRIGRQPNKTENEFVSILYGSINKEKVPTESEFEIMKMNSKNVK KKFSELKDVREGMFINTIAEVVKEPYDAGDKVTLWVSDYTENDQFYHHKFTGGASVGG QKTDSHGYSKKVGSWQPKSEWAGPFGKRSLQITCFDPHASAIREHNFTKGTWLFLRNL QVKLGRDLNNLEGYLREDRGVQGQKINVSEEHAVDSETTKTELKNALRRKRDYEKLRK DQLRAITAAATAGLKRPGDTGLDAGRAKMPNSKAKRNANRAAKQQAYKQKRGQQNDAS SSEDMVPTGVPKDLNTQVKCENANQPTTSITDILKPVFHEATIDGDEVKIPLPFVNAN HRTHARVVEFMPRKLEDFARPRTQAAAEYAVLSDAGESDSDSSCGSESEQKALPAAKS WEWRFSLKLEDASPDEQQARPSLWVAVDNQSAQMLLNLDASDLRNDDENLGQLRQRLF LLWGDLEEYQITLEDQAPVGRRRGDDRPPADSDDEGEAQSRAKAVRRQLGVSNRPFAC CIRQYGVRVKEDDEGRADAGGGGRWQRMFGLFGTRICAP UV8b_03098 MDVGASETPEPDATSRTRRSGRVVKAPAKYAPEPTTTVASKRKR GGQEANDDENGLSSDEPASDDSGDDSGDDNSSDQDHPAPRSRKPASRAKKPSNKKPKI NGTQPAGLHQVSHIPSRPKKTVRIDAGEKGSGLFADIFGSGDSSDSVAQQWLERHKAD GPSALADLINSILQSTGCDLQVTVDDVGDPENIPNRLVDLQNVYQEQQITDYPLISKA KSTRSFRDMLIGFYHSLVQLLHETDVMYKDTDLMDNLHAWLASMSSSSLRPFRHTATT IALAVETGLVGVASILDRRIANIEQQLQAAKRGKNKAKSAELQRTLNDANGYRKICSE GIQSFFDTVFVHRYRDVDPKIRTECVDALGTWILGLPTVFMEPGCLRYLGWMLSDTNA STRQEVLKQLLKVFRRDAQQLGHFIDRFRPRLIEMATLDSEISVRVTAISVIDTLRSA AMLEPNEIDAIGKLIFDTELRIRKAVVGFFVACVNDVLEGKKEEIGGSEVLEELDDVE DDDFETPRREWINIKCLAETLAIYDAQIEEGHHHQQQQQQQQHHDGIAGFDATVELLT GAKTDTRISLAAQALYEKVPEVNTWEMIAGYLLYDHTTSAKSNSTSKAKETSPEAAFK KAVVPTAEEESILLDILSSAVKSSLTLTADHDKGKRRLGRSEAAEAQEEVALELTTTI PRLLSKFGAEPKTAAIVLRLERFLNLDTFQQLRQDTSKLERLLDEITTQFNRHDDKRV LSEATAALLHARQHEELEELTDGKISLLWENALNSLRNFERSCELAVRGNLPQAPLRE LSTVLMKISKLASISDCVDVLETQSQPSDAGSSAIQLLSNIVHRGKFEPQEDEIDDLE DEVVTFAIKACQFYFMWKTRALAKLLSAGTGLSDQHLDELSVLRQSYRRHLIETFSSR AAIDQLRLFSTGSLCDLHLTLATLRPCIKDFRPASEAAADRGDKLRVLLQDIEPGLVP ELIAIFDGAEKQYAKKAKRDKTLNEPAEDEDPVSDDEDLAADDDDDDDDDDAGLSQEE CYATELQAERAFCELAAKYVLAIAAKLIDRGPMASKLRRRILRNETKLGNNFKEVVAY LDEEKMARRHKKPAPSSKPPQPAKDRPAPETNAGDENDGGGVFDDAEPEEGSREDLRR RELLEDEAVDEGDDAAVELNNEDDDDDDGLGD UV8b_03099 MTPPFPKSSSVPGQGHIVTKMLPGGGNGLESISYQYPLKLISPN SPASGQKSVLVFLLSYGGGLVGGDAVELSIHVRANTSLSLVTQGHTKVFRSPSADVVT SQLINVQVGSGAALCLLPDPVQPFQDSVYRQTQIFRLAAGASLCLLDWVTAGRTARGE SWSLVKWTGRNEVWLQSDDEARGSRLLVRDAVVLSQDGARAVGQPLGGAMHNMSVFGS LILRGGAVESLGDFFLAEFAALPRLGARDFGTQEDRDKAAQRMTDFERWRARRVEYEA DRGVLWSAARVRGCVVVKFGSATVEAGREWIGAMLIKEGSICARFGDEALMCVK UV8b_03100 MVRARAPGRGTSRRGTAAAGGSDGAPATAPCTAPPAATPATLDE AIASASAAASSSTSAPARRGGPPAAGARTVSGGRLRPKILRRDEVERDKLARQEEKKA NDRAAAERRARGRSRNRSRRSRGDAMGARGGRGGITTASGPFSSGFAGAGAPGGGWFG GAGAGAGAGGAGYGGRGAQPDSKGKGFGQGDARRREARINADKLHVMTAEDDDELDSE DEAMMAALSSRAASTMPMGIYRRQHREQGVVVATTAELEAAEKAAAGEEESLWVDGDA SGALPPVDAPEDGVWDTDAKPVTIKKEPGSEDLMDLDADIKPAPTDDDDDDDDEAQAP PPPPPLPSAKKDLPRDPEERTIQTDLDLLAGELGAVTVTDDAGGTRTEEPANKDGRLY LFQFPPLIPPLREAAADPRVKREAADLAHEHADDEPQGEQEDQEDQEDREEEEEEAEG FRSQFLPRGGAVGKLHVRRSGRVQLDWGGMTLEMSPAAGMSFLTTAVMVEESDEKAQQ GAAGGESIGMGKIMGRFVLAPTWSDEEDWDVAPDDLLPPQ UV8b_03101 MAGKAVDLNEYNGGPLVGTSIALLTTSWVAIGLRLYTRLVFVKS YNVDDWLILVAQLLFTSACVSALESVRYGVGKHNDAIPSLDDRVAALMWQSITIAFYL LCMMFVKLSIGLFLLRLATQKSYIWTLRIALVIVTLWTTVIFIWNMFQCTPVQKQWDF RIRDGYCAGPDEVISAAYALTVMVVLSDWFFALIPIPLLWGVDMTKQAKVTVIVILGL GVFASIATLIRLKFLAGLQSSEDLMFSVTDATVWTLVEPGFAIIATSLATIRPLLRSL RIRGFLSTDRASTGRLSEPGTRNGYGSMPGYRSLHSVTGVTGVGIAMDEHPSPTNEDA GKKQT UV8b_03102 MASTLPVNSAVAMPGDSPNGGSVSPRLTTPKNVAFELLFLDSPQ YRARLPMRVQIYPHDTTDSIVTTVKNFYGLYSGPTGSKGVSFEDEHGNTLIARYENFS NNMVVYVRVIEEPPVTSSSIGQQSTFQQAPSTAPAEPYYEQDGYQFHQAQHQRYVQDA ARPSSRGSRRRSPSPSSGNGHGRRSAHADAYSDSMNGYSSGDGAPSSTSAKVKEQLGT TDISVENIVEGGRRKRAKFESSELPLFAPPQMPAATSNPSVSPARRIEHHRPSLPFVH PGQNPFSNPRPLQSPQSYSNGNPSAYATPAHGHRSRDSIGYASNGSGVGSGMGVLPTP DPTVGSCMSEEDKDVAIQLMRLGEMSNVSHGRTSASTLDDTFSGRADVASSTGATSDG DSHSEDDMPASRRQRLDPNGTARLIYPSTETPFAPAPAEAVDVGADVAGYEHSTSGAV CAGGSSGSSGSSGSSSKSSSAAKSKPTSAKHKSSAAAAPKPTTQKAPKAPRPATGAKA NKKPPLVANAPPSAVHATSLATAMATATAASLVPMSPASTTHSRKQSVASVGLIALPG EEEQPDLSTKPRCQRCRKSKKGCDRQRPCGRCRDAGLSADQCISEDEGNGRKGRYGRH MGVPIKKEEVTASSQPALLPAAPITTAAMAADKAKKRKR UV8b_03103 MLSRDSPALAAIKQPPEPLLILLDLRWSWPHPPYQLSLPSRKPD NQIPTLALYRLQRPLGRALNRPWLARSRRGLESSNTSPKLHIEIHGKRQEETG UV8b_03104 MDARPFRPRGTENALQPIHHRHKSTGNLTMAAAATVTAGFRGPA KRAAFGDVTNTKIVLGKSQDGKLAKVQTATGLVSAALINKENAPYSRGGKDSFAARPA QRPSAALSSKPGVVMISEPGRKQPAAVGVVHDVNTATSMALASAGNPAAGLARPRTAN ALGRETILPAASVSFDAPPLQPRHHKSQPHLKQQQRQPPLLRRTQSRQFEMVDLPADK ATRSAVSTDPGRVPSRIAEEQAAYDDLSHELDQDELLHHVNHADGYAGIHPRMHHISE EAQQHIHVVPSKESQTQALSEPEEYWDEEEDDDYEDQDQAYTTAHSFRSQNLTTGGVT TILAPRVTTKVQRELEEAKLQVQQTRSLDDIEEEMWDVSMVAEYGEEIFEYMRELEIK MQPNPHYMEMQTEIQWSMRSVLMDWLVQVHNRFSLLPETLFLTVNYIDRFLSCKIVSI GKLQLVGATAILVASKYEEINCPSLDEIVYMVDGGYTTEEILKAERFMLSMLSFELGW PGPMSFLRRVSKADDYDLDTRTLAKYFLELTIMDERFVASPPSFLAAGAHCLSRLVLK KGDWSKAHVHYSGYTWTQLRPLVTMMIECCEQPRLHHAAVFEKYQEKRFKEASTIVQH ALDAGFTLPHHSVPIRPLRARVEDVPDALQYPSSLLVSTEG UV8b_03105 MASPSAVIKEAVKETLVGSEVEEPGAQLSAQSRARFNAHAVNDP ETGELYMGQDEFINAIAPKDEDYHKIKRDQYSILFRVADNKGSGRVSLTDWGVFENLL LKPDAEYEIAFRVFDVDRTGDVKYDDFRRLYELNKGPDSIPFDWDCEWAKLYLGSKKK RHSLSYPQFSQMLRGLQGERIRQAFQLLDKDGDGYIDPEEFERIIRETSKHKLSDHVL NNLHTLCNISTGSKISYANVRAFQNMIQEMDLVELIVRRALAKSSDGKITRAEFAHEA AKVTRFSLFTPMEADVLFHFASLDEPSGRLSLRDFAKVLDPSWRSPMYEADESGPGLK PAAAAKGSLLKGAAESAYNFALGSLAGAFGAFMVYPIDLVKTRLQNQRGAQPGQRLYK NSIDCFQKVFRNEGLRGLYSGVLPQLVGVAPEKAIKLTVNDLVRRHFTDKQGRIPLSA EILAGASAGGCQVVFTNPLEIVKIRLQVQGEVAKSVEGAPRRSAMWIVRNLGLVGLYK GASACLLRDVPFSAIYFPTYNHLKKDFFGESPTKKLGVLQLLTAGAIAGMPAAYLTTP CDVIKTRLQVEARKGEASYTGLRHAARTIWREEGFTAFFKGGPARIFRSSPQFGFTLA AYEVLQNVLPMPGRSRDDEASMPTTLAAGPGPGGPDSSSPLSRSRNALKIILDLDEDF GRARIPDARTWKGLPRAIGGGGSP UV8b_03106 MHSSVYAVLLTCLYGSSFGAPIVNTGNVLAGWSNVASAAGDLAK GVDLGSAPVITKKDVVDVDALANVDATVLRRQVIPGVDGLVDKLPFGEVTKDLPVDKL PIDKLPIDKLPIVGGVKRDIIPGVDGLVNKLPFAGEVTKDLPIDKLPIDKLPIDKLPI DKLPIDKLPVDKLPINKLPIVGGIKRDIIPGVDGLVDKLPFVGEVTKDLPVDKLPVDK LPINKLPIVGGIKRDIIPGVDGLVDKLPFVGEVTKDLPVDKLPVDKLPINKLPIVGGI KRDIIPGVDGLVDKLPFVGEVTKDLPVDKLPVDKLPINKLPIVGGIKRDIIPGVDGLV DKLPFVGEVTKDLPVDKLPVDKLPINKLPIVGGIKRDIIPGVDGLVDKLPFVGEVTKD LPVDKLPIDKLPIVGGVKRDMIPGVDGLVDKLPFVGEVTKDLPIDKLPIVGGIKRDMI PGVDGLVNKLPFVGEVTKDITGTGLNGVEDRVIGGLSHTIPASKGN UV8b_03107 MSFLQALSGLVGWIYTFCWSASFYPQLLLNLGNKSTAGTTVDFP FINSLGFLAYFASNTAFYYSPAIRKQYAARHNRLTPTVQFNDIAFALHASVVSCITLS QYLLRPLWGFSQTPAHRPSRFILGVAAGCVAGLVATYLMVASAAAKGHIDPAEDWCEL DVVYALGYVKLIITLVKFTPQILVNYRNKSTKGWSIWQITLDFAGGVLSVAQQAIDSY LQHDWSGLTGNPVKFALGNVSMVYDCVFFAQHYVLYSGSHATRGSLEEDSLLVDEDER QGRVD UV8b_03108 MHDAQTISTTDEQPACAAHDRPAANYGLASPSHPNCNPRSNCRD REIQVPFFRLRIMSRQYGLIRSFGIMLSMSAKPRGASQVCNHGLCLCPSEPLTVRSLP SPP UV8b_03109 MTLLMNGALPPSEEPPQATSTSQSLPQRLQTFKLQQQQLADLRR EEQQRKWQEINDALSNFHITPAAEDQNFAELAEEVRGYESYISIGHPPQDQQAGEASS HSLRRWPRASAAKKNERTAARNGQLFRDDPDAVLQPLRCPGNGQVIFWFPDSLPELRK TGENALNQIVLHLDDNFDFSLHREAKIEWISRRWVKLDF UV8b_03110 MYATCAKLRTCKGVSPALRAAFHGVSRNGNGSGARHPQLRASTV WSPSSRRWYAVDLNSLDSKWRQKWKEEEAAPRLQRKVATPQQDNRPGTKYVLPMFPYP SGSLHLGHLRVYTIADVVARYHRLQGDDVLLPMGWDAFGLPAENAALERGIAPGDWTR TNIAKMREQLDVMNGSWDWSREVTACEPDFYKHTQKLFLMLHERGLAYQAEAEVNYDP IDKTVLANEQVDASGCSWRSGAKVEKKKLKQWFFRTSAYRDALLRDLDELAKGNAWPE RVISQQKNWLGKSTGAMVKFPIMALGGVNVGAAIEVFTTRPDTLFGVQYIALAASHPV VGQLAQSDAELQAFLDTLPGLPPDSKVGYLLPQLRAINPLAYHDDTPDATKASLPIYV APYVLGDYGEGAVMGVPGHDVRDHSFWKTHHADQPIRMVLAASEDESTTVFPYERPFV AHGVMTQHSGAFKGKLSQEAGQTMVRMLEAANLAKPVERWRLRDWLISRQRYWGTPIP IIHCDSCGAVPVPDGDLPVKLPTVPEHWSGGKTGNPLESLAEFVKADCPKCKGPARRD TDTMDTFVDSSWYYARFADPHNAEQLFSPEASKILPVDTYIGGIEHAILHLLYARFIY KFLASTPLMAGFTEQTSSSAEPFKRLITQGMVHGKTYINPDNGAFLKPSEVDLSDPAS PKVVATGADATVAFEKMSKSKHNGVDPTEFIAKYGADATRAHMLFQAPVGDVLNWDEA KISGVTRWLQRLHDQVVALSASPEEPGQARDLLESSFQKVGSMSARELAQWDADTALW RHVQRTIASVTHSYDDVYSLNTIVSDLMSLTNALLSSKADDNYKRHATSTLTKLLAPI APAFAEECWSVLHPSRGSVFSTTRFPTQDGTLGSAMLQPRKQPCAVQINGKVRGVVDV APPPAGLQGDELKQWMITAILDSQLGKERFSGGKYDLKKAKTAIAVRGGRVMSFVV UV8b_03111 MEGKWDGDGDGDGDGADGGTGWPQRQSGQTAHRRQTALNCKMRC QTPRSGRAGALCAEDRREKHVDVDVDA UV8b_03112 MDKITDKIAALPADRDYFSLEFFPPKTAMGFSNLRHRLQRMEQA LKPLFVNVTWGAGGSTAAKSLELAELCQRQLGLTTCLHLTCTNMSKHLIDKALDDAKV IGIRNILALRGDPPRKEEYRDANGHGPEDDGSADFTWAIDLVKYIRKRHGDYFCIGVA SYPEGHSDESHPQGQSLEKDLPYLVDKVRAGADFIMTQLFFDRTAYDHFEKTLREHPS GALETIPIIPGLMPIQSYQMIKRTTKLSHARIPPAIMSRLDAVKGDDEKVKLVGVDII SELIEQIRTIKNRSPGRKGFHFYTLNLEKAVSLITERSGLIPDAADQDEAVTDDYPRA PLALRVNGTARTSRTSSHSRASRRRSSVGSDPYNRVIVGGRPATHPEWEATGQEAGVP AEGINSRANTLAISEGEGVLGREATWDDFPNGRFGDSRSPAYGEIDGYGVSLHVSITR AVTLWGHPKTREDVDDVFVRHIKGEIEAIPWSEEGLSAESSTIQPRLVEMNTKGWLTV ASQPAVNGIPSSDRTFGWGPENGFVFQKAFAEFFLPSADWRALAGKLAGPDCSGSVCF YAANARGDFASSDATGGASARTVAASTNAVTWGVFPGKEIITPTIIEEVSFKAWSEEA FGLWGEWAKVYARGSESEAVLSAIRSDYWLVNIIHHDYMDKDALWRLLLG UV8b_03113 MAPSSQKANYRTYEAQARMVRAIVAAHPEVKWNYKEIASCYGSD MTEHALNHRFRKTKAHAVIIKEGRALGFDMKNLSVDENELPTTKEAVDKNNIAKYFGQ STADGMQFQFRSIKKDAEHIRAVEASGGDVANCLPLSSSALNTPSKPKAPARATPTTG TARKRQRVEIKRSSSDEEALDTEDHSEKDDTPSKRPSKHPQIPNRDCTPSRRAASKAS ATIARLSARLERENTSDGDGAEENDRPYHSIFGDSDVKPVVCNGRIQSDGPAAYGHGH APGVAALANTSPDPFMFAAYADSFYRPGETGDYGDGEI UV8b_03114 MTWLTALTGFAAPFFIVMSPVISYGDQIVSMHRSKSSAGFSLDI PLIMLVASLLRIFYWPGARFDASLLVQSLLMVVVQLILLKTALDHRPLPATKGGEGSA PFSGLEAGSSFAIPRPYNFWQWRSHKPYWHFLLYLLATLIVLQGLLRPMAPIYDTYSV LIGYLGLSIEATLPIPQLVSNAQSQSCKGFRLSVLASWIGGDAMKVFWFFTSTSEIPV AFKVCGCFQAACDCLLGIQYFVYGAGDNDVVLKEHAMEEAHWARSHRTPRPNARSLSV TKRPAMFSEAETE UV8b_03115 MQFSPFGTDKNCKCLECNAHPAHLTDWQSTCIINQTSGKVVLGA RRDRLGGQSLQASGIWERESYTTTFTTILLQINERITQYSRARKKPPVTDSSDTLLSE TGVALSPCGLCWPSTRANTKLTMRKSEDPSWSPMHVCSSEPRMTAKMPEMDLLYHSLG QIWAGEPVMLAVLGCYPSQLSTR UV8b_03116 MRRRKWWPRLERSLTSAGFRRPRPRRRDEDVILPSGMPPDSPTS QTASCEHAQSHWFESSQNGIKTHHEPPIKVTGAPDHAEYSVMLPRNHVHTSEDAFVSL QEPGR UV8b_03117 MGSLSRLEPCRRLEFPDTPPELESPGASTVSSRSSPLTEQGWIQ RSPASLPMSNYDKASSAGRPVAVGGEQRTCRGRPESSNQVSSRQQLPSLHSLFGPPSA IRLHSASERETAYLAKSSLDRPGISPGGGSSTNSYLSSISSPPVSQPRSTYDARSDTL SLIPSFSGPRSPKYGEPQRNRSDSRPESASSRWSIKQEAGRQEYSLSSKDASFRSPEN RLRIQLPASTDYSGPPTAQPSSNMRPTRDNSVVSEGAPTKDGLGPKIWTGTHFLPRFV RASEVPGEGLCYFYDDGSHCKTVIDGEAVNAHWGVTKAGKPRKRLAIACITCREKKIK CDPDYPRCVQCEKFGRICKFKNAPRGGHNTSPSTPPAELDEKSKDGSLIRQSDAGYAA SEPSSPVSPRPLLHEASPEIGFPNKRLKTGSDSHVANGEASTALGQTMDHSKSVLSPQ RPASDMPRIPDDVLYRAWRTDPYESDPQSVNTVLSHFFGHIDNTMVIRFLPEDIFKTW VTSSVNRKSPDDMMLLYSVLAMGAALSAGPRHVAFEYAQVAHFAQKFATTASLQLVQC RVLLALYNVAVSRFWDANELISSATATGSCLQLNQEIDRSRDASLTTYPFGMSRTGYA ESRRRTLWSIFMLERLSPLFPDRATMIHAEDVYIRLPSDSESFEKEVDAGMPFFNPYE RSPTAASGRPTEIASHLVEMVHIWSSSQSTIHRLASRPSASDAEGLRIRSLIKRIHDW HNGLPNRLVFNGSNLESAAFAGKVGSFLTMHLLRHHALIQLNRYHLSVGKLSVEYRTS HFQECHENAKGIVDIVCCLDRILRVRPTILSTPPPTMSIAVTTAMDVLTSNGPLSAIN DIVDSLKVAKTAVESTAKTWEHARASQLALDQRLQKLCRIRDRGTQPLMSEDYRTGNL SGNASEHRWQIYWPLERTYPSDMDVVYCSYH UV8b_03118 MNLPDRPAAYLLGSNGPVHAVSYSASPGSYILTGSADRAIRLYN PFPSKAQAEAYAKGPVPQGRLIQTYAAHGYEVLSLDVAANNEKFVSGGGDRAVFLWDV ATAVTTRRFGGNVHGHTARINCVRFAGEEDSLVVSGGFDTSTRIWDAKSGSVKPIQVL GEARDAITCLVVRGPEIVTGSVDGRVRSYDVRMGKCTTDVMCGSVTSLDLTKDGRTML VSTLDSKVRLMDRDNGTCLRAYSDPSWKNEEIRIQSVLGHREKYVIAGDEMTAEPGSN GEGRILAWDLLTGKVVAKIPVPWGPEGYEQKRRSIGKDGRERVRSNIISCIAWREDGW GDQFCAGGTSGVVTVFRI UV8b_03119 MALARPVRVLLLAAALLWCLFLYQVFRPSPGLHGPGGRYVNFER DPNLDPTGEPPGVLVRTSEKYAPDAQDTDRVNATLLALVRNSEVNEMVASMEDLERTW NSKFNYPWTFFNDEPFSEEFMAKTKAATKAKCNYEIIPKEHWAVPSWIDQQLYDESAS ILEKAGVQYAKLISYHQMCRWNSGIFYKHPALQNVRWYWRVEPKVHFFCDVDYDVFRY MQDNNKTYGFTIALYDDPKTLPTIWPETIKFLADHPNYIHKNSAVKWLTDAERRPEHN KGAQGYSTCHFWSNFEVADMDFWRSKPYEDYFNHLDRAGGFFYERWGDAPVHSIGVSL FEDRSKIHWFRDIGYQHIPFFNCPNSPKCSGCVTGQLTDGEAFLHHEDCRPTWFKYAG MG UV8b_03120 MSFASQLGALTHELLQVLLPSSSQSESRKLDKLRDVALRRLKCH TYLQANPFEVEKALDGLDERFRVNNRDDLADALLARLHALKNLAPKSQSLPDILLFLL ELSDQPTFKSRLSDLDSLKQTDVEPPPTLRWEDIAKEDGWEEDDLLWRSVDYSDDSDD EAFEQGSAGNSEATSMLDEAQHTMYSAHSHIVHPEDQGSFELVRSAQSWRSAASSDES LRQQPRKVAVPEMQVVRDVLFMLQGLDCTLFERDFTAVPSFQLENMQWDTFRAMMQGF ADYGKHLGTLRSFVAERLDVPHLQALQDCIADRLRKLESRISELQAALGAPRGEYVLS VIKIKADLTACLEPFCTLADIVSSIEAEPQPTPFRYLELIFDETCLAQLTGNSQVYEF LGRIFSDCFRVYLRPIRLWMDQGKLLSASDLFFVAETASTTPLHSTWKDGYKLRETAD GTLHSPAFLRAAVSNIYNAGKNVVVLKLLGKHDAAIARKQKIEPSLDYEAICAPGQEL VPFSELFDIAFDRWIQSKYRETSRTLKECLFQDWSLMSVLDSLHKIYFMSDGSSAAAF AESLFPKLDELGAGWLDRYALTMAAQDSFASIMDPGRLAVRVEAALPPPGPTQARDSV RAALSGIKMDYRLPWPLRMTLTDASLEHYQSLFTFQLQLKRAAHALGRPRILDNYWTD RDNRGAADAFYSARSTLLWFCSTVQTYLTTLVLAPAVAQLRRDMVAANDMDEMICTHD RALKAMVDQACLGRRLAPIRDSILDVLDLALKLERTPSGATDTRSDGATGTRREQRCL RDIKEEAEGQIRFTREGLRSVARATSDAQSAKWDMLADMLQAGYPNE UV8b_03121 MPPKIPTQADLAISLPLAAHFPNPPESTTAFLILFHGLGDSETP FAAFARNLALPGVLAIAVRGTSPLASLVGGDDDPRRYYHWGDDLALDPQTGELDPDPG FETASRLVMEKLIRGVIVDKCGWETSDVMLFGFGQGGSLALGMAARLAADGANARFKG VVSVGGALPMSMVSSRSGRGKGATHALLCQVGEEGEESARREFQDVAVVRWARREVGM PTSREEAFPIIKFFADRLKDGWV UV8b_03122 MVDHYEGQDQPLMTDPERRETPAGNDAGVNDAGGEDASGNDVPP ANSQNQHRQHSDSQRGLRPRTDLTAAGHPDAPLGAADDDDNDGTLQLQDDSDPPTPRF LQDENAWKRWRWVPYPVRRALRALGKWSVGPPNPQPYRIKPLFPLVQEYPLFLADKLL ATPKRRFWLLLLFFSAWITSFVLVKRQGEMATEIAGWGQPRTIGCGATYWGSGNTCGV DGNSCRPFEGGGFAFRCPANCASYQVLNPHAVGDQEVVYRPIVVGGPPSLDAALENAT YRGDSYICGAAIHAGVVSNANGGCGVVRLVGARRGYRSTRRNGITSVGFDSHFPLSFQ FLPGIQCGGSGGGGAQDARWSLLAISVVFTALLSLFTASPAWFFFPCFTGIFWTVGMA LDPPPHRSVAALFSNISGKFLPAMFVAWVVYDRMGVRRALAGLTAQVEKTVLWLGACW VGALDNYTLSFIPIQRLSAHDLQQQPGAKAALAAVIVVLVAAAAAQAWFLRQEARFVG LAKLYALLLLGIVVALVLPGLQLRLHHYVLALLLLPGTGLQTRPSLLYQGLLVGLLVN GVARWGFDPVLQTPAALRGDAHKGTPLPVVGAPVVDASNATISLTWEKPQLVQYDGIS VLVNDVERFRTYFADADARDAFVWSRSKTLGLPEYFRFAFMDGSESGDYTRAGVWTAD GQWVDMKPGPSRRRAGGRGLDDLVER UV8b_03123 MSATETTKGSTMPARKVFELLGATVADGCAARLGRLSMPGRRPI DTPNYTAVTSRGAVPHLTPDNVSKHTGVGSAYMALEDFVERQEPPIYNTPSRGNLGRL HGFAALAPDTTVVLGARRCPPVITPMGNGAKSVTLFTSTGFAHVTVSQYVAAVRDLQP DVVVPLADSLHTSKTPSSKKLIKMVERTEEWVDDFLRQLESREGPDHAPGAAVSVFAP VLPVELPIQWDYLRHLAEDVAEHLAGLAVYDAGILPELQAYEPLRFLPRLSLDLPKSP HDILRQVSLGVDLCALPFVNSVSDAGVALTFVFPAPPPHARPAQPLAVDMWSEEHNAA LAPLQEGCRCFACSNHHRAYVRHCLNAKEMVGWNLLQMHNHYVVGKFFEGIRSELARG LDVFEESRKAFLAAYEPELPEGTGARPRARGYHFKSEAGQAKINKSTWVADFEGGLPN GHADQAEGGGT UV8b_03124 MLAKLDVAAVLAASASLSAVAAQTYQRLGTCPTLGCLLPPDQSD FLPGQLFDLRVEVHAPVNGSEAAHDGRPDDKFKVTIARDGHAPRDIAAAFKVEEPPLE KWTFDWYEDLFARDRKTPSVVNVSSKIYRKLSLDEPGKYTVTLEYYGGEKTTAEWVVR PIAKHRRAKNVIFFIGDGMTTNMITAARLLGHKSINGKYQTQMQMDQFPVLGHQMTHS IDSYITDSANSASALYSGHKSTVNAMGVHADSSPDPFDDPKVETIVEIFRRVTKGAWG AVSTAFLADATPTALTGHTRRRNEYGPLVDQALHGVTNYSWTNHGGPDVYFGAGAEQF FAGKGTYQGKDYYGEFANKGYTISLNKTSLGKIDTSKRALGVFCQSNLPVWLDRNVYT ENLRAFKNDPKGGKEPALDLPGLKDMTLKAVEVLHKRGGDRGFFLMSEAASVDKQMHA LDYDRALGDLLELDDTVRATINKLKDMKILDETLVVVSADHGHGFDVWGSADTEYLAK QRDERAKRDAIGVYEQSGLSQYTVKPKDVNYGTGVNFPANWEPRYAIAGGVGAAPDRR EDYKVHKSGSRVPAVKSSDGYVVNPKDAPDGIVINGTLPTSEAQGVHSLTDVPVFAMG PCQETFGGTFNNVDIFYKMASCLGLARPYTG UV8b_03125 MPDTYTKLPLEVATFSGDSMLKAQAQAASRVELNAPGSYPLGGT TPPVSELARVARRITIPVRIMIRPRGPPADGSPDFVYSPDFVYSPAEVDQMARSVLAF KRSGLMDPLRGDGFVFGLLAPPDLSVDRPSCRRLVRLARPYGCVFHRAFDRLAARTRG GPLDPLDPLDALDALVDLGFQGVLTAGGPGPCAANVDTIAHLCHRWAGRLQIVVGGGL RHHNVAAVAGSLTGYEDDAVWLHTAALTGRPDHPDEEIDSDELVRLVTQLGSVPAPCA AAGRTRDPQDRAFDS UV8b_03126 MSAEDVDNTVHYEQLDDLEDDFDQVDLEILRIQDKMTRDLFAKR EKTICQIPNFWPLVFERAPPEIDEYIQPTDAAVIASLKSLSVERFELPNGHPRSVSIK FEFAENDYFENTTLEKKFWWRHAKDGWEGMVSEPVDINWKPNKDLTNGLLSLANKIHQ EDKAGKREEQTPAKKELIKKLETTSLDAVSFFNFFGFRGRFITEEESREAARAEEEKR KARKEGKEVDEAKLDADEDEDEDDGEYEFEIFPNADDVALTIAEDLWPEAIRYFREGE ELAALSEMDFEDEDEDEEMAE UV8b_03127 MDETPANTTVPQPQPVSGETKTLEPTTPNSHHGDIAILNQGYEG KPTDEEFKNLRRVPVQIPTIAYLLCIVEFCERASYIGCAQVWTNYINRPLPKGGNGYG AVAGGSQATQGALGMGEQIANATTQSFSLLAYSLPLLFGHLGDTRFGRFPMIFWGVIT SGVGHAFIVAGGAKQLLDNGTAKIPFFIGVYILAVGAAMFKPVLSPLILDQMQSHVPV VKILESGERVIEDPEHGSERVMLWFYLMTNVGGLMSTATSYSARYVGWWLAFLLPLLL YLPLPLLLLWLKPRLKLRKPGGSDLPNTFRVIGHGLADGGVFRIGRSGWWENAKPSSR ARKGLPPEDHYPDEFVVDVQRTLQAASMFFFFPVQYWNSTGFGSASNFLGTMLTGNGV PNDVVGNFNCVSVIVFGFALNYAVYPLLRKARIRYGPVSRLTTGFFLSTLAGVGNTVL CYKAYQTSPCGWYGSSDAKCVNEGLMSPISLWWQVIPYALGGLSELFIDVPSYSIAYS RSPENMRGLLQALSLFAIGFAEIANLLCSSVVVDPYLIRAFGVPAVVGVFVTVGFWLM FRHIDKEEFVLSTTQTSEAEKAGIAARSEVAEGGGSCYGPARPAGLREERKGQ UV8b_03128 MATAYKIAVRASGTRLCKVQQTEEAASKVSELLQEDLQKHHTFF NDSGFHNHLAHQLLTLYGTGAAPSTLQAAYDANRTYQLAAKPVRDSVVALLQDWPANA PSFLGRGDHYPDFLSHFQREIERRGWQAVVEEHLCRDTPQSRDMVQRLFSGIAHPMIH LAYGLEWEQPALVAAGLAQAAVHPNRLGEFFDKVDKATATAAPAPSRRSLAEVCEAVR ADHPDLVATATFNDDNPLYNGVLGTGLQEAVELAATLTVGEDDVDERTAELLHLNAYV AAAAAAGHPPHLPKFDFFLLHSLTSAPFVLSFNKPFIPLRARVRMLEHKMRFDLMQYI ARGSPPLGVQPIRDFRVSRDDASAAARPQDLLPRYHAVPDDGHLVKVARALLLAEQES GKWKGRPWIRLQDGRDWLNVHHMLLRGVEGQKTLWVMGAGFQEAWKDVPEL UV8b_03129 MKTDFKFSNLLGTVYCQGNLLFSPDGTHLFSPVGNRVTVFNLVE NKSYTLPFAHRKNIARTGLTPRGNLLLTVDEDGQAILTNVPRRIPIYHFSFKSQVTAL AFSPSGRHFAVGLGRKVEVWHVPSTPDTNSDGGLEFAPFVRHHNHTLHFDTVRHIEWS SDSRFFLTASNDLTARIWSLDHEEGFTPTVLSGHKQAVIGAWFSQDQETIYTVSKDGA VFHWRYVKPLHPKEDEGTPDPDDDSDMRWRIVQKHYFMQGSAHVRCAAFHPETNLLVA GLSNGTFGLYEMPDFNNIHKLSISQNDIDFVTVNKSGEWLAFGASKLGQLLVWEWQSE SYILKQQGHFDSMNSLVYSPDGQRIITTADDGKIKVWDIRSGFCIVTFTEHTSGVTAC EFARKGNVLFTASLDGSVRAWDLLRYRNFRTFTAPTRLSFSCMAVDPSGEVVAAGSLD SFDIHIWSVQTGQLLDQLSGHEGPVSALAFSPNGDSLVSGSWDRTARIWSIFSRTQTS EPLQLQADVLDVAVRPDSLQLAVSTLDGQLTFWAVADAEQVAGLDGRRDVSGGRKFGD RRTAANVSGTKSFSTIRYSTDGSCLLAGGNSKYICLYSVTTMVLLKKFTVSVNLSLSG TQEFLNSGRLTEAGPADEMDDQGEASDRQDRADSRLPGSRRGGGDPSARKKLPAVRVS SVGFSPAGTDFCAASTEGLLIYSLDSAVEFDPFDLSMEITPAATLGVLEAEGDCLKAL VMAFRLNEAGLMKRVFQAVPAPDVALTVAGLPTVYVPRLLRFVAAQADESPHMEFCLL WIKALVDKHGAWLAANRGKVDVELRVAARAVARIKDEIRRLADENAYMADYLLGQAGR AGQRGLEATDKSARLVGADEAAMEMDARVEGDDESHDDDDEDEDEWLGLE UV8b_03130 MAPAAESASPIGIANLPNQRHKIVAKRGASFTIMVAGESGLGKT TFINTLFSTTIKNYADHKRRHQKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGDY VNNRDSWMPIIEFLDDQHESYMLQEQQPRRQDKIDLRVHACLYFIRPTGHTLKPLDIE VMKRLSSRVNLIPVIAKADTLSPADLARFKQRIISVIEAQNIKSYQPPIEEDDEAAAQ HARSLMAAMPFAVIGSEKDVKTSDGRIVKGRQYSWGVAEVENEDHCDFKKLRSILIRT HMLDLIHTTEELHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEEALRKRFTEQVKIE EQRFRQWEQKLIAERDRLNKDLEQTHAQIKQLETELEQMQGSAVRSHGRR UV8b_03131 MLSVALRKASQPCRVPAAAAAAAAGAAGAAAVPRLPPRRQPPPQ QSRRATNGAWRPVSVLDEWVAREARPISLRQLMVFGRSLTESRLISSANYVRTELPAR IAHRLRDMQQLPYVVVTNPHIQQVYDLYYNAFDQFRKMKEIKTLDDNENLSRIIRHNL KGHLTVIPKLAMGILECRGLMDPVHLDNFMNTILRSRISRRVIAEQHLSLTETFNSPS FSPGANLSEADFIGEVFIKCSAKDVISRCAKAVTALARSTNGPDVPIPAVNVVGHLDA SFPYILSHIEYIVGELLRNSVQAVIDRHQKLGAAEPPPPVEVTICEAQDHVIFRISDR GGGIPRAELPYLWSFSKGPNSQHRLENLGQVPRMAATMQELHVEDELGRADLKTPAYQ SSLSSLTSRPPNLRLGMGLPLSRVYAEYWAGNLNLHSLEGYGVDAFLQISRLGNKNEQ LVTRASMDSV UV8b_03132 MFCGTRYLSHRSKFRADRVPKNQSYNVDSPNEMVVDTDDYVGIV NGPDKESVAIINPDGLDQSDSDQQLQDLPLANDYEAMKELALTPLLDEPKVLQDAYNT WSVENWRSMGKREHGPVFQAGGYPWRILLFPHGNNTDQCSIYLEHGFEPDAIPDDWSC CVQFALVLWNPNDPSLYVHHAANHRFTKEEGDWGFTRFVEHRRMFNVPWEHGTRPLCE NDAANITAYVRVVEDETGVLWHNFVNYDSKKETGYVGLKNQGATCYLNSLLQSLYFTN AFRKAVYEIPTENDESMQNSAYTLQRLFYQLQTSDQAVSTSELTKSFGWETRHIFEQQ DVQELSRKLMERMEEKMKGTIAENVLPQMFSGKIKTYISCINVDYESSRIEDFWDIQL NVSGNKTLLESFQDYIQVEKMDGENQYFAGDEHKLQDANKGVIFTSFPDVLHLQLKRF EYDIQRDMMMKINDRYEFPDVFDAAPYLIDDADKSEPWTYQLHGVLVHSGDLNAGHYY AFIKPEKDGWFYRYDDDKVTRATSREVLEENFGGVYRTPNGTRAPLQKKAPIVRQNSA YMLVYIRQSKLDKILCPVGKNDIPEHLQQRFDEENALREARKREQREAHLYMMVKVIT NDTFRCYGSTDLCTFDPNQEIEESSPRTYRIRRAMTVEEFANQIAEDMGQEARKIRLW LMVNRQNKTIRPDQPIMDLRPTVEEVYNRAAAHRDSSLRIWAEVAEEFDADGDPIWPS YQSQSNGMVIKNETILLFLKYFDSEEQKLRGVGHVYIGKEKKVEDLVPHILEKMGWGE KLPADDKLLLWEEIKPTMIEPLKPKQTLKVAELQDGDIICFQRSSARQADNVQGQDKP IQETTRSSDRFEDAREYYDFLENKRTVRFHPHPTRCDQDQYPPFDLVLNSKINYDVLS DRIGSYLNVPPTHIRLWTVNATTNNPKAPVRRGTNPSLKQILNPMGSGGLNSSQRGDA FYFEVLEMSLAELDTRKNIKLTWLSEGITKEDHFDLLVPKVGTVEDVIQALIKKAQIP DEQEGGRIRVYETSSNRFYREPRRDHPVMNLNEYTQIYAERVTEEEVAAPEENFIQVF HFQNEVNRVHGVPFKFLLKEGEKFANTKKRLEKRTGLKGKSFEKIKFAVVRRANYSKP QYLNDDDELWNLAAPEDDYLGLDHIDRTRSLRNGVGDLFLR UV8b_03133 MSPAQALPDHDQPAGLPESRVLIIGTGGTICMQEGPDGLAPSEG FLESAMAPRPTFNDRSGLDVKLPAYRQGHLISVDSLRTPPTAHQRHIRYGMLEFNPLL DSSSISAADWAAMADCVKQNYHLFDGFVILHGTDSLAYTASALSFIMSNLGKPVILTG SQAPIFALQSDAVDNLLGSLIIAGTFVLPEVGLFFHHKLYRGNRTTKVSSAAFEAFAS PNCEPLAKVNGLGITVNWPLVLRPTSIAEFAVQQQHLDTSHVACLRVFPGIRPEMVDA VLRLPGLRGLILETFGMGNVPGGPRGRLTQVVCAAVERGVIVVNVSQCVSGFVSPVYA PGTQLGRVGVIFGLDLTAEAALAKLSHLLALPGLSRDHVARQLSRSLRGEMTEIAHPT FSHPAAALDDAEAAAASRLTAAEAAFAALGYAIQNNQPALVRQLLDRPGSQLLKTADY AGNTAVHLAAVSGNRDIMLDLLQRGASVHERNRADNSPLFLATLSGNEDCAGLLRSAG AHLSIEEIERGPLAKYRREQLA UV8b_03134 MDLPPDPTPDGTSPRTFALGHLRPKHSFTGCSRISDYELQGKLG EGTFGEVHKARSKKTGALVALKKIIMHHEKDGFPITALREIKLLKLLSHKNILSLEDI AVEHPSRPTDKRKKPIMYMVTPYMDHDLSGLLDNPSVHFKEAQIKCYLKQLLEGLCYL HDNHILHRDMKAANLLIDNKGLLQIADFGLARHYDGPTPRPGRPMGDGRRDYTGLVVT RWYRPPELLLQLRQYTTAIDVWGVGCVFGEMLVGKPILAGESDAHQLDLIWDLAGSPN DDVMPGWKQLPGGEHLSPRPRPGNLQSRFKEYGSGAISLLKELMKLDWRTRINAVDAL EHPYFKMAPLPMAPEDIPTYEDSHELDRRKFHDRKANLPPAPKGGTVGVGPDANGATA GFTSGDAYGRNGVNGSRHRDERRPAWQRDRVPHARPPAPQDRPGAGGSASSSRDDADH RRSRVPGRGPPPASQGGSDNVDTYIPAYRGDEGGGRRRDERPWEDRRRYNGGKDERWN GRDHRTRSRSRSPGPERSRDMYRR UV8b_03135 MLDLYGTSVAAIAFPLRIAWTPVSYAARLLKTLLAPTGYLLHYL STCVAALAAFLASLEPLFTFFSVAAFVGVVAGILAATLSGLLTTHLGMYDAPVDSRRR LKTDYLDEYLAQPLPLPQPRRWGDHISRFRVSRLQGETIHEEDDSE UV8b_03136 MAKSYIALGCEGSANKLGIGVIQHSPAGGAVVLANLRRTFVPPP GTGFLPRDTAAHHRAHLARLAREALAAAGVTPADVDVVCFTQGPGMGAPLTAVAVAAR ALALLWGRPLVGVNHCVGHVEMGRLVTGARDPVVLYVSGGNSQVIAYAERRYRIFGET LDIAVGNCLDRFARTLAISNDPAPGYNIEQMAKRGTRLLDLPYGVKGMDCSFSGVLAA AEALAAQLRPRPGSADNSDEEEEMPPFTPEDLCFSLQETVFAMLVEITERAMAHVGSS QVLIVGGVGCNERLQHMMGQMASERGGSVYATDERFCIDNGIMIAQAGLLAYKTGYRT ALEDSVCTQRYRTDEVYVSWRD UV8b_03137 MGNNHSSAAKSGSSGSPPGAAAAPQIDSASSTGTTSTPVDGHLH HHHQLLPHRKDPRNNPAAQAAHSSAAPPEPSLAQATGRTIVNRPRSLPGTAVSSLSGS PHSNISTPSKPTEARPLREEPSKPVDVPVDSSSLRSHKEAQASAAQQAGGIDAYLVSN SSITDMYLRGPPRLPLPIQEEVHTPGSPILAPEEGLPDVELGESSDGLTRKSSALSAT TVDDEEYEELRVDKTSPVVATKIEWKRGGDKIYVTGTIFQWNRKQRLHPVDGKPGHFA TTVYILPGTHHLRFLADGIMQTSPDLPTTVDFGNNLVNYIEVNPDDALRESQQGRPGV SKLEPGSDAAQAGAQAKEPARPKGKPVLPPESYSSQIPQYLVDFDQPEDSSAYKNAVS AIEKLPTPPSLPGFLGKPILNAATLMKDDNSVLNMPNHTVLNHLATSSIKNNVLAVSA TTRYHNKYVTTIMYKPTSTDEG UV8b_03138 MSSRKVRVKKLSVKTALPVLREDQIDPSEYEALTTENQIATGVE HAEEKEFHLQSILKETGVSTTQEIPVPPPKESDINYDAIYPSNFHQPSSYIRFSQTVE ECISCQYDMSSEDAEFLASYNGSSPAGGSLSEDDFERIMEVFEDAAAEQTPYASVDNT VVAYDMMVPSLNHLESTPILQHAKAIYQYWKNRRLETGNKPLHPMLKFETHQETDDTD PYVCFRRREARQTRKTRARDNKVAETLKRLRRELEDGRQLVLLSFEREMTKRDLLHMD RAVFEERTKLKQMKLRLGIKGDDEELVNQKPPKRKAAEPAGAQRQQATQLRAPVRTDG RSSEQDLVLLADQLAQKENELREEVENKVQNHRRWNQNHIDLTRDPLSPIKEQGMELK FRAAKTQYLMTPPASISSEEMDIDEVQAEPMDMDKPDSSLAMFQFKAGGADSEQSHGN QPSFRRRIGRLQRLWIDRRGMTRVPRHERSDYSDRWKYDSDDDDDDPPVYEVDPFDTR ALKFRATIPLNSYMFRGGRPQVPPDAAAAASAAAAAAAAAAVAAGGSNRTPPQPPSTA VQAQAAS UV8b_03139 MGNTTSQVLDTIVQGSNFDREEVDRLRKRFMKLDKDNSGTIERD EFLSLPQISSNPLATRMIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEQKLQFAFKVY DIDRDGYISNGELFIVLKMMVGSNLKDQQLQQIVDKTMMEADLDNDGKISFDEFTKMV ENTDVSMSMTLDQF UV8b_03140 MTPRVATMVIDSTFEEEGEEGEEGEEGEEGEEGEKEKKEKKEKK EKKEKKEKKEKKEKKEKKERKEKKEKKKKKNNFRVVTFVLGFQQPPPSQILNVFKNPR VWGEGGQRCSAPCTRLLNCKPFGQRYRPAPPFLSTANPQSMGTDGNYSSYYQNQSSGY SASAPTIPSILLAYANANATDYDEGDTRSQTPGLPGSYNADIIMYNVPHAATQQSVYD TPQYTARQHAAMQILPSDVVSNYFTSEAAGDAATALQASGQSSSTPTNAYQAQNPGLS YFSSMSDVNDGHHQQSTSSRAGPAEAREYGEEDAAAERWATFESQLRTVFQDVSSGQL ERASATLCSITSWMLSHVVELGLHQDNSALHEDRLRRWADLNNAWLALAFQQKELMSS GQQESGSQSLMSEDTVKKMGDELIRLCDGIERHGLVDYEYGVWEEQIEAVLEECLDLF DQRDGPA UV8b_03141 MASLSRGLCQLRCHRHLANPQWHHQVWRPAAISPCVRHLNTDAR TVDASIANSLAPVETVQTPYQGIQHARTVPATPSYFSREPQFNDSYIRIANLLNRYQH LPTVSPGEAPQVPWMKLEEMRAQMGEPIKALHYAKVLRVAKRLNLIEPTLRPAAVKLA LQEFTRDINPFSNLPRPVTVDKFGRAVGVGKRKASTARAFLVEGNGEVLVNGKPLNQA FGRVHDRESALWALTSTERLDKYNVWVLVEGGGTTGQAEAITLAVAKALLAHEPALKP ALRKAGCITRDPRTVERKKHGHVKARKMPAWVKR UV8b_03142 MAFGTLFTSADNSRSIAIKAVAKANNLDLKISEVEFGKPTAEHL KANGLGKIPTFVGEDGFTLSECIAVAIYVTSQNEKTTLLGKTKQDYASILRWMSFFNT EILNPLAAWFLPLVGRLPYNKKNVDDGAQATEKAINVVEEHLLHNTFLAGERITLADI FCAPFLNRGFQYFFDKQWRQQHPNVTRWYDTVVNQPIYTGVAQKPEYLEKPALTNVAP KKPEAPKKPEAAKEAAKPSAAAPAEEEEAAAPKPKHPLDLLPRATFPLDEYKRQFSNS ETPEALKYFWENVPFEEFSIWRVDYKYNDELTLTFMSNNLIGGFFARLEGSRKFIFGS ASVYGESNASVIQGAFVIRGQEHEPAFDVAPDWESYKFTKLDPNNADDRAFVENMWSW EKPVVVNGKEYTHACGKVFK UV8b_03143 MPPRTCFPTSLPARSSSSSSRGRVAQRTFCLSGPPPRQPASSRI YPSSPRPPPGMAGSAPANLAKTAGPHPRAIGRKAAPATARPGQERCRAPPSPASGGVP TPSPLYLARCLPPPATLSSPRRVLVILDLNGTLLYRPSRRHPSRFVERPHARPFLSYC LDTFHVAIWSSARPHNVHSMVRQFLTPEQRARCVVVWARDRLGLCAADYDARVQVYKR LSVVWASDAVRRSHPDAAAGGAWDQSNTVLVDDSREKGRSEPYNILPVPEFSGLGTEP VAVLPQVHDYLNRLCYQADVSRYMRRTPFQLDPNYTLPQGP UV8b_03144 MSSKRKLSSLGLQRRVRPRRQDSPAPEPPVDPEASSEAESAPDE RVGRGGGDEDDSELDDHRRHRSLSDSDQSDSDAPPKVNLSAVSFGALARAQESLPTSR RPKPAAQPPETLAPRTDEARRQHRAPASATPRPKRSSKHAPQEQTSKRPVSRLREIIP DTRRKPRDPRFDPLAGKLDETRTRKAYAFLDDYRDSEMADLRAQIKRAKNQPLVQEEL KRQLMSMESRKKARARKDEEERLLAEHRRKEKELVAQGKTPFYLKKSEQKRQLLVGRY EKMSKRQVDRAMERKRKKVVGKERKELDGLVARR UV8b_03145 MRFVALATDVAALLSASRSVAAKHVALRSRQIDAYRRTWEATAN RPETSQSEPPLARSGGKADEPPVQPAESPLKDTEVSSTAAHASTPESPDAQPEAPVAQ PKPSSGGLGAEDASAAYARASQKRQEALRAAAEQRHVTGDINVNIFHTSHGSTILGSL RKREGTAAKKGQAGGPAKEHPLRYWPHSPSPPPPPQVAPQAQTPEPAETKPILEQDDV VAHKITLPPAEEPAPHSDAPEADAEPRKADSEVAEPPQAVAQAAAPFNAATPAYTLRE SKVPASRLSRLWNYGGLAAGMLGGAVTEGVSRALGGGDGGQGSALLSGRNMERMVAKL SRMRGAALKLGQMLSFQDSKMLPGPIQEVLQRVQDRADYMPGWQRDKVLASNLGSDWR ALFGEFEEKPIAAASIGQVHQATLKSTGKKVAVKIQFPGVADSINSDLDNLGILLNAT KLLPKGLYLDKTIDNARLELGWECDYEREARCALRYRRLLRDEGAVFLAPDVHPEASG KQVLTMDFMDGASVTRVASFTQQQRDWIGTQIMRLCLREITEFKFMQTDPNWTNFLYD AGANKLVLLDFGASREYPDDFVRQYVRLLDAASRSDRPAVKHLSESLGYLTGHESRAM LDAHTQSVLTLAEPFMRSAPDVYDFEDQTITARVKALIPVMLRERLAPPPEETYSLHR KLSGAFLLCARLGSKVPCKELFAQSVGRSGYV UV8b_03146 MTHSPSAASTALIRKRSGTELMPAPPPVKKIKRPNKTLDEDSYT EALSKIISRDFFPGLLETQVQHEYLNALESKDAAWISSASRRLRHVMTPGRHARSASA KPLDDCGRTPTTFVGETPASAAPSTVSAQPRLDTNVSLSEFQEMYTSEDNESFYKLLD RQNQKRADKYAWLWAGNKLWSKMMIKQQQVCDKLAETRSLVDDGFKRDRLAIRDRDER PARPDAWNAAPKNALMFQPQGLDDGVVTMAQSAEDASRMAPKSVVYANTRRPQPHLPR KSPPRTMSAIRDAIAGKPRQSDLDSTAIGGDETPKVNGYTFVDDEDDEPEAKTPSTII NLGPGDTHNPFKVQEQRKRELLHERMVDRIAKSNKESARHGFTGKAPTAQVPKFSSSP RVSADLTPAAQRLWSQLATPKKKTTGSSFGQTTPMRPRGSLLKSVSRPGFKH UV8b_03147 MSQEAVHLKSKGNKAFSSGDYPSAVDYYSQAIKLNDKEPTFFTN RAQAYIKTEAYGYAIADAGKALDLDPNLVKAYYRRGLARAAILKPKEALNDFKECVRL DPNNKDARLKLEECKKIVRQLAFFAAIEVGDEPSAAEGLDLDSMVVEPDYDGVRLQDE MTQDFIDDMMERFKNGKKIHRKYVYQIILAVKSIVYEEATMVEMEIPDHVELTVCGDT HGQYFDLMELFRRNGAPNEKHWYLFNGDFVDRGSWSTEIALLLYAFKWLRPKGFFLNR GNHETDDMNRVYGFEGECKAKYNERVFKLFSESFSALPLATLIGNKYLVLHGGLFSDD SVTLDDIRQLNRHKQRQPGQSGLMMEMLWADPQEEPGRGPSKRGVGMQFGPDITRKFC QRNGLEAVIRSHEVRMDGYEVQHDGKCITVFSAPKYCDSTENRGAYINIKSDYKLRYE QFDAVPHPNIKPMAYAQNSLMSSLM UV8b_03148 MASDTEPDSKSPILAERGQESAPPWSYAHLELFADGPQDIVLDE ITVKLYCTDALTQFLGLTGQAISVDILKAQGSSCWLRLPPEDMSRFAAAITAYGGKRE GDTRYILRIKRPSDRLGVLVGRQSEDDLVRS UV8b_03149 MSNFVTPGQQRYLRACMVCSIVMTYSRFRDEGCPNCEEFLHLAG SQDQIESCTSQVFEGVITLANPAKSWVAKWQRLDGYVAGVYAIKVSGQLPDEIRSTLE EEYRIQYIPRDGTETEADA UV8b_03150 MPKAEVGSTKHLSNKLKSKGLQRLRWYCQVCEKQCRDANGFKMH TQAESHVRQMLIVGEDPKKFINQFSDDFLRDFVQLLRTGHGEKQVHINQFYQEYIANK EHIHMNATRWPSLTEFAKHLGREGICRVEETDKGIHVAWIDSSPEALRRQEALRRKEA QDQGDEQLERRIIKEQIRRAQAGAGVRVGEQRDGGEDQDRDRGLLKREAGDKVTLSFG AKKTKQEAEAEAEADSTADGPSTEVAPSADADSVSADQEGKARESRTEPRSSGLGSVS VKMGARPQAKNVFAQAKKNALASASHKAKIIQQPKKISEAERIMRQEMDKKRSRESAG FGFSMSSGKKQRSS UV8b_03151 MSERKVLQKYYPWDFDPRDIARRSGSKSGSTGPRIQAVRLMAPF SMKCTTCGEFIYKGRKFNARKETNLEQKYLGKIQIFFLYIKCTRCSAEIVFRTDPKNK DYAMVKGAVRNMEPWRNRVAEEETVDERLDRLEQEEAEAAGEEERNAMADLEAKNADA RREMAAADMLDEIRQRNARIHRSEKEETDYAHTIVRAEDEERARQEREDEEAAKRAFA EARAGADHHVGAHAVPDAGSEKGAWPSASEPAADGSRTLPPVPSFKRVVKKKKDHSAL LGIKKKPSLV UV8b_03152 MPSRQVRGSNHNHHNNHNNHNNHNNHNNQPFIARDNDNPPFKTL FFPSLPRIHQITSHLQHKTSHHANRPRYVHHLHPRLPAASSKPVRLLAVHARPHQATN GGQRSYHHPLKYKQHLVIEQCSDERNNPSRLPTMKFVGAGVLLCSSFENFCCAGCLPR RGFSDLHKPSGRPLGGNCITAAWGGDSEFVQMVLLSKT UV8b_03153 MNQGIDARRAFLDSLLYWSTFVARCIAQRLDSQRFHDFVPLVHA RHPLPAAVVAQLFLHPQPDNDVSLDPRIPPYIQVLSHLGYIDAPSLLRALYKFSSLHA HIKKSPSPSPSPSQHDPGREPGQDDGRGKEPRRWRGSSWAEEVMFYHVIKTMVEGTAL RDSRSALELCQAIAKWMELFTLASNALAADALHNSQAFHDMDVARAAFIPLLLRLVET PVFVQAISRPFARGFRKHLSNSLSGFMQTLQHAPFVDRLEIFRSDTLAKLDPVDKKKQ AAANAAMDELLETTMGVDNFVIPDLPISNTRAALYVFLNASLAGRPLIDDHVLLSYIH NRYQGQTQSGFIDLILASFDVLANAVFRNEASKDAHVLKSFLINKVPLLLCQLAAAHF DTNSSEFCITEALNQVDTSIFPTASLMFDETRNNNPYTESVREEFCTSCALHGLVQRE HVERILGETSMSYEPSLEKYAKDKLVQDCLADADKIQGLIRDVEKTDGNAGAVAQALV DVMRQLCQNKETMSLKMLCSQLAQKPQTLDILLLFERLPTILEPVCQLLDNWQYEEDQ GEYQPIYEEFGSILLLVLAFAYRYNLTAADIGISSPDSTVARILTRAHVSRERDELTD QEKGHVSGWIHGLFDSDSGGLGDDLMSSCPPQDFYLLVASIFQNIVVAYTYGYLSDDA LKGGVEYLVDTFLLPSLVPALRFLTDYLWVEQREQTAVIKILQLILLPSSISGEASTM LFSVRSLVAKPLEHSLRTYQRQDPKNQDIEPLLRALKDSLPQSRRTGGADHHELESWA SSSSSGLSGALRHTIQGLVQWAMHPTANSMPTSYTHRQLMAAVRIMGAKRTLGVLLEE TRIQSEAGSASVVFDVVGAMVCSPNVTNEGGGPPTTSQMLDSSGSVTWSAQRALTLRQ VLRSCAQRAGKLQEDDAVLAEIVIRLHRRVEGHMTVALPQPQAILEAPGMQLDLTGGG DPGLNPGLGDVDAMAAAGGVGGDGLAVDAVGLDATSERDLFGGLDTSMDMFGWDGMDL TGS UV8b_03154 MLPLYYLLPLAAAAAVSPPVYPSPRGEGRGSWASAYARARALVA QMTLEEKVNITRGYAGDNTCAGNTGSVPRLNWRGLCLMDAGNGVRATDMVSAWASGLH VGAGWDGGLAYERGLWMGREFRMKGVNVALGPSAGPLGRTPLGGRYWEGFSVDPYLSG RLNAETISGMQDAGVMANLKHFIANEQETYRRPYFGVEAASSNVDDKTLHEYYLWPFM DGVRAGAASVMCSYNRVNNTYACENSKLLNGVLKGELGFEGFVLLDWNAQHDLNSANA GLDMVMPLGGSWGQNLTEGVRNGTVAEDRVTDMATRILAAWYLVGQDHDFPPPGIGMK KLTEPHEPVDARDARSKPVLVQGAISGHVLVKNRDRALPLKRLKMISVYGYDAAVPEA KNTDALFQLGYMSSKEMGQAVLGKEYHFDQAARGGTIVSGGRAGSNAPAYISDPLSAI QQRAEKDDTWVNWDVGSSDPDVNGASQACLVFINAMATEGWDRAGLRDSFSDGLVVNV ASKCANTVVVVHAAGARLVDAWIEHPNVTAAVLAHLPGQESGRALASLLWGESNFSGK LPYTLARNESDYPVYEPCGRGRDNTTSPQCDYAEGVYLDYRAFDARNVTPRYEFGYGL SYTTFAYGDLSVRTEAPRGLLLRAGPSGSRSRSRSGSRHGVGADNSDDDDDDDDDDDD DLWRVAATVQAVVVNAGDVAGDEVAQLYVGIPNGPPRQLRGFQKVRLAPGQAARVRFA LTRRDLSEWHVGLQAWVVQRGEYGVFVGASSRDIRLEGVIVVE UV8b_03155 MPFPRARPALPARRFSSAPPSPPNNPTAHFYRTFGRPVAKALLL AVFAYQLGYWGWAKLEADERRGAADAEMADLEARVRAVAARKAAAGTGE UV8b_03156 MPSDRTAARPPSLLLLPPPPQPALAATVRAAFGAPLESVLSTLS HENKPAGAARTSTAAPPVLVVAVPSPVLTAPDASGARQRSVYWHQAQALLAQLYSLVA ALCAERGIASDVGAADPGAVDVRVVLVHHDGSACRPDVNAKGAAAALEPNNNNNNNNT PVADLAAFASSVHPWRTIFHASSEPGYGVLAAYLGHADGKHKLLQSQLVAVPGGISLS RAPGGSPDVAAAAAAAAPTRGYRTVCLGGTFDHLHPGHKLLLHAAVLLLDTGARARGG GGDGDDVDEAGRQCELVVGISGDELLAGKKYPEELQPWEERARAVVDFLSTLLALGRR RSGAAAQAPRRGELRAGFCDGDGGGGGVVVRCVAIRDVYGPTVTEEAIQALVVSGETR AGGRAVNERRRRQGWAALDVYEVDVLGGGVGVDGEDAGAGAGAAESFAGKISSTEIRR QKAQGRRAAACARSSGSGITITITIITITITITLPVRTPETPRTHNPPTSSGDRHARL UV8b_03157 MACVDGAAGSPKASSGLCPAPPRHAPPLANGRQDKLFTSHICRS WRVLSLPFLPHVNSPPPSLPQPGGTNDKLNFPSANLAIALSTRRDSPSLEPRSPARQN TDRRKRDRPPTLPIPKRTHTMAQTLEQRRRNAKFAKDQEARMGKPEDPAKKRVKETPK SPISPVWIGVLGFLVVGGLLFEALSRMLG UV8b_03158 MGLANKTETKGPKKAKKSKKAGKKGSEKKAKRSRQVTEEQLAMT KEERKALKKAKAIANKPIRDEKKRQRMLKRSKQLERKANQFLAEAKRIAQEYQKLTEA KKLTDAQNDKKEGQSDASSGTSISISSSSDTNGGTPSKQDVKKRKRESDAALFYIDTT GDPKKASGPKKSKTCTVEKSRKSDDASESESESTSDSDSGSDSESTSDSGSDSGSEPE SEDERVEQEKKKRAEKEKKTKKGKSSARKQESNEDSKAGNAAEQWNVGRLEGGADRQS KFMRLLGGKKSGGAATSTSTSTKSDSIQAEADIQRQFEAGMKAKNGGASQRRGLGA UV8b_03159 MQHSPHSYARHAHQEHARTHARTHAQTMAERLAQSLESARRACK AHQTCRSVGVQAPRPCVLLGLAMRRFLPCNLASHRRRHPLGHDGELSAARSPDVYGQS SRKHGRMPTRIAVMAHSVP UV8b_03160 MTRRGSLSSTSSPPSYKKHATSPSWRKPRAGPKDVDWTDVNDPE ERRRIQNRIAQRKFREKARENKEKAERELRNQEHAGNSYRIPSPTDFTLDTESSGLPW GSINWGVVISRGHEIQSQRSSGRGTYVGDEHYQAAQLTAHGGGLQQAWSYGSSSGEEA YYDEASYLYDPAGLRAYTGVMR UV8b_03161 MKLRFHTTATNAGSNISIMKLKLNRCHCGINFQNLTSVQAAAPV SLPALSDGLAMTKTSDVKMRGPFVPTLVCRLG UV8b_03162 MKASSLRASLSLLAPKPCLFSGAQTRFLHRTRPPHEIPQPRPFV PDVPTFLTLIGRGLSKHASKFPSWEALFSLTSPELRELGIEPPRNRRYLLQWMQRYRK GALGPGGDFEHVRDGKAILKVATPPASAVSDAKWVVNVPEASEEGGDGAAPAPASQSL VRPRGYAVRGLRSIAGPYATPLPGEAGAIVQVTEGMWEQRRGRKVDGGERRRAEIRFK RRSAERRAEREAEMMAKM UV8b_03163 MPKRAAAQRSLKEPTSAGLEVGVDDAVPREIVDCLARLASASRT QAQNDSGSNPKRRKIATEPFLPIPVARGRISFTRRLRDGDLGAFEASCVDAAQVLKFS VRQGVLFMSSRQRSAAALSCASFALRPNEAEEGAVRILEIAGARCKRDSPGDVWAGAV LTLACRDGRVSFDMTFSLHWNETPSPFLPMPKLQDRKATSRLIDYCWPSAEDHDEGAR SPMHFYEAAHVPPKNDEPLHILVPGLESSLFPYQNRTLQWMLTREGVQWSRPEERLQL LPQRLQSPSISSFRSVRDADGKTVFVSDVFQTVARDTELYDTAAEGVKGGILAEEMGL GKTLEVLGLILLHKRGVDIQCQTQPSGVKTDGDLATTGATLIVTPESLRQQWITEMAR HAPSLRVKHYQGCKKLRDGDEGSAIQELCGYDVVITTYSVLSAEVHFTVEPPERSRRY ERAYHRATSPLVKISWWRLCLDEAQMIENGYSQAASVARVLPRVNAWGITGTPVKDDV KDLFGLLLFLKYEPYCSSPQTWQALTASNKSLFQQIFNSIALRHTKALVRDEIQLPAQ KRFVVSMPFTAVEEQHYQSLFKQMAGECGLEVDGSPKADDWDPVEYEDVMRVWLNRLR QTTLHPEVGTYSRRLLGYSKARPMRTIDEVLTAMLEQSENAIRADERAYLQTRLNRGQ LYENGPRVKEALELWQDVRKETGRLVEDARANLKDSIREVGGEEAVRRAEDEERAREA PKSEDGAKSEEGGGDDDDDGDDFHDDDNNDDEPEEFGMKGKIGECRRRLRSALELHHR AVFFCANAYFQIRENKEMTRPGSEEFERLKQLEDGGYNEAKALRREILGESNRKAGQL MSRIARKASAQTFAEIPELCASPPAGGIESGRVADDLAVLYGELNEQANVIDEWREQV VRLLLRPLVDEDDGVETTGEELGDSAKMQDLLMVYVTTLRAAIADRQDAISGQTNELA RHETETSLRLAKAGEGPAPERMVEMLRHRAAVKPPRRAHLSMRAAIAEFRQLQTRLSR DASATVTTMTAASTTSCREAAEARIAADQLKATQALLNEQNKAALALESEIEAFKAAM NARLEYYRQLQAVSDSVLPAQGPKTDAAAAKLQQAEDELRKKLSSAEAKHRYLLNLKE AGSRSNEPRVCVICQTAFVTGVLTVCGHQFCKECMRLWFKAHHNCPICKRGLRPSNLH DIAIKPQQLQVRSDPSAGDRAGDRAGPGRAVSSPARTAIYSEFNADKLAEIKNVELDG PSFTTKVDTLVRHLLWLRESDPAAKSVVFSQYRDFLHVLRNAFRRFRIGHASVDDAHG IQTFKEDPATEVFLLHARAHASGLNLVNASHVFLCEPLLHTALELQAIARVDRIGQQH ETTVWLYLVSGTVEESIHNLSVRRRMHHMGGGGGGGGGGCCDLPDARFDAANTLELEH AALSKLMSKDRSAGEMVDRGDLWECLFGRAAEEPGEAARDDATVQEKAVMSFLAAEAA EQRAAA UV8b_03164 MLLASLLSLLVLQVFGAAAADAAPADDDDGTPPRQPRLAASVKT TFPDGDVLGVRLVNGRPTKALVEITNKEDGPVELAFLAGLLATTKQLPKDAPAYQSII RNLTAVKYNHEIEAGETKAFPFSFALDMQPQEVKLQIAAVFAKDGKMHQLKAHDGVAS IVEPPTSFLDPQIIFLYLVLSAAFVGTLYFVYKTWIEALFPQAKRSSKTSGGRKTKAV DADASLSGSESVGVSTGAKTYDESWIPEHHINRPVAKRLKSNPKKKGAE UV8b_03165 MFLSPSGPRLVASLAAALRLMAPYDLLSVPRGTLAAEPPLGSFS PSLSDFAVIEQDDSEGESPLYESVFNLFSPSILGRAGDTTPLELDKPLALNIQPGGTP ICYIVKSGSLGTNSSASSSPSRQKRKADGDGDGDLGGGGSDAGSQAPDAAVFISANTC LQPAPKADGTASSPSPPQLVLFVSNAKGAKCPDIASGAGDAHAAGFTSHEFTEGAVAV NVNGTNDVYIGVYAPKVSDGFEGSYGYELAASGTQFFHQYQNVSEGAKLLWMDSDSTS ALLVTTPLTEDAGKVRHMMGEDPPYQLYVSQQDWLATKGLSRSACGLQKNALIGANNQ GTGRNNALVKTTMTLRGSGGMPKQQFYVVGLNATTTYRGTIVRPANVTVNSKRLDGGG SQTPQNTGSIVFQATTFNTSAAPNCKVVSDLEFCDEIQYAVPGNDVKYNNTALAQVYD DYAKSMYEYFLKVMQQIACETDETSIYSLARTCDDCKRAYKRWLCIVSLPRCEDLQGG SSVSVIRNVNQAFPNGTTLPADLRQRLGQVPAQNASRNSFIDQTIQPGPYREFMPCDD LCYQVVRSCPAKIGFKCPRPGMHAFNVTYGRRKDGSSMPTCNFPGEARTRISDAGGVM PSLVFSAAMLLLSSSVLMM UV8b_03166 MSAQEKATNYITSLNNELSKYPAFDNLEKQTGLPKAYAVIAVGV LYFLFIIFNLGGQLLTNLAGFVIPGYYSLNALFTASKDDDTQWLTYWVVFSFFTVLES FFNIVYWFPFYFVFKFIFLLWLSLPAFRGAEIIFRSFLAPTLSRHFQQPASTSAGLRS KTGGVDKSD UV8b_03167 MEQDNLAARAPVKSRNRPLSRASTTSVHSATTQPTMDQSGFADS SAMYAAGQWISNDHAHGKDMGSAPQLSPEDMIMQAATHMQTANHDFAIDASMGVTMAH HHITYQHQQQPQPQPQPQPQSQSQNQPQPQAQHAIARHPLPADQYAAPNASFAEADGQ VLDRDENEDGDSMTGQAATAKPSTTRSSANNELEMRQLFTANRHRNLQEVATELHGNE RGPNSERTRQVFAMLWINSVCSKGKGSVPRGRVYANYASRCATERITVLNPASFGKLV RVLFPGLKTRRLGVRGESKYHYVNFSLVDDQPDLRDSQPPVPTPLPEVNSLTHALSSS VQTNGARKTSLPCHQDAGHYDKGPSRFKRSARCHSIYNMPDLASIDNIRTTSTKTELE LSFLSADNNILAQSDVLALPAIETYLPPGTDPDAAKSLSALYRSHCTSLVECIRYCRE KTFFHLYTSFQGTLTMPVQKLFAHAALAPWIEGCDYILYQRTMSIIAGLTLQVVPKPV LDTLRNISERLVPHIRESFQGQPQHVLAAKEAPAAIFSGLLDRALRVNLTAHAAANML SNPANRDQMYVDWITMIRARKVAECVPTRGMDDVVDILVSEMRDLLDPMNVPWEVECL TIYGDVTTRSGRSADDGGGSGSSGQNVLERWVSFLQSLPGKFSYASHADIVWCVERVG TAVMRDLTLAQGKSFGSWWVTKTWVDEMVCFLAEQGGFMKQKARKQQAKETSTNAEAA EKETTDRHSRGYNSGSDSLNLSNVSQTQPGRAPFPPPPSTNRASEGASGGDPHDDSGI GIRTPEEDFPMDKFTFAATENGGMIGGAELTAGAGL UV8b_03168 MDSPEIRGGRVLENSPTRPSLSRNRVVVVCSARSTGKKAEGTTS RLLAVYSRLKDVGLAYAIGSHDAQVALIEQAKGLIMDICNDHVFAAEALVKDASLRES LVRNIEAQCQELIEYIAAAKRFNLEINSRAKDRVISFGEKLACLYMTVLLQDVGVDAE YVDLCDALHHDAAALLDAGFYKSASAAFAKKLEACGDRVPVVTGFFGNVPGSLIDGDI GRGYTDLCAGLCAVGLHAEELQIWKEVDGIFTADPSKVPTARLLPSITPSEAAELTFY GSEVIHHLTMDQVIHAQPPIPIRIKNVKNPRGNGTIVVPDPVLSAGQQLHQTQPSGPQ PVKAPKRPTAVTIKDHISVINVHSNKRSISHGFFARVFSILNRYAISVDLISTSEVHV SLAIHSGSTQADNFANAKKSLAECGEVSVLSDMAILSLVGADMKNMIGVAGRMFSTLG EHRVNLEMISQGASEINISCVINARDATRAMNVLHTHLFTFLE UV8b_03169 MHRNKGVGASRGAGILSALQATAMVDTRSKLPAEIFHSLLDYLP VADQLRVAQTSRKLQEMVYDDTRWVSRLKSMNCWDEREARRRFEEAVRKRREEAQRAA SLPSGQANGAAAAAATTTTTTTTTATATGGQPQPQPQPQPQPQATTLFDASAQGQGQG QGQGQGHGRAHSELADGFETLAVGGGAAGTVAASSQGPRDSEAYLDVFKHVKSVRGGA RHEYGKIYGALALFYFDIVKAQTHADPIVFKAFRDPEQQAQMLANLVRFAKSDWSSGC DERESKLASMVGLFESAVLREFEQGYEFREVDGRMRRYAHVLHTLNGARGGVDLFIHK HPIFADADLARNPIADCLTTGGGGGGSADELSLEPSRRFFATLLAKVNEQAGVIERIF PDASGVFWDFVDKVREDIVMEYTTPLLDESHERGVGLYLKAVSGVFEQSMRFFQSLAP PRDAGQEDRRADEAASEAASKAKQLALRVFEPHLDLYLQEELDFFTRAAEAEVSRWEK KRSEEDSSLESFYMANVNRSADKSDFLSSFKKVVMMPVTVLPSFPMGSPFATAKPPAA PAAAAAAALAAPSRPGTPGVGGRASPMPGGKAPTDELAAKAALMASKLEGIKSLCSIE VALNLVHLAKTSLGRAAVLVPLGGQVGGEAREQCASIFVVLVRVLGQRHVGSGFDTAV KHLSQYNPRDMSDHRRHRRRRHHVDDDDNNDNDDDNDDAAQRLGVAPLVTFVELVNVG DLISQMIDVFYEQQLAAPGVADKNDFLDPAGLAKKKFEQMLDESVAAGLNKGIDALMH EVEYIASTTQLPTDYNPRAGGASSSPLPPEAAAAVSAVSAAAAAASPADSDVGPSQTA RRIVGLVSSHTSMLAGTTDKAMLDVFNGEVGLRLFAALCKHLKRQRVSTAGAVRLIAD MNLYADFVRTLRHPDLAAYFAALRELSQIYLVDQAHARDLASVIADGDRFGGVFRAEE VYEYAQRRADWYLIRKSVERAMYGMDCVLM UV8b_03170 MAVSPPVVAVAAVAASQASPLKNLASGPTSSHGTPKQPASSAAP ALPPTLDLAEQMNEEEKRKYAKGKKLGEGTYAVVFLGHLRAHPGTLVAIKKIKVQKEY TEGMAPDAVRELKHLQELRHPNIISLLSVFSSKDQNLNLVLEYLPLGDLEMLIRDTDR VRYGAADIKAWMGMLARAVWFCHENYVLHRDIKPNNLLIAADGEVKLADFGLARGFAD PHRNMTSNVITRWYRPPELLFGARHYSGAVDVWSVGAVFAELVLRAPFLPGSNELDQI KMICEAVGTPAEDNWPGVTRLPEYTVPGQFPVHGKDWYEMRFGAVGPDGVDLLMRTLA LDPRRRITARDMLRHGWWHAEPKPTPKQHLPRKSAEDRMAADLKRRPGVVEHEGGKVA RKLDFGGR UV8b_03171 MAMRGFLSYWLLPVFSSLVWLGMLLGMLLHWIVNTHRRRYPSMA ANASVAYISDIGAGELKPLFIAGSCVTVVTLDLAFFAERWLRHQGRLVPNQSAGEKIL VCLSIVFAVAGAAGLILLSVFDVLHHKNLHDGFLLLFIGGYMLSAVFTCWEYQRLGIK NRQHRILRSSFWVKLAFILVELVLAVVFASTSFTRNRNVAAVFEWVIAFIFTFYIASF IIDLRPAVRTRRPSARFDKPDKVEMGLRTYGDADADADARAYVNGQADGHGHAAAREY SAHGAGRNAQNF UV8b_03172 MAATKTPAQQAPPPQAPPSIQNNTGRIKYADPRSLPSFPSSGLA PDGAAASAAASLGWSNQKPVNLWKPDPSSSASAAAVLAKNYKMSPLWEPSAQSDGQKA ALLAVGSAGAALKSSTAHSGPSQGTWGNSAAVKAFHANRPSDGGSAGGRAQRNASAAQ ASDANQPRSPKKDERAKIPPGSKSLAAAKGAMNRPVSVTGLKDVQASEASAAASALNG ATLAHRQSIKQQTKPNLEDVGAVSVTTMTRNMFTANPPVKPEVDERTYNEKIHQSAVE MAKKMYARQQITAEQTKCSQSGNLDKAQPNQYLNLQDAAYKQAQARLAKLHDEYQQGR EMQEYYGKEQTSPKRRSSIINKLRRRGSDSDGSLDDRQQSERIREQMSMFSSKLSQVD KEKRDKDREALMAAAQRNVKARLHGMDEKVYQDTGRATSSSLMTDWEAKAHKAAQTLH VSHADKNKDKVDIGGGMFMTQEEINAIASKRIQPVLDDIKGKAETERERQEVLKLEEQ ARREEAEKQKLRDREAKEIVKKSKEQDKQEEKAKKLQGKLEEKQRKDDERAKKEGEKA AKAEQKRLSKELAKEDKRKSKLGPVLAVTVATSSLQEHQADNEGELHSNAHGDDQPRP TENVHFVGGERPTVETNIESAASAHQQGEVQSSPTAKVKGWIKTRFSRGKSFGEHDQK RRSFFGGASMKDHATAATGNGSVGSIENRSSSMHDVALAGKADEQNDKAEVNVKDEHG ESAQHFAATDSRGVSPVSTSQDDERELGEELQDEDLPQKKEEDRQSRKASMAPPRPIE DPVARTSSSPTRDSRFREEMDQ UV8b_03173 MAQLSLLQHVANFRDVGQTVNKYLGQRYLRQGLLYRSARLDDAS VQDQTRIRDQFGVKTVVDLRTKTELIKQADKYQPCAASHASSWFSPRPDIPRIDGIVY EDIRITGRPFEIHLLRQLSWWNLLKVVALFILGYRVDAVKVIATRVMLPRGLLGLGID TVDHSGAEICQALSVFSWPQSMPALVHCTQGKDRTGLIIILILMILDIPTDAIEHDYL LTDAALESDREERLAEIRQLGLTDDWAVTSPVMVSGLKGHLDLQYGGLENYLDGIGFD AAQRTNLRQLLLY UV8b_03174 MASPCSLSGEDAGEANAAAQKLATLEIVGEGDASAGLPPHRSHD PSKNQKRTDPFQFGSRYLAEGDDVFEFNAWDHVETDEAYKEHAERQYEMQRQSPVSDF DKNRFNGNPAKWWNQFYKNNTANFFKNRKWLHQEFPVLAELTKEDAGPKILLEIGAGA GNTAFPVLANNKNPKLKVHACDFSKTAVEVMRSHEAYDTNHVQADVWDVAADCLPPGV DEGTVDVVMMVFIFSALSPTEWAQAVRNVHRVLKPGGLVCFRDYGRGDLAQVRFRKGR YLEENFYIRGDGTRVYFFDKDQLAAIWAGEASGEASTQDGQKADVPQFEIENLGIDRR LLVNRAEKIKMYRCWLQGRFRKKEIDHMIP UV8b_03175 MGRSLLRPAASLSPAETLKLARRAPEILRKNPKALSSSPLSSLF SATETLDTWTIYENLMISCLRTGDDEAANECLARITLRFGDKHERVLALRGLLKEATA CGNSELQSILEEYDALLQENDANVPILKRRVALLRSMGRTADAIAALNSFLDACPTDP EAWAELADMYAAQGLYAQAIYALEEVLVLSPNAWNIQARLGEVSLMAASSGLEGGSPK HYAEAVKRFCRSIELCDDYLRGYYGLKLATDKLLENPQKLIRDAEGFPLPDQATVEKL NQVATTRLAEIVRRNQANEKLWQGYDAGEIAAARELLDSSSANVVR UV8b_03176 MVLRSRKGTDHGRAKEPTLARCLDWQAQPLLESTLEPVLRRPRA IIVTSTPASHYYYYHLRRYLAGDSKVRNRSGRVWYNLFLQIPPAPAEAPPVGFIRQPI NSSYQVLNQYGIGATVFTHSTAHRRSEPDAYTYSQTVKLKTWAAPRISAVTHLRPRTP SVRPPSLFFQSPLSLEGTASVYSIESGSFYLTSLWTILSACPAQQPLAFLQDPWSISH UV8b_03177 MRRHTTITIHRSGESSRSTSYHSEGESSHREADFSPAEDDDDWQ DYVDDEEEEEDEDDEEDLHPSDSASASNDAPPSPQRPTRTARHHRIARPPPQNYPPVP SRAPIPPPSVDPSEEYGHFGPGYQPPHHGPRSYYNGRGQHSPFQQQQPSHYMGGYPGG NQIVPYGGYAPNPFTPMSNSSNGASFFGGEPRHVYDMMPYQQPPPGFFPGPPQFGLPN QLQPYHLGAHPPPPPPTEAPAKAATPPAPKEPPPDLEKIRLEAKREAELEALRAQEEK ARAEKEQREREAQIRKEAEEAFQRRMEELRIAKEEAQKEIQLARAEAERTARERIEAE RKAEEERQKQHAEAIKRAEESARAKFEAEMKAAEARRIEAEETRIRAEEAVQKRFEAE RKAAEARRKEEEEARIRAEEAAQRRLEADMKAAEARRKEEEEVRIRAEEAAQRRLEAA IKAEAEAKAATEKKAAEEAERLKLAREEAKCKAEMETLKKIEKEKEAAKKAAEAEAAA KAEQEALKKRIEDETKAKLEEAAKQKEEAAKSVPQAPIKFKDALGRHFSFPFHLCATW QGMEDLIKQAFLNVGIIGAQVQQGQYDLIGPPGIILPAVWEKVVQPDWAITMTMWPTQ KPSSPVLPKMAPMPNQPNNKRPNVPMPPFPRGGGGGVPPPPWIPGSVRPPNIDIINAK PEPRHSKPSKHKSKNANVLSFLIGKPPKKKSTKK UV8b_03178 MPPRPAIRPRPRPRPCLSSLLPGASPTRRSFLSLPTHTATQTIT ATRTLPYAAQPLYKLIADVDSYSAFVPYCARSRVTEWSRPDDQGRQWPTLARLHVGWG GFNEVFTSRLRCVPGVSVEAVSGDPAAADAEAASAVFKSLVTRWHLKSVSRDPSSPMT QVHLTVMYQFVNPIYAALSAAVSDKVGGLMVEAFEKRASEELGQMPRV UV8b_03179 MVHQHSTYLVAANRASHHRPRAAQPVEACNMFEKSLYDLIRGLR NHKGNEKEYIQKSLKECRAEVRSQDMDVKATALLKLIYLEMIGYDMSWASFHVLEVMS SPKYHQKRVGYLGAVQSFRPDTEVLMLATNLLKKDLGSSSQTVISLPIATLPHVITPS LALSTLADLLPRLSHSHSSIRKKTLVTLYRLALVYPEALLAAWPKIKERLMDPEEDAS VTAAIVNVVCELGWRRPHDFLPLAPRLFELLVDGGNNWMAIKLIKLFAALTPLEPRLV RKLLPPLTNIIATTPAMSLLYECINGIIQGGILGSTDDTSDTDEIATLCVTKLRGMIM IDGDPNLKYVALLAFNKIVVTHPYLVAQQEDVILECIDSPDITIRMQVLGLVQGMVTA DNLVSVVSRLTKQLKSAQVATEKNRPGTPSPGPGSDSDSETQVAVAQSSRFENQLPPL PEDYRLDVIGRILFMCSKDDYANVLDFDWYIDVLTQLVRMAPIPRQQDYPSGMGASSR PAADMSERVGDELRNVAVKVRAMRSTAVRAGFDILTQLNADTPTGHRVTSGALTSLTW ILGEYAVLLHDQDGALNSLLQLVPRAARPEACTTSLLAIAKIFASISGDASQPWTAER KSRISLLMARILHVAEPLALHPNLEVQERAVELVELLKLTAEAAAGQPASTDEAPQDP PLLLTQAIPSLFTGWELNSVSRGAQRNVPLPEGLDLDEPIHRNLGRLLSSADAVTVDA DDESDEFEGYYNQRPAPAAMSSSSSAPAMRRLVNTAEEMADSYQQQPSEETYLDADIV ARRKAERLERNKDDPFYIASSVDVPRTSTPIHNILQSSNGPDLDIDSIPVMKLELDNV AGPAAGPAASRPQGKPKPKPKPKQRIIVASDETLGADGGSGGRPYDSENNSDGVAKPR AGRKKPKHSLLQVDSSHIGALSLEGARANGFDHEQQQREEAEMQQAIREVERLRLEMQ RANERIQVAQGVDAQGAVVKTKKKKKTTTTKKKKAAGAADNAPVGTEEAKPRKKKKKK EEEEEEEVVAQPAQALQASQGLDPVGTAAAADAVVLPKKKAGRKKMAEIEDV UV8b_03180 MPGFADSFWSGDYAAGLGILFGKLQQGVMENRQILTIARLRAEA EETYGQRLAEIVPSADKISGGFSRDDGATVRKAYDGMRTQMQDASRSHQRIAQGIRDL VVNPFSRWCDAHEARIQDSQDQLQGHIKAHDRQADAVRKLRSNYFNKCRLVEDLEEEN KLGFQDPETSPKQNQSAIPEIKVQPLKEEEEREYEELYEIGDDVYQPDQLKKILSQML STIKMGETKVAILGTYLNTSAGSDIVEYLQRSMGISTVSYAERIGQDLISNGLLRLIG NVGNTFANSSKMFYQWRPKAFKVAGLPEKKLNRTFSLPVTGSDGGFDSPVVGTVSEYL ANWNMLGNRDPNETPSMRLQREAREADEKYKAGVRKLDQLRCTLEEAIFLHLKFLERC ELDRLKAVKTVILDFSGAIGNVIPSLQSTVDKMVLFQETVQPAGDLRYLLENYRTGSF VPKVVVYENYYNKVDEQTFGIDLEARARADKKRVPIIVTTILTYLDHHYPDLEGDEAR RGVWLVDVPLGQVHQLRAMVNDGKPISPDVFDKFDVPTVAALLKLYLLELPDSIVSSH VYEIIRTIYTTSQPDPILVLQQTLGQLRLTNIATLDACMNHFTRLIDLTSADEEYMSS LASSLGPCILRPRTETSLTLEEKHATRLVRELFAHKDTIFNELKRQSTLNHSSSTKGG TRPRAISTDESNRKALVEERNRALVEKANASRSRATSPASGPRGHRRDRSSGGADRSS GGADTRFPIQTSPTSAERSRFSLGSMGSIESRIKRASLDVPGPDGGAVADTANGSPQR RGSINDGSERRDSGPRVGTKFVGGKRVPSSPPLDGTPARGVTLEDKPMDD UV8b_03181 MATDKNPVLPSWHEITTTKATPPDSDAPSVQPSSTKRLSPYRGV LYAILALVSLAALHGPLGTTNLGIPLHVCGKPMTVEQKARQILAHNPLIDGHIDLPIL LRALYHNRIDNDEWRQRFENGTMPGQVDLYRLSKGQSGGAFWSVFAPCPDKGDDFSDA NLAPIVQYTLDQIDVMDRVMEAFPHDFAPRVKSRDARAAFNSGKLISPLGVEGLHQIG NHVANLRRFHELGVRYATLTHNCHNKYADAALLESPLRKAEPKWNGVSPLGRELIHEM NRIGMIVDLSHVSEDTMFDVLGGRQDWPGSLAPIIFSHSSAYSICPHPRNVKDSILQL VRKRNSVVMVNVSPDFISCRAVGNPNGLPEEDPANATLDRVVEHILHIGNLIGFDHVG IGTDFDGIDSLPKGFDDVTKYPDLIAALLRAGVSDTDAAKIAGGNVLRVWHDVDAVSA RLKAESSPVMEDSIRGPAHD UV8b_03182 MVFILGVNFHEGKLVKKALESFYALGPTTSARILAKYSIHNLAK VGSLSPKTVTSLTAELSQMTIETDARRVVQDNIKRLKDIGSYRGRRHAMGLPVRGQRT RTQTATANKLNRVDRRS UV8b_03183 MLNLRRLVVSFALLVGVGLVLLSQTAEAAKGPRITSKVYFDIEI GGKPAGRIVMGLYGKTVPETAENFRALATGEKGYGYEGSMFHRVIKDFMIQGGDFTKG DGTGGKSIYGEKFKDENFKLKHTMKGLLSMANAGPDTNGSQFFITTVVTSWLDGRHVV FGQVLEGYSIVEMIENVKTLAGDKPEEAVKIVKSGELEVPPEGLEGSAGWADGVAPIE NDVDGAVKATEGWSFLQKIALFGFVVAAALFYIRLRRSSSKMEYDLNKPLA UV8b_03184 MKVLTLNFVTCAAKACKSSSDSYPLHPKDAELVQDEIDLNPDMI INVLPRLDWAALRTTSSELGFPDLPEQAPSAEQLRADEKMLKDLHHLLLETQMAEGKL VCASCGHEYHVKEGIANFLLPSHLV UV8b_03185 MIPQSLASALALSGAAFAFSDSSPWVLLSTSEMPRQPNANQLQT SSEVAKFTKDFLGGCPTDRYLIVTQPGLRATDLGQADGCSMPHLCRIVQDAHVKGQYS VAEVVGDVTGARYTEHIRAACSEKGKVASVIQVPLEPLSPDSRAQILGRNDDALSKEL DATTLGNSYTILLYAPPREPLYDSEFLQPLHLDMKRGVDDAPVPRANDTQRDTRPLFE KYQFFTPGIFMGIIVALVLLSILGAGIRGLASLEVSYGAFDKEMGPAAQKKQQ UV8b_03186 MFAKPRPKKSPLPPPNKKRKLASAIDEISFDDSARQEFLTGFHK RKQQRIKHAQEEAAKRARQEKLETRRKAREERRREVAEHVETVNKLLRESGAVTSQVG EDDSEEDVADQWNGFPDEPDLEIVDHEEEYVDEDRYTTVKIESVAVSRDGLCKPDQFE NEAEEEARRKREAAEKAEAEAKLQKSSRPKKKRKKFTYESKAERQLTDRKRKMKSR UV8b_03187 MERIKEKMNALQLKAEEASSQTEELKVKVKALEQENLAKEQEIT SLQHKNNLLESEVEKLETAIKDFKKAADEGQQHGTQNETLQRRLQLLEEEAEDADKTL RETNEKLRQTDVKAGHFERKVQALEQERDQWEQKYEEMAKKHTTLQKELEDLQQEIGN I UV8b_03188 MLAAHRDQENLVHGHHHAPSKQQPKTPGARYPKTPGNFGRNDEN APSIFAGKNAADTGVRMAGNGKSVLGKPTGQRQALVTPLENRNRAPLGNKTTNAKARP GHTVAVKDRVREIENTQIRQTSMQKPKQRPVDLQPQKLTMQPDDMTGTAGAPEPEYAP PRPTPLPYESDVLPAAGLTFNGLKRSNILKGYYQHFHNPLDENGVSRIEKKFNDEMKA LFKKAEDCNAEDIAAINWSPEDISEATESDLGQGHIRNKVEACSAANSALSVTQKQPS TLSARRAASALAVRAESQSRSLSRSFAAKPMARRPLSSAFSASQVAKPTGTKSPSVGN SIGETASRTTLGYNKGRSASSMMHSRSASQSNAHQNHTTRHAVSLDVEPELTITPARL RQAAASNTQAARPKFMSIFDDADDDDLLPLQKQFLPSDDEDDEFELKLTL UV8b_03189 MSFSQYFPTSPENQSDIICFGAGPALLPTDVLEDAAKALINYDG AGLGIAEHAHRSQIAVNIINEAKANLAAYLDIPDDYEILFMQGGGSGGSGRSQAAFWN NSLYNTLRLFDVYIAGQVPKTLLLQYPDKIEGQQAVSEKAQIIYRAVDAHPEIYSIIP DKTVRSRMNIFFRMKSGDAAEEAFLKEGNALGRIGLRGHRSLRGICASNDNAVPFEGA QKLASIIEAFASRSRTSAGE UV8b_03190 MTRPPPGVSFAQFFPNAPKVRAEAKGRADRERSSRNSIPGRDSN RDPASGAPDANAAALAGPSHCGILSDSPRPQSDDHDLPSGDILDTIGSASSHTSTASS VFSNSQRAITAAASSYISTSTTPLAPRDSSAYSPAPPCSKSSDMPPLAMIDHGLGKAH AATVSQRQDVPVSLNQHINRLPARDALPSIKGKKCTYDPILDRARNKGVSKSAKPVFE EFGLDDDAPPPDPRLQRPGGRLGYINTDYYLPKARLRHAPENLKPYPYDPKTSIGPGP PTQILVTRYNPLVPFNKVTAVFATFGEIAESSNKMHPDTGSYLGFATIRYRDAKHPGR PPVSAIDAARRAVRTRGIKIDADIVRVEYDPEGRKSRRMLEEHLKIEREKYNKETRAR EQAAAAKAPPTGPKSGPQAVPFVRPPPTAPKGPACPAQRLGPVGAVVAAPQPITVEQQ RLQGAIESRNLASVLSNDPYIFIASEDVPILPSIIPHMKKRLKNYAFEEIRLDRTGFY VVFRNSFSGKSEAERCYRAVNHTEFFNYDLAMQLCLPRPTRGSDANNGKRSPGPYRGR GRETERDEGTTTIRRRREESKRRHREEEADLEEEKKQRAKNFDPVFEAVEVVRREMTE HLIRHIRTQVAAPCLSDFLNPANHVAKRRKLNLDHADDEDDEDSINSLGARTPNSGTD PIERRTGRLEPKALPRIRKAKAKGHRSMFVDPFSRKRQPVVRSAFRSLHHRLKSLDSD FESDDDTDARALAVGDTEEPESRPRSRMSTDDEFGSWGRRDEDSMTESSLAFVDKLSS KKRKLEGSVETAFKRQKKSDEELFGVRVTPAGADVDTRGVSGETGADFDFAGDHGDSV PRSETPLLLAVKDAKKKAVKPKKKTKKQLLEEQEELKKKQEAEAGAEAEADAADEEEL EKAPLPDGVHGVKSPRTEAPPEKFNEALFSTEALTTALELPDDFTPDISSLHGLALGL RDKPDVAKLQKKFGVGDVGNPELWLWKRNRVRELNSEDNSTDFPVTIEGYYVPSATGC ARTEGVKKILNSEKSKYLPHHIKVQKAREEREARSKKNTKDGMGAASEAAKLAAEKIA KGNSRANRATNRRYVADLNDQKKSLGQDSEVFKFNQLKKRKKPVKFARSAIHNWGLYA MENINKDDMIIEYVGEEVRQQIAEIREKRYLKSGIGSSYLFRIDDDTVIDATKKGGIA RFINHSCMPNCTAKIIKVEGSKRIVIYALRDIVLNEELTYDYKFEREIGSLDRIPCLC NTAACKGFLN UV8b_03191 MPTQFQHPFQEGEYGIIAWYPKFQSCHRFFVEHAQHTEPVQAVA AWVNIRLPFQQTTDNVVGAAPTQNEWKSSAVAVNLRATLVPYIRRLVVTGFDNPATLY GFFGEDWTEGIGPIHEAERRNYLFAAKSETWLEVKRDYDMDDGQAVPFLRPLIGVTEN EIVNAEARWSDWLAMQDWMLGPRAPLGDNESRSPRDSRDG UV8b_03192 MPTSMGSVSQHVPSPNICGWVEGNAKYPLTCLPGQRCAKDVTNH LVGCCWTTTSGVCTLPTTCLDKESGFGDGWSNESASAMICAGSASPYCKTNVFGGDSN IEGYSLYVCDSDTRPETVYRFTTTSSAREDLPTLSPISSFSTSSTALEKTTGGQTSTL GTNTAIASSATSPATDSLPNMVTPPPPPPPPPSSLIQSSTSLAATTKSESPSFPASPT SIAGVVLGAVAAMAMMSACFYAVHHCIKGRKRRNHRVRESRVRTSHDPRTGNARHNQQ AIELVNRSRR UV8b_03193 MTFDINDTSRNNQLPSSTLEAKSSPLASPSTGPKPPISSIISTY DFEAVAYQTLSPKTWAFISSAAADLHTKERNRTAFADIALRPRALRDVSRVDTSVSML GQNLRLPLFCSPVAMAHLVHAQGEKDIGRACKASGVAQCISTSASYPLGEIVAAVEEH PSPRACDVPIFFQLYMDKNRNRSRELLQAAQRSGVKAIFLTIDAPVPGKRELDERTSP DVAISSPLSGATAGSDHKGSSWGRTMGGFIDASLSWGDIPWIRSCVPGLPIVLKGIQT SEDAVMAMEAGMDAIVISNHGGRSLDTAPASILVLLELARNVPQVFKAMEVYVDGGIR RGTDIFKALCLGARAVGVGRGPLYAANYGKEGVLRYFDILRDELETTMKMCGVTSLNQ LGPAYLNTLAVDHLIPRLRICHTTDKINSRL UV8b_03194 MASSEVDQKFLGRLAKAVEQDNPLLSSMLFKILGLSLNLAEQLI AAKRAKKPDPSTSTIYLKRVLHIIWLSREGLVMLQQYVIPMVGNYVELKVLAYKLRAS FHHIFVLFHNQPPVSDMASWTPEAREATFASVAQAEASRAEASEGDIISRSSSVQPTH PLEGGPVGPPPGFEAHVALLPPSFLLPEDDFLPTAHRHFAEAVKLADELLWGSHSLRL SVKTEYAAFLYECVHDADASRKLAKDTIAEVYDATEGMDDDMFHDACELVTVLGKMMK RGLASSNNTLLGKGPGSSQVLLPKETQTHPPGMENAI UV8b_03195 MIWYLLYPFRGTTEPPVLASSHCVRRVFARYGRYIACHVITAVL VSASVATILVYPIPYLFTRDFVNGASYLPHHVWTVAQPLAYDAAVEPDIFTRSVWVHS NYMGALNANLLSSALDLQNELLGETKNFNPRDALRNQIKSTHGGPLSLAERDALHISN GLTTRSWFFHSPLIYWQYSRDIVLADPDILSTINNKKNLSNFANTTLRHSVVFSGKRF EERRLAAADAIVITLFYLKDSPVGKEWEAKASSLPHKVADEFDVYPPDGQSATSQLYE FQFRPISAQDILSLTLAYGLALFYLLSSLSKLRAFKSKFGLIITIVTQIAFSIMSSFT ICAIFNFDLSRIPQAAYPLVVLSMSLENILRLINAIILTPLEDSTCNRIGDAFGQTAH TALASSMQNVLILVGLSRLVSPGVSAFCVFAAVAIIFDFFYLSTFFLSVLSVDVRRME LGDALSKATFRQSRLKHKASGSTAFRSDGFFQGKLSLSTRIVGTVIMIGFVVIAQWHF FGDHHLLHRLWQCAKNLNGAQGMRNPETSVFKDLHQARSHSSWIRNQDHETAKEVIRA IKPTSYSYVARVFEPLVFVKKNSDRVPHSKEPTLLPAAYDFLHHQQKGFILIIVAIIF AIRLLMNYLLWGEDAELADREDQAESPLISINSLGSGHVLDVAMLSKSKSGHIVSVSL DRVIRVWDVRGSGSSYVVADGNHVEQCPFPILSMAVDDTSTWLALQSSSRVAFWNMKD RQWSRPVYLDGSAQRPAALFFDPNMNLEKPTIGLVRQDGTLMELTAGEQTISNVSSIC SSPVLLAHSVVKTDDTQTLLFLVVLSKDGEVRIAKKQKNRWECRVMDLGARCSKSHQI LPLSTLGMFLFAASYRVHLIDADQARTITTFETEEMVPKSLQSSFLSHHTQKPGSAGL KTLTLSYSASETGDCVVQTYVPSDDHETIYLGKATGGTDEDDCGQEPVQVLKKRIKNP GTWQLLSDGRVVGIRQAECSGITKHHGSPGSPGSPELRRRHGTVKRQQYSFSMWEVWT SSPCGRPDMEECRNLFSQGDESRHLVVSGLGPKITVGLRSVAFAFGNVIKVVTVGGHE QYGAEGENKNYDSLINTSGRRRKAGPGSRSSALG UV8b_03196 MNADFAMATTTLRDLLSNYYELNSSAIEELDAEPSPLEFMRYVS RNTPFVIRGGASSWKATQKWDAAYLKSALAGQTVNVAITPHGNADAPTFSPKHGITVL SKPHEEPQMFDRFLGYVIRQEIEADFPENSEVRYAQTQNDNLRDEYACLFPDAQRDIP FARIALRKGPDAVNLWIGNSKSVTAVHKDNFENIFVQVVGRKHFVLLPPVSQPCMNEV LLPPATYKRDGVELSIRLDDDADLVPIATWDPEDPQVNATPLSQYAQPMKVTLNPGDM LYLPAMWYHKVSQSDVPNGEGFVAAINYWYDMDFSGPLYSLASLVRALSNGSTRN UV8b_03197 MAIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVLLDLCAAPGSWCQVAAEVMPVNSLIVGVDLAPIKPIPRVITFQSDITTEKCRATI RQHLKTWKADTVLHDGAPNVGTAWAQDSFNQAELALQSMKLATEFLIEGGTFVTKVFR SKDYNPLLWVLNQLFSKVEATKPPSSRNVSAEIFVVCRGFKAPKRLDPRFLDPRYVFA EITGASQNNEAKVYNPEVKKRKRDGYDEGDYLQYKEVLASEFIQSMDPIAILGSSNKL TFEQPINGDMALAALDKLPETTDEIRSCCRDLKVLGRKDFKLLLKWRLKVREIFGFTT KKDKAIVSEEVAEVEPMDEELRIQEELQNMKNKEAAKRKREKRRENEKKQREVIRMQL NMVAPMDIGMEESGPIGEGAMFSMKAVAKPDALQRLSRGKMVAVPNQAPIIHENRAED LNIDDGQSDEEEDRLERELDSMYADYRERKAEADAKYRAKKAKEEHDDDEWEGLSADE KAEQSDSSGLEEEDSSDEDGEHPTKSLLTDLDDSAPPTNGLSKRAAAFFSQDIFQAIT GNSVDYESIEMNPTGEVKDTVNDAESSKKSRNEPNNSNEKKRREGGDQKLVNLGENEK TGSKDDFEIVRHADEDDWEEEKRLPDGKLDIDIITAEAMTLAHQLATGQKTSHDMVDD GFNRHAFRDKDGLPEWFIDDETKHDKPHKPITKAAANAIKEKLRAFNARPIKKVREAK ARKKLKAAQKLEKLKKKSDMLANDEGMTEKEKATSIARLLSNAARKKPSQPAKLVVAR GLNRGVQGRPKGVKGRYRMVDPRMKKELRAQKRSAQKKKR UV8b_03198 MSGRTIAAGFRRATRRDSVHAVRQLHKIPTGGLLRADTSISAAR KRAWIDGNYFHNAVSVRNASFARFLPKLVVKFARIPAMFGGLAVAAFAWVQYQATQAG NYAIELFSTTRDAVTSTATSLFDTAKDVAAQTRQGWDSTTEKVETPEWLKKILRIHEE VGTGGPGGPGGDENPKHSRAGGAVAVGASAAAYAYGNSDEKDPRDEEEVVRDDQMMIL TRKMIEIRSILQKVGQSSSLTLPSIVVIGSQSSGKSSVLESIVGHEFLPKGSNMVTRR PIELTLVNVPGSEEEYGEFPDLGLRRISDFSSIQRTLTELNMAVSDTECVSDDPIHLT IYSPNVPDLSLIDLPGYIQVIGQNQPSQLKQKISELCDKYIQPPNVILAISAADVDLA NSAALRASRRVDPRGERTIGVITKMDLVDPSRGAAILNDKQYPLRLGYVGVVSKAPPT QSLFKLGPTNLMSAIAKHENSFFASHPQEFGPKAGVSVGTTRLRKKLMHVLEQTMSSS LQSTTDAIRQELEEATYEFKVQYNDRPLSAESYLAESLDAFKHSFKQFTDEFGRLQMR QLLKAELDQKVLDLLAARYWNKPITDLAVSPIEPDNIADLPKADPDSLYWHRQLDASS SALTKLGVGRLATTVVASALQSHVDQLLNKSNFATHPFARQTILDAASSILGERFYST SDQVENCIKPFKFEIDIEEREWTQGRQHIADVLKKELHDCESALSSLEPSFGGHRKMK EVMSFIDKARKGDVVIEGDGHSGAGGFSAALLVKGREALFLRDREDIIKMRLMAIKSK QCASVKNKYYCPEIFLDAAASKLASTAVLFLNVELLSEFYYNFPRELDLRLGRHLTES EVERFAKEDPKIRRHLEVIRRKELLELVLEKMDSLRQLEGKERERLVGGRRRDGEKQR AR UV8b_03199 MASENQAADNANAKAPNNPFNFQTQVISTSPVTSNIGQRRGHRY KHSSISAQHQIFQEPPQRPPPVLPASLPIPTISEAWKSMNKDQRKRLYWSLCHLAVAI FIFFKSEGSLSAMALSHLVFFDAGSAAVCVAVEVLGNFEVWKRSSIRHPFGLERAEVL AGFAMSIFLLFGGFDLVSHNLKHFLETLGNHEAHHEHGHDHVSAGSIDLVAAVAILST LTSAYGLRNHSRIAKVMRVSYLAALPSILSNPFHFLTLLFSAFIAALPLFSISLYTWL DRLICAAIAVAMFGVGIRVVIAQGLMLLLSYGGTNGNSGVSSVLREIESDSTIVRVDE SQFWQVHYGLCMANLKVRVPRGYDEVTIGRLRSRVSSLIQNRLGEGYGVGGSIRWEVT LQITSEGGS UV8b_03200 MPDELMTHDEAVSALLAFVFSGAIGSSEGKSAAYSLVEATSTTF SWWVALENMDGPAAPVNRYRIEIDRQSKRISPPRLIEITDAEMSDAILQATVTVHECP GVAYVVQLRHHGNVASMDALMTLISRTVDPQLLPVPPVFSIPGEKERQSTTGMGRQIT QLIPGDVAWTVYPLMPHDEKLIYLRRIALAFQACWGLPLPTPRLIGELVATTHVDGKV LLSVGPDRHHSLGGPFSSVRDYLKAHVRSALVRLEKQQGIDDYKERFLDRVRNFVDGS LHNIPAIVERMPIVAMHADMGPHNIIVSSSEHSDIKAIIDWEFVASAPFMALHRIIEM HFRQPAENGYGREYDRADELREAFWGAIPEWKVWNEDESTLVFLEWFRFGLFLRPEWR PNDLPVQEAEEFWRENIRVVEGILLKFAVGDVVVQLISSENHQVWFLDIQDPSNEVNH FGIAKAVDASATMMGTPAYAAPEVHACREQTTKIDIYGLGATVVECLVGFPEETEMGL GAEEPQQSIISDFWRLEEAFRQRRSQRVERNFQGGGGPGIPRTGRGGALTNTEIRSIY AALVATLADFHVHPDTSLQVVQAVGSAFAKRGSLRFSGQS UV8b_03201 MGRRDWKGLIKILSYENKGLGESFMRYLMRQAELQGKPIRSENA IRVHTRKLGGLYRKYNAHPPERSLMDHLRNVIRSEITRKWALRREPKIKPIMGPDFFM YHLYFLWVRDTSAFHIGLDRIDNACLRMFYMWTGCRKHELIYAKPTDLPAKVKEYDEE SDAYADVECSTDKYIKPRYIFIEEAIHALCPITHILAKALANGVIANEGYQTRAETFF TTKLNKRALKIRWKKEWLHKPVFRKTELRKSVEKKLEMEIRPATQRNALFSEEV UV8b_03202 MDDVANDVRPCDQPRPASGHPLHPANARLLSRIPFIKSPADAQT QQNVNQTRKSTPTADSSPPMSAATQQHKTRRRRGSLRKAALLGRGAQRERRDSKPLMI DTLHANAFEEGTTTSSSSMTAEHNALGLKVSDFAPRALPKNQSTPSSSNQSVRLLEKI VPPPSQIEPETIRQDSYNSTTDEEDVLQMHTNERLAAPNISASPGSDSYFASCAAGSR PRSFQQAKSPLSYSSSTNLPASNTAWDYSETEWWGWVVLTVTWFVFVVGMGSCLNVWS WAWDVGTTPYAPPELEDDPTLPIVGYYPALMILTGVMAWVWVVVAWVGMKYFRHAKIS GD UV8b_03203 MREVNFSIPNVNKASVGITTALYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKQGRSKDMMGMWKWNLAFQCVVNIGVRGTENV RTRVVEADMVPVIATILDNYIKIIEKCREKAEEASQKEGHEHNHRRHRGHDHRSSSKT SASFPSRSTRLEPDQRSFRRHGPPPSIDVSATYAGPSTTALSSVEPTNLSSRATTTTP DRPRATTGSHRRYSFRGTDSRSVGALSGGSSMQPLATAMLAVDSIDTFVRPARDSDRL ASLSLFNQIDNASQPTSPTSPLPPPQLQSSSVGHSPAANDAVVTAVAAAAAAAAAALD ATERSRRRPSIRHQNSTVETDDMNADSMPSDESPDADMTGNTEIQSTVGIQDISMEDG DSMLPGSSLELTTPTVSHTHQDTDAFNITHRTGMDGSLGNTANPAAAPPTGLSPNRPA IVTPPQPQVPSTTVPRYLLDRHFSPTPQMLAVMPREEDVLMSLQLLAYVSKYCGLRSY FQRSHLVPKLSLGTNLALLDGDDEAMDADDVDESDEEYLLPNDFNLFPLVEKFTVRYH STDMQYWAGVVMRNLCRKDDTRGGIRQCAYYQCGKWEEYTRQFAKCRRCRRTKYCSKE CQKSAWAFHRHWCVAASQ UV8b_03204 MVTKSKLRMAIAAERGVDFKILKQQKKHRETLKRKSSTPQNGRA PLTEDAPDEKTEQGEEDSQHIDYNHVNLGAVDETDSSDSEIEMEVKIERRSSKKPARD NNKNPSRKEVVDEDEDAVEDVDEEEEDDEEDVPLSDLEDLDDEEKEDLIPHTRLTINN TSALQSALDRISIPIDKTAKFATHQSVASSVKTADSIPDVSDDLQRELAFYSQCLEAA KEGRTRLLAEGVPFSRPKDYFAEMVKEDAHMEKVKAKLIEEASAKKAATDARKLRDLK KFGKQVQVAKLQERQKAKRETLEKIKTLKRKRQESGGDMTTNEADLFDVSVDNELAKH SQRAGPSGRGGQSNGPNTKRQKKNEKYGFGGKKRYAKSGDAASSGDLSAFNTKKMKAG GAKGKPKTTRPGKSRRKTKASR UV8b_03205 MAEPIRSKRPDLTAPTPQNTPATNAPISSHAQQPSVASIKEEDM DRVAAAAIFAQNPKLVQMIQGRLGSLIGRSSGYIESLPPVVRRRVAGLRAVQQEHSKL EDEFQKEVLELEKKYFAKFTPLYEKRSAIVNGKVEPTEEEVKAGDNEHVKLHSEDVSD VTEQKQVQVAEDVAGIPEFWLSAMKNQTTLAEMITDRDEAALKHLTDVRMEYLDKPGF RLIFEFAENEFFTNKIVTKTYFYQNESGYGGDFIYDHAEGDKIEWYPGRDLTVRVEAK KQRNKNTNQTRIVKKTVPTESFFNFFSPPQAPDDAVGDDEGLGDIEDRLELDYQLGED IKEKLIPRAIDWFTGEALAFEDEFDEDDLEGEDFDDDEDEDEDDISEDHDEEDESDEE DESGKPKQEAAECKQS UV8b_03206 MASTYDVGTRAWQPDAAEGWVASEMVSKDVQGTRAKLVFKLENG ETKAIEVSVEALQSGNDPSLPPLMNPTMLEASDDLTNLSHLNEPAVLQAIRLRYMQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGKQRATQAPHLFAIAEEAFMDMIRDNK NQTIVVSGESGAGKTVSAKYIMRYFATRESPEKPGARAKRGMESMSETEEQILATNPI MEAFGNAKTTRNDNSSRFGKYIEIMFDENTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGASDNQRLELNILPIEQFEYLNQGNCPTIDGVDDKAEFEATKKSLQTIGVS ESQQNDIFKLLAGLLHLGNVKITASRTDSVLAPTEPSLEKACTILGVDAPEFAKWIVK KQLITRGEKITSNLSQAQAIVVRDSVAKFVYSSLFDWLVEIINRSLATEEVLNRVSSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYLKEQIDWTFIEFS DNQPCIDLIEGKLGVLSLLDEESRLPMGSDEQFVTKLHHNFTADKQHRFFKKPRFGKS AFTVCHYAIDVTYESEGFIEKNRDTVPDEHMAVLRATTNSFLKQVLDAASAVREKDVA SASSNAVKPAAGRKIGVAVNRKPTLGGIFRSSLIELMNTINNTDVHYIRCIKPNEAKE AWQFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVHSDQWTSEIRNM ADAILTKALGTSTGKGLDKYQLGLTKIFFRAGMLAFLENLRTNRLNNCAILIQKNLRA KYYRRRYLDARISVVRSQSAARAFISRRHAQELRKIRAATTVQRIWRGQKELKKFLAI RKDMILFESAAKGYLRRKQIMETRVGNAALVIQRAWRSRRQLQSWRQYRKKITLIQSL WRGKRARRGYKKIREEARDLKQISYKLENKVVELTQSLGSMKEKNKNLAAQVENYESQ IKSWKNRHNALEARTKELQTEANQAGIAVARLQAMEDEMRKLQQAFDESTANIKRMQE EERELRESLRLSTTELESTKQTNNEREKDNVNLRQELEALRDALEVAKRSAPVNGELV NGNTAAISSATGLINLVASKKPKRRSAGAEPRDTDRFSGAYNPRPVSMAVTNTAHRQN LSGITYIPGVDNIEMELESLLADEDGLNEEVTMGLIRNLKIPSPNTTPPPSDKEVLFP SYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDEAINPGAFWLSNVHEML SFVFLAEDWYETQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLQKMIIPAI IESQSLPGFITNESNRFLGKLLQSNSAPAYSMDNLLSLLNSVFRAMKAFYLEDSIITQ TVTELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEHL MQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVAS RVTEKSDVLLLQAVDMDDSGPYEIAEPRAITALETYTPSWLQTPRLKRLAEIVSAQAL AQQEKLEYGDGEDFEGQNGSIPEAEEPLEAAK UV8b_03207 MRRSPNIIVTGTPGVGKTTHCESLAERTGLRHVSVNRIVKDKDC HEGWSDEYQSWIVDEDKLLDAIEEDVKSGGCLIDWHACDLFPRSWIDLVVVLRVDSFT LYDRLTARNYSEGKLQENLDSEIMDILLQEAREAFDEEIVIELSSNKSDEMESNLDRI ESWVKQWKLDNASTQGV UV8b_03208 MSLCLNFVTGNTNKLREVKAILEPGIEVRSRPLDIEEAQGTIEE VTKSKCRRAAELVKGPVLVEDTALCFNALAGLPGPYIKWFLADIGHEGLNNLLAAYAD KSAEAVCTFGYSEGPGHEPIIFQGRCPGRIVPARGPNHFGWDPIFEYESKTFAEMDGA QKNGISHRSRALEKLQTWFHNKV UV8b_03209 MMKKMFPIQPHFEASHLGKYAHESKMPDWLIQKLLRGGQVCTKD VIPALKQLAEKAESIKYAYFCHPGVHHISKLSREGAFCGYRNIQTLITYVIGTSMVGF EHFEGTLPSIFRIQDLIEAAWDLGYNSNGRVETGGIKGTRKYIGTPEAQALFNSLSIP CSVKAFRPMTNERAYESLIHTIENYFEQGILMEHDAKIRQTDLPPVYLQHQGHSLTVV GFEKSQRDGSCLYVFDPSSSRPDSVKKCIGKNLRLTSSKANSAIQPYRRGKAYLRKHK EFEVLFLRKTPPSDDNAV UV8b_03210 MPHKHKRKRGNEDIYDLPPSQNALPLPITSGRQADKRTPHQPNP ERQRKYPKNGNDAPRAFRRLMAYAQGRKTRPGLDDGEVVSIRKQTQEAVLEVPRIKPG EDLRSFSARVDAALPVTGLATKVKIVDGKDLLGLKVQRTRKERKMHKLYDQWRAEEQK IRAKREEQCELAAEREMDPDTTSLFSEAGFGDVDGSKKRRRLGKEQDDDPWLELIRKR GEAKIGLHDVAQAPPENLHKQTRKQLQVGGAVVDVDNVPKTAGSLHRREELRAARDNA VEAYKKIKQHEQARLNLKKKIN UV8b_03211 MASNEPPRFDESEDEEDFNPAPADISDEELVDNNNSPPSKAKSR HQTNNGREAHQSSGQADSRESYDEDNDDMTGRRRRAADYDDDQNGEDGEEVRDEEDGE DEDDDEDDEDEDIQQGHRRKRRRDRRNAFLDIEAEVDDEDEAEDEEKDGEEIEDFIDN EHPDDVAESARLDDDRRHRELDRRREMESSLDAEKQAEILRQRYGNRRPAKGFGDSAV VPKRLLLPSVDDPSIWAVKCKEGKEREVVLSIMKRIEERIGTKDELAITAAFERGGVQ SVMKGFIYVEAQRQTDILVALDGMLNVYPRSRMTLVDIKDMPELLRITKTPTLEPGAW VRLRRPAKHSGDLAQVIDVTENGLEARVRFIPRLDYGVRDDALSSIGSDGKRKRPGAA GPRPPQRLFSEIEARKRHPRYIQGNPSTNTWSYMGDEFENGFQVKDVKIQQLVVTDVN PSLEEVTRFASGAEDGTENLDLKALAASLKDSNTLVTYLPGDIIEVYSGEQKGVVGKA TNVQGDIVTMSVTEGDLQGQTIEVPTKGLRKRFKIGDHVKVIGGSKFRDEVGTVVKIA EDRVTLLTDQTNTEVTVFSKDLREASDIGGQGSLGQFSLHDLVQLDPTTVGCIVKIDR ESLVVLDQYGDTRQVMPSQISNKLPKRKQAVAADRDGSEIRLDDVVKEFTGQHRQGKI IHIYRSYVFLHTNDSNENAGVFVTKASMVNTIAAKGGRVNAAATGPDLSSMNPALKIH KNGFENKPAQPVKMFGRDRAINQTAIIKKGPYKGLLGIVKDTTDSHARVELHTKGKTI TVPRDSLSFKDKTTGATIDINGRGRGAAGGSGRGGGERVPAWQGGSRTPTGAGGFDRV PAWGSRTPAVGGRTPAWKAQDYSGSRTPAWADGSRTVNPYDGSRTAYGSGSRTPAWQS GARTPAAGDAFVAGSRTPAYASGGSDSWVSGSKTPAWGASAPTPGAHGNESWGYTPGA NTGAYDAPTPGAATGAPTPGAMNAPTPGAYSAPTPAANAPTPGGGWQGGWGVDSAPTP AAAPTPGATSYYEAPTPAAYTGAPETPAANGPRYGDDE UV8b_03212 MLFKTYTKPRIPRYYRKLTSNCAAYAEAWQNGKSSKFNMNYDWI LDGGYLPHAIIRFGIRQQLRHRLTTIASKSASVAYDTKMSFIERLRTRPMAIETDAAN KQHYEVGTGVFAACLGPRMKYSCCLYPQGDETLAQAETAMLRSYIDKADLKDGMSILD LGCGWGSGALYFAEMLPGSEITAFSNSRTQKRHIETEAARRNLSNIKVITGDVVDYEF ESEMYDRIVSIELFEHMKNYELLMAKVSRALRPQGKLFVHIFAHLTTPYDYEDGWMTT HFFTGGTMPSADLLLYFQKDLRIKKQWWVNGLHYSKTCEDWLSSMTANKARMWPHLIE TYGEKDAATWYYRWHIFYMACSELFAYNGGDTWGVSHYLFEKQASA UV8b_03213 MPRINTALKHVDIHNSWLRQAYQQGLFDLAYIPTNNIVADGLTK ALIGQRFTTFRNQLGLVDIEDLVRNTEDDESDTD UV8b_03214 MICYGDLGKHFTEMDDDRSGRRTHEDGARSSDGPVTMYRLNSNQ SIPSVFEDVEMAHDELFSGPVGESLPTSVSAFSHRRARTDSTASFTYYEEPEPSPQAE DEQDMFAGLHEAFVRRSVSEIGDLEFGVEDDSVDNEYSVTPGDYMLRRCSSTISKSSV HARLLRRDSMATVTSAPLNGRSSQKIYMANEDLTIVVAGFRTSAIGFLLYISLCLLSA GLAYLLFRWLPRWYITIVGRSCPLKDCQWAVVENQWSELVIIQVKIQEYGRPVSSVFG VPEKPYLYGLDDENDPLVDHLRSLDYRYIRLYFHPTKDKFVMSSGWKDPEWTDARIVR AGLSSDEKFAREVVFGSNLIDIEQKTVAQLMVEEVLHPFYIFQIASLVLWSLDSYYYY AVCIFTMSVASITATLIETRATMKRLREISRFECDVRVLRNGFWKSVSSTDLVPGDIY ELSDPNLLQFPSDSLLLTGDCIVNESMLTGESVPVSKLPATDDTFRMMDLAASSVAPE IARHFLYCGTKIIRTRRPQEDQDGDAVALALVVRTAFNTTKGALVRSMLFPKPSGFKF YRDSFRYISIMALIAALGFIASFVNFLRMELAWHLIITRALDLITIVVPPALPATLTI GTSFALSRLKKKQIFCISPQRVNVGGKLDIMCFDKTGTLTEDGLDVLGLRIVSETDNT FTDLLSRAPLSGSKRVETGNKGAMKAALYTMATCHSLRAVDGELVGDPLDKKMFEFTG WSFEEGSQGAGEGESNDQRGLTPSVARPPNNVVELGILKSFEFLSQLRRASVITRQFG QKNGDIFVKGAPEAMKEICRPDSFPHDYDELLSYYTHKGYRVIGCATKHLPKLSWVKA QKMNRADVESNLDFIGFVIFENKLKPTTTGVLKELLKANIASVMVTGDNILTAISVAR ECGLLDRQAHCFVPRFSRGDARDPLAELQWESIDNSQMCLDNSTLLPRPAPPEEDVSL PYDISNLRNYSLAVSGEAFRWIVDYASPEILRRILVQGKVFARMSPDEKHELVEKLQS IDYCCGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRVFDVRCVIEVIREGRAA LVTSFSCFKYMSLYSAIQFTSVSFLYAKASNLGDFQFLFIDMLLILPIAVFMGWAGPA PFLCRKRPTADLISRKVLTPLLGLMALCVFIQVIALLTVREQSWYKSPKVGHDEPNIR NSENTALFMSSCFEYIFSGIALNAGPPFRENNMNNWPFTITVAVALLVTVYMIFWPAQ WVQNLMELSHIDLNFKLFLMLLGVVYFILAWTCEKYFAIPIAVTVGHVKRRITGKAKK RKQYKEIRERMWDL UV8b_03215 MPDVRTRAVDRRGTTPSNRKVRFTYSQSRRIVNESQTTDVFESA GRGIEDGLDPVLSEPLAMPIPPTDAFVLDESDAQENNAQPAIKSVHELRRAGAKNRVA QGVGKEDDLICGFALVSALLSFLTSSPAPNLLRQLACDGIGKLLAILLRVGDDIVTVA SQKSLNISCVSRSSVGAVKAILQGLPIWHGYRPAVISPRTVSLQLMALLSRSVDAGLL DQILLDSQEDIISVATWASEEGSYSEVDYALTVFTLETQSSAGVAPRLNGDGGHPARI ARLLSRAFLQWPSGRAELDSAILKLALNTTNTEVEAAAFGDPELSTRLACSIGDLFTD VQGAIVAGKLKSEKYDELLLMLGVMINIMEYCPSARSMVDAEAIDDLIRLWQENQQFV GEADSVEKSKLSVVIGYLSLLLGYMCLSDQVRKQVEHYLGPGGLQTLRGSIQQFATMY KAVDSKAYSLDTLLDELWQLG UV8b_03216 MPAAPKQRKVAIVGSRSVGKSSLAVQFVDGHFVDSYYPTIENTF SKTIRYRSQDYATEIVDTAGQDEYSILNSKHFIGIHGYMLVYSVSSLPSFEMIQVIRE KILNHLGSESVPIVIVGNKSDLRPEQRQVSPEEGRKLSEKFQCGWTEASARYNENVGR AFELLIAQIQKSQNPGEVPEKSNCALM UV8b_03217 MLDVLDFIAERGGNPERIRESQRRRYANPDIVDEIISLFDDHRK TQYNATQINGKINDIQKQIGARKKAKETADELLKEKIDLEKKKKALVESALEKDAALK TKIKTVGNYVHESVPVSNNEENNVVERIWAPEGTTVEKRDNILSHHEVLLRLDGYDPD RGVKVVGHRGYFLHRWGVFLNQALINYGLEFLSNRGYSALQTPQFMLKDYMAKTAQLE QFDEELYKVIDGEAKNDKYLIATSEQPISAFHADEWLIPKQLPIKYAGYSTCYRREAG SHGRDAWGIYRVHQFEKIEQFLLTDPEKSWEAFNDMIAISEEFYKSLQIPYRIVSIVS GALNNAASKKFDLEAWFPFQGEYKELVSCSNCTDYQSRALEIRFGTKTQTDVKKKYVH CLNSTLCATSRTMCCILENYQTEEGLRVPDPLRKYLPGSPDFIPFAKELPKEGTTSMK SLPTRSKVSK UV8b_03218 MRGNWFYPPPGTHLYFFFFLLLFTSSALLLFLKEFRGHNKSTIM PHRIPRLPRAKPSEVLCIGAAGVGVLTPLYLIMPGAEERLARQTAIWAPRWERNITYF TPPVERVVQRIEPPISRAIQRVDDRLPLEKVAKKVDSSIRNGIAKFGGPKP UV8b_03219 MFRRRLRGFPSEQRIYLNRVSLIQSELLQHSTRSFASARTTSSS SEITSRRRHPAGEADRDIKYRNSLKVKPLRRETETEIELDKSKDEQKDYQKRYDRAAR KWMLNMIALPIFLVTGYCLFERLIMGHAPKTSPRITDNQTNASVRKRLGNADYAVCRS CLGHSTLGG UV8b_03220 MAWDLEGLISHLLMVVACAGEEGCSINELINEIRHLSDFSGSFT CKAGEPLSDHAIATIWHWLVAQGNLSVGPNRKYNGVPLHEFILLAGAMSHEVPVGNER NCFPSDQIESNSISIKSSSQRNSSAIRVYASEDLMWESITGHAVNYKRLPRSEWLLLL GISSTRSQGILQGDLGRLVEQDKRSVPKRTDSLVKKGYVVKRTTLVRGTKTSKLWLKS FAPSLPKEGDESDTSRLAEINLSYQTLAANLDQVPWHTRWTGESMDFYALATTIMAVT KEWRVIRLQDLKAKLGVLGMRWQMKIVSKICRFLNSCGAIQYVAAKLDNKIFKDCIKY NRDLNAKDWSTFLATGKRTSKPIKTVLLGRSDSNDGTVEFHDAGSRTKRSLLQAKGLS PEEDKISQERPPWREQYQALLLALDVDVDEVEVEVEVEVKKEQYPTMTTLSPDHGDVI IVEGAGKTTLD UV8b_03221 MRETAGEVQHHHDRVQKLSRDLHQNRDHSLIGNAKLDPEPKAKV PRRKPKQADERLRNLIEKRLKREHGVLLGELQVGGRGRRLLANEVALLHAPVYVAQIA AKKERGSDTRDRAKRSKQSEARDGVEEIASFPSKRRKVIQSQAPAALHEKLHSETQEV APALKILFLSPNTFLEEEPDIDYLISVRSPSPQTASYETIAESQAWAESLEAEEYLNT VTVLTDEDGIWPWLDTQFFECHDGSFTVRGWMPAPQWFQWESFSQMVDRRHAQLHTEK ANNDDALASSEQFFDCRIRACLESEMAWGSTFTNTPFASAGPHHIFLRFCSGPIESEA LHLCEISWPPEEQHTPTSYGRALLGTGQDLPATPENGKLDTGIASHASSTLRIKRVAL ATRSLTSLEGLQCNSVNRDDIEFENYPMDIPDKLLAAFIAVRTLLGGADKTIDWGLLM IIFPDADLMQLRRFWVDTRKRQGPCIANFTRAFQERVVTAFKHDELPMIDFNNPQDYD WDNLVRWTMGIPRLEGFQIPASRKLLDRHFSLEDVKGPTDDWRERFFHVQASVFTRFE AVTSTPGAFPVDRDLRKLQRSFKITKLDIARSWIKSLCSMSIGKYSVEDIRDKFFTLL PGNKRKTSELFKEAIELLAKQRVICRSKKPPLGGRPYRLSEGYMSALSKMAQSSKYRD AAAFKMRLDATFRLGKKLTVPYTLNDGAMMALTNLNAAGRIRLMPTNLPNIPLGFEPG NYESRKYPKSYYHFGIEAMPTDVYLYNEQIHVLKAVVDAGPPSDSQDGELPQWVDIFS KPNKARWRDILGAVCFAMATRGSMDIEGICSALCPILDDFEASLIVTWGKKTGVLTDL PNGTGSAVGEWWWLVVPWL UV8b_03222 MAAAKNLTSQLSSKSPTIRRILREAAEISSSPSADYAAEPLESD FFEWHFTLRGPPNSVYGEGLYHGRIVLPSTYPLRPPSFRFMTPNGRFEANREICLSIS GHHEETWQPAWGIRTAIVALRSFMETDARGQLGGLDTTDEVRRRLARESRDFRCSTCG RSNLEIITLSEQQVSQLAVPSGKDIQVPTELSMAWKDEMITKRPVGDGHSLPSDRLDN GSQDGDSDAAETTEVFAQTSANPQTRGKSQESSTHGNEPSSSTSPRHDEGHAPTTSRV TEAAASEQALTRRPPRTLQPHDEGVPLWVDRAIVALVIVLIALLLKVLVGF UV8b_03223 MTPSVDIKGTAVPQRTVSHSWDPNNLSTSPTATFASPPSGWRGA LTQRGAPKQLKPFKTQDFRILLLENVNATGQDILRGQGYQVEALKTSLPEDQLIEKIR DVQVIGIRSKTKLNERVLREAKNLLVVGCFCIGTNQVDLDYAARHGIAVFNSPFANSR SVAELVIAEIISLARQLGDRSSEMHRGTWNKVSAKCWEIRGKTLGIVGYGHIGSQLSV LAEAMGMSVIYYDVVALMALGTSRQVSSLESLLEEADFVTLHVPDLPETRGMISTAQF DRMKHGSYLINASRGTVVDIPALVKAMRSGRIAGAALDVYPNEPAANGDYFTNSLNQW AEDLRSLTNIILTPHIGGSTEEAQRAIGIEVADSLVRYINQGITLGSVNLPEAQLRSL TLDEPNHARVIYIHHNVPGVLRRVNEILGDHNVDKQISDSKGDVAYLMADISNVRYEE IKDITESLESLNSCIMTRVLY UV8b_03224 MAATQQDHLNTGGRVAWLASLDTSYSPEHNYRRSSIICTIGPKT NSVEAINKLRDAGLNVVRMNFSHGSYDYHQSVIDNTRKAVECHNGRPVAIALDTKGPE IRTGNTANDEDIPIAAGEVMNFTTDEKFATSCNTKNMYVDYKNITKVIEPGRVIYVDD GVLAFDVLSIKDDKTIEVRARNNGFISSRKGVNLPNTDVDLPALSEKDKADLRFGVKN NVDMVFASFIRRAQDIKDIRAVLGEEGKQIQIIAKIENRQGLNNFREILEETDGVMVA RGDLGIEIPAAEVFAAQKKLIAMCNIAGKPVICATQMLESMIKNPRPTRAEISDVGNA VTDGADCVMLSGETAKGSYPCEAVQEMHEACLKAENSIPYVSQFEEMCNLAQRPVKIA ESCAMAAVRASLDLAAGGIIVLSTSGESARLLSKYRPVCPIFMVTRNATTSRFSHLFR GVYPFLFPEAKPDFNQVNWQEDVDKRIKWAVNKALELNVLTAGDTVVVVQGWKGGMGN TNTLRIVRADPDHLGIGQA UV8b_03225 MSQPQQLPHPRGQISSGSYGGHGGQQDELYMPSMTSSPHRQIAS HRDYAPQIKLDHPPMSANPTQSQHHHLQQPTGSGVPNVLQPGGLSSRPSVMSANTAPT VPTIHGAMQPLISGTSTQQNRHGRQSPAFHDYQTPTKPSLPMSHSHTYSRSSPVAGYD APANYHAYTPTTPGGSSSQLTAPADASKYSAPTLQRTFSTTPLGLADIRPRADSSISD GGPGGHGQDLANTQPGPSNYLAPWAVYAFDWCKWPPQGNGAGKLALGSYLEDGHNFIQ ILDSQAVATAQDVFPSSTSKCSLEFTKIAEATHSYPVTRLLWEPPSSQKQSTDLLATS GDHLRLWSLPSETPATPGNNITGGGRDASFVTKLTPLALLSNSKTPDHTAPLTSLDWN TVSPSLIITSSIDTTCTIWDIPSLTAKTQLIAHDKEVYDVRFCANSVDVFVSCGQDGS VRMFDLRSLEHSTIIYEPTGKEERDGSGRSSPTHSQQAVGSPPPLLRLATSPHDTHLL ATFAQDSSTIRILDVRQPGQALLELKGHTGPINCVEWSPSRRGTLASGGDDCQVLIWD LINSNSSGGHPLNGTPQHENHRNPVASWECDYEVGNLSWVPHLQNADYGEWLGVSAGR GIWGTRLI UV8b_03226 MAHTSPSLVHRFNDVAGRSSRLSLSTSPAQPPAEFQLQQLEPRP ISLQRCSIESPRSFQLPFAPVATQTGGSPEPERKERENLDDSYPRRKPKILFHGPPPP IAESVMAMESTSNPSSYKHAWNQEGSGLMRIMGRSISQVIFDRPQRRNKPYNHRADSI WRSLQRREQTLELDIQQLLDFQAEGLVAGSQPEAKASSDIHGAYSDTGSSTPTGTFYS TVTSRSQMLKSLYMPPQSTSNGDLIPVRQPAEGRPPGLRAARSGLRKAMESFVELRRE ERKHIMRAMEERRAALHQLESLSTRRFHIQSELEAFDVDGEEPLGRELRELKAKYASV DKDIKALEDKLVGLRNQRRWLEAKMKDVEGKREAGLSGYRGALRVVDSEVSLLVRQPP VLPLDQGLHDQSFDTMNESQSRGGEFLSLVPEHRTPDMAKSWWEAEMATLKRRIERVD ADQKALEEGIAVWNGIVAIISRFENEFRDFMRADRASPLSAMSIKGKENVTAEVEVIQ KQLTDMRNILIDLEHAMNLAESNGWNLLICAIGAELEAFREAHHLLMAILPQSTQERE RTDVVLSNEKQNACSIGRQASTSDGSDNDVPLDLLMSHLNNTHCHSRGDEVSVEESRG DETRRDRAQSQDSENEVPLEFLAEHE UV8b_03227 MVVGRLTHYAFDAVLISAFLAGMRRSTGLTPSFKPEKVAGDNKE VSRWIDKYLGVGEWVLDQSVAIAGSSGFFERRR UV8b_03228 MSLIPYHPREGREIVLRHRNAVVVRDRESQRLEIRGSECPTCHQ PLGSASSPDRSFERSYDLNHEFVDPEYFRMLRAGNHGFPQGSAPPSPARRLVEPALVG NEELHPSPPSGSANGPEGSGFIANVHDVPKPERSRIRREAFSPNYLKTFFVEERILGK GGKGVVLLLRHEIDGYPLGHFACKRVPVGDDHSWLEKVLVEVELLAKLSHPNLVSYRH VWLEDVRLTRFGPSVACAFILQQYCNSGDLHQYVVGGAPKEVSKEELKAQMIRRSRGH AETAHESTSARPQLSFEEIYSLFKDITSGVAYLHGANYIHRDLKPSNCLLHREGGKVI CLISDFGEVQPENVIRKSTGSTGTISYCAPEVLRMDKSGQYGNFTTKSDIFSLGMILY FMCFGRLPYQGANAIQEELEDMDQLRAEITDWQGFQDERQERPDLPSKLYKLLKKLLA LDSAERPSANEVLLAMRSETNFDNITKGDWTTNSSPSLRGNRIQNLDAPLPSGTPVSD AQKDKIRGRQARIADQSGTVSHFLDMERSSPDVTPSSPEDTRLSHEWHNESFGVDQQT ERHGPGRLHESTSEATSSIEQHVPRLLMAPPRSRRDELLDQLKILTQNTIFRLGVNIS TSEFILRALIFIFKLVTLTRPCWPYMVTLEVGLPLVVIAAMELGWSSSDPEPGRHQTH RNCRSSFGSRRDRLNIGIKAILLSFHLSALWVARRWDALCIGIPQEEWAQWREQPRFG ST UV8b_03229 MELCKMSLCRILCLIALYAQCQSLNFDDSLGTVVAPQVVIVSMW HPEAHIWHTRFNESNLGNLTATFVSVPGLSMLYPRVFCTKTGHVCQLTLGEGEINSAA SMMAFLMSAEFDLRSAYFLFAGIAGVNPRHGTIGSVALARYAVQVALQYEIDPRSLPQ EWPTGYISFGRDYPFEYPAVAYGTEVFEVNDKLRDAAFSLASKATLTDLKEPQEYRSK YSGSVYQHAMAAKAPSVVRCDIATSDVYYSGTKLALAFENTTKIWTNGTGIYCMSAQE DNAALEVLVRGAVEGLVDFARVIIMRTGSNFDRPPPHMSDWEHLTRTDQNGFQIAIDN IYNAGVEIVKGIISGWNCTYRRGIKPTGYIGDIFGSLGGEPDFGFGSLSQGKRVEGAG LNLGLATVELNRRQYFGSRAMVR UV8b_03230 MRGAGLPGKQTAAFVKKRRGPMLIRLKARSQNQQIGCHDAVEIG VAFSFHLSEFLARRFETTHVTLTIATARLYK UV8b_03231 MDTFVVEPIQSRDHHGPSSDQNASSLADELREASGAPSNNFAFS PSQLHKLLTLRSLAALDFFGGIRGLAAGLRTDIASGLSADENTLDGSVSFDEAVAAGR EARLPQLRSLQPPTSHSIHNALRLSESPEGLFADRKGIFGVNRLPRRKQKSFLKLMWI AFNDKLLILLTISACISLAIGIYQSVNAEAGYSNIEWVDGVTVVVAIVIIVLASAAND WQKNHKFEKLNERKKHRQVTVFRSGKARQVDITDVMVGDVMHIEAGDVVAVDGVLIQA SGLRINEASISGESGLVHKTVSDDHPVSHAVLADPFIMSGTTVTQGVGRYLVVSVGAN STYGRTLMSLRQDVEETPLQAKLGRLGKQLIVFGAIAGAIFFVILFIRFLVRLPGMAN KGPSNKAEAFFHILILSITVVIITVPEGLALNVTVALAFATTRMLRDNNLVRLIRSCE VMGNATSVCSDKTGTLTQNKMTVVSGRIALDGVFHDMEPCFDTGRPQSMSAPPSSSVE GSTKLAAAMSHEVKDLIKDSVALNSTAFESDDSKSSEYFGSSTETALLKFSRDHLGMG LLRTERANTPVVTMLPFESSRKWMASLIRLPCGKYRLLVKGAAEIVFEYCAYVVEDHT YQLTTARLTEDDRISFRNTSQDYARNMLRPVAIAYKDFDETDVFENPDDDPASVNLEW LASGLIFIGFFGIRDPLRLEVIDSVRKCQEAGVFVRMVTGDNFLTAKAIATECGIYSR GGIAMDGPTFRKLSPEQLEAVIPRLQVLARSSPEDKVLLVTQLRAMKETVAVTGDGTN DALALKAADVGFAMGIQGTEVAKEAASIILLDDNFASIVKSLGWGRTINDAVKKFCQF QFTINITASILAIVSELVGDSIFSVVQLLWINLIMDIFASLGLATDHPSPDFLKRKPE PRNAPIITITMWKMIIGQAIYQLAVIFVVHYAGWDIFDPHTPEEIEKLQTLVFNIYVW MQFFNQHNCRRVDNKLDIWYQGVLRNPWFIGVQILTLAGQFVIIFKGGEAFDTVPLTG AQWGWSMLFGILTIPLGALIRQFPDKYVASFFRKAGQILRLLTQPFRTWCGCFSILHI RSRQNMDEETQEMATNKEDETSRGTEAVVFKRPTSGSTHTEGSTHIRNVSEAVTQGSG EIDGEGVDLEALVDAARIGRSIGKNILELHPSTLKDDPILQTRTNISVPPSQDPAFMR YMVRPREQERYPRRRQAATRGWVDPPRPIPATATNRHGLTWEGFLRSKRR UV8b_03232 MLSQLGQGIQAGQDPATGVSFWCSILLGLGIGSWLANTSFLGLW IVVGELQAGEARQKIHNSFASKRVEWLGSLDDGVEGLHTRIHTQIRELQSATSQVLGF LICDLITACASIGIALYFSWKLALVLLSTLPISSIVLGLIMRNLDSAITSQKQFLQQA STFAAASLRGIDLVRVFTGFDIEKVKYRSALTLASKHFFKQARSTALQMGYVAFWSIA IFVLGFCVEALAGHWLVLSKGKSAAAFLLDLVRNEDWLESFAHRNTPPPQILGDVWLD QVNFAYASNPATNILQNATVHFPAKQITFLIGQSGSATVKDGDGEQLTEKSRSECQNS KADNPVSQRPSMNSVGSMLGTVWQSLGHRDRVALVSAILLCLAAAATTPAFAFCLAQL LSAMWSTTNKREKGLKWALYLIGIAFADGVCTAGGHFLFEKVGQRWIDRLRQDAFSNA LEQSSSWFRRPQGAPALLVQCLDRNGQEMRTIMGKIMPILIVVLSIMCISIIWALVIC WKLTMVTLAALPVILLAIKMYSTVSSTWEKRCNDSALTSSAVLREVLLNFEFVRAFSL HTYFQEKQSEAVRQGLQMGLKRALFTSPTFGLYQSMTLPLVAMVFYYGTTLASKESSG TTVNEVLQVINLLLFCIGNSFELLNNLPQLTAAKVAAVELLAYARMPKTVSSRGVSAI QLSCPLPIRLRNVDFSPEDSPKVLKGVCLEIQPGSFLAVVGSSGSGKSTLLSLLLGTN IPDGLPEHGIASEFSFNGAPFSVVDVQHLQSMMAYVPQAPFLFPGTIRENIAYGIQST CPGALQKAVTEASKAAGIHDFIVSLPDGYSTVVGDGGQALSGGQAQRVNIARALARKP RLLVLDEPTSALDPESTSTFREMIGCLMRTGHEECAGMAVVVATHSVEMMQMVDEVVV LEGGRKVEQGRYDDLVARQDHLWRLVNSET UV8b_03233 MDPMESMSKSGGALPTDFDAENAQNMEDMEKQFAVKVVQHMQTY WSILEKVKGSTLRLTKMDDEIYDHLKEAFPEFDPAATIDEDEMKSKDGKQRWRSFMMA YEKKIDDYNFGTMVRNNSKVEYEEDTTIFVPRMQFYAIEIARNKQGLNDWIFDQAEKG KAK UV8b_03234 MPIPLIVSAPATLAALAYINAKTSLWYDYLLFKSTAKTAVRVLL RQRNGRLSLFYNLEERAKHPSTTNKDLLIFRGRRLTYAQVYDKALRYGTWMRNRLGIR PKDVVAMDFENSDQFILVWLGLWSIGAKPAFINYNLAGEPLSHCIRAVKAKICLVDPN VSANVADDVRAELSTVNFVIFTPEVQAQAEATTPVRVSDPDLAEHDFANLALLIYTSG TTGLPKPVVVPWAKVIAGGTVAETLLARGGNDIIYTSMPLYHSAASLLSFCATILAGS TQAIGHKFSTKLFWNEVRESNATIIQYVGETLRYLLAAPPQHDPVTGECLDKKHRVTA AFGNGLRPDIWNDFKDRFGIDSILEFYAATEGPFGMWNLSRNDYAAGAIGRSGLIYGG LQSLSLNLVELDWETDLPKRNLRTGFCNKVQPDQPGELIYKLDPDNISQRFQGYYGNE AATSSKIMRGVFEKGDAWFRTGDIARWDSEGRVYFIDRIGDTFRWKAENVSTAEVSEA VGRHPSVQEANVYGVQLPHHDGRAGCVAITFDKDPDKNVLRSLADHVKAALPGYARPL FLRVLKEVGGAAQTTGTNKQQKHALRLAGVKPNNAIIPGDLYWLRGETYVPFRDTEWS DLEVGRVKL UV8b_03235 MADLLLQTHKAPSLYGAETKASTTPEKKTEKLSPYQCGCRTLQK AVSRTLRDVNNAILYWKDGLTPEERECMFLRDQRKGVLSLRLKTAEKYADWKAAASEL DTLDGNDKWKNDAVAGAYDFLLIQERLRALDEARLTGDVRTMMYLIRTELSRDLGGMD NVDLYRHCHVGTKKLIERYVDSAIQTIDALVAQSAVKTHHTLHVRDLLEAMLYSRQSF GRSALLLSGGGTFGMTHIGVLKALFEHQLLPRIISGASAGSIVCAVMCTKTDEEIPEL IRDFPYGDLAVFEAEDSKVGVLGHLRRLLTEGSWSNIENLTRVMRGMMGDMTFQEAYN RTRRILNICVSTSSIYELPRLLNYVTAPNVMIWSAVAASCSLPLVYTSSPLLVKDPIT GGHHPWTPTPQHFIDGSVDNDLPMTRLAEMFNVNHFIVCQVNPHVVPFLSKDDVLSQE HRTQKSPASHSDDLDWAYTLSTLARDEALHRLHFLAELGIFPNLMTKCRAILSQKYSG DITILPEIAMNDLPKLLSNPTVDFMLRSCVAGERATWPRLSRIRDRCAIELALDRAVH SLRTRVVFSESQRNLRALSTGMGYMQTNMMPAITLRSPPVVAGYAVAGAGQVRRRRRS GGSVQALCDARLGFDMAVTDEDTAAEERDELLSRSQTGVSGSSSGSHRKPRLKRSSRS VINVSQHKALPTTAASGQDIRAVPEFNFSRPLSRAGSKIGPLRRSTSRDGRLQAAAAA AAAAAPTVWAERISALVRGEATSPTDDVETSEQGHSSDADAELATEESDPDPYDTSSW DLFMDKGRRKESRGARPRNA UV8b_03236 MPSPLRLGSQAPGFTADSNVGPIDFHKHIDGSWAILFSHPQDFT PVCTTELGAFAKLEPEFTKRGVKLLGLSADTTESHETWIKDIAEVTGGQVKFPIVADP DRKVANLYDMIDYQDPQNIDRNSLPLTIRSVFFIDPRKVIRTILSYPASTGRNAAEVL RIVDSLQAVDKHKIATPIDWLPGQDVIVANSVKDDEARALFPNLRVVKPYLRYTAFPN UV8b_03237 MAPGGASASSTGSQKPSPSRIQSRFSSLFEPEAGSDGGTKPGRD SKDGFSAAHEPLHPSNLPSRRLLRKKSLLSLKNRFGSSSSVTKASLPSPSPSPSSPSP SPPSQPHLSDGKGSSPPTAGPTPARRTSLRQSLARRLPQTPFASSNSAVLSAVECDGS VATSPARSTHPRMNRGSSAMDPSSSSAAAGLIYGSRDGNTQALNSSMTSLTNSVRQDP NGDAAREGPPQRGTGPASAAPSPAVGPAERLVGAAAAQASSTHLSGLMCNVHRTTGRE PPPLVGATTTILGDKLYVFGGRILSRSRPAPLTSDLYQLDLISRHWIKLETGGEVPPP RYFHSMCALGDTKMVCYGGMSPTLNPNQPSPSMQDQQQQQAEVSVMSDVYIYDVEAQK WTHLPAQDAPQGRYAHCACILPSSTSFSSSRAPLAALQHNPSSSNPNEGRIGINIDGT GGAEMVIVGGQDGSNHYIEQISVFNLRSLKWTSTQPLGKSCGAYRSVAAPLPPSASGK VGKVYTNGSLSGIHDGGGGGGGGGMHQEARESGSSMLIYSNYNFLDVRLELQIRAPGG TLAERPMSGTYSPPGLRFPNGGVIDTHFVVSGTYLTSSKQEYALWALDLRTLTWSRID AGGSVFSQGSWNRGVLWNRRNTFVILGNRKRSLVDDYNHRRINFSNVCMVELEAFGFY DNPRKSSPMSGFISASSPYSGPGLSLTRKAGYTAGGRFHSKASEELGEKALAMRELAD MDILCIGGERIPVNSRIVSRRWGPYFVQLLREGTATQDGSDAVTLRAAGLASNPLRAS AVTITPGFKDAAQPPPASSGGADMLPTLMAGSNGSGSNPSGGGSSSIADAAGDASSGS QADGLDPAAVNAAPTPRSLPPNSRPRCLYLPHTCLTVQALLQFLYTSSLPPPTSPLCT PQILCSLLQIARPYRVDGLLEAVVERLHGLLDSRNAAAVFNATAMAAGGGRGIDGSLN PNFFVGSADPVSSAVSISDFSLGGPTADGSRTDPSGGPASGPSINPNNAAQKPGRPLS GEVSASPSASGSEPGSEPGSELGGSDGDMSFVWNGELSSVIGLQKRGLRGLMEGRRMR ERTGTGGAAFLPGQQQGPSGQQRVGLGIAGT UV8b_03238 MHWRRASAIAAKDRRPAGPAAVFVIFHPARLTDCIDMAAAQRRS GLKTCSDTLATARGGKTAFFSSLLLAGLLGTWANGILVAFQPQHGGLSAKGPGLVVHE QYLLRNATWHPVVGQQSLSFFVWSLENALRCSL UV8b_03239 MHAAVSSLLVGVAAVSCVSASPCPGHRNPIVIRRIDLGPRPYYL VNNMTEGPLKSRLMSCQELTMRPSMFSIGHRGGACLQLPEHSKESNMAGARMGAGVLE CDVTFTRDRQLVCRHSQCDLHTTTNVVSIPSLNAKCAKPFAPASDGKPASARCCTSDL TLAEYKSLCAKMDGFNASATTPGDFLGGTPSWRTDLYSTCGKLLDLEEHIAMVESLGL HHTPELKAPEVPMPFAGNYTHDMFAQQMIDAYKGAGVPASRVMAQSFEPASVRYWLEK EPEYGAHAILLDQSGNGPGRMAEAVENLTRYAREGIRTMAPPMHYLVEVDRGRMVPSA YAKRAKELGLRLITWSLERSGPLAAVHAKGDYYYGGVEGLVSKDGDMYEYVDALARQV GAAGMFSDWSGTVTFYANCFGLQLV UV8b_03240 MRCSKSCAVFLLLFAQATMAVASDSSPIRCIMYLTGQHNVVPSN KSLRDHITHVALAFMRSDVFNSEDEHPDFPLFTSVTQVRNQFNPGTKIMVAVGGWGDS QGFETACRDEASRSRWCGNVRKMIDGAGADGVDIDWEYPGGNRDDYKIIPNEKRAWEI EAFVQLLMDLRRAIGPDKILSIAVPGLSRDMMAFTADTLPRIVEQVDFINVMTYDLLN RRDTVVKHHSGVAASLASIQTYRERGAPPQMLNLGLAYYVKWAMTEECDYGDPLACPT QVLEDPDTGADLGRTGAFSWHDAVPEELAPSFARAMADGRYFEDGSYGYLDPAERRWW TFDTEKVIPRKFDDIVRPQRLGGVFAWGLGEDAPQFSLLSATVDELERLGDNNTDRDE L UV8b_03241 MFENLCTLPLQSDVFATALHPTEPLLTVGLSSGHVETFRLPPSN GSDADDDADTSVMSDGKGMVDTVWKTRRHKGSCRCLAYGHDGTAMYSAGTDSLVKYFD PSSGKVASKVAIPSTTSVPDAPTLLHVLNPQHLLLATDSGALHIIDLRDGAPGRKPAQ THFPHSDYVSSVTPLPPSEESTSGFSKQWVSTGGTTLAVTDVRRGVLVRSEDQEDELL SACFMPGMGPKSKRNNGVVAIGSGSGVLTLWDKGSWDDQQERVIVDGGKGGGESIDAI VPVPQDMGLGKKVVCGVGDGSLRIVDLVRREVNRSGNLRHDDLEGVVSLGFDCHNRLI SAGGRMIKVWGKLSELQEEESDDEGDDDKIEKEEERGGHAKRAAESDDDDDGDGDDDD EDDEDDDSEGDGVQEMKQRAKRRKESQKRKLGPMGAHGIMAFDGID UV8b_03242 MNTDAKPNPSSAGPSGATSACSAGSAALSPTSNQLLPPGSAGIA AGGSSSATPAVAGAAGAETNRTSSSAPPAGGSRSGSQARKPHVKSPRSEYGASSKSTR TEGSHRSSHPETPSPRIVYGSGTLARLPTELERLGVTSPLIVSSPSRITLARRIQGLI PSLHARILDSAVVNVPARVVDEAVARIDDRDVVISVGGASALGLAKAIGCRKGIPHVC IPTTYSASEMMPLLLDACPARHGSVSGSSAVGDASRSSSSSSSSKSKSDRPQRRDSAT RGGSRKSPRTTSFRDPRVLPEVIIYDEDLTMSMPKRFSAPSGDVAMARLTERRDQDDT AQWSYLHLPGV UV8b_03243 MSPSATGEAQRFNVKSRVMLPAQMIKLRADPRKATLYLIKLFGG AYYLKSHTPAHNYNFNNNKVRGACFTASSKGKLWLLSALFTIRAFELMEIGHSVSIAS MTHTGFLETRNG UV8b_03244 MDQDHASDTIVPPNAAVNRGYAPRVKARLRRAGRALTTRDGLLG DYDYAFLFRPNLPFMTKTSHRSPFFGLNDRMPVLLGMLLGLQHALAMLAGVIAPPLIL GGAGGANLETAQLQYLVSTALIVSGLLSSVQIARVRIPKTKYFIGTGVLSVVGISFSI IPIGQGAFRQMYASGFCPSDARGNLLPCPQGYGALIGTSAICAITEILISFLPPKVLL KVFPPIVTGPTVMLIGIHLVEAGFKDWMGGSGPCSNPAASGAQAGLFALCPNINAPHA LPWGSPEYLGLGFAVFITIIICERFGSPIVKSTSVIWGLLVGCIIAAACGYFNRAGID SAPVVSFIWVHTFPLSVYGPLVLPIMAVFVVCATEAVGDITATCDVSLIELQGRTYES RIQSGLLTDGINGIAAALMTMTPMSRFAQNNGVIALTRCANRKAGLACCLFLVIMGIF AKFAAALVAIPSSVLGGMTTFLFSAVAVSGMAIINRGTTFDRRTRFILTAGLALGYGA TLVPTYFDRIFTYSGGNHALKGLLDAVVLVMETGFAVTAAVCMALNLALPEELDDNID ARAVDLTVANIPLAKESDADKGKVPRHGHEHAS UV8b_03245 MLLESFIVDVVLLTETEGIDKQASNLPTEQANRGGATHNQDRQK RPGQSHTSLAGTRSNLSAWPRTDPLATATVKNEAETRTRRARFRRRNHQAKINDKIKF QQSRDAMTMSTPLTLQPAKPSEPRRC UV8b_03246 MDLRSVLNTSDNGDRRPSKAPPTPQQQPQSRPSQSPAPYGYREY AQAPPHPSPGKPVGQEYPVHVQQQQQHQPPNPYLPSPYQNAGPQHAARPSQVHLPHHP GSFHDPRSPGGMPAPAQSPYRLAPTPNHNPDGSSGHPFPPTQASQIMTSPHQRHQHTP VYYAQQPQNPDAYPQREASLPPGNYVQQHQLQVPQTPPISTATGPSQQYLHQRSQSTQ STPTPNSTHSQHQFGPPHAHGSPVQPTRANSEHRHPSQPPTPLGPPQSTATRPHPGQL TSLSQLPSSYQQRMASVSNGQPPQSPAQANASLRRVSGPTHRELPAGQAHQRSRSLQS REQSPSVSPRTRVTSIASSSDRVMVSPVDNDKKAPAPNFPFEVDRVVTPAKRKLGDRY LSPKELEHKEPRPPPGDVNGSDGTNAKSSSSSSSASASKKKRVCRSQPPIWAQDAAIL GIKMPNQANFVLQKRAHSHLNGRMESTGNCGKTDQPSRHASPDVFRASTSATAKPASL PTAEPGPQSILGPWEASITGIKPYEEMSKTVADFIFIHVVNNPDIKEIMSRGVQFEIE AKLGTLIDKDTNYRVDRLLDTECVLHDNGRVAFRSSMTEAHHKAFNDFLNQVVIQTDP RAPNGAGRVQVHYKHRREIDRYFELTPELQSRLPGCVRSRLGSRVRNVKARVTYDQKT GQVLNKIVKARVADIDIHMPTNPMDCRLSINLEMDWDGPSEELEQLGPPQFDKSGDRQ KDRLSYTQGHYQIDLTQVTLSNAGPGNTQRTDKEHELEIELASPIVLDQGAKAMSGAP HRYQELIEGFLDNVRVLARKAREFG UV8b_03247 MPGLVSATGVLAFLADEEPELKIFALQTLNDDIDTVWTEVAGSL IQIEALYEDDSFPERQLAALVLAKVYYHLQAYNDSMVFALAAGDLFKLDAPGEFEETI ISKCVDQYIATNAAKNSKPRAAKNTDLPELTTTFSSASDSAVLSPTTPFSHTTLPPKS LLSRASLDNTILDATFQPVFKPGRSGSITELPDKATEASLQRIIERLFASCLKQGRYR QVVGIAVEAKNLHVLRRVIKQASEDEKNAKSKIQDGVPGPAEELMDYILGICMDVVQE RSFRTDILRLILDLLNEIPNPDYFAIARCVVYLNSDEEASHMLRTLVSNGDRTSIANA YQIAFDLYDNGTQEFLGKILASLPAPKEPEQEVETSEQQDENKPLLENQEASSGDDLS DDTKVYRNIRSILDGSKTIRLNLEFLYRNNHTDLSILNKVRDSLEGRNSIFHIAVTFC NAFMNQGTTSDKFFRDNLEWLGKAVNWSKFTATAALGVIHRGNLSQSRKLLEPYLPRQ GGLSSGSIFSQGGALYAYGLIHANHGADALDYLKTQFSQAEEEVVQHGGALGLGIAGM ATGDTDIFEKLKEILFQDSALNGEAVGLAMGLIMLGTGNVKALEDMITYAHETTHEKI VRGLALGMALIMFGRQEGADVLIEGLLHDPDPALRYGGIMTVAMAYCGTGSNKAIRKL LHTAVSDVSDDVRRIAVMSLGFILFRKPGSVPRMVELLSESYNPHVRYGSAMALGISC AGTGLDEAIDLLEPMMKDPTDFVRQGALIALSMIMIQQNDVMNPKVPSIRKTLKKVVG DRHEDAMTKFGAALALGIIDAGGRNCTIGLQTQTGNLNMAGIVGMAVFTQYWYWFPFT HFLSLSFSPTAIIGLDHDLEMPDIKFHCATRQSLFDYPPEQEVKVEEGPALIATAILS TTAQAKRRAQKKERAQRRESMDLDPAPSKSGDKMEVDDEKKTDDQDQKEKKEGDDKES SPSDAKKKPEKEKTGYEIENMARVLPSQLKYVSFAGGRYKPVKKPTGGPLLLDDTQPN EAKVLIEEKLKKATTERAPVAGPGRGSAGGRSGGGSLLEGLADPTRGSTGNQMMAQLL RSQARSPYGPADPQTPGNARTLEGGLGAAAAAGVLTAVDEDGEGDEEAQTPGEFEYMS DGETNDE UV8b_03248 MNPRQPCQAAQQLLRLRTTAQLPLTWRTFSKCPRQRAFTSSVPG AARNGHSRDGGPTIRVPAETHRRSVRPRSRIAALAALAAGVGLLSIAFAFYPAPRTAF DAHYATAPPVAASSGFESQPCPSPLGPADNRDPKLPRFRITEVRKHGADSEHPWVIHA DKVYDITDWIPAHPGGQVILQAAGGSIDPYWDIFTIHKSQYVHDILSQYLIGYVDQAD LIDGKPAQDQIEDPFQHDPERHPSLVTRTAKPRNAEPPGEALGAHFLTPADLFYVRNH MWVPHVEQDQTDDHRLTVELLDGTIKEYTLGDLKTKFPRHKVTATLQCSGNRRSHMSR DSGRKANGLAWDAGAISNATWEGVLLSDVLAHAGLDLEEARNGLSETQHVHFTGLEAY GSSIPIKKAVDPLGDVLLAYGMNEKPLPRDHGSPLRAIVPGYVAARSVKWLNRIALSD EESTSQWQRKDYKCFGPNETKVDWDAAPAIQELPVQSAITTLQLGKRVGSKAEPDALA NDEQDGDDGDDGSSGTPGRRVALSGYAFSGGGRSIIRVDVSLDGGASWDQARLLPDCV SKNGTPSPCHGHGAWSWKRWTYDGSIPLDKFTENPGPAAQDAASAAANAPSRRARQQR CTTVLVKATDDAYNTQPESHAATWNLRGNLATAWHKVQVCADCSRASAGAKHAHEKP UV8b_03249 MSSCDWVSKAHRNEHIEHASQEASLGASPGEEIPKRKANTCPFL ALPPELIDAVLAYLPAIQLSAIAATCRKLYQQTTADFHWLRLVQQNVPGIALSDPGPC KSFRELYAAHDPIWFLPKYKIWFCDRDLMGKLIVVRFDPRRGCIEGHQLLAVSNRDTF EHWPADSHVLIHGFEPQVKLHLDKPVLQFNIRDKKEVGKANPRAVANRFAAEVPMTFG NRSDPMFSNFLLTRPLDPETADARLALAYPYDGIWPPPAIPAGHHVSGARSGQAVACL SPSDLPRSREEISDQTFRIRQWMQLPGTPSPPSLVGGQAGNLASMVRVLNGLSNMANG VTPLAGAVGVHIGEEITTYSTLEPGLYTPTPTKPWRGIWVGDYSGHGCEFLLIHQPED AAASDEELGLRRIDDEPDEVWETRREEARVYRGRLEAIKLTGDPNVPRGEYTFVAEDL GPGGYIGVATDAPFAGARVVKSRGHIAATGFVRDKYVESQLLLIGPNRLAQYWVGFGH ISFFERVQVDDLLTP UV8b_03250 MASFFPLISEILPMILPATAHVVRAKELEPENPSVDGPVTVWPA VVGKCDKMSTTVMTIRPRSKTPVRHNSEQDAIMYTVSGTGTLIVNEGFDAELRSHDIS PGDFAYVPAWTEHQMVNSQDVELVCVVVHGGPRPVGAILADWGGDEVAPASQAQKS UV8b_03251 MTDKYMLRVTAGPSYDASTHVQVPVNRPQPVRISSLAAHIELNV RIQNYNGLPPASPATSPYFSTEPHAYNQDQYSICFRFTPRDQAPAVSPGPGTGVRGES AAPRGISGHHLQFGNDFDRPIRDRLPPGFNTALSIVRWWIDPGLEGDAYADRPYLYGP ALSSFNLVHIGAGEYDEQKGGLWFDEGGDEQGLQAREAVGAPADGKARMKWALRDDSK SKWVFEYGKTYGLDFFNPYLDFAKLSLKLPGFHLPIVKYWDGQGLRYVLRNKQTGETY LVVVFTIYLREDVNEDGTLKDGATERVVKDVDGAVVPGEHDEEKAFLRAGGEMAPEAD DTRPDDVD UV8b_03252 MSFENLTSRTDQGQCTPTTTDIIARRPPTLQRDQDRPSMPGSSS PGPSGGLDCPGPCLEGHKPQRTRATQRVKGQPAQKGDDDDGAAVTCVPESTEYNHPHE DQRRAVTHAGHGILSAPALEIRLQRGSRSRRPIGPEEDLPMSTITIDDGAETLTTSST TTTARGSSLETPTPPPPTSTQTAPPPRAADSESATGSLDKIALAGIVGSVIFACLLVI LLWALAAKKRRKPAGPATAANSAAAFADATEAQGQRGPGLEFAELPRATSPTELGSHE HGAEAPRKGRGGAASAPAELPGGGGPRVEREAAAG UV8b_03253 MASPAAEATVPPSSPSQPIAKQPPPEKPSEARRRTFIILSFWLL VLLLGLPIWWRTTSIYRAYLPLERMLQWADGKACRPAFPLQISIRADAIPDPEARHLI RLTQHALDDLNDFPGHHLRLRLHSKDGGESTRPDSESTLTINLTPGAANSASLSEQSA VVDMTYAPNMAPSLNSASSALATYMANELQSIFAEERSIISYLLSTSSMSPTSRLQGG LTAETAEALSKRTTRSLRYAPTYHLSFSLFTDGAIPSTWDIDSAIREYMKPVLDVLAP IHDFTVDTQVQLYATPGVQSRVLRKEHLASFINAAEWPLSPSIGGAPTVNFIIFVGNQ TIVSDDAQVGSSQSWMIPQWGSVYLLDLPPTEKHVSVQALKKPLLMFGGHLLTLLGTP VSGSLPLRLSTLARIRTTDLLLRAASSLGSLARLAPSLPSISIPPSVADGVSSSLHHL EQACTNLAGPEGLLHARIAEKEAERAFFEKSMVGQLYFPDEHKIAVYLPLLGPVGVPL VLGLIDELKRWVKRRKQRAEEAREKKSQ UV8b_03254 MRSTALNIFSRVVSRSLHLLKTTLIQGIAGPHPLLPSARHPHAP SRGQPGQPGHATPEMLPEPTLSFTIPSLHDGLPLDCRVYHPPSLAATNLKAPPWKRHG AIVAHPYAPMGGSYDDSVVESVAKRLLAEGYLVGTFNFRGAGHSAGRTSWTAKPERDD YASMVGFMVYYAHIINPFEKQQQPAAAAEEEEEPPALILGGYSYGAMVTAQLAPMEAL LQPFASPEPDSPAAQIRLRAQHLAEQQNLILGSVRAAMQARLPQSPTKQRGVRVGGSE GGGGGAAAGAASSSSRRSHDSLRFSLDGEDKFRRGVHEFLARKAKHGPRRRASGAPVP GGTEDADAATGCGDAPAKLAAADVVPPPRPAYLLVSPLQGVVTHLATMSWTRRKDDDA EDKLVRHPTVAIYGDADVFVPAGKLRAWAARLSGGASLFAAREVRGAGHFWKEEGVLQ EMVDEVGRFCSRHRGGLI UV8b_03255 MQPAMQPAGLCSHAAMQHASRGENPRRYNFALLPCARPPDSAEL ASRIRIIISISISISISISISINIRTSTSITSIIMALEAHLTAPNGVQLTLPTGLFIN NEFVQGSAAHKITSVSPSSGLEICAVEAASADDVDRAVAAARAAFADASWRGLAGGAR GALMLRLAELVEQHRRELATLETWDNGKPYAVALGEDLVEVAGCLRYYAGWADKIHGQ TIPTAAPAAKLAYTLKQPVGVCAQIIPWNYPLAMAAWKLGPALAAGNTVVLKPAEQTP LSILYLAALVRQAGFPPGVVNVLNGLGREAGAALASHPGVRKVAFTGSTPTGREIMKA AAGSLKNVTLETGGKSPLLVFADADVQQAARWAYVGIMSNQGQVCTATSRLLVHRPVV GDFVREFLDVLRSSVKIGDPFAEDTTHGPQVSQAQYDKILAYIESAKSQGAKLEAGGS SARSAVGGSGGGGNDGSGSGLGNGFFIEPTVFSNVTPDMSVFKEEVFGPLVTITPFED ETEAVALANDSIYGLGAAVFSQNIERAHRVAAALEAGMVWVNSSNDSEIQVPFGGVKQ SGIGRELGEAGLAAYTETKAVHINLGSKL UV8b_03256 MDRASQLYQKATDDVNDQDARDAQDLAALGHAQTLSRKFSLQSM FALAFCVLGTWSTMAQDLATGLANGGPVGILWGLVLVTVCNTCVAISLGELVSSMPTA LGQGYWISQLWNTRSGRFASYMCAWINTLGWWTLAASQIAFMTQFLLSLKLMFDPDWE GASKGWLQFIIYVGIAVTCTLLNYCACRKDWILPAINNFVGITFAGLFVSFSVTLLIC VGTSSRLSYQSASFVFGRWINQTGWGDGVVWFLGLVQSAYGLTAFDSVIHLVEEMPAP RRNAPRTMCLAVLFGALSGFVFMVVCLFCIQSVDGMLQPAAGNPFVELLLSTVGPTGG AVMVALFIFNGLGQVSSVLTSSSRLTWSFARDGGFPFGPWISQVDETWKVPGRALWLQ CFIICFVGVLYLFANAVLQAILSVSTIALTISYALPIGVLMAVGRDKLPAGGELRLGR LGPVLNWVSVVYCSITTVFFFFPSSPEPAPRDMNFAIAVFGVMLVLSLAFWLVQGHET FMQLGQGGEPVLYAQHCGSSQDGTSSAELRHSSASVQPRKHTTKMRVSAGD UV8b_03257 MAGVVRGYTRRSHIKSRKGCETCKRRHIRCDEDYPQCRNCTKHK VRCPYNEAQTTQTTCTAKITEVQAPEQPAMPESPDFMWTPQVEAAIMRWQTTGEFPFP SLAIEPPPLPHMYSVQELRLIYHFTDLYFQLSAVDAVHFTLWTRYVPTLVALGSQYSF VMDAVLAFSATHIAFLTGCPLVAGIACEHRCKALRSLHVAIGAFRRETSDGILGASLL LSWQTTDWSNLTLLQQGISTVVQAMESWKHESQFADFFTHHSDTIYAASKTTTSSSHT SRKSKKLRREGIQALEHMFTMVRKIEAHLKYHDGDTTQVRCLIGFLRIFRTISPSLPV SQKYERLQPFITWLFWMPSGYLQSHRGSPASLVVITYLYIIVLLMERLFPEVSSGYFG SLCALPIEELANRLTSLSAICASSGADAHWKACGTLIELTLNTIDETNGGGTWERAAK NASLLLPPPHQAPGLPAHNEYRPSPVLSGTSSPPYSVPGGGGGDDGCGNHYVGAPTSY PEAYSPAWSAFTSSESYKDPEEGGLRDVGDASPYYKME UV8b_03258 MSLTQEAVLSGPLPVEKRPDVEKAGIDPPDEFDLLREADSERDS TAPLLDKEAPELPKASRAKVLFFIVVNILATVGIVFTNKAIFSHPSLKNAQLSFASFH FVITWLILHTLSRPRFAVFAPRRVSIREVAPLSVAMSCSVLFTNLSLTYSTITFYQVA RTLLTPCVAGMNYFFYRAGLPRSAALALIPTCLGVGIVSYYEKQPAAAADDGKSSITS SLGIIFTVCGIFASSIYTVWVARYHRKLHMSSMQLLLNQIPVSAFFLLYFLPFVDVLP AWETLTPNRWVLILMSGLFAAAINISQFCVIAQTGPVSSTVVGHVKNCVIVSIGWAYS NKSIVDKSAIGLVLALGGSAAYSVITLKKNSLAQSKHGK UV8b_03259 MNPLLRQPPNRLMAGGAILFFTLFMFFVSKSHNLSMPGLSRTAA APSMYNQSKVALLVEDRPQPLLAPLLLHFIYSLPADWTFRFMGSYKSVRHLAKSAAIR EHVRAGKLDLTYTPFNMSTKGQEMISRFLTTLWLYDTVLQPAELLLVFQSDSMVCSNC KHHLDEYLDYDWVGAPWNAQGNWGGNGGLSLRRVSRIVDVLQKQKRKEDSDPEDVWLS ERLGHHATGKVANGSVSLTFSGEINGGEVERIDPDSDYTIHGGELSLEGAGPADFVAG IDDWRNGFYEPMGYHIGGTGYMHGGIWGTRDKRKHIYQYCPEAKMILQMDMANYVPGD CGNDW UV8b_03260 MSALAMFGKSNGAAWSPAITRTRCLIAAGLFIVWLAAFAHPSLF HARIKDAHRMLPSFKPKGQQHLAVPHARYNASKVALIIEPEPLPILVPLILHMIAVVP SDWRFVFIGSRNSVFSVGRSFGVQIQRSLGKIDLQVLPRPWIIKSAEHRSRLLTDRRF YDEFLPGVEWLLRFDRDSILCANSETSLNEWLDWSFTGAARPKDDKFAGYGGLSLRRV SAIQSILGFQKRYNNSEPEDEWYGKRFAVTPGAKVPKGGEGALAVQDVLKDKPMGYYA PKAGRDLNKDVWKMPETRRKIFDYCPELAIVMDMKLENERCQGDNQEGEII UV8b_03261 MNGQAGSRSTSSGGQRLFEDVGVWQDAPLLTGSTKFEPRSDVKN IMITGGAGFIASWVVRHLTLAYPEAYNIVSFDKLDYCSSLNNTRAINSNRNFFFYQGD ITHSAEVMDCMERFNIDTVLHFAAQSHVDFSFGNSYKFTYANVYGTHVVLESAINAGI KRFIHVSTDEVYGEVNDDDDDLVETSILAPTNPYAASKAAAEMLVHSYQKSFNLPAII VRSNNVYGPHQYPEKIIPKFICLLNRKQPVVLHGDGSPTRRYLYAGDAADAFDTILHK GEVGQVYNVGSYDEISNMSLCGHLLTEMGIPHDAPADFDRWVKHTRDRPFNDHRYAVD GTKLRQLGWEQKTSFANGLRTTVDWYKRFGEAWWGELTKVLCPFPLVDKADSDSGSVD GRRQGQSQDTERQGQRGHGHAPPPPRKDTWQASKPKALLADETETVAVN UV8b_03262 MAGEPLRFLIWGGNGWIAGQLKTLLEQQGKTVSATTVRMEDSAE VLRELQAVRPSRVLISAGCTGRPNVDWCEDHKADTVRSNVIGTLNLVDHCFRLGIHCT LFATGCIYAYDDAHPIGGPGFTEQDAPNFFSSFYSMTKAHVEPVLSSYPNCLILRLRM PVSDDLHPRNFVTKIASYKHVVDIPNSNTILHDLLPASILLAEHADVGVYNFTNPGAI SHNEVLRLFKEIVRPAFTWSNFSLEEQAKVIKAGRSNCELDSTKLVNKLKEYGYSVPE VKEAYRACFTRMKAAGVE UV8b_03263 MMLRGRPALLAFAAVTAVYLLWLFPSALETQDTVELCKKFEWSV YPESPKRGRRRIYDLFLINTETDWLEVRLGTMYDQVDYFVVVESNRTFTGKDKPLIVK DRWAEWAKFHKKMVYHQVQVPASFQPQSAWETEGFMRNALLDQAVEQLPAAKKPRLGD VLIVSDADEVPRPSTLEILRACDIPVRVTLWSQFFYYSFQFRHRGTDWHAPHATFYNG IDTVRPDDLRSGKGLDDVPQGGIGNSSWHCSSCFRTVKEFQTKLLSFSHTEYSGEKYR DPAWIADHVRAGKDMYERDSEIFDRVDDNADIPDHIARHKDKFSYMVSRDGDSSGFAD YP UV8b_03264 MLDLDQDQQDQQPICQSKPQSPPPPGVAGPRPPPVAFPSGVLSS PVNLGQNHPHQASRTLLSYRQLRDALIEPLSDSNLLFPWYRSRSRARPKDPSYPSPLP PRPAWGIPGKKEIVMTACGKIRRLSGRMLELRGLFDSVPSPPGKHAIRKLDDAPDPPL PPPLPSSPLPSKSALPHPIARGIRP UV8b_03265 MVDKVIPRRQGRGQPLPQPTTKLPPRKQAKTEEEKEQRRIERIL RNRRAAQMFRDRKKSELEAAEKRNQELESLLLDAQTSKKQLAEEVSRLQQRAIQAADS LIHSDAASDKSNASPRPSFAPETANRYKNNNSNRNSNSSNNSSSSKSNNNASSVPEPP SDLATPKSCFGSDSESSHPPKLNDWLRSLDGSSSYEPLPSKACPPTTTSLEYTTSEMP YMLTDSKDDWYGTPPAKIPRPASDLHEAPFVYGLDDAPVTRVTDSRTCSYADPMCVNY DLASDMPTPATELSYFDWDRLFNDPADAPLVHPASLESSPYPSHPHPHPHHNYSHGHN PNPPYRTASYPSPHHLSSQMHNHSEQALWMKDRGYGYPHGYSSIVDDSMRHSQCYECW PSYRGERRP UV8b_03266 MVRTSADAVAGSLSRAPTISSAQNGRVLPHSPLDRPAWRHHGTP KRGLPGRNWLDASSAAGFQSQKLEQTVGTLTHGVMQPIRFTLPVWQIRLAPVTGTGTS SSPKHLLAASDEAAAAASLSNPNRWLTGLQSGVGTQA UV8b_03267 MSIGSETANLSHEGTVYLGQDDDWTQATDPRAKKRIQNRNAQRS YRQRMKMRMAELARLKELRRQSLERSVLQQQIDPRIVPSVEGYQYFESARTEHGVKDE GDHATMRQEDGQDHNYAVYNRNPLLLDLSLGQSKSMAQDGHLSQICGQPSPGGDVFVP ADGSQLHSARQSASPSAYHHDTFQQSHSPPVLEQGQSNDMEHCYPQMQTGVDVGSDNV KAAHGGPNDCEGYVPHCKGYQTFSLPMRPSQNLANGVLHYDDPHAGPDLDRATAAAQP FHSAPTPLPTPLPTPIGSGLSSFPDLHDGQDPGVAPVPSNAAHANHTGSPPPCLGGPS SFDDKFRRIMTEVEAAGFNSFDDLVSAYYIRPFEDVSHLATQQRISRNRGLPRILGDI FAATSQWTTWEKHGIQQEILKISEELLEQEQAKSRDVVRAKFNVLMEQIGSGAGAHSV ELKRAFIDMGKLLQEQQPNSWALLITLAGRGQTACPRDHFCIVLMNMMMLNCVGYAGN QMLSNMFFALSQMDLQ UV8b_03268 MAGSSGGSTGGDESPSHSTQDYQDHKPLPKLRGSRGQALPTIIT QLPPRKQAKTEDEKEQRRIERILRNRRAAQSFRDRKKLEFEAIEKRNMELEKQLRDSQ SSKRKLAQELSRLRKQMNQSTDSVRSKTTYQRPTILVSHSSQADTNSAASDMSDEATA PAPAPALAQRRNRDPDAQSQSSRVSWMFPTETSRDSEDLISQTYEATAEQYPSPPKEL SHCVNKENWPASQAMYEPDPVPHYNDSGFFLSSTYEQRDMLCPWSENCQNFPDAGHCC DDIFCPDQPLAAECMGAAGPDFGGLEFMLNDKRGNFHADKVGNKLDLVMGIPSPAVSS GLQYPQLAPAQQQQPVFNDEWAFLNVHSFR UV8b_03269 MLCTIDHFPRSSLLKAVVVLASLAGLLRTIATISTSRRHESHRH ILPNLIRDCAAPANASGTGRIPNIVHQTWKTSDVSTYPIPASRDAWKAQLASLPFQVT LWSDDDIRTLVRDEYAWLLPTYDGYAHDIQRADLARLVIAHARGGIYADLDVYPVDAR AIVCLQRLGLQAIFASTSGNQGASNHFFMAEKGSDLLMRALREAERRAAAATWFLVLP YLRVFWSTGPLMVMSVAREYTFGREAAVLGVIDQAYGNSIFHHAAGRSWQGLDGRFLN YVGDHGPLLLVAVLAPPVAATLVVIVYVVASRSRAKAR UV8b_03270 MKFQTALVAVAGLARAYHHNMNDIEALLSKGKYNNEPSTALLGD LKRLKDNQLTFAGKYIKALLQNSDHVPGRSEPSYPLPLPARDTKACKKHTCCIWRHIY FDMAKEMKDAKGDCNDLARAAVRLGFHDAGTWSSKTGDGGGADGSIVLAGECESRAEN KGLEDSCKKLREWFKKYKKYHVGMADLIQFAHNVASAVCLSGPGSPTFVGRKDRHHPS PKGNLPSPDQSADELLALFAAKTISPRGLVSLLGAHSVGKQRFVDTKQANASFDTTPE IWDTNFYDEVLKDQPSNGVFRLKSDGVLARDARSQTAWKSFMGFMGTFPWGTEYNREY ARLSLLGVKNINHLTVCNSTILDPDPAK UV8b_03271 MRSSKLLSALLMAAQAAAQSYYDFGFDIHAAIANQTIGRRQSGN TIAVGQLPRRQDGSLPARLEIREMRQNRYMWDLYILALSAMQAANQNDPLSWYQVAGI HGVPFTPYNNVQPVSGAEQSGYCTHNSVLFPMWHRPYLALYEQQLYTLARSIAQQFSD PGYRQAASEFRIPFFDWSVSFPGQSFLPDSMWSPVINQPGPNGSQRIANPLYSYRFNP LNPSDMIWPPFTTWPETKRAPSGGGSNPPSNNAQVNGALLGQLGSLQQRLYILFTRYT NYNAFGSKAWAASQGNAGWDSIESVHDVIHFFGGSGGHMGFVPVSAFDPLFFLHHANV DRMVAMWQILNGAAWMQPMQAGENGYTFTQGTWQTSSSPLTPFYDLNGNFYTSDSARN WEQFGYTYVDADPSRIGLNQLQSNLRANMNRWWGGSAFNGVQSRDESSAAGVAKNGNY TEWIANVVVDTHALSTGPFKISFFMGEKQDNSTLVGDVAMFSMPAMANTAAPKSSSKS SSTIPLTAALTALVKAGQLKSLDAQDVQPLLQKSLKFQVVQATGEKVDAAKVKGLGIQ ITSSEVALPKSDDEFPRWGDSVKRFDLY UV8b_03272 MLFSVVPAAIVLAASQAVNALPADLDAQGAVTRMSATGINGRQI DLAAQYKSKRSDGAGPCVDSDDVLSCAKKMKGTFKVDAEATFPTRKIRLPARYYGPER NIRGLLGEIELEYSVALSSCALADPVVVHKEPVKTCKEDTCEEAVKFVTTVTDTVAMG YRFAGYLNGHMTLNTPPEVKFTSENGQGWSSSTTTTHEVTRNMTIYQDQTCAAATVQM QVVCQAVVNAPKDVNFIGKEFPEGRFKVIPDFCGPKDHIFSSQITPEVKSVCDAMSKH PVSMDFQITPFKSPWVMGGCLNS UV8b_03273 MHFSVVSILMLLAGQGVLAVPAVEEVRAVRTKPESLAAAVRSKS QSVKVANGTRRQSVKAVDGTRRQSVKAADGTRRQSVKAADGTRRQSVKAASGKKVQPL PVPVAGGKKAQSGDNSKPQDRAPTKHQKSLAPALWLNGRPLTRSGSGGSNVRRADPAP CGKGDDVLECAWKFQKTFRPNPETHLGTREIHVPTRYYGEEFLLRGRSGYIKLEYDTT LSDCKVGPPKIVHKEPLVFCNTNMCKEILRFVKTKTKSVTFGFRIGTSALTRNGVTPL SVNLGISGEWDHAWTRTETNTEDMSREYTMTEGQFCSAATIQMDVHCYGVITTATKDV EWIGETFGKNTPRIIKDFCGTKEASLEKYRSEEVNAVCESLRTKTPITVDLQSQPFED PWVMEGCMYA UV8b_03274 MQIKPLGRPRDDGFYVDSSSHDTNSEDEEAGRPCTGLSGPGDIY KKKKKKKKKNEKKMKKKSLNCRSRLEPVTVAVAVAVAIIMAETREPAAGTVTASLLSP GRMVHEWEPAYAAYTVGGFSRPRITRIDRPDVLPGWFGIIWRLASKPDPMELQGQVPN PSCTHRGDLEEVWKRANLRGPGTGPSCAPRGLQREGFKGSSGS UV8b_03275 MPSSRYEYNLDSSFSGSDVTYPGMEPQLSPPGSFTIEDCPELSQ PPMPADLSYDLHNMVAWIRGGASEPMTPSGYLPDYYTPLEDGYFFAKNDVPTTPSILS TPTHDRKFRDCLSPVHFSASAVTPPRFSMHSVSPWPALDSSPFAVTPADMHSDTEASE SPSSWNYSSQSFLSPYTSQTAASEFSDPDSLGMASRSSLPLATTSYPETPESKPLPSQ REKALQEIQHKTAMLQYSQNQGSSSTEPGAAKEVATIDVVQQRKSKCDVSGCNKVFCR TEHLKRHKKTHHGLGLNRFACEFCGKNQFNRRDNLNSHRKLHARPSRKNRGVMFVPAA MTILEQERRSRKTKAPSKPKDKPVEKDELCLKRSPSFV UV8b_03276 MSYRIVGPAVLFAAAASAATLFNTASVLTTSCPLPSVFTVSGTP YTVIGSPTPAASGVPIVTSTPCTSSSQCNGGQCTNGVCVNGLGVTCSNSNQCNGGQCN GGQCSNCNNGNCNSASCSTSSQCNGGTCSNGQCTNCPNGNCGLGTNGLYCTNTNQCNG GTCTNNQCVTCPNGNCNGATCASSSQCNGGTCSNNLCVNCPNGNCGFGQSPVTCTSSA QCNGGQCTNGQCVNCNNGNCNSATCSSSSQCSGGQCNNSQCAFCPSGNCGLGTNGVSC TNSNQCNGGQCTNSQCISCPNGNCNGATCTDSTQCSGGTCTNGQCVNCPNGNCGVGNN GGNGLGPVSCTSSAQCNGGQCTNGQCVNCNSGNCNSATCSSGSQCAGGQCSNSQCANC PSGNCGLGSNGVTCSSSAQCNGGQCVSGQCINCPTGNCNSATCSSNDQCSGGQCNSGQ CANCPNGNCGIGTNGVSCTADSQCNGGKCTNGQCVSCPSGNCNGATCFASNQCGGGQC GSGQCVNCPNGNCGNGINGSGTGSGSGSGSGSGNGGGSGSGSGNGGGSGSGSGNGGGS GSGSGNGNGSGGSGSGSGSGNGNGSGSGSGSGSGSGDNGSGSGSGSGNGSGSGSGSGS GSGSGSGSGSGSGNGGSGSGSGSGSGSGSGSGSGSGSGSGSGSGDDGSGSGSGSGSGS GSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGTGSGNGSGTGSGNGSGTGSGN GGGSGSGGSGSGVGSGSGSGSGSGSGTGKDGTVTAGSSGLASTSFDALLIAAFAALFI GQQR UV8b_03277 MTVPTTITISPSGTNPGSVVIVKPPSIAPYITVTQPIGGSITAP TTVTIPPSGTNPGSYVIITPTTGAGLPCITVTQPIGGSFTAPTTVTIPPSGTNPGSYI IVTPTGTGLPYVTITQPVGGSITAPTTVIIPPSGTNPGSYVIITPTSGTGLPYITITQ PVGGSIIAPTTVIIPPSGTNPGSYIIVTPTGTGLPYITITQPVGGSITAPTTVIIPPS GTNPGSYIIVTPTGSGTGLPYITITQPVGGSITAPTTVIIPPSGSNPGSYVIITPTGT GTGLPYVTVTQPVGGSITAPTIVIIPPSGTNPVIQPIGGSITAPTTFLIPPSGTIPGT GTGLPYVTVTQIIGGPITAPTTLLIPPSGTNPGSYVIITPTTGTGLPYVTITQPVGGP ITAPTTFLIPPSGTNPGTGTGLPYVTVTQPVGGQITAPTTFLIPPSGTNPGSYIIVTP TTGTGLPYITVTQPVGGSITAPTTLLIPPSGTNPGSYVIVTPTGSGTGLPYITITQPV GGSITAPTTLLIPPSGTNPACRRVDYSANYASYPSKRHQSW UV8b_03278 MVGAAKFLTAGLALAAPVARAAGFYPQGTWAQRCERRQVGCEDC RRNIIDAQKIFTPGAGKYFIKDSCEALVACTCAWNGYKGGKCRTDSTAVEICKDLAKM RPFCAAQGITVGEAKFCT UV8b_03279 MHCNQLNLIGVLMLLPAVLAIPRTPPINQWVQSCRHNEVTCFDC RDVVLSAQGIFQPGAGEMFIAGACQDLTACSCARSGTKTETCKDYDYLVEGGLCRHFD KQRAFCQSKGYPVFGSNFCPENEADGIITDIDTDSP UV8b_03280 MHQLLALLLASLTAASPLFSRADLPPSSKSKAFNLVVNVTEPDR DFFPSIHGEYINSIHVGAGQSLLGVGGKSTNPLTFYINGTTLEFHFARSTVVSDIGTP LSPWGVFFTNDEGSDMAHTAHLDGGPGAKGIGLTRPPVPYTFMYPETYAICNETVPYY KKKFLIVKQFDLGLKLTNAIPPNCVPVRLVAQCTKLDPLPEGSFSNHDFAYETSCYKD VAAIDWAKYQPW UV8b_03281 MHILQRVLVGGFLLLGALGKSQAQLNGGAPVPYKVQKPPLDTDW TYKVGTNPWPEHPRPQLHRQDWQSLNGIWTYDAADSNLAPSQPPPSTALSREIMIPSC VESGLSGVQQLNPTNMWFARTFRVPDGWKSQNVLLNFEAVDYEATVFLNGVKVGHNVG GYFRFTIDITQSIKRGQENELFVFVYDPTDMEIIPVGKQTRNPSHIFYRSCSGIWQTV WMERAPENHITQLDVSAGMDGGVTITAHTSGRQSAEVTVKVIDPNGHVVAEQSGISDE EFTFKVNSPKLWSPRSPTLYNLSISTGDDEVSSYIGFRTISSGKVNGVQRPLLNGEFV FLLGTLDQGFWPDGLYLAPNREAMVYDLKMLKSLGFNMLRKHIKVEPDLFYRACDELG LLVTQDMPSLPADGNRPPNAQQQAEFQRQLQLLINEHKSYTCIGIWVIYNEGWGQLRG TPYPEEKLTQVVRGIDPSRLIDSVTGWHDHGFGDFSDNHHYANPQCGTPFYSILSSPY DPGRIGFQGEFGGVGHVVGMEHLWNVQQAIDHINETYEINADLNSYNYRASVLFRELR EQVARYACSGGVWTQTTDVEGEVNGLYTYDRRVLRPDRQQWKSDIDRLYRAAHRRGGA RP UV8b_03282 MYAAALAVIAAASLSSVAAAQKYAPGKRNLCTKFDRFTIDPPTI LWMGNLCNRNTWPDKMTVGNVQVSPAICCTDVDAYANHFWVIQGSTAVCRYKDEWGSS TGIVHYITKIQGTTNLYDVTVGQQGLPLGVDPSKTPGRYKCEAV UV8b_03283 MAFTLEAHRFDPAAISAEARAFNAELSRLTASTPKWYEIGAENY RQLRAKGLASFPPPTPLPSGITFEMPSRDAGRSIPCRVLKPQGQARAVLMHIHGGGWV LGSESMQDPKLKRLVDEHGIVCVSVGYRLAPEHPFPAGPRDCFDAAEWLVRNAEHQFG CALGFIGGESAGAHLTALTALHLLQHADDVYSSFNLKGLLLHYGCYSMRWLPNVYSFA KREPHLLLSLDIMREFRSAFLGKDVGDEALDDPSISPLYADLPSLRGRLPAALFTCGS EDYLLDDTLFMSARWLAAGGETSVTIVNGAPHGYDMFDRLESGAAEGNAAVDGFLEDK LRFSAPIVTVGAISVRQAEDDQGTLPNDACVISLVEWRNTSEASSRPIAPRGDHLPKL PARHELILARCLGNFCDALHRRKFVLVTRG UV8b_03284 MRAVPIIRSRTGCFTCRRRKKKCNEEKPVCIGCKRNKLECTWPA DRATQGQQPHRNRDNNAVSASATSKLAASSASSPTSPLPEWRSSDSRHSTPTLTVQLQ LQLQQAQPVTSPLEVVNSDAFEDASDKPMTPASPITPFETLPSPIPDNSLVHHHVPND PALALVFGRENLTRDNIYMHDNIPINMSLLPSHGHDSFELLSYYLARTANSMGNGSTD SNPFVAKLIPLAFSDPLVLHLILAQSAVHRQASDECSSGGEVATRYYTDSLRMFRRLV GEYVSGRNDDNLVVTVGSLILCLTEVARGDANGTIFDHLAASESLLTNLLNRHEPAFS DDLQEFLVEYYMHMVASSMISKDPRGTSQAALSPAIENAAKRLLSKNYMGQLCGCWLE ILTIIPQVFQLGQSMLRTGDEPGLPTSPDDIITFGLLQSQILAFMPPIVASTNSQLAG LVFKQATLLYLWSIFGTPQHNAPNTMHSDLMNSAIMEAVSFLSQLPASERVNTSLCWP LAVIGCCTTDAHVQHVLRQRLQAMIDIIGLGNMRQTLALLEHVWEQPPENMSPWTLRR VMQQYEIWISFA UV8b_03285 MDRPWAEITEGNPIAAGLDSFRISFRSRFQTTGISSTSAALRQL NHQELQNLAITLLSMLCVLPAARIF UV8b_03286 MVIDEADDSAIWAEVQSAVAEKTPPPRRIPSSTQQTPCLRNTGS FVNTTEHRKYVDDILKQELRIMYIDIPNTINAYSGDIDDLNTASDVFFRQCCFVNDTE ARKDTKCHWSRILIPGELKSNPSADNALSTWFDLGKIFRNKRDHAPIPRSSLEYDSEG SAGVDSSNDGESWNGFGSPSPPAIEGSGNAPEPESMRDTVAPLEGADHALIAQKILLL RQIYITYFRRTVKEFTENPPIGIKLPIFRYEFAESSLGIDDVIGMIV UV8b_03287 MASARTTLARFANAASAAPSRLPLVPRDTLFLGIRSPGSLVCLE RFATSARSPSPRLAPASPVPRRIRQDLGPNSWSILQARHCSYRRHLDTMCRAQAGIEQ TGGGAAPGTQGRELLPANVVPRHYHLTLEPDFKKFTFDGTVVIDLDVEEDSSSVSLHT LQLDIHSAKVSSNGQAISSSPNISYDEPKQVSKFEFEGTLPKGSKAQLEINFTGQLND KMAGFYRSTYKRDDGSEGILATTQMEATDCRRAFPCFDEPALKAKFTVTLVADKNLTC LSNMDVANETEVQSTISGTARKAVHFNTSPLMSTYLVAFIIGELNYVESKDFRVPVRV YAPPGQDIEHGRFSLNLAVKTLEFYEKVFGIEFPLPKMDQVAIPDFAQGAMENWGLVT YRVVDLLLDEKTSGAATKERVAEVVQHELAHQWFGNLVTMDWWEGLWLNEGFATWTSW YSANVFYPEWKVWENYVTDNLQSALSLDSLRSSHPIEVPVKRADEINQIFDAISYSKG SCVLRMVSTYLGEDVFLEGVRQYLKKHAYGNTQTGDLWASLSAVSGKPVEDVMRAWTK EVGYPVLTVTENDADSTIHVKQNRFLRTGDATPEDDKVLYPVFLGLRTKDGVDGQIAL TEREKSFKVPSTGFFKLNANHTGIFRTSYTPSRLEKLGQAAKDGLLSVEDRAGMIADA GALATSGYQRTSGVLNLLKGLDSEKEFVVWNEITSRLGAIQSAWVFEDKAVTEGLKAF QRDLLGPKAHKMGWQFSENDGHIEQQFKAMLFEGAGLSGDEQIIDASKAMFNKFMAGD KAAIHPNIRKSVFAIALKYGGVEEYDKILDYYRASSSSDERNTCLRSLGRANQPELIK RTLGLLFGPDVKDQDVYMPVIGLRTHAEGIESLFEWMEARWDLVYEKLPPGLSMLGSM VNIMTSGFTSREQLARVDKFFEGKNVSGYDQSLAQSRDAIQSKISWLERDRDDVAAWV KANGY UV8b_03288 MSKILTVFGATGNQGGSVIQAILTDPALSSEYKLRGVTRDVGKP AAQALTAKGVEMVQADMSSAEAAAPAVQGAHTVFLVTNFWETMSAEVEMAQGKAVADA ARAAGVKHLIFSSLMDVSRATGGRLRHVTHFDGKARVEEYIRGSGVPCTFVQPGLFMS GFFSFLRRQDDGSFRWAAPQGVRAHEAQLPLFDAASDTGLFVKAAVRGGGGGTGERIL AATDYYTPARIAAEFELVTGRKMHYVEVPHDEYRSSLPAPAAQELLENMLLLQEPGYY AGADLAPSLALLGGEKPTSWREFVERNRDRWV UV8b_03289 MSNSWTNEQTLFLLELLLVARRDGQLENSWRDIFEDFVFLLEAR FHVKRGFAAVRYKYFGLKKLWEVFCLVLEQPGTQYNEETGELRMTSKTTSLIRSQHKK TYGILNPKPLVFNDVISLSSWAEIFAADSTYSGTMAASPDPGSLKRKHAAEQDERDTE QDTEQDTEQEDTVDGGGGVQRGDHSMAVTDDEVDRAEWQLVHQPAAASGLRLSTATMP TPRVTRSSAAASATGPAPATAPAPAPARARAPATATASLGSVWSKRALDRAGARVGTA VSPASTSMAPPPNAVQQRQYVGACEVVGARDLAAAITDCQTLTGALGMAAVIRVIAWL KSHELNPVIWNALSSKAHKTAWIDSNFGEGASF UV8b_03290 MEIPPIIRLAILGAIALLDIVSLGLLAYVVNAWSYDYNVGFETY HVTAPASVNFMLFNTVWTILVLVYLTVVPRLLASLYHSLIALVLLAVTTLFWFAGSIA LAVRLGAHTCGGGDFCTSYQSAQAATAFGFFIWALFTALLVFEALGFLQHGIKGRANA DGTGTQMTSQTAPETYPQAPQAAQV UV8b_03291 MARLFLLQLCIWRLGATVSSQDCSADTVVRYQPLRVHCGGTLFA LTGAYTGSESGTQCSRQADPSDQQAGADAAAAAAAAATRTVTVTAQGVAQTITVTTQP SAAVPGTNTTPTTLTIAPSGTGIGTVIVQTPPAASPSSTSTQPATATSSSSTAPASAA VPSSSTSSTSSITASSHYHSASNDDHVFQQYGLRYIVFRQLFQHHHRAFNGSHLVQQY GLGYIVFCQLFQHHHRSFNGNHLVQQYGLGYIVFYQLFQHHHAGSNDNHLVQQYHGFR YVVCYQLFQHYRRAYNNNHLFQQYGFRYVFHQLFQHPHPGFHQHHHRAFNGIDVFQQY GFGYIVFRQFFQHHHRSFNNNHFLQHGTVPGTVIIQTPPAVESYATITQSLTGTGTAA TTVTIPPTGTVPGTVVIQTPVLPAAYITITQTLTGTDTALTTMTISPSGTVPGTVVIQ TPPVAESYITVTQSLTGSNTAPTTVTVPPSGTVPGTIIIQTPPVVESYITITQSLTGS NTVPTTVTVSPSGTVPGTVIIQTPPVVESYITMTQSLTGSNTVPTTVTVSPSGTVPGT VIIQTPPVVESYVTITQSLTGTDTAAVTVTIPPTGTVPVTVSPSGTVPGTVIIQTPPI TGPYVTVTQTLTGTETVPITLTIPPSGTVPGSVIIQTPPITGPYVTVTQTLTGTETAP ITLTIPPSGTVPGSVIIQTPPITGPYVTLTQALTGTETVPTTLTVDPSGTVPGSVIVF VTPTSSISPSYVTVTQLVTSFSATAGTVTIPASGTVPGTVIVETPLPIYPGYCSNINS SCAPAGLNLKVYNNPFCTGYGGYGGSIPNNNGNYSLYLGQSVIGQTLTNSTNVPTNSV PAGVTFGATCNTYLGPIYYLNNFAQVVNGITVDGNAFGLVYSGFYRPRETGTFQLCMT NTDDRANLFVGNGSAVDCAHGSVNPGAPSLAEAQLQPTVPNTNAGTRYVSGCTTFQMT QGYYYPLRISFGQNSGGFQFNFAITTPSGATSNAFDGLVYPVGCGIYI UV8b_03292 MLFALVFVCTRISQIVTLIPTMGMLAWFINIFVSHNALTPDSIL LLFILSVLALAWAVFTLFSYHRSSANARFVALVDLAFVGALIAAVYELRGIADADCSS PDYSSVWYAPAHVPVPNWGWQTDKPCSMLKASWAFAIMNIIFFATTALAAFNHGGELT YYGDRRVVVERRHHSSRHSHRHSRSGSRHSHSHSHRSPHSHRRVYV UV8b_03293 MASELAAPPVLSTPDDQFLQPPAADSIPRSTLTDDELHFTYEIH RTAREIAQAGWSRIALQFPDDMLVDAPRVVQALQAELGAVPGAGGRPRRLHILADTSY SACCVDEIAAEHADADVVVHYGRACLSPTSRLPVVYVYTSRQLNREVLVGKFCSEFVD KSAKVVVMADLTYQDHVDDVVADLRAAGYANVLATRVQRNPEATIPNRALVGPDVADH DHDHDADENDAVKSYSLFHISDPPTALLLALRTRFASLHVFSPSDTSPAIDNPTLRAS GLLRRRFARVLSLASAGVVGILVNTLSVSNYTRSIDLLRKKIAQAGKKSYTVVVGKLN PAKLANFAEIEGWVVVGCWESGLVEDDAGYWRPVVTPFEMEVALMSEQERTWGGEWWA GIEKLKDDALAEAGPNRDSDSDSAAAATDGEPPQDVQGDESLPPQFDLRTGRLVGRSR PMHLAVRSAGSNDDGGGGDGDKRHSSAGGRGDQQSGAVARRAAGELASINGVASPGAE YLRSSRTWQGLGSDFDQEASTLIEEGRSGVARGYHVGEGSTRH UV8b_03294 MHRALLIAIAGINALSTKSQGASLETLDNVTFTIKGHPDLIVPP ESLDAVSQGLCDDKGFDANPPPPLPQRATANDLKWQPALDFDTDSCYNVPAIGPDGHI DQGRSRHETNTEGCRDEYDLDHGNVYSRQRCNNGWCAYLYDYFFEKDIGDRICIGHQY DWEHLQVWTRNGEPQFGCASAHGKYKARLWKDLPKEGTHMKAVYNKDGLIGTHYFRFS KGAGDEPPENHKHVWWRSSLVSWNGFPTLHLRSKLMAYDFGAADIALSDAAFPKNLEQ CAGQIKHKFADFKFDYTRDEGSPGSP UV8b_03295 MAKTMAVEPGSHFLAGKSICVIGGGIAGLAFAVGFHKHWHHACK PPKVTVYDRDSPDPARWRQAESYTVSISGYSDAGGLLALQQLCLVDQVLAHAVTGLQG SGCFKIWGPGWREYLSVRRRPLGGLPTASIRIARKDLRTVLARSVESCENSSVVWHSY CSGVEKLASGRMRVHFQCRGSSSQVDCDLVIAADGASSEVRAALRPADRLEYVGAVLR GGLARFPDGVPEPVCQNWGFITSSGVSCFLSPVDRNTVLWAVGHNQDQPARELSRSST RQEQQAVIEEGARLGSPFREPFPTMVRLTDAQTAMCINGRDKAPFCHDDVAEVPVVYI GDSNHPMSPFAGFGANLALSDARDLAQQLSGAHGSIEEAVRAYDGVSEPRARRVWLGS RRSLNRGHDTGWRHWSFLVLLVVGSWMAWLVAKLRKMARLG UV8b_03296 MSYQMHPQGQVPYAQPHAPVVHESVKKSMSRWWPVSFFLLALVF FIAGGGLCGGWAASYDSYYDSYGNGGLLLGAVVCFAIGGVCKFVAWILLIVWCVRGRS RTTITYVNAGPPQQPPSGGYYPASQPQAYNGGVQGKEAHAPPSHQETGLA UV8b_03297 MAGDRAHPSTARTPARPAAKPAGSATASAKQRSIMSFFQKSSPA GPASSPTTRDKVTPDSQPSCLKETTKANSLPKSKPSVKLSTPVPSSDAIEPASSQEND DPATAMRTRRGTLLSPTAASSSNKLVKKAAPKAVAGSSPCRKAKKVVSYAESSEEDEP FNYGGRAETRRNRGRTVVKDEDEYDEDGAASQVEDDDDMADFIASEDSEDDRRQSKKR KRSAKPQTARKRSNVSPPLPSKAETPIPDLDDDDMNKAPTTSTTSQWSYDPEATNKRP VVKPTERTAPRDPKYKEKAHTKEPEDRYPWLANIRDKEKRLPNDPEYDPRTIYIPPMA WSKFSPFEKQYWEIKQNLWDTIVFFKKGKFYELYENDATIGHQQFDFKMTDRVNMRMV GVPESSLDHWVNQFIAKQYKVARVDQMETNLGKEMRERQDKSGKKADKVISRELSCVL TAGTLVDGGMLQDDMAAYCVAIKESVADGIPSFGIAFADTATGRFQLSGFVDDVDLTK FETFVAQVGPRELLLEKSCLSTKALRILKNNTSPTTIWTYLKPGSEFWDADTSRRELK CGNYFASEHNEDGIWPEVLQQCKDDDLVMSAFGALVSYLKFLQLEGPLLSQGNFDTYN PIQKNGTLVLDGQTLTNLELFANSSDGSTDGTLFTLLNRCITPFGKRLFRQWVAHPLC DIERINERLDAVEMLNSDPTVREQFASQLMKMPDLERLISRIHAGACKPEDFVRVLEG FEQIEYTMSLLSAFRGGNGIVDRLISSMPDLEEPLSFWKTAFDRQRARQEKILIPGRG IEPDFDESLARMDEVKNQLDDLLSAKKTELKCRSLKYTDVGKEVYQMEAPKSVKVPSS WRQMSSTKDVKRWYFGQLTQLVRELQEAEELHSQLVREIASRLFRKFDVEYNTWLRAI KIIAQLDCLVSLAKASSSLGEPACRPQFFDHERSFVDFDELRHPCMINTVDDFIPNGI KLGGDRAKINLLTGANAAGKSTVLRMSCVAVIMAQIGCYVPAVSARLTPVDRIMSRLG ANDNIFAAQSTFFVELSETKKILAEATPRSMVILDELGRGTSSYDGVAVAQAVLHHVA THIGCLGFFATHYHSLATEFENHPEIEEKRMQIHVDEEERKVTFLYKLEDGVAEGSFG MHCAAMCGIPDRVIERAEVAAREWEHTSRLKESLEKAKTGCYIPLGVLSDVGSLLGGK GDIGSKGVEVLLKAIECL UV8b_03298 MPSLLDLLRQTTQVDCDTLDAEVAQTLGPFADCTSNQLCKPLHR TLVQQAAADASRLRHLQPPASPGEFIVDILMVKLQLLVVPHLSGYVHVQTNPRLAYST QGTLANARRIVALFQALSDVGPGRVCVKIPATWEGLQACRVLQKEGIATLATTMFCME QAALAGHVGCTYIAPYVNELKVHFEDGYVDPSPSFPFTRLSQHYYAAHALRTQVLAAS LTSTDQVMQLAGVHHITVAPPLLRQLAATDAASWTGSLGTYLAQPVSLDAEYDAILDD ESAWRLAFARSAFGASEAKLVQAINYFCDFQEKMEALVAGVGSLHGQ UV8b_03299 MRSIPPLPGGAPRVRALLGSNTSLPWPWRSARSPRAAALRPLAL AAAADSAGTRNAAFFLSNRSFDRSSCLDSVVARRAPAAAVRGRVRAQTTTTNSDTITN TTTNTTSAGPFTANVPHASPQLPPHRRRQARKQAVGPDQSPDGALPANASSILTSVAA AQPARSLRRTMAAFLSLSKPRLTVLIVLTAMAPYALYPVPEMLTPSMTETPSLSPLTL LFLTTGTALCSASANALNMLYEPGTDAKMSRTRNRPLVRGLVSPRLAALFALAAGAAG VGALYFGVNSTVSWLGLSNIVLYAGVYTPLKAVTALNTWVGAVVGGIPPLMGWAAAAG EAATRDGSWRELLFAGDGSSAGGWLLAALLFAWQFPHFMALSWTIRDEYRAAGLRMLA WTNPARNGRVALRYSLAFLPLCLGLCAAGVTEWSFAATSLPVNLWLAAQAARFWRLGG HGGSARGLFWASVWHLPSVMILALLQKKGMWSRAWRSVCGGGDSGDGEAGVWEDDELD EMAGMTAARVESLGGR UV8b_03300 MPKDYRGTSGGHHQHHYTHQLSSAMAASPLYAQQQAQRVQVPAS YYAIPPGPDSAPLQAPAAQQASYAGYAAEASAVLPPSQHRPSSGAWTVQDDNQLVTAR MQGLNWGQIKDAHFPSKSANACRKRHERLMERKGADDWDIRKLQQLAREYMAMRKEIW SGLAARTGERWNVVEAKCMSNGLKNLQSAARAASRRDRLETGSHITGYDDDSGISGIG LTPVDELDASYSSPETGSSAGAALSLSSSSATTGVDYYLQAARGGAAAARAHPPSSGT GVHPASYALGAYGGSHHWYSSSVRSSASLRHRYVSRGSSPYNIDMHRLPSGESGVDPL IVRPSGGRA UV8b_03301 MSHGFAASSDGSAFFCVFAASSFGNGQVGLLPTPRETGGFISGA EMSYLYTEIAMLHMLRSRARLPDRLPRDRIEFASTKLGSIPFPAAGVQNPQGPQGAMC DGRTDAEPYSRCPLARLSKGSMMLIQKGSMMMRVAIVVSATQAGTAWGAQHPAA UV8b_03302 MAYLHQYDYIFAIGTFFALLDAFNNGANDVANAWATSVSSRSIS YRQAMVFGTVFEMLGAITVGARTADTIKNGIIPNSAFKDNAGVQMLAFTCALAAASSW VMWCTRHSAHVSSTYSLISAVAGVGVATVGASGVQWGWNNGKGLGAIFAGLGMAPVIS GGFAAAIFLLIKFVVHIRKNPVPWAVYTSPFFFLIAGTVCTLSIVYKGSPNLGLSKKP GWYIAAVTLGTGGGIAILAAIFFVPFVHAKVIKKDPTIKWWMVFYGPALFKRPAPEHA ERANVPNYAVVQHNAEDDADGDDSASDSPKLAAKDESGKEHGILATKAHRAEKSLANL EGSQKSYKELVAEGEARFHARLLKKRGPLGWAMRTLRDNPMGAGQIYEFHNMKMLAKR IPALIVCGALYGLHYDIHAAQTGIEGTPEGERMKRVYAAAKKYPNEVEHTYSFVQILT ACTASFAHGANDIGNSVGPWAVIYGAWSTGNAAKAKAPVDVWQLAVLSATISLGLITY GYNIMKVMGNKITYHSPSRGSSMEMGAAITVLVFSQYSLPVSTSMCITGATVGVGLCN GTLKAVNFQRVGLLVLSWFMTIPIAGTLGGVLMGLFLNAPKF UV8b_03303 MRASSLLWLVAAAAAAPTDHVEEDVSPGGLESRAPDNDNDSGVD DVLNPECQRSWLNKDGYVPNERHHVEAACKYIFDGLPFPTEGLGCTYYNDKDITRSHP GLVAFKEAVCAHTDFLYLPVNIRNKCWTISTNIYARNLPRLRCRMEQFYRQKSPFAIY QNPPGYVSPYPAVWDNLYGQQLKQTPCLGGFKSFGFAQFGDLGFEENLVRCSNKPIRP MLET UV8b_03304 MGAGASRMSQWRPTYTRLGGRRQKKCANRGCLQSPNAAAFPSLR QGVMMPSLDRHWPPPPPAGRC UV8b_03305 MSFRRARFPHRHVDLDDLSHITDPKEKKRIQNRNAQRSYRRRMK ERLAELDRLKAMSRESSCESLPLERGHSRQYHTYTPCASSPDSGTPRAPFEPLRSFSA DAADPAMVQPRAIFHRGSSEIAADGLVHPLGQLPVAAYDQWSPRTPPAMKPEHGLYSP GSQRDDSTYFSTPSAFSMGSSYNSSPLYPETLNVYDVQFNGSHPSRGSVDDKLLPKPA PSFVQMPQPATPSALSCAPSPPSCHPQQSFSNNFGRIMHEVQAAGFSTFDDFVSAYYT TSFAESSPLAAQQHSSRSRGLPSLLGEVFAATHQWTEWEKQGTYEQCLRISESLLKTE VSKPHSAVRGALDHLVEQLDNSAADPAAVPKAFSELARVLQDEQPNVWALLRMLAGGG QVAYQGKHVGTIMTVMMMLNSVGYMGKSTFVDVFARLMALDSE UV8b_03306 MGWATRRLLVLLKVQALWAGGTRGDDVCSGDVIVNLLPLQTGAY VTVTQPLPGTATAGTTITIPPSGTVLGSVIIQTPTTTSPSQGCDLPNTLPGFQLYGCA ISAAGFPGFVKISTDKAMDLGKCAAMCTTRFIGVYNRQVVNIVRTQ UV8b_03307 MTPLSSLGGYVTVTQAFNGSITIPTTITLPPSGTNPGSVVIITP TGSTGVSYITVTQLLSGSLTVPTTVTVPPSGTNPGSVVIVTPTGSNSLITSVPYVTLT LGVYGIAGTTTITILPYGTSPGTIMIQTPVAQPTGPYTTITQGVLGLLSTTTITIPPT GTSLGTIIIETPATFTPTATGPYITITQAVLGLNSTATITIPPTGTIPGTIIIETPPA AVTGPYVTITQAVIGLTGILTITIPPIGTAPGTILIETPPASYVTITQPLTGNRTEAT TFTLPASGTFPGTVFIQTPMPSYVPSYVTTVIPFNGTVVAPTTITIPPTGTMPGTVVI QTPPGACTAIPTACILQYNSLINVDLYSDPYYDPVRSFFNGGTGAGPDYYFSQKPLQR GIGNSLTLPRTTNISPNPGARVYYAGMTIPADNFTLVWTGYYYARTTGVYRFCVNDVD DVDFLYMGSDTAFPCGSPYSPPRGARALLGSTCCYVGGAQICSSITLFAGYFYPIRSV FGNYVSNSALNLLVSTPGAGFTTDVSRNIFAANC UV8b_03308 MGAGQPSPSRQVTGDALRRLQTLMDGAKLLLPAICYARARAFDE AILATYIPLKDAKV UV8b_03309 MLYTSSSLAAVAGLLAAGAAALPANSNAVPVNATLGSALWINGQ PAERVSDSTLAARSISGTCGKGEDVVVCAKRLAQKFSNQAVGDQEMPKRTYVWKTNPK YHNKMGWADFTLEYTPVFGSCKVSDPVIVNQGALFACNLEGGCSQSFTQSRVVTDTVN YGYKVGTKVNVGGDIKFVSVSTEVSTEWSQDWSKSTEVTTTSQRTYNLANGEVCAPTT VQIQMDCIGDLKDAKMIAHGFRAQDPHFVKDFKAWCDAAFAGPRVFDDWQKWTGQDFR VLCNQVKNSRVPRNMYLRSSATDGSSWTVEGCSLSQ UV8b_03310 MRRSALGLTLVATAAPVHAGSLKDIKHIILFMQENRSFDHYFGT MAGVRNFGDPNIHFTDGVPVWRQPTTKTRNGVNSLSPWHINYLGGDWSDATQCMGAGS NGWEAMHGAYNNGRADGWVTSDTPYSMGYYKRQDVPTQWDIAEGWTIMDNSHQSILGV TDPNRIMWMSGTVNTAGSPGNPDGKGGNILSNRASPGCDSPGNNCFPFTWKTTPEYLQ EAGISWRVWQEVDNFEDNMLAYFKQYQDAPKGNVLRDNGNSYPGLQAFYDACANGTLP QVSWIIGPQELSEHAPNMPIDGAWLQRNIVQAVVNSPRYNETALIISYDEQGGWADHV VPPVAPKDAAGEWITDPFDASNGKVPIGPGPRVPRFIISPWTRGGHVFAETSDHTSDI LFLEAWAEANGYIVSHTNISPWRRQHMTNMVNAFDFDNPIYTLPIITAVRTPEARTDN NWSGNLSLGSLTGPWVGPAKCRNGYAHGNQPPIPYGKANAEQDMNALVEAGYKQVRGS ITEGRYVTIESNGLGLAISRGCSVVAIQSSKAHEDARQRWILHTADGNRFGNTFYIQS ALEKWYISVNGGLTTDQSKAKAFTIEYQASGSTYTLRGTENAGKYVSFTKSRRRNAQC GHAGTITWEGEAGRFKIYAVNYYLG UV8b_03311 MKYFAFENLVYSWTTTDAYHADSNLCPLGTESDDRFALHPEQHI YREPRTIHQRWSITRQLQRPDGVLKRVYLINGQFPGPTIEARAGDEIEITVINRVEND DDDGVVIHWHGMLMKGFNEMDGVVGVTQCRVATGETFTYRFHIHRKQHGTFWYHAHSA VKRADGLYGALIVHKPVEGRIAHSDMALYEYDGEKLLLIGDWYHMPAEKVLAEYKDFR SFAYEPVPDSLLINGMGSYNCSNARPGRPVACIKTDRLPLQVFGNKAVRLRVVNVGAS SGYSFQVENAALQLLAVDGGGAVSNATPQTSTLGVLYPGERMDVLLLPQKTITDRSAP STVDLKIILDPELMQLMNPALTRAQSFALEWVHCAHGRHDRNDIRDTVDMYDLNDAQG PVLARNSPVRKKPAEIALLYTSLAINSFKNDEPWGELNHSSWVWKDANTAPLLATHRT AWVNGTEQANPLRTFNVPQFAAGQDRWLDLVINNVDDKGHPFHLHGYQFYVLGSRQDE LGRSYNPFEAAVNHEDKLINIDTPLIKDTVYIKPRGYVILRFRLDNPGLWLMHCHVLW HQAVGMGTVLQIGRIARETARKAEQSCRWKGNHK UV8b_03312 MSNATVTPQARAHGWNVHFLAGTDDFAGLFLPPASDTLSFRDII DELRLCFELPHDPRTQDSTDPWRNIAFYHIGFVNVGPEQSSQPPPIFVWGHHLDLPVS APAGQPLFTVQPWSRYQVVRHKECDLANSELLEAHIQAGCATHIPYPSLRQDSRYLPP NKGSRDPNFAQLPYRKTIRPRGSSSPSKRSASGSVSPTKDDTASSRAFASDDDTTSLA IPYILTGMSAEVVRKTILNFRTSCLVESNTCAVSGKGRGWCANPAIGPGVQACHIVPQ QHYHIYPLPEPFSSEGRYSHGRLSEAWRRTWSAKNGILLSKTLHELFDLRLFSIHPDT LRVRIFVPYDMLEEYHGRPAQLPPNVDRKALRHHYEMCCIENMAAAMPLEEHLPPSYT GANSIASGSASVLGSDSHITSMSPKSARGLIVDAHTGDPVKRRSRQRRNNTDNPGLVN PDSASFSANDADEQAMSESGKRHTMGGNSTRRRKRRRNSDRENMYWANAADNEMVDNN INTHVYTPIPFSIQKFLSEV UV8b_03313 MRSTFTLLMVSAIVCLGSVGNAKQAAHSTSKFPYLSRKSEKFFV DGSAIPEVKFNVGESYAGLLPIPCSANSSLFFWFFPSKNPSATDEITIWLNGGPGDSS MKGLLQGNGPFLWKEGALAPVRNPYSWNKLTNMVYIDQPVGTGFSPSVGSVKDVTDIA EQITCWFKNFVQTFGLQGRKVYITGESYAGHMIPYIASRMLDTNDRTHFNVKGINIIN SVINDYSILQQAPAVAALNYHRKEFRLNESFIASSNARAEKCGYTKFLSKALTYPPPK QFPAVPDPEQNDCRIWSDILTASFAVNPCFTPYNLKHRCPTLSNVMDDGPGSYFNRSD VQKLLNVPPTNYKVGGGFMWSGFPGKDALGPRPSAQGPLPSVIERTNNTLISNGLLDY LLLANGSLATIQNMTWNGQKGFQTRPVEPLLVPSQEWQSNPWKKRESTEKAIHGGMSV KGTALTERGLTFITVFEAGHEMSRDAPAASYHQLQFLLGRIHSLSK UV8b_03314 MDSDYADADRMSNKFNVANSMLNRTPPNEYLPPSPPRTNERKQP KEITSVEELRQRYWSDWKNSKVDDPKEVYQIPISKHLDPLQHLEEFERIFRRFDCYPR YIRVRMPSAIHELVIRALESEYALQQSQLGGEWLNILSCGSTTLFLDSGRESRDPDIQ FRRQSEIYPRVIIEAAFTQTKKSLENLAYDYILKSDGQIGRVYGILLKPLGKPSAVIE WRARVTPSDDPDYDEDVRVEKSFYKKFRAEDGSLVNPDERLFIELDEFGIEGEDVKQS VLSIPFRSLYNALVRAEGMRFRREMYAETRPPAKRRRIERTPSPVEELSSAEEDADEV KDLDFVPSSDESSE UV8b_03315 MTRDGEDDSRAPLQVAIIGGGMTGLALALGLLNRDVDFTVYERA ATFGELGVGIHFTPNAERAMAALDPRVLQSYVDVATPAQGGVLNFVDGYRERGEDPKL SEEELLFQLHVGKGYKACRRCDFIEQIVKHVPAERIQYGKCLLSLDVRDTGRVALAFR DGTTAEADVVVGCDGIRSRVREAIFPAGPSSPQAQYSHQLCFRSLVCMNQAVKLLGPD KACKATGHLGPGAFLLTIPLAGIHAMHVEAFVMDPQQWPGETTDAASFVLPADRDEAK RAFAAFGPTVQSFLSLLPDKVDKWAVFDMLDTPAPSYAHGPLCLAGDAAHASSPNQGG GAGAGMEDALVLAEALAVLADRPRRSVDAVADALGVYSEMRYERTQWLVRSSRRVAQI FTCKDVDRDGIYCEVQERSHKLWNYDTDRMIRDTLSRLESRLGKA UV8b_03316 MASSHFNRGPNIPVSKQIFPGKEHEIPSLREGHLPTATGERTPY RAANKLLGKRAIITGEDSGIGAAAALLFAKEGATSTIAYLPEEEEDAQQTKREIEELG QACHLIPIDLVKRENWVVDFAVEKMGGVDILFNNAAYQMMVEDIADLEEDQWVHTFNI NMHSYFYMAKYSLRHMKEGAVMINNASINAYIGRPELLEYTSSKGAIVSFTRGLSNQC ISKGIRVNAVAPGPVWTPLIPATMSEKAQNEFTSPMGRPAEPSEIATCVVFLASLDSS CVSGQTIHCNGGEVVNG UV8b_03317 MRSVFRKRSWDARYAGRRRIRQAFEIETQLSSAIPMSVLVHAGG TLVDGLTSNKLILFQDGFYIELIASNPEIDPALPHKHRWGFHPENTIIDWAYALSNES DFAAVKRQVQQSGTFYEYGDALAGGRIREGGG UV8b_03318 MVRLSLLLTASAAQLSRQLSVPRAVNNTSLPLLKFSSKGTLQIC VFSDMHFGQYESGKGPEQDRSTIRVISDVLDYEKPDLVVLNGDLTNGDTISGFNGTHY IDQIVAPLIERNLTWASTYGNHDHSLYASGDSILEREQMFAGSRTRKMVETDGSGTTN YYLPVYSAKCESTSSCAPQLLLWFFDSRGGSRYQGEAQPNWVDRSVADWFRETNEALV NKYNKTMPSLAFVHIPINATWSFQTQVGLSSHHQPGIDEEPACAQQGAGWCSNGSLTE TCVYCGQDVPFMQALVSVPGLIGLFYGHDHGQTWCVKWDRLLPGMTVPGNGINLCYGQ HSGYGGYGDWIRGAREIIVTEEQLKNFAVDTHIRLENGGVVGAVGLNATLNKDRYPAT PNQLTFLSRTEENGDIAVSLAPSSEARRIRWGGFLVAVQTAILQQVLGACLGVRLF UV8b_03319 MSQPQETERSSEASDIENSNTYDGSGTPDDPYVVEFQKNDPGNP MNWGDFRKWFITTIATLSVFAVTFASSAYSVSVMEITQEFNISTELFIVGVSLFVLGF AIGPALWGPLCVSKSNEIHGLRILWIVSHIAMVAFMAGSAGSQNIATLVVLRFFAGTF GGSPLVNSGGAIADLFPPARRGLAMTVYCVAPFLGPILGPVIGGFISQGAGWRWVQGV CTILIGTIAILGVIFIPETYGPVLLIRRANKLTKADGKHYISVLEKSQGKKKPSEVFA RALIRPWVLLFLEPIVLVASLYMAIIYGTVYMFMGAMPIVYNRDRGWNEGIGGLAFMG IAVGIIIGLVYAILDNSRYMKLFLAKKATPESRLPPAIVGAVALPIGMFAFAWTNYPS IHWSVAIVLSAPFGFGCVLVILPIVNYLIDSYTIYAASVLAAAAIFRSIVGAVFPLFT TQMYENLGIHWATSIPAFLTLVCMPFPFIMYKYGSAVRMKCKYAFEAAEMMKKMQQQQ QQQAQAGPQKKEEDSTSD UV8b_03320 MHATRAASEKLNAMEVVLLAGLASKRRRLELAPLPRASATPPSQ PRIASIETPLTPSTEKTVPISVPNSGSEPEVERDQDQDQDPEQNRAFYTAHGRFAGQV AAAIDIRAGFAPAATCNLVPFVNAPLFGDVDLHTPYRVLNFATELPPRLYADKLVGIY WQYVDPMEPILDRVRFFRDYDAYYAKPGAPLGTDRDICLSIFNIVFALAVQRQEFLAM EKRDDEANRYFQHAWALLRPEVVLWQPGSLELVQCLMLMNRYLHCTNNQQQTWMTAGL AIRIAQSICCHLPESTSSNTETLQDQQLRHKVWRSCVALDRCVSWSLGRTSAPPLNPI PGRAGLTSATEYNDQKSRHSQEVSRELELFEIGSQIQIAQTQTRNAVAAKLGLPRLYQ QDEYHAVAVQLDTSLNTWESNLPTDWQLQNLHMVGDRAIRAERYLLHLRLLHNRIFLC RPLLARYYSMRTQSPTSPTRQTPCGLSDRLLKECAVLCVEASQKVASLVQETLEPNEP FGLLPWWCRVYYLHIAGVNFLAAMFSPDLYTESVSQSWQSVLASLRSHQHLSIYVQHC MRTFETLSARILQTRNPKADEGGNVSTEEDACNFFMEELVPPDVTFDFDNFLFGTEDF IDLQRY UV8b_03321 MGSLGTGVKDGWTTEPIAIIGMSSKFAAEATNVESLWRMIAEGR SGWTPFPSSRFSSEGVYHPNNERLNTTHVKGAHFIAEDVGLFDAAFFSYSGETASSLD PQYRLQLESVYEALENAGLPMAQIAGSNTSVFTGVFVHDYRDGLLRDADNLPRLMATG TGVPMMSNRISHFFDLRGASMTIETACSSGMVAMHQAIQSLRTGEADMSIVGGANLTL NPDMFKALASSGFLSGDGKSYAFDARASGYGRGEGVATIVIKRLKDALEAGDPVRAVI RESMLNQDGKTETITTPSLEAQQELVRACYRKAGLDPRDTQYFEAHGTGTQAGDTVEA RAIATVFAARRDPLLVGSVKTNLGHTEAASGLASIIKTVLALERGVIPPSINFEKPNP KFALDEWNLRIVRTLEKWPSAPVRRASINNFGYGGANAHIIIENVSSWMPSVVNGSPA YQENKGEESDESVVLVLSGKDEQACQRLVANLGEYLKLKTQRVADERRFLQSLAYTLG QRRTQFPWVAAQPVSITQGLGSVMESLESPKFKPVRSSRQPRIGMVFTGQGAQWHAMG RELINSYPVYRESLQVAEGYLREFGAKWSLLAELLREAHDSRINQVGLSTPICVAVQI SLVHLLRDWGIAPVAVTSHSSGEIAAAYTVGALSYRAAMAYSYYRAVLASDDNLKGPV PGGMIAVGLGLQETEAYLERLTSGGKAVVACINSPSSITVAGDLDAVMELEQLATADG VFARRLKVETAWHSHHMSPIAEVYVEALDRIHQAKGNDDVSQKKAIAFSSPVTGGRVT SFSQIGHPKHWVKSLMQPVQFVSAFTDMVLGEAASGASNVDVIVEIGPHTALGGPIQQ ILCLPEFAHVKLPYFGSLVRNTNARESMRALAANLLQQGYPVDLSAVNFPYGRDRHVE IVTDLPPYPWNHQVKHWVEPRFNRALRERSQPPHDLLGSLLDGCNPEAPAWRHTLRIS ESPWARDHAIQSNIVYPAAGYISLAIEAVRQMSKLNLTADAEKIAGYRLRNVDFLQAL MIEESADGIEMQTCLRPVNIKDIGSQGWKHFEVWTVTKDNRWTQHAKGLISIEIKGSS IGAAIIPKTQHGDIKGYTRHIFPTDLFSNLSALGIAHGPMFQNMKSIIQSGSESRSQV IMSIADTAVPNDLARDHVIHPVTLDSVITAPYSAVPGAAAREVAAKVPRSVGEFWISA NISNKAGHRFNTHSSLLWDNVNGMAADVLVSNEDDGEVVLHMREFLYQSLGRSLSSQR AQTWETELCNKVDWSLDLSIKVPATLSTIKAELSFKDDPGTEWQLAGLLRHLVHKNPR ARILQVGAGSGATTRRALEALGTAQFGGPNASLYQVTGKMSNFSEALREDLSPWNDIL SFDSLDIEHDPVSQGFTASYDVVIASHLLHGATNISAVLHNIRGLLKPDGAVLLTEDK PDQLDQHLRENGFTGIDLELQHAASTSVTILSTATQPLALQVRVEPEKFVIVTSRKAS PPSPEWLKNLRESIAACVRNAGDKLPIVQELECSSATAQWYADKISIFIGEVDEPILY ELDSASLDGIRAMATGSKGLLWVTRGGAVDCERPDLGLAPGFVRALRNEYFGRKFLTL DLDPKGQLWSEAGVSAIAEVLRNAFGVPSEGASPTERTGTEFEFAERDGMILVPRLRH DFTKNQRISQPFSKLREPEQPLNQLLNQPDRPLRWHSDLNAFGDDLHAEGFLDILPPN FIEIEPKAHGVSLRSADDHASGLGFETAGIVTRIGSEAAAKGFSIGDRVISISMQSSF PSRAVAEWSSTAHMPDGFSFEEAASLPIAFLTAYHALVETARLRQSQSILVHSAAGHV GQAAVSIAQSLGAKVYATVASAEERHIVEERYGIPSDAIFSSQDASFVSATLAATQSR GVDVALNSLTGSLLQATFNLVAPLGHFIDIGGQDLERNSSLEMKPFARSISFSAVDLA VLLEHRKAHVHYCLEESMSLVQARSVKPAHPIKTYAISAMTDVARLPQSQLKVGKVVL TVDAESKVPVMPRVASASLSPDASYLIVGGNGGLGQSVAHWLVSRGARNIVLLSRSAA KSDKTGALAKELLEAGCDHVLPISCDVANEDDLASAIDTCGEEGLPPIRGVIHAAFVL QDSFVEKMTLDDYHYTTRSKVAGAWNLHNQFNLPGDLDFFVLFSSINGILGYASQAAY SAAGAYEDALAHWRVKHCRLPAVSIDLSVVNGVGYVAQADAAETLRKALVKAGRRVID EHHVLASLEWAILHPHDAQFVVGGINSGPGSHWDVDGDLGRDMRLMPLKYRQPAESSQ GLEEPGSDSLATRMGSCAKRDEAIHVVGAALADMLADMFLVPAEDIDLSESPSQQGID SLVAVEVRNMLFSQAGAELSIFNIMQSPSLTKLAADVVDKSVHVEFVAA UV8b_03322 MARTATQTSASPVARTLLLFGPGAMTFDEAYFGRIAEFVQSDPA SQWAPQTLQDVASNWALLCEEIPNLQKSQGLIHAEKLIQWLRTGAVTPASTVASLPNA ILGPLVVIAQLVEYLQHLDSSTPDGLDRGKSFHVPPPDKTETVGCCLGVFSALVVSSS SSWSQFCHNAAAVVRIVFILGALSDAQDAADESGPSMSLVAFWRGGQSLNDLDRILAK FPEAYASVLYDDNRATVTTSTRTVAALRSHLQSSGVTTNDTEFHGRFHAGSLYGSDLE QLLSFCKTRPAFQLAHASRLVLPTRINSETVLSGRENPVEAASRAFVVQQFDWIRTFR AAVSGSLQNRASRVIEFGPERCVPPTLLRRLNNQVTHFDFESGVSSSRRKQSARTAPA IADNDVAVIGMACSVAGAQDVDQYWDLLLEGRSQHRELVPNDRFVMESAFRPHESGND KKKWFGNFLDDHDAFDCRFFKKSPREALHMDPQQRLILQTAYQAVAQSGYYHRRRVNR RIGCYIGCVANDYENNISHTTPTAFSATGALRSYIAGKVSHYFGWTGPGMTLDTACSA STVAIDLACKSILSGDCVAALAGGTNFYSTPMFFQNLAAGSFLSATGQCKPFDARADG YCRGEAVGAVFLKKLSAAVADGDQILGVISATAVNQNLNTTPIFVPNPVSLTDVFRTV MRKAGLHVKDVSVVEAHGTGTPVGDPAEYDSIRQVFGGPERAGLKPLQIGSVKGLIGH TEGASGVVALIKILLMMQGGRIPPQASFSTINPAIKASSSDKMEITKVSLPWEGSSKV ALINNYGAAGSNASMVIKQAPQLGPRTELGSQPSASIKYPIYISGLDEKRIQSYASRL LQYLKSKADSGVQLRIEHLAFNLYRQSNPSLSQALIFSSDSLKDVEQKLATLKTVSVP SPRPVILCFGGQVSKFVGLDQAVFDSSASLRRYLDDCDGVCKAVGAGSIYPGIFSRQP ISDPSVLQPLLFSIQYATAMAWIESGAEPAAVVGHSFGELTALCVSGALTLESALKLV HGRSKIIRDAWGPEKGSMMAIEADQDIVDKLLQASNALIPESERNGEAAIACFNGPRS FTVAGSVAAIDALQQAISARESTDASLKHKRLDVTNAFHSALVEGLRPQLEALGRTIV FGEPKIPLVRATRQEAIEALTADYVAQHMREAVYFNDAIQRLSRKHPEAIWLEAGSNS TITAMASKALGLPKSSSFQAINITNTSQGLQQLADATMNLWKCGLRVAFWPHAPSQTY EYPALILPPYQFEKQRHWLEFKPPTQLMNRRESMTDSAGSQADMPVAGLFSFVGFLNS DRDEFQFRINKAARQYAQVESQYLLANSSRSIPVAFAVDLAIQAITDIRPELAKPGNL HPQIFNVVNNQEITDAARVLFLNFEKIHAEAQGWSFKFISKFDNAPITHLTGQLYFKA IDDAHSSLEFSRYERLVSHDRTLNALRNTEDAEHVIQGQSIYKVFSNIVEYGDMFTLL QKLVGRRSESVAKVISRRSPETWFDFALGESFTQVASIWANCMSPDRNAASGTVYIAS SMEQWMRSPETLQEISAGRYDYKSPREWHVLAQHKLVKSDASFITDIFVFDAKTGSLE EIVLGVRYTAVSRSVLLTSASIPTQPSVEVRAGSSFVQPVPEAKEVLFQPQAAAKDPS PIRALRGPRKNAKTEIWLKLLPVLADISGLDPEEIKQSDTLADIGIDSLMGMEMAREV ETTFHCTFEQSELVSLIDVPGILEFLQKTLGSDDDDSSDTSLDESNDSFSNGGTPSTP STVADNIEYSAKYSCDQSSFDLPPTVVLDAFRESKSHTDFFLESHGCAGYLSGVSQKQ TRLCLVLTSAAFKKLGCDLEAAQPGQVLQAVPCVERHRRFHQYLYKMLEETRIVNIDD GVITRTPIPLPSQTAEAILADLMKHHADNGSSHQLTYNVGSRMADVLSGKADGPALIF GDAKNRELVASFYGELPFNKLCFEQMADFLTRLAGKLGLASQSRTTLRILEMGAGTGG TTKVLVPVLAKLGIPVEYTFTDLSPSLVAQAKRKFKQYPFMKFAVHDIEQPPGDADLI GSQHVVIASNAVHATHSLNVSAQNIRKFLRPDGFLMMLEMMGTLHWVDVVWGTLEGWW LFDDGRTHAIVDEARWEKELLGAGYKHVEWTDGKLPEVRVQRVLIALASESGDEIDRL PSLASSISAEEDGDSHHGLPPGEATVLKQVAASYVESSTRGFSIPESSGEAPDNAKEV LKVVLITGATGSLGSHITTHLASLESVDKVYCLNRHGQIPGAAKARQKEAVRDRDPRR RQIQSLESKHIYLDNAAMSKITVMETDSWKPRLGLSDDRYQELVDNVTHIIHNAFPVN GLIPLKQNEPQFATMRNLVDLAAAATARRHVNFKFTFQFISSLSAVGRYPFVHAGKRQ VPEEHLDIDSALPNGYGGAKQICERILRDTLGRRPDRFRAMTVRLGQLSGSTETGYWN HMEVLGFLFKSAQTLRLLPTIDGVLSWLPLEEAAGALADLLLRDGPDCYPVYHLDNPV RTAWNTMIPVLAEALGVPQKGLVPLGEWLRRVQAYPGENPWDNPAAKALDFFQHKFEH MSCGGVLMATERAQEHSATLRAARPIDDEAVKKHIEVWKRAGFLR UV8b_03323 MAYAATTRQQPGLACEECRRRKARCDRVRPQCGICAEAGHKCVV VEKRSQRGPKKGQLKDLRSRVAVLERRLVSQAQAASEADVPPLGRNDVTPERDILDEP VCFSSAGTDIGVDALRMLEFSCNEQLSGTPEIPLIHDTCGIYKDPWNGCNGGLASPYP LLFTTNSMQPSPLNTHSPQAIGDGELDMSDLVQAELDLLYFERVHHFAPMIHKRRYLA WAGDEKPSPAKACLRLAMRTVSASMSAQLGSLSDVLYATTRRLLETLDARGESGSLPW ITILDSQQEQVELERIQAWLLLAYYEILRKTEHQALLTAERAFRLLQLSGLFNVDARG IDSSTASTCSPSSSPSRAFSSAPSPAAASPEDSWIEVEEKRRTLWTAFVLDRLSTMLN NQPLRLHEEIIRTRLPMPEAEFQDGHQPACMNFLHQVMGNGDACATLPPFAECVVLTN LFSRCVAHRRLTQSVPLCASDSESRDFWARHGWLATTAASATTRQLRARGAPASDCWG SFSPKCDLTIWFNLLLGYSASVSLSETAETNPWLTLEDHLTVVSYRQIAYEAACEVAV LVKTAPRMAFLKVHPFLPNVISMVANFAITAMPSLLASEEEQHDHVQNLVEALRHLGA MNNLARESLFKLEAEITSGNLTADGS UV8b_03324 MAAPAQRQELDTWSIPGYVIFPEQNDIAPQSRAVPHPQVHPLDQ LSVWEISRSAKLIRDFANTKQLKFNCITLREPKKNEYAAFRLRRGPRPERRAFAIVLE QGMPNVAEVIVNLTRSQVEDWKPVTNVAPTLTLEDLDVMERVARTDPRVIEVCAEIGI TDMSQVYLDAWAIGVDDRWGFERRLQQGLPYYRASGLDNQYAHPLDFTVVVDTETEEV LSVDVRLVNGERTKIPTEPHNYLPQFISEGYEPHKLKPIDITQPEGVSFQMKGNEIQW ANYKMHIGFNYREGIVLSDVRIHDPYENCERTLFNRISVVEMVVPYGNPDPPHHKKHA FDVGEYGSGLMTNSLKLGCDCKGAIHYLDGIVSTSTGQAAVIPNAICIHEEDNGLLYK HTDFRDAHVVSARDRKLIISQIITAANYEYGFYHIFTLDGTYKLEIKLTGMLNTYCMH STESAAPYGTEVAPGVSAHNHQHIFSLRVDPEIDGPNNSILQSDAVSSDAPVGSPENL YGNGFYCKKALLKTAKQAAANYCHETQRTWDIINPSKLNKSCKKPVGYKIVNNNCPPL LAKPGSVVYKRAAFARKSLWVVPYKDYELFPAGDYVCQSNGEENHPHNGTVLDWSNRD ESIENTDIVCYMQFGLTHFPRCEDFPIMPAEPVGVMMRASNFFTKNPALWVPPSQIKK DTTSRNAFPNAVCCRGQKTNPRL UV8b_03325 MTWLRLPSNFWSAVITSVVMLHYPDLINLRAIGAAIDVLIPHEK RVKSRKLAPRTEPGRLLATLVQSPATAKNDLIDIFTSLNPTDLADLTGPTSPAGPTGP TNPTSPTNPTGLTDLFP UV8b_03326 MLREPSLDIKLSKSLFYSYSTREAATYTGKARGVIRQGAAYLHP GHPLCRKTGVVRAKGYHSYKELFGSIFSDYKLYANAKIAILALPEAVVDKIYIAGHNQ GRATKAGAPPRSKVKAA UV8b_03327 MRDTAFIQDWINAVALNKDTLNTTDFKTTGKRAYPSPEPDEMSD LTPERTKKRKTASTDDDDKIEDLDAEKTPRALLISPRPAPSPSISPTKSSASIRSASL RSSSQASSSRASSSRRKLSNLSLVEHGIQRQQLNGPDTPNLPQRIVDALKTIQRLERG KHVFASTLDRSRFTSLLDVNDLDDRAFATDRDIGSHLLPEDVDEILADAERCFKMDHD EGVWNVEVHQYLLKKVLRRSGSSRLVDFTLCTTAPIIRDYLPPRTAEKRVDFCFFVDP SFDPSYKQRIEILVKSLPEMSISHTSYGPLRVRPTTVSLETKRPGNDFDGAILQISVW QSAHWVMLRSLLGQTWPGKQACGLSRKDYADKGLRELGALHGILIQGHDWIYVATSPE FVNEEGRESTRTQLWLYEPIGRTNTAFGVHKVSAFLEFLKTWSLQTYWPWYKRYFLQE IGTVLSSDPIAISAVS UV8b_03328 MRNSSSQDAYTGLVPQLSALDELIPKAGITDTGQPGPSQNDSTL VRQTPYTAGSRSTCSNTSIQSQSTSTNSSTIGAVRQEEARLRWNAEEELKRVSRKLLR LQKWALIIGLTVLNSVLITTSLLLPQAVYVFLVFVSCNTMLQAGMVLCIVVNVVWTRI FRTWRIETHEASTAPETMVLLLPCYNETKEEISRSLDSLISQRGIEKHSRLIFIIVDG NVRGPGMRKSTHDYFLQDVLESGTSRYFENGYRARDGLFMPVNTRVGRYKGIPFVFVG KRHNQGKRDSLCFVRSFLYHFKKRAHGAVTIFNSELFAYLGNCLLESGLSEVDYLVGM DADTSFDEFCIAQMVQAIRKNPKLVGVCGHVCVDFGNHNFGLWSLYQSVEYSQTQGLR RMFQSRITGKVNCLPGCCQLIKVDEATFGDVVLREKFGYCPKPNDVMTQQIMGNYSED SIHASIIFSLFPGKQTAQALRSKAFTSVPQTWKVFLSQRKRWALGSISNEFVMIFRPG IILVERLQSIVAVMTWAITPFIMSAVGQLIAMFVRKGAEMFQDKVFLGLMSALWVRYL YSFCIGFWLPRNNLERLQYFMGFFLHLFMSPFINIAILLYSLLNADDFKWGKTREVVL ADDEKGHVCLATIATGV UV8b_03329 MLGWWRLSVAAALGAEAVAAAASHVPLAVTLVMFRRQSVCGPGE GSCDAGSCCSESGYCGSTVEYCGGSQCQLDYSHTCDTLVPPAGGDTGDILRPRAGNVP YGGPLITSCKAPGMVALSFDDGPYTYTNELLDQLQSTGVKATFFVTGNNLGKGPIDDP STAWPGILRRMYREGHQIASHSWTHRDLNQINDTVRRAELVYNEMALRNIFGFVPTYF RPPFLECNAVSGCQDVLGRLAYHSISANLDTKDYMYDDAALIQRAKDRFSQGLSANAA EASYIVLAHDSHEQTVRNLTSFMIEVAEKRGYKLVTVGECLGDARENWYRPAAGGNSY SSRSCRDRTQTQTQKQTQTPTSAWPRTTSRVSVSVEGRCGGRRGHTCAGSVFGSCCSH FGYWYAWSNPKLTIEQRRECFVV UV8b_03330 MKLSPFPEPLPSDAEECSTSFHPRWTHYSLTPPSESPDRTEVFP PVQGAPASVAVIGVGYVGQHLVATFSKAFAVIGYDVSQERIDQVRKQLLHNDQAHFTT SAAEISQATYFLIAVPTLLRPDKSIDSSSLRDAVAIVSTHARPGSTVVIESSVAVGMT RALLGPLAASKGFYAGMSPERVDPGRSEPAAHAIPKIVAGLDDVAPGSLETIKELYSS VFDELVPVSSPEVAEMTKLYENCQRMLCIAYANEMADACVQHGIDAYEVCHAAATKPF GYMSFVPGLGVGGHCIPVNPYYLLSNSNFPLLRAASDKMAARPRDVARRIVARFSARE AVPRRPRVLVVGMGFKPGQCHLANSPGLELARSLVVTAEVQVMWVDPLVPQDAIPQIP RLEVQEWSVPLLDSRFDLVVVAFRQHGLDWSLLNRLQVAQVEMWCP UV8b_03331 MSNSQPSSKFPSSAAALPIQFAKKSSAAAPKLNSEMEMGSLAAV DGQGGSHATAAAPAAPPPENDIMQLARIGDIVAMEKLLESGDYDATYSDDEGITPLHW AAINNQYAMCKFLIEHGAEVNHKGGDSVATPLQWAAQRCHYYTVNLLLQHGADPLITD AQGYNTLHISTFNGNVLLIVLLLHQGIPVDVLDTYGHTSLMWAAYKGFPSCVDVFLRW GASVHAADEQGFTALHWALVKGNPGCVQKLVEYGADRFAKTENGKTPAVTASELKTQP AWHRALRECGYDEEGNPVTPPWPGTNYFLKDKRAFTTKFLFLWPWVMIWSVLIVLSHT PVLLGAPVAAGIVYCCYWFATQVLEYAPPDMRHFHKTPWMAGIFAGSLFLVGTNWLTT VVWSTMRDESSHLLLNLVFAALFSLTTFFYAACMRFDPGFVPKLNGIAEQRAAIDELL ASWKFDEANFCVTCMIRTPLRSKHCRRCQRCVAKHDHHCPWVYNCVGINNHRHFFLYL GCLTLGILVYDWLIYYYFGLVSAKASESCAIFGPGLCRLIHSDAYTLFLTVWVTLQLV WVTMLVVTQLIQVSRAMTTYENMTGIHTREAATALTSTGTPLDPSLAAVAASTAPPSG HSSKHGASMLKQWSRILGVDPFIETVTGRGAATGKGRRRKRNPYSRGCISNCRDFWCD PAPVFGPRENGTAVLGGDRVDYTAMYESPTLMHLAGIRRGAYEALGTEEV UV8b_03332 MASLSRIAELLLTPSIPVFLIGLVVILGAPILLHLALSSSSTYT VPPTIVLIGPDNSGKTSLLTLLERGTKPAETHTTQAPQSVELNASTDAHLKTSFRNHR DSTGTYTKFLLVDTPGHGKLRNMAMGQLSRSERLKGVVFMADAAALGEQETLVSTAAY LYDVLLLLQKKATEKGKTKAVAPVLVAANKMDLFTALPASRVKAQLENELSRIRRSRS KGLLDSGVGVDEIGSEEKDTWLGEYGSEKFAFQQMMEFDIDVQVIGGSVTAHEADVDE WWWWMAQRT UV8b_03333 MSDGVPRVGRKPATVLSAIELIGNTPLVRLNKVPQSLGVECDVY AKTELFSAGGSVKDRIALRMIEQAERSGRIKPGDTLIEPTSGNTGIGLALVGAIKGYK TIITMPEKMSAEKVSVLRALGATIIRTPTQAAWDAPESHIGVARRLEKEIPNAHILDQ YGNSDNPLAHEHGTAEEIWEQTDGRVTAVVAGAGTGGTITGIARGLKKHNKDVKIIAA DPQGSILALPETLNKEHANQPYKVEGIGYDFIPDVLEREIVDKWYKTDDEESFVLARR LISEEGLLVGGSSGSAMAAMLKAVKDYGFKKGDVVVVVLPDSIRSYLSKFADDDWLAA NGLLASNGFKASVSNKTDSADPYAGATIASLRLKPVTSVANTASCSEAIETMRDKGFD QLPVLSAASQKLVGLVTLGNLLSYISRGRATPTSPVSDVMFDFRHLDEIVTDSRQSAA VCQNTAGPKRKFVEITLETPLSTLSKFLEWNSAAVVTDSGTDKNSLSKPIAIVTKVDL LTWMVSKI UV8b_03334 MKFFKSLLYTCVLSAVSATDELTPDKAEADIQQDGLRNVLWNLN KIANDNGGNRAFGTPGYKASLDFILERAVRRFGKHMDTYLQPFNHLFHRVNRIQLQGP DGNDVYVVSLMYNPATPLPGGLSGELVNIPVDDVRGSGCFEDQWAGIDARGKIALVKR GICAFADKAKLAQGHGAIAVVFYNNAPGKQYGSATLGAANVGKLIPSGLVPLEDGQAW MGRLSQGEKLAVTLVVDTTSETRPSWNIISETKEGDPNNVVMLGAHLDSVLAGPGIND DGSGTAALVEIMGSFKKYKGFRNKVRFAWWGAEESGLVGSLHYGSKLTEAEADKIRFY FNYDMIGSPKPSYTVYADNDAHRVGASPLLDYLKAKGKPAETKKFGTSSDYVAFLKLG IPSSGLFTGAGAPADACYHLACDTTDNIDWDAITVNTRAAARAAAQFALSLDGVPQRN KTTLNPGSKRGIWRTFDSWADTVEIAEKMHKCGSGERTT UV8b_03335 MPPETTIDKTKEPYSDASSSYWPEGWGWERYSHPDEDATSLPEE EQEKIRNGFRHVLGNDGFNKMMDYLFEKEMAHQKKEQDLANALPPEYKAPDFLRHWRL RCSSGPWGFYAFRTALYGQDDKWEEFKTRLDRLVNIPFDHVVQAHRGHEYEEVAEGRR TFTIHWIEDEQLAGANADSLRKRYSALRQDKEAKGALDLGMFLCASPEAVESVLSPNQ DDLPTTDSLAFRDEAPFLLAVMMEESTNPHGDEEPYDPHDPHHEANWYKSVFKVPIEL IANEFWKTIEDPVMGLARITRNVRGSTELGGELPKIIPLDEPYEYWWGAGPTPRSIKR RRMG UV8b_03336 MVLDDYCSNLIVDQNICVGPPGGIKEFVTIPGATVTKTATYATI TASRPASVVSGTTYKCGKYYLVQPGDYCEIVAISNDISFALLRKLNPQLDEKCSNLLS GYHYCVLPYEDPSKTSSTTVQAPTSTPSGTTNTCYEWYVVQSGDSCSKIQDKYAIQFS QLKAWNPDLNDNCTNLLLGVAYCVHGPDTPAAQGAGKREPRQSPAKETASPRKRSEGA AGGVPVGWPGVDSPRYRKIMGLGPKDEI UV8b_03337 MLRLPLLLTIVSARLWPIVAGDDKKYSFFFSIEVTDSLFSNITP GCNLALTAELPACPREFLQLLSNGAYYSLEGQEYMDVLCNSDCAPQLAAYRQKVLTAC AGDPQPRPGFPATYWVDAVTSVRELMCLKDSATGKYCADVLEAAFQDNATSTDMLGGF ADEQLCSECMVNVFRQQQSTPYSNYGEEMMEAFQRIQQKCNLNYPTASQPLQVNATSL FNYAPAGYAVAKCASDRTYTVVSGDNCLSISKNSHVSTGFLILMNGLRILCLPPVCED YVIRSGDSCEALASSKACTFQQLVSWNPRKHPLE UV8b_03338 MGPDYCSASNCISNCDSKSECNPGWGKEWSKRDKCPLNVCCSKF GFCGTTEEFCGGKKVKPPTCSGGTSANKRVIGYFEGWAHTKNCGGLTPEKLLNGAYTH LNYAFAFINPSTFEIANMQDSDDQYMARLTNLKNFNPGLKIAVGGWSMNDPDQPTKRT FSELAASSDHQKTFANSLLSLMDKYGFDGVDIDWEYPVAEERSGVPEDFDNYVSFLKN MRGYLGSKGLSITLPASYWYLQHFDIKNMEQHVDWFNMMSYDLHGTWDGTNPYLGAFV NAHTNLTEIDTALNLLWRNDIKPEKVIMGMGFYGRSFTLSNPGCATAGCGFRAGGNPG KCSASAGTLMYSEIKDIISSGKATVTTDKDAAVKIVTFDGDQWVSYDDEETLRTKMDY ANKRCLGGVMVWAASTDDEDGTAIQALSKAAGRADFALNIKAAAKSNDASKCVWGECG ATCPSGLVPVASGKGNKNVLGIELGCNKSSRPFCCPAKDAPICTWKGSNGLCGATSGG RCSGNEIEIAAKTDGCVTGHKSLCCSKTSTDANFGACKWFGAAPVCASASAVSVILNV PITAGFSFASYGCGKEKDKPMELTTGKQGEGGQKSCLYNGGFKSYCCSNPPPWNKCQW RSGHTTWVQWKELIAGPLLSALLDFSVDCKTGCEPGEVTVATDSFGCRSGTYSYYCCA DPNFKEPPKVDYKLCYPPKNPFVSAQKDPEASEKNLFLETSAFDSGCAPTHQQRAARR MSRSNFTNELETSFLSSHHGQRQDLDARGLGIRGAHAKQPMKLCDRLRQSDVNLYFQA HPGGSTIFKATRAAYTAAQLGVCAVPGITGLKTLASYVNWVTEHVFEKQEFRNAIQSM IDGVTAKGNALKAGAVPFSVFDTNGLFQSNWPQGFPQSVSFTKTWSGNIADFFTGLLG RTSDAGLQNSYIANFQVCDEDYNAYKATIVAGHEFISDALWKATTDQEERIGLLTDVV DTFTYRATSNVVTSFKTVYDNLVLMWGDFGTLYAQNGGNYDFSGAFKQAIRENLEHQV ETAQGTFLTLLATSITYWNSNAATKRYGAQLVADQQKKLAGFKKDVKTLISLPIAKMA PP UV8b_03339 MGNFQSSPLQQCLNSVCQGRSACVAFPSDPLYQLSWVKAYNKAI HVTPIAVIRPKTADEVAQAINCAVQSKVHVQAKSGGHSYGNYGLGGQDGGLMVDMVNF QQFTLNTTSWQATFGSGYKLGQLDMQLHKSGGRAMAHGTCPGVGAGGHLTIGGIGPSS RMWGTALDHVIEVEVVTADGQIRKASEKENSDLFWALRGAGASFGIITQFTVRTQPEP GHVVEYTYGFNFGRQQDMAAVYESWQKLVNEPQLDRRFSSLFIAQPLGAIITGTFFGT RQEYVATGIPGKIPRGGTLVFKATDWLGSLAHIAEKTGIELSNIPSQFYSKSLALRQQ DALTHETVTSLFNYTGSADAGTPLWAVIFDTHGGAISQVADDSTAYPHRDKLIMYQSY VVGLPLREKSRDFAQGIHDIIQKGSPNANTRYAGYVDSMLGRSEAQQTYWGGKLPKLG QIKAKWDPKDVFHNPQSVDPA UV8b_03340 MTRSLCWGRWAANVVPLSNEWNTSYCSTSISFYSQSVGLNDGVS IFPVLVLQATAAPEHSVPLVMGKADTREQAYAETFPSSLFRAFNGELRWLQVF UV8b_03341 MSDAYGPQPAVPPLSSDASDTRAAPAAPTAEYDGTDLFGLPAAE ALRLLSSSVEVLVRMTGDIPPTPSPKTLTNPQMSGMQVEKDNIVRSHSQQNLARLRQQ AGAASPSTSAGALSQDLNKLQAYSASMAAQLVAQQEQNRPAPRPASAAVEPAEPIDGV QLKHHSPEPPPYVIVGADSQPVNLQHGAITRKFYSKKEPPITIKQYLLRLHQFCPMST AVYLATSLYIHRLAVVERAIPVTKRNAHRLVLAGLRVAMKALEDLSYPHSKIAKVGGV SEVELARLEISFCFLAGFELVVGEELLREHWEQLKDGKAQQMLKGLEVPTLKLDVRPR EVSPASG UV8b_03342 MAFFPRAFYEDTSSFTPLFRLLDDFDTYSRGGATHHEGGKRSGG AFWQPRFDVRETAESYELHGELPGLSKDNVHIEFTEPQTLVVRGRSERTYTAGTPPAG VIEEGERKGAITEGGEGGESHRATVEDVDEGKDKEGQQGETAVQKSDAKKRDQGVKYW LTERSVGEFSRTFTFPAPVDQDGVSAGFRDGILSVVVPKAKKQGSRRITIN UV8b_03343 MCTMDIYTYVYPDGHKKTSLPYGHSAAPAFSGPSSYLSPYVDQC PPSPTYTPRTSTPGHRSGDDSDRSYRGSTGGRHRRWSGVYVNGQKVDSNHHSRDRRER ILLVDHPPTPPQTPPQAFAFPHAAPSSPSFANTSPLVVDATHRGPASRRPVIVDERLS RGDGNRSHIRIEVIDGDRSKDKHARQSKLTKIAKANAEIANRQPVPLKRTGAEYGDTE REEEQLFRTIRQLNIEERRISDMARVSTRLEELEAQQYQLRQRMRRNRVQYDDGVYRR G UV8b_03344 MTRIVESLKSVIRNLSAVYDGGQINLGDATLVPNPRLSNTDANI IFILSAFSVVTFIVYVLRNQLQARLPQWLRPFAREEGPGPDKRKAWTHWTLILGFIGL SGLVLSIVPICLDPRELRAIFDIVPWLCVLLVTAFDRPTSTPRILLMQYLLMLFAGVG TYSAQFLHHSLRMIDYFRIIRIALALIGVIAIGNMPLRNPAWDTDDIGNPKLPPSYHV RSPEDNLTLFTFWAMTWVYPLAEVCRHKEVTVDDVWQLPLDFQHNRLFLAFRELRGKL LPCLMEANGLDLFIATGLAITEKVAEVSNIRLTSRLYGALDSGNFNEAIFWCAVMLSV DFVRQISKTTSSWYSRKAYERSRGETFIALFGKLLTRAVPGSDVTEKGPDEENVDNTV NYATEHKRYCFSLCCGSRKKKNTLDPQGAPASNAKVVNLVRGDTYEISQRFWDFPRLV SQPIKVIVTIYYLVDIMGWPSCVGFGLMLLFVTNNALLVRKLVKLERSRTAHSDKRAQ AVAHFVEASRPLKLNGWTLSWSARIMKFRALEMLKRLQIARVAATISTINVAGGATYP LASISLFTLILRQGLPNDVIWPSLQLYSQLEASVRETFDLISAYWKATIPVERVNKYM GEPDRDENSLGTTDVKDIEFRGASFAWPSTDHLVLSHVNLKFSNGLTIVRGKVGSGKS SLLLAALNEMELHGGDLLRPDESIGYAQQLPWLQNKTIRENIVFHHRFDPTRYRQVIN ACALSPDIAAFPEGDQTKLEEGGVGLSGGQKARVALARAVYSPCRILLLDDPLAALDH DTASNIVRRLLQGPLAKDRTIVMVTHRDDLVLRVADQVIDMDNGIAKVLSAEQIKDEL EHPYHAASHGRTVEGEDAASAHGTDEEEAEDEVVLREAPEEPAETGSVPLSVYLSYVK AGGWWMWAFLAFFYMMSRGCDIARAQILESWGNQTAQDLAGDEGGYWGLPSPENHPHI WLSILAGFSAGQVIAYGIAQLLLSKISVDAAQGLFQTAIERVSRATFRYYDTTPTGQL KNRLISDMGMVDGGILAPLEGFVFNLIALILSLVAIATHQPLLLIILGAVSILFVYFF RIYVPVSRSLRRMEMRYLTPIIANIGVMQDGLVTIRALRVEGRFLDRHLDAVDDFQKQ DHFFWSMAFWLDFRLGISSACTRAMLMVCMIWLGTPASAIGFVLTQTTIAMASVQQLC EKIAQLQLDAVSLERVNMLNKIPEEPNGGDEPSDDWPRPCDDVKFETMSFKYDKDLPA VLDKVTFEIPGGSTCAVLGRTGSGKSTIANALLATQTAFEGTVKIGCVDVAGVNRTTL RNRVTFIQQDPILFPGTLRDNVDPEGKFSNAECENVIHRVLGKDWSLNSTIDAGGKNL SQGQRQLVGIGRAVLRRSGLVILDEATASIDRGTAATVQRVLRDELSRSTVITIAHRL EAVEDANWCLRLERGRVLECGPAKDLAGRSDE UV8b_03345 MRSFSLLSALCSVTYAHFLLKYPESIGFDDDKEDTAPCGGFTPD FSKKLVDFHIGGDAIAVTLTHPQGNWLFRVTDDQKAESGWQQIFPIVQQSGIGDFCEP QVTVPSKYAGKKGVLSIVSSATDGLLYQCVAVNFVDGKADKPSACTNATSVKVSFTDD SRLSAIVGGSASSTSAAASVSSGSSVSKTSAAPASTSTGAASSLHTWSTTSSLGWGSV FTALCMATLGGALMI UV8b_03346 MDMGHMHNDDPGLGMDINYTFARDFWYVLAGVIGFLAVIRGIEI YSGKQRIKACETISIEVATRPDSYIAQLWATATAIVREISHPQFYVSKPGFRWATPPP LGRIIVLLSYWAMIAYFMTWNAVKDDAYYWERIGYRNAWVSIMQLPLVFLLSMKFNMI GFLIGSSHERLNWLHRWVSRTMFITATVHGWHFWTEWVRADFVQYELQMMPLVKYGLG AWGVLLFNVIVGLVPIRRMVYEIWLVQHVLSSVAMLVLLTYHIPANAMYLLWMSIGFL AADRAVRWTLTGWHNVQFKRDTSRCQGMKRLGHEISARAVGDSITLLTVKDAHFKWHA GQHIYLWIPRLGLIESHPYTIACAHQGYSKSCCCNSIQLIIRAHSGFSKRLHSFAVKN PTRYLTGFILGPFGVTTNWNAFETLVLIGASTGASFTLPILEDVANTSRGTCVRRIEA TLVAKSSEQLDYYVERAREAAGTAREKGIEVRLHVCITGASCNRDCGVPLVQFGHHGQ ADDSEEPTSTEKPGRSCYGTARITDDPREMDCNPPSSSNSRDSGCTIELEKEYSSRPD IETLIREPVAQAWGETAVVVCGGKEIVARTRNCVSRLSDERAVHKGTGAQGIYLHVEE YAF UV8b_03347 MSASSEKGDAATEASGNAPDGATLTALRRFIADNEMDYNFPQGL VDRAREFLRHSHTTDLESAQGLMADIEQHNALARNHSAYAEVRAVVDATDDPTELVAT FRVFVMGTIFSIAGSAIEQFFALRMPTINMSPYMVQLVSMPFGVLLAKALPARTFGQG TWWAFSLNPGPFTQKEHLLIAMMGNVTFGARLSGAYINSIVQVLKLPVFYGETTLANS IPWQVCTLLSTQLMGYGCAGMARRFLVYPPAMIWQRPLAIIALTKALHKDHGQNARAA VNGWTMSRYRFFVLCFSAMFVYYWVPNYLFQALALFNWITWISPRSVVLAIITGASCG LGINPLPTLDWNIATYLGDPIITPLFTIMNLAAGMALTGFLVAPFLYFNNVWNGAHLP INTNKIYDNKGNVYNVHRVLKADMTLDQAAYRNYSIPWLSTMQILNYVSLFAMYASIP AYICLHYRKAITTGVKSLTSRKPRCEEFTDVQNRLMCAYKECPHWWYLGILVLSFILA CVSVSMWPTGMPIWGIVVAVGFTILVQIPLGMLWAITNMEVPTSILALALGGYVLEGK PVPNMMFKMFSFMSTSQSLNFTADLKLAHYGKIPPRWAFAAQVYATLIAGFVSLAVNH WVLDNMEDLCTESQKDRFTCPHAYSFFKASVIWGVIGPRRLFGPDGPYAKLVYAVPAG AVLPVLVHLLHRRWRTSWLRNVNVPVFLAGPMCWSPFNWSYMQGTVMLALFFNFFVKR RHLLWWERYAYVMTSSFTAAIGMAGLAMFFTLQKWDIRLDWWGNRVGKMGVDMGGLRE AGQVVKCVFSPEEFASGF UV8b_03348 MAADFEAVLKAKYPAKAHAKRVVDLIRKTVPDANGVIYLEARMT KMQEDNDSPEHFRQRRYFYYLTGCNAADCYYAYDIQSSKSILFIPPIDPDEVVWSGLP LTIDDALNQFDVDEVRLSNEVNATLAHLAKQNPDSTVFTIANQVSDHVTFLEFASKDF ETVKPAIEASRVFKDEYEVAMIRKANHIASHAHRAVIDRAKVAATEQELYATFLERCV SRSAPEMPYHPIVASGRAAATLHYTDNNAPLSGKLNLLIDAGCEWNNYASDITRTFPL SGKFTKESRDIYQIVLRMQRETTELIKGGVIWDHLHLRAHQIAIEGLLALGILKGDAQ EILDARTSVAFFPHGLGHYLGMDTHDTGGNANPKDKDKMFRYLRLRGHVPAGAVVTVE PGIYFCRFIIKPYLDDAPHSKYIDANVLEKYWDVGGVRLEDNVYVTEKGCQNLTTAIK EISEVEAAAARS UV8b_03349 MRILRLLAASALVAAVPYPSSNLKRVPEGNEVIDLQLQADEKFR CNMCSRVQKIYVTSPVDTICTGEFCGGDEEHAFVRCRRGTQHRDNGKPARVYDIQGTV GNSTNKKFCQQFYNHLSAKCNQGHDLASDPDAVHLKCTEVYRDEYPLKFSAFLELRAW DDETDRCVARGWRAGFKGTDVNWLGARGCHEPDEEKKEEARG UV8b_03350 MVYYFTSTTVQPPAFIYVGKDKYENEDLIKYGWEQDVWFHVDKL SSAHVYLRMHDGQAWDALPDHLLTDLAQLTKANSIEGNKKDNVAVIYTPWANLKKDGS MDVGQVCFKDPRQVRRVLVPARENPIVNRLNKTKVERKPDLKHERDEMLKELRRRENE AQTARKKEEAKQAQEWKERKWQKDHAYDDIFTEENMAATSNQHRDASWEDDFM UV8b_03351 MECFTPIGGFQLPIQRRLSRLYNDTKKSSDFVREPIQNDERPEM KSLHRKLRIQKDRLVSWGLEWSDPNQSAEIDESLSKAGLSEVVGSIMSTIKDILAEAE PLWQSSKHVTSRPSPHRKVPIVQWDSARFEDLVRDLTASIDTLYDLSRTRSSDYFPRR MSKTTYKSQSTDESRPFESSRRQTPQQINPENLTHLRSKQQDDAAIPLREVVFMSKTA YSELTQGSTTREPWAPLLLEYATFDSIYSVTGVMPPMSRFEKLSSALQQEPQRAPGTW TGLPRLLGYFEDIDNSRIGLVYRFPASFNHGPVERLTQHSLYSMPTLRDLLTCQEEPP LEAKFRMAYNLTNTIFDMHARGVTHGNLTDSNISFGEESIQAFDGPNAEIDVRRPLVS SFDLFPEDGTAAQISPWRHPLDPRTLQSSLGQSADERILELYSLGMVLLSIGLWTKLE TLWPDPSSMVIPGSTLERLATRCGTLYMKAVQACWDAVDNQLNGKGRGEALLSMVQMQ ASRFLETCCILDGVSGLEQRLNQELREVPSAQHPAELSEDAKSPACYPAKKEQDNRRS DSASETADHAESAEADAGGKQKMKLYPQVSLSPDIVDKWNTILMPQIDQALRHFYRKH SESVEISLESVGPSPHATQPTVLVICTSVGKVRAILKKRLGELFDGTTGFGLKVCRGH VLRSRRHSKPVIPSMARKNSSSSTNGGDQANGDGEVAAVNPAYQERPGNGASIGAWIG DRHLPPVSFGGLILVDDKPYGMTVHHMLDDPDGDAIQNETLRSSAGLDGYGWYAEFME SSQDGDDFAYELSDTESEAYSETDITSDQSDDDEDDEQEGTNKFEPGDTPGIEPGCGE GYIITQPALDDVEEGFYPCAETEDEDHLDTFTLGEMYASSGIRRKQAHGLTHEVDWAL FQFADGRLPSDNLIPRFDDGCPNTTAAHAGSRKEAGSLRPTSLAPSSTLPGMEVQCLA RTSGLQTGLILPTLTSVKIYGRCSPSHAYQVAHTPVTRSAGNKTLHNIPLGIPGDSGA WVVSRQDGRLCGHVLAWSQRKRVAYISPMDVLLLDVAATLHASEVRLPGGEPVVSVQD ADQDQDVGKAKKDEDSDASAEDEEDEAAEMAAEMTPATWQVQADSSRAAAYIPSSSNQ ESPKPLRFETSGELPGLAGRLKDAKIGRTPRIGVSG UV8b_03352 MGKVPSLCVSRVKLRFGTECRTLIRNTCVMRVSTIPSQHDASRS PQGATGRYSSIIIMRQSCASSRCLRA UV8b_03353 MVRASVLASLVAAAASTLADKAKSDRKVSRDILSGAYIIELHNG QDHSSVEQSIGKDGATRMKFNYELFNGLSVQMHDHEKAKDKAAQLAALPAVKAVYPVK LYPQPNPKVDWVAPPNLDSSVALESLRVAQDTFSPHVMTQVDKLHAKGITGKDTKLAV IDTGIDYLTAGLGGCFGKGCLVSFGTDLVGDSYNGNNTPEPDPDPMDCAGHGTHVAGI VAAQPNRLGFTGVAPGVHLGAYRVFGCNGTVSSDVLIAAYNQAYQDGANIITCSVGSD GGWPEDRWAEAVSRIVEKGVPCVLSAGNSGDQGLFFADDAADGRRATAVASFNNIDIP TLYYNAQYQIDGGADSEFKYASEDEPNWLGVALPVWASSLDPTVADDGCKPFPADTPD LSKHIVLIRRGTCFFAEKMANAVAKGAQYIILYNNVRPGALNVGRSDATGPPPKAAAM IDAATGESFIKVLKAGKKLTLKLPSRLTVPILVSEKNSLTGGALSEYTSWGPTWEMAV KPQYGAPGGNILSTWPRSKGGFAVLSGTSMAAPHVAGIIALIHQVRGTYDPGLVQDLI SANANPQVFNDKTKFYDFLAPIPQQGAGLVQAYDAAYATTLLSPSSLSFNDTDHFAKE LKFELKSVGHGPTTYKITHVPAITMYTLAKNSNYALGFPNEAVHAAATLKFSDTSITL QGHQTKTVAVSAIPPQGLDFKRLALWSGYIAINGSDGTSLSLPYQGLTGSLFKSTVLA ANDTWVSKSTDEEGNPLPPGYTFVLPMQGIATNKDLLPQITINQALGSSIVRADVVPI TPSLSKMTTEHWGVRTIGQPFGFPILLATRSVRGYLWDGRLDSGAFAPPGEYKFVVRA LRIFGDARKKEDWDVSTTTSIIIKYKQ UV8b_03354 MAVADTKGKAGTGPAHANGKLLNGQTKSRRAAPKQRRGFFAWAL NCIARLTTWAAILTILFRCPPSFEACGDDSPLICKPYFRVKNVVAPHVQPLFDEYAAP YAQVVRPYCDTLNNRILTPTRRYAVLYTTPLVNRGQAYARAQWVRNGQPRLLRLQTQI KSNYDKSVAPYLDKAGEVVGPYYNLAQKHSVQVYSAYLLPGYELARPYAVRGYDAAAE FATSTALPATYWAGAKANAFLDTAVWPQLRFVYVENVEPQLVRIGERLGRYRTKSNVT SKVATTSDRTFGASTGLSISSAFSKPPPQSPSTLASSTGAEKQEATSTPTAENKPAEY WNPVKAPPATENESDKRKNAREMVANDLATWQDKFAAQAEEGAGAMEDQVHDIARAIM DENANMNGKALLKELNDTIASEMAKLKESIQSIVKNAGSEAQQECVDAIRTAGVAIKK KAQVIRGWREDYDSELQDTVLNAADVYFQILDETRNLALQNIGMKWAWTDGVTYKDWQ KYHELKKTLSSWTEELKQLIVTHPALLEAQELSHQIEENGMSLASAAAKELARLKQVS SWKIKAHDATENFDSDAMRSAADAAERVRAEAILAAEEEKLKDAVAEGAERDAKPNIE PIVEPGIGEEIASEAAADETAIVLEPLTVKDDVLDNTPIIIAEPTVHKADAEPEESPI ILGNAPSEPRVASQPKPNTPENEAAASDFEESGAGEAQEQLRSVTPAIFGAAAQSVAD RSPILDDDGDSDTLADMARAAQAAYSDAVALAADQYSSALSVVSAQIQGTSKPVHEQL FSSVSAAYGSAVSAASQKLNDAVAGGSTPTPSPTRPSKLPDWQRVESIAAQRLREGRL WAEIQYQSVLIALGAATATPTSAPEKYYEQAKYHYYAGLGLAQDRYSSFMAAASSAWS SATASPTPTDFAGSASSMASVAGKSAAAAVSAADEAVKSAYSAATEGALSMAQDAQDA IEKVADAAAEQMVGMAGSLVDTWDAVVSQISADVYGQPSALGWYEGATQTAGSVAAAV TGAVADSASAASDSAARQFDAVNRIISELMVGKEPTFSESVMSRLSAAYATATSNVGS VASEASVAAASARNKLSSVASQATGAVKDSAQKLRDEL UV8b_03355 MNELPVTHRSKDLVSSGPDETSRPTAQSSDTATAICRICRGEGT SSEPLFFPCKCSGSIKYVHQDCLMEWLSHSQKKHCELCKTSFRFTKLYAPDMPQSLPV HIFLEHMAKYLVRNLLTWLRAVVTITVWACWLPYFMRVVWAFMFWISDEGLAAGPFDS RQTTKSFRPNSDLLSSTGSCLKENVFYDSITSRNSVAFLNSTADSTSPTLLSDVGFLR NLTPSSTVNRTIITVIEGQIITVLVIVCFILVILVRDYVVQQQPEINMRAAFADQGNI QPAPHPHVEQTVGDEEGHQSDEARSDDETPEPAVPENEPSEYDVEPLVEVEAKAEAEA GAEATEGCTAPSTAPLFAQPTPIYAAEQQSSTAQDEVVAGLENRASVIDYLRVYRRAD GNLERILEIVEEEGLEEKLGYWVNVTRRSIRERETTAQQASSTPTPEQPAQANHPVTP TIDLDEFGANRTLVPGPDESECSREHSKGKEREWLPISPGPSITSSPVAGASRPRARS DGPELHQVTNPLANNNWSFADLPATSEVEDTNHDWVSNPTPNYPTEIMAAGDDYCSRH DNIQSETPSHSIDGDDDTFVDHSSGLPPAIPDESGVAGEPEQRGLLVRVANFMWGDLD HHQGAEEQQQEPAAVDIGGDARENGGAEENAWIDDDVAEPADANGDANVGEIEVAPAA GMDADAMDDLEDFEGVMELLGMRGPIAGLFQNAIFCAVLVSVTIFTCIFIPYNIGRVS VWIIANPVHVVRIFMGLSKVVQDTAMMIFGFGSWCALNIIDMFTGVIGGVIGAKIVFA RKASWGLWTGAGSRVVDYALMDFPMTASEVQNFSAISHEALNSVKGNVGWAFDQVDNG LAILTKPDLAALLDGRFFAAIATAAATLATALGGVAARLSEPSSWVIDLGKIGPRTPI DPSLAYWSGLDRFWAILAGYLTLITIGALYLRRDSPFSRGDIMQAWEAGVIDTLHQAS GIMKVILIISIEMLVFPLYCGLLLDGALLPLFENTTFKSRILFTCNYPLTSIFVHWFV GTGYMFHFALFVSMCRKIMRPGVLYFIRDPDDPEFHPVRDVLERNLTTQLRKILFSAF VYGALVIVCLGGVVWGLYYSMPGVLPIHYSSNEPVLEFPVDLLFYNFLMPLAVKFFKP SDGLHSMYTWWFRKCARGLRLTYFLFGERRIDEEGMLQLSPELQQKLPAYKCFLLELD ENNKVIPKTWRDTLDGGDAKPNTTMSKREMREMRHKKAHLVKTGELEKSGRFVRAPAS DRVKIPKGQKVFLTVSERNHRKDGKEDTDLYSLEQYQLVYVPPNFRVRIFLFILFIWL FAAVTGVGFTIAPLVLGRSMFKALIPAHIRTNDIYAFSIGIYMLGSLAYAMLRLRFIT AKVREWATKVLKEVCDGNSGSRVIGGTLQAAKLLYAYFFLFIVFPMVVSTLMELYVSV PLHTYLNPPKPWQSDASTPRHGAHAGQHTIRVIQSWTLGLLYLNLATRMITSLFPDAR VAVAVRSVMRRGYLQPDVDVLTRAFIVPGVTASLVAIFGPPLTANVLINLGAFGPLKN VDGVMVAADAVSLSLIYRHSYPAAALAALVTKYAVGLARVFNRWTAGIRDEAYLIGER LHNFGAVTAGSRKARRAWGAAGGRL UV8b_03356 MPIHTAPASFPAKTGVAPPSSQLVNRPSLPACSAAYNITTGKVK TPRGKCHPSPLMINKPATFIFNQNFVPYFPLVQSHRFTTLPSFLLSGSFSIPFCTTAQ CSGATEFLFCQLLNIAAGKKKRGYET UV8b_03357 MAELPSSPPSEAASAGDALSWYKSQYEMLESELAEFRESSRELE QELEKDIERAEKQERQLQEKVETLGFEVEEWKRKYKESKTEASAAQNALEKEITALRD SNRSFQLKLRDMEVANDDFERQARNTTSSLEDMESKYNQAIERGVMMEEEIRSGEKER ETLRIESQRLREELAELKIEAELLQHKLKKQENRHFSSISTDLSVMGSPTFDKHVETS PNSTASSPLITTPPETRGLSADGPLSEAHEPPSPPMSDASAPLVKASTSARPVGVPTR KSRLPSLDNSIATKCRVKSASARQGSRLSGGSSSLRTPMTRSTTNPASAKHKVSASNS LTHIRSLTAQMQRLEARVQHARSKLPAPAHTPPRVSPRLAAHGVPSTVTIRSRKRAVG SSTSSLAGDETTPTNFRCSTSSKPSHVPRLSTSGISRLSFGPLPNRAPESEASQSRPS SRASVSSYARPSSRTDMVAPRLPVSRTSVSGARTPLGRPRSSIGGSLHSHSASMTRID MEEDYEGEFRTPSRRGTCSKLELEGAVSGIPVPGSAIPTPSTRRQSGSGPTAGRRTST GAGTSRPGTSTTRKLSDLGETY UV8b_03358 MVASGANGTNGRRVPVANGHASYAEKHNIADHFIGGNSLANAPP GKVKDFVAQHDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDERAIHFTVMATPE DLQANADYIRMADHYVEVPGGTNNHNYANVELIVDIAERMNVHAVWAGWGHASENPKL PESLAASPNKIVFIGPPGSAMRSLGDKISSTIVAQHASVPCIPWSGTGVDEVRIDKNG IVTVSDEVYAKGCVTSWQEGLEKAKEIGFPVMIKASEGGGGKGIRKALGEEGFEALYK AAASEIPGSPIFIMKLAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPV TIAKPDTFRAMENAAVRLGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTE MVSGVNLPAAQLQIAMGLPLHRIRDIRVLYGIDPRTSGEIDFEFKNEGTSQAQRRPTP TGHTTACRITSEDPGEGFKPSNGVMHELNFRSSSNVWGYFSVGTQGGIHSYSDSQFGH IFAYGENRQASRKHMVVALKELSIRGDFKTTVEYLIKLLETEAFEDNTISTGWLDELI SKRLTAERPDTMLAVVCGAITKAHIASEACIAEYRGGLEKGQVPSKEILKTVFTIDFI YEGFRYKFTATRASADSYHLFINGSKCTVGVRALSDGGLLILLDGHSHNVYWKEEVGA TRLSVDSKTCLLEQENDPTQLRTPSPGKLVQYAVENGSHVKAGQTYAEVEVMKMYMPL VAQEDGIVQLIKQPGATLEAGDILGILALDDPSRVKQAQAFVDKLPSYGDSVVVGSKP AQRFSVLRSIMFNILSGYDNSVVMAAALKELIEVLRNPELPYSEWNAQFSALHARMPQ KLDAQFAQIVERSKARHAEFPAKALQKALSKFLEESVSGNDAETLKNTLAPLTDVLNS YAEGTKVHELNFIQSLLETYWEVERLFLTHAQEDSVILRLRDQNKDNLGKVVQTALSH SRVSAKSSLILAILDEYRPNKPNVGNISKYLRDSLRKLTELSSRATSKVSLKAREIMI QCSLPSLEERTAQMEHILRSSVIESRYGESGWDHREPNLDVIKEVVDSKYTVFDVLPL FFSHEDPWVGLAALEVYVRRAYRAYILKQIDYHNDETDSPLFVSWDFQLRKIGQSEFG LPLQSAAPSTPGTPGIPDPNLKRIYSISDMSYLSSKWDEEPSRKGIIVPCKYLDDAEE LVQKALETLAFHNKQTNQYNATARFNELADKRRPLSAIKRDSKLDGELSAVINIAVRD AESKDDKELLSRIKPIVEQFRGELLARGVRRLSFICGHSDGSYPGYYTFRGPEYLEDD SIRHSEPALAFQLELGRLAKFHIKPVFTENKNIHVYEAVGKAVDTDKRYFTRAVIRPG RLRDEIPTGEYLISEADRVINDIFDALEIIGNNSSDLNHIFMNFTPVFQLDPRAVEQS LQGFLDRFGARGWRLRVAQVEIRIICTDPKTSTPYPLRVVITNTSGYVVNVDMYAERK SEKGEWVFHSIGGTSEKGPLHLLPVNTPYATKNALQPKRYKAHLMGTQYVYDFPELFR QAIQNSWIKAVKDQPALSSQQPKAGDCVSFTELVLDDKDHLQEVNREPGTNACGMVGW IFKARTPEYPTGRRFIVVANDITYKIGSFGPKEDNFFHKCTELARKLGIPRIYLSANS GARLGLAEELMPFFKVAWNDPAKQDAGFRYMYLDEKAKETFKNEVMTEEVTEDGEKRH KIVTIVGREDGLGVECLRGSGLIAGATSRAYNDIFTVTLVTCRSVGIGAYLVRLGQRA VQIEGQPIILTGAPALNNLLGREVYTSNLQLGGTQIMYRNGVSHMTANDDFAGVSRIV EWMSFVPEKRNGPVPVSPSSDSWDRDVVYSPPQKQAFDVRWMISGKHDDDGSFQSGLF DRDSFVETLGGWARTVVVGRARLGGIPMGVIAVETRSVENITPADPANPDSMEQVSNE AGGVWYPNSAFKTAQAINDFNNGEQLPLMILANWRGFSGGQRDMYNEVLKYGSFIVDA LVKYEQPIFVYIPPFGELRGGSWVVVDPTINPTVMEMYADVEARGGVLEPEGMIGIKY RKEKQLETMARMDATYASLKKELNRKDLTPEQAADIKQKVTAREKELLPVYAQIAVQF ADLHDRAGRMKAKNVIRDQLEWANARRYFYWRLRRRLNEEYILRRMASSVLSSSSSSS SSSSADPNSTKASEVRAQNLRLLQSWSNITPFDRSDRQVAEWYEKERKTIGDKVDVLK AERLALDVAELVRGQKAAGWKGVREVLRVMPVQERDAILQYLKE UV8b_03359 MIRHSFGLLLRRISRAPARPFSSRQESMTPAEQAIAALLRDKLQ PTELLVRDVSGGCGSMYAIDIASPAFKGASTLKQQRMVNSALGDVMKQWHGLQLNTRA SE UV8b_03360 MASTGVDKTAPAPAPAAGASDRDEKRAAAPGIGRPDAKLGRDLL PTGGSKTADFTHRTLKSRHIQLIGIGGTIGTALYVQIGKGLLDGGPGSLFVAFSFWCT FVLAITMCMAEMVTYLPISSPFVRFAGRFVDEALGFAAGWNFFVLEAALVPFEIVACN FILRYWTDAVPAAAVIVAVIALYALINLMAVRWYGETEFWSALGKVLLIVGLVVFTFV VMLGGNPKGDRFGFRYWADPGAFAPLYYDGALGRWLGFLQCLIQAAFTVAGPDYVSMA AGEAENPRQVMPRAYNAVFYRLTAFFVLGALCVGILVPYNDAQLTAAYQTSAPGAAGS PYVVAMNRLGIGVLPHVVNAMVLTAAFSAGNSYVYCASRSLYGLALEGKAPGWLTTCT GNGVPVYCVAVVLALALLAFLQLSQDAAVVLDWFVSLVTASQLLNFCCICVTYLCFYR ALRAQGVSRDTLPYKAWLMPWGAYYGLAGTLVMAFAGGYAVFLPGRWKTADFLFSYTM IVAFAVLFVGYKVLCKSKMRAADEVDLLQDMDTVEAYEKNHVPQPASNKFEKALDFVF GAEEAPRGHHAHAGHHTVKNIVKLKRRRDAIILTSTQATKQ UV8b_03361 MPYTPPSHRSPASTASNSPDVSRRSSFQSAPRPSLPRSSSYLNK HRRTPSVNAVGDKSETLTAPDFGEARRAMLDFGGSVRQSPPPGVDERALIPNGAVISP PESATSGSDEDEPPEMKSRQTEVLKNLREAVSQISKSTTTPPPQNAQPKVTIPPSFHM SLSTSALSNMSRAGRKNGHVRSSTEPHVPSLHKSNSSSTNSEEETDEDSKCKPQMVRK KSGELVRPALRGHRRPSSVPGTPVFSKAVHFDSHLEHVRHFLQVDRPLAVSAGSSPVD NYESDSEYPFPGRGRSGKGPPFEWELATPNFPHDSLVRSSMPARLERVWLSNDQKALL GSVAVVNLAFHKLVVCRFTFDYWKTVSEVNAEYGHEIRPRESPFGHDRFTFNIKLSDL ADLESKTLFFCVRYLVNGQEHWDNNDATNFQVGFKKKYLPQNGKNNFQGSASKPVGGL PRSSRRQNSGDQPAGPAAGSASADAFGKEASLFNFGQPIHEYLGEPESGGGLRFKSKS SSNLATDNLAKELSLPSGVAFSNRYDFSASLDAAVKAAKDAPPKQSKQKEKDTLYMRR NIRGSDSFGPAPFAAGPSSSKEAQPPATSSLPSTSYEELVNKYCFFGSATKPSSPTVK DGPMKVATPEGRKLQLPPHGVSDCCKAKLPTSAESQGPRRHAGAASHHSLPNGQTKPY LAAFSPSSAASSYATSPGAWSDSMSVGATPAMGGATPPTFASVSGTPASDVMSERFPW TTDVHTATAIQG UV8b_03362 MALTKRDSLGDAVAADKGADHHLRMSHENGSADQGPENFGYKPE LARNRSTFQVAFMSFVLAAIPYGLATTLVYPLLGGGPVDIIWGWVAVSAIIFCVASSL GEITSVYPTAGGVYYQAFMLAPARWRRLASWTCGWAYLVGNITITLAVNFGTTLFFVA CVNVFADDEAHPVLEGAPYQVFLIFLGITLLCNAVSALANKWLPWIDTAAIFWTFAGV IAIMVCVLAIAANGRRDASWVFTHFENNSGWPDGWSFMVGLLHAGYATSSTGMIVSMC EEVQQPATQVPKAMVATILLNTVAGLLFLVPLCFVLPDIPMLTQLLSGQPVPAIIKSA VGHAGGAFALLVPLMVLAIICGIGCTTASSRCAWAFSRDGAIPGSRTWMKVDRRLDVP LNAMMLCMAVEVALGLIYFGSSAAFNAFSGVGVISLTASYACPIAISLMTGRRAVQGA KFPLGRFGYAANVIAVAWSALALPLFCMPSAVPVQASTVNYAPAVFVAATAVSAIWYW VWGHKNYAGPPVHGD UV8b_03363 MSGLDAPEIAEAYQVVRDDKNATNWMLLSYAAPTGNRLALSRTG TGGIGEMAAGLDDAEVQYGYVRVEYANDKESTRVKFVLVVWIGEGTRVMRKARVSVES GAVKQVLSHHSIAVTVSDRGDLEEKELVARLRKAGGADYNGGRG UV8b_03364 MAGKRALRASSTNLAPNRPKKGIPSSTARRNAAAPGSDDDSYDD SYDDSFGRASESAGEKARSTKRRKVSGPRAARPKTTSFTSLFEPGVATIRQPCSPLDG RRHSLSYHRPLLLCETKGLEHRQSLLSWFDSVSASRSMPWRKSWIDPRAAPDLDQQEL RKQLEVRAYQVWISEIMLQQTRVAVVIDYWNRWMAKWPCIQDLAAADAEDVLAAWRGL GYYSRATRIHQAAKLVVSDPAMQGLLPSVAAELEARVPGVGRYTAGAISAIVFGRAAP MVDGNVLRVLSRQLGIHGNIKTDKKVIDTIWAAADALVKAVARDRGAQEDPRADVSSR PGRWGQALMELGSTVCSPKPNCSQCPITASCRVYNEARNMGRRPDSGAPADIEDVCSL CEPFEDAAAQDADLAASEESKVRGGTQSKAKQTTLSDFGLAAKSPAPRDAKREADAQE AISNYARRFPVKVVKKAVRAEEAVVCAIQGPDKTYLIHRRPERGLLAGLWEFPSKTVP HEDCSAAQRKEIATAHVGSLFGSRGKAEVEHVSEIGSVPWLFSHLKLTMYVHVFRVAG RVSPESTSVPARWTADVEGESMGTGMRKCWALVQACLG UV8b_03365 MDRRDHGELTAIQGHARFYEAATAAPLSPWSKTSLQLYMILLVA ALNATASGFDGSIFSSINAMDQYKAYFHHTELGSSTGIIMMIYTIGNMVGSLFTGPVC DQFGRRAGMMVASLLIMVGASVQTAARNDSYLLGGRFVLGFGVSIGTSSAPTYALELA PPQWRARVVGYYNTFFYTGSILAAGVAYASSKNSTELSFRLPLGLQLIPPLFIFTGAI FVPESPRWLTMKGKRDAAAAILAKYHGGGDIHHPMVRLEVREFEQSIELQKASGVWNY WALFHTRNARWRFAMMAFMSIFAQMAGNSVLTYYLPSMYKLLGIQTTEKRLLLTFMNS IVSCAGAVAGSATNDGIGRRTKLWVGSIALAGLFAGVTGFSSHFEDKTKPVSAALSNG GVAFIFLFGCAYSFVYTPLTATYCAEVLDTATRAKGMGIHVILSNCANLYNTYVTAIA LEAIDWRYYLIFVGLNLLYGVVWFAFGVETRGRTLEEMDDVFNAKFPPRAALKKAVMV RHDDGKLRGMEGGGGGNQA UV8b_03366 MASAALAIDSYQQDILVDRAPDYVRPYVLPRYHGRAVLITPSQV ARYSVTADSSGGAFSLLQHNGRESGWTSARPHAHRVYHEHFYCARGRCELWAVKNEST ATQEARVATPGDYGNVPPGSIHTFQLTDPDSQLAHVFHPAGFERLFDEFNGGDFPAGG VSSPYLPLDADPEIFGPMTSDLAARFAALDLYTSPPDEFIPRRDLVNGTAGDDHLHWH DGPNALSAAAAADDDATAPYFVAKDYGPKFLNFDNGYKIIQPLATPAQSTHRNFTMGT IIMSPRLRNESANAAKLPHHFAVQMEDGQLVLDVQGYKTASLLPGDVAFVPAGVEFTY SATVPYTKFLYLNGGAKGLEYGLLKRAVPWKFTAYPIYAGFRG UV8b_03367 MLGQAKALALMTLGLTQAASAVGHAYVYNNCDFGVTLWSVGSNI APSNYLDSRSNYFETFTVDPTTGGRALKITREPDGLFTAKPQTVFAYNLRDGAVWYDL NDVYGDPFAGHKLLLRSADRSCPSIVWPQGIPPGGSQVKNCRDGADVILTLCAERPIP GAAEESA UV8b_03368 MGVERKDSNPPLPQADEQQMASREGVDASFRFLAVDPALACSPL SSWYGVWSDLGAPDSLRRYSCWRSERAAGSPRDYVIATDWHAQHEHQMAS UV8b_03369 MTRNILLLTQTRCLIQTTFAMAQADISPGQIGLVASRNPVRNPY GPAGCYCGWYLFYSSVCGHLFQKNPEYCGQKLTKLGRSGFCTTPAPQNHMTARVGQAC PQCRR UV8b_03370 MIDPEYRFFAPSGAIYPGGPSTWHVVDWDQRRLVSVTMDEELES EDLAFEHLAKYIDHLAPDVYEIHVSPTGDLISTSTDPKNDVTRCVFHPDLDTIQRPEH VKVISRAELEELDRLDRCLDLVVCPQSSEPTKKLIFKYYFLYAHLFSEWHEMSLWIRL PKHPNIVPFDSIVVDEIEGRFVGFTTEYIPGGTLDENKSRTFKLKWLRQLIGVVDELN LNLGVAHQDIAPRNILIHEATDSLMLFDFNYSARIGMPGYSEARNDIKGTLFTMYEII TRDDDLRAVRHEEQDVSRIEHTDWVKHPDVLLDHPISEFRQVLKEWCEKRRAGKQITA HTEAPNFLDWPPVPDPPLTVYENFYINKPGKEVMKLYDWRRNELLKQGKTVLNWQRPP QRSKPGATTGTVGTNACDN UV8b_03371 MEIIKHVAKNDKGWERWKEEAENMRKLAHEHILKLLHTTYDLYP ELELAYIQGGPLSKLKNVTVPESVLVLAQCLSALAYLHERQLAHCDLSPNNILIRKQD PFQVVLTDVRLSKNTAELQT UV8b_03372 MLLKPGFNHLSRCKSSYNLPSILAALSTQAQASKTPRPEYSQPP PEPPGAFADPPGPFSHAHRVLLSAARHGVASLSIVCNGHTIGDPDP UV8b_03373 MIHEESVRPDATNAFILNSKYDWDLWYRQIKSMAISWRIWDFVD PDGSIILNFPVQPSLFDDAMDSTESTPKEPTPQVDENPDEAEAEAEAEAEAGTSGVTR SKSTSVVRPRTTSGGQRLPEKPAPTDKIEEDDSNHFFKRRKKPIQIPFGTWLQVSANL YSAEFSQFEMYTRSLERYTAWLEQTLCAEYRYLVVMEASAREKIRQLIFHVRPLTLVL KHHLDDKFRHVMTQNLDKVNIDTWLNEISLLYMRLRDVQSILAFNTEPLTMLIRCLGH RYPMIRYRIINRMVKASQAGQQLDFLDTIQSTRRFIEIFEELDIRDRSIHRTVVEDGD NGSEFSLETVENIPSTSDGKDWKEIKEGKKSCKYCSNYHIKKGTHGKEHFKTCWVLTP SLAPEPIRSRLKKTEKRAAMAEMEKESQEVQAAFEAFQLSLA UV8b_03374 MEANATHNPSIQPPAEPAHQRKSAAQRNARPPPELPIDDGLVLR RWSPSDAAALHSAASSSLDELARWMPWAADGYGREQAQGFLEFTAQAWDSASQLDYAL VVDGQPSGSFGLMRARSGRADALEVGYWLATRATGRGMATRAVAVLTRTAFAMGAELV QIRHDALNVKSAAVPRRLGFTCVGVSEEEGGVVLWQMEARSELHRGVGLEASEPVEGS AGGSVELCTEYSVPEL UV8b_03375 MSVQVVDGFSLTNRWLLYASLMLAPAQFVSGIGSNCPSNIGFLA YNWYTQIQWYQAVRAQELHALSLLPVHFNLIYAITYLGGITSGNLFMAVVLGVGTAGV IVLNTVSAWVSWATNMPEGYDAYQFFFFGWRTLTPGWHKFVLVWQVGDSMFALASVLM AIITAIRFVYIDEEDDSSRLVPWRKGTGPRSNLALWRYPAIPLGAAAVMLGAWPLVLW TELIVQRNKIESETDMVAVWLFVAQAAALVAPSCGDVWRVFSCGWRRRRDEQA UV8b_03376 MATTKHLLDITISPAARDDVENGKASHNDMTAQHRPSRSGSLIS LRYRRPRAGSILPWLAATAGTAVTIGLLLVITWVAQPHAMRSTQSHNFISVNPIQAGN PTPDTYVSDYAKSATPVPVHSHNDYWRPHPLYSALAAGCMGVEADVWLTPDKTDLLVG HHPSELSAHRTLQSLYIDPIKQILDRLNAGGTSSGDAPGGIYPTSPGTTLMLLIDVKG NASEIWPLVDRQLQPLRQSGYLSRLEHGAAAAASPPVPVFRRGAVTVVGTGNLAQSDV TGAGCDALSRYPDTFLDAPLGALANGSSYATLPGCEVDSLLGLPLAKFYLASAPFARQ VGSFRVTLSPNQRGLVRDSLRAAEARNLTSRYWSTPAWPISRRDYIWQELFDQGVGLL NADDIESATRLEWSKAYKAELVWMGVSSAYIFAASLVTAWLYSRSRRRSQDEHRRGLT GRTRPT UV8b_03377 MALEEWDSGSGPVESLGRAARIRSACLGAGSARVDDVAPSIAGS HLAVASGKSGHHNDSGRKHFRPTGPWLEPSARPSRLDESRNSATNGAPTFCGWAQSSA DMTGLVGNVMGGSRGAKLFHPMSLAVALPPPRGSREYPTKQPAAQLIHDTALQVPYDS GMATLPPPFPLREATRQMNGRSGLLSTSRGILVMLSGWLGGVQSLPWQSAYTVVCCAC SVRDAFPWLSDGRDADGRDAGGRDATTCGLERKHLPSADLAFGHLAGRDAGPWSDLSQ ARPDQTTPDASRNAGVSGEAGEPRTGLRFAWGTACPGRQHGQRVYGFEADEFPPGLPA ALAVGKWHSDTSNVGAQAIQQAASNAGQDVKTQPIDTWLAHGGPEKLQARAAPRRPIM ALCSDFHLGPTSDHFAHEVF UV8b_03378 MPPGLDLQESRKGTIIGVAVALWCLALLAVALRVLSRRIKGTKL WLDDWLIFAALPFSTTQVFVNVGYGTSKGLGHHLWATPPDSLYAYSIDLFVSQCAFAG VVVCFKLSILALYWRLFHFQRFIKALIWALAMVILLWGALVMLLATLQCNPTRALWAR YDPVNPLPRDQYYCRFAERNFLLFTSVPTLVTDIIMLILPIPYIWKLKLPRSKRYGVL ATFCLGSFIPAVAIVRIIFIAQGNFVGVDITWNFPLMMLLSVARVNVGILCACLPLIL PVLSAINRRVRSRHAPSQRHGAAHAAAQANDGAPWPHTIGSPCGPPCKAWLRSDVSSH DAGSHLDADSSDRRPCRHGSDTIELPPLAATEQSAKR UV8b_03379 MRVSIREQLALLVIFAVLIALAIVSVPTWFFVHDFVTDVEFGGL SLTASLKASRIDSALNLLGTISQSVATRLLLQQALVNFYNDNATDPFADARSDLESAL STNRVTGLLQARLYSRNQTGAKPGGLLNVTGGGVGSQLENILLPYLTPDGARVNLSDT EYGYPPTLYPNVTYQDLGYPNPYVPSTPAVAARAFPGSRLSDGAGLLLGPLMINETFA LMSLTVPVRSINIQNFVLGYMTLIVSAASLIEVQVSREGLGSTGMVLIVGPDNPSNHF IAPVFPANQTYTPNRDALSNSTVHFVLPPITPDGQPERHLSRDYVGGEYASHYTLSQY PGAFEAFYDYNSQPNNARALFSSQNEQGVPVAVGVARPRSALVDWAVVIEKAESEAYE PIATLQKILLASVLGTAGLAIVVVFPCAHFSVRPIRRLKTATESSINPPGYQEEFDDY DESSLGPGDTANLSSASAKGFFASICRKLKKPKRALTESEIDNHRRIFKIPGRVPEKK HFITDELTELTGTYNEMTDELVKQYASLDEKVAERTRELEISKKAAEAANESKTLFIA NISHELKTPLNGIMGICAVCMEDNDVLRIQHSLKTLYRSGELLLHLLEDLLSFSKNQI SQQIKLEDKEFCLGELRSQILSIFEKQAQESNIAFNVTFLGYENGGEAVDSLDGTITS EKQLPALGPPGMGRLRGAYLWGDQHRILQVIINLVSNSLKFTPAGGTVQLRIRCVGEV EPSSGDGKPTRTNSARGGRGRLRRSSGSPQSSLSKATTASNAMEGNGNHHKVSRTKPH VQVRERSPTPPHPNAKPYVFEFEVEDTGRGIPKDMQQKVFEPFVQVDSSLSKKYGGTG LGLSICAQLAKLMGGSVSVRSTEGVGSVFSLRIPLRHVKDRAPSTASSSTTMSRPPSP GSTNGGSHRNSLGGGGVVVVAQEAGANTDTSTTVCKVNQPRLVGLSTPFFAPNPAPPD ADRGREKGAQTKVKPVDAAADADADADAAGKGDLRVLVADDNSTNIEVVSRMLRLEKV HNVTIAKDGQEAYELVKANMQADRPFDLILMDIQMPNLDGLQSTRLIREMGYAAPIVA LTAFSEESNIKECMESGMDEFLSKPIRRPALKKVLTKFATIPEEGELAT UV8b_03380 MGASAKPDPDSDSPAEGNKSARQSKLREDVDLDLAYLPMLACCF VSGLTDGTIYNAYGTFVSMQTGNTIFVALGTSGYNNKPYGWARSLCSIAFFILGSFAF SRFALTLGGRRRGVVMLSFLLQSLMVVVAASIIQGGVIDGSYPSRRPPTDVDFKELIV IALLSFQAAGQIVSSRTLKVGEVPTVVVTSMLCDLMSDPALFTLGKNPKRNRRFIAFV LTLVGAICGGWISKAASAVDPSLWTVAAIKLAIACAWYFWKSEADSLV UV8b_03381 MLGHSNVWLTRVKSPARQELYGWGISWDENTSMRCSVALEHIVW SGWKGLDAGQDSTVVLGGSLDKSFHRRGSSKTGLGKQGRGDEDDGDDGDDGDDGDDGD DDVDDADDEEQGRTAKMAEAMYRKAVTRLAGWLWRENSRSFLAGLAALALDKTPDVLG GARVRRWVQDIQALDMGRWTWDAGHGTLDMGRVRSKCIIYTAAYSSIRAQSKLHVAIW WAGRQISTSTTRQLPAMRRVDH UV8b_03382 MAPSAIDKTVGVDGRHTGDVSGSYEVSNVHFSPLKDVNEQVRGP ASGATKLRKMLLETNELIVCPGVYDGLSARTAIELGFDAMYMTGAGTTASRLGQPDLA IAQLHEMRDNAEMIANLDPFGPPLIADMDTGYGGPIMAARTVEQYIRAGVAGAHLEDQ VLTKRCGHLGGKRVVPREEYYARVRAAHAARLRMRSDFVLIARTDALQTLGYDECIER LRAARDLGADVGIVEGFASKEQAAQAVKDLAPWPLLLNSVENGASPTITVDEARQMGF RVVIFSFACLAPAYRAIRETLMLLKTAGVVGTPKDLTPVRLFEVCGLKHCMTVDAEAG GLSFADGV UV8b_03383 MPDILVDYPEYRATAKLDVLRLTEYNYLDQQKHIYLDYTGAGLA ARHQFAAHKARLESATFGNPHSENPTSQCATGLVELARKRVLAHLNASPEVYQVIFTP NATGAAKLVGESYPFSKRSRLVLTSDNHNSLNGIRQYARRAASRTDYIPLNPKDLRIS TRDVAKSLSRTRPWSLGGSGARGKRGLFVYPAQSNFSGVRHPLSWIKLAQDHGYDVLL DAAAFLPTSKLDLSVVKPDFVMASWYKVFGFPTGVGCLVARHDALARLERPYFAGGTV RAVSVAVQFHALVDNEGRFEDGTVNYLSIPDVHVGLDWISDVGMELISTRVRCLTGWL IHRLLMLRHGNGRPMARIYGPTTTEDRGGTVAFNLLDSQGNMVDERLVALESSAARIS LRTGCFCNPGAGETIFGLSRQSLRQFAADRDATFDDFLQRAKMPTGGAIRVSFGVAST TGDVDYFIGFVVRTYRNRICTTEGLKPRHSC UV8b_03384 MSADPTRPEFCSNLDAFYSRFGLDPGLPAAYPFDTHMYPILAGP ISPSGQMSLLAYMSAEFASDQLPARDSRRQGAWPEKESLYLAQLPADDTFAPPLHHHQ HHHQHHHQHQHQPQHQHQHQHQNQHAFQHGKSGLLAGPGPGCFPVDGDLRHQAESFGQ HLFLGSTSDDAVHHEGAFADSGWDHGAARTGYKGPQEGATVEWEATDSSFPHPAAGAC LKPFGQEPTPRPSSRAYFTPTRISEAGHDHMPPGPGHALQKACPHGHDSDLYGWKRGA AAAAAVSPAYAQHSVTWPVLRTRTRSMGGRVRSSCRGVPSLEYAGSALGEQRTLATVS PRREKLTGEQKRRNHILHEQKRRAMIKDGFEDLLSLVPGLKDRGLSKSAILGKAAEWL RGLLCGNKLLLAQAAGLESESVSGTSLPTVAAGRRPRRFE UV8b_03385 MKFFSSVILLGALAGVHARVIERAADGAAVNSVADEAAVNSFAD EAADDAAKEVKPAHPHKSRTAAQVLKHVRVKADALDDQVKHWSGDQQKVLDAVDALTA AIESGTADVKHGKQFSISELTKLASAVDELKEHAETLVKDLKAKKPEIEKHNLCALLR TNISKIGKDNKKLSKAIVAKVTPMFQGIAKSHAKAVDDVLADAEKAFNEKNCVDTGKP SLEF UV8b_03386 MAILCLHGSFGSAKNFQTQLQPFVAAASASASSPTQTQFRWIDG GHEATPPPGFDTYFGPGPLYRFTAYDGLREHDKLVSKLREFPDGISPEDTMRRLVADL EGGAQQSVQACLDRLIETLDADPDITGVLGYSEGATIAASLVLEEKRRFDEAGRRRHL KSAVFFAGWPPVRIQDGLVRYLLADECQDVIDVPSCHVVGCNDPYIDGAMALFGMCDR DTAVLFDHGKGHTIPRDARTLQELVAAVEKVWAA UV8b_03387 MRQRQKSRDDHHVLADCLPGGAPRVFKKSIFYKIACTLTQRRSH LSWRVAIATPSCDEPAKTRNSPATVPKSAVTKCKDAFIHTGPGAQRGTDGPRADTSIS RVCRRRMHSFRLSCTVVAQFCVVEELLRPREDSRIGEAYLSQLIWAAMQISLTKLLAS WGVRPSMVLGRYSGEIVAAYAAGALSMEGAMSAAHHGSQMAILLKERHREMRGAHGSY RVRVRKTSSVKSSC UV8b_03388 MLAGNPLRPPPFTGKLATNNHHAWKRGRRCVPAASGLPGAYGFT QHIGCNGRQGHPGWASVLPYASECSKALDPCDEASSSP UV8b_03389 MSQKAASLQDARHVSETGKTRGPGLHSSGTTFRKVGAQQQQPIR ACFSGAGYEFHHPMLIHLQLLATCVLPTPASRDTSQQHGQHGQPDATPLRPDTQNNNQ RPGKGVGRRAGTGESAR UV8b_03390 MGHQGRVALPRGGLLLLAVLALAILSSTYLWTSTPRPNELVSTV FAHNNPSSFTPGSSSSSEAAAAAVDHDHDHDALAPEHFSDPAADPPLPDADRPPPPTA ESEKGDGSLGKHFSIRPSARNRAARVPGCLYPLLIHVTPDAHCTGALAAYASIVRNVL AQPEALRNRTCVHVTYIDANLTTVEDMYRWRARPNPFAHLPDCAALDGPELNKVVPLR FQALAAIEKPEFMETRPTWLAALNKVHSWGFDLYPRVLIVDADSIIVRDLDRIFADAD PRATIVGAPDQYGNCHDRSRLNGGMILLRPSRYFHISAAELLYDKHASCFSGRWDQSE QELLNCICGYLYDGYRPLRPEFQCSIMPLYNSVWPKNYGCSGARVVPVRSIHFTPAPK PWDIHEADFDARPDTRLWKCIRDAARSDGVDQLRSCKTLTLQDTMSLPEVPESKQG UV8b_03391 MRSRQRQVGRRVAAGREQRDRDNRGRDGTHGAVDAPMLVVMSTS NGPPRRLPGETAQLRTNQRTALPMAMQCGRLSDATRPRQYGHRNIPAWSHGALRPRDP LGHAMQAVSSTAKIKTRRDPGRRRPDGRPGTAARESSYAPPDHPASSISPEPVAPECD LLCLARTTIGILSGACSQAFNRSCRGSPE UV8b_03392 MGTRGLKVFRFRGRYYAYYVQYDSYYEGLGATIVMSIPEDPAEY REWLESMRAYYADIEFQLEKSANLIQKDVVLDEDVTTDLGLHEFPTSFPVLDSDFGFI ENVYIINLDREILTMNYGIHWKLDNIPRADNLWLRAIRESIYDYMPTVSLELCPEEHL ASPALPLPKPDKALEYEFRVVSPRTDIRNARHAFLTHVLAQVHIKYGRCSLAQFGTEW SPDSFPFRELAFSLVSIASGKADFWPQEKFSLGRNRRCSPGWFYERTIYGDDDGLLLE FGFPAHLPEETPGVSPESTLYWLEDDVLVSLTLVVDGKAITEAADFGLGRQCQRRRPF YMVVMSLFEIALAEVALQSEDEDDEPFIRVTRPLQLSILQARNTLSSHPRERPELVPG ARSYPHTVDLLRRTGSTGSAKRLGELWPGLCAMVDFFEVLGNRRAAAKSSGIFPAEIW ERIILFTDYSTWKACSVASPDLRLCCLREYRVDDETAIIGRPYAGNRFLRSGQREQLL SFNVENLDLGGHCLKMMAHQNSRNFSGVRLGDWVPVISGRRKAAMTGVPISFFPAERT IEDGSSSSFGRDGEDAE UV8b_03393 MKPKLDHFSVRSPSVTSEDAPPASVIHDVLENEGTVISHGDIPA RRRHVSGALSTISRREISFSSYTPQLYDTLAIWKHDARPEAAAGLPVELETHTKKALD RYVRLVGQPMDFKRAVTSEEFLAMVTNERLRYMPNQGSRLDKMLKKAEGFATKFDKII MTMKLIDMSTSESSALVLSSCKRLLSFAQKYTIAIERFFSVLEEATAIILRMATKLDD DSCSEKMSSIFQSALSMIVDIVVDVTASICSHRQVGSDKTVIAQFEARFEVILTRLVQ LKAEFQLQTLNRWLEIRGSTESLLLILRGFDEFGLDTCPPAYLDILVRICLSLQPHAD DAQSLRWFRLLWGSLEKHRHECDISTEQLFEVYQEYIVVLGAHEEFGERLRLAESFRA LILSDFGTTHHLYVRASIELAKILELESLRYNEAVAIYEELCRCDLHLYEDREAITAL IEIAKYRLTLLFESHPELGHRAETLLIEAFASLKLQFPCSHEKVLVALTRIIEYHRKQ KRRENITAAIKIIEEYTVSLLVEERNEVVLFDISRSIAKMYRELSSVEHGIKLIQMIK EEVTLGGILCSEGHMGFKHDQLIQLDRRCFVFIHALEQLLCGYEREKMLDEIIREVYT ETCLYESWSVSVRQRRRPIWVRLAAGARLLSFLELKGRENEARGLRSEMWEMFKDFCP ASLASDSIWQLFELSLANVTKKVVSLALLESLVKVSLEVFPTRNFDLSLQFLHWSRVY FKQLTKTEHSRVVELAFKISGYFSRKVQVEDDKTLAELQRISSEILVDVLKVGHLDIN FGSIPLDQLNVIIRLLGERKNFSMLEQVLQYLWDTRMSRTWSGSATVATGRRLCEVKF AAGHQAAAIALIESICYNLRDVYGALHKLTVECETLRASFHNTCGNHRAARDIHVHLL EEIAGMKSDAVSGREDLMELAMDQARRLKWACHQKGGEDDKEDSFYLSLLSSAEKSLG HSSHKGSVELRDVMVNGGEKRETKWKMPEDWALPIKEKM UV8b_03394 MATATQSQAPSPWPKPRAITNKSVLDHSDLSEGKGTNSINVFGH YAYQQMGYMTAEELHALLTSGRSGLVVKVVDCQDKIKMTKKIINAVEFDIKDNVDSYN EPAFKARRDNAQKYQAADLFPTRAEKLPDAVVFVCDMGMARSHITAYWYARHLIRTFN PPVSETTGQLATKTRIYVLEGGLKSVQGLSAEKQNAILVAPTATSTTRQVVPSGPAKT ESSVRGVRPKK UV8b_03395 MALNLAPYHTAMRIGQGFNSYTHEIMMNDAVVFDEAAAGGDHYQ SDTKQVSQIVTYCTRHVDKLSDITDAMNVSAALSIKTATAGGGLAGSFVDANKFKESS INFFVQVRVVNQTIMAEDVTKFNAIKGVSDTSKFMKVYGDSFISGFIEGGELDALVSI KVTDSSSVRDIKASLEAHFGQGAAAGGSLNASLGIDKQKTVQNSETTITVNWNGGGDI KEPHELWSIESLTRVAAAFPNNVARCPQRTHAIITKYDSLRSFQLEQPKPSVLDYDIA SLYTNDLLDSMMSYKNIWKKLHVDASDFELGLVTLQKAVPPRAKPPRRDNNGNGNGNG SGHGRRGERRHFIEDVVQTARDHSDDSVDRVLELVKLLHSPAGSHSSQGSQPQTSQDF DYPDNAGVPYEPDDHGLEKARKDCRLEMIKIVKEVDAVIENPSLALDNSRDAGFLNPS IFRKLLPIVTPVPAQKPPTSSAPGLLARGSLYNEPGSLPSALRQHHDEAQRDGKATHF VMAGWAGDHGTHQPPKTFFDLTQGGLTTKHVVKKIEMWNHTQEKNEYMVGYRLTFRNG STAVVRMSDKGVAPTEEKGAAPAQSHHVFEVEDNDRIVSVQMTAGLFSEFPVLLISSI KVVTAAGKSKVLGHTLPSNSVTLWTEAPAGNYSLKGFWGQSGSSFDRLGVFYGLDE UV8b_03396 MDIQHLPIPTPQRQVAVGREVVVPWTRQEIPLGTGFSSRRIATP NPWLTTSSPWDAQHLRAQKLLYEGAVEGKATYRDSESTSHSTGTEHTSGSLGATVGCA FLKASVTGSYDKTVLTTKDTNRVSRTCLMRHGAVRFGREPRLSWEAKALLSRPGGEAL FAERFGDYYAACYVLGGDAGVYVSTSDEAVSTRSTEKVTVRVKALFFSTSRSFEKTTT GRAESFEVTMSGYDTLAGSAHVHVPTVGPSGLVRVSQAKFDEVRRASTGYVDSVDELP ARIGRRMRELRLVKDRDELEWDHGLELCRAGLVVQLVLMPYSHLREYKVAVLGL UV8b_03397 MDSQRRGVRPATKPSAPGASSGTTPKTPVETALPPVKTADKVNA NELQGTKMVDGALMVRLKDDAQGVTQAQRNAHIDRIRALCQQHKKGTGTEYPGIEATM TLVHAYLGRFPPEVIVEIRSSKEVLRVTENALVKSKGLEPCASWAAAKVTLPKEKFAE LNQPGNAKWFRDTDRMGKQGQGVTICVVDSEGFYNNDFEDDRIVYSEGGDHRVPQRTG VAGEGPLQDPAIGTNKSHATLVANSACGKEFGIAPKATAWVYSPAKPNLTIHDVLVAF DKIALGKTPGRVILNLSWGASGWAKNGHDMADALAGLVKLGVIPVVGAGNDSAYIGEK CAEWPQNADDVIVVGSTDMDGNVASWSNYGPKVDIYAPGDVPVKNNSERQNGTSFSSP VVAGFLANLLSTEQPDRRFTVADLRDILRNNYSVQTSTWRNPQTAETGTVRTITAFLQ RSVVDIPQQKPVADQKPPASSDAQPAPDVERGTLGVSVTKNGYFVQAPLDGKPRFTDQ DGLEALLKAVEKKSVSEYASCLKNGIGSSADGRQSWAALKQIRVEYGAPEEQKAKDVF DKIKSRAQTGSLKQTRTCPLNLG UV8b_03398 MSSGPSTGSAGVELVPDSYIVTLQPKLGRASYEKHFEDVYTACR GVLASDGAPAHVEMVYADPIDGPEPQAVMYFAHFPASLAQVIGGRRDVADLHQEHLGL LRVAGTAPLRGYNWGTSRISQTDAVFQTEWTNGANGVSGPPPEPEHFVHNALASRGGG ATVYVLDGGCDPTRFNVQGEFSAANLRRFIDLDAFHAHLRAIGATGIAPWLPWHWCKD WKQERLEAAEGHTEADTASFHGTNVSLCVGSSKRGTAPEARVVAMRIINAKAQTCAEK LIISALQIILDLKSLEADDRPSVVNCSWGMSFASSQAQAAKKAMTDMFDKVNKLGVPI VVASGNDHQILTLDNTEHPSTHSRISLSVPECLTYAYLVGGSDSKNKKWFDGPGDGSN YVDPTMPNQYKPKNPFIWAPGKDIPGCVIENGCWKLKTGTSFAAPLVSGAMACLLAHE KAQMAQDPQHQARTLDQLLQTVLRKKQVDGVDQDFLAWDEH UV8b_03399 MGRSCINEDFVASLAQLLNSAQVPCVLWGHVLLRVHGVPTIVGA VDFVVPDELLSVATQALTPHEKLIPCPDGKECDIVCPTRPTPAPVSHLHIEGAEEPVG LYPQSDTLWFLPPLQAALITPDRGQLPPYYALASDKSILPPSRIGRGSGFFTSTVHPV VIVPSHVLLEAFMRFCARYIWTPPGGFSISMICYVGLYIDEDGFLDLNQLSEPLSSSY LALKRGEMPVRQWANELKKLLGEPELPG UV8b_03400 MDIHIQILSDLHLESPKAYDLYEIPPEAPYLALLGDIGNVVAHK DEILAFLTRHLRQFKAILFVPGNHEAYHSSWEETVAVLSAFEEQLGRDSSLGRFAVLD RGTFRPPGSDTVILGCSLFSHVPQESQMAVEMGLSDFFHIRDWTVVDHNDAHRRDLAW LNGEVAKLEDDHDTTKIVILTHWSPCRLPGAVDPKHMRSPITSGFSTNLANERCYSSV KVKLWAFGHTHYNCDIETERDGGAGMLRLLANQRGYYFAQADGFDVGKTIRL UV8b_03401 MTLESGNADTLPATWKNASEAEQLLGFVNWDALKKYAVRVKIRR DHTASAITCSLSPAYALGGCHVVRRLDFQDGTRWVARLQQQSGISVQRLLTEVHTVQL IRERTSVPVPEIIDYDASCSNDIGIPLMLLEYIPADTAMDSFGGWHAHGGKIPAQFRA PFYAAMARNQVDLMSVRFPKIGSIVQRPDGSYSVGAIPGIGGPFETAAEYFRAWAQAR KFPFKEDLIRERTPPHLVDEIIASIYGFPGKLSDFTKRHSFKSGPFPLIHPDLYTSNV LIDSQCNILGVIDWENSFVGPWEMVEFPKNITLVPPVMDGSSYREDESERDCRLEQKR YVEVVKEAEGARQMDGKLSDALGDENSQNLGQALWLWADGRIGYYSRVLELFD UV8b_03402 MDAAAAAGPLWLDFNDCCSISDVGSTSSSTGPVSETPASDSSLS AASMFPCSPLCDAKAFCNPHSPDVLFPGHLPAAESNPGRMRIGAGLDSPPRPLAPLDD TAQLHGQLGSPCHPLHLTWASVASPSIFSSRSPNRRSVSPPRQETATAPSAASHVGQE SPSRDGTQHTRPRHASGQAEAAAAVRPESGGQADAGPKAPRAAAGKRSGKAYLHNKVE KNYRDRLNNDFQMLLDALADCEDGTDAAHGGVAVEGSRGRSKGSILRWARWKLKALQA DNCSMAEELRALKRSWAQ UV8b_03403 MSEASPAPKSKSTLGLVSKPPCAVALIAEAKISQETAKADHDLR RLVCHINLLESLYVELGDAGPPLDDLAYAGAAVGEDWFRSAPGVRPGDFSDDWRNAGA SRDPDSFCSALGLNPGRAVAGADARQGLATQLGCCKMEDDGEGSRCEGRLGSGTWLDR UV8b_03404 MSEPALRRPAEWSPQKETILAWPGLEGSLKDHPERLARITSEIS TIARATAHFQPVTLAVGQERYEEAEIEFDEVTTPFPIKLHKVQGTTLDSRVRDFGPTF VAKGSSGLVGVDWNFNGWGHKEATPTSTSFAKTLLRDLLIERLQASIVAEGGALETDG EGTLLVTESSLLNENRNGGRSRQDIEGELMRTLGVEKIIWIPGRRGIDVSDCHIDALA RFARPGVVLLSKANEVKPTDWTVVYEEALEILSSATDAKGRPFELIEVEEPDEDLFET NSDDVFPVRSYVNYLLVNEGVILPQFGDPAHDAAAIRVAQSVFGEERRIYPVLIEQLP RLGAGIHSSGQEIPLSG UV8b_03405 MAYPEKFSGFQAPSADKWLEFEQNSWKPRPFGDHDVDIKIECCG VCASDMHTISGDWGGCPYPLAVGHEVVGKVLQVGPKVTLAKVGQRVGVGAQVYSCLDC RQCNNDNETYCKHQIDAYGAPYLDTGYTTQGGYSSHTRIHEYWVFPIPDSIASTDAAP MLCAGITVYSPLKRFGAGPGKKVGVVGIGGLGHYAIMFAKALGAEVWAISRSRAKEAD AKKMGADGFLATNETGWNEPHKMTFDLIINTANSFDGFQLGEYLSLLDVHGRWNSVGL PGGEGIKVNNFDFISNGCSIGSSHLGSRREMLEMLQLVGDKGIKSWVEEIPISRKGLQ QAMEKLQKSSVRYRSCMVGFDDAFGA UV8b_03406 MTQKSTPVMEWAMAHHSLAFNDSYDPANHSHSPRGGSNSHLTGH WPRSRSLSLSLPWKKSKHLLFARDGSRNPSQHTDDDAVDDSHDAPGHFHAQNRTRNHL ASGSLKGIMRRASLSLKGIVHRRPSFIAPHAIHERGPYDGRPSTSQDLWNLSQRAPGM RHSRSFYHVDTKNNAHARDFSVPGMGDEPPVIPQHTGAAAKASAALQNEFFARQRWLA ASSSDDGNDGESGIGIAVTIPATDADGVGNDKSVMDLDAGISRIDFVANLPTELAIHV LACLDAAALAKASLVSRQWCKTISNQHIWRESCLRETTGTYATSTAVQPNTGMGLPNV LPSNDWKKVYKAKLELDRRWKEGKAHPIYLNGHLDSIYCLQFDEFKIVSGSRDKTIRI WDMHTLECRLVIGPPEVVNACSLLTDQDGNPTHYASGSDNQQSCLSIPSTASFAEHHK ASILCLQYDDEILVTGSSDSTCIVYDVKAGYKPIRRLRHHTAAVLDLAFDKKHIVTCS KDISICVWDRETGTLLRQLRGHTGPVNAVQMRGNTIVSCSGDFKVKLWNIDTGKNIRE FVGHTKGLACSQFSEDGRFVASAGNDKVIRIWDANTGECVREMKAHDNLVRSLHIDSV NGRLVSGSYDSDIKVWDMETGHQLLDFPGWHSSWVLSAKSDYRRIVSTGQDPKILIMD FGADVDGVERLESQEGC UV8b_03407 MDGSAHDTRGKARARLHKAPRTVDPLAPAKPNGSLATGTDKSRA TTHCKNCSTMRRQAKSTFLRGDPMLGKPSVKARFWLAEKELKARTTVHCTTVRRNLVT YFCVRAEAQKGGLRKCGILPPQRHAATFGLFGTSGAHRPRGRFSLGNAKTPIRLVLEG VEGVDGSAPGCDQQVSVECGSHPQAPTLPMMPPMMPPMMLLAAAAAAAAGGQFRFLLG FPLATALDPTRCHENEADV UV8b_03408 MAAGSSTWWLANIPRQGKSAFGAADYKVYRNVKDYGAKGDGSTD DTVAINNAITDGQRCGQGCDSSTTTPALVYFPPGTYVVSKPIIQYYYTQLVGDAVSVP TLKAAANFAGIAVIDSDPYDNTGNNWYTNQNNFFRQVRNFKIDLTGLPKSTGTGIHWQ VAQATSLQNIEFNMIQDRSSDNKQQGLFMENGSGGFMSDLVFNGGALGAFLGNQQFTT RNLKFNNCNTAIYMNWNWVWTFHGVSINNCGTGINMANGGNVQTVGSIVLADSTISNT PVGITTVYDPQQSGTNGTLVLDNVDMTRNVPVAIQNNDNKATILAGNALISSWAQGRS YTGATGQAGQGPRRSVPKPAALTDSTGRIVTRSKPQYNDVAASSFVSVKSKGAKGDGK TDDTAAIQAVFNNVGPNQVVYFDHGAYVVTDTVRVPKNVKIVGEIWPLILAGGNSNFK DMSKPKPVFQVGRKGDVGNVEIQDLMFETQGPQPGAILMEFNVAGQSQGSAALWDVHF RIGGSAGTQLQSDKCSKTPNVQTRPDPQCIGAFMLVHVTCSASAYFENTWFWVADHEL DLGDHNQINIYNGRGVLIESSKGAWFWGTSSEHSQLYNYQLTNAYNVYMALIQTETAY MQGNPDARVPFTVNSRYSDPDFSHCSGAKCARTWGLRVQNSSNVFVYGGGLYSFYDNY GQDCVSANNCQDNMVSIENSKVEMFGISTKSSITMVTLNGQATAKDADNRNNFCAAIA AFES UV8b_03409 MEHPVREIPSVIAALSTGSPQQQRDTLAQYFLPDVSFTHPFCHV PAFHAGAIPFAPRLNSRWLLLCIYRWYRTLSPSIDIAVDSAVFDQRSSLLYVNIHQTF RVFFVPLYAARVRLVTVLRLQQRTAWPAAETVSRAGLTEAREPATTSPRRRTTTSWAT ARSSCCPGWGPASGACGSC UV8b_03410 MQLTDALSSSSSATSAPYHEPELSTIHLWPGRLPTRRHLVTALH PEKPVRSSVTRQQDSRTADSLDTGLVVEPDIRTLTPPPGVQVSAVRSTTAYKRFDFDK TAGSRELDSSTATLESKLAPLRLFSFGRHSRNLVRCMSPRAVRDRLRERLLHFRLETL PLVKVNLQTRIYRFLIHRQRKRNQHGRVLNLVAKQRQQLVHAESQPTDSRTPRSQRRR PRQPPPKHVKAIPRVFHKANKMPSFAGVTAACNGSGSGANDVVQDAGERGERGYRRRK LAAMAGNLYRSGQAAVTELRETYAQSRARGLDDFGTNESTHIPGAFPDVAITSRGNEQ MVLFPSYAKTHTRRDWTQTAQPQSLDQPGQQNEEWWRQEWEKHEDEKAIVDVDVRGWI YSPHVSPMTRRNRILIGLARQLSGIPSLKSDSANVATTVGGIGNGSEPKPPHQIRQEI HDQEKIEREAAVIERRGQEEKRVASAGGYSEHPGNNHRKNCHYNSTLGVEAASSVPRH RRHGSHTPDSAPASPSLSARQASGLGELTETELAVANANLMARVAPFLTNPLVSMPIT LFFYNETRSQSKTVLTDDAGHFNVRAALDFVPTQVRVLANEQLSATQEVKITGPAGIS LISDIDDTVKRSNISGGAREIFRNTFVRDLGGLCIDGVREWFTEMHNLDVSMHYCSNS PWQLFPVLASFFKIAGLPPGSLHLKQYSGMLQGIFEPVAERKKTTLNRLVKDFPSRKF ILVGDSGEADLEVYTELALANPGRVLAIFIRDVTTPEPTGFFDPAYDLARQRLSSMTV DEREHAKSTGTVAAEAKAAASGPIMGALIDFAEDPEEAKLDDSAALAQLRQAGESAQG TSAAGLPALPAAKRPPPPPRPAKPVALRSTAARGHDPAATAETEDVPPPKPKRPQGLG ANERQPLTTIPRAAEDAALPPPLPRRRSGLKSLSPGLLARGSSLSNADIDFEPLPPSA ADLPAPAAPPSLSFSHYRPGSRSGSTTPSGSPVLGAQGVNKKLELWRRRLARAHEQLD SRGVALYTWRRGHDVVDEAVGIVKQAQRSMAGAAGRNRGAGRRAMGT UV8b_03411 MAPVHKRKPIEEEFITTLSDNDEATFEEEEPVSASPPKKKVKMS KKSKNSKKDEDDEKEEEQVIGLWGPNDKDDGAMNPDFEFMDYGETGDVEEEFGDWGFS GAKKAISSDKKAVNLDDIIRRQREKKNGGEGIDDSGDEAEAVNDLNMALDDGDDEVLA EDAFGMNVDSEEEEASESDDNDGVDQSNEDDQVEGDDDGDAASDRDSVATPVGHPDDD RPDDGEDEEDAEEQAKRDAFFAPEEKQSSTATTDSSSFQSMSLSRPIHRGLASLGFNK PTPIQNKTIPIALMGKDLVGGAVTGSGKTGAFLVPILERLLFRPNKIPTTRVVILTPT RELAMQCYDVGTKLGRYTDIKFTLAVGGLSLKAQEVELRLRPDIVIATPGRFIDHMRN SAGFNVDAVEILVLDEADRMLEDGFADELNEILTTLPKSRQTMLFSATMTSTVDRLIR VGLNKPVRLMVDSQKRTVATLVQEVVRLRGGREDKRMGYLAHICMKMYHERVIIFFRQ KREAHRARIIFGLLGLSCAELHGSMNQSQRIASIESFRDGKVSYLLATDVASRGLDIK RVDTVINFEAPQSLETYLHRVGRTARAGRNGTAVTLVADSDRKVMQAAIKAGKSQGSK FIKRSISSAEADELQGKIDAMEEDINTIMQEEKIQKQLAQADMQLKKGENMIEHEAEI KARPKRTWFESEHDKRKAKQAGRDELNGLREGLKKKNHNGKLSNKDRKRLDDKSTRLE GGRAWKKGKGDAAAAEAKFKARGKGKGKGKGTGKAKAKGRK UV8b_03412 MGESRQELIQWLNSLLQLNMTKVEQCGTGAALCQVFDSIYMDLP MSKVKFNASGEYAYVQNFKVLQNTFARHQIDKPIPVEALIKCKMQDNLEFLQWTKKFW DLNFPDHDYDAVSRRKGGGLPSSGPGAATTRAPVSAGAVRRGGGGAPAPRVAKAAGVG PGSAALQQENNTLKETVAGLERERDFYFSKLRDIELLIQQAVEEDPELEKQEDGLVKQ IQLILYSTEEGFEIPEEGEPLDDQETF UV8b_03413 MAPPPEIAIPSTSVSDEGSTKPYTLYNITLRLPLRSFVVQKRYS DFARLHASLVEQVGAPPPESLPGKHWLKSTVRSPDLARERQAGLEKYLRTIAETPDRR WRDTSAWRAFLNLPSSSTTNSTVSAPGMVRPAATGAADPQTWLDMHRELKQHLNEARQ CLSRRDAATDAGNNAAAAEAGAAARKVLLKAGALVSGLTDGLRRMQESSRLGEGELRR RRDLASAARMERDALEKLSNSVSGPNSAAGHGGTASSDSNRLATASEKAALLKGGRPA GRVLGAPLLETAKTRELDNEGILLLQKREIAEQDLNLDQLTAIIRRQKEMGLQIKDEV DAQTEMLQQLDEDVDRVNKKLDVANTRIKKL UV8b_03414 MSTPPPPPPHGEVPRSSGLPPGKYDIFVIPEHSAGSGFLYLPSL RPNVNSFFAGFASALILVALFQSMAPAFRVWWESFQGLGNMGMTLLIIGVGFGAWALG RTQKDGTSSSSRHYQSWHSSGGNGGYSSGGFGNSGPRASPGGGAGEAPPPPPPHGSPP PPPHGSPPPPPHGSSPPPPPRGRGGGPPPHRRPQSPPEPSPPPSRQPSPRPPPRPPPQ PSRQPSPRPPPRPQPQPAPQSAPEPASQQAPQPPPQPAPGPAPQPAPPPQQAPQAPQP APQQAPQPPPQPVPQQAPQPTPQPESQPPPQPAPQPPPQPAPQPPPQPAPQPAPQPPQ PEKPKTSWQRPAPAPNSPKSQTPKGTWEKAREATRKKEEERKAKEAEQKRREEAAKRL AELRAKEAKERQKREHEREQKEKEAKEKKLKEEAEQRRKELVERLRREKEEKEKEEQK KKEAEAEAAAAAADAAASPTSAAGVGSPRTGSSYAFSGVGERMSMWPNGRPPQPPPSS AGSSPGKKPPAPTAKTHVSTPNGDAHSFRPYDQPRSTRRRPSASSFTASDASWAQSHT TSRTSPPPSVRGRGPYTTKDPDKIVIQAVYLFMNQYAKTPASQLVSGVGSVTDGLILR VTTAGLFIDDDVRGVPQREWDVKAWTLKLVEVWCPPHCLSASAATAAPSPLAGAKSAP HLLYKMATARRHADRDSCKVLNGDEADAFLADMLHACKDCCRLGLCERRFGNTNIQSP NGHTGAWKAKGLHILRATVRDQEGKRYLFVMNETESWKLAVGLQRLRGGTQVRQLGVA GMAPSEARGTLEMLGWSG UV8b_03415 MGAADSYANSGNERAWGGQQQYGQQQYGQQQYGQPQYGQQQYGQ QQHHQQHHQQQPPQGYYDSQGQDPSNYGPPPPYSYNPPKGNEADYTFDQAFKIEKPKY NDLWAGILLLLVFAGFVVVSAISIRGYVTGARGHGIYGGNNSFSLNNNTIILFAFGLV VAFVLSWAYISVARLFPKQFIWISGLLNIVLGLGTAIYYLYKKYWSAGIVFLVFSLLT AFAFYTWISRIPFSALMLKTSVNVSKRYGHVYLVSLVGGLLATAFAAWFSVTLTAVYV TYEPSPNNPKCGANGAGCSKGKVIGLTVFITFAMYWISEWLKNTIHTTISGVYGTWYF CVNNFPKGATRGSAKRALTYSFGSISLGSLLVAIIQFLRQLCSVARQQSVEDGGVGGA IGYVIFCILGCLVSLLEWALEFLNRYAFCHIALYGKPYIPAAKDTWNMIKDRGISALI NECLIGPVLSFGSIFVGFATALFAYLYLIFTHPAYNKDGGFTPVIVAFAFLMGFQICL IFTTPISSGIDTIFVAAGWDPQVMVQEHRQLYDEMVRLYPQVQQAIQVR UV8b_03416 MAATTSTTVLADDSKPVINDNPQLQGLYRSFESRVGYKLLLGNT RHFGYWEKDTYWMLPLGRPLRRMEEKMHNLLNVPAGSKVLDAGCGVGHVALYVAGRGL RVTAIDVMDHHLAKARRNAARAGDVGSLVDVQKMDFHHLETLESNSFQGLYTMETFVH ATDPAAALRGYYRVLQPGGRLAMHEYDHDYESDEAIGKTLAKLMREVSDYGAMPTWQR ARRGYYRQLLEDAGFVDITEHDYSENVRPMLRLFWLLAAIPYYFVVLLRLEKYFVNTI GGARGYYAQKYWRYVAISARKPQAEEEASPKN UV8b_03417 MEAEKHTPPRAVADQAGGAKDAAAAAAAAAAAAAAADDVEEAGQ NGLARALQGRHLQFIAIGATFGTGLFLGTGGALSNAGPASLLLGFVFMGLVVYTVMVA LGEMAAYMPVAGAFTVYASRFVDPTLGFAMGWIYWFSWSITFGLELTAAGLIIELWTE SVSIGVWVAVFWVVFTAVNFFPVRYFGELEMWFSSIKVVTVVGFVVFAACVNAGAGDQ GYLGFRYWTYPGAFRAYLVEGGVGRFVGLWSVMVTAGFSYQGSELVGIGAGETRNPRK AIPQAIRWTFWGNFVLFVAVVFFLGIDIPHDNADLQSTATNASASPLVIVAKLAGVQV LPDIINGVLLTAVLSAAASDVYSSTRILYALAAEGLAPALFTRTNRHGTPVWSVAFCS LPGLLGFLNLSQSGGTVFKWLLNITGVAGFIVWGLINLCHLRFMKALAFRGIPRASLP YAAPLQPYLSWFGLFFNLLILLTSGFTSLIQWSTSDFFAAYVSILLFVALYVGHKLVF RTKLVKIEDMDLSMGRREM UV8b_03418 MVIDTTKDLAALFEKQAQASPQAVALEDEKRTLTYAQLDSESWA LADRLSQYGVGRDCLVGVLMNRSADYVVASLAALRAGGAYLVLELAYPAALLRDVIDD AKPTVILTQKAHVANLTHVNIPVIVIDQPDMTAGANEPVLVEHKPPLPADDDLDRLAF VSYSSGTTGKPKGIANPHRACVCSYDLRFALSNLQPGDRVACNVFFTWEMLRPLLRGA TTVAVPDSASYDPQALVEFLAARRITETLMTPTLLATVLTRHSTLADTLPHLKSLWLN GEVVTTDLCRRASKALPDVRLLNVYSASETHEVAAGDIRTFIDYEAPVCPVGPPMDPT TIYILDEAGNRVDVGVSGELYVGGQMLARGYLNLPETTAKSFQMDPFSSALDARMYRT GDMARILPSGLLEITGRVGGMIKTRGYTVQPGAVEATIVKHLAVRDCAVVARGEGLDK QLVAYLVPDKGEARNRTVLVIDESGYSPVARRALSDHLAHYMIPTIWVELETLPTHGV SGKTDLKALPPPPSPRTPNLNAIKEKDTRIKMDTIVKMWAASLNIPASAISHSHSFFD LGGHSLTLADLAGRLTRTFGFPVPLAPLAGTPTLEGHLRAVKAARDGHTAAVQADLPA VLEADSALPQDIKGTGRPMCRLNDAETILLTGATGYLGAFLLKSLLDNTSAQILCLIR FTDPNVDCRPAGIARIRKNLIDQGIWNDTMLERMEVVPGNLARNRLGLSPEAFAELAS RVDVIVHAAATVNLVYPYAALRNANVGGTREILRLASRGGATVHHVSTNGVLPPSETA WPEEAVLDIKDVPTRLPDGYGQTKWVAEALVNEAGRRGMHVKVYRPGTISGHSVTGAT NTYDLLNALIVESLHLGYAPKVDGWLAEMTPVDFVSQAIVTLANHPCTKQKLYHLGDP QPVAAETVFRDLEALGYPTKRLPWNEWVALWKEKRGPGGRGGDDPFTVDILRGGMPTV DTLELVTILHDGATQPELDLYGVSRPRIDRSLWETYARHFFARGWLPRPPLRLQRNGV HGANRRGRLAGRVAVVTGASSGIGAAVAAKLAAEGAHVALAARRADELEKVRAALSPT GVKVLAHQTDVSDRAQVESLIETATARLGPVDILVSCAGVMYFTLMANCRADEWERTV DVNCKGLLHCLSSTLPGFLARRSGHIVAVSSDAGRVVFPGLGVYSASKFFVDATLRSL RMETVGTGLRVTSVQPGNVDTGLLSMSTDAEALKRYGEPTGAKVLDAGDVAEAVLYAV TQPEHVAVNEILVEPRDEPAVVA UV8b_03419 MFSQEFRGKLLPQPNCEKAWQLGLETFQSGHDAVVLSGELTLNP DANGPLFLLNLDPLKVGGNNRVVRRFGADRVLQLLIPTLDHVKKTTNCSDADVEEISR WLNDKEHYFLGRRWGVFYFKKAEPKRVNKGNNGSQTVQRRRIWLFAGNGDSFRAADGG LPPGEDAHNPSKRQPITRFQLLDYLIGFGNSNQQLPKLFSRIGLSLTDTRATVVLKTG QIHHCSEDIVAPGTGNVMNDGIGRMSPSMGANVFAKIGGKGPVPCAFQARFGSAKGIW IIHDDPELGDGDWIVTYPSQRKWNCSFEDEHHRTFEVKDYSKPPRQAYLNQQFIPLLE AQAHSRLRMREALAANLRKGLQEKIDGLTLAMKDALDLRLEVWQHGRSTTASRLNGHA PFLGGLPRDKEESIAYLLDMGIDANHCKYLQNLCKGLALQRAETLKEKMNIPVKSTYL FMVVDFDGILEEDEVSIIFSENFSTDDFCDMMLDGMELLVARAPAHLPSDIQKVKVVF RPQLRHLKDVIVFPIKGALSLAAKLNGGDYDGDRAISIWDREIVQNFRNFTDLSLLGN DSDLVEWGVIRKVDMPLSQIRQQQPTPEGASDLFLREAFKFSMNDNLLGICTDYKERY CHYTGNVINRDVVVLSRLLSCLVDQEKQGFVFTKQQWNDFLKGRKLPRPGSLKEGPDR LVAKPGVHILDYLQGELKATVDKRLDAFAKALTASEASYYDADLTAMFNRIDEEHASD DEWLVIKRTLRGDIDRVADEWSRRMSSRLSEDSYEVKVGALYRAWQAIQPPPALRSSP LVRTMLEFWNKDRGTNVWELLKASFAFKRHYKKSEGNFVWTLAAPQLGILKQKEKGGP AGAAGPVMVQPGMWAGLRPDQKHIMARHLHRLGTGVGETARAMVDEDASEYDASECDA SECDASECDASEYDAMGDATDGDD UV8b_03420 MTLMAETATQPDQSTPIDELTPEEASRIIQSRRKVRYGTACWPC RRRKVKCNNKQPCENCVKREHAQLCSYRPDRCLATKNASSVDAGQSRKRTRSSPDAPE ELARESEHGDGWPRTMELPTSVDDSESVESVGDRYLGQNSIPALLREQSSPNEENDSV DIRRDLRSILGLDTSAPFPLMSSHHLQRLAHDISAELPSDREVLNLFRTYKQIPQPFW GFVCDIDEFESRLMVYLEDRSRNASSSMRSTRPVAASWLAILFAVLAVGSQYHESPYH IRTRDSQKYIQISFHFLRLGNFLSRPSFDSIQALLLTSFVLLNDMKAEASWALTGLTC RLAQSLGLHRPAVRLEGRDGVPADAKAKEMTRRKLWWTCVWHDTLTSLSFDRCPMTGF PSCDIPVSSEAPSGKYTYLEMMYHLIEVISRRLNPDAMATSSYQQTVDNCEAVESLRR RSLPHMQNKDQCRTALHRLQYYAIRLHTSFVISVACRPALRRTCDFDPEKKRELAGRC KDNLTETVRMFLAMHQLSVIPTRSWAFTYHGLSSALLLGILCETKTDPEIRRLQGDLI AALSATAAKDASSPGPHIAVSARDIELSGPLWRALTALKNIYEHGTVTGTSAKSDGYN GAVSGSATRAPLLPPFMLGHASASGPNQQQHGATDPHKDAALAMAEMQNGVSLEFPGP AYGQSLPVLGLDGLPLTVDQTQLMAPMDLYNSIWGESLDPWNANVDALNYDFIAQPPP GQPQQQFYF UV8b_03421 MPQDTTAAAAAAAAAAAPHEPPFTYTANQGYVEPVQQAPPEVRK PRGALDPGDDADGDDLDDIFHDDDDDADDDVDDEEFAGDARDLAKTYNRQRQLQNTNG GAPLPRSNQQHRPTANTFASVDDQVAMLARHAAKIRLDSVKQGGERERDKDKADRATS EQVLDQRTRMILLQMINRGLVSEVHGAISTGKEANVYGAILADDRTGGVAQRAVKVYK TAILVFKDRERYIAGEHRFKAGFDKGNSRKMVKLWAEKEFRNLRRIHNAGIPCPEPIS LKLHVLLMGFLGDAKGWAYPRLRDAVLPAEGDRAERLWRALYLQLLAIMRKMYQVCRL VHADLSEYNILYHDGTLYIIDVSQSVEPDHPRSLEFLRMDIKNVGDFFRRKGVDTLPD RAIFNFITASEGAVEEPATSQALEKLYETRQESGADDDDEAAAELEVDNQVFRNQYIP QTLEQVYSIETDAQKLSKGQGGDLVYSNLLADQVVQPNGQDEQDQGSQDESDDGATLS SGDESGDESRFEKGPPRGRKFEDKDEKKQHKQAVKEAKREKRKDKMPKHLKKKIVSTT SRRKK UV8b_03422 MAPLHNSLVSRDAFKAAHQRDYQRRLLRPQHRSLNRLIRSHAKQ LVESGQVDIFPDCKHDHGAFDKASIRNHIEQDLRSVHHNLAKAENNHNRPRRPDRQED GTDLAYSDIELPSDRCRLVRQPTLEREDAFHDASTVKGKIHIRRRLESGNDDEQVAHL YQVGLLYDDEQDSASDSFNLNSIQHAEPLFPIRPARRAQKHRAGKGGVNQPLHLDLSF SDLGDDEALAQYFMAAARPDSENGQDETVQHRGSAGLHTPLRVIYELISSQPSFDVDA CQPPDLVTDVLSDYDCFSDSELDDTPSQREVQEHANNAPADAWVILGDDS UV8b_03423 MDALVQKAIRLADALKKPPPPVPWTPDEPWPQPYHRWDPDAEVW LPVPPSPPTGPGADTDTDTDADADADADAITAIALYSWNIDFMLPFAETRMTAALAHL ERLAASLPPSTAVVVHLQECTPSDLITISQRPWVRAALLMTDLDSSAWASGLYGTTAL VDRRLPVAACFRVHYAASRMQRDALFVDVDLARQRLRLANTHLESLAADPPLRPAQMR LAASYLRGAEGVAGALVAGDFNAIQPFDDALHAANGLRDAFLEPGPRGARDAGFTWGQ QALPALRDRFGCSRMDKAFYCGAVRVQSFETFGADVEVDPRCQRQRDALLALGFEKAW VTDHLGIVTTFSLANDTRL UV8b_03424 MLVVVACVSLLSLLLLFRALSPGRAGKSGCAIPKGPRGFPVVGC RAGCFPFLTRYPELTLDRWARRFGALYSVWLGRQLVVVISSPDVAKDLLVTRGAVCSS RKDMYIKSRCVFAGRGVTATPYNDMWRKHRRIANAWLHQKAVDRFSSVFDKEATDMVR CLLEASRDGSALVNPQPFAGRCSLNNMLTVVFGIRTASVQDPLVSTALRLGREFMNVT GPVSNLIDFLPLLEWFPSRLRSRGQKLHRDLVETFGGMIEDIRQRSMRGQPVPDCLAA TLLAIRQEEELDDLDMAMMASAFLIGGVETTASIMQWFQALIPSYPDIQRRAQDELDR VVGQHRLPGVEDEKNLPYCRAIIKEVERCYNPFWLGTPHAASEDFVYNRHFIPKDTVL LLNTWTMHHDETRFREPQKFNPSHFVDDTLSSAQSSNLADAGRRDHWMFGAGRRICPA ICVAEREIWLALSRLLWSFTMEAAPGHAIDLKQYDGLSGRSPVPFKIRLRPRHDNVVG VVEAAAAAAAAL UV8b_03425 MPADLSTLPPEQQQAVLDGPALQAPPGVQPNLQHAPNHNAIPEA VIPICLVLVALAILLRNYARAFIAKKVDVDDVLSLLGFVSPACRSAPCFLEATDESIL GHLHLLRLQRLPRHSHGGLLRTPMEHYAPQRAKRAKDAPDSCHLLHHHAGPRQGRHSP AVDSHIRPPRHSRGLFRKIDPEKKTRPLIMNPPPLTNRLRRFATQWTCHAILWTNLLF YLSTTVAGNLICVPFQRIWDKTVPGVCYNGRTLNMTIGAFNLASDICILLLPQRVIWR LNMSFRKKLGIALTFAVGLLACAAAALRLGMTVSYMTDPDWLYHVASLSMSCIAEMTC ILLVYNMPGIPMAVRESRLLSRIFSSLASSRRTKIGSGASGAAAGQDAEKAGKVPFRG RP UV8b_03426 MEVILKNIPSTLTDDNFKRELIPFMNALGIVDWTVDKPRRKPHA WISFLQAADGVKFLKKHGQIGALKAPSISTSAPRKSRGEVGSGYIARLHILSNAVYAF KSHSEPKDLVLQSLRHERDERLKAPDQQGRRAPAIACTLKNVSCGRIILEPPHMGLKF IQQASSDQLYNAKFGRQCLTVTLLEAVRLDIPYDMVQEVIADDRAGTITLVLEESPRF YSKLPVRRPGDAGKWERLTACPLWVDREPLHCLVYQLVPAMDYHGVIRSLKNQDILSL SQQAMPALYPPLSADEDSVAAMKVFEGRIVGAATLREAPVPFPILFQTQALVWNNYLH PRGGLLVLDEVQRLVRKSTQQGTELPLSADVMKRLFQSIPYPVPGADLTLADPSSLLP QWQEGTLEMRSQDPTRTGLYGPSLPQHQAWVFKALVTPTRILLQGPDAESKNRVLRMF KHHTDYFLRVSFCDENGQDLAYSPRVVNDNVYERYRRVVMDGIRVAGRQFSFLGFSHS SLRSHSTWFLAPFVDSNLQRQDRDTILAALGDFRDIRVPAKCAARIGQAFSETPYAVD LFKAGIKVRYIPEVKSADGGRVFSDGVGTISPEAMHELWKALPMSPTCFQIRWGGAKG MLALDPRLQGKLICVRKESMMKFPSRDVQELGICDAATKPLRLYLNRQIIKILEDMGT RPRWFMSLQEKALNILRGVTATATNTSKFLRAQDIGRPLSLPSLIKQLDSMNIDYRRD SFLQSVVEHTVLRELRLLKYKARIPVERGVTLFGIMDETGLLQEGEVYITYDKSIHAN QKHAVADGRVLVTRSPALHPGDIQPVKMVTPPEAHPLRALRNCIVFSQKGSRDLPSQL SGGDLDGDLYNIIWDDAALPKKRFSPADYPRVVPVPLDRDVSRVDIADFFINFMKSDI LGLIANRHQIIADVQPDGTYDATCVKLAEMHSTAVDYSKTGIPVNATDMPKAPRSRPD FLAPAPPLKVYELGQIEHIVEEEASDNEDGMGVAKPRYHRSEKILGRLYRDIDEKRIW SEDIHRTISRAGPSVWEQLLGRIEGELSLYGIEVNYIHYVQDAWRIRNIYEESMYDNM WHFSDNPRKSLSEADVFCGSVLNLRGRQTRQQRDTSKKLKDEADRLMTWVSKLIRNQN SGDEPDTGKEEAVQLCMTCVVVGCATEERNSKQDQLHSFRVVAAACLLKEMADLRSRK RMVAS UV8b_03427 MRLRSPLLLCPELLLRLLLPAAAAAAAAAANASAGFEWDSITPS PRLEYHACYASFRCARLSVPLDWRNASDGRAAAIAVISLPARVPDTDAAFAGPVLANP GGPGDSGVELLRARGRFLQDYVDIPGRRHYELVSFDPRGVANSRPLADCFPGGTLARA ALKLELRGAQGERERGRYAVAHGLALVDGFAKRCEGADRDDGRAIFSYLNTPSVARDM VEMDDVARLQYIGFSYGTVLGNYFASMFPERIGRMVLDGVCNAVDYSTGPGWLTNTVD ADKVVGKLFEGCFGAGPQSCALARPDDRSASDISTRFWSWVGGLDEAPVSGLAPSGNY VVLTGVDVRLLVARAAYRPLSTYKALAQDLDAAMRGANLSAVLAKVEAGLGEPLQTAC PVANATLAPDQGADAHHGIVCCDGGDVTGTGAAWWQAYLDRQLRQSSVFGYMWTSIRF PCARWRFKPSWAFRGPFTTPEASSGSGSGSGGGAGGGAGGEREERGKPAAPLLFLTNR LDPVTPLSAARAMAGAHPGARVVVQEAIGHCAMLSAYSECTRRLVREYLDSGKVPDGE ASCEAECGPWDADCRVEVRESRPWHERRFPLGA UV8b_03428 MAASPAASSRRSAASSSASLKRSHDHQDQSPVISNDTLVNHLLV AKRSLSSVNLVLRANELATSARQSHQETLLLAAQTAFVRNSILDETAILVRLWTSLQA TYEWAKRDFKKLVEAMDDVDTELTRTMDLLRTTPVQTVLQPADRDSPNLLDFVDETSV DGMREAMKHSIQDLQRIQQSFDGDLLRFKTDIRDLRKVATLSARTPSGQDDGKDSAAI SLLLSMDHHSTTMASLLASLTKHFDMCVTAIRTTEGAAALARRKVAEVKQSQESDGVS ISGVIAEQESHMSDLEPKTAQDRAEMLKVVVQDAEEVDDVVQEIQERLTAMEQEHVAL QEHVEQTKAAYAGILESFSLLGQIGHRLGDYIAAEEDFAQRWDLEKEGVFGKLREMKE MREFYERYASAYHGLVLEVERRRAVDERVQGIWRKAQESVDKLLGADRASRETFRQDV GEYLPTDLWAGMQGPGKKWAVVQVNDDEAEAAAAGVEDRT UV8b_03429 MPLSELKPLLKSIRRRVTTTQDEDEMEMSGTVEADAASGSGQSA VPRLALPERPPAFDEMAASANIRPAAILFKRLNIPKRLSGPPRGPRPMPQAWAVASDG GKRPRLGRISRGRFVPHRHTRLESIDESLDETAELSTVEAQAQLAFQAQCPFCHEILP RQMDLDHHIVANHSGPRPDVPRVISTDLGFDEGDDELMRLTRGKSPAEFFTPPTLGNP SQNANVSEGWRSAALPGFQGTSNISDPRDPREPRERPPPRAGFFEGRLSEHHAPRLGR PVLTSELALPVVPSVTLSNARSEAGPSKPAQDENRDESCSSYTFDPASVLDMEASQSH ARQESIASIMSDKSALEARMARHLLALSSSQGSHFDTASLYSSLCSSDAGGSGASSAG QAPAGRVPEWSRPWKRQGKQGPGVWLCGQCEHRDDVDAFCNHIFASLANFAPSTPRLD LLGPLQELSAATDGPLRDTDRADHQSSVADRRTRPASKPSPALPVMTISAAHEADAQR TRQVESLLATLLARSPIYSLILPDIALETVARGTVTLRLTLGQAHLNSKGGLHGAVSA TIVDLVTGLAICSHDLRDATGASVDMHLSFLSTARAGETVAIRSTAERVGANLAFVTV RISKGEGAAEKVVTLAQHTKYVRGTAGAAEPS UV8b_03430 MEPAGPEAAQQPRVSAAEARRLASDILQGNGVPAADAATIAACL VAADLRGVDSHGISRIPSYVERIRRGVLDPAAAPAVTQVTPAAALVDARNGFSFPATR LAVQAAVAAARVYGIGMASVTRSNHLGMAAWVVQQALDAGMMSLVFTNSSPALAPHGG RAALLGTSPLACGAPGRGRMANFILDMAPSVAARGKIHRARRRGDRIPLGWALDADGR PTDDPAAALGGVMLPVGGPKGSALAIMMDVFSGVLSGSAFAGHVTGPYDASAPADAGH FVVAIKPDLFMSLDDFRGRMEYLYERVVGADRAPGVDRIYFPGELEQIAERERMRSGI PLAQAEIDALNQEAGRVSVRPLELLD UV8b_03431 MKLALALCLASAAVASAMSCEDMTVPVSISARNGVFNLPPPASE IDVTNLFLGLSRPGNNQTADLLQGYETVSGSYRLAATYCQPDDGPGHTLQILTHGVGF DRSYWHFPFNDYNYSYVAEAVAAGYSTLSWDRLGIGRSSHGGPVNEIQLFLEVAALEA LTRLARNGSLWDEPRRFNRTVHLGHSFGSAMTYSLSAMRPDVTDAIVLTGFSQVPQFM PYFALGGHFSPVKNIDLLARHYAEGYIAPKDSIGVHINFFGPGDFDPEILDAATKTGQ PAAVGELLTVGSTPATSNFAGPVMIITGDGDVPFCGGNCMNTAAIHGSSPNLIDYSRK SFKSASVFKATIVPGAGHNLNYAYSAHQTYRSILDFIDANTRR UV8b_03432 MKPMILIAAAFGLATAAPVSTLYKRMPVDNLLRLSMASFPDQAV RDTYASDVSSKWRLYPLARLEWMALLYGIKLLDYVNKGQDETASNIVLTLSESGNDEK YPVTKDDMNSFLDKVSDMIAQEQAKSLP UV8b_03433 MNLSLWGSPMRVLARISLAVFGGDDVHATTARFRSVKLYKLRYA TCRGSRAFRLATSKLQRRLMLSRPNTAPDPTMPVTLEWKAVFRAVQPKHRSPARPAVG ARPAPRRRRFRFGPLCRHGWALPAQHVAGAARFVANAIVPK UV8b_03434 MKADILVAAALASLAVAAPASTLFRRDPLLELSANSLPDKAARE VYKSNVWTFWRAFLPGARRLPVMVHEYAARLQDIINRGEDELASDIILDLANSANSKE YPVSKEQLDLFLETLSQQMDWR UV8b_03435 MRGILGFGKSRVDGAATAERRLNSKDNLHDQTSCRAVAGIALLK AKRVGSPSHKVPETAPTTTTTTTTGSQQNVCKRWKAALEAASCPPKGQVAA UV8b_03436 MLLSLAWLGAVAAHVIALSPVDSGSQASLPSSFSWTSSGPIIGP RDDGHGVRAIKDPSIVYYQGRYHVFASAPTSSNFNLVYLSFTDFASAKNAKFHYLERT RIGRGYRAAPEVLYHRPHKLWYLVYQSAGPTFSTNADIGDPSGWSAPRSFYAGTPATV RSNKGNGSWLDFWVICDGEYCYLFSCDDNGHLYCAKTSISDFPSGMSEPVIVLRDEKM ALFEACNVYNVGGSYLLIVEAVGRDGNRYFRSWTAETLSSPWKRLADTEANPFARHSN VQYPTKPPWSLSVSHGEVVRSRVDETLSIDPCGMQYLYQGLPHSKDFGNYNTLPWQLG LLNQTNC UV8b_03437 MITTRRSANLGARHSNAMEDTDDPAESPSRPRNPPYLPTPMERL VLLLFPAILLFGTIFSVVSPQTRSAPYDPITQSHPQDADAAPSYFARKSNVFNVFFVK RGWGWTTFAFYFFLLTHPSGGVSWLDVNPRRLRGILRWAAVTGWWFLVTQWCFGPPVI DRGFQWTGGKCDLVEQKLGAGGPDDADVADVLTAVACKAAGGKWRGGHDISGHVFLLV LGTAFLMQELGWAVGRWHAASVEERCVVMADGAVKSANVEADPGAGHSRGQHSLGVGG KTASAVAGLNLWMLLMTGIYFHTWFEKLTGLLTALLGVYIVYIVPRFVPAVRSILGMP GI UV8b_03438 MARAIQPPAHLPDLVKRAFAQARAAGSLHYFPSRVALVPVGSIP FQLRFAPSLAAKPKAAPTSDAAARADDPFARPPPSLYLAALGPSHYLVLNKFAIVPEH FILATTRFEPQTHVLEPADLECALACVEAYAHHDNDDDAGGLFAFFNCGEHSGASQPH RHIQLLPVAAMRHGLGGGGDDDDAWTVLADRPDLRAAPFAVFSEPIRLGMPAAELHDA YVRLYRRACGAVEAQQRGQVQVEGAAEAEAEAEAPARGPSRISYNLAMTSKTLALCPR LADGGAVLADDGSEAGRLALNGTLLAGTALVKNELEWDALRHEPARLGRVLRGVGVAR DSGNG UV8b_03439 MNPYIPYIATSDEPCRSMYTQLHQVGSMDCFFDSNSLMTGSDLD TAYQPDPGCSLLPMFNASALEECMWYHDSPSSASSFSELVYSGADFYGFEGDGDIPAT GPQLLAGEASHASDFETPLDSQHFDMFTPPDLAIEPRKLELDSGFGATECLEPDLLSG VNSPPAPEAYCTQARSAEDQAWQEVGPNEEEAPPREGGEPDQRLCKRRLRLVPTESRA KRRAAKPRKGYHRSLTATDGPDSRKLEDRILLEARAQNMTYKEILDKYNFAVTESTLR GRYRAITKDSAERPRSPQWTESDVGLLLQAVPLFRRRSGRSRVYWKGVSDYISTNGGS RSFGFSTCHRKYLEVTGGLGTAVSRCE UV8b_03440 MRQGILSTVFVAAAAAAAAATVEKQSTSTTSQAPACTATASSGT GGFFDLRPDMAHPAEKGKPLKSGLSKDYRARGYDYGKNFTLNICGAVVDPVTDVMGVP KSSWANVSAYYISRGSIYSIGFVDSQPRLHSLFERLPMFAYSSESMDLVSRGNVRMLQ YTDGSPCGGGTKSSKSSREASSLTSRHDDGGKELALSSRGPTVENLERADNGKENTTK RKSTTISFLCDRDPGNSRATISFVGVSPDECSYFFEARSIHACAHAEPHKPGSVGPGT VFSIILLVAILVYVLGGVFYNRTVANARGWRQLPNYSLWAGIWRFFSETFVALASSCL RCLPGRRGYSHLNANPRHRNSDAENRLIDQLDEEWDD UV8b_03441 MPYSPVKFQSTVVKPYNHDLDNPTTDDAEDIVYAEGPARPGRLK VRIPAPATAAPLTAMIPAAETSSTPAAATYPSPAISSDQTRLVLPLPPPARIISDVII NKDQEEALVNIIASNTVINASFLTAKKQADLQLAS UV8b_03442 MPAKSKLTAPAEHGYEFFGPPGAFLISFFMPLLVYVFTFACNDI SGCPAPSLLSPRSLSLDQLKLEVGWPRDGIWGLASWKVTGAVLGYYLLNLILYRIMPA TVVQGTVLRSGGRLTYKFNTFYSNTATLAALAAGTAAQGADFPVWVFISENYLQILTA NLGISYAIATFVYVRSFSVRPGNKELRELAAGGHSGNLLYDWFIGRELNPRVTIPLIG EIDLKEWCELRPGMMGWIILNCAWCAQQYRNFGFLTETIVCITAVQALYIIDSWWHEP AILTTMDITTDGFGMMLAFGDLVWVPFVYSLQTRYLSVHPVSLGPLGLASNVALIVLG FWIFRSANSQKNTFRTNPKDPKVAHLKYMETKTGSKLLVTGWWGIARHVNYFGDWIQS WAYCLPTGLAGYQILAAGSSAATEASFMMQDGRRVVQGDARGWGVLITYFYILYFAIL LIHRDRRDDDKCHRKYGEDWEKYKRIVRWRIIPGIY UV8b_03443 MQRDWSHACFLRISAHAIPSGVAPAPFPCSNQAKTPKCCTACCT ACCGRLPPPDRKSNGLRDPSAMTIPPDALCQGNCRKKGRVSPDDMFLSSLHLDSPRDM RIIPPTSSGPSHSSDPDTTKLPSTSPCRKKRRRSHRDTMLPSDNCLKALRDHACIDCL LEGAFSPLFSWTWCPVP UV8b_03444 MKPLSVLAAALLTAVDASPEAPGQSYKFQVRNHSSHSPPHYPSP WMNPDAPGWQEAYAKARAFVSQMTLIEKVNLTTGIGWMGDNCLGNVGAVPRLGLRSLC LQDGPLGIRLSDYNSAFPAGITAGATWSEALWRDRGKAMGAENKAKGVDVTLGPVSGP LGRAPTGGRNPEGFGTDPYLQGRGLANTVIGIQEAGVVACAKHFIANEQEHFRQAGEA QSYGFNISESISSNIDDKTLHEVYAWPFADAIRAGVGSIMCSYNQINNSYGCQNSKLL NGILKDEMGFQGFIMSDWQAQHAGAATAVAGLDMSMPGDTVFGSGHSFWGGNLTLAVI NGTVPAYRIDDMAMRVMAAWFKVGNTPGKQVPTSFSSWTRDTYGYRQAMAKDNWEHIN FQVDVRDKHAAHIRESAAKGTVILKNDGVLPLKTPKFLAVIGEDAGQNPQGPNGCADR GCDNGTLAMLWGSGTSQFPYLITPDSALQRQAVQDGSRYESVLSNYEWDAARSVVSQA NVTSVVFVNANSGEGYISVDGNQGDRNNLTLWRNGDDLIKNVSSYCANTIVVIHSVGP VLVTDWYQNPNVTAIVWAGLPGQESGNSITDVLYGKTSPGRTPFTWGPTVESYGTDVM YQPNNGNGAPQQDFEEGPFIDYRHFDKVAPGKSPGAPIYEFGHGLSWTTFKYSNLNVV KHNVGLMSPPRGRTSRAPTFGNFSTNLGDYAYPSFIRHIDTFIYPWLYPTTSGKQASG DADYGQTAEQFLPPGATDGSPQPKLPSSGEPGGHPGLWDVMYTVTATITNTGDATSDE VAQLYVSLGGEKEPVRVLRGFDRIERIAPGQSVTFRHELTRREISNWDTVSQNWVVTE APKTVWVGSSSRNLPLSATLG UV8b_03445 MALPGIDFSQNLSLFTVPVAFALCILPHVYAVWLVGMSAYDNAN PRQYRDMLARDTSIDKERKLRILRAEAASLNGLETIGLFAASVLAGNYAALDAATLNH LSFGYLLLRAAYTLTYVLVRNRRYSVLRTAIWQLASVYMACFWVKAGLKLM UV8b_03446 MRGTCGVCAGQQMSCRRLASAARLREQEPAMQPACGLHHGLAEA SLLPYCNAHERTLSARSDASGTPELPWHREGSRRRRQPREQDRFLFYPTSASISISTL GSLSLAEASFEPLPFARSTSPSSERSSTSRQYRDLTSHLLLAFPLRPATPTLDGKENM SPIASAPAGVELA UV8b_03447 MDKADMANQPRQSIQIVGPGPGVDLSADQGPNIIATGMVLWAVA VVVVMLRVYCRRLQKAKLWLDDYLILAAVVMTTIEVFILSLYPTRHGFGKHIWVAPLD SASVFLKSLFVSELFYFLALSLVKWSILAFYWRTFGIQKSARVLIWIIAAMVLSWCVA MTLVTIFQCKPIYAYWQRYDLLNPLERKSYSCPIDDKQFWYGNAIPTIITDVFMLLMP IPFISKLHLRMGQKIGLVGLFSLGIFVTITSVIRLAYIIGADLTSTDVTWNLVTIVVW SLVEPNVMIVCACLPFLRPLMMKCASRSRTQISNTKISNPIGTNSSAADRVPSLASKP AGHPEKYAPKERGLFKRTSVVDIRAGAKGQEAQAGDDRQSAENSSLEEGIIITTDIRL SREQRDRYWDDVA UV8b_03448 MGPSNGVAKRAIDSQPGSLGAETLGLVVVVRASSVGWGMRGAAQ ETSREAGEEGWKGGRMERTEKERRNEKHREVKQSAASGIGTGIGCRPTRFTCSVRPGF FWGIPAHGTLGVVDDGGQLRAIQFRFRLGRRRQNTPPARRGCSMPVDMQDARAACRHW PVQQLSWGSRTLGQRRTAATTRRATPRFCAAVRQHRPTTLPLGAGGGHLGWQPAGGHP ASAPRAPLAAHHGGCRRKRDT UV8b_03449 MTITVILGAQWGDEGKGKLTDILTTDAQLCARAAGGHNAGHSIV ANGKSYSFHLLPSGLMNPKCINFIGSGVVFHVPSFFKELQELEEKGLPSVHDRILVSD RVHIDLQMHVAVDGLEERELGEKSLGTTRRGIGPAYSSKAARSGIRLAEVFNPKLFET KLRQLAAGYQKRYGDLFEYDVEEELARFNEYRPKLAKYAVDGVSFIRSAQESNMNIVV EGANALMLDIEYGSYPYVTSSNTTLAGIIGGLALNPKNITETIGVVKAYTTRVGHGAF KTEDTGEIGTKLQELGREWGTSTGRRRRCGWLDLVVVKYSASINYYSALNLTKLDVLD TFEAIKIAIAYKVDGEELDSYPADLDVLDRAEVIYHEMPGWQKPTTDAKSFYDLPLQA RDYVEYIEKFVGVKIKYIGTGPSREAMIKRA UV8b_03450 MHRNRLGAVLVRALTLTLTLVPTLASAGAGFQRPDLWPHPFGPG SPRGASLPRDPARRCVVVPSRPGEDDAPAILAAFRRCNRGGTVVLDAAYTLASPLDLT FLDGVDVALSGSVTFSDDIPYWTAHAFRYAFQDQAAFWRFGGRDVHIFGRGRGLLDGN GQAWYDAFAADPLLRRPVLLVLDGLHGGSVSGIRMTNPPGWFNLVANSSDILVSDMKL DAQSASSNPAKNTDGWDTYRSDAVVIQDSVVSNGDDCVSFKPNTTNCVVQGLRCSGSH GISVGSLGQYAGRVDVVQNVYVYNVTMRDASAAARIKVWPGAPTDFQPALRGGGGSGT VRNVTYDSVRSRNADLAIELTQCYGTKNLTACMERPSDVAISDVVFKNMWGQTSAKHD PVIGSLICSDPSRCSNIQVDGVTVHPPSGRPARMTCLNIDAKLVGIDCVAAK UV8b_03451 MKLSCGAILALSAGAGAAGVAAGKSCTPKAGGSPKVDDVPAIHA AIKACSSGTVVIPKGTTYHVNSQLSLAGCRGCTLQLDGTLQVSADVGYWNNKGRIIYI SGVNGATITGSGTIDGNGQASWDYYAKNRGINLPFLVQVDGSANVVVSGITLRNAPMF HVVTSGNSHHIRYSHLTLHSVSKSKAEAMNTDAFDIGASSWVTVEHSTVTNSDDCVVL KPGSDHITVQGMTCTGSHGLSVGSLADGPGVNELVSNSIFRDSLMIDSLKAAGIKYWP GGPHHGTGLVSNVTWQNIQVQNCNYAFQVATCYNSRPAACDKHPSTGRIEGVTVADFT GFTSRGYGTSAVANIDCSRRGSCGIKMRNFGVTSPDKKNQVLCANTPASLGVGCTAGA WG UV8b_03452 MLLLGLASAGPAAYVLCQQPTSDPLEGCPRGTILVRPSESVQSA IAALPRDASPQVILILPGHYTEQLDVKRPGPTALLGQTAHPTNRKRNRVRIAWAAAVV KGGHADAASTAVLTVAPVLGARRAAPADDFYGCKRFSAYNIDFSNDYAHSAVGPSLVV SLTYANAGFYWCGIYGYQDTVFVGMQANAYFYRSEIAGQVDFLYGFGTAWLQNVDITL RGCGGGITAWKGQSTSSANKYGVYVSDSRVGAANASVGAAIAATCYLGRPWNDLHRSV FFNTWLDGSIAPAGYSTWNNAPSGAPGSRYGIGTFMAEYGGKGPGFDLRGRQAGRVTR VLTSDEVGPYRTPEHVFTTWKGEQPNIEWIDPAAYIW UV8b_03453 MAATTARPQPAVITAGSPLRPPDPMKSTWLTQDPSTWNVYQRSL DWLGAHAAQPGRSVPKHPKTHKVPHLGQATQHLWILAHGFFPLLLHQLFVTLTARPVG APASFFLYTLSFNFTVVRLVNTLRRLAHTHGFLDGDVADRNGIPDRGADKVLNALFKA VGGRMGFVICIAYDPRQAPLQALGGWRALALAALKTGLHGIILDFWFYLYHRALHELP SLWRFHRTHHLVKHPSAALTAYADHGQEFLDMVGVPLLTWATFYAVGLPLGFYDLWLC LQYLVYTEVLGHSGLRLHLSAPSMLSWPLWALGMELCIEDHDLHHRQGWRRSQNYGKQ TRVWDRIFGTCGERVELREKNIDYVNQASMPIW UV8b_03454 MSLINIMESAPVRGLHSLRSLVQSPLFSGPLLAALHYAPDHLRQ QLASLLPSPLSLDAAEKCLQVLLGLGVLKLVNQGLSSMAANAWRIGPSPGWDWPGEIA LVTGGCSGIGYCVVNRLVARKVRVVVLDIQDPPADFASSPLVRFYRCDITSPDAVAKV ADAVRSEVGHPSILINNAGITRPMPILEMPHDFLQKIFAVNCMSHWTLVQQFLPHMVK VNKGHIVTVASIASFVALPRGADYSATKAAALSFHESLTIELQHIYKADNVLTSVVHP NFVRTPLIQDFGQQLEAGGVRMLTPDGVAGAITDQIFRKKGAQIIIPQHQAAISGIRG WPTWLQMFLRNQLGLQSLNLNS UV8b_03455 MIYPADEGEGQHVFAVGSVIVKSRHRHQHVKVDYSYADAKETQA VAIAKSVLKGVRQDIYFAGKINGRAVLIQERLPGMGLTVAEPYLSDAQKQSFKEQARE ILRQLHTVKAPSGRQTRQHIVPDPDN UV8b_03456 MHTIQVLAAATIITSVGAVAVPRNVHVADMRLYGEQGCSQKNEG VWTVIDDDFRANECKSLNGLPARSIRNIDTNKGCTLSLYTDSACAASTRRVCRAGQCC SSAGGWQAWSMACQ UV8b_03457 MKSFLVLGLSAVSLVLAQETSGTAPTGSESVPVVTVTSTVPVVT ETSTVPVVTETSTVPVVTETSTVPVVTETSTVPVVTETSTQVASSSTESTTQELTATT EAPQTTAACIGTITTLTISNSTEASYTYRPTGPETTTAPVTAGAAVNGLGGSGVLGGL LAVVALIF UV8b_03458 MQHVHHRPSSSTPHGTMTPRSTRQPPSAPPRTGIVVFSGGSAAN SLVDVFERLRAANHASLSYVIPISDNGGSTSEIIRVFGGPGIGDVRSRLVRLIPDNDL RETAAIKHLFNHRLPKTYHAARAEWFEMLEATHPLWVDISSPKRELIRSYLNSFNLEV VKRMRPSSRFDFSNASVGNLFLTGARLFTGSFEAAIYLLSSVCAVPDGVSVLPAINTN FAHHIAAGLRDGTVITGQNDISHPSYPTAAVPGVGVSTRAAPLPAGHEADHHEQVEDA NLPGSLPALRRPAISFSKQDDEDLPSRIDRIWYINPYGQEIRIPANPRVLDAVHSSHT VIYSIGSLFTSLIPNLVLRGVGEAVANPAVRNKILLLNGTTDRETGPSDQPLSALDFV AAIANACADSRAWQKPREDEYSLYVTHLIHLEGPTSPKVDKARFAELGIDTMRLYGPK DAHGRGARYDFTALRQTLETIVGRQDLRAERSRRNTLVG UV8b_03459 MGGNLSSPVVQHTHKFVLGAPDARNDLILASIWASVFYACAMVF TTCVLVDRWKGPADKVRVGGGSVLVALLVSTAWPVVMAYLAATE UV8b_03460 MARAFTHTPPFWALVWMAVSLPLVAWDTLYVLGRPHTMPGGCCH WPWSPYKLYGEIDHVYGWKAFRDRSGFTGAQALLNLVETAMYLAYLRLWRSRRQPAGA VAGRVGALALLLGFSAAVMTLSKTVLYWAHEYFSGFANIGHNAVADLVLLWIVPNGAW LVGPSYMIYALGSEILDNLEGVHVKKD UV8b_03461 MHRLEQLNGPKTVPLSQSKAKTAHTSTTHRRKGSATHQERTLLS QKLKRWDGNKRQSSGWHYLDKDPELWTRNGNCLIYIGGRKDGCRQRQAKQPPAFRVPF EHLLNGKCYPLIEKSQVNWPEGSEPARPCGPSEIDAWHRANPTRTVELFITAPQVAEK QQLRRHQLLIRNFIAWIMRKSLVGETLGEALVGLLHCMQEYRTHDHVDNVADLVHYLN REGYLSFAGQAEYALAVLRLAETFCLKDLYFQALAHCVGMKEMLPSKREFQFVSFATR KLIDDAESELNSRLRRTWDMLRSFLDQELSEAHIGIPAGLRAHLERFRSFLLSFYSAK LGYWPPRAFDGSVCRVLKRDFLALYNLLVDQGYNSNEAMPFVAVGGICTLQLIQTFDT NNGFEPMPHPLPKLPQQSELRRSRRILWIPRGSRQRGEEHQLNRVSLVNASNWREDSF QNGLVRAYRKFEEDCAISPKKIDRNERVSLVDGRKIRWILIYAVHQTLQCATQRPVEM QDDPEAEYVVSIAKNTRTPWQDGKLHAGLSQITAKSALKHHLERTEMEQSPSISEKLE IKPDIDYFALTHKTDESPKPTGRQASLPDSTDASVSLSRTSSFSSFKQALSRSSTIRR STRRLKLSVPASNTSTAVTSMPLYHEIVVPRYGNGASDVQLEPTADAPAAKPAVQVSR SASTASETGSSNISTFASSVSTGSTLDSSLNSPTQLPESPLHKLPGKARRWSNQDCAY PIGSSSCPNVTTCISKRNSLKRRPISTTLEGCNYAARAFGQFVDQERRAMFASSRPVG SDPKRGGNTSHSRSMPLPIQEDEIKEEPGGLIRDSGDWTAMQAFLDGKLSGGGSSSRD AWEQYADLGGLTEAR UV8b_03462 MARTTPYTYIQCPCSDQSVVDPDVTQQRHDQEHDDERTFDPRAP RSNYSLFPLEYLLYCEDCQQIRCQRCVTEEIVTYYCPNCLFEVPSSNLRSEGNRCTRS CYQCPVCVGPLQAGSLQPVSDPSALGPDGQPGSSRGPYALFCQYCNWSSTEIGIEFDR PSGIHSQLGKVNNGGTAKITVKDVKERRKENPDEPRLPDGQVDHDLQFACLKAFYQEQ LAETNTSLTGTPLHDGVGFASPAALSRIMSLYTGGRGSHARRLPHGPANVMREALNSE EGLKLAQLDESASIKKLVHEGWHATASTEQRENQPLPLRFVDELRPIPYLLRTKRSKR CPVCRHIISKPENKVTSTRFKIRLVAKSYIPTITIRPMQPTAQPVPVTSRPLKNEEAP LTPLKPYQYILTFKNPLFDSIKVTLATPNTTPGRFASKVTVLCPEFEVDANVDMWDDA LKDAGRDGRRNGAGVGQGDAAKIWERGRNWVSIVLEVIPPSLRTAHRGFFGDAKQDVD DSPLKEDEDILEIPMFVRIEWETDVQHDMGSVSGKDKEAREKRELAYWCVLGIGRISH D UV8b_03463 MSAQALNKIAHNSPSRQNPSELEQQIAQALYDLEANTADLKVAL RPLQFVSAREIEVGHGKKAVVIFVPVPSLQGFHRVQQRLTRELEKKFSDRHVLILASR RILPRPKRSARSRNTQKQKRPRSRTLTAVHDAILADLCFPVEIVGKRIRTKEDGSKLL KVVLDEKERVGVDYRLDTYAEVYRRLTGRNVNFEFPQSGSTE UV8b_03464 MLALLFVVAYGIALAVCQSSHATASFAYQGCSSVDLSCFTSPYS ECRCGDDSGVIKSVDESVCNYACMGDSSYGMCGTVCPDEGRGIANVYIKTEGITQDPQ TQTLPTSSASDAYEDTWTSAPCASSAPVDYTTAQAEGMITPVGSAPEVPTTFTFVITS SSSGPCEESNTPALSSMALTTPCPLEDTSSTTESPLVTVASQTTTTCEEGPLEDNASS TNFPESTCQDSTTASPPIYTSGAQSAASSPTQPQPYTSEQRPTDPASNTSNLSTTIPS YSSASTQWARPSDIFEPSGQPSVPAQVPGSDSTHSMVPPLSTIGGLALIAAIIV UV8b_03465 MHSGISASEELQAAFNLLLASPSTFGLLVSIHKESLVPVASLPS KAPSFVDNLPILQTHLQPDVALYVLLRRYDDEPKFIAITYVPDAAPVRQKMLFASTRL ALVRELGSENFREKLFVTLAQELTEGGFQKHDAHVKIAAPLTAEERTLGEVRRAEQEA GSGTGTREIHLSKGFAARVAEDATAALKELGRDGGRVVVMLKIDAGKESVELVPEAPR PRSIAELSKAISTAEPRFTFYRFAHSHGGAEQAPVLFFYTCPVTEGSRSIKNRMLYPL MKRAVLETAEKEAGLTVEKRFEVEEPGEITEQSVMDDLHPKTTTRSGFSRPKRPGR UV8b_03466 MPSNDARKPPTAAVNLIAGGGAGMMEALACHPLDTIKVRMQLSR RARQPGAPKRGFVKTGAEIVRKETPLGLYKGLGAVLTGIVPKMAIRFTSFEAYKQLLA DEGGRVSGRATFAAGLAAGVTEAVAVVTPMEVIKIRLQAQHHSMADPLDIPKYRNAAH ALYTVVREEGFGALYRGVSLTALRQGTNQAVNFTAYSYFKDWLKKWQPQYEATNLPSW QTTLIGLVSGAMGPLSNAPIDTIKTRLQKTPAQPGVSAWARITQISADMFKQEGVHAF YKGITPRIMRVAPGQAVTFTVYEFLRQKLERSRPSFAGGQFEE UV8b_03467 MSPTDVAHLSSHGEQHESAALTSNNEQNPLLSSNTQDHEWSIPS PDGLDVTSSPDDPGGAQQPSRDNCDRRRPQEEDNSRLKKMASELVVKTVSPFLKEHIP GLYAPIGKDTVKTSAKNPTTTWARVKDPNPNTKFCYRHRPDSKCRRAADETKMGFIQS ELNSLPTADQEAITHVWSLFSAAPSKQRELMLQGIITQCCFPQLSTVSREVHEQLKID FLAALPTELSYKILCHLDTVSLCKAAQVSRRWRSLADDDVVWHRMCEQHIDRKCTKCG WGLPLLEKKKLMAWSRHQSAHHRQDHAENGKKPLESNETDPGSPSQSSKRSCSSAEDP PYKRPRLNGSSHSRSHLDTERKFRPWKDVYRDRFKVGFNWKTGRCTIKTFKGHENGIT CLQFDHNILATGSYDTTIKIWDIETGEVIRTLRGHTSTVRTLQFDDSKLISGSFDKTI KIWNWQTGECLNTLQCHTEGVLSVHFDGCTLASGSIDRTVKIFSFDNKQTFCLRGHTD WVNHVRIDSPSRTVFSASDDMTVKLWDLDSKQCIKTFRGHVGQVQQVLLMPPDFEPDE IPSDDKTDGVSVHSDESATPAQADEHPADARALFGYAFTHETSRPLPPRYVLTGGLDN TLRLWDTASGKCIRSMFGHVEGIWGLVGDTLRVVTGANDSMTKIWEPRSGKCERSFTG HAGPVTCVGLSDSRMASGSEDGEVRLYSFEGETLEERGTPS UV8b_03468 MPGDPAAQAAAAAAAQKAFRNFTIELFTLYGTGVLSTFLRTYAR IRAVGTKGLRADDVLVWFGIIFYSAQAGLGYSIGHVAKGLANNAMTDAERAALSPSDP EYRLRVIGSQIQIAGWTTYSLLIGFLKLSVLAFYLRLTEGLGLSYRVRIIIGFGLVIA TTLASILTNFLACRPFTRYWQINPNPGNSCQPAISLPIVWVSFASNICTDLYLILIPI PMLWRSRLKTIKKIASSVVLGAGIFVLVCATLKSIFVLVDPFHGAQQAGEWGTREAFV AVITTNLPMLFPLFRVWLTPFCGNLLRSSKKSCKTPTGFVSIGGGGPASTPRNRSGPR TANPITENMTFSESEERIVDDVKLENVQKEYKPPNTIVVSNQVEITHSNRNGQLDART APGHEQW UV8b_03469 MSRSKFKMDAIVAVAAWAVTSACYSLPLARGLLHGLCPRDVGEA RELQARLSPGAKVYFPGSQGFNDSSRRWSSLDPPTVNVVVVPATEQDVAATVKYANKK GLPFLAYNGAHGAITTLGGMKSGVEIYLDQLDSVQVAADGKTAKIGGGTLSKDVTDKL WAAGKQTVTGTCECVSYMGPALGGGHGWLQGHHGLVADQLVSVNMVFASGQLQTITPS CDLWWALNGAGHNFGIVTSVTAKVYDIQKADWAIETIIFSGDKVSAVYDAANKHLLQN GTQPVGVINWSYWLRDPSTDANNPIIIFYIIQEGVKAVDAQFTKPFHDLGPIAVTPLS GTYRDLAGWTGISLSSPPCQKAGFANPRFPIYLQSYNVAAQQQAYAIFADATKGPSAF NGSLFMFEGYSVQGVQAVAGASTAFAFRDAHLLAAPLITYRPDGPALDQRAKDLGNKL REVLRQASGMSDVRAYVNYAYGNEGPKGWYGSAQWRQDRLSALKKRYDPSRRFSFFGP IA UV8b_03470 MGSNRFHHRARTGDLDAAIQAAAVVVWAVPTSRGWLFPILCTGL GGDRDCATCAAIHFQVRSWAKGFKSDADNAGQGSSRHAATA UV8b_03471 MDATPLVPSPEHTAPDPAPADSADASKPDAGNPVEDPKSDDRGK KRGEKGRAEWSRQQKNDRKRQKNDDWRELKRRKTGDKAGESDRKNPFSKEEIAAEERR PKRKVAVMIGYSGTGYKGMQVNGDEPTIERDLFKAFVDAKAISKANADDPRKSSLARC ARTDKGVHAAGNVISLKLIIEDGDVVERINAMLPDQIRVWGIQRTNNSFNCYQYCDSR FYEYLLPSYCLLPPHPQTYLAKKLAELNKEYGAEEEVAARMADVAGFWADVEGNVIKP VIEGLSPELRAAVMERVHAQEAEEYEIARAAAAAAAAADVDEDKDKDKDKGKEASAPA AAEQQAESSPDAKPESKPTLESHKPKNRDLGPMDYALREIKAHYIDAKRRYRVSPQRL EQLQAVLDKFTGTNNFHNYTVQKTFGDPSSRRHIKSFAVNPNPVIIGSTEWLSLKVHG QSFMMHQIRKMVGLASLMVRCGTTLDRVADSYRAQKMAIPKAPGLGLLLERPVFENYN RRAKDTLGKPEIDFGKYEDKLLAFKNKHIYSRIFEVEEKENTFHGFYNQIDQFKSNHF LWLTAGGLKVAELNKDADAATTQQDVDKALGDEDEEDPEGGEG UV8b_03472 MDRSQPSSSNLMDIHNRLVAEILTRYRTLMMLATVQAEGERANA NPETMAVTGISIKMEFDGLNSSIKELLTLSRKIKELWIFGPLGQGDPGRKAKEAQVEQ HVQAVAGLLSELEGGKMRALAERSGGTWQVLRKESDGPVKR UV8b_03473 MSDGAAADATTTTLDSSKAGFPPGHDDEKKYRNSDAAPENIQSP TSPRLQASPFAFQRRTSLDIDDYFTGPRDITKHSKWPIFLRMHGSIVPKMILPLTFVA AWATAITVISNKVHPLGVQSVLLTILGFVVGLGLSFRNSTAYERYAEGRKYWAMLVLA SQVLGRVFWIHSLDKPGADARESLLQKLSSMNLLVAFAVALKHSLRFEPYTAYPDLQN LVGHLNTFAKAATDEDASSLNASKKNFFKEVGEYLGVSFAASNPRKTLKRASRPLGNL PLEILNHIAVTIDCVVRSEQLKVPMQQTLAYNNLSVLNDVMTGCERVLNTPLPIAYTI AISQITWVYVMLLPFQLVSLLNWITIPATVAASYIILGLLFIGREIEDPFGQDVNDLP LDGYCEQIASELDIIASYDMQNPDGFLFSHRNMPLYPVSTASAASWMQRSEDKLRQTI KAKPATTFEWRKQRLHQTKNPGGRPSDDNV UV8b_03474 MVEPIAFFSVFPFIAQMVQRNGNLADSDVGFYSGLIESLFSAAQ AVVFIFWGRLADRLGRKPVLICSLCGMAVGPALFGVATSIGQMVVFRCLAGAFSGCGL IIRTMIGDLCTEDSQALAFTWFAFAGNVGIFLGPLIGGALANPVEQYPGLFAGNRFFQ TYPYALPGLVVGFVCATAASTSMLFLEETLDRAGAAHPPGTDNGASPERGPLEAPPNN SMTLWQLVKAPGVAAVLWVYGHVMVLAFAFTAIIPVALFTPADIGGLGCSAAEISVYM ATQGASQAMWLLLAFPYLHRRLGTNGVLRLCAIAYPFFFAGYVVMNALLRHGSEAATA WFWVVGCVVVFIGPGVSMVFTGVQLALNSASPTPHLLGTLNAVALTYSSVIRSIVPAV ATAVYAVGVRRQILWGHLAWIILIFISMTLPRCLKWLRN UV8b_03475 MAPLPPGIGCLVSTGWVESDDSNDDDAAKSLTAYRMGGPLHAAR CTLLAARCGLRDPAMQGVSTLQPRGTRPHFVTWQVVGPVGSAALRSGQRAAGSGLSAY RFGSLIVKSIEADQRRHSRQPQLSWLWEACVNAARYEDKDGEISWPSWAATARSSFGP MDQSFVRTQHY UV8b_03476 MGRTRPRLRWVLLRVLADCPSPGRWQGQLPPSQQPEIQTIVESC KKLSWTVRKVGNRLLCHTPTEVCLQRCGGDGGAGGDDGTQCHPSSFKS UV8b_03477 MAPNLADKDVGDAEIACLICRSRKVRCDKALPSCRNCARLGVTC PGYDSASKYMSQKDITTSLEKIFREAGVEKRRIGSCRACRGSKHRCSRDQPRCERCVS RNIKCVYPSKRSSRERSWGSKEAPRRLGSCPSPVPSPGPAIDSCAGDDAPYTHVAVDS HPTSPFAALAGHAAFPAASAPSGSLSPGPRAQLAWFAPAYAIGIPIGVPVAGAPAGGV FDEPPPVFASEMAGLHARAGPLAPAPAEIFGAAGYAGLMKDLGCVGASGAHAPCWARN UV8b_03478 MKKNSAILSLAALALAAADDPCVGLTSAFPACPFACISSAASAV GCTNTADLGCNCIPASSSSIMNLAIGCAVKCPAGDIGPALSAGSALCACEATRTREPT TAATASPTASAASEKPKPGSTTSTAPAPGTTAGTATATATATAAATETTAQATATSAP PCAPSSPCDAVKSKVPACASSCIGSAAEAVGCGAENLSCQCASSAAIQQSAFGCVSSA CGLQTGAQVLQSVSAVCSCVAANPPRPCSGGSAAATPSPSSAAQSPPSQGSSRPGSVS GPAAPSPSPPCAYAAPAQADCGAVASSAIPSCARQCFASAAPGVGCKVADYRCQCQPQ AQQSLSAALLPCVQKHCPASSLAAVVGGASSVCACATAAPPRAAASGGCAAGPTGAES RSGAGSTASGSETAAPTCDGGGCSQPSSGAQTAGGTQTAAATPGGGSSTAAGQPQPTS SAGPVLGGAAVHDVPLVAGLVCLFWAAAVAL UV8b_03479 MSPNLGHDDAQHAPEELSSQPPAAAAADVEMAEEADVTRAPQHD EAAQDGGAAEPPRMSFMAYLASPIVTLVVGEDEAQTLLSAHQSLLSKSPYFADICRQF VDDGSPRQIELLQEDAPAVGSFLEFLYTGEYFPRKIPGQRVLEQDPEMPLVDDSGVHL LKHARVYTLAERFGVPELKKLSSSKIHCIDSTAKGEIAYARYVYANTTNDDVKVRAPI ASFWAMRSHTLRAEAEEEFKSLCLEFPQFGYDVLTRVLDDKLKRERTEKMHPSTASGR KRARQSSSAAGSMAAGAIA UV8b_03480 MQPLSPTCGLAAPGQARANPSRPFCRRRHRGCRRCCFREKSWHS GGEAGSRGAAQATKRPVLVLLLPHVGRHQSPYPPTLRDRFHRLGQIQESHADGDGDGD GDGDGDGDGDGGAVTASQQTLKGTTNSSHCGHVVVPWLGMGRRQASRLAEFRGRGPIG HTLIHMVLQIRACVRACIVGVGGTGHGRGLAFCYLSFIFGFIVVFILFSVLADARRDW PSHSLQLTGRSQLGRSSHWPAG UV8b_03481 MPSPTLVVRERLSVATAIIGLLAVGGKVIDALWDLRTPTPTPAG QPCPVFARALQELKQCRSTVHVLFKVLLQVESAQLPFPDRGTWVEVDCLVATLTDTVL AVSDLQAICETLTPESQSLTTPPPEPPPDDAAARADAAKACEAKVNELCMRIRWHNLS MAMMMTILKCPGESDARNSRLGLERRTNRLLCGNSGLAARLRQLGDVIDGRRAETLPH YSPHLRAQWLTARRRATTPSPAGATVAVDPAAPAATKPDDGRPRDPESPRGGRARAPL PFSGCTLADIPALSVIPLPVTTDELVDGDELYTFMYARRVSRELSELMRSQAGQGTSR SLGVILGRSHAGLEPRGSASTTGSSNDSGRTSVETEPEAREKPGSRWRVRRLKPTRRW RA UV8b_03482 MAELGELGALDDAPQGGWKASSGIPVPDFVEPVLTVSVLLLAIF FTRRRGYSLSKSANPYQLLPDEESPRSSDEYGELLYRRQSDRHPPKSRSLGPCLRLTT PNSSRFAQNWHSRVLQKFPFLIEMFYWGVSFGAYRYTKVLAQAAYGGRRGLWDSAQQR GLAILNLEALLWGGDGPAPGRWLEWRMQRWFLRGAELGDYRAWLLSLLNRGYALVHLP GTVSFLAYYYTAASTHARFCTVRRTMTMTNFVAFAIFVLAPTMPPRLMPKKYGFVDSV SLEDAESVWMGGDFVNLLAAMPSMHFGYAFCIGCTFIAESGVLDGVSCRLGSLATGRS AASLDEDGDGDGDGDGDGCEAAVETRRGAFARACMFAFGVWYPTWMLVTIVSTANHYF LDAFAAMLVCLAGYMSNRVLCVFLPVEDYLLWVLRLEKPVPTTGWRKNLAVL UV8b_03483 MPLPKAASSSTKHRLTLAQLSAYDDILTDALVDHVYYWTTVPKN RPSYHASRGILEHAMCKIIQDEVILNRNLAAAEERLLATDGLKRFVGGLRTGKEQDDF KRHLRRYAQIYMPDCSWEVSSTNRYTIVSHEASITARRYIKRNESVRYLTGIQVVITP DEEEEIAVRKKDFSIVVSSRSKSTSLFMGPARFANHDCDANARLITTSHAEIEIVAVR PIEPGEEITVTYGDNYFGEGNRECLCRTCEKGRRNGWQPEEGAAAAAASAASASAATA GRAGTEEEPEPKQETYSLRRRRREGSSGGSRTPSVTPGMRPRVAKARIKARGSRLSAA RDSDATSPAPGSPGGPRKRSMDDDAMATPPLTPAKKLKHTMEAAAPVYAAAAAASRDS SVSGSSASGTDEAALETDVTWPEKEDSPEAPFATPLKGRMGGHDDTKRLDTTMAATAM LSPPSSQSDMVQELQPMPVSALPSSPSSGKPPAMAPPIAASIERADDDECEAAASTPP LPPPPPPPPPPPPKQGRGRARQKSPPPARVRTPEDYVLTPLLLSEPEMAWIQCTICSE YFVQQNAYFTRASCPRCERHSKLYGYMWPKTDKAGPLDKEERILDHRMVHRFLTSHDE RKARGRKSLAEGGCGRAAGEEAAEPERGRRRQRRRRRGGEARDTVVVKASEEEEAEEE EEAAESSGPRRSGRARRASSKLRNGA UV8b_03484 MIQLKTMLNCIDNSGAALVECALIVGQKRHARIGDRIIVVVQEQ RGASSSGMAGISAAAKVKRGDIRHAVVVRTRYPMQRRDGSVVRFDDNACVLLNKSGDP VGSRINGVVGAELKRKKWSKILSMAPMQA UV8b_03485 MATPQSTTSYEGSGSKKLEQITFRFCSECSNMLYPKEDEDAHKL QFTCRTCQYTEAAQSTCVFRNVLNNSAGETAGVTQDVGSDPTLPRSNKTCPRCNHEEA VFFQSQERSAETGMKLFYVCCECGFIFG UV8b_03486 MADTGLPPNWEVRHSNSKNLPYYFNSLEKVSRWEPPHGTDTDKL KRYMAAHHSANSRQGAVPSVPEGKIRAAHLLVKHKDSRRPSSWRESEITRTKDEAMVI IKAHEQQIKKGTPLGDVAPTESDCSSARKRGDLGYFGRGDMQKEFEDAAFALKPGEMS GVVETASGLHLIER UV8b_03487 MARPNSNLWRSRRSEILDHVIQLFFISLIYILGESNVIAVSVAL VPAQLQYFASILGMVLVFVTMTAFGSAFRRCDDFYYQWIKARVDFINANLGVGFTVPI IMLKHVLGIRDIGYIAATSVVTNITSWVAVFLLSLSGLSLIRKIRHRGSEDAQCSPPI VVVAGSSSLEAQENACLATLGPFERQWQHSAAAMADDQSSSSKEDFADDQPINAPTPE RPDKSLWYFLKTNGYPLFCLVGIVSVGVPLEVKFRDSRAMDGFALWMCWIISVRLQRM MKSAYFLTANMRYRHTLSTMINPVLATTMLMLGYTRLRGAIQQFEGGISKVLGGFSSG TPLYSIWAALVMPSTMPDNPSRWFGAGDFALSLLECGIVTWGFKLYECRRQLFSHAGV CIALFSSIAAAGNVFLSVLLAGILGLEAPEALSFAARSTTLALAKPAVKTLGGNLALN ATLVVSNGILGQLLYPFLLDKLNVPVSSQDGPDTDKQKDGKDDGDSCTTVAAGAAIGI NGAAMGVSYLYEVKSRAAPYAALSMTLFGVMTVVFTTLEPFKGLVLRLAARLHDAEPT RVTYNGFASI UV8b_03488 MTNVCSLANITERLVPASRGPACTIKDDLPHGSRDYVYTGHVSS APPEILPMIKLRHGIARFFTIAHQTPTSISRTLTVAQ UV8b_03489 MDAELREKAESLALRASRACGIPLRRDDVQAALGDPDRGPPFAE WIRLHLRSDTLLTPDELETYVELDKRGRVDELAGSHDLAQVRAVTEDELRVATEELGR STEHIARQTETLRQQHDAVSRMAKKQAETAAQREELERVQRRQNEQERHQLTMEVENL SREIHLSLAEMQDQGPALAHGVAAVLQSDDKLLSSLQKLGCELDQPDPDEALATEKLR AASMRLINTTVEAVRARLDMVYLEALVAAEHSNTVAPATSQQVAHLQEEVESLYSEIF PVAQMAAEKQHVEPALEHIAARSGQSLSKTASSLKYVDDCLTYLLDRMARLRAHVESH KSYQAASASIASVARAEMAVEVPFPGGQTAMPPSSTSQSPVKKRSNAADARAPRQSLG AEARPPLETLLERLAISLPEEAGERDRIAALERTLADRTRKYDQVARAAQESFETTTR AYLDDARKANQFLRDSLLAESPFGDVKMVDPDVEASIRVLEQEVDKAKEKLRYLQGQA VLAGSQKKAEFINRWGS UV8b_03490 MMILEEGNTGHRMVIIPQGKVQCQEANPGSPIQHVTVEIDVQQS RETYAYDLDANLGQLVGRSLQSRLVLAYLHALTSYCLPDPLTGYTGTEQALNILRSAQ VLSLQTLSAENHHVLLEIAELKGHRKLSPPRMGKLQIVSWVDGLGALSHRYDFHVAVK DIFKQSTKYRLFHADRYIEPPSFEENEAVELGERNATRTAMFHSPGFGGEAHSTAGDE PYVRLNDEYLELIDHRGGDGESDEPGDSEEDENVGSSGTQTAGPFSDRFKRAYKTCIT MVAGLQGTKVNFFKKSKLRTFKSRMLKVLGSHHNIPTAGPLKKDTNFDFNYDTNWLDD PAIFLPNTWFRILHSLQHRPSRSNEYRARFFLATLSFSPHSCMEAIQILASAMCGQLK TLQAPQVEAFSLNKGCQPKRKDLERILQKYIIPFPKSSHSRSPVRRGESQNACKSRRK REYEEAKKYCLETLPTVFIADWKSKGAHWPTSHDRFINLFNTSQMLLDIELHFRDVSN NEQLSNYFGTWHRELFNIEGKQVDYPIDVHPRAPCKASVHLPAIDGKLLFNSTTPPIF KEELQSLSHRTVPVQGKTSPQASILVELLRSKACSSEQMSYVKELQQSLDSLQGATLG HVMKERGAKLRMLLSSCLRDAKIDGDNLFERMKEALGCVPKDHGSQLANLKALAFVQQ MPPIGKRFLLQQLSHLGACSNNWQACLLVFAENLSRVRLWERLNLFEMESNKLDLDKE AVSLQPRNWDPHLYPEWLLFEVENNIRVRNAQAQMAEAMMFPLQDRNSVMQLNMGEGK SSVIVPIIATSLADGKRLVRVIVAKPQSKQMEEIMKTKLGNALGRRIYFMPFSRAVPV DEQAAKELHSMLEECIAKKGVLIIQPEHDLSLQLMICDCFNSGRLATGNALCAIKRLF DNCSRDIIDESDEVFSPKYELVYPLGISQPVDFSTERCSFIQSMLAIVAKVAPEVKEE APNGIEVSWIGKGRFPRIRLFTSEASDCLVKKVGEEICRSGFAELPIHRVPEQLKSDL LSYMTMMAPTAETCREIENGMAKWNSTMRKAFYLARGLLACEVLSTALRHRWRVNYGV DKNRNPKLDIAVPFRAKDAPSLRSEYSYADTVITKTCLSYYYQGLEYEDFFLALVELF KSEQSEPEYEAWVRSTEGLHADFRHIQGVNVNDEDQCKTMLFPHLRYSKACIDYFLLR IVFAKQLREYSEKVSASGWDLARPKTFPTTGFSGTHDSRPLLPLHITQRDSDAQHHTD SLVLNQMMSPQNSVILLGEVKGSNSEEFLKRITEERDEIRVILDVGAHVIELTNQEVA GRWLELLPCRNAIDAVIFCNDNDELTVLDRQGKSQCLRLSPFAKQLNKCLVYLDEAHT RGIDLKLPPTYRAAVTLGKDLTKDRLAQACMRMRDLGNGQSVAFCVPFEIENQIRAQL ELDGNDQITLRDAIAWTVLQTWAEMRRLIPNWAKQGFRFEKQAQLWNQAKSNDSGTIR MTPEIVSKFKECDTRTIESQYQFPPPSGPLLEKEASSENLGKIKKRCEAYDFHGHDGH GLQEEQEREMATEVEEQRELERPKPAEPAKHQSNLDVVRFIRTGKISSDSKGIIGAFK SMTDTTAATLLNVNLFPQHVKVSRDFARVIKTTRNAKNLKSDYFKNDVQFILTSADED KIVQEMVIISPYEAEILYNEISRSEVVALHIYSARQNSSYPAIDGLDLYIVPPRARRR IPTHMKIELNLFAGQLYFSTFKEYTEVCDFLSLSWSLKSPGDLIDGFIPRKGSSTNAP HGVNSKTSPVPFLKAFMTYVRNQGGNIGTTHMGKMLSGVYLGAEDFPSRVKREREEDD DCKESLFTR UV8b_03491 MWELSPNSEAVSGCKGRLKRYFPEVAVSIPDNEIKPPRFVDFLS HTLATLSHQDCKHMTPKLSAPERPTATDTTSPVLVTELLYAFLLSFPKARPGTMGVWK FTRDDVIMKSATTTPWRRSPLWLSLRVTLHLLLGSHEHQGANLYKKAMAHFMSCLLDS ALKEKLKSETIFCMVKKLSRRVRKLVLTEDEPWMAVVSGILATATENMNQNWSRVILK NSRDMKLSSIAASKILRDTKLDLPGLDAFIAGIARRAQTTPSSVNPQSHLLSFLHTNL PTLEISELDKEYQNFNLFLFEAWVARSLDAWIDANTADINTCSQLCDLASQYFRIAVD LYRDNPMDISRMVLTILELWIACDKSALATNDQLHLFSPEIPSTIWDALLLSSKEDMQ RLGKAERYLNSRYDAIKCETSIFDGIGARDSFVTKTFDKNESYQKSWQAKKKRADKCR QKKKEELCMMIEKYNSLMDVYIRGSCDFDEPELDGSEGEIRHSASCTRCRQKAEAERL KIDVLESPLPSNPDKYKAIVFELSPPLSFQAWRDFTYFFLTDVLSQSQQIERNDKKTA GSKVYLTDYANESGWTDLLASNARIMVILEEKKNFRPLKVHPELQLDQIFVDCTRRWR YVDTTTFKELSVIPPSALPQMCSVRLPASAATLQRFADQSAEQKASSLSNEAIAYQHR RPAHISSHEHTCMALLAQGHHTRWLNILQHLAIPKVDLKKPETALILLQVSCQAGTAC ATIARESHQLLECPIFTAKLLDVIGLWIEKIKTNWEYNTALWVLVMLITRVLSIGPSD VLGTATACLSMCRGIAFKWTEELQSKAAEETEGSRHAE UV8b_03492 MADFHPELQAKLDALQRELEEGDITEKGYNKRRTLLLAQFGHGE SRAPAASPAPAIREPSPPPPTGLRIHDPDHPTRALYDGHRAAAYNASVANGDDSALPS GFGHPHPASQAPDMSNPVGRLRPGGSIAERRASVQRESMFLPTPTANSDGASRSGTMV SRDYAFNPDQHGAYLGAGQQQQQHQQQQQHHHHHHQQQQQQQHPQQQQQHLPPYDGRS QTLQDSQGYFFDFAGQQHYDQPGVDYGTPHRYSSGEPFSPTAAMAPPMLTASDMPPPE LLEYLQPLEPREVPFAIQDPHDPNVPMSRFDNMAAVLRYRARTTAKVPAYWVLDSKGK EIASVTMEKLASRAEKVAQVIRDKSSLYRGDRVALVYRDSEIIDFAIALMGCFIAGVV AVPINDLQDYQRLNFILTTTQAHLALTTDNNLKAFQRDITAQKLTWPKGVEWWKTNEF GSYHPKKKDDVPPLAVPDLAYIEFSRAPTGDLRGVVLSHRTIMHQMACLSAILSTIPV DGPGDTFNPSLRDKNGLLIGGRKPSSETLLSYLEPRQGIGMILGVLLAVYGGHTTVWF DNKAVDVPGLYAHLITKYKATIMVADYPGLKRAAYNYQQDPMTTRNYKKGMDPNFQSV KLCLIDTLTVDGEFHEVLADRWLRPLRNPRARQVVAPMLCLPEHGGMVISVRDWLGGQ ERMGVPLTLEREAPSDSESGEEDRTAKPVPSNGFGSLLSGGTTATAEKSSNTEMNEVL LNREALKTNEVVVMAYGNEVAKKASNEPGMVRVGTFGYPIPDATLSVVDPETGLLASP HTVGEIWIDSPSLSGGFWAQPKNTELIFHARPYRFDPGEPTPTAVEPEFLRTGLLGTV IEGKIFILGLYEDRIRQKVEWVEHGHEVAEYRYFFVQHMVVSIVKNVPKIYDCSAFDV FVNDEHLPVVVVETASASTAPLASGGPPRQPDTALLDSLAERVMEVLMEEHHLRLYCV MVTPPNTLPRVVKNGRREIGNMLCRREFDLGNLPCVHVKFGVEHAVLNLPIGVDPIGG IWSQIASDSRAEILAPAEKQYSGIDRREVVIDDRTSTPLNNFACITDLIQWRVARQAD DLAYCTIDGRGREGKGIPWRKFDQKVAAVAMYLKNKVKVRPGVHIVLMYTHSEEFVFA VHACINLGAVVIPMAPLDQNRLNEDVPALLHLVADYNVKAVLVNQEVDHLIKLKPVAS HIKQSAQILKIAVPNVYNTTKPPKQNSGLRDLGFTIDPAWIRPGYPVLVWTYWTPDQR RIAVQLGHDTVMGMCKVQKETCQMTSSRPVIGCVRSTTGLGFLHTCFMGIYTGSPTYL LSPVEFAQSPTSLFVALSRYKIKDTYATPQMLDHAMAVMPAKGFTLHEMKNMMITAEG RPRVDVFQKVRMHFAGVGLDRTAINTVFSHVLNPMIASRSYMCVEPIELWLDTKALRR GLVVTVDPESDPKALLVQDSGMVPVSTQIAIVNPENRVHCLDGEYGEIWVDSEACVKS FYGSKDVFDAERFDGRTVDGDPNLPYVRTGDLGFLHNVSRPIGPNGALVDMQVLFVLG CIGETFEINGLSHFPMDIELSVERSHRNIVPGGCAVFQAGGLVVVLVEVSRKPYLASM VPVIVNAILNEHQIIADIVAFVSKGDFPRSRLGEKQRGKILAGWVTRKLRTLAQFAIR DLDASAMGESGSGSGTADFGEASRVSTGSIRSAGFLAGASSLRNVEHAPQILEQEEAD VHMSRVYNASTPSAPAPRPSSMPVIEGEAASAAEDAQGFIKSGLAPRVQRKRVDAHGY PDSTTRRDDESCDQEQPPPVGPKPTRSGADTMTSPPKIRMSLPGVDGREGFHIWDARE DCEDEADWTADAIMHMNLAGDLAPK UV8b_03493 MAGADASFNASSVDLDKTPLVDIICYLNGSNNSYDDRLGLHVSA IFVILVVSTAVTFFPVLATRSRRVRIPLPVYLFARYFGAGVIIATAFIHLLDPAYEAI GPASCVGLTGGWATYSWPPAIALTSAMLIFLLDFMAEYWVESRTGLAHAPVEDIITDS RAADSRAAHASHMHLHSGDQDALARADEGAASGRHGHVEELKHLSGEPEHVEKAFHAQ ITAFLVLEFGVIFHSIIIGLNLGVSGGDDFNTLYPVLVFHQSFEGLGIGARLSVIPIP ARWRWLPWALCLAYGLTTPVAVAVGLGLHHTYNGSSYAASVVSGVLDSVSAGILVYTG LVEMLARDFLFNPSRTSDKKRLALMLVSLYLGCGVMALLGRWA UV8b_03494 MTACTQGPPRQLARGTTQAVDSAQHIARWIDEFIHDYPADSPFP PFHQVDLQEALPAIWELLNAEHVQSRGGAAGSLSWRQGFSEEEYALQRLEKMFMRACP SPPAAGKDQSTTRSAGTTSDLDQHWALEGYHVKKKQIIGSLFRILERMGVETVEQVRE TLVAQGLLYPISSWTSPLPEWVYEDPFDSANPLAAWGPPDEPGFYRYDEHGRLGEGPF TTRQIRFYLNHKRCKLWVQNAPTQCRQDGLLDDLDTRCRWFHCPSSRNLIATGWLRVA FDEFPEDTTTTARSPFKVAMVMHLFCFEQCFDPVEFQLRKVPGADAKPMLEPELRKFA GNWQAGTGVECKYRSLSLERFAHDAVVAKVYEPWMRSKAEERERAGPAKLPRQHEDSL SYAIVAAKLHLGLGQGQGTRQAKRDDLNEGVPEEMRRTIDYHVGDLKKYSILGPLRRA LKKKPEPKEPNPDRRGAKARSKRGPEDKATTYRGNQVAGPAPDETWTFPSQQPPAKRR K UV8b_03495 MSASLPGSRELPVSQYDLSTYSGRVRHAAGLTDPSTLLAGTSGL ERAKALVTDYKTGKMDSMTPELWHAKKVVDSTLHPDTGEPVFLPFRMSSFVLTNLIVT AGMLQPGLQTPGIIAWQIANQSLNVAINTANANKSSPMTAATLAKSYAVAVSASCSVA LGLNALVPRLRVRPSTRAILGRLVPFAAVATAGALNAYLMRRGEIATGIDVRPVLSDR QKRELQRQGRSERDVPSLGRSRRAARLAVYETAASRVFNSSPIMIIPPMVLYHVQTKQ AWYRKLMEGEFVKARPRLAAGVPIGLNLALIAATSFAVLPLALAVFPQQQEISADSLE PEFHGRGGSDGKVWFNRGL UV8b_03496 MLSTVELATVPSADTPGACIHFHHEKRSYLFGQVVEGTQRAFVS RKISLGRTEQVFLSGSVGWEKMGGLIGYLLSVGGAVDAAKEQSNSDNMQRKQKGKNSL KSPSHPGIDVHGADNLCHMLAAYRAVSFRQSVSVRPHESRQDPRSADPTNTDPDWEDD AIRVWKVPVKRARSSSPTKRRHEEMSNSHVDHDSTDSPRPKRQSTLSDPAVAENIVER IMFSGSFNSRPVLLPRKVRHLKPTDIAVLRKGGMLKQYTGPFISDGQDLPNPDERAWV FPKPGDSGEAEKNVSPLAINHFPLERTAYSEISMSYIVKCRDRRGKFNVAVAKECGVE PVDFKLLIEGQPVEGKNGRIVTPEMVLGDPILGKGIIVADIASLDFLDSFMERPEWRT PEMMANISVMYWILGLDLDSDVASRERILRFTEEHAQMKHVFCSRETCPNMITHTGAA EIQAKLRRIDPQRFPLPKFDNRVQYSGPPSGSPVELGRAGMKVQLMPRLVFHDQAVAP FPDLLGSAASVDGDLIALAETAKAQAEDPEFLARVEASEKDIPNRDAEIIPLGTGSSV PSKHRNVSGTLIRVPGVGNYLLDCGEGSLGQIRRLFHPEEAAEVLRNLRCIVISHFHA DHHMGTVSVIKAWYEQSLRDQSSASLAISCIGRYRAVLEEISQVEDFGFHRLRFPNCA DTNLRDDRDIYVVAAKDLGDENFGLAGIKRVPVPHCWRSFATELELASGLRIAYSGDC RPSSAFAQACRGAHLLVHECTFGDDKQDHAKIKKHSTMAEALGVAREMEARRTLLTHF SQRYSKADSLRREMVEGEEQHVLLAFDMMRVRLGDFQVAACYVPAVQRLMETME UV8b_03497 MAELSDPALQVIVLGSGGGPEESNVTALLVRSVAENWSKGSIVA VDAGVHLSAVARLVQEAYPDVLPAKPPFTLTRGPFAGLDLPHASASANAAHITRSLVD TYLITHPHLDHISGFVVNTAGLPGTRPKKLAGLPSTIQALKNHVFNNVIWPNLSDENN GAGLITYLRLVEGGSPALGNGPGKGYVEVCDGLLVKALGVSHGHCIERHSHRGSASGA SPRFGSHDASSQTPRRDLHSHVGSQLATRGQALLLSQAALSTAAAAAVSSSPSPSPQF GGSGGELDKYCVYDSSAYFIQDQSHRREVLIFGDVEPDSISLNPRNLGVWQEAAPKIA AGTLTALFIECSYDDSQSNDRLFGHLKPCFIMEEMQVLADEVDSARRLLRASDTRKRK RPNLDDDAADAAAVSPKSAKPTASRPAGSAAEHGAHTPHLATPTDELSLPEALELARP LQGLKVVIIHVKERLDDGPCVGDSILQQLKEHEAKANLGCEFVISKSGMSFYF UV8b_03498 MPIALVVPHPANMPGYNNTTVNLDEVQSLSSYHQSSMASNPAGM FAFTQAESSPDLLNGSSWATGDGSQSFPEYPDNGSTHSGEAEDYLFTSGQTTPRGSRL EHAQSMDSVWVSPRNTSQSSSMAQVMSRADSSRSCGSSLSQSSQMSRGHASAFRNMPH ARTAAMTGTMAGMDSCLLVTADAHAVPSQMYWPELSLDMTMAAPGASFAVADSSSPMH MVPAHMHLGPESVLPDNSSPGSWDCFSSSISRTSSPATVDDVWLPSATSPNSDIAGDS PSAERKVSIATDVKKEISGFEDGLSLPSGFHLRKQGSDGESSARDHELYKNAAPGPDG LFHCPWEGQASCNHKAEKLKCNYDKFVDSHLKPYRCKADSCEGARFSSTACLLRHERE AHGLHGHGDKPFLCVYEGCERAVPGSGFPRQWNLRDHMKRVHNDHGSAGGSPPSGTNA QSTKGRKRKTEGAEPQNGNRKATLKSMPVADSKQTPAKPLLEQWLDQRRVVEEIVRGL NKPDDARSLQQIGDVQKRLAYMANMTKKLSSAASDTPAETNRRHYSSG UV8b_03499 MRGHSKHWNKSQKQEGPQDQKNIRGSMPRRRDSDMTSVAEPTKC GLWTPERHQVPSEPLFISLPNGMSATFGHLSPLNMLPNKQVAYESRHKNKRTDVKPLS TSACQEKQGPSLNKHRVSDGGDTHLNVARKYLGRGELQRILALGRNDGTEPLKDRQGA LHRAPPSSHNV UV8b_03500 MPYCSETIRSMIPGEMPPTLAYEAPVISRDPWNKANSGLADHYG NPGFANGFYATPSMNYNGSCYTHSGTTTRSSSGYNSRADNSSSASSNYASRSSVMVPA LNGPQAAGNPPSWEIQGRYSPTGSFEDIVASPSVSDYSVENAMVVSSPNHKARSTGKR GSQRRPSNRDEFDGPHQFLQRPPQDVIDMQERELPHLPTNLHVQEQDAVLSRVNDRLS QCAFDFVAKYQFPIPLTQDMRPVERPQDREWTEWVYLLKRLATKRRIPARVLYNGQIK QFVTILENSLEMRHAAKHQSRPLKDDRNILQLISAGIQVAKILKDASAMEYLDRLYVD TETRILERTTGRSARFRS UV8b_03501 MASVTSRASDRSSPYDFDLDSAGDTDESWQYVDYSSGASASGSV GFLSSPASGSLSGYAIVGHAHLTPPPPPVDMDQAMFLPAATLFPGSQNDQYPMTSLGD PNEVAAATATATATAFGAQAAANTSFVTPQQYIFPGGHDGRLTQQDLYGIPPFMASLP PDFFAPADQGPMAQTENFASQPFFQPDPGAAMASWNAHSPTAAASTHMVPFEEVVPSP ANMHSSTTTTTNTPSSSSALSSSSPKSPPIKAEQSFPPPPPSSSLSSSSSSSSLRTKS LLALRRCKEGKVEKKKAESTADKFVIVTPNTISAHAGRPNPFECFEAMHATHRGRKGP LAHETKENALQVRRLGACFCCHSRKVRCDKERPCRHCERLMLYVPQVVCWQFQDFIPV LFPDLIRAHFRKPEMARFLRDSVGGFRVAGAERACEVELFSGSRFSAVLSVRANFFTA TTCDVLQHWHMIPDRGRANLQSNGSAPIGIELGTSAQRDDLRKRVKAYIQDVISEPFY AEQVTESLRSTQLPMRILKIVQTYAKQSDSTMVKRALSIYAMHYIMTRHLCITRKSLL AIQSTGLVPQNSPWVTPRVLARQVKALVDELIMREMQLLFELFSKSLKPKHRRDWAPC TAAFLVLCLFIEAVETTADNFVVAQNEIDRRNTSPPKYKQGYVLDICKELENLPFKQF AYQFHNIYQTHAKDANVKSFNPLFDDSFEEQHELDGPAVEMVKGLRELFHGEAWRDLQ FLSDDDVIVSRGESKQPIDSSVIYTGRLVAKFLLSFTNENATFGGQI UV8b_03502 MHTPLQVTPPHSFRSNPTPPPTGKKPRAITDITDIIKVIKRRQS GHCRITDPWARYPLSEGQYADLLQRVQSDDEVLWDYMNKKLRYDYIPVVKQLVFRMPT HLHEWVMNSVVEELRHQLRKLGAGHGASADFARAVNARGSPTLDFTKTGYGKHDPDAQ FRHSKAQYPGVVIEVSYAQKRKDLGYLAEDYILGSDGNIRVVIGLDVEYNNSKKATLS VWRPGVVQNEAGEPELVARQTITNQIIRDDGGSPRKNDEVGLRLQLRDFATEALTGPD GLFTDPIYIPASTLCFYVEQAEQDMAMVKQNQGAVMETNRWVGKRRRQRTPPEELDDD REAKFQKIEDKVMAQAEENDSSYEAD UV8b_03503 MDNLEQSRMEIVLLAAGVLVLVSVLAVLVLICVALKHSKGPPRQ HNSTAWGGSWSERWDAGPPTSYRDEAFDNNVRQPSVSITDDIRRELASLRQIVTLTEP NRGSFPVQDNTDPVPSRSDTTNGKTNNQLIEHSVDCDGTSWCDTQDSRASSTAREQES DTVIKRPERTVDHGETFDLEAQDSADSDS UV8b_03504 MAESAPASVPASAPSSVVSRPVSEALLNEKWDRCLSNLLVKSAI GLGFGVVFSVLLFKRRAFPAFIGAGFGAGRAYEECNVNLKHAARDLKKQST UV8b_03505 MDNTDLIKTVRSLDQNGPGKNGENLQVLWTFLAASSDHKFHAAE ESSLRWILKSITGSSEAAETLRRFPLTWTILDCVFRRIPLFSLAKSLADRKFVAVLQQ TLKDLAETIQSTDTSTSSKRKRWANASFSLDALRTQQGSFETSHVLFKSCKTLLDRLD SVGEAFSRDKLGAEHIKSLFCSSAAEAARIVAPALQLCEAILSTDFYNNAGGCQDWIK TTSALWNLHLQSSDDATIVATYFFQPASMILAKLGAFKSSTQIQVAEPLKETWLPDIQ EFMHCNLALPGRVAFVNHGDLGAFTTALELSRGPRHLAVGAPALYFVASNAAKFGTET GMRKANVEWIKQTFREIELAIRKRPDRQSLLESLLDLALETSSWISLDNLRQLCKEYA FQEDETKWSLIAKIVRCDADVFQLSDDGIELRNQVCERITSQGNNHEERESVIEVIKG IQDGFRTRRDLPSFLRLWYGQLCKVEGAGQQGQSPWFTAPRDASDEKSLIRVLESELS PQVLSEVIGWVHEAASSSTPEATVVFASTIARALHSEQYTDVLGQPLFDLVKGLKGYS SFSSLRWRVVSIAMSWAAQSQRSEIWVAVKKRLGKILGKSPVLSAEAYEAFRCCFLIW DILFPDDPRVEEAAELVQAFNERLAREPASARVLEGNKLSLVEQLGIEAEFAEEYGYQ QYLHWILKGSSRLARLCFAKTGKLPPVLVNAIAAKESSSNGMATLWHALLGNDVNLNE LKLSKALIDRLIASFGESDDGNNWPGEEGQMWIKILSSIPLDAYERAHREDIMIILVE RQSLMFRSVANITMDGWKLVLGLISKMMKRPTFYKGLRFNHLVEFSEALSKSVLHLQG SAETLIEMNERFSHMASAVLGQMADHVGQRSVEYFREASMFVSECGKSGANEESNTIV LPAFHIVLLKTLAFELTRSTNARSNEDLAGLLGETERTLSVCVAKVVDSCVTDKAKLG SRDAAMDMRIFAATDAAPACSNVSALTNVKSSSIRSFEKHARQAMRHGDLRAWKIQIH LRKHLPDGVGAPPPTTFDDLKQLPRNLRQPLLDELVNSVADKMDVPAKLAYLRELVCQ FASGYVTDGQLLAIDNIVNQIIASSEFSLHADDGFDLAAVHSDLTSSLVKQTVHANIV CRILETLLEKRPQSMSQWNVELTLSTVSHLASMDSPTGNAVRYAWLCRLVEVVIKKHR LRLEGHFHLVLSTMQSLLRSLIITQDEPEPSSAKEPAPQEDANAHLFARLVTLICEPT AGAVSRSQPRGTLDSATDAAKRSAGRHMYLVLAHYVKLQLEERVPLEVRAALEPAMNS IFDITPPEGRKILNDAMDASGRAILREMFKSYVRFGKWSGV UV8b_03506 MDIPAVISSPWTLLPTALAALVAVNMGLFGGNKMPVEGKTVLIT GASEGMGLSVAKKLAARGANLILVSRSPAKLQAALEAVKASAKNPSQRFHYIPADVSA PSYAAPLVAAAAAWNGNQPPDIVWCVAGTCVPQLFVDMDMASLRHQMDVNFYGTADMA HAILRAWLAPEASAAPEPKHLIMTTSVVALYTVPGYAPYAPSKWALRGLADTISQEVM LYPQRVDVHVVYPGTILSAGFEREQKVKPEITKILESSDPKQTPDEVAEAAIRGLEKG HYFVTVNYLGNLMRWGMLGGSFRNNWLLDTLGAWLVAVVWFFVQFDLHGKIRSYGKKN GHPSTYQKG UV8b_03507 MTDPAGENDARLAVPRFSSFRAEKPEVHARPKAKEPRSRHADKD APTKSPARHARHRDSRRDHHGLDGSHSRRRHRRRSPSRRKSRDAPQPPEASPEGTSSP VFIVDTEGDRFISRYGGVDRSQIPSYYRHGSGRVLGTQGRLVLHRDGPRDQFSLRYPG EGPSILGKGGLRSESVYLRGQPIRVRPRIKRDDLGAEDHDSGYLSVGGSKRRKLGHVD SESSADEGPSYRSIEGRAKAEAFQDESDEQTSEDGEAVDLSEANPLKWKTIQLNGRVK EHPGDIDAWLELVDHQDLLQRAEASLDERAAASVARSFSEIKVSMLESALSNASAAQD RARLLVRLMREGAKVWSSNMAARRWLELSAEEAGDFELWRAHVDFEMSSVTTIQFDRV KSMLVERLKGAAHVSGLQPNVDTSEAIYVFLRAMRFIHDSGYKELAVAAWQALLEINF LRPISSQTEDRDNMASFRDFWESELPRLGDADAQGWRRYATTSGPTEAPEPVAQEPLE QISTGDVYKAWGHSERCHSERAGRPARTMDDGTEDDPFRVVMFSDIEPWLFAIPESEV KGEVGGELIDAFLVFCGLPPAFRASKWTELAVSDQFTFRSAPVGTGVRNEMPHDADGH VQRATPEFDVGNANVAMSASLLFPGTSWFRYFSSHTKHLAVDAAQILRTLGQLVHLAD VGALSVYYLGFAHGQDASMVKKVAKALLKKYPNAADVYNAYALAEMAAGNREIAVKVI SSAADSSWLSAGALGFQLFKSSSWMELQTGRKNHAVWRLCSAFDNGGQHAGHDVDTES VSPTVVLKTRQALSSRIQECFYQGKICDAGIYIEGLVLLAYLTVGGCTEPASEAQGNI SAAVDVLGNMSAEFESHGYGHSSSHERVLQFGANVLYLNAQRGPFRRQFLRDTLSAFL EPFPQNTMFLALAEWADSGLRVVDETRQLLRERILVEGRDSISGRIFSVTHEMKRGNA SSTGAAFEHALSSEVCKFNAGLWASYVDFCTANKQLRGRAKDVFYKAVRHCPWSKEVL MGAFGALIRDMESDELRSVYNTMTGKGLRVHVDLEDRKADILGAASMPSDASLSVHLG GNPGSSLPRIIGFTQLSRSDSFLASSGLVLERCSELDDCAERQW UV8b_03508 MATDGALRQAGGGASAAPRAATTLGDAQIAHLRQTFESSAGQDG RWSAEQARRFLHQGQHSHHGTPAAANLLGGADVELGAFLQYMASEDSDITLPCKDADL SWPLSSYYISSSHNTYLSGHQLYGDSTTDAYTNVLLRGCRCVEIDVWDGDESDLSASS ASSSDAEEPRAEEPLTKPKRNSTFDRLRQKMPGSLSARLQKASLGTSPEGKHTGSHDP ERDAGAVGDDDHDDHDDHDDHDNHVPAVAVVEPRVFHGYTLTREVSFRQVCNAIRESA FVATDLPLIVSLEVHCGTEQQMMMVTIMQEAWKGMLVTEDAMTEGALPSPADLKRKIL IKVKYAPADAPADAPAREDNNGRQDQASPAEATSPAPPKKPCKVVQELSRLGIYTRAV SFKAWTQPEASMPTHIFSLAEKKFMEHREKHGKALFDHNRAYLLRAYPSGLRIRSSNM LPTIFWASGVQVVALNWQQTDEGMMLNEGMFAGTGGYVLKPPGYRPNLPGKPTPNPPS RKTLNLSITFLAAHKIPLPPGDKSDSKFHPYVKTELHVDACDPSCNQGGPLPGQERDT DIRHKARTKTHKGTDHVDLGQQVITFDDIDGVVEELTFVRFTVRDDEFGRDDLAAWAC ARLDRLARGLRLIHLLDAKGRLTDGTILVRVDKEMVG UV8b_03509 MSYNDNDSSSYGGNNDSFGTSNRSGGNNSYGSSGRDNDSSYGSS GNNKSSYGSGGNDSYGSNNNSNYGSSGNNKSSYGSGGNDSYGSNNNSNYGSSGNNKSS YGSGGNDTYGSSDNDNSYGSSGNNKSSYGSSGNDSYGSSNNDNYGSSGNNKSSYGSSG NNSSNNDSSYGSSGNNKSSYGSSGNDSYGSSNNDNYGSSGDNNSSYGSSGNKSSNSGN NNKSSYGSSDNDNNYSTSGNTKSSYGASGNDSYGSSGSSSNNNNNNNNNSSYGSSGGS TFGKVLETAGNMLSSSTLQQKGRDKQARDDDY UV8b_03510 MPAEGLLNIVLQHYQDVHDAEKTDQIIGTTVHLLTQLSNPLNLG VLTSQLLTAPAIWHRRDGARTAMRVISIYNAAAARVRDREADGRHPPGGGLSCEKWTR AVVKGADGRSRRWQHLLVLTGVLLGMEGGGRRALPRSLRGTLERAVVTAANLALRGDV DVDVDNGPATEAAAAAAAAVVAALSLALPLLSEHHAAQVDCDALLPAAVWAITGEEGF CDARFLRAVDRDVAPGDDVLLLSWPPHSPSCQLLREMEKQPLMANMGPVAKLAAFAAR HAHDAPAVLQAQEALLVFTGRALDSWRRGRFSGVDPACEGARLSPETLRTAWPLLWRV LRKLVFAVTAVLQAIVARGLLDARMLVARAVGPGVVAARSLLILRNMFFMSSRDGNSA FQAYTFAYMASLDVLGRDGPAAEAFLRDIQPPPDSSSSSSSSAAAAATATATHLDRTL DLYYLNVAEHLPLCLSTDACESLVVKPALAYLSQQEGPMTPSVAETFEAAHSAILSVL ACPQHGALTARIAPFYIVKLFESFPRHLSPRQFRLAFKTVMQVVSPPFPIAAAEPHLS ETLLEMLRASIGAASTHLLPPSSAGPDAASRAGEEARQEPLSEQGALLMTLVDSLPCV PLALVEEWLDITARAMQELEDPQIKAAVKKRFWDMLANGEMDVERSMIGVAWWGTKGG RDLVLRGGDAPGPPVMSGALGGGAPTSRL UV8b_03511 MSYPGDPPADYETPSFPSLNVRTLQDFTPQRTYTLYYISDVWKF TVMWTLLTYTFFHLGAVLVALFSHGLNKASWRFLWAVPITYLLTAGIEAVLAGSIVGL VLGAVYKAGYYEMNTWIPCTWGFINVLVLIISSFSVNGGL UV8b_03512 MSSANTPGQHPPTWSLDFGPRHTRPPAPQQPTRHLAHVYPHQPM SLSGISLRAFLLGAAFSLGLLSAVLVLALTSSPLWRIPFFLACLSLFHFLEFWSTARR NTPEATVNAFLLTANWPAYPVAHAAAVLECLATCLLFPGRRWAAPPVDKALLAAGLGM VVAGQAVRSVAMLHAGASFNHTVQTKKADSHRLVTTGVYGVLRHPGYFGFFYWGLGTQ LVLGNVLCFAGYSYVLHKFFSSRVAVEERKLVEFFGDEYVEYRRRVGTMIPFVG UV8b_03513 MSMAATATSIDTMNGHASKRRNGKDPPPDADADLIEVEPKNGTT VEKLKASPQRKYRHVAAVHSKSRPSCLSHDSDAAPSFIGFRNLMVIVLVVGNVRLMIE NLKKYGVLICLRCHSYKKEDLLLAVLLYFLIPCHLLVAYGIELAAAKQARASGKRLKA GAPAQPEHDQKPFHSTWTVIAWAHGINMTLSLFLTTFVVYFYVHHPLAGTLTEMHALI VSLKTASYAFTNRDLRHAYMHPAEGHLVPELYSQCPYPRNITFGNLMYFWWAPTLVYQ PVYPRTDKIRWVFVFKRLGEVFCLSAFIWFASFQYAAPVLQNSLDKIASLDFVMILER LLKLSTISLVIWLAGFFALFQSFLNALAEVLRFGDRSFYDDWWNSESLGGYWRTWNKP VYTYFKRHVYVPMVGRGWSPWAASGTVFFVSAVLHEVLVGVPTHNIIGVAFLGMFLQL PLIAITAPLEKMRWGHTGRVMGNVIFWVSFTIFGQPFAALMYFYAWQAKYGSVSRRSG LVSQT UV8b_03514 MRILNDDDFPWASISGFDFFVHMAETGESLPSGRAFVNDWLLGR RKTCLSSAKTPNGPAIFRVAKLCRLSPLPEVGSSWGIESIQVGTLERIRLQLDGIADS WRRGFQKMLAALAAPAALAPYREALLDTRNRQAYCRGLM UV8b_03515 MTSPLPSENKAKMARGGLYFAFTPELLAERARCKAATRAYNAAE GQASRRETVRLWRELVGDASPLPTELPDAAADEAQFDQEPIVEAPVRMDYGTQVKLGK GVFVNAYSTWIDTCTITVGARTLLGPHVSLYSGTHPLEPETRNGTKGPEMGKEIVIGE DCWIGGNVTILPGVTIGRGSTIGAASVVTKDVPPFHVAAGNPARVLRKIDSTLDVQPA RAESGSYR UV8b_03516 MAYEPRGDHGGGGQDGAFVKVRGRRPVTDYSSTVIHWLRNRLPN YKGSYDGERERPSASYIVDMLPPAARPTNAADTIPAKHLHSSLNKIKHPINVVRWTPE GRRLLTASTSGEFTLWNGTGFNFETIMQAHDSSIRALEYSHSDDWLISGDHDGLIKYW QPNFNNVQSINAHSDPIRDLAFSPNDAKFASASDDSTLKIFDFALGQMESKLEGHGWD AKSVDWHPTKGLLVSGSKDHLVKLWDPRTTRCLTTLHGHKSTITKVMFERVRGACLAT SARDQTARVFDLRMMRDICLLKGHEKDISTLTFHPVHANLLTTGGMDGSLFHYLLDSP NPPAGQPLTVSPYDSIDPASTPAQSVWPMHRIPFAHDYAIWSLDWHPLGHILASGSND RITRFWTRARPGDSDISQDRYHIGEAAAEAQGTWDRRGNRRQRQEEEQQEMEDEMDAL VDQDAPKPPSIPARPGIPGLPLGGRPAPPGLTSALPPPPPPIPGVGLPGGAKGPPPPP FPFPGLNGAPPPPLPGLDPHNPPDPAKLLELMKKAGVPLPPPGAFPPGFIPPPGNVPP PPPGNFPFPVPPPPPPPHQADEEKTESRRRAPLPSQEDSLRQEQRQGRYTRAR UV8b_03517 MSKSTLAMIAALGAGGGAALTAAVYSLREPSSPEAKKPEPQIAA SAAAPPPPSGTSSAIPPQQVFSSSSAPAPGTVNPAGLFEYGFPGPVADVATRSALISS YDRRTRNPHWVVEHITPESLARHGGDRKHSVFVEDDAVPAKFRGALRDYFRSGYDRGH QVPAADAKWSQQAMNETFYLTNMCPQVGEGFNRDYWSHFEDFCRRLTRRYPSVRIATG PLYLPRKDPRDDKWYVRYEVIGSPPSIAVPTHFYKVIFAEDGRAGGNVAIGAFVLPNA PIPNDKPITDFEVPVEAVERASGLEFAAKLPLSRRRRLCADTTCALVIRDFADKQKAF GGKGQGQGQGQQRAISSPPAS UV8b_03518 MTAASDATSSSASMQLPPSPTGSGLCRLHGDHWHCHASSTAAVD TVTASGAGSCQPHDDHWHCPSGVSQPATPPAQTQPGASPTTGDDEHDHHGPGKECTPE GDHWHCPTGLSAPTDPPTPVASTTAARPSISGITAAASATASKAQGNSRPSFFGYAGA AVAASVGAFLLGTVTT UV8b_03519 MELAAESVDNDTRGWIMCVVSGIACVFGASIVCVDFLVRLIPGK RNFRIQDSNVFLASSLSLSFGVMMFSALYSMLPESKDYLKKDGWDDLSAGLVIMACFI AGFFGIQAVSRLLHRHIPSHVVDCDHTHDDRPPQDGQLCNHDRRQSRVSRARRRLSRP RSSQALAANKLAAVDQGPGNGFAPAAESTPLLPSSTAEPDVNGSHQSQKRQASVRGDE SSQRAASPLVAVRHRATTDLEAVPRRPSMYDVQKRLMSFVKDTKCNCDEGGSCYGYTD PCGQECFKHLSTRTSQRSSHSGPRQSVLLRTSTGPFYPHSASLFHGADGHDHGSQLDR PVSPLFQTSRAASREQLLSPTDEETELETGPYSCCPSVKQDEEDVQGQQHHHHVPANA FLSIGLQTSIAIALHKFPEGFITYATNHANPALGFNVFMALFVHNISEGFAMCLPLYM ALGSRWRAMAWSAVLGGLSQPVGAGLAALWFKLAQRSNIAPNAVVYACLFASTSGIMV SVGLQLFVEGLSLNHNRNLCIFFGFLGMALLGLSNALFAAH UV8b_03520 MGKRGADAEAPGASPSKKLQTGSACDDDDDGDDGDDYDYLDDDD HDDDDNDDDNDDDDDNDDDDDDHTTAQTPTSAGGADTPTTAPTTPRPKFPSDLKTLAC TWPGCPKTFNRPARLRNHLNSHTNSRPFKCPHDGCDKDYIEDKHLKQHVKAAHTHERR YACPVPGCGKAFVTGTRLKRHQAVHAGADRFRCPHCGRSFRKRETLARHVRKEHLRVP AYACPAHGCAEAFDSKPALKRHRDRAHGHVRFWCLECGLSRPAEDGEPPPRVGFTTEL LLQAHMRKEHQDCLFCDFKPASRWELDQHVDMHHSGKTVEDRKTIACPHDGCAKMFTK KSNLRTHVRTAHEGFRFVCGQLPLAGPDFRGWSDDQGCGQTFSTKVRLEDHVRFIHLG QQRPRLSRPQPLANPAALIDELSGVANAAKQSIFCPRCHQGFVRHHDLQVHLGRHHHH HHDSRVPSDPACVSLSDGADAPPVPAGQDLEQPPWPGGMEQADARAPAPRADDWLQDV ENIMLLARDVSQDSDANIDPSLVGS UV8b_03521 MSDFGDDDVGVGGDEPVLEDEEITEYYEAEVMDEDGERADNGDD ADHVVISGDPSAAANAVKGGEKSVKDKKIPENERTTTPYMTKYERARILGTRALQISM NAPVLVDLEGETDPLQIAIKELREKKIPLIVRRYLPDGYYEDWTCEELLQ UV8b_03522 MKLAPAALASAAAVAASPAAKRAVGGVLLCTGPNSTGLCEHQVY ALDRCQQLPPPFRRNTSTFAVDGEDFFCFPRVYDCGQICTSPTGCTFGQVDFGYEHKY DLGAIGWNTLIQSFDCSLKRSS UV8b_03523 MFRRFSPGEGITSVTRRQSPSPTITNHYPSPTGYYQPSAPSPAI TDITAITAITAITAITAITAITAITAITAITAITAITAIC UV8b_03524 MASPATAFTTTASIASCHGRLLKLSHRSSATQTPMALNLFLPPA ASPSNPAPLLIFLSGLTCSPDNCAEKGFLQAHASPLNLAILYPDTSPRGTRLPGEHAA WDFGAAASFYLDAERPPWRAHYRMETYLARELPDLLFRHFAQLDGSRVSLAGHSVGGH GALTLFLRHPGRYRSVSAFAPVCNPCECPWGQKAFAGYLGDDRDRWRQHDATELVRRW DGPLNCLIDVGTEDQFYKAGQLLPENFARQVEAAGIDGVKIRYQEGYDHSYFFVSTFG RDHVLHAAKALGLV UV8b_03525 MAAALPYLTRQPGMNNQSTVSLSNTRASLSSFPSSRSPSQISQN SRQGRSPHPPPTDSDRTSDPTNSSKSRTESLAPSSQHSSLSAPRRVNVDSRSSKRLKS QYPRGTGEKHVEYILVASFDIDRGPVMEHQYPLAITGDENMLAELMLPDQAHARNQDW TIFFLHKDTSQEEEDAERRAKERRRRIRRRRRNMENGIITEEEDGKKHEQDEAEAVDD GSNGGSDDGDGDDDDDDDDDGGDDDDDDDDDDNDSTDSEPEGGEGPPLIYVLNLVNTK HDKAVKRGAVVKAMAICTRHPFLHIYKPLLLLALEEYFKSPVPETLSMLYDAVNAMDL SLMPRLSILERHLLLASDSRDLFVEKFELMIQMRIAEDKADGSLDRHADDSKSPVKPA GISRAGTKAHVEGGSQALYSVPRDTHEFESKVMYKGIPIPVKVPTAVMPETVGDFSLI KLIQNFSDTHAKSPQPFALHPHLTTNGANTHPIIVLVNGLLTQKRVIFLGHNMPSGEV AEAVLAACALASGGLLRGFTRHAFPYTDLTKIDDLLNVPGFIAGVTNPTFENHPEWWD VLCDLPSGRVKISTKIEPAVVTEGLLYFQQQNPTLATTASGHINNVQDLTGDVAFMTD ILKSIAIRHGERVIRAKWRDWVLKFTRIAAQFEESVYGASALYIGSEDEAMTLPGAGG HGYVWSDDAAKNKELAGNVTRIEGWRNTRSYYSYIQDLAQMYTIRPLKGLDLGHMHDR LRMQRLSPAQSKDIYLTLCRHIHSYDEICLLLSVAPESHAGLFYLALGLFHQDRGVRV KTSELLGRVAEHEAGQHWWKSLSRFEKLAYERIRREVDADMRARLEKEGLGAGAERRI S UV8b_03526 MSVAAPYKPSPLRYSSPPSRSSPFRRPESPASPSPLRRSTPTTS TTEAGPAAAGASRFSRTGSTPSTPRDSWAPRQQLAGTMSSSTTQPLGSGTALSQLQPA QVRMLRDGFQILDRDCDGLVNREDVADMLGQLGLPNGASDVTQFFPPARPQTIALAAF LNSLAETLCELSPSAELLSAFSAFDVDDSGQVDWTDLRDALLNTAPEPGEKPLTEAQV DRVVSGFTGRRAFNRNISAQLGAKRGEVFRYNEFVSSVMGSNSGTEGSSNDVSE UV8b_03527 MRIAAAAVAFGALGAAFTPLPPKFFNEPASPWSKPSAPLPIQPS EQDLAGLCDKATNRCHYIKQTEDGKSFQVKVANCNQTCTGAAHACSADEKTNSVTCS UV8b_03528 MKFFGGSKKQSSTSSSKKHTPSSSLDERDFFLHQPHPQSEPPSP TKSSRNNVNKSAAKKSPRPTSYRESKGTENPSSPLSSSASTPRHARLSRHATEPSTSS SRRSKVDFDTHPLNLPPEERRRLSKLSNSNMSDKMDIDCEPVNGVSSPASQKQNQHSQ NPSAQTNFSVPITNGNHHDEAPAPPPHKSNPSSPIPTPQDDAEAYKAAGNRFFKERNY TKAIEQYSKAVDLFPDSSTYLSNRAAARMSNGQFVLALEDCSRAADVDSQNAKILLRL ARIYTALGRPEEAMTTFGRISPTPTAKDMAPTKEMLHHINSAKETLDRGTAVSMVLHA LDQAERGLGPGVTKPRKWQLMRGEAYLKMGRENALGEAQNIAMSLLRSNSQDPEALVL RGRVLYGQGDNEKAVQSFRMALSCDPDFRDAVKWLKTVQKLDRMKEEGNGEFKAGRWR TAIDKYSAALEIDPCNKNINAKLLQNRAQCKIKLKQYHGAIADAEKAISLDPSYTRAR KTKANALGQSGNWEESVREWKSIQELDPEDRTIPKEIRKAELELKKSQRKDYYKIMGL EKDCGPDDIKKAYRKMAIKLHPDKNPDDPEAEAKFKDMQEAYETLSDPKKKASYDNGD DLLDPADMFGGGGMGGGMGGIDPEILFSMMGNQGGFGGGGPRHAGGFPGNGGFPAGAT FSFGNGAGAHARGGGFPFQ UV8b_03529 MAPLDRDHDSLEQQLKDIIQDLYQIMVQVSTYDTTGRPTRQVLS NETKALSQSLQSLHATTTSPHARLPAVPPELLEYVENGRNPDIYTREFVELVRRGNQL MRGKARAFGEFRDALAREVAGAMPELRGDVDRVLRETGGGPLGGADGE UV8b_03530 MRFLALVVSAAAVLASGLDKRHGHHGETTTLVTYTTVTTCPITA TETHEGTTVLVTKLTTSTLTITACHGCSGGIVTVPGPVVTEGTTTEVEITYTTVCPVT ETVTAPGTTYLTTKTVTSSVVTRVPTTLYETVKQPDATKTETDYEVSTITSLCPVTQV TTIEGHEQTVTYTTISLITTRVPVTYQETVSEPDKTKTAIEGITSTITSLCPVTQVTT IEGKETTITKTTVSLITTVIPTTKLETAVGPDVTKTEVEGITSTITSLCPVTQVTTIE GKETTITKTTVSLITTVIPTTKLETAVGPDVTKTEVQGVTSVITSLCPVTQVTTIEGK ETTITKTTVSLITTVVPTTKLETAVGPDVTKTEVQGITSIITSLCPVTQVTTINGKET TITFTTTSLIKTVVQNTVEETVTRPGATVTATDVVLTTLTSLCPVTQVTTINGKETTI TTTVTSLIETQVPVTVVKTIQVPDVTAVRTDVAYQTVTKEVPITQVITQGGQEVTVVQ TKTEIVEVQHSSIIYETVTAPGETETSVHVITVTQSEGVVVTSTVTETGAHATTEVES TNTLTQTVPSSTIVVTQTTGLPVTAGARVDQVPRMAFLAGLLGAVAMI UV8b_03531 MSMLMLIDDAGVDVDADADADAAADAAAASCCQLLALTAAAHQA RQQVLPPTWILSEVEPAVTTHAPSIWPIVPSSRRPRRLQSLNSAQHAPATFMVSSPQL PHRATSSRCQPSMCGQP UV8b_03532 MQRLSRHLPFSPSTTSLQAVTYLLGISLFSISFLVFLNSSVSFV VTDLIGQKKNVGDVVGTLGFADEVVALVACPTWGLVSDRLGVRWVSVISYAIIGIALV LFVQAKNVYPQLLLARILFAVGASAAATMVTAILPSMTEDGDARDGRNLSRYKPNQRL SVAYSVESEATITPERYARSQQQQQQQQQQGAVGKSGNPSALAGYVGLFTGCGALVAL LLFLPLPARFGEIDGVTPGQAVSYSFYVVGAVSLGVAVFVFVGLRNLQGEEGKGWKAL FGMSDRDSLSYLGAGDGSRSHRQVAPYLDLVKDSVLLGFADSRIALGYIGGFVARAST VAISLFIPLYVNTFFISNGYCQGSPHDASPELKKECREAYVLSSILTGVAQLVGLVCA PVFGYLSGRTGRVNYSVVVAAVSGVVGYLILPQLSSPEIKDVDGRGGTGGILLVVALM GVSQIGAIVCSLGSLGQGVVAAELSRSVLREPCIAPGEDESSESSESEPLVSISTKED CASRAGLKGSIAGVYSLCGGAAILILTKLGGGLFDSVSRGAPFYMMATFNGILLVASL FMDASQAFSRM UV8b_03533 MPPIPVYSSSPITAAKPSGVTPKTANPGEPHQSSQPQPETSPVG TQSRYTPAQPGAVPSLPKPTGAPQPGNVDVAATPTRTSALRDGPPPPQPGAVPVPLGS RTPSDLPPPPRAGESLRPQQEVPVTTMPPQMGYQPPQASLPIQGRSSTATTAPPTMMT SLPPQNGSPATRTVQGNYPTAGYSPPAGGYQQDVNAAGFSQHQGLAAASEEQGEAGVW DTAKKWAASAGESLAAAESKVWKRINKD UV8b_03534 MVGKVSERVLLREGLERTDNGMRLTTWPDVAPINQKNYYTDYMK RDDQVLALRLQCDATRDRLVQSARDRDRILSKPPNGDLPLPVPDLADEDGAGTPSGGV DPTRIIVIHPGSQNFRIGFASDALPRTIPAALATTFPQTESEMYEALPRRQFEAKTTD QQYGEEWSKKYSKLCNELKVDMRANKRKVLPNSKELVQTFNRRTEPEVIEKHNDPLEI EWTDVRKRQDAEPAASCFIGTDALRVPDDSNPKFKLWWPLRHGWWNEDDYTSQEHLYN DFETLLDKALRKELGLTTNSEWQQYSCVFVIPDLYDKRYVEQILRSSMIWFEFNKVCF VQESMAATFGAGYTGACVVDVGAQKTSVTCVEDGLCIEDSRINMKYGGYDITETFVKM MLFDNFPYQEINLQRRYDFLLAEELKMKHCTMAQADISVQLYNFHVRAPNQSTRKYTF KTYDEVILAPMGVFDPSIFDNSTKLRGRRKLVERSYNAYEVDVPDDPASAAQLAILAL VKPSIMSATTGLAQPHPQPEVSTPSKERSQFSFFGRGENGTNGTPAGSHAGSPAPEGN GTPAPYIFGAGKDSLNGDSPVPSGARAAGTPIPGQVPHASQAPLGTPADPDARSATSI AAEKDAILPAAPLDVAILTSIQNAAKGDDKKLRDLLGSIMVIGGGSKIPQFTVVLEDK IKARRPDLHDKILVSRSARDMDEQVVAWKGASVFAKLPTNDSWITPFEFERLGARTLH HKVLWAW UV8b_03535 MAEHNIVVFRGDHCGPEVVAEAVKVLNALQQHRPSVGKFVLNEQ LLGGCSIDATGSPLTDEALNAAKSADAVILGAIGGPEWGTGAVRPEQGLLRLRKEMGT YGNLRPCFFASEALVDSSPLKAEVCRGTDIVIVRELTGGIYFGERKEDDGSGTAWDSE PYSRVEIERVARLAGFLARGRGDKTVWSLDKANVLATSRLWRKVMTETFASEFPDLKI EHQLIDSAAMILVKNPRGLNGVVVTSNLFGDIISDEASVIPGSIGLLPSASLSGIPDG KGKCNGIYEPIHGSAPDISGQGTVNPIGTILSVAMMLRYSLNLPEEAKAVEAAVKSAI DGGLRTRDIGGNAGTKEVGDEVVKHLITILAKEA UV8b_03536 MPEFPVRQEESRARSPESGVQSPESRRGPVHFKSGTDLGDGSFY SDSAPASPVSPSSEQSRKTPALRWQQCGAETYLNRRHSQLRSGLLPTSAPAPASLPTT YTDIITSGVQKKRAYHIGRHDSMGLLPSISYQSFWLTVAYSHDNVIRTDNETAVYYF UV8b_03537 MTGDSGWDNSSCGEILDFQCLDREGPIGQTYTADDAIQIIDLRS SNPSQKNGSMELGSAVEPRRMSCSSLAVSTSEPLSDIPSSYDDFPTSISEAQSYASDY FHTSNRNSLMSSLHLSPVVSPRAASQSRPEQVRTRSRGRASPSPRSSTRSTPYSLEGS KNQRWPTGPYAPMQNQGRRCSATMYGNGQEGFGSNQPMSLQNCPPIPSAPAPLYMGSG FQGHPRHQSNVLLGQPIIPRQGMMLASQMPHQGVFEQPSPLPSHGLFKMLQSNGDLHS LHGHFSELSDPPNLLAALQGGEMPPPDEDMSPSDPNLVPYEQDVRFEGDLYTPKWVRG HGNRREGWCGICKPGRWLVLKNSAFWYDKSFTHGISAATGSPFEEPLDTRRMVGNPDV WEGLCGSCNDWIPLVSSKKKGTTWFRHAYKCHTHQKVKDAPKRRRETNSNRAVAGQGS KSKTDVDTRQPSVAPQTVRPASTSASQFHVKVLQQRKHRLQMPPHQESAPGPEGCGQP AS UV8b_03538 MVCGKCQKLPKTTLATPDVKKKSEMYYGSPAGTSSKASGSKPST LGQSGVVKSKLLSKSAKNPYAQYSSSCAKCKTKVAQGHSLCQTCAYKNDLCVMCGKPN KKTKSNAAPTVSGQKFTMK UV8b_03539 MATPDAPVEAATPSDGRHAPSSPRSPADLPRGHRRPEDEPEPPP KRKPPPAHGSDAEADDAEPKRRRGSAAAAASPPRGRPRPSDEDKRRTRRLFGGLLSTL SQTGGGDAQHRRRQEIERRQQERLQRQRDEDAEQRAARLARTREARVAEQARFEEQVM RHRHAKTLALAGFLKTRSQPAIYYLPWKRTPEQQEAIEGQVRAAKSAVAREVDDFETR KKQRRGSPDDAAAAEAEHRETEPPGGEAEEREATEEQEDTEERENTEERENNEEQEQE KETEKAQQQQQQQQQQQQAPLHDPHDESGDVLVEADEDMVIY UV8b_03540 MSRHHPDLVMCRKQSGIAIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KTNRSTNY UV8b_03541 MSPVDESPAFSHSILAASTAASRHTPQISKTYRQASTLFLTRRL PEALTTLSSLITPHAPADDGEPAPVASASRTTRIKVWSLYLTILNAVLEMSPQDGKEA FGNQEWRALCAKVREGHVWEQVVRDGYHGVEGDVDSDVVINLATLLLAHARDQLLNQR RLETYLATTAPPSVDLAGNPPPSPAPGSRTGSRYRSPAPGASGADTPRDLNARVKILE LYTLHVLLRNDEWGYARDFISASSVLDEERREAFLQALQSLQEDKQEQERLQRQERQG QEDILRREVEETRRRRQQRAEIEARERKKPEEEAPARRIADECDYGVADESSSSNRAS RQSRPQRRPAKAGVPPRPGAKATATAPATLPGRATLIAGRLWQLLQELAAWLNGNPAL LARFLAFLVGFLAMLGHGGTRRRMQRVLRASWHKVIATAGMGTKVSYI UV8b_03542 MHRCRDSLFLQSHRYRARSGILVDADESRRRRGNDEKHLPGRLE PRLVWVHTASTLGQRHGADTGTALATALATGRPTCHALVPLASTVLPARMSHESRLPP KTLLLPAPVVVNASLLSS UV8b_03543 MTRSRATVRYGKRPTRAKAERMFAELPQSPVRKPPISDAHCTVD SIADQLSVVRIEGGNVAPRKPPAKSIRRPLVAGDAETSSGESEELLAGSSQDASTESY RDTASPGSGPEGVAPEGADSWEDSLCDTSLRVLSWEDVCPPGDVIEKIAEASYAEVYR VTNERGTSVIKVIRLRSPIKPQTKAQVRSKLVDEEPHSEEDVQGELRISEWLADIPGF VVYKERYIVQGKTSRALLETHQVFQRRLKRKDPGRAQFYPSPSRYLQETRFLVVELGD AGVALEDWSLTGESQLWDIFLLEAIALARAERIAMFEHRDLHEGNICIKQVRQPTERS KGCQGYFGYSGLDITILDYGLSRAEDLSVDFATPVAYDLERDLSLFTSTHAPQCKVYR QMRSYLLRADRKCLPPEAHKTRHAKGIDGPLCWDVYAPYTNVLWLAYLYDYLVRHFEG DAKALARFRRCTADMWTYLNPDADDSVPCFGSAEDIVCFAAEAGWIREEQLYGSDASM VEREDSIILSRGGGDAGGSHGRRSSRRV UV8b_03544 MAELFRNIFGGAKPKEPSPAPKVDSDFADFAAPPDAVAEPVPDA ASLGSTAAGVSATAVPYTKWYNVHERHSLSEFKAEGVILAISAVILLFHVMGARANRS KARSWIRANGPVLREEFALVGFGGVPTMDTDDIKPDSLLREKSLFEFATYASGRQNTA FVDVKLTLTKRFNPVVDLVETAAAFLSDLFAPRGDVMEAFLYPFDGKENLTLPALPGA EEARAKDGKSTYDGFVWAVVHKDSMKRLREDRYDVSLTATKDNSKLPAWLTVMSESAE VTDTMLTKDLVDAVAAAGDDFEYLIVSDQPVEKPVKLEDSRPRKRIFLKYRLPSDNQY DKLVPVFQSFLRLADFLVQNARFRPEVLKKVRATREATIAQIKKAAEEEKSEERLWEK EKAKKAKRDAELKGLDAKAQKKYLEKEKEKELRKSQKKLTMRG UV8b_03545 MDYDAIAVPDACYADFCLIPIGTGSASVAEEVAEVQRVLGASGL KYTLHSAGTTVEGRWDDVMAAIGKAHAVLHRRGVVRVQSSVRVGSRTDKRQAAEDKVK RVEDLLHRPE UV8b_03546 MLASSVVLLASSVVQAALAAASSSERALPQEQPSSSSSSSPATS PDGVAAATAAAAAACNNSPTLCGRRYDAVTYMGAHDSAFLRDGSTHDSLSGNQFRNAT DALDAGLRLLQTQVHRNGSALDMCHSACGLLDAGPLQAWLSSIGAWMARHPREVVTLV LVNAAKAPAADYASVFEAAGLAGMAYRPPSSDAAAAAAWPTLQAMIGSGGRLVTFVTN MERAASAPYLLPEFDHVFETPYQVTSADGFNCTVDRPARADPAPGALAGGYLSLVNHF KYSRVMAGVDVPDLASVDEVNSPAAAAPGNLGRHLQQCRSEWAKAPNFVLVDFWTRGD PVAALDAMNGISDATGRSAVGGGVAERRRLGHGSLVAFVSAALVLV UV8b_03547 MPVDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQSQIHQERQQ RKLQIEAYRYENVIHDGLLERLSAMTASLRRHASEARGSADDAAADVALKALLETNPG PTADAVPPRPEGLQGDGSAPATYSQMLAGLIDEVAQRLGEKKEAERYDALIGELEGHA KKIQRLQKETAEKLDKLVKEEGKKITSDDIHTGFDSSHVSKPAPAEKKDAASTDVQLL NPNFAASGGSPAASSKGGADGDEEDMEASAAAKRFALIPVKDYAASLQYISQNPQILT ERETDGLLVLAFDAALERKDDYSRQCVHQALLLQYCRALGKDGVGLFFRRITTKGHQA QEVFYKDVQDTYMRIRNRSREILAERAQGAAEEGVEQIQLHAVEPGTVIQIKVPAADS QDGDERKAREIFEGFSPEMKKALESGDLDQVNKVLGGMKVDEAEEMVALFGEANILSL EEDIIDATTEEGQKKLEGMAAAAKAGAEGDEPAGDAD UV8b_03548 MASRLDRLVTILETGSTRLIRETAVNQLADWQKQHPDELFNLLS RVVPYLRHKDWETRSTAAKAIGKIVENAPLYDPNADDATPALKSDQDAAEKNGHIKKE ESEELIISTGEETLRFSSLDVNSILKYGRELLRGGGIEYSLASLDPQARLTHQKKTLL GRLGLLGRKFEDQDLPVPSDGHTVPPTPMDRSNGSGPIRSDSISQSQTGEESQLSSRQ LNVLKRKRKREVMKASQGKGGFGDLSLRRSATLGSEGLGSDEAAKAEGELKRNGQMND YFNLERPADVDEDTKVVSEFKGSVIPIKSELEMEEEMEGVEWPYERLCDFLKIDLFDP SWETRHGAAMGLREILRVHGAGAGRERGISKEKNDALNCEWLNDLACRLCCVLMLDRF TDYSSDTSVAPIRETVGQTLGSVLKHVPPASVHDIYRTLYRMVMQQDLDLKRPIWAVR HGGMIGLRYVVAVRKDLLLQDGDMIDGIIRAVMKGLGDLDDDVRSVSAATLIPMAKEF VTMRPDQLDSLTSIVWESLSNLGDDLSASTGRIMDLLATLCAFPEVLDAMRASAAQDD ERSFTLLVPRLYPFLRHTITSVRLAVLKALLTFATLGGETPRGWLNGRILRLIFQNIL VERDQETLNMSLKLWDALITSLAKDPAVLSDEFAPHIDPLMQLTLHPIGISRNPIPMN TALFQKPSGGTYLAQGMAPSYGRRMSSPETVGRAPKRRRRSTKTEEPVSTGVTHDVDG HMMQGDVDLVGMDVLIRSRTYAAAAMGKMMSLVPAQSLHDFDALLVPGLTSAFSSTQL TACIVIDEFASHSQTTDASSRYTEHLQRIIELDRPSSYGDLVNFVQRARTQCQQLILL FRDHGKVSHSKLPVLPVIVQGEPEAGPSAFSVATAEKCVNEDFEKLKKMMPPGQRLIA SQQLADARNNAISAIDEAKSFKAARDVRIKAGAACAMVAMHLLPKKPSPLIKGIMDSV KTEESQLLQTRSSASIAKLVRLFADKGRKGPADKVVANLVKFSCVEVAETPEFPVHAA KTSCILSMQKEEDRVDHADAAKWAKEAKAARITRRGAKEALEILSRAYGAKLFDIVPS LRTFMEEPLVKAFSGELPPQARDPEEVFGQEIVDALSVIRTMMPTLDVGLHPFVMGLL PLVIKALHSELSVFRYMAAKCLATICSVITVEGMTALVEKVLPSISNPVDLNFRQGVT EAIYHLIAVMGDAILPYVIFLIVPVLGRMSDSDHEIRLIATTSFATLVKLVPLEAGIP DPPGLSEELLKGRDRERTFIAQLLDPKKVEEFKIPVAIKAELRSYQQEGVNWLHFLNK YHLHGILCDDMGLGKTLQTICIVASDHHQRQEEFAKTGAADVRPLPSLIVCPPTLSGH WQQEIKTYAPFLTVTAYVGPPAERKAIRHKLGETDVVVTSYDVCRNDTDVLDKHSWNY VVLDEGHLIKNPKAKITQAVKRLASNHRLILTGTPIQNNVLELWSLFDFLMPGFLGAE KVFLDRFAKPIAASRFSKASSKEQEAGALAIEALHKQVLPFLLRRLKEEVLNDLPPKI LQNYYCDLSDLQKKLFEDFTKKQGKKLQSEAGRDDKEAKQHIFQALQYMRKLCNSPAM VVKPGSPVYEETQRILQKQGTAIEDAIHAPKLTALRDLLVDCGIGGDDGDASDPLYQP IKPHRALIFCQMKEMLDMVQNKVLKELLPSVSHLRLDGSVEANKRQDIVNKFNSDPSY DVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDLQAMDRAHRIGQKKVVNVYRLITR GTLEEKILSLQRFKIDVASTVVNQQNAGLATMDTDQILDLFNLGDAGPNLISDKPQNE LDGREEDMVDIETGDLRQPGKKAWLDDLGELWDNKQYEESFDLDDFMKTMS UV8b_03549 MYIKQIIIQGFKSYKDQTVIEPFSPKTNVIVGRNGSGKSNFFAA MRFVLSDAYTQMSREERQGLLHEGSGSAVMSAYVEIIFDNSDDRFPTGNKEVVLRRTI GLKKDEYSVDRKVVTKTDVMNLLEAAGFSRSNPYYIVPQGRVTALTSMKESDRLNLLK EVAGTHVYEARRAESLKIMHETNNKREKIDELLEYIKERLSELEEEKEELRGFQEKDR ERRCLEYAYHHREQVTIQSALEDIDSTRQDGLDTSDSSRTEFLKGEKAIAKIDAEIHN LQRELELLQIDRRQHEEDRRDSAKVLAKAELKVKNLKDGQSAQEQARTQHAAELQSIQ SEISQKEEQHAKVLPEYQKKKEQEADIRRQLDTAEASRARLFAKQSRGSRFKNKSERD AWLKQEVEELNLTISGQKANRIEAEEEVARVQKAIDQTEREVADLRLRLSNWSGDRVQ MEERVTQARINLDKLNDERKLIRREEEKLNSIIANARQEKEQADRELSHAVDGATARG LATIRRLKQEQDIPGAYGTLADLLEVSDAYRLPVEQIAGSSLFHYVVDNADTATYLAD ILFKQHGGRVTFMPLAQLRPRQVSFPRSNDAVPLISKIKYDARFEKAFQQVFGKTVVC INLAVASQYARSHGVDGITAEGDTTNKRGAMTGGYIDPRKSRLEAVHAANKWREEFDG LIAQLRDLRHQIERKDQEITGAMSELQKLEQQLRQADDGFEPLKHELRNKSSHVENER SHLDAALKRRDAVEKNMNGFMEEVAAHEAEIGTEFKKSLSAAEERELESLSALTQQLQ KQWNELSNDRRKLERKKQFLEADLRQNLQMKLDQVNSQAFETSASGGAAGSMKEAQRE LKAAQKGLQTVAGNLEETEGKIEQVSARIERLRAEKADREQVQNDLSTRIEKQQKKME KTLQRRALLTAQAAECARNIRDLGVLPEEAFDKYENMEANTITKRLKKVNESLKKYKH VNKKAFEQYNNFTMQQDQLMKRRQELDASQGSIEELVEHLDRRKDEAIERTFKQVSKE FATIFGKLVPAGHGRLVIQRRTDRRQEADESDEEARGSVENYIGVGISVSFNSKELDE QQRIQQLSGGQKSLCALCLIFALQQTESSPMVIFDEVDANLDAQYRTAVAALLESISN EAGTQFICTTFRPEIVHVADKCYGVTFHNKTSSINCVSTEDALNFVEGQAKPAGSS UV8b_03550 MAASSRGRRALGSLIAGSSTVCSRCATTSARAPSRLYSATAAAG PASPSSEAAAAAAVAAAAPPPCYDVRSGLVLTRPPLLTRKLHPFENAFFFYQKRLEER LNTPFVTSIYFKPDTARRLDWNLKVGERRGTVAKDIGVYSGKSARAWDDELRAGDPLS GQDSLVDSLLRDAEARVSDDAEVIPAEDVVPVERPAPRETEADRAGDLRRLDRQLDRT LYLVVRGAGGWEFPADLVAKHENLHEAARRVMDQAAGVNMNTWIVGRVPVAHVVEQPV RDGEGLVQTRGRKTFFLKARIMAGQADLHSNPFGYTDFRWLTREELREQLPADYFRGV RNMMSDR UV8b_03551 MPPGCPHSLCAESKSHRSLFSLAPRTAGQRRVSPTSRFLRCRFK THPAVLVNKIYKAHACRVRAAEDGASLDFPRGRDASNADLHECARLSQLGDAFLLDWL ALDPLDLGVAACGSRPAPFTFSRLHGEACLGIKNPVSSHVDRKSPMLSDTFPIYQTYY AASPSLQI UV8b_03552 MNEPEQCIICLDPLPHPSSSTLQPPVNGLGLAGAATTQPTQAKD TTTTTTTAVAEAVDEDASHLDIVAALDGCEHVIHDACIRSWAQKTNTCPICRTPFHSV RVYNGVDGTALSTYGVQDKKQVAEFDVQAWFGDNAAEEEEEANPCPICNSAEREDILL LCDSCDAAYHTHCIGLDRIPDGDWYCMECAHLFRLAEHPSDRADAEPQPVSPRPQHVQ RPNPREVRGFHVRTRQRLRRARRQARNAEWQGAWGQFSGRFYEMSDLDLDNHDDEDDD LEQFRRFQQLDRRELQRWQQRMDIANRLGARETFASTIPPRISERLQPAPPPPVQETR DERRAWGAFDRVRDAESSTNGNGNPNRKRKSRSVSASPAEPVQEEPERKLKRPRTRRL PTQAEASASAASPATSGPSNARPAAANGTSQGNGLLRRESTTPLVSSLLKELEPNPLS EDETPILTPNWRAPPEASSPAISPSPSNHSSPRALSLTPPPLPSLGGRPTSPTLSLST HIEPRYAPANYSPTRSSSDHAEPEPRPAKVDSRPLELRQPRPRRAHQVSTATRSEETS PTRWTMTQEEKKSINDIVKTALRPHWRAQRLTTEQYATINRDISRKLYDEVKNVSSLN EESRRTWEIRATQEVAQAVAELSA UV8b_03553 MCVVEVLALVPGDMDMDMVYMSSGSGSGSGSGSGSGSGSGSGSG SGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSALATLSSFPGAVKCQLFVFPL PTPTT UV8b_03554 MTKRTKKVGVTGKYGTRYGASLRKQVKKMEITQHAKYTCTFCGK VTVRRHSTGIWNCKSCKRTIAGGAYIVSTPAAAAMRSTLRRLREIAEV UV8b_03555 MAIKEHGRQYDLVLLGASGYTGRLTAEHIATNLPSHLKWAVAGR SESKLNAVVAECQKLNSDRLPPSVEIAHVDDEAQLRALVQKAFVVITTVGPYCLYGEP IFKLCAETGTHYLDCTGEVPWVARMINKYEDTARKSGAIMIPQSGMESAPADLVTWSM AQHLRKHLNAPTKDAIVTIHKLSSKPSGGTLATLLVFFEHFPLKEIIEATKPYAFSPI PHTSGARPRKSLLQRMLGVTSLPYLGRVTTSIAGTTDQAVVTRTWGLLHEVPSRKDEF YGPQFTWVEYFTARNWLHGVAVHFALAVGGFLLAFVPPFRSLVRRFVFQPGEGTGREG MAKEEVEYRGTASPDVDDNPTGKQAFCRAWYHGSLYALTGVFLAEGALTILEEDVQLG GGSFTPACLGKGYLDRLDGAGFKIDVQTVEG UV8b_03556 MSEHHLAESSFGDPNAQPPTPDQTPKTATLPSPVFETPKPYQGS FAEAGDSTPRFAEEYSVFNATPGNLRGSHSFPDFVPATGPGSLAGHKRLLSAEGFAVE FAAHPNHHSPNPGASASLPAAPLSPRLPASPVPSKVDASSSTTNPKSQSNPAALTRTP PSSKRVRRETIGEKGREPEEEPEPEPEPEPTQVISPPPTARKGERKPTLKFTMQHDQG FGHADLHEPSQQDMAALMGSVGDLFGYPMSAPAMTQPNFWDPSMPLDMDLDFAAAAAA NLFQPATSSSSSHRNTGSFDWNSEIPLFQDPIAPPPPSSNQENVQPARQGRMFALKPA ASTSDVACTNADGASIPSSYAAAATGLDDPFSIADAGDAVDPGLLFSRPQSASVDAEM GGLIQSGTAEAAITGSGSGKATGRGTRTSGNSRERKANNNSRGPDRIVASSPVHAPGR PGLGRSASESRAGKPARRGSLPVLAPAIRAADGPGLTASKSTGRTGGRTSPFKTQQRL SSLASIPETSPHSCIRTSVRLRIDAHGRAHTETTVLGGRGSPFITRSQSSQNLSSNRS YSSSEGEDTDSDDEPIIIPSRNHSFNASFALPDPRKPVGSLFQLSTRSLSDRSNSNSA NDGESEAETVVNEKPGKVGDAASELRKVVMDRQKRSLRLGSSRSLDTSVRVRSFAGGI VSPTSLAGSSYGPDSYGVRCVCSNSKADEDGFMVQCESCEMLLHGRCINISMRTMPNV YICGFCANTPNMARRRTRDSQRSNALGIGTLQSPLANKSFRSFR UV8b_03557 MSQVASQNRFAFLGNDSDGEDKPNVPVKTVDKAPARTTKRNVEP QPPAAPARGTGNRRGGPRGNEGAFRDRGAGSDRNHGRSTDEAPRDGPRGGYGARVRGG RGSRRARDGEDRHTTRSGVHGGSEKQAAQSWGATEGEAELKDEQAGEAIAQTEKKQAL AEDAAGEEPVEPEDKSVSYADYLAQQAVKKLSLGDFKVREANEGSKLDKKWAGAKALE KAEDEDFISGNHGKKQRERERKVKQTLDFDPRFVESERGRGGHRGGRGGRGGRGGERG GERGGERGSERGRGGSFRGGRGGAAPINTKDESAFPSLGGK UV8b_03558 MSPPRRRSARLASSSAAKKKATPELPSVTEGDETPSRATTSNGK ADARSLSPLPEPKTPLSSALKPPRSEMHPSRYHSSTGEPSSALRLGFSDIPKDGRTPG GQDGTPSKANRLPTSSFTFQIAREAASAELSSDARRMLDEIRDQAAKIKAELVAQREA EGGAKVENRPFAKPKGKVGRFSAAHMAEFKKMDSIQGHASAWRAQEGRFTPVKSLKRT PSKANLDATPASQKTDAKASVQDTPDSRPKLSLKRKSSAANLDGQRDCQAAPKRQNSN ATPAKFSASTTSIPAPSSAAKRFKQRQEDDAATTRPKSLHACPSRPDSVFSRLASPKK APTAASAASATKSYGKLAVKPKASLAQPPANVHPSTLSKSCSMASLTSTASQAAELRR RIVSPASFSRVKSILRGNKNAAENGTSAIPAPQASQTPQAPRVSKELPPIALTTPRRT LTKRVTFTPDVVMAVNSPSPQQRSSLFKLRPALKTIETHYAGLDDVLAESKSSSHSLY PDLSPLKHLIDTQSRRPFSASPSRPGTFTFRSDHTINFGGDTGSSGFGASPGQSSVRH VSEPAFSSNIPGTFPAPTSPSSHPNKENTAPSSVKLLPGTAHGMQNKKRHRATLDEDD FADGRAAKKRKNENVPEGQELLAPRLVKAEPASARKASRFGRTPTRTAISRTPAARTP GRPAATASPTKKSAGLSMSRLNMLARPKNRV UV8b_03559 MVRLSSDPRVKPSSHHLIYFTASPPLLPAFFLTPSFECPLVTLV TIIDNIAPPTGQREGAYAFLAALCLVQV UV8b_03560 MSIDNKPSPPHGDESDDSIDSTPEAEHAISAAVSGHSNNPVQDT QQPKKKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIKQLEETIRIHESNLHNLQSA HRNAADECLMLRYKNSLLERILLEKGIDVQAELQAKADSPNLGPAHVPQNMVQPPTIQ RPIMNRHHHNRKSSSMIAPKIETGVGAISAVLQAHKPTTSPKSRPTLSSHSHSPTNLA SAFSPAPSDSVSVRGSMALGRQQLPLTGQVHRGSALQTPTPRSSVAGTEAPFYPPSCF PNQAEHLEHDYDSKADMVDDSELETTSGLGGCGAAFNSDTTQPMLLSPISTGPPHHEH GSHHVTIANGHFSSMSSLLDHPNLDWDPFGLSASMTFPNHQFPFDQASMR UV8b_03561 MNVRLSNVNNELRCALHTPAPRQRRAGIPSHSHARKARRSPASR GSSSSHPQAVPRGTHTSPVRRPPTPDLLTLALPAEPARQNQRATSVPNIYKVTLINAK QSQPYGVRAYSAKDGRLVQPSPMKLFKVEPCLVSYPITYLPWAPRHLLTGSVQNFQNM LKTGYKQNCQPKTTAFRASEISSTLYLETNTRKKKKRGNKSHLFWPMACWLMSIVKET PCFCPSRCSCVSSDFYFFQSSQAPSSGRWQQQGPLSLVNTNQTLSGSQVIRMTWGEDR HHAGGGGCFHKKTALVIINRRRQVIGRNNTFVPGCRLETVFVLSISRKERSLRVSSQS PVREDLCFKGVCFTLQQQTKHANACLPSHPAWSSTVDKKGLGSGDGSVLALAPCPWRL LEAFGDQTSRTPPLASTFRL UV8b_03562 MATTDPTSRLREKGPSLKNKNKNKSKNKDKKEKDEPPPQASDDG WDCQWDPNTRAWFFYNRFTGKTQWDNPRAPSAAGPAAAAASSAALLPAAAPAPPGDAI VTGYNPAIHGDYDPNAWYAQKYQQADEPAPAAAVPSMADEDAFGATAGFNRFTGHFQR PDAGPGQFSDEAKSRRQMGAYFDVDAVASADDGRSYKAERANKRISKSELKAFKEKRK ARKEEKRRAWLKD UV8b_03563 MTPSLPPDLRVLCRKLASIPPAELPQAVPSLTKHIVRCRDVLSS PQEQKPSDSKSQSSQLLGKLRTSITTLLTGRSREARFAAVCLVKSVVDVGGWEILRNC EPWVRGLLSVVQKGDPFPSRELAVLALTRIYTAAQPFQTLAREVATPSIPAFITACLR LAKPQASTGVPAPPLGTVETVCDSFSTLIPLYPTTFRPFNSPIKSAIRGYLAPALSDG VLVPESLRCAARRLAISLHCVAAKAGGSEDWAKLVDNLLRELHCTADQVLRAVHESWE GTFGYNRQRVGPEGKPRGGSHSGDQLPPWEGLESGAERLQGIFDYLAECLRYPTKASV VIPLGAFMDAISRVCLVARQHPKSQPWDQAVDTNAAISREEKDELWSVISDMHLSALG LALLMVRRFGQGMIPLVPEMLDHLVRVFKSGIASSPVRVAGYQLLNAILPLVGPTLSK ATVVTLEPFIGACCRDLQEDAGFLKPPPKPSTSLKKNDSLKSSLANADLFLQRQGSAP ETLVTLDAQHKAAAAGLLARLLSTLPQHHLKPTLRGLLDKTAILTANRDAMLLSVLNP YKDPRGRIYPNILPHLTHQFPQDQGLEILRSNLRTSGVLGHHGREELADLAEIEQDEE DEEDEASPDEDDKATGGLQEPDVTPAETTLEDALEPLRQPDTGPGTLGPPVESNPFEV KSSHRPNAFDVDSLSKPDFPAKRKPDDLEASPSKRQETSKPAAASLPEPLPRQDAEDA EDDESDESDESVHLNMELDEDEDEDEGEDEDDEKGHRS UV8b_03564 MNPKAANYIEQLNSARCEGNWDAVPELVRKVRKHAPERTCLTLA AETECAISRVTAAGARPFAAQTAQDLDVSNRFPNLLAAIEQEVHHHEDRVQAKVCLGW LNWVVGDYAQALGTLPTSLDAEGLSPERVDVISEWTNLCALKSGYLQANCLMRNDKRA EALAALKSATPSLDGVWCGQGVRKQLRYWSELFLTEYCMLSSQALENDGLNLHDPDSL AGFRAWARYWNVMGAPITGGFGFKGSVPRRRIWSEYYEILSRILENDLPYVPGHVESI SADLPPRAQLRLEIKQTETAYRALILTETTFPKADEDRLEVEAFVTRVMSNWAILCGR GWREQDLGPGGRGALSRTVLETLYSAATRTYHSTSILRSLFSVHLAVAEFDLAFKAFD SYLAIVKKGKARVEKTGHEEVSLDDDATVLQTMAQAVMALCRYGDQTAGEKARHLGAE LENWLSKLPPQTRSNGNGSPVNGLGQAKTEPGGAHVPLNVISLAWQAIGLSHAHWSRI THEASSRTEIQAKAIRCLRKSLAAEFGRSKDIRSFFSLALLLAERRDLTAAIELVRSA LLCNRGQEESYSLLHGPCWQERTLIPVWHLLALLLSARQDYTMAARACEGALQQFKDP SILFGKADASFRSDKLKEGDANSGMSEAPPARGLVDDMDDSEKESILEVKMTQLALIE LVDGPDAAVNASLELLSLFSRMFGTLSAAPQPAKSPAPSLPQKTSGTIRSLRGSLFGA KQERSTTSAGGPQGSMSTASEGSRLPSRPTTSHDARNPTPAIHVTEQNASRAGERGRR RSSSGPPGTKSRSRQRNGLGKRAGSGSQTRTSPGSASEPHHPTVLDGEAFFTPTTETE QADFFSNLNKLGSARGPSSNRGQPVASVDSAVSVAPRSSDVAEVTVDLTHASPNLLPL VQFPKEKEKAQRTLLLIKIWLTIAGFYRRAGVLDDGRAAVAEAEKLLTGMEAESTGDL TPASAAARGANWANRKTIDDLWGDIWSELGLLGVAKDLWYAAKSDFETALTHSPDHAV ATVELSNILLDMYTEKLLPPPVLPPLDGSDDTERLRQLPSKGAAAYGVFTDALPSTPL GLGPSTRRPSAASTHADAAADAAAAAAAAGSTGDELPAPYKATRLPLVDRLAARERAF TLLSGLTRLGAGWDNSDAWFALARAHEESGQVEKAKEVLWWCVELEEAKGVRDWRCLG NGGYVI UV8b_03565 MDPALQLEPSAAHIPRAPPLSDLQAFTQTRKNIIAWKQLLGLAD GVPTLMGMPFQKLASFWPFESQLMDDVLEALGRKHRPPHLHAHLLLLTMCAADGDISL SMQPARAESQPKIRALVAAVQRHLRSEDADEADRLLRTSCAAARARALCSALPPPPPP PPARGGPTRAEMRSRFLALEDLKPGHRRRRFVPEAEFRRARAEEDRKERKARYLMAKM RRRALMDFSEPLLEDGAAGRPMPLVPPSLACFAPVARGSPDSHSLAAVMLLSHLKESP YNHSLAAVVILLHLKESPDKHLRRLRGKHSLAAVVILLHLKGSPDKHSLAAVMVLSLL LKDSRDKHLRRLRGKHSLAAVVIHLHLKESPDSHFLAAVMLLSHLKGSPDNHPLAPLV LHLHLRESPDNHPLAAVMLLSHLKGSPDSHSLAAVMLHLHLKGSLASRISSYQLMMPL VLLSFHLKNNLDHRLSSYQLLVLLVLPSLHLKNNLNNRLSSYQLLVPNFGTPSAAFWA AQEQPEQPPQQLPSLDTPSSAFFAPPPEQPGQPSQEPPQQLPLDAPADHVLPSTPEVE RALLELSRVEHLNQQSPWQPSLDASGSAVFPSTPEVEQALLELPVLEQPTQQSPWQPS LDASGSAVLPSPPDQEQASLKLSGLQQPTQQPTQHSSLDAPGDAVLPSTPGEEQALLE LFGLQQPTPQSPQQAALDAPGDAALPSSPGDEQALPEPPHHQPPSSPGDNDFLLSPEE EQALLELLRKQPPGSPGNNVFLFAPDEEQVLSELRGCEQQQQQQQQQPEHQPPPDAAL FSAEDEAALWEFDLHMEM UV8b_03566 MEAQVENAVGILSNPTSDQAVKEQAFEYLNQLRSNPQGWQACTN LFARTPRSSEVVRMVCLEVVNYAVHTQGLDAESLSYLKYTLLEYVRHSYGPDARQEAD PVSLQNKLTQTLTYLFVFLYQDGWQSFLDDFWELTGVSTGRDSIGGVLFYLRILSSIH DEIADMLLSRQSNDAKRNTELKDQLRVQDMHKVAQSWKQLLSKYNDNDVVVELVLKVV GKWVSWMDISLVVSQDMISLLLPVVGRTNSGGEDKVRDTAIDTLTEICGKKMRSADKM ELIAFLNLQEIVGQLIASAPLSVLKNTPEYDTDLAEAVAKLVNTVMSDIVKALDDNQT GENARERAKQHLHGFLPFLLRLFADEYDEVCSTVIPALTDLLTFLRKLCQLSQDYSGM LAPILDAIIRKMRYDETSNWGNEDEQTDEAEFQELRRKLQNLQKTIAAIDQSLYMDML SNLVSNTFQSLDQRGSAMDWRDLDLALYEMYLFGELALPNHGLGTKNQPSTEASERLV VMMQKMVGSGIASFSHPAILLQYMEICVRYCIVFESHPNYIPQVLENFVRLVHHEHVR IKTRSWYLFHRFIKQLRSQVGNVAETVIQSIGDLLPIKAEVPGEDADDDMSSDESDHS ADALFTSQLYLFEAIGCIASTQSTPADKQAIYARSVMDPLFQDMEVHLARAKSGDAQA TLQIHHIVMALGTLAHGFSDWSPGSAATQHHPPPAKAVSNEFSRAAEAILIALNQLSS CSEIRTACRSAFSKLLGVLGSAVLPQLPQWIEGLLSQSSSKDEMAMFLRLLDQVVFGF KAEIYDVLNALLTPLLQRIFGGLSEPISGTDDEIQLAELRREYLSFLQIILNNGLDGV LISEANQGFFEPMVSSITELAKTLDGNIGPSRLAFTLMARISAIWGGPDVATIAQNPT APRGSPTPAIPGFDRFMIDRFHSVCWEVMRNPSFCPAQDAQTKQVLTEIAGLEQTIYT KTGEAFIQELQNGLFLSTGINGDEFLRCLTTCTDKKAFSAYLQALLKSMQ UV8b_03567 MRFTAAASALMAGTAMASASDSPVSTDYTTQLVTITACPSTVTN CPARSTQVLTNVVPLTTSTVYTTKVYTITACPSTVTDCPARSIVVSTETIAVSTTVCP VVPTTAPGQWGNSTVVIPPPATANTQSAPGCNGKDCPPPAASTAPACNGEDCPPAAAT TATQQAPPGTSPAVCVASNSVSAITKTYTTVLTSVEYATVQIPCPTGPSGTGLPPAPS GPSGNQTTPSVPVPTAGAASLAGSAVFAAVAGVAALILA UV8b_03568 MPNPAPPDVVIFGPKTKCTLDNCPVEWSIYGFQPSLAANIALLA IFAVIGILHAYLGFRWRSWGFMVGMLLGCLSEAIGYIGRIMLHSNPFSFIGFMIQIVC LTIAPVFFSASIYVTLSKAIAYFTPDLSRFRPQLFYWIFVPLDVVCLVLQAAGGALST NSTGSDENGVNISMAGLVLQVIVITVFIAFFADYMIRYQRSGRARTFDWRLKIFFLGL SVSIVLILARCAYRVAELKDGYGGSLIREQVPFIVFEGIFIVVAAVALFFGHPGLVFQ DSLSQSRSVKGDESGFALPQK UV8b_03569 MLRRAPPSSARALPLSSPGSRNTITRSARFQFVRKFSTSTSIMA PPKAALDFVDFVNESPTPYHATQAAAKRFEKAGFKLIKERDSWASTLQSGGKYYLTRN GSSIVAFAIGRKWRPGNPVAIVGAHTDSCCLRLKPVSKKTNVGYLQVGVEAYGGGIWH SWFDRDLSIAGRVLVKDGDDFVQKLVKIEKPLLRIPTLAIHLDRQTNFDPNKESELFP IIGLAAAELNKSADQDASSGADEDKEDFRPLEKMTERHHPAVLDVIAGELNVKVSDIA DFELVLYDTQKSVIGGLQDELIFSPRLDNLGMTYCSVEGLISSVRDSSSLNQDPTIRM IACFDHEEIGSTSAQGANSNLLPSVIRRLASLPGGPDAASDGSYETVRHEGEESTTYE QTLSRSFLVSADMAHAVHPNYAGKYESSHQPAMNKGTVIKINANQRYATNSPGIVLIQ ECARLAGVPLQLFVVRNDSPCGSTIGPGLAAKMGMRTLDLGNPQLSMHSIRETGGSAD VEFATRLFDKFFTHYGTLEPRILID UV8b_03570 MPSPAKHRAALAPLDPNAKPSLPSPKMKDGAGSPIPLTGGSSPT KKVAPARLGGTKRPAEDASSPGCKKTCTAHTHTPTPTHTPTSPASSVFDTSAAEGDSW ATAATEPDLPGRIVGDAPALLAPRQRGSMTREQAREKAEILRLRLTLANYKLRTGQTA IPLADLQRKPLPPRRVVRVQPPSGSHQGSRDASAPSADGLARSNGGAASGLLSLSRG UV8b_03571 MPLLGCRLGRSLGTGPDRTVDFCNGFRCRFSGAIDPEELRCRMS RAGADSRIRLQTLLGEFIPENPAADSLERFIPESPAADSLELEQVPGLSCTVSAANPS DSSCPRSGTQSPASRSRRQAPPPPYNPLGHVTPPTGPGTSSPASAQPANPQAQLPPRP SKLGNQLLPPPLPFPPERRGWHLPPPPFPHARNNHRVSQSRQGRAAAHPP UV8b_03572 MATPTPLQQLCLVSGPSSGAPTSASASAFASLDADGSSYAREYD QALKHLLPLCPEPLWPKDSHKTWCPRPILVTEQHQRQLEDLHEALTLALTDIVERWWS DAAARFPSRMPLEPREEELLQWLDSQVLKGTLRRFSECRGSWRPDFLVGQCAGAGGEG GDLADGEDFILTEINARFSFNGTMYIALGQEALDSMGLGSAALQSATKSDTIFDGLLS LFQPDLPLHLLKGEEAGMDIHIFRHMMQQRYGITPRLIKPSDLRLVADAQSASGFKLC CVAAAEAEAEAEAGETWVTADGERVEEVRQVGLELHQRELLAMDGETLRQVGLRCFND LRTVLLVHDKRMLGIVRQELGGLEARGVLTAAQAATLRRGIPETLVPGSSEMGALLRR SRADAGLRRQFLLKPVRSGKGAGIVFGDETTQEEWLAALEGLGSAAAAAPHPGQTRIV QRQVAPRLYDMVMRPGEGRARYPLVGTYHVAQGRLLGLGIWRSNGDRICAVSGGGSWM CSVRERQGM UV8b_03573 MCTPMRSPMRSLAPLRLRLRPAGTPRPLRGLATVCSPSPAATAA AVAPALSSPSSSSSFALPTLCAPDPAAARDPRHVASVAAHLAASGILRVRLGFADPAS AYLAGLIGSLNARHGHRLPISHSASRGWFWDVRPAGAGSSAGAPGPALQTPRHRARSE TMGDFPWHTDCSYESPTPRYVALHVLQHDRRGGGTFSVMSVARLAARLSPRARAALAA DDAFRIAIPAEFVKDPARTSIVGRVLACRAGRPVMRYRADIFSPLGDEAARALDELDA KLRDPQVHARATLHLSPAELPSGSVIFVDNWRWLHARNHVADPERHLRRVRWDALPFE GDAGLEGFDGVDAVGSVGGAERGL UV8b_03574 MAANMQQMAGAGQMMPQQLRRPQTSHQLQQMVYQNLLQNARPAN GLTWQGNVSLNDRMGKTMDLISNISLAMNGVDHMRAAEFGCNFEREMFHKSPSKEAYD TTMNNKTIEFFKKRQANEPNIQNTLNANAQAQAHAAQAQAMINMQAQLGRGMGPGQAG FQHLQQMQGSQLPQHMQQQQQLQQHQAGRGAMGPNQQTMNMQNRQPQQFPNDISRLAP GDRAKVLELANRLMAQASEQQKATTRMTLQQRMSPQQLAEFQAQGRDPLSWFYQSQAL QMLRSSMNRLQQQQQQQQQQQAGLQNPNGGQAAAAAMMQQQHSQQSFQQQRQNMMNAG QAADFSQYTPNMESIKDQQMSGLMAQQAGQIVVPASSAGGQLATPQPGNQNIPGAQGQ SQTPRQGQQPQQGNVSLQQQMKLNQTPQQSQNQLQMKMQQPNQQTGMTGGMPPSQSPA MNTLNTPVSRPPGGMNPMGGQQGMGQGAVPFADQRFNQGAQRPNNPAFNNMLANMTPE QRQAINGLTADKVNEVFRKWQSQNRSQDQLGMSGAQMSQQSRPPNQFGGPMSLNMGPG QGPQGMQQPNGPMPVNQQQQQMQMPRMPIQNNQAQAMMDAMDLPAQVQTLVGQLPVEV KKWRDLKIWLSQNSTLPPTTRAQLSALQQRQFQVLMQRRATMQQQQQQAGQQNPSVNM AAMANAGAQMPNQQLAMQRSAASSIPPHVLQVSQQELLNVRNSRANLAHIPDEQLQTM VMHMKRQSWLQQQQQQQMRAQIPGQATATGQQQQVGGAQNPMGQAPMQVQQTPQQPPN RTPQPQTQSNPPNMVQTASMQTAGQRQQQQQQQQQQQQQDQAMNAARNNSSQAQPSKS LKRPSPDDAADATTTSAATANAAATRPASQPNQQIPKGVPSLTPQQLASLNPDQRAKY EALLKGQMSGHNQGPGMMQQSSADMNRLRTISQEESRQFGQEKMQNIVMNAQERAETG TKLQRIAADMNKVSRGLTKWYSITRDDARAKMFFRTRLRIVRQFSDGEEMKVLKDKFS INSSEIDQARAMLESMAKDLAASMYGRGMMKPGQAQPGQAAQLQQQHHQSSQQQQQQQ QQPQQNSSSPPAPLNAANLEKNSQAYKNQQKAGSKGAQVPPAPTVAQPPFSFGATSPH GNPSYIGKPKDINLQLPPSRKKVKLSGQAPGQTPATGAATPSPKTSKNASPESRRQEP PKPVYLCKEPDCDTAPFPFSSEQALSNHVEEEHTKPKEDPLKFVTENLALALGLELDG TPKKTCKATEGAAAMSASASKQGRTPQNATTTTTTTTTPLPHDNNAMKRSGSALSKGA DGKPRADGGGDGAKARKPSPWAGCTIDPQALMNNLGFEKGFPNIVSDANLYRSMTPND TPESSKDSGSSEPNSDISEGAALEIDMNWQSFEHDLLMDLNNTSLDPSLLLDPPCGPA PDWDDIEIDFSKPLQLDMSQYSMMF UV8b_03575 MAPPFPRADIELDYPIYAVDFDPEDATRLAVGGGGGAGRSGVGN KMTLLDVSRQDEIRIAGEIELSRDEDSVSSLAVGPRRGRATHLFAGVNSSPADVEKGI NRHLRVFSAEPARAKPLPPPAASTDAAPRASFPAYDITEVSRTALFTNPDASAYQRLL RVSGALGAAASALGKDAQVAVFEATSPNPTVRGVLELASEAEGLDLLQTGAREHQLAY CDKHALYTVAVGAASSSDPELVFSMPDDHGERPQFRAIRYLTPEFVLAVANLPRKNTG ALIQGLRLPSPGHQRARLAVTARIPGKLSATALAVTNLSRPAAAAGPVGDTQFVVAVA GNDSSIRLYTLQHQATPNMGLLFNLHPFCTLKSVHGQGQISGLAFSTFKTPKAHVRAQ HVKLASTSLQRTVAVHSIPLRKHVDKAPPSGTSTQAPPPPARYAVAMPSRGPSARPVV TSLALIVLVLAIVSQSVMEMCGAARPVVFGNRFLPSWHGSLARRAAGSFEHGLASSLA GGKVLRAGEKLVLLEPAPADGGREAVLVDVHDAEAHGAARTWDQLRAEEKRAWKERLR DAGAWTQGMGESVFRGVLFGELAGMVGRAVAG UV8b_03576 MPGAMGRPMPTRRLRGWARPCSTRSTPPSNQQVQVRLTAPNGKS WIQPSGLFIDNQFVASKQGGTIASTNPYTEEAICSVAAASPDDVDAAVWSARLALKHP SWKSLSGSDRGRLMNRLADLVDEHRETLATIESLDNGKPLSASLAWDIPHFSEVLRYY AGYCDKIHGSFVDMGRRRMAYTVKQPVGVCGQIIPWNYPVAMAAWKLGPALCCGNTVV LKLAEQTPLSMLYVAKLVRDAGFPPGVVNIINGHGPVAGAALASHRHVDKVAFTGSTA TGKAVMRMASTRMKPVTLETGGKSPLIVFDDANLDQAVRWAHEGVMANQGQVCTATSR LLVQDGVYDEFVGRLAEHTRKTSVLGDPFEDGTYQGPQVSAGQRDGVLSYIEAAQGDA GTTVLHPCGPPAELPARGYFAPPTIFANVRVDAPVFTEEIFGPCAAVARFGTEREAVE AANASRYGLAGAVFTRDLGRAHRMARELDVGMVWLNSSNDGDVRVPFGGVKESGIGRE LGEEGLRAYHAVKAVFVNLTDD UV8b_03577 MLSQYDIVSSAYLRTDHSFRTVDPPVVSMPDGKNCAFLVKPICR DCSAYPEIRRPFLFVFIATEFRIPKVVNQTMRLHQASRLLALLLCSGHVFASHQAPVL RSW UV8b_03578 MSQRDPATRPSSRRSSTSPLVSTGPRLPESCVGSHDDAAAAGSI KTEPSNTPAQVQQLPPKALGMLNILNPPDARAMGSQGARQSSKQLGYFPTSYSMSATY GPSKHGGLGHSSSASYPGTPIGNAGFPSVRSERSSLSMSHPSAFLDEPRKVLSPKIPR PASLGSGSSSLSRDMDSRARGYTTTTSPAKRPYEPDVPDEPRQLTNLHQAARMAHVTG ARASTPPQGSLSEPVARNSDVSLPSTQPGLVREIPGLPPHAHGHLQHSPPPVPLPTGA RLPEGTSPWAEVMRRSGIAGSGGIEGQQAFMTLPGSDIPIPVQVDYSQASKKADEKRQ RNAKASTRHRRKKKTIQEENVRQLQDLKEERQQVADELEQMRQQRDFYRDERNRLRDI VSRTPGIHHHAAGPPSPTPTTRSAESHTERSPTAQQLASTPVPGYSGECPSVDRPVQR SGVEGRAEYMGPGFVPGAAPGALPSPLGQPYGAGQDKVMNEIPGRGSGDPCPQGKLRR DGQLCLERRERVSKVTAKHILGSFDPQGCYA UV8b_03579 MGNIPACQSSFSSAGDWDYSAPQGASTSVLRVPFAKKNNRELTD AYDWYTMLAYDYERAVSEQVPITMKDQACWALLLQATDMILILDNDPPIRAPVSTTFV CLDR UV8b_03580 MPHTPTVSADDPKTSSGHAAQCAHQLLPSSARLREPILKPSQSQ PSHLDSSDGATARAPALLTMPTHRHEPNPTPTPLAYPPRPVSHMYNSVLDSSGMELGI RGLTGCWVWKGVVRSVSVGTVPKPSTGSTRRCSSLFPPFHLFSPSDSPVTANGSEKAR GRPTTDIATNVMQTKSESLGAEAKTRGINGRHA UV8b_03581 MSCCRRGNLVGRGVMTARDRPRIVCLSFADDLRITLLFSILGTR GADTCLENAPVASCKTSSKEDRVRKRRAWLRWGIRRAATDATLRWAVPVAAGDLEPGG DGKARWPWVRPGLPWLLSVSVKMLRSRQVGSPRYDMPSPPAEE UV8b_03582 MPRIVRHLRSFHVVRSLQLEDFAASTLEELRPTCLSTGAAGDEQ GQLGCRSSGSSLGPCRHSHAVFTSSLASPARRPSPGSVHPSQARLQTAPPEPTAPWAE PRPSSLLRCWLARGLWRVGDARPGKLAPWRGASSRVQDAAAVTWTFWAFAKTRHVEAA GMLVVVADRRNPVPPSFVLQLLEPVEPWIAPRSLLQRRGCRSHQ UV8b_03583 MPDTSRNSCRDYVPVGMEEYEDDDGNFGWSRPVDIDFAMMLSGI PILDGALEGRPLSETTTGHQDALAMFETYGVPRPEGLILNRNRTPRASHDETLQLCHS CGEILPFEQKCVRCGHDFCYKCASEQLPKDHGSLKDGAVDRIGKPFSDEAAKTREVTT TPLFGPTADPETPNRGPVTGNPFFLADRFAKATWSAPQTKKTTGGRGQRARRLSDCVP GRHLADRPPDAVAMVDDWKRSRHTEGHILCCAASRGQAIAADIPSLDATVQRKIDRLH RHTEDLRNYPRASGLSPTSREGWIPWSPKSDAEPRAATAAPNGTIHLETPTKPPRTVR LHSPGDGVNKPSSKVAIIYGAGAQDAKDAVESALRPAPLFDLGPNPKDHHHEASLSPS SGEAGSDGSMSDVGDVREEASKFGPSLTNVETNGNVEKRGSGNTTPRSHGDVGTGKCS TSGLLSPQISSPFISPSQTASEPSQACATAPEHDVGVDLPSMTGYKRHRQDTYVCAKP KTPNSGPEPWPTLRKVERPVEEKKPPPTTPVSLPWARQALRQVSSNCSTSSGKKKKDQ GNLGDDVTLPASRLIPVGTPVLEWRGNLSKTHNVAPKPLNRNNLCESCNPTDSKEPAT GVGSCERCSHGSNSPMRIGSGNPDDEDPFTEPKLSVRAIENSLAWDKAQGGPGGRGVG SDGSEPKSASRSRGSNEQEGRKEKTTAEPARCCGWKERYLRLRDDVVRGKDTLRRDEE GSKAGAVQELGIEGMTIIVHMRHTDDLVINTDLHG UV8b_03584 MNSLRIARAAVRARPAAIRAAAQRRTYAEAVPDKIKLSMSLPHQ SIYKSQDVVQVNIPAESGEMGVLANHVPSIEQLKPGIVEIVEESGGAKQWFLAGGFAT VQPNSSMSINSPEGYPLEDFSADAIRAGIAEAQKVANGSGSEQDIAEAKIELEVLETL SAHVK UV8b_03585 MATASPTSQVPTWLEPTSEEAEDLDEPPERKPKFGRTAVRQPQD HASLLTKAIMGETDESPARQTHSILNSQRRRSMTSNISVASTADLTSDTGMTSPCRTS TPSPPIPEMAALRLHHDVYQIKKTPAVNATAPQLPQPPKKRCIQFACAAMPPIASAQP MVKSPSEGQAGRRPSIKFACPERPASTQNTPPKLMAEAIVSPSLPTNPESPSTPRKPS ALPAACQNTPRSSTRRPSDRAALHRPKFLRANSVDLVKDSSQFHEFASDIAREEDWIR QPPSAVATRLTIDDTLSKENHFRRIGAEAEEEAEMEEKEDEEATEDDEDLDAVDEDDD IEDDIDQDEDGGNFEEDSDGYHTDSESGFAESDEEEEQDENMMLWTPSHATVRNKTVV VAPIRRLSLHEPQSDSSAASGNTRSSMRRLKVRRSVKIRSSTPDLPDSTDFVCGTLDE DRPLEEAYLSCLAARRSEKLRVIPQDIDPSFPASDPDNDEDEDIYNPVLHESDENVWV QGKMEDLHHGQQDRCRRRKKTEQASPRKYRSPAPAARRHHSPAPKARGRSPKPLFGRH SPRRPCSPAPRGFNTPSQTPRQGPHVQFHLAGRPGMTMTKSLPRPPAIFTAMKGAKTT KHQHVSDCHLRGAIDIVKGLEHKRQRRKEKFFQKYCNRARRGQIPERKPQPGRGAERM KELGLLMAGKKDQGNYVLSV UV8b_03586 MWIINWFYDVLSSLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELAIGNVRFTTFDLGGHQQARRIWRDYFPEVNGVVFLIDAKDHER FAEAKAELDALLAMEELSKVPFVILGNKIDHPDAVSEDQLRHELGMYQTTGKGKIPLE GIRPIEVFMCSVVMRQGYGEGIRWLSQYV UV8b_03587 MLNYGRRLNNGRLNHSRRLIYSRRLIHNHHNLGFLASQQHQDGD TNQRASNAGGSNTSSRSFQAAARNGGDAPLVVVKTTISQRLTSRSLYTTEFQ UV8b_03588 MPHDTIHGFPPASFDASALPDAGHEFLGPEDLAAFERALQAPDP LQSPSDDVTSGIRSPMSTCSSVHLTKRDSQASVSGDADDADDDDGDDDGDGHARTDAI AAAAAAAGGGLPEPAEARRQGTFFTAQNDWAPVSPRFYKRRPRLSRARRRRKGASKAV EGLLGTRTKDETREGYLYQLFKWPLLLFVFAWLGGLALAYLSTRWYIWVFEHFFTWRG RRETLRRNMRRASTYHEWVAAARELDVFLGRQTWREENEFAYYDSKTVKRVWDQLKKL RAKAEAEEARGVQQNGAEHRTAVEDLRSLVEACVKNNFVGVENARLYSQTYYGTKNLV QNFIDEVERSIKLLLSTSRLQAEDKRLLFKHIHANYGRTALCLSGGAGFAYYHLGLVK ALVDADLLPDVITGTSGGALIAALVATRTNDELGELLVPALSQQINACREPATVWIPR WWRTGARFDSVDWARRCSWWTRGSMTFREAYGRTGRILNVTCVPADPHSPTILCNYLT SPDCVIWSAVLASAAVPGILNPVVLMMKTRDGTLAPYSFGHKWKDGSLRTDIPIKALN THFNVNFTIVSQVNPHINLFFFSSRGSVGHPVTHRKGRGWRGGYLMSAFEHYLKLDMN KWLKFVRHAELLPRPLGQDWSQLWLQEFSGTITIWPKSVPSDFYRILSDPDPQRLARM LHQGQQSAFPVLKFVANRLKIERLVEQGRRETRPWVRRGSIQTIMSEEDLRSLLVEEM ASGTTEEEGEDSDGDEASLRTALADGDDSDGYDMRGQRRLSVPSGLLS UV8b_03589 MSSDYSYDDQAQFFPFFILTLTGLITLPVTYSLLQPSKDDSHLA PRIKTDYKTRHEGVVASLRAAQKRKQRKVKRALVAVLGWALMGLMAYLIMTTDPVENK IWNPYDILGIADSATEKQIRSHYKRLSVKFHPDKVRPDPSKNQTVESLNDHYVQLTKA YQALTDEEVRNNYIQFGHPDGKQSMSIGIALPKFIVSDGNGKYLVVLYTGLLGVLLPY LVGSWWYGTKKRSKEGVLMESANNLFRQYDEDMDESGIIWALSAGKEFDSLLRGDLAE SGLSKLESRISAPGDASAFAAGFSVKDKEKLEDLECGVRRKVLALLWAYLGRVELDDP AMAKAKYGVAPIARSLNQSFAAIALAFGNIEPISGSFLANQHIIQALSPKASPLLQLP HFTPKIAMAAEGDSKTHMTVQQFMDLPDAQRRRLVVGKGLLSEEQYRTCVGVGNQLPY LRVAKAFFKVTGERVILPSSLVTLVIKGRFIPPGAESVPAINEPDLEDVDPAEDDLEA LMGRKARTIKGADGKPITVEEQSILPPLAFAPHYARDHTPKWYAFLSDSKQGKLAVPP FTFDRFDAPIFDERGKPTFNMQTLKAQFAAPPQAGHYTFVMHLVCDSYVGFDTKMEVT LVVEEPSATVDVEEADDDISEPDEDSIAGQMQALKTGQAPQSRRRARDESDDESGTEE EEDDTSDTNTDTEDES UV8b_03590 MAPARRIQGRRIRQPSPSKPNPKADLPPVSALFLIDFDVKAGYT ITWKRAVPGVELDGQVEYKSLPSGLHTVRHDLIYFVHGAHAGLSAFANEPCEEEAARN ARMIAVGVLVPLSYGRLGRAWRHAERLKDLAARLAKDRFQTHLLETYWDEHRDGAGDK HARDEPPESPDLPDSPAQERRPPRCRKRSASDGAALLHAEYKLSPFHPAWSLASLLDK FGPLIFPIHRAALLRKRILISCHAPVHEICDFVYGISILSNVPHSVAKRLPSSSPAPR LRPLFAIGVHDIPFLMDDFEALKRRLDGTATAQGEAGSGWVACTTDSILAMKDTLWDM LITMPPDHSSRARDRAWPAVEHPRGTPVKATQRDLRRFNALRTGLARLAATACEPDEP QPQPQPDSPRSAASAARLSASHLVRADHPDHPADQGVDRLVEPPTWAALAYNGYMWWA SAGEQLRSEEQQESSRDAALLADFAPAPAPAPAPAPAPTGVSRSASREPLLLSDSLAS LSGGGGGEPRAHLAIVAYFHRLTAQMLSVLADLVDGADEAYPPRYRDHTHPEMLLDDS DSDSDSDSDSADAVAVDSRSVEQMGLDVWSAADAAYVQDLAETYFGTGARVEGKGVEI CGVRVC UV8b_03591 MTIPKLPDVDPATRMQVDESVVGHTEIDESLYSRQLYVLGHEAM RRMGTSNVLIVGLKGLGVEIAKNIALAGVKSLTLYDPAPVHISDLSSQFFLTPEDVGK PRHEVTVPRVAELNAYTPVKVHESSGLDGDLSQFDSYQVVALTNTPLHLQKAVADYCH SKGIYVVIADTFGLFGSIFCDFGPEFTVIDPTGEAPLSGIVAGIDEEGLVSALDETRH GLEDGDYVTFSEIEGMESLNGCEPRKVVVKGPYTFSIGDVSGLGQYRRGGTYQQVKMP KPLKFKTFTDALKEPEFVMSDFAKFDRPQQLHLGFQALHAFQISKGFLPHPMNDDDAA IVLGAAKKFAAEEKLEIEFDEKLLKELSYQARGDLSPMAASFGGIAAQEILKAVSGKF QPIQQWMYFDSLESLPMSTGRSPELCKPVGSRYDGQVAVFGSEYQERIANQTQFLVGA GAIGCEMLKNWAMMGLGTGAKGKIFVTDMDSIEKSNLNRQFLFRAKDVGSMKSDCAAK AVQRMNLDLVGHIQTFKDRVSADTESIFNETFWGGLDGVTNALDNVEARTYVDRRCVF FRKPLLESGTLGTKGNTQVVLPHLTESYSSSQDPPEKEFPMCTIRSFPNRIEHTIAWA KDYMFENRFVKAPQTVNLYLTQPDFIETTLKQGGNQKETLETIRNYLTTERPRTFEDC IAWARMQFETEFTNKIQQLLYNFPKDSTTSSGTPFWSGPKRAPDALKFDPNNPTHFGF IVAAANLHAFNFNIKPPGHDKAMYMRELENVIVPDFSPDSNVRIQADDREPDPNAGGN EDDDELQALSSNIPAPSTLSGFQLQPVEFEKDDDSNHHIDFITACSNLRAENYKIEPA DRHKTKFIAGKIIPAIATTTALVTGLVGLELYKVVDGKKDIEQYKNGFINLALPFFGF SEPIPSPKVEYTGPQGKVTLDKIWDRFEVDDMTLQELLDTFKERGLDIMMLSSGVSLL YASFHAPSKMKERMGWKLSQLVANISKQPIPEHQKEVIFEMVAEDLAEEDVEVPYIKV RVQ UV8b_03592 MSTSICLLSQSCLKRGGVLPNRLARALRKNIPSFTSLAPASQAT TRNRVHSCGISAKRQISMASSTAKVTDWVHPDDKSGEFKRQVSSFRDWISSEAGAKYP PEKGRYHLYVSYACPWAHRTLITRKLKGLEDIVSFSVVHWHLGQQGWRFVTRDENEPG ENVTPDPNEGHEDFTHLRQVYLETEKNYQGRFTVPVLYDKKTKTIVSNESSEIMRMLG TEFDGFLDETHRSIQLYPEPLRDQIEETNSWTYDLINNGVYKSGFATTSAAYERNVTA LFEALDRAEKHLREQTDGPHYFGKCITEADIRLYVTLIRFDPVYVQHFKCNIRDIRSG YPALHRWMRNLYWKHAAFKETTQFDHIKWHYTRSHTQINPLSITPVGPLPHVLRLDEE VPAASTGQ UV8b_03593 MAVRYSAEVLLHLRESPLCTRPDNLPPVEEWMGPAPEQTRTQGT KTGNERSRASDSSLLDQSVRRPLERHVSRNSTNPDDIVFGPPRTAFSSSRGSKTQEHD KTTRDADGQARGAARNRGDTDGDRFRDTRSNHLRRRGEVDQDNDGWNMVKPRKSFGTE GAERFHGKMGGNFREDRRPPREGNKDDRDGARERPGRAFDSFTRERDAVESDGRSRNG LGRNKTDNWRATEGTDAPPPAPEKRDRDRTKSWRERDRDAEPPSDDRNAGRANDRRWA RDRDHKPEREPEWLDEPAEAREAHTQQDFQKWMEQMKKAKSGSSTVNKPLADATPEAA KPSASTPTPTPAAEPGPDKFFLAFGGGKATSDGATPGEQKESAAAKTKASGKSSRFTS FFSQPQGDSRPRTEACTRLTGPPANEPLPAVAVAPAAAPSGLAALLGPTSSPGAAQGA APEEERQAFKQLLAKLQKASMSATPPGPSPFAAPPAHGNPPDAKNSVLGPAEPFLHYG SSGVQRDGSLGRPPPQLPHQEILSPRPQPQSARPEQLLQDLVGHHQRVSSQGSASRNE PNAARNNSNTEFLMNLMRIAPDAQRQEHGRAPSQPQPQLASQLQKPSGLMPFGDREQE FGGRENRNHVRMQPPPGFPMDEAFHGAADRDARQNHPTQILQRPPPPGLDQMPPNWMS AGGQLPSLQQRGLMLPPPGLAGGMGVPNRNMPLPPMFPPNFPPGAMPPPPDAMAGMPP RSMPPPPPGFFNGPPHGFLPPGLGGFNGPPSGPEGFTGSPFEARGMPPPAGSNGRPAN YGRP UV8b_03594 MGLSVLQEQHDIILQEIRNITQGDWKCLVVDEASRKIIDNVVKD DEILNTNIATIERIEERREPNPDMDAIYLLSAEPHIVDCLLADFERRRYRRGYLVWTS LLEPGLRRKMDEFPGIRQLRASSKTLFVNFYPKESHLITFRDPWSFPILYHPACNNLI PKHMQALAQKIAGVCITLGEYPKVRYYRPDKAFHEASVLCSHLARFVQEELDGYAHWN PDFPPPSNRPQSTLIVTDRSMDLMAPLLHEFTYQAMAHDLLSIKDGDRVTFRTKINAG TAEEQEKDMELSDKDKIWVENRHRHMKDTIDKLMGDFQKFLDQNPHFTNDSRDATSLN AIRDMLAGLPQFQEMKEAYSLHLTMAQECMNIFQRNKLPDLASIEQTMSTGSDEDFRK PKNVLETIVRLLDDGAITPPDRLRLIVMYVLYRGGVIAEDVQKLLIHASLPPQDGEVV ANLELLGGKTSHVLKEPRQPPPPLFPRDRDPRSAQATEEYALSRYEPTLKSMLDALTR GALDQAVFPHVKPPMDPNEDLLVAQGGSLRAGRPNWNWAAAGRRPPENRQRIIVFMAG GATYSESRACYEVSRDKGRDVILATSHMLTPQLFVRQVTDLSRDKRQLDIPMERPKPR APAHLLERPAPPPQPAAAAQPYPPGGDTSDTSLGGRGPRMPPSNAGPGARLPRQGGLA VQPISGPHPVRGPSSAPAAPPSELLSKLHLNPSPASQAASSGGAPSQDGGKAHKDKKR RNFLGMKK UV8b_03595 MKLVVFSTKPYDRRFFEAARETKEYSNIEMVYHEVSLTEDTVPL SQGADGACVFVNDSLSSAVIEKLAGTGVRFILLRCAGFNNVDLDAAEKHGIAVANVPS YSPEAVAEFAVAMIQTLNRNTHRAYNRVREGNFSLDGLLGRTLHGKTVGFVGTGRIGV ATARIMRGFGCRILAHDPFPSAAFEEIGEYKSLEELLPLCDIVSLHCPLMDATRHIIN DDTLGKMKKGAMLVNTSRGGLVDTKAALRALKSKHLGGLALDVYEGEGSLFYDDHSGD IIHDDVLMRLTTFHNVLVCGHQAFFTEEALEEIAKCTFRNAQELAGGGKCTNMLTKGL VLKQQGTLPVRTV UV8b_03596 MAGERTEASPPDLEGAFAKQQETLRSHSFQLVRLQNQIQQLQNK HLQDVEEKADMMAEIHRSRSEIAYLKGRLACLAVEFYSTSTCAATNHHAPSRSFNGSA TDYAQKEPTEEKTDRPCMATVEALRAAVEQMADRLLSEQRPFLMRVLQDFASELDPLL LQLGNGENKAG UV8b_03597 MLLRKTGVIMSKSVCIVGAGPSGLVAAKTLLHDALPGEYKVSVF DVRDGIGGLWPTSRADTSRQIHPLMVANQSKHTMHFSDQAWEPDAPQMPLAWQVGRYL ERYMDRYLTSHPRFGLHLRTKVVRAQPRKPEGAGWDVCLEFDGKSETRHFHLVVVASG YFGKPFVPDGVSLSSSPCITPVIHSSQYRDLKSLLGNSLSARGKILVVGGQMSGVEIA GTIATHLSSAAHSPQEYAVAGIDQCSVHHLVQRPIWVFPSYTTAEPKAPAAPFLPLDF SSYNRTNRSLPLVNTQGHVDEKTAQAVHAIFQASLGTDQSVFSPGLCVDDAAKKEPPY LAVSDWYCDFVRCGLISVSRGKLHSVHGDLAKLTDGSELKDIVAVVVATGFDPSPCLS YLPMSTLKALNHSAEHREQPLALAFHGTHHPDVQGLGFVGFYRSPYWGVMQMQARFLA KYWSSSGLSEALKQKLKTDNSVQKTLDLRGDPRLSQFPMGDYPFLMQEFSEALSIPRA DPSLSGVPNLPHNHLPLDMLTPSRYPSAYGDEDSEKLLQDTVRVAIDGLTGVRFVPRA VFRSLLGTWKLERDVTSRLATHPNGHFSGTAKFLLRAKTPDGCQCAKQGPELGALDDT GLEYLYIEDGEFKTDQGFGFRASRRYIWRYDEESEKLSVWFAKPDDLARADYLFHEIE FQHRNEGGEQGWAAKAGHLCIDDYYDVGYNFAFEAVNLKEWTIDYTVNGPKKDYTIRG KYTR UV8b_03598 MTASHHVLLIGGHGKVAQLLTPLLLKRSWTVTSMIRAREQAPTI QKLGNGLPGKLNVLISSVAEVSSKDHAASILADVKPDYIAWSAGAGGKGGPEATFRID RDAAIHFIRAAADLPSITRFLLVSYTGSRRASASWWPAGEWDEYDAKVNHGILATYYQ AKLAADEALYETCQKSPTLVGICLRPATLTEETAGKVELGKTAHVKGSVSRATVAATA DALLASSGIKNSWLDLQDGDEDLHVAVKRVIKDGVDAAEGETIFGRTT UV8b_03599 MESSDDGSRLSAEHTNTLITIERTGAAMSMAAITVTVVSYAVFR RLRTTPNLFLFFASIANAGASVAAMMGYDGLRMGVNSSLCQTQGLLFEWFMQADPWWS CAMAVNVFLVFFNNANPATFRKYTWIYCVICYGGPMIPSVVLISIRGDPKGPVFGDAA LWCWISPRWSIVRLYAYYIPIWICILLSVLIYIAVGCHVFRRRNQLLHFKIPKYDSIS GSASPLDSGNRNSEDAGSTRPTKSYGRAVTEIHITSGFLGFDFEEGISAPPATHIVPS RACNRSWPTLLNDSELDRRAITAQNFVTTCSSGGQCTDRSTLAGKFGLLASTASMKLR RLDPVKMAYIRTSFIFGFSVLITWIPSSVNRLYSLANEGQVSYPLSIASGCVLPLQGV WNATIFFMTSWSALCDDVKAMSVRMGYGRYDSSRGTNRLASRLGVSSTDTCTEFKVSR CNGVQNCGCENCKSTSLQMVEPSISRDRHFIGSLKPQD UV8b_03600 MATAAGHQQGLAEAASDQGKPASLGNAKPLGDGGVPRSSPTHAS GSGLGLKKPTKPKKPPGGFDKTPLPHAPPGYTVRFGFRYVANLSPGDLSTVSSDAFLT ATLKASNPKRHKEDPDLVHRTRTVRRSLDPEWNDEWVVANVPPSGFTLKCRMYDEDSP DKDDRLGNVTVRVAQLSEQWEGIPPPGKEFEAKKRMISKRAFLLKGVISCLHPRSCAH LTPRLCLTMEVLGKSDPPHAQMYTLGPTTWTKHFSPMIGRLTGTKVYENAEDDQKEPS QEKEPADEDKDKDEDKDKDKDKDKDKDKDNDKDKDKAKSKSQKYDFQANEMQLQGPVP PELYHRYVEFRPIIGSMFLSKGLRGKILNMALHKQHNRIYNFDQSTEWGEFEPCSEQA ALSFLRLAHFDEGGRLFTYVLTLDGVFRFTETGKEFGIDLLSKHTMHSNVATYIACSG EFFVRRLQRPDSCDSPEPKQKVHPTDEISGGPPEEAPPPDPAHYQLVIDNDSGTYRPD KSILPKLKSYLEKNLPGLGVVAMHWEEDELKKLKSAQLATKKKEGRMVNVVMNRSVSS ISSAESELEGRDETWESGKKSKREAAFAAVEDPSTLKKALKEALPKSHGEPSTSSAAA UV8b_03601 MSDPPPLTPLNRVLCPRLNLFPPNTPPNLYADTVAYFTSIPWCA ELLLRPDVDSPDDDSPGPAAPAAIPFIPQCVNPASPQHDQFVGATLASHPRGLEHMLC FFRPRDAAHARDALRPVDRVDALFALGDGLTGYRGLLHGGMAATLVDEAMGTVNEINT ALGKRGLVHERSSVTARLEMEFRRPVRLPAVVRVTSWTEGIDGRKTRVRCELRDGQGV VLATAASVWVALRPSL UV8b_03602 MTLQDPFRDTNVARTEVEDYIRCRLHGFYFDPRDSILPTKHEDE GLFQHLSLKTSKGSSTKHVSSFIWRALFHCVTAWDVLESKGSATFWTRVSCELAAGSI QVTPMILRDLVTVLIKARHRYIIRQRGIYPDFNLQDDISGSSDSPAQRILERVEALED PVSFSGDVFRIPLCSSREEADLRRRLTTFGPVKQISRKSFQLHTSAPQKLYPISELAR GPKTSNLLGLFDQMVRPSFPERIAKATKDPECTPGKRRPIQTPKSAPECRADGGRKRR SQCSPGSPLQKKPCVSRRRDPARQNVTVEGASAASQTAASQTTAYAGAKTGPGLQRPP TISTQRLQ UV8b_03603 MTSTTTDDDQVPLHHRKAFGSGLKRQRVEFVRASASDGGAAPVS AESGPDSGVGDLYASIVLGGRPAPPTRGISPSRPEPRAGAAAAAAAAAASETCAVCNV RVATSAEEHEASLAHQVNVPHSHPPSSLDRSRMGLRALQAQGWDPDARRGLGRRGEGV RYPLTAKPKDGTSGVGAAAPEKRRATDEAAPPRRLSGKELKAAVARDQARAQRLQEHI YGRVDVDAYLGRKMDD UV8b_03604 MSRLRVHAPLSYFPSCRTGVAVANSSTQPSGMVRLMQQSGARTG RKLSGAISTPGVLAEKLRDAARRGRWKPSAEVSIRHRVHLGSSSRTILSGISYNWPRA MPLHLQGRKPGRGLCRYIYKDESLAEGYAANLQGRKHLVDDWRDATLLS UV8b_03605 MEPKDETCNYEDIISPSSNKNLQVQFVLSLMLGLSALLTFCILR PRWPALYAAHKRRLDPHVDLPTLTDSFFGWIPQLYRISEKQVLAASGLDAFVFLAFFK MAIRLFSIMAFFAIVVLGPINMKFRGEGLELPLRPPPDRDSRRTGWQLLGESSSLLGA DLAILKDDDEDKSREMPYLWAYVFFTYFFVALTLYSINWETFRIIDLRQKYLGSQSTI TDRTFRLTGIPKKHRSEQKLKDLIEKLDIGLVDSVTLCRDWEYLDRLISERNKLLRKL EASWAQYIKSRRVDMKQHSDATQTEDVDAVNGQGCGANSDEEAAENARLLSGEPDQSH IYRGDRPQLTLRYGPLLLCSRRVDAIDYYEEKLHRIDEQVVEARKKEYPATDMALVTM DSVASCQMVIQARIDPRPGRFLTKATPSPSDLVWKNTYASRGIRRLKAWAITLFITVL TLLWIFPTAFLASWLSICTIDQFLPRFAAWLSDHPLIKSFFQNSAPTLVVSLLNVSVP YLYDWLSNHQGMVSQGDVELSLISKNFFFTFFNTFFVFAVSRTGFEFWSVLRRFLKDT SLIPKLIAQDVEQLSVFYMSFIMLQGIGLMPFRILEVGSVILFPLYRWFSVTPRDYAE LQKPPTFQYGFYLPTALLVFNLCIIYSVLLKGYEILLFGIIYFGLGYFTFKYMLLYAM DQPQHATGGAWRIICYRIVIGLLVFETVMVGQIASSKAFIQSAAVLPLLPFTIWYSFY FNRRFEPLTKYIALRAIKKGGHGDDEGYSEEAVEEQASDEDVPHFHQQLLRRGSTLDE FKERGLTFVNPSLFTPLQQPWVYKDPPTLPEEESTADGQSSPDEPTVILPNADSSLGI GDDNVWLDSTRRS UV8b_03606 MGLSYTIVPFDDPNKFPSCAKACQPLWDANGGCVPPAIPTADVS TYDSCFCANGKVAPFMNAATGVCDAACPPQGLSSIAHWYSTFCESVRFSGNTPQTSNT GTQTAGATNTPGSGGTSSGSSGSSSSSTGGGDWLSNHWQWVIMLVVLVVGITGIWIGA CVWRRRYLRKKDRQLSLGQKHSGSANIPAWGPAVTGSDFASPPNAPQGRDAAREGLSE KSRKDKHKKKWTVTERT UV8b_03607 MSPGTMTSTKVYLLPLNDDGSPDIVGDYIYIAPPSNDPVILRFA IEGSSSICRHGSLWVNVPETGREFRRDSFREFKLTPDFNRTIEVSVEIHQPGAYAFYT TYAALPDLEPLIANKPSQEPPRLNRTPLYYVDVAPRLRLDGSPLPLPALSIFSVISKF MGRYPSDWKSHLRGISERGYNMIHFTPLQVRGASNSPYSLYDQLDWDPTCFPAGEADV RTLVESLERDYSLLSLTDIVLNHTAHNTQWLQEHPEAGYSLSTAPWLEPAYALDTSLL ELSSNLGPLGLPVDPKSTEDLLLIMEAVKTQVIAEIRLWEYYVLDVERDADAAVEAWV LGKTSAPQNSPEFQSVAEKLQLSGLSEKVAFIRSHGLMGLDRLGERFKKRVDPTIAAA LLSLCLGKYEGESSKSADRAAARAEMVRILDAVNVPFYEEYDGDVKEILEQLYNRIKY VRLDDGGPKLGPINQQNPLIETYFTRLPRNEVTSCHDERNLMLVNNGWVWGGNALVDN AGPDSRAYLRREVIIWGDCVKLRYGSKYEDSPWLWEHMTKYARKLAKFFVGLRIDNCH STPIHVAEYILDEARRVRPDLYVVAELFTGSEETDYVFVKRLGLSSLIREAMQAWSTA ELSRLVHRHGGRPIGSFEIDEISRGEPTSSSKLEEKGDLTREVIRRIKPTPVHALFMD CTHDNETPAQKRDARDTLPNAALVSMCASATGSVMGYDEIYPKLVDLVNETRLYSSES SRSTHVKIGRGKNGIAGVKKLLNQIHTLMGKDGYDETHIHHEDEYITVHRVHPKSRKG YFLVAHTAFPGNGSGNGALNPVHLSGTKARHLGSWSLEVDASKDATERALNNDQFLVG LPSQVTDISGVNLDVNGDDTVITVQKSFPPGSIALLETWIPAAEHSAGLDTYVTSGAK AAWSQLSLIDLNFLLYRCEAEELDSNGGRDGTYDIPGHGKLVYAGLQGWWSILKDIIR ENNLAHPLCQNLRDGPWALDYILGRVVRMSETEGSEQLAGPATWLRERFDAVRSIPNF LLPRYFGLLLRTAHNASRERALELMGEDIRKGQWFLQSLALVSVQQTGHVKSASLWPT KAVPSLAAGLPHFAVEWARCWGRDVFISMRGLYLGTGRFAEAKEHILAFASVLKHGMI PNLLSSGDAPRYNSRDSIWFFLQTIQDYVRLSPEGASVLKSTIKRRFLPYDDTWFPAS DSRAYSTESTLEDVIQEALQRHASGMKYREANAGPQIDSQMKEEGFNQKIHVDWDTGI IFGGNQFNCGTWMDKMGESEKAGSKGIPATPRDGAAIEITGLLYSTLTWLSAMNQQGE YAYSSVKTSGGETVTLQDWAGRIKSNFERCYYVPSLPGEDSQYDVNSGIVNRRGIYKD LYRSGKEYEDYQLRPNFAIAMTVAPDLFDPDHAMHALCLADSVLRGPTGMATLDPGDL NYRPYYRNSEDSDDFATSKGRNYHQGPEWLWPTGFFLRALLKFDLKRRTTSEGRVEAF QQVTRRLGGCEVMIQENEWAGLTELTQKNGEHCPDSSPTQAWSAGCLIDLYMDGVEEQ LKEQKA UV8b_03608 MTCAGFLGGYEKKHKVTVVGSGNWGSTIAKIVAENTKQHHHVFE EQVQMWVYEEDVVIGAGSKHFDASVGDKPQKLTAIINNHHENVKYLPGITLPSNIIAN PSLVDAVKDSSILIFNLPHQFIANVCKQIRGHILPFARGISCIKGVNVTDDDVSLFSE WIGDGLNIYCGALSGANIASEIAAEKWSETTIAYDPPRMDNSRNPSPRSGSPAPNPGI TVTDLQDVSHRDCRGRASKTKLTALPAEYPPLDHDCFSALFHRPYFHVQMVSDVAGVS LGGALKNIVALAAGFVDGRGWGDNAKAAIMRIGLMEMVKFGKEFFGETVQSQTFTETS AGVADLITSSSGGRNFRCAKKAVEQGIAVEEVERKDLNGQKLQGTTTAFEVNSFLKAR GLEKEYPLFTAVNDILLGKKDVNDIPSLVATATDGA UV8b_03609 MSVPVQHSTATAALAKADVSSRQPLKGTYPSACSVPVTSSERLA LASPDNITAPRLSEADTVLYLAYGSNMCAKTFLGVRGIRPLSQVNVLAPSIRLIFDLP GLPYREPCFANVAYRVPESEHEHGKSVHQDMEWNGGLIGVVYEITQEDYRTIMRTEGA GSSYKEIVVSCYPIAPREACPKEGQISFLAKTLYGAYSIEETKRPSKKNWWQRLLHGR QRPDPNYAQPSLRYLNLLRIGAEEHNLPASYRDYLDSLHPYVVTRWTQTIGQYVFIVA WAPFFVFFFLVMPRSRLLDEDTGKLPSWLARGVAIMFKAMWMSYDMVFKPVFGDGERT EGDIAVQKRLAKSDSPR UV8b_03610 MPRKRRLYQLTRITELDTTPTRCPGSPALSTQPPDGDNESSRRE DITETGDVEDFDDGIDDRDLFQFAETRKASSSPNNRNFPWSETSSAIRRSSSPSSCGQ SKEIFGYSAADTALSNSTPQPECLPSPQVRIDQRAVLEHQEQLPPFIDFSETATTIYQ SSPSATSRISKKQGHSQRFDHHSAETENEQDEAKGQEIDLGEAALLQTLPESANRAKL PANPALFLDSSKELQEVGGVGLVGSQIDSGIAHVDYSPLTQFVSLDGASDHLDGNDTE NPGESVYKTSKLKIKRKQRSKTPLQFDEETHQVKLVPQKTVQKSHKQGAKSNISTNTA SRDKLQAEPRKSNNSNFEVEENSLPLKQAYPAMADNYSTELQDVQTCLNTVPNTSSPK GYEDDRMDHDLECQGKEGEQETRPCIVLETPRISTGMVTQQTVQISDSQKIGGQNGFV DQDPHGDPEREKLLNGVQVGNECVQSEVKEDTDATSKCLTASPHKDDDCFRPERPAFG TDCRQGDEEPLAVQANTSYDRSYSAVKRSSQSFHVNERGSPTVIPRQNREIRTPGAGE GPRLLRMKQQQQLPSIHPALYGCQSSRCKSPAGNGASNEESEQTKFVQEGEIRRLDDE PVMVPIHRQNAAHFFLSEVRRDSKKRRVTNGQFNPDALTPSERGRQQVQGLLNVAMDH FEAKGISVVKVADTYTAVGKRCVDKIQSRYIKERHAMVDVAREHTQKFQKTVSDSIQL IGKRSEQRRRSLTDWRHSISRRKTSYLQAISAMKDAHKEFVTLGEGEQK UV8b_03611 MPFRQRMARHFGDSLSPEKAYLSYYDVLLTVEDVKSLKNDWLTD NNIAFWEEYLERETLPRYPQARIVLLRPSMTFLLMKEPDIRHVRAALPDFSKVTHVFL PINDNRNVGVAEGGSHWSLLLVSILDSIAFHYDSLGGANYAEANLATRRMGEICGRSL RFVNLDESPQQENGSDCGVFVCLLMRHLLVKRLLSANAREKVSMSMAGKMVDSNGGRK EMLRIIESLRKEGERRRRSASPFTTKTPPRIE UV8b_03612 MASSRHRCGQTLFGPRLVPMSSSRRTLHKGAIDASRDRGDSEQQ SRPAWTSDPAFNRLFKT UV8b_03613 MAPVPPPPPSRAPSARALRMQRVTGQIHPLLPAKVFRAGAHVTD DFLSSKRDKRLVRHSSFVSRITSSRVAKTKRRRPQNKLKTSLDDLADALPELASGEGG EEALAGKIKHKSLRSKKGALKRKEKVVRGEMERFGVSMARLTGLSGAATMASTNEAGP APKPIVRQGSHDEGGHAMASTTEQSGAAAAGTAAGTAAGTAAGIAAGIAAPGGSSSSR WAALRGYISATMEQNPAFDSSSGGAGGSSRK UV8b_03614 MDDNIDRLREEIAQREAELAGLKSRLAAAEQSRQQQQQQQQQHH HHHHRQHVEPWKWPLEALEYQRYGRQMIVPKFGLEAQLRLKKARVLLVGAGGLGCPAA AYLAGSGVGALGLVDGDTVELSNLHRQVAHSTSRVGMSKTESAIAFLRDLNAGVTYEG HGVHLTADNAEDIVSRYDLVLDCTDRPASRYLISDICVLLGKPLISASAFQTSGQLIV LNSPPGRGPCYRCVFPKPPPPETVVGCGEGGIIGPVVGVMGVLQALEAVKLICRGGPE AGLEASPDEERHHHQQQQQQHTMLLFSGMADHCPFRSVRMRGKRQGCFSCSENPQLTA DYLKTAMDYVQFCGSTRPVQLLPRQERISAQEYRLLSERGTKHVLLDVREREHFHLAH IPGSINVPMSRFTSLGSRDALPEEFPRDLPAHVPVYVVCRVGNDSQVAARRLKELGLS RNGERFVGDIVGGLRSWKDTVDGSLPFL UV8b_03615 MSSSIKSLALRLPLTAAGQPRTAVLKGCCSSASMSTTARPSSRL GKATAPWHRNSNTNATAVLSAGSSGGLASPARLACRLLPAARPTPAVSVAATQARCFA SSAASSKSTLDWDSFFKLRLRRRRLQLLFSVTTGVLGGAGGAVLLSTGLAEPVVMQIP LDPFVTLGLMTLACAGMGWLAGPSIGNQVFYLMNHRFKTQMMQKESEFFARVKKNRVD PTNSSAGNPVPDFYGEKIQSVSGYRQWLKDQRAFNKKKTRAFV UV8b_03616 MESIVLSDSSDDGLDTRNPPASARTSTRLATAAAAAAAAAASGF VVEIRPRPRYVAGCGPALQPLRLLPPAVSTAYIIERLLLPSPGLAVDGKPLPERMTYL VGWKDLPAASLLVPAMQILDYVSPRTLEEWEFAFEAELEEERTRIADADVNGASDLGW KSNFTDRPPPTNASSESSTAAVVFEEPGVVGRPGGESLSLSTPRKRRLAEFEDLSDDD SSVSAQISSQLHGHDGQQGYFDESDAPPGSIMVDRRRLLNIMEESNGASAGTLCRVIT PVPYPSYVTGLLDKRGASSSTLPVPLAAKPSMGHGLDVSLAAYQQRSMRGAPFPSAEP GVGNYANPSSSSGNTSASATPDPGLAHLSGQQGQYPPPAKEVDDKQPDWEVEGLESMA LYDVEGRGIVRYFLVRWAGEWPPDQNPTWEPEEHIPPDLVRRFLKLTKKQRSRLASKR RSMQPAAVKKERTARRRYTSVSDAFKGDWKEETAETDGARERGAFEHSHELDDEVFVV GH UV8b_03617 MDKEDNHQTTGDLGAYCSSSSDDGVRDIAPPGDQQGLDDDKHDH LPRGRSDFLTYHRDMARMDHPEICVPISDQGACLGPQSRRVILDNLSLDATPAQVLKG IRGYGGIVSVLVTRCPSVKRKSAKAALVEFVYPEAAAEYADCVHKRPLIYTARDNVGH SPTAYLVPTPSWFHSQLSRHLLENGVTRSLCLPNFPLDAVWFLICAVGHGSVTSTLLD QENNLTLEFSSLFDAARAARIVRLDHVHIGYGAGKNNVHYRPDSSQGNMEDVYRTTDG VIGYIDSQFLHQAWNKAPYNLYRPGCFSNREPTPPTSRLKQTKDDILAEHFNIDADEV CDFLQEREHFQSTTYKIVGSTISLTRHKYSWSISSKDSIKLLMANTLHHPDWEKNWDT YFHSCGLINLRRWEQYGSMAKHRREVASAQGLKAGTVPLCDGCEWRCQQIKSTPVPGL VKEWCATRPSTEP UV8b_03618 MSRPRSWNFNIDHYLNPFVPSPPWKYLPYPLTWFLGYRKTKPQE TGNLVAVFWAFIGVFASLVLIQAVSTRVPSFESHGAPIIVGSFGAAAVLEFYTIEAPL AQPRNAIVGQLIATVIGIAVAKLFQLNHEFERVRWVAGALACALATALMALTKTVHPP AGATALLAVVDTTLVRIGWFLLPVVMLGCALMLGVALLVNNIQRRFPIYWWTPDNLGR TEPILQRPTSDEVKEHAVDQDDAMESSLPPAGSSAIVGTAGHTDSHPELQARDSGPRF NSGLQHPQEVVVIKRGVVLVPGHMYLTQEEAQLLETMSLRL UV8b_03619 MSSTRLTRGVPSRQVPMRVIVHGVHRTGSRSMQTALHQLGLHNC YHMSAVISNLPLDADLWTKALEAKYAGKGDKWTREDWDRLLGESQACVDLPSALFTLE LAEAYPEAKIVVLNRDPEKWYDSVCETVYKAMQPDSLLGNLLSIYCAVLDDNHRAFIR LLTAMRKYAMPYDHGKEKEKAIEWFHKRYQDVRDAIPAHRRLEFSVKDGFRPLCEYLG VPVPMIKDGKTGEMVEAPFPHVNDRASFVENMDTRNSTALSRANGQLFTYVSKAFTLG VLSYGGYYAWGKYLAGRL UV8b_03620 MAPNTSSDNANAHDANDDNHNNNNVNDPSTSKTPNPKPKKRVVW YSSSQDEPSTGTEEDTTASSTVSKGIASALSTADSGVPQDSNQLPEIAIHSSSTGKNV KETTSARGVGLPPPAINLQDLSTSAPSSPGPFLTPPGAPDAGELRMALNLILNEEEGR RQEQLPPCQPTPRTPRPALRRNTSYNVPEEREKADQAEHQATERQLRSMNDARQRADQ LAMSVGSYSAPGSRRNSREVSLLQPLMKDLCNPGHASKDDAADAWGPHDQVRGRTPYS RRQPLLRENSVAEETVAKAPAQMGNSHQDEPGSSGTTTPVPPHGYGDGYVPPPPTYRG GILSSLLKLHQHGRTASSGSNISGPPTPLSYSTPVMSPRSSPPSSRSPSAAGGNRSRF AGGLFSNLRGRHSTTELIKSSSMLAAPVSGDMSDVWIEPLKREKMEKTPKSKKEQIRI TIHIAGIIARHRYLLKLCRALMLYGAPTHRLEEYMTMSSRVLEIEGQFLYIPSCMIIS FDDSATHTAEVKLVRVRQGINLGKLRDVHNIYKDVVHDKLGVEEATKRLDEVMNRKPK FHVWFQVAMYGIASVCVAPFAFEGRFIDLPMAFMLGCIVGLLQLVLAPSNELYAHVFE VSAAVITSFLSRGLGSIKNGQLFCFSTLAQSSIALILPGYMVLCSSLELQSNNIVAGS VRMVHAMIYTLFLGYGITIGTSLYGMLDKNATSDTHCQNPLHRGWYFLFVPGFTLCLC IINQAKWKQTPVMTAMALAGFCVNSYSSEHFEGNSQISNMLGALTVGILANLYSRLGR HVENAWLDLVDWWESRLRPKFAKRRDPGPDSWPTACPSDPEAGPGMPEKPCRQPRKIG YSLAAAAMLPAIFVQVPSGLAAGGSLLAGVTSADQITGNSTASPGAGSAKSVSNLDVT PFNVLFSVIQVAIGISVGLFLSALIVYPLGKRRSGLFSF UV8b_03621 MSLYLPLYQRAHGPAPVAEHFTHDQIKTMVALERVGGFVSLVAV VFIFLAYGLVRSARNVQNTFIVFASVSNVGASIASIIALDGLEQGKGSPLCQAQSFLF EMFMQSDPWWSLAMAINVLLVFYFRKSPGSFHRWWWAYCLVCYGGPFFIALALLLVRS PERGPVYGDATIWCWVDAKWDSIRIYTYYLLICTCIAGSFICYLLVGYHVFRSRNRLR SFSATRSREEGRNDRLPSDDQDRPDGFYGTVTTEVQVVHSVVDDVPRPEAAHPSSRDK QIPFQPCPPGRPTPVAQSFSTVSATPRRRDSQASGALRRVAAAASSAASKFVVEDPVK RAYLRTSLLFAMSVLATWIPSSLNRIHGWLAGQSPYEYHVATAAVLPLQGLWNCVIFY MTSWNLIRSHVLGRLGTWSRPTRENGGMAMQDEAVVAPEDTSPPRSCEGIPPRWEAML N UV8b_03622 MSENEKSSQIDKGAGDISSNSDLGPLVDPDAGLSEAERKEVERK LLWRLDLALIPWLCLLYLICFLDRTNIGNAKIAHLEKDLGMDPKSMKYAATLIIFFVS YALFEALANFLLKRWRPSKFIPTIMIIWGLCMLGMGFVKNWSGLMAARWFLGVAEAGL FPGVNYYLSCWYKRNEFGVRAAIFFSAAALAGSFGGLLAAAIQKMDGLRGIAGWAWIF IIEGALTMAVGFFSFFMVHDFPDEAKFLTTEDRARVLLRLRNDKQHSAQHEEFRWDYV WAAVTDWKTYVGMLIYMGPLMPLYSFSVFLPTIIQSMSFTSSDQIVRNQLLSVPPYAA AAVLTVLVGFWSDRSQRRGVFIMGCAVVGVVGFVMLIASTNAAVQYAGTFFGAMGIYP PISLTIAWVANNVEGVYKRGMVLAFVIGWGNLNGVVSSVIYRWPPRYFQGHGTIIAYL VVCMFGGSLLFTTLLRMENKKRRNGERDHWIEGKSEADIQALGDKRPDFLYTI UV8b_03623 MRSRRLLSAWTAMVLVQASAPDITFKCSHMPEVCTNMCWAMRCA SPTFPQTLTWDDTDMTYAFDERVTAAGCKIADNKCQERPDEPGYLGGMFVMCSIYPFV TTRESAQSNGHAVSRCVRVSRNVLKESMLRRLYRRWKEQGYRSHRLAVGIANPGAKGV NYCLNEPCINDGFQVQDGMIKVDRVDKTYGRRSEPPPSFRFFKTAAGVVVASMEKQEM GANFTRTAYDEEDEEGDGVLRGLDSWVEEVDGGQVRLVSDVMLEEVTALQAAQAAQY UV8b_03624 MDQYFTYEARLASFQKSSKKRASTAGGRSKVLNWPHKQIPPASL AKAGFYFEPYPENPDNCICFLCGKGLDGWETGDDPLEEHLRHAPRCGWAIAAAIEADI DEYAKQDPSLPDMVDARRATFAGRWPHDGKKGWKCQTKQLVEAGWKYTPTDESDDMAT CAYCQLALDGWEPGDKPYDEHINRSPNCAFFALLNHFGGGNKKKTTRTKAARASKASR LSAQSVATAASDIASVADMTAEPDDSVLTAASTMTQGAKKGTERGKKATARKAAKPRP KKPQAIDEDGAHSMAKEEVAAEPKSTKPPRGKKRASDAMDDSAVLLSEGPSTKRQATA TRDSSHADRSTVTPQDIELTNTSKATARIRSSSSQPNRKGSSLTASMASLRAPATDFP DDDEIERQLEADLERFTTDDEIARDSETEQPMEKPGMVRAKPMTFDSRNYAMFDPAEP DLDEEAIDDELRNLQAEMEVAEPEQEIHVPKKGRKKGTGARKASKQANTTRIKAPSPP CEPEDLEQPGPCAHEASGAGKEEENEMSVGSTDTVVKKTETAPSCEEEGRRRQPTGSP PLASRASSQPADAPLKRGRGRPPKMAANKVESDKPPELREDACDSLQVAIHEDVAEAQ AAEVATEPRQSPSLGQTSSPILSRSRSVVPEQPSTPTQVISPAPSARLASQSPSPSPQ SSDAENLPPSSVVLASARPKRVVLAPVAATPMRTSPSKRNMLAAGLQSQTPWKAVDLD AVLGTPHDMADKENAVARLIKQGKELSSPEKGMTVEEWIYFNAGEAENKLKHECEAMV TLFEEQGAKAMSALEGLSLE UV8b_03625 MATETAARKFFTSPFYAVVGASSNPAKFGHKVHAWYLHHAMDVT PVNPGSSAVTVGGKDYPTVPNLSSLPKPRQTSVSIITAPPVTLKILEEAKELGIRAIW LQPGTYDGAVLNLALEDGTFESVVYGAGGRGHDGWCILVDGEKAMNDAGKL UV8b_03626 MSLFSKATFSAAGYAAARPAYPASLFKTILAYHNVQFASGKLID IGCGHGLIARAMSPHFSEVVAVDMSPGMLKQAASMTDDPKITFRQASAEDLSFVPDSS VDMIVSGQAAHWFDYNKAWPELRRVLKPGGSLAFWGYKDSVFVGHEKANTIFLNFCYA PEDVEPGMEGMKAYWEQPGRDRLRSLLQQVVPPENEWGNVRRALYDIDPGCSEMPDSE TAWMQMRINLGQLEAYVRTFSAFQGWRDAHPELKSRAEGGSGDLADILMERIVESEPE WKRLGDGWRDAPVDTVWGTYILMAKKK UV8b_03627 MSSNQYDSQASTNYKEAFALFDKRGNGRVTADSLGDLLRACGQN PTLAEIKDLEKSAGSDFDFESFQRILNRPGGFRDPGEPEEYCRGFQVFDKDMTGYIGV GQLKYILTNLGEKMSEEEVDELLKAVDTSSGQVNYTDLVRTILAN UV8b_03628 MAGPLHQNPPQPPPLFTDTAQSVLDTVERNNAVTKEVWDKVVAE VKPEDAVFANVLEPSLASANRLAVSNHVATFYQHVSASKELREASTKAQETASAFGIE VKMREDVFRLVNASYASRESQGLDGESLHLLQKERQSYIRNGLLLPAGAQRDRFKEIQ KRLSTLCIQSQKNLNDEVGGVWFTPEQLEGVPAEDLDIGQLDKGAGENEGKVKVTFKY THYFPLVKYAVREDTRRAYTIAESNKVNENVPLFREIVALRDEAARLLGYADHASLVI SDKMAKSTGRVMDFLGDLRNRLAPGGAQEAGRLLEYKKKDCEARGAPFDGNLYMWDVP FYSRIVKEKEYSVDEADISQYFPVQSTYQGMIKIFEQIFGFVFVELGSQDRARLSPTG KPEDLTWHEDVILYSVWNDEAAGGDFVGYFYLDLHPRDNKYGHNANFNVEPGYVKEDG TRNYPATALVCNFSKPSATKPALLKHHEVVTLFHEIGHGIHDLAAVTRYSYFHGTNVA GDFVEAPSQMLENWCWTPSVLKSLSRRWDTGDKIPDDMIENLVKTKNFNSATSNLSQL LYGLFDMIIHTPKTHDEAKNMPIARVWNELRRDITGIKGPEEQGQGYEWGNRFATIGH YTGGYDAGYYGYLYSQVFSTDMFHTFFKQDPMDGKEGRRYRKLVLEKGGSQEELDTLR GFLGREPSTEAFYKDLGLA UV8b_03629 MRIEASTKLLVAGVAAAMALETEAAGLVERSQTRFTQFPDKVLK RCDECCPITIRTIMTTILSTLYTTIYQDRTTYVTAVEHDISTQIEYTTKYITTTATQA YTMSFPVTVTKTIGCTNQPIRRGHDSDAKNKEPALILKERTDGCRTITVTEWATTTAT EFVTEIQSIVATRTLPVTISLLSTVYDYTTAWVSTTHVFTTTVVSERPVTITKDNTIY ATTSTTIYTTLVTTVTTSYPVVSSVPRTATQTVTASDAESVTVSKPADQTVTVSAQPS GITLPGLTPTLPGSTVLTTVTKTLPPSAITVTQERETTTVTQPASTVTEVRTEIRTET LPPSIESLPASTVTMTPILHVSLCPAPTGAAAPLQPDSDLTFGCKPGYVCDPPKPYGC NLWPDPPADNFLCNPRHCVPSPSFTKVTWDQCETSYYPPSNGYFNLNPEAFGLSYDIF QYNIYQQVVDGRTATVTTGNWDSQASLTQWPRQTTQPPLAMRRRTAPEKDERKGCRSY KRGITPSICFDDCNNAYIIALAIGKTDKLCEEHSSFQDSYSSCAQCVLANANNASRAI MDYVRPEFAQFLNFCNGKSPISPSSAAFEAPQPIVTQLPAFKTRTQADTRGPGFTAVS SRLRASPSQVSYSNPASSFSTAAVSSARLPTSPRQQSTAPATHPGKVAALSSSAAVTS NAITTEASADPVTFEAGTSADASTTTTSPVTSSSRNMDAGSSQTPARTPIQATPATGV TESRRPGTAATYPSVNVTSQSLVSAVPTASACRISGHHLVSFAFVTALSSLMF UV8b_03630 MTLQLLSVLAIFSASFNPAAAQFSNWQNGQINTSICVWYQPRAA LVRDTVYLDGGTIWWTPGLSNGQHSEPVNEGNYQGNLLSYNLSVPLSRETNVTGILMA DMMSKARGGTGNGISTEPNYYDGAMLANDHEFFLYGGAVFRNDQLYDPPPANAVLGYR RYQYGPDKPLWQKGFSAGHLDDGVTRYVAYGGAVNAPSENKAWYFSGLSSPTRGPIIQ NTGTDGSTRAMNVSNTLVGLDMAAQLEEKWSNTTLPSMVHGRANPEVVWVPVGKQGIL LVLGGVLNPEWATATHKSENETESRLSGQDFMRVIDIYDVASKEWHQQPTSGRGPGSR TRGCAVVAPASDFSSFNIYYYGGFDGINPTNDFSDEVWALSLPSFTWTLINKGTTVHA RSGHKCFMPYPDQMMVFGGYTRQSGDSITCLDQGPVVLFNLTSGKWMDSYSPARYADY GVHQDIVKLIGGNAAGSASATSPVPSGWSTSELRDVFAIPYDRNKLTRYWPYNTSSPP VATSTAAPGGSGNFERSLTIVIPAVLVPSVFLFGVGVALWHLRFRRKREAKRHDGLGD ASTTLSSSRNVSLWLWGHKSSKQLTSTESHAAMTAASPDPDKSRRSMEPNAGDKPPPA ELCEMEDTQVSELCDTSSPVELHDTGLAPLNSFERKLNLAESDPFNPSLNLGHGSLRN AALRSQTEAAANYRHWAESPDVDSAHQSSGRIKKKESRGVFGIEELREAVPEVVQMET PISPTLPIDRYYGEA UV8b_03631 MPNELEELVGFIAHPNPQIRLAAIENLVPSSTAEPAIFKSEGLK PVKHLKLLVRDHPKIANHVLTILINLSGDSDVLKDIVEDGKFISVALDLIVGQEEPNS DLLAMLLANIAKHDSFRTVIERKQQPPDALGSDDSVMNQLMDLFVKGQDGAYNKNADF DYLAYVFADLARHSEVRQYFVTPQEYDGAIPLTKIRVFTEHKSDVRRKGVASTIKNVA FDIPSHPSLLSEHKINLLPYILLPITGNEEYDVEDTMEMLPDLQLLSPDKQRDPDSQN IQTHVETLTLLTTTREGRDLMRKVKVYPIIKETHLRVDDEGVREACERLVQVIMRDEE GQERAAGEDERIQEIIDEEDEIVEV UV8b_03632 MPKATTPKADRPARRHNPLENDILATGLLRTKPSKKKSKNNDQA DENFVDSKSSKKILRIGRELAEEASADRASSNPEPTIDSFGYSSRFEDEFGPDEGKSY DDDDGTWGDDDEVVEEVEVDPEDLEMYNKFMPDEEDDLLKHGWDLKPSGDTAEVGESR NLADLIMAKISAHEAAEARKDAGLPAVQDVELPPKVVEVYTKIGQILSRYKSGPLPKP FKILPTIPHWEDILELTRPEAWTPNAVFQATRIFVSHKPIIVQRYLEMVVLERVREDI YENKKLNVHLFNSLKKALYKPAAFFKGFLFPLIGSGTCTLREAHIISAVLARISIPVL HSAAALKGLCDIAAQEASQGSEGGGATNIFIKTLLEKKYALPYQVIDALVFHFLRFRN EDAASAQQGDAMTGLAGEENMKTKLPVIWHQSLLAFAQRYKGDVTEDQREALLDLLLS HGHTGIGPEVRRELLAGRGRGVPLEPQGVALDGDDTMLIDS UV8b_03633 MILVIASETVLCSKSPYFASEPPYFRDYMTDSLIQRAMATSPAV TAASEIKSLKASLFTNRLLPASAWIRHAHLERDTNSETDGRDASHASAAASGPSPLSK FNSPSPRQVTSPYLSESAHVLSLQNPIAIAETGETNGSVAAVPLADEGAAGEEGKQGS SQTIVKPQGSRSRSFAQLNHSNKSSANETIHIQNKSESQLSGIGHSKLKLATKVLKRP QTLDQRKYRSQHSLLKRRRGSGCTIKSTAEPLTLSTSASSPEEKLFE UV8b_03634 MAPSTPQPQAQRHQYEHPTSSHAKKRRKGPLPIEPITAFYIFFA AGIVAALFAPIQDCDETFNYWEPAHYLSHGYGLQTWEYSPDYAIRSWLYVGLHALVAN IRRLLPQSSKVSELYFVRYGLAFVCAVCQTVFFKAIGLTMNGRIAMFFLIVTVVSPGN FHASASFLPSSFAMYMCMLGAASFMNWRGGIKTAHGIFWFAVAGILGWPFASALSAPY LLEELLLVFFGDKDALIEAIVRSFRGVVAALIVLLFDFFINLFFYKKAAVVSWNIVRY NVFSSTGGPDLYGTEPWTFYFKNLALNFNICFVLALLVLPLFLLQKLLAPSGHGFQSG LRTVVFVAPFYMWLAIFTWQPHKEERFMYPVYPFLALNAAISLHMVLAALGSADPKTL AGKIPARLKLLGVSVAALLALDISLARVLGVYSAYSAPLRVYSKLWPSGNGQPGLGRQ EDLVCFGKEWHRFPSSHFLPKNMHAKFVRSEFRGLLPGEFSEARTGFGFWSGTWLPTS GLNDANVEDPGKYVDVRACAFLVDTQYPLRRDPPPPNEPDYISDKDAWEIVQCEPFLD AAKTHVLARTLWVPELAWIPDRFRRKWGRHCLLQRKKAE UV8b_03635 MSGFQIPGLGQAKPNETLPPLPADFLAAASSAGAAETPSASVTE TNADVPAFANTDNPQPSDPMNVDAAPSPPSLTGALEAAIGGLGNQHPDAPEQGPPPTV DVPLANDQGENAEWEMDSSPYESSSESSSSDSSSDESDKEGYELLGIEETARLLMDAE GGSDDEGDRGKGSTTAPLRTKNEMAQQVPPKPDVVITDDMKIEELGSVENVVDNIVLV KAITPGEYQVLDSGSVLCTTERVVIGAVAETIGKVLQPMYTVHFNSAEEIKEVGLEVG VKIFYPVDHALYVFTEPLKNLKGSDASNLHDEEVGDEEMEFSDDEKEAEYKRALKQKK KDKWKSKNEGKSGHDSKEPHPLSREIVSANGGLNYDDDDGPYKPLARPPGFGSGPVST ESFEPGPWPGFRRGGGGGGRGGGGRGGDGRGRSGRGRGPGGRHEGKRGGHGSQARDGY SLPPQKKQPYSNIPAQAHAPQAVAPLPPPAASNFEFQPAQWSQPSGGHGQQHQQQHAP LHQPPPPPPGWPGQPGAPNPFADPAFVAALMSQMQQQQQQQQQQQQQQQQQQQQQQQQ QPYNGPSSAWGNQQRHQQQ UV8b_03636 MKLAPTLLVGSLSIAAASARFLEQTETKTSNVVLNAYEQFLIET APGKTQWVTEEEKWELRRNGQNFMDITGTQNLGAGNLSAKSTVEYPDKCVHQDRVHVL AQRLDKAKMRANLEKFTSFHTRYYNSDHGKKSSEWLLRQVRSIISKAGAKKTVTAKHF HHAWKQSSIIATIPGQTNSTIVIGAHQDSINLWLPVLAAPGADDDGSGTVTILEVFRT LLTSRDLVKGKAVNTIEFHWYSAEEGGLLGSQAIFQKYESSNWDVKAMLQQDMTGYVR GTLDAGLPESVGVVTDYVHPGLTRFIKTVIEQYCNIPWVETKCGYACSDHASASKAGY PSAFVIESAFENSDKHIHTTSDLLEYLSFDHMLEHAKMTTGLAYELAFHNFPKAG UV8b_03637 MALPLPAGLVPSEVSFLCEMELVTVVPRQKLESIDLLTGPTPQL RPPRRSKIPLWFALLLKKQRRANIVPPPWLHADSLRDIIHHETKVDTKGWAPPPPPPS RADSRGNARRLNDPLSDDDAVLSPPFLPSCTADAPSGALPYHWFELAEMLLAHAGDDI VSASEVRSLLRDLQEVRGAKMRSSMAQLENGVDGVMSLRGVGAMELAESRGFVTSVVE GVRKLGASGEAVRREEEEEEEEEEAAAAGGDDDGRSDDDMGL UV8b_03638 MLRLFPSMALSLCRIFKYKRSKKQPLMTSFPITKRKRATRAKFA KVRTGCVTCKKRHVKCDETKPACRNCIKWAGFCGGYEPIHTQPKGAAVKKNLIALPSV DMDVTSSPEELEITLNDYDYFWQMHTPETLSPPTSIDSQTGPLGLYQSYASQPDVPPP FTTVKSTAKFNSSFWDYTLPYLIESNAAIRFANLAVHALVFAKSPDLALDNNQVAQDY YGEALMCYGMALQEIMKATAGRANLREAIVCCIFFVIFETLNEDREASQAHLRSGQKL LGELDPECNEAEDFSAELRNVLRYLGQQAREPGPYGSNPFAADERASVLESLVF UV8b_03639 MAEAAEGAIPGVVPPGSPTDATTAESAGNKFQHAILAWRSVDLT TLTSSLDSTASEIVTYQRDSTIQRKELAQKTKEFRKLDDASKLTEMKGLLKAYQNFID LLTNHSKSVNSAFLRAYTSLSEAPDPYPLLEASVDSMLVAEETVPQLGEENKNLQQNV SMLTSQLEEAESMLQSEQVARKEVEDAFETRVKEAESSWSAVMDEKKDNWEAKERSLM EKLENQERLLNEMRASYEVNQRLGKTGENQDGHGSKASSAELDMLHSDIERTSSRLAE VEARNERLRLELAQAKSTVSSQPEKSLEDDPGYMRVRAENSSLIRKLNASRMEKESMK RDMDSRLRGMKRETVHLQEEKEALKAKVQKWNDYEDIRQELEVLKSIEFSTGDDDDTR ERTDAGKDGGDSLEKLLLSRNKKLGDELTILRVSHQDLQQRLEEMQEEFSKTNAELEK SRVLNQQLEEDLENIHAEGANAFPSGASISGTYVSRAPQTTRKGGRLSPTSSIISGLD GRGGSGEREPVGGGSGILPMVTAQRDRFRKRNIELEQELSGSHRTVQQLRQEVAALQK DNLNLYEKTRYVSTYNRGGAAKATSSSAYSPNPNPSSVSLGPTGNPGIAMDRYRQAYE SKISPFAAFRGRESARAYHRMSLPERAIYSITRMVLASRTSRNLFAAYCVALHLLVFL ALYWLGTADAEKYARGLDSALATAAVPGSGLAAGGTKSNGKQDGFAGH UV8b_03640 MDPAASSEKQLDHEDGPYILRPLLEAVPLCTDGSAEDVKINCVE YYDGNLYIGTSASEVLHFVQMPPDPGEPSGSPVYIPASRLSPVSLDSFSRPSAAGPGV QEIVLLPQISKACVLCNSTAAFYSLPELSPVSGISVVKNCSWIGGLDLNIRPSSATPK DATILLSLKSKIQIVRLADKVLEAPKVTFAGSVLAVRRDSIACVANSRSYALLDIYRQ LTIPLMNISTLETASSEEGGGEAQFGIDPSKETTRLKGHATDTTTSSHSRSQSKNDGM STALPSTARASTSPPQAIHEPVAVVTDKPLPAAPGDEDTFAANETGHGKPLAYTTLNP HIVSPNAEEFLLVIGTRKADPGVGMFVSLDGEPTRATIQFDKYPEYVAIDGINSGLNA PQMRPDEQDDGHVIATFCKDFGSGPRYGMEIQHLNAGNEVNPEKYWLEAKPAYQERPY GLKTLLGGGHVRLDEIVSKLSQKKFYPFPGYRDPGHLETPTSPPKKSESRTATSTERL PRKKESSDGEDFQDDESQPQGWEAARNREGEEFAGRLANFNARLAVWNGNRIWWALPN PLIIQLDTRLDMACSFGDEMHIDRLRVISVLKLIRGRDARTELDHMTLDYLKQKAGLL LLVDSLSSTRTNQSTRDSELKELEQVLSDSKLDPRVALFLFQGMRDDVIEGSCGIWVY SGIKKVAETIVCRIDSEQSTTFGDIDLCVMHFLRRFLLGWRKMKGFGSVPDEKEVFRT IDACLLIVLLELEQRSSKESADGDMVRSELNDLVDGGVDCFDRAVDILVSYRRLFVLS RLYQSRKMSSDVLLTWKRMLEGEEDSMHEFRDGEQRMREYLKKIGSRALVEEYGVWLA NRNPRLGVQVFAEDEGKVPPFEPAKAVATLRSEAPSAVKYYLEHLVFDKGLTSYVHEL LEYYLDLVLGDLESSAAHREAVMAGYEAYRALQTPKPTYPHFLTENAPRECDDDDDVW NSRLRLLQLLGGAHVYDTTVIAERVRSLPGELLVPETVILAGLQHRHDEALHLLVHRL GDYDTAVSYCLCGGAGIYAPHGASRRRDAAPEPEQQRRLFQQVLQEFLRIADASDRVE QTCALLERFGEWFRAEDVLRLVPDTWSVDVLAGFLLGALRRLVRERNESAVRKALNGA ENLRVSYDLVVGTEQQRGPRKH UV8b_03641 MALTSRISLRGSEPSGPEDFLSSSLGVIFPDDVTNQHGDAEHSL IYASPHLPQPLHLELADPEGETDRRLFSHYLWNASLLLAELMERDTLGLHGSGTGDGD AHARGGRDASFCVAGKSVLELGAGTALPSIMAGLLGAQRVAVTDYPAPAVLKTLEGNV GRNVRADRSPAGCHATPASSVVVQGHAWGELTGPRESICQSGRHAFDRVVAADCLWMP WQHQNLQRSISHFLKKTPYARCWVVAGFHTGRDKMKGFFDPDALGSHDLAVDTIWERD CNGQDREWLWDREEDVTNKNRNKKKKKKKMMTTTTTTTTTTTDK UV8b_03642 MTSLMEQPYNSLATQHQLKDDFTCYPEPPQNTFSSSSLDLDAAF PAATASMRFEPSLYTQTTSYLVNHHTSPVAYTDEGDNRLSSSGLSSNSIPSVPSSVVG SPLSNHEQLGISEWSGHPNQCQPGIVGSDYMSSADYFHGGVMDDFHGFDFHTQPKSYV DPSLIHPEMARPPMPMSPFDGHYQHAPAHPFAPSPSISSSPQPNMVRASSTSPFLHSS SFGTGYGASPYGAPLDPSGRRVSTVSFISPGASADYSGDEMKEKQRCTYPECGKVFKD LKAHMLTHQTERPEKCPIQTCEYHIKGFARKYDKNRHTLTHYKGTMVCGFCPGSGSPA EKSFNRADVFKRHLTAVHGVEQTPPNSRKKTPSGMTTGKKLSGYAPDATGKCSTCSKT FSNAQDFYEHLDDCVLRIVQQEDPAEAINAQRLAEVENDKTVHHTLEKNHLPTATPTM RAPDDDEEDDLDMADEEEADDATPRTRSSPPSKKKGNGANGVQKSGGLTHSRGGVSMP TKSRGRKNRRDYPSSWGFDKGQMTMRKRIMAVFDGQRRLAKDDMMLSTDHEVRIKLSD GKSYVTDLDVQTLKRAEGFHGATDEEKGPWVSDDPTEEQLKEMQEMLKTTASSA UV8b_03643 MDLIRQKPSPRNPSSAHETQHCAHPLHQRPSSTILDESLSMADA FRENGLVAADDALTALVEDPQRLHRARARFSESPPSYRSFVSHNSTRSQSPELQSDEQ RRHEKRKWKLIRERRASFPSNQFKAQKSEEIDRLYQEDGSRTSRHPAGTDFYKLAEEN VKKRWVEQGIWNEKWETKNVWRWKHEEPLDSEFESNKDKAARAETRLFCPPLEQRGSK PRRSKSAEELRTIEECRRIRELEREASRPYYQFAYQVSKERERIQEEMSLPETLNFSD LNQMHPNRQQAALQARAERGHTPTEPNVQNSIVSTPPDINTRAYERVKNTWVRRGIWN KKWGILPGMSWKHEQSIEEMLREEMGNDSVPPEVGAVEDRRGPSEVPCRPLFGAYPGE QVTSSFSCSVFNNIHEPATLRAGAERIACPLPAAADGHIDDPLQEACPGSDPVRLSNG DPSLPSIASCSERYQAKRREAGRSVLLSGARRGEREVSVEAGLAPELPRTALGPAVRP SKISKAYRRNRTSPRRQPDGSEMTEVLKLVSVSDIASDPLVDSSVQPRRSRRLQEARR ITDADSSVGRISPVFAAWNEPPPVQISVSVL UV8b_03644 MMLTMRPVPGEELYATLLLSDSYLPGALVLAHSLRDAGTAKRIA VLVTLDSVSADAVSRLKTVFDYVLPVTPIRTRYPGNLYMMNRPDLNSTFTKINLWKQT QCSKIVYIDADVVAYRAPDELFDLPQPFSAAPDIGWPDIYNTGVMVLTPNMGDYYAML AMAERGISFDGADQGLINMHFKQSVNRLSFTYNVTPSGQYQYLPAYRHFQSSISMVHF IGVDKPWFAGRHASCGNAGLRDMVGHWWAVHDRHYRADESTTLDQSSQGQKWQRAAAL GDAVQHHGSGVPLPDSTNVGHQPPLERQAKVITDSSNPHPPPTLYEPATAQNLDQPPC VMVNNWDAQRQSPPVGSKPEAVDFPSVHYDMSRDTTPFVPPIRYPSPPQNMWFEVPKE PPGAWTDGPRRIFPWEDKQPKPARSFISRLSHERPEPSPELEPEDDGHLSSLMVPPRN NSLSDPEHEPSDARAGNNVPEFDAGTPSAGPDSPWTSFSRNNAWDEIPEIGRYVEGLQ RHHHARSRAVAAVRSTLPGPPMGRPEGLRMPRALRVTDFPTGAERPSLPVTPAPIKRP SRWGGDGNNVGGNAEAQVFPAAQGVPAQSEWDPAVQLQKLAEQQSEALLRKLGGGPVV PGARTEYQTPSTPSTPPLLLDSVPRQASPGIVVGSQAMNHGPNCLPDQQVAPEAELAE STLTS UV8b_03645 MPKMRVGWYAGVSTALAGAVIISAFQQRANFYSAMVYLAQSNFC LLVLVNFTLLLYSSFVYGLTKLCYGTLRAVEVEQLTERAWFAITETCLAMTIFREEIG AWFLVMFTTLVTGKVWGWIGDGRVEFLEQQPPANPRLFHLRLSVSLALSFIYDVWILK YTIDTVIRQARPNMMVMFLFEFAVLATCSWRTAARYVLSITEQSIVSVQTRQRLAERR LELSRRREAMVRARDQAAASGHEHNSHQEPIPDEEEDIDEMDIEVPGWAAKGEWVLWL DLVTDMIKLGIYVAFFCMLLRFYGLPIHIMRDLFMTSRDFIKRLNALLRYRRAIREMS KYPDATVVELSQENTCIICREEMRLWDPANQPSAINRARPKKLPCGHVLHLGCLKSWL ERQQVCPTCRSPVAINRAGSGVNRPAGQRFQLDNVAQVPDRHQQPAFNNNGLNGGQPL NNNNNNNGQPAPPRGGGARVFNIGPLRLAFGANAQQAREIVQRIGGGQDERNQTQGPT STPNQNQPQAGDNVQQIGNLLAQTENLIRREVESLQASQQEVQVAHLLMTELQRLRQR RPQQDPSLRSQPAVLPMVNGMVNITLPPAQHFHHLAQGHTHTALASPGHGIPSFGSPF VVRHGPVPNGSAIPAGSPELPHGVTLPPGWSLIPLQRLNGSQTQQPQPQQSMHPAETS ASGELDNPQHAAMDFEPTSVNAMPEDDDRIAGTNVSGDGAVRSILENVATNVSNTTGV APTATPMAAPRPIPPNYEVPSQLLAHQSQHDVPESHVRSSDHLEPTEEQQKSEQLATA GSGSGGGDLVSGDAAQASNELSQDVGGKGKGRAATVEDSYEEDEN UV8b_03646 MAVRTTSSNLINRTMSSLRGFPTYSASRLRPCSLLSQEKLAQSL IPRVFASSAAVQTEPSKDDIRQPRPLQALHLKPLKRQAEFGVPSCDLQLRSFSTQPLE FFADFALRAAYYLGLPAYGPVPLPRLVERWTVPKSHFIFKKAQENFERVTLRRLIQIK DGHPETVQLWLAYLRKHQYYGVGLKANFWEFTQSGLDLEGETGDARMQQLDASWSHLG QKISLGSVSKVEELLNSQRGKQAAGLQRSPAHS UV8b_03647 MCDSSRGQILDLLRQAEERLESETSSVAKAMPPTTRIFPSQVKA YKPQTPCSIRNPHAEPVAGRNKDETAGSDWFNLPKTKATPAFKREWQLLRMRGLLDPK HQKKAMRFTPPEYSQVGTMVAGHGERFGAKRTRREGANTFLNEVIRDVDGQKLQDKYA SIQRQKASGKKSFYQRLTSRRKRRH UV8b_03648 MDSQNQGQQSHTQPNRSAPAYDPSHGGHYGACAALASQGFAPAE LYTGPWANVHQGLTGQYKDILTTYWQQTITHLESDTHDYKIHQLPLARIKKVMKADPE VKMISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALAKSDMFDFLI DIVPREEASSHAKRTAAQAAGPQSVPTAPGQTQMTGQHTNLGQPNHASHPMAPDYMGG HHIPTEQDYRQNPNMYAGQVPAAPPAPYGQTQAPASMYGEMEGMYPYSTMQTQQAPMS SEEFE UV8b_03649 MPRRHAGLDDYASAIPQIILSSTDSDFLDQLIPILKDAATSKRT PILIQCLTRYSYDREADIERIGLTKHEEFLDSVSKLQLVRESTVSLTSEILELNQSIQ ASTEKLAEQKGALVNTKAVRQNIADTTEALKESLKVLHAVNSAHDLVRQKNYYSSLKS LEDLQNEHLVPIIQNRYATQHRLAGLIQQSIPASRKAISEAVMTDLSTWLFRVRETSQ FLGEVAFYHTEMRRTRQRQRVEEDQFMGNFRLNSSIELVCDENQDFDVLNNEELQVNF TPLFEATHIHEALDQIDRFRSEYATTRKQQKDLLLPNSVELLADDESSLSSLLEGIAG FAIIERETLRRLPHLRSDADVEELWESMCSAAINLTARALNDISNAEVLLKIKGVIAL FVQTMEGWGYPVSTLDTFLLTLFDKYAELLKHRFSEDFQEIVSTDDYMPMAINSREEY EKVINVSWFIQAQSIDEVAFPCVLPFSQMYPLCCIDVRNFLNQFYFFSDDHFQHTEVI DETLRKSLDELLTEKVCRSLVERLSSQYLGQIVQILINLEHFEIACQELEQLLIRARS SSAGGPLKLNATEEFRNSKKIAEKRIFELVNSKIDDLIDTAEYDWLAADVTTETSNYM QTLTRYLSNIMNSTLLGLPREIKELIYFDALSHAANKILALPLAPDIKHINSNGVTAL AQDVQYLTEFVSSLENGQMLRENLEELQQTISLMESDNHEEFFDISIRNKKYGRVDAI NGPMLLEKLTPVPQLPGRAAPLSNLSSRFAMMK UV8b_03650 MTAPSSSLDSHVLTALDRIQQDQARILSTVESLAEKIDITGART DSVRPESVIANDSQHNRPYGTDATGIENAQTTIEGEVPQSLAFPGLVPRSNFTSRIVL TTYPKQTGISPLAMDWGNVDPLRRGPVVVSRSPSTIRRRNGPGGSYSVYYALAVASKE LDADHRPDFTNTEPAAQIGPFPQWSDPRKIVAMDPWGHAAPWVFKDIMEKERIDLRPT IAITKAHMRLPELEDSVKSGRLIPDGKVCINHLGELAVTKIAVEPVWYLPGVAERFGI DEATLRRSLFEHTGGSYPELITRGDIKVFLPPIGGLTVYCFGDPEKMSNENVRLSLRI HDECNGSDVFGSDICTCRPYLIFGIEEAVKEAQNGGSGVVIYFRKEGRALGEVTKYLV YNARKRGEDRASDYFLRTENIAGVKDMRFQALMPDILHWLGIKKIDRMLSMSNMKHDA IVGQGIPILERVELPEELIPADSRVEIDAKITAGYFTSGKRLTAEELQSVQGRTWEDI DH UV8b_03651 MAVGKNKRLSKGKKGLKKKTVDPFSRKDWYSIKAPSSFSVRDVG KTLVNRTTGLKNANDALKGRIVEVSLADLQKDEEHSFRKVRLRVDEIQGKNCLTNFHG LDFTSDKLRSLVRKWQTLIEANVTVKTTDDYLIRLFAIGFTKRRPNQIKKTTYAASSQ IRAIRRKMTDIIQREASSCTLTQLTSKLIPEVIGREIEKSTIGIYPLQNVHIRKVKLL KAPKFDLGALMALHGESGTDDQGQKVEREFKERVLAEV UV8b_03652 MAIAAPTISRFRRLLAVDIRASLRWRNHSAHHAPVAAARPLVYD LHEPAQPKGSATDAPILFLHGLFGSKKNNRAISRALARDLKKHVYALDLRNHGESPHD PRHDYHAMAADVATFIQDHDLRDVTIIGHSMGAKTAMAVALGSPDTVSSIVAVDNAPV DAALDGNFPKYIRGMKKIQNANVTRLAEADAILQEFEPSLAIRQFLLGNLYRPAGASA HKFRIPLDILEKSLANMGDFPYKRPEERRFDKPALFVRGTKSRYVADDVLPAIGQFFP MFRLVDIEAGHWLISEQPEAFRQAVVRFLQESEES UV8b_03653 MLSVSGFLTAGLAALALSLVPVAQAQQNHWVKSCKLGIVACDDC GRNIDLAQRVYRPGAGRLFIEGIDLAKLRTFCGKRGIPVGAASFC UV8b_03654 MASSSATPSVAADTGSGTGTGKPDAHSHAEQQTRPAELSNDPAR TGFDPQTKWWINYFNILSGRMTKEGQFHYREWRYRVHEERDCRRCEEYRDWLLAYSPT VRFLSEKIRDLDGKIDASNIVCRRCPSRLEPDGTVHRQAGGFDPNRGLLVCANEMRDR KHMEDTVAHEMVHAWDHLRWKMDWTGDKDLKHAACTEIRATMLSGECRWTREALTRGQ WSVTQQFQNCVRRRATQSVLARPRCKDEAQAAKAVDEVWDSCFSDTRPFDEVYR UV8b_03655 MAARTLETRFERLAVHDENDSGEGTTRLYAKSKTTATSTSTSAA HFPQGSSRTNLYKVALQSQAHSHSTVAAVTLPSQAAQRKANNPASPTRKPLPSTASSA SAAAAAAARASSVEEADDSDTKAAAAAAAALVQQPRGPKQFHLGMFEIGRPLGKGKFG RVYLARERSSGFICALKVLHKNELQSGGVERQVRREIEIQSNLRHPNVLQMFGHFHDN KRVFLILEFAGKGELYKHLRRENRFPEWKAAQYIAQMASALRYLHRKHVIHRDIKPEN ILVGIHGELKISDFGWSVHAPNNRRKTLCGTLDYLPPEMIKSGGSDNYYNEKVDLWSL GVLTYEFLVGEAPFEDTIVMTHRRIARADMTIPSFVSREASDLIKRLLVLDPEKRISL DQVQVHPWIVKHCVKGERATNREKGC UV8b_03656 MTFIRFLHEAIKQIREARNIQVPEDPFELQPWDPVYRWLSRRAR KRLRKYSLKCMIYRLNELNKARTRQQWSQFPDESLETPQGAILDVTPHQEVLDNQPPI LVETGGIRYLPKAFSFFEFPNYRGDELLQCERCGTLCDLNFNIVAAQGRGGGQTGENT QVIPYIYCPLGSFSACQGRSRPEWEAAEKLMFETTKNAALSEQQQYLRLENLVQLRPQ VFNETCIDRTDREIFNAYRAVRLVWLAVLQRRMYHPQDGFDQDFCRSHEKIPLWGDLL TDMTLVGKRLLSRAIIREAYGISWEAADYLRRYQSEWQEELSWINAFAGCRCFMPMDQ AFRSTYAAVCVGLVLDTLVQAEEKLDRKSYDRLQEFDQAKRKARHQFRSLHSTLVGVP AALRAQAREREDFGYIQEGYGEQPSVRLVLASKSRLPIFIGPSLMGLVTANGETGETG ETEVTGETGETGVTGVTGVTEETGETGETGVTGVTGVTGVTGEKEETEETDRSQEEKF UV8b_03657 MDHPDTAEPSPSAARALQVATRSHTQGRFTVSTRKLPISKAGAI DRLTEQIGIPVPEMIFGDNLVSVVHRPTGWSIEFNTPDALDAVDKTGERMLKVAYARD WESTREAQTQKIKQVVRPYDWSYSTRYAGSVRQPCAAATFRPTGDKIPLELLKRRDPI LFFDEVVLYESELDDNGVSVYSAKVRVHDKRMLLLCRLFMRLDNVLVRIRDTRVYVDF ETDRVVREYTVKEAEFEHVKQKLHYSGLLQDDITIGLRDPNQLDPLLSVVEQRVEEVC LAA UV8b_03658 MPRPKRAKRAPPSTELKTMEPVADGSDQQGANRGRSRTTRSMRA RLRSDQQGRAAPKPAGQSRKASSGRAENSRGNSPTQSRNASAERAGSRQAKSTAQSRD ASVGRSEKRRANSTGGPDDPRRSPELNIATPSMRRDTTGLDLADDDVFGNLGDSFADG DIPEAPPSAAPSAASSSATPSHVERRPRSRQSSFVGRSDPPIRPSSRGGNPPGVSSSF NIGVFRRRAREPSILGTARRARSRTGSVAASVNSSRAGSVVWDSELESEAEFAPELES TPLNNRRPSRPSRQPVERLESPPELRRRSLRKRKSRDELEYDKDGPEKMTRVESTGGL DMNSDSELSELSSPSPPPPLPPPPAVSRFPARAVTPMNMDEITAPPASSDSEADHGNL GPDIRSLAKRRRRLSTTTPLRNDGNFSDVSSPPSLTHSPDLVATRGRSANRKHRQSPK ITTADLTNLLPKRRCKRTRDELDLDSEGHDETDEGDEPSYRDARPGRRKGNSRPSSRA GQLGANGVLKARQTVVPVRRSARAAGKTYRHRREDKENEENGSEGEGEGDNSRFQPLP DDTFDTASMAADVQNADELKKATKKFREVDQWELSFEEVAEPPSPQGAR UV8b_03659 MASNPVCLICISSPTRQMAPGVVRPSLLAQRFLSRQAKSPPSHM VLSDRVRRSPGSSFADKRRSRQHSVDGPFAGMNRRVANVPPTPRAHFPRAGTQRPGGR SSTSRWDERRTPDDRKALRMQQILSTVSYGRRNTLKDHMLQYESFDHFDLLPPLKAAV SDELFQNLVDIKPTPVQRLAIPALLGQPAPGEPKQKSRGMRSFLLAAETGSGKTLAYL LPAIDALKTAEAQDADVRAYRERWDAERARRQGAADPKGRPFEEPHPTMARPRVVVLV PSAELAQQVVKVSKALSHVVKFKTEVLSSDLKPQQIQRNMYSPRGVDVVVATPHLLGS MAGSDPNILSRVSHLVVDEADSLLDRSFAPATTSIVERAMPSLETLVCCSATIPRKLN NYLETNYPEMVRITTPNLHAIPRRVQLGVIDVSKDPYRNNKDLACADVLYSIGREAAV HQGPVKGEVDVRRVLVFVNERERTEQVADYLRSKGIDAEALHRDTSAVRHGEVLGTFT SPEPLRITAPEPTSGGRRSLANVKALVVTDLASRGIDTVAVRHVILYDVPHTTIDFIH RLGRAGRMGRRGRGIVLVGNDDRKDVVSEVKNSMFMGQALI UV8b_03660 MFNPFHLDLPNYDDDDDYYYNYYYNDDDDDDDDNNNNNSNKSYQ YHFYKSNSMLLSAVFLVRVALLAAPAAPAARASSGVVLPLYVYPSAKFGDGAARWQPV LDAAISSPSVPWLIVVNPHNGPGLSGQPGDADQNYITGVSKLNAVSNIKTIGYVRTNY CTFSLEDLEGNVTAWSSWSSYRAANLSVHGIFFDESAPDLGYMTEAVGSARRIFGSVP ITTVCNFGTAVAAEYYKICDVVVAFESCLNCSLGPQYKGAETLRANIPAEQRSRGAVI VHHFRGTAFDGSRADSGLVRRYLADAKKGGLGWAYFCSAGYDDVTAAPATVGEVAKGL A UV8b_03661 MSTASATVTVSYRKPGLAPPVFIAGSFSEPQWEPKEMHCVTDEA GERHFTIDVFVKLGQEYQYRFKVGHQDGWLLDQHATIVNDGTAKPCNLLKVPSTSNMG ERAPTPLVEATERGDHLAALDSQPSITASGPKFLLSNVLRDKTNDGEERVETRISQVA AVGAEIDDTAAVLNSSVADRAMETQVDTPLFAHETFGAYEFEDDGAEGDYFGHKARLF ASAPWQHDCMQDADFHDPNLVLFPSDKISVLDALRKIQSSTVYGRSSVDDGQHSAWAT SSRSSFDSFEGNDFTFSPMPTWKRDSGKPQSSFGRPRSAVSLTCIDEEPKTADDASMA MSKHIDLHSRGDLGSR UV8b_03662 MSIPPQLIRVKRKRVDDTPVTFLQFDHGSFKRPRSGSNWAYQRR DSVTQLPRPQGESARPVIHVSHASDAQNPPRGQQHGAAQAASAPAPGLLRPRKYRVSR STLARGGTHSPNPHPSGVSKRNRYGPAVFVESTREEKKTPRARNGAQAAGPLPPPSGV DNHPTTQQPPWQRQLKRPGLANRTRQADFRQQTSATNFPLPDSLVNRHEDQDMDRITD DMNQWVLNELGANLQSMQQDKKPTRFKPKSPAKRYHERHPEPAPRAGTDTTMDRPMRD AGSGDNGGDDDDEDDGDDDDGDDDEWVIDEYVRIPANSVALDASPAEVGLLVLDDEEE SLLFSGSALDDDDELAEDEEDENAENHYAADYPEDEADSDDEYGPHAYLYRHCNNSDE EEYDENLYDDQDEIVLEGGAAAAADDDDDDARMARIKEFMKRSSALR UV8b_03663 MYSTLSDPRERVTTSPVGNIKMGIRALTHADLYTPRRLSDELPH FTLPRPLNHPQTPPLSTSGSPRLEIEGSYSGISEDCSPLRSIARVDSPATAPVRLPSL EEFDLGVEALARSYGPVARPYTPPSPLPSLRKSSLLPPSGPLVQTCASQDGYAQDSYA SYHTSDAYVHGAPPLLDGYPSPPPEGENRHINQKYTTEEGDFIIYAWHDKKLKWQRIR QDFATMFGRTPERTVQGLQAWYYRMNQRIPLWDRDGWLIFENDDDLEPKHISIKCRER DSQDKPMEPLGLAQRYPERAIHYPWVDAELKRKSQDWAAKRAMQYRDRRERRKRKELR RLKL UV8b_03664 MDDCSSISCAYSERSLQVVAPAICTARYSRQHVPDSCALLVAPG PPGSRGQLRAVEAIFVRLLLRLVHTSPTNPPYRGGVPQTANNGLVGQSNFGPDGVASV VSIFLTGLVCLVGIVTALEQQKASHRAKWRASNIEDNGKKKKRERERERERESRKSP UV8b_03665 MVLERIYVVRHGFRSSWTVNPQTGTYSGIPSPTGIPGDPALTSY GIDQSKQLARHLMTLDPKVDKVYSSPFYRCLQTMAPFMELQKQLQLQQAEDNPGHDTR TLTGAPGGPGWGAASAVTTVLPEHGIREWFGSAPFRHPEPAGPEVLKRLFPSYDDSYV STVKPSARGESLEQLQDRVTRALRGIIEQCDADGTRTIVLCSHAAVIILMGRILTGQV PASVDVDDFHAYTCGVSLYSRRKASSATGGRITDDSRHGRDSASSNPDDKADVTGNWD CDLNSDCSFLSGGQERGWRFSGDESFPDTGSLSQTVPDSRL UV8b_03666 MAAVVDLNVAASSPASSGEAQTHSPASPDMNIKGKGRQRLLRGL QRISSSPSLNGLRRSRSVSTPYSSRGSLSCASLAAAGPPKNSPSATSSTPEPIPLGMP NVSACVSPAVTHENLLSQESTRSPVAVRRVLERSATPSTASLPLTVRSNKLDGLAKTK TATTTGSPKPSFSFWGNMPHEIRVQILGHLRTKELVQVSRVSKYFYRFCFDGQLWSSF DASEFYREIPAESLAKIIVSAGPFIRNLNLRGCVQVEHYRRTEVIVKACKNLVNATLE GCRNFQNNTLHTLLRTNEKLVHLNLTGLSAVSNTSCKIVAESCSQLETFNVSWCDKVE ARGIKAVIESCPRLRDLRAGGVRGFDDIPTAESLFHANTLQRLVLCGCVELNDEALQM MMRGPDPELDVLTGQPLSPPRKLRHLDLSRCNRLSSSGVRSIGHVVPELEGLQLSGCR SLTDAALEPILASTPRLTHLELEDLEELTNSLMSEHLAKAPCAESLEHLSISYCEDIG DVGMLPVLQRCRSLKSIDMDNTRISDLSLADAAAMVSRRSKRCFDASQRPRVTLQLAV YDCQNVTWTGIREVLFRNAHIRSSTERPGKVSYPTETIGLKCFYGFQMTVDEHQKRVL RGDFSSAGRLERKWADYMQANEEAGVAGAGYRRRRRRAREAQTLHMTEEDGGIVGRRR ARTMGACSVM UV8b_03667 MLQMHGGQQEHRTDENAGEDRRGRGKLVPPLPLPKNRSTGNLAL SPDPTVDRSRYRMSFEGSSSSIMEYDALARSPHITDHDHGPGITGLRRIRQQHPPSRG PTMPNSAASSRSPSVVALSRSTSVSAMLANSAKPPLSAGPASPSFSEDLSRFPSESLH SFSFAHQSEEFIHNRQTVLKRSLDFIKDRMGWSMNATQAGLASAQARVTGDVDMQNML ELLARAQLIGANNLPNADSVALPPLTGPADVMGDNLFERQFVPQLSRTSTSAPDAAPP TTAQNRTSNPPLVRLQATDGVEVDSLPSVPELDSESRNASNATSRRDGIAPGAKSQAT ARPTSLKRTMTDTLAITLQDKLLDTMAQPFLVEQPLYDEPLISPTTAQPGPPAKAFPS AIVPTAHGHTNRWVPAAQAIFTTEVKPPWTIIAANDLACLVFGVTKAEVRKMGILEVV QEERRAWLERKLLQTDDALPDGSPKRAKPGSPAASAASTLLGTRSGITAHLLSKPNSR SQYSSSHAQRRAQTVHSGDPNPPATAGSGQHGSNVSRGVLLCGDVVPIRKRNGATGSA SLWVKEKPVGLIWVLEEIHEDVAFVSLDEEGSVKNVSGASDVIWGSRAIKSGFDVATL IPRIPRQGIDPAIGEIDFAQIARRRFFTCLNAHQVSTPCTVEQVRGRLELRVSTFPHM AGIIVIDPVDLKIRSSNSAFCGALFGYEKPDGLPVTSLIPDFDKIIDIVTQEDGLQML DGMVVPEQRFRRASAFLALKEHRPDATARFLQPEGLPGKHRDGSELKIDVQMRVVESE KQASFGEETAKEGMDQGAANSDNDSFSVPHKEMVLALWITYSRHLHGHARMSVESPGS SGTTTPRHQPSPGQTPPVHTPIEIASDDDETGKEADRQAAPTLSKQIRNAALSAAAKL TRHPKPAAAAGERPAAPLRAAEPARKAVIEDYVILEDMGQGAYGQVKLARHKTSAKKV VLKYVTKRRILVDTWTRDRKLGTVPLEIHVLDYLRKPEFRHPNIVEMEGFFEDDVNYY IEMAPHGLPGMDLFDYIELRANMEEAECRSIFVQVARAVHFLHTKAMVVHRDIKDENV ILDGEGNIKLIDFGSAAYIKSGPFDVFVGTIDYAAPEVLAGKPYGGKEQDVWALGILL YTIIYKENPFYSIDEIMDRDLRVPFTLSDESIDLIRRMLNRDVKDRFDSTQVVEHGWC KAGD UV8b_03668 MDRPEPGLVKWSPNSSVDSFVHINLQHRVVQLYEPTGHADRKRF DYSKLSRHDDFPTLTTYDWSPTDTSLLAVGTASGIINLLKIDDNSNAYAEIGLKMSRT CQAVAFNTTGLLAVGLDRVRMDQSLHVWDVSRLSAQELPKTGFPSSAPLSVDSYTKLE PSVSVSSLKFFEDSPLTLVAGIKGQGVRIIDLRDPSGNTTFQTKCNNNLAIDYLDQNN FASSALDHPGVMIWDRRATSRPLASRFYKQAVDEDGLPWGGALRLDKVVETDSDPFLT EGKHSLIRSLRYCRDRRGLLAALSPTGQLKVMETNKEIESGGMVPQEGPELLHVHRSH EMDVSYRDNSRRSHRIVSFDWVTLPSAALRPRLLVLRANGEFNILEQPSQVSDHVYKL VPWQAPHRGLEDNAPYHELMQFESAQTLEMLGPLLTEQALSDVSIFGPGKNGISDDIT ETLTEGAPAPVKLEHLGEMSRPLPKMFHDASSIAQKLRSIRAYIRDEQAGQQAQGTGS RDSGSRPNEISLASNNLGSCREIHEALLGTLARVKGLPREAQSVVDHSMLFRAKEKYL FDAATNRNVLSDDPWRGFVWNWVADAEAAAEDGGMLLGNMDLSYLGVYSIWTNDLGKN PLARLAPGTSIPEPAQWERAIGQYCKKQRLAKFDGVATNRPSHRQLCLEMCGWGDDVQ GYDASGVDGEAEADADADADADADADADAGADADADADADTDYPTTTHTMVASRALFR GDIDKAIQILKRASAVHPELLFVSLSLQLLGRGNRQLQLQKKDKVELDDAVASKTDPY LRAISALIATGDWTAVANQRSLPLSDRAVVAFRNFDDDQLTQWLEENVRLAVEEGDIE GIALTGITDRLVDMFAKYVEKFHDVQTATLVLSIAHPRYMDDMRCRAWRNAYRAHLQR HRLFFQRTKFEVESTKRSKRDGVPTLKPPSRQIALRCVYCDAETSLANQGAAPRPPLP GGLETRNPLLATSIGSGISCPNCGRHLPRCVVCLEVVGVSRSDKPEDKERTRVAVAGR FPTFCLRCEHVLHLDHARQWFARHVECPVPECRCRCSFRANPELSYH UV8b_03669 MKRPFANVESQDDDGSRAGSSPFGGPGFNPKRRKQFRTGKNKAR EGSLAFSKKRARNIERLLQRQTNLPANVQNDLERELAAHRATVSDREFQKQRSSMISR YHMVRFFERQKASRLVKQLKRKLEQTPEADDAERLKRQLHVAEVDEAYAIYHPHLEPY NSLYGSTARSKEDKDDDNDGEEGVNQSSVAKAALEAERPPLWRVVEEAMDKGVEALEQ LRERRSADDQMAKTKPRRALGPVSSADADQKTGKQQSGLEARGKMSGQKNGDKVQLNR RERRRLMREAVSCKNGDDDEEGEEEEAGGFFEGL UV8b_03670 MAAGDAPPNVPVPWGKLLQWVQEQLVVEKETDQPAALTRLQLEA ISHLVSFNEEPEVGNQDYVSLLIQKVQILRLSNPVFADELPVSLPVDGHFQFRWRCVC TLAPYGVFPRDGFGFAAGQQAPLFQSKKTAKQFAAKHALAYLSDALQTLTPNLKKRPL QSSQAESPEPSPAGQAQQKKLSLSPGPPSTGGKQSIFEQVSIVVSRLGIDNPAYRIEP DPTGSGLFCGRPVFQNGGRIPSQVGVVSGIASETLAKQRIAELVLAWGEEEFQKRQRL LFKTLWGPATAKNMDKDKDKAAVVDAAQGVPGSGEAAK UV8b_03671 MEIKAQGSTHDAQRGPRQRKSQGRDVDISKALSRLLRHQAENAG INLDKEGFAPLDKVLQWGPLKALGVSVEEVEAIVETNAKKRFALKPIAQGDPASPASY LIRANQGHSLQVDPASLFRSIALGDADFPARVLHGTYFAFWDDIVRSGGLKPMTRGHI HCSEKTLEEGAVSGMRGDAEILVEIDIQASLEEGAAWWRSENGVILTDGGSEGLLSTR FFKKVTGRKAGVGVLWEDGEKRADLPVGLKMVVPAGKRGARGRVRGRGRGRGGGRGGG EERGGRGGTGGVV UV8b_03672 MTESVVLSLGRQHTANAKACGGIGSGSGSGSGSGSGSGSGSGSC SGSWSGSWSGSPSLGRAGPSPGQLDAAATALARRWHGGSSSPGEPPAAASPGAASSET GATTRRLAPWLTRCNKDTCSSTSTSTSTSTGLAGCGSKVNPIHPITHPIVHSIHSIHP IHPIHPIHSIHPVHPVHSVNPVKPIDPVSPQTKEYPAHLRAASPSSASPAPSYPGPGP RQQERPGHGHGHDHGQVQHPRRLSQRQSPQQPQQPQQPQQPTEQPPEQPPEHPRPQRP PQRIPSPTLALPNPLPDQQQQQQQQQQQQQQQRQQGAPPGPDSIANARATARIASTSS TVAIADSEAVDVAKEHDADAARRSPDRELSSLSASSPDSSSLPASLRSRAPSYHLPTH CATFSPPSPQDYCLRNRTVSCPESGPVGVLDTAASNHLISRSFDQFDSIGISASASAP ACAGSPPAPSSQRCQAVAQSSPQPYASPDNLSEGASQRRRALLLPIRLSQSVSPDESR YSTPHRPPISYTFIRNRHSLLHLTSSTPVRVPPIRSLRSSGSRRSLTKETKEMNFTPR PYELGETIATQFSSHSESRYRHDDAPLHHTDLDRQDETGDVFMRIAREEPVHGLRGAS NDDDASSVSGLRRTSHRRPLSTTVAAYHPSSPPRVRRRLSDQQDRPRPKYLEDDQGSE LSRTTTTFRGFTREGAASAHPGEEISRIRSGLVNLRPSPLSARSVVGIDSSQDGSVYA RRRASITESNNTLTTIGGRTVGYKATGLNHGRNYGSSPLVKTVDYQSRVAAEVGHGME GTESTASTTAPSTVWDELDDLKSRIHRLELTGKLPSTSGAAVSRLSDDRPATATTTVT TMSLSPKRQAAGQATEVSSTTACQKEAHPLLHAALFKSKPFLSPEVHQALESAANDAM ALSSMMGAPGQPGPMSGAASTIGAPGTLTDRQLRRKADSVCRSLTELCVALGDGLAQS NAPSTLPVMAQHDGPATPTVLKSWSGLPAPRRPSVAEQGLPKSSSSSSSPRVLSKFEE RRSLMLGGTSVPRTAGSAPTTPVEGNPTRRSSLLIARTRRAAAEEPDLGRTPTVLRSR RAGTEEPEDGRQSSLFFRHRRGTVGEDGEGARFRPPSRAGTDVNMVRGQGRELVSGAH EGTSSPAPSALPRRRFLSTNLHSSRLAGPSASSAASPRRYADRPTGDADMDEGAGGSS LQRQGPPLSKGMVHARASSLSARRSRDNQGLNNTGAATGTYR UV8b_03673 MKCLMYCAAEEKKNTPKKKKISGDFKLTASANLWHANAHVGNSG GREDDLQDAGWRALAPMSRPVARTIRVEEADPPTHPSYVSALATHDILGRH UV8b_03674 MPSAPAHRLYQHHVPSMMSVFDLGPDAMADGDTVTLIDPKIVVV DSNCPDLPDPCQAAPSEPASLDSDQAHSHQDSSSTHMSESTDSSPTTTLYTTDSSPLS DPSSSSLPDSPVNLIPLNNYPSTTFGDPQSTTKTDMLGIPQPRALARPMTSPSPRRPR NMKGLSIQPPLTVTATSKHNGTEPSSPSFIKPTIPAMKRKPSQLSLKTNPSDLIKKTA LEMPASPAMAPIMQRRALKHSSSSPHMFASLNTSSFGPPGGMTFPKVLERNESGLSEV LRPMKPKPIMESEDCIAEEDSPIRTQLANRSEYEPLHESVNNEDQKSPGYPDGPIAMY GDNIYLYLEPTAEEASSFDVVINVAREVGNPFDPVGKPASSLVSAPDSPVPGTAVTSA SFSTAFEYQPREDPPLETPTTPKAQPRPVPEYIHVPWDHSTDIAPDLMQLCETIESRV KNGKKVLVHCQQGASRSASLIIAYGLYQSPELSVNDAYYAAQARSRWISPNMKLMYSL QDFHKDLAKKRQSASSTQRPRIGRSPTKHRHALSADAIDMAPQEPQTAPLPGHDGLSS EMDLDSETGPGKGSAPGLPVISPGPASAPLSYSWKEDDEAAAAAAAASSSSSSSAYPA AQSSSLLPSSSSERVTWWPDSQPPPLAKLPRIASPEDLPRRPKTGFSRLQFDLRGGSN QGWPSLGLRACETPAALPDFQLPNPVKLAPEQRQNDGFPKQSVTIGSPYPEDSALLSP RVETMTNNPLHTVPKVSGLRFINSPPTPTEEPFSPREAAFSPDPFSFFGRMMGFGRPS QVADPRSPPTKGEVPIARSIDELLY UV8b_03675 MARVKQVPVKRASSSEYFSKQTLAWQDGTTKPESMPMPMPMPGQ GRPDAGLVQLVIAVAGIYASFLTWAYLQEKLTTTPHGTPDAPERWHFPVFLNTVQSVF AASVGCVYLVASTPRGSPVPPVIPSRGILAPLALVALTNSLASPFGYASLAHIDYITF LLAKSCKLLPVMLLHVTVFRRRYPLHKYLVVAAVTAGVALFTLHSGKRPSHGGGDKSS SASGSGSSSSSSSVAWGMLLLAFNLLFDGLTNSTQDHIFRAFRPYGGPQMMCANNLMS TLVTGLYLVASPYLVATGLGDWLGMDVAAGGSAGELAAALAFMRRHPAVWKDVLGFAA CGAVGQVFIFYTLSTFSSVLLVTVNVTRKMFTMILSVLAFGHRLSHMQWLGVGLVFGG IGVEAAVARREKVAREAARDGVKKDS UV8b_03676 MSAQQDVISANGNELNDHIDQLRMDDRLGPDGEPAPKTDEEYAQ AQLTLRAIVSSKEAGVIIGKGGKNVADLRDETGVKAGVSKVVQGVHDRVLTITGECDA ISKAYAIVARALLEGAPAMGMGGIVQNNGTHPVKLLISHNQMGTIIGRQGLKIKHIQD VSGVRMVAQKEMLPQSTERIVEVQGTPEGIQRAVWEICKCLVDDWQRGTGTVLYNPVV RTQTGSGGLGGGFGGSGRSDYGSPRVMRTGNGADFSNGAGVRPFNRRSDSDAASRGPP THDENGEEIQTQNISIPADMVGCIIGRAGSKISEIRKTSGARISIAKAPHDETGERMF TIMGTAKANESALFLLYENLEAEKMRRSQLQEPE UV8b_03677 MSQDYFTGKGKEPLRSGPDPEAQEDSDNGPSNNRLSSQAPYFTT VGTGLLPESAAHLQNSIETDSGYGGSVTTEEHRAMATPGYGTIMNSTNPLGRGQDSAG HQMWYYKQRAVLGRSINKVLDLLQSLREKNMDWPAHYPTIRPSEPTSSEQSSSRPRMN RIHSAMGDASSYTAAQPPALARSKTTVDTFAESSRAAETRSVPEPRLVSPRIAQELFV LKLDLKLGALHQAELVHSLEKTSIASLLDGKIGSSIKHLLSLRERIEDTSSKVLITGD LNAGKSTFCNALLRRKVLPEDQQPCTAIFCEVLDARENAGIEEVHAVHKDAIYSRHDE RTYDVYSLAELERIVTDNDTYTQCKVYVKDIRTADESLLNNGVVDIALIDAPGLNSDT TKTTAIFARQEEIDVVVFVVSAANHFTQSAREFIYAAAAEKAYLFIVVNGFDTIRDKG RCKKLILDQVGGLSPRTHKESSDLVHFVSSNAIPAGPTPSGESGSSSGGGGGDDDPSD DGGKGKGKDLDKIRDFENLEQSLRRFVLEKRAKSKLAPARTYLLNILNDINTLATVNR EVAQSELERVTSQLKELEPQLASSRKARLEVTERLDREIEETCKEMYDHTRSELNMAI AQAGKMDYNVPYPGIFRAFEYADDIKEAMLSHITDTVSRCEEHARGKSIQGCNAIKQL GLLHVGDEFQNWQFRPDVMFRKKRDALARQVYVPTELWDFVDWSTLLQRQEKVAGTGM ALTVAGAVVPRVFGMNSWMDQALLTTRVLGRENLGRLIIPGIVIAVVAGAAYVLQQIP NSLPSKLAVKISLQLTELDYVHSNSSRISSLVGKVLRRPAEGLRVSLDQSLKELDNKR DAADKSKHDSEEAAKFFHELVGKSQVERSKVIAVDLDAPPDTH UV8b_03678 MSADLFAEFSSTSASTQPSDPFGSISGSAAQDRARAAHSPGLTV GVNANSLQLAPQWSTSQNSSNRLSGSHQLVQNPPPSMTHHLELQDDVWGDFEVAEQAS NPPPSIVPEYQANSALPNATAWGSHDIEAGLQQRQRKSNKGLNSDIISGSLLSFESAG ASEISTARESESFFQTQRENRANSTSSDPNVLFDADDFVLRGEEVIADEFDDFGDFET VGSAPETEPSLYAVSNPAIMLDLLELDSAPFQAPADDVTKRDPLPSAALPRFGTTTSK NSQSSKRTLNESKSFETGVAAIRSAHTAAKKTSATSSSKKLSVSPLKMASDDDDWAPW DDFSGGGESGVAKEQVTETPTPTKTAESWGWNTVGDGPSASVTKDDDDSPPPMNVPPP AILLGAFPELLNRGHALFRPVSSQGTSIKEQVLSNPRTVEFLQGYLLIATTAARIIAG RKHRWRRDRNLAKSMSISAAGSKGMKLAGLDKTQSAREDRETVDVLAAWKEHVGKLRS AVATANSVAKVYLRVPELAENFQIQTAKMGPTASKSCIICGLGREERITRVDFDVEDS FGEWWVDHWGHRACKNFWIQHEERLRQR UV8b_03679 MRSLEETQSRWQITHDIISSSLQDLRLAVKCNGLSSPCLSGCRS VCWKAFLLSSVDSAKSWEQALEQGRKDYQKHREYFLRYIQHPEALAELAVDPLTDDPE SPWNAVRQDEIVRSEIQQDVQRLPDEANYHEQRVQGMILDVLFVYCKINPDRGGYRQG MHELLAPLVYVLEQDSVDSGSLGDASGLDQTMLGVLDASLVEHDAFILFSRLMEPAQS FYQVSGATAPSQLGTPTGISQEQRSAIVDRSKYIHEYCLQKVDPELATHLSNIEILPQ IFLIRWIRLLFSREFPLNQLLVLWDTIFAVDPSLKLIDLVCVSMLVRVRWQLLEADYS VCLQLLLKYPAPEQPHGPHTFIEDAVYLRDHLDQAGGSSLILKYSGKMPEVPNNTKPG PAKGIGHESKPSRPGGIGARLALASPPRIVQQQAGMENFLHGAAKGAISVLERSEKLG INQAVRDAVGEIRRNVQSFNEARQAQRFTRIIMPNDEEASKSVIAMEQRNKQLASLLN DTVSNLKAVMMSNLDDKAKSLELIEMAAAKIQFVQTHLEGSTMGVPASSSQETSATLP SPESMTKAAKNAADGKGLVSTDMAANKKPSTSTQPEGAPADIAKASAKDDKVAGSSRD VAKAIDTGRGAEQADQPGAKTEIQAYPPRGLDDPKEGDSAQKRPVPVPTRSTLAQSSF SWMLEPDESTSSRASSGPGAKSPPTQHKKRSSNNMSRQRNAFLFGEETAAEEGSATLK SDDIFGMEPISKPKVTLQKAVFDDK UV8b_03680 MLGIETSLLGDAPPASRSRFSKALPCVPSADGNSLPLPHLPLPP LPLPLPPPPAAAAAAAKVPRGNGGDTRVVNSIPRKPVAFSASKPIPPAPSPSSSATTS MAIPRRPVGGAVQPPVQPGLALPPEPSPTDSICSLLLAYSREPDVPVSSGGTYTTESR VGAVRDPESLSHAAGKSSPPPPPPPALRSYQSNDQSSHQSLQAMPPASGNRSKNSCPA PPPKDGRDDQSSPSRVKPLPSTPLPNPPLSLPPLPPLPPPPPPPPPPPPPPPRSEIWK RRPQAGDKVKELPDLKLHHSHGSTASTSSVQTAVVKATPAAGASHPSPRDEADQTSPL PPVMSLPGPNNIRPNTRPAPQAEAHEAPESMGCAGSKALGTKDQPAGVNLNPTANANR FPPRTDSKRPPTPEYTKGDVEPPRALADNVAKPASPISANASPRDGTRADMAKRTPSQ PLLSSFPQERPESHLGPRLSSAAPDLRLARSFQDLRRPGPIPAHDVPPNSCRKPHPHP HPHPPYPLHPSLDSSSRATHPSTSPLASNGSGTPPRRPGTTSGGPEPRIVPPSDAREP LYHGRDGSLYAEMKTCDTFDPRAAYFPVQTDKPVDPGAIIASKPPNHSHYDCYQKHRT MNRRSNRNHPLTCQTCDRADVEDRWVCTFCHLRICEACMRALNGHGKVLRSLVDQLEM STPLSLSSVSRPASALGIELPPS UV8b_03681 MSSPVKRSRSLKKPTLAPATNPPAKPLANSSNSARGTSPSRLPV KPPPRPTSLIVNGSSSASSSSSRALSLAPTTSGNGGARGPRPAVPAAPGRSTSVSRKH DGTASAPGPKKETTGRHPPPRGANRPPAQVRPRPSSAASEGASRGESKRAASSHVRSR STLTCLTAATSLRRQSGDDCAAGRHAAPATAEVDGASGARSARHGGLLRSASAADKGS PAPFKPAADVHHLTVAAASAGPAKNPQQGHPRQGHPRLRPAFSTLSKHYSPVKSQAPK PLTSAILAPPSPSKLPANMAASAETSRLQAELLQLHLLHRDYPATRAQWQASAKDKLR ERFGKVSQESRSAAGRESAAAEGDNILALRRWACRGAGIEDKVQALDEIMTGLWTLSE PAGRYARIVRRFERWAHHVSDAEEARRHADKMLVHRHDSLFLGEVDGAWGEERASVLR KLEAWRCRLSDIDDLAASEERGAANRPAAQPSSLERMLRGAGELVHGMLAELHAMEEI EQQALAREEEWIASMIRDGDDGFDAPKAGAIWRAA UV8b_03682 MPKTQPNLYAFPDVDALAPALRAYIVQSQNAGFCRQDSFRVAVS GGSLPKTLAQALLAPPRGPDDKVDFAKWEIFFADERAVPLDHADSNHALLKAELLDKL PADQQPTVRAIDAAHLDDTQELADQYEKTLVGSFASRDSVRLPIFDLILLGCGPDGHT CSLFPGHPLLRETDAWVAPIEDSPKPPPRRITLTLPVVTHAVRVAFVATGSGKKDIMK RIFDSPEGLPCTLVNEAAGERCSWFVDEPAVQGVAFPRKAYL UV8b_03683 MGDVDPPRKQLLCRRLQLTKGLSDLPYDLVLYLQRAVVHSDLGY PDLAAGDAYRALLLTDEVCNEEFEYHEEALASLMTHTGVVIPEVMVHGNLPEEWPPAA MESLSGSEEAARRLAGLASIRAYQILSLSLLLCGCLKSAHNFCQRGLQLAPRNRELLN TRDHIKTVAQRRLRRDEFDVNDLPDHGLVRREVYPWNTHEPDRFSPASLDALNAELRK MAPKCAVQIATLPVLLEAASDTDHYDIIPTCKQLGVFATEDIEPGEDVLREYSLLTAN NRLKDPVCDACSSDLPPLASEHAAAVSCEECHDTVFCSQYCHDVAQERYHPSVCDKDV DAIAKDSAASEADETLHLLLLSRALALSAHQETHPLDLREVRFIWGDFVPTATNDIDI SPRAGPPPEWTLPFSFKYSIETPLHILEKMDIDIYTSLPRYDLWVLNTLYSKFRGTAS ARKNPRDGRPDVAAVHPYWCLANHDCDPNVTWDWGGRMVLWARRDRVVGGCPGGGIKK GDEILNHYCDVSLPVQLRREWARGSLGGWCMCKRCRDETAQSDGLSRPTA UV8b_03684 MASVRSLDKDLRKLRLDKYTPAAANEVRAWVEEALGDRLPSSDL LEGLKDGVALCKLVNIGTPPPGVKFKQSAMPFVQMENISHFLRACQAPPFNLHQHDMF LTVDLYEQKDPAQVLQCLGAFSRAAYDAHPNRFPEPIGPKSRGGTGALSPQTSGYASP SVLRGRGASVNSSSSSTYAPKPPLPQRRGDATSPGRQSPAKGPRAGPGSPPGPLGSWG STRAHEGSAAPAWNIAQYGYMGGASQGNLGISFGGMRQITTAGPHVPTLADKERRRKE EARRQEDQDRQRRAQLEAEEEAARREEERRWEEETHRLHEEERQKAEEEKRRWEEQEL QWKLTEERRRKEEQEAETRLVDERQKARGRSDTPRLRGQLLSQYQAEQAETERRANHR SSHHRSSQLEKELERARQREDQYEKERQNRSSSRLGSEIVPAKPRSRSRHRRASPTPS APPAVGPRRTQSRFESWTRDDERGYLQDAAKPTFDTPPDSPPDSPPGPMPDPTLDPTP ERVRPEQPAVDNHRPRPAPPQGTSPRPLPEPNATLKAKSPNADNGAGARPLPDPTKYA SPPTSAQNRAERFLAASKPSSQSPPRQTYAQELGFSEERDSEDRRRVQVQAQAQTKAG GWASKSLLEQEMEMERQRQREWEEAQKKTAQAVRSNDGVDGIGGGIGGRWDVGQWSGY TGGDSQNKGSQGIGSGKRQIVGPRPLPGSNR UV8b_03685 MPPKQIGPLARAWYKWKALRLPWRRRLFVGHDLEGNTYWEFRLT SSASRWRRIVHYPRSTHYSQVRVSPLWHQWLRHMRASPPSLDEQRGDVFRQERLKHLA AEADARWEAKPRVVEPPRDTPRLESRPAQDQQQQPQQQQQQQQPGDPWAGARARARAQ GPGEAWQPAAWNPGPAKGP UV8b_03686 MATRPSNSGSSSPESYYTASHSNVERGSTTASSPGQNAPCPYRE ARQLQRELKDHCQIFLEEQLYTCAISLLNSTLGSGMSRRTPSSKPVLIPPPSHLALLS TLAVHPLHTTRAENARLREVSSVALSYLRRLLSVSGPVNAGFRTAFQFHSSPRRGRRP GLANAGSDSDASDGDGEHGARDRPRGRMANQSSIWSRGQDLWMTVGWAFNTSALHPDR WRYWKVWLHFMLDVLDADWAERERQDEVAHETNGGEGDAPTASRRESMIAMYMGLQDG AGQAAHKRIIKALLADGSPLSSSSFPAVFEKELRGPRRTSKKRKRDQVLDLENDKYGD YLDDDSISSGVSEPPTPPKPRGQRRKSTLLGTSESGPAESVGLRLRFFQLISAATCAL GKEMEVCRLYEELALGIKGLRLDMFALHVTQKENPLPAEAHVSIIRDLFHLLLPPRYK DPRKVDPGGHAEARLTMRMLEDCYVSWPANTVALEDNAKLSLLVESAIQLLWLNDAID YTESFGAACETGIQSREAKARKRRTGKIRAESDDVVAQEILHSSGQRIRVLLDELKST TPL UV8b_03687 MAVASTISLSSATVANRDVESQHVSHSNKRSHWSIITDQAGVDD EVLNHNYVGHGTAESPYIVEFLPNDPRNPMTFSRPFKWTITLISAVSTLAVSFTSSAY SGSIVEINNEFHAPSHVAILGVSMFVLGFAIGPLFWAPFSELYGRQKLFILTYMALTA FNAAGAAAPNMTALIVLRFMAGAWGSSPLTNSGGIIADLFTAKDRGIATSIFALAPFL GPALGPIAGGFLAQAEGWRWVEGLTAIFTGCLWIVQSLVAPETYSPVLLRRRAEELSR RTGKHYLSKVDASMPRKTLAGRLKLALSRPWILLFREPIVFLTAIYMAIIYGTLYLCF AAFPIVFQSPYPKGWGWKPGVGGLSFVGIAAGMTISTIGTIFDNNRYSRLAAKYGGVA PPETRLPPALLGAVLLPVGLFWFAWTNGTNIHWAVPISGSAVFAAGLVLVFLSLTNYL IDSYVVYAASVLAASAVLRSLFGAVFPLFTSYLYRDLGIHWASSVPAFLSLACLPFPF LFYAYGERIRMKCKYSAEASVILQRMLEKQEPAKDDEAGTEGEDGGMREPDQLKTGAS QKVK UV8b_03688 MLHVTDHQTAGPQGRSAALLLYGRVKLAGCTGHGAKVNSGMDRL LPHGTAQRRTAPHGATQQGPSSNQTARRYPEVATNSILI UV8b_03689 MSLYHEAADILSTSCQEGGSLKSRVFGRKTVKSPPNQLYALVCE TCKWSAILKEVVEGADLLRHERKLTPALALLLVHDLLLAKGGIALPQSHGLRTSIDRH KGRLSSEFTRARVRRKAPSVEALRAKVELDAAGEEAKHPRWVRVNALKGTLEEQLGTT FRSHTTVGTIQEVVSKQGGNMLFLDAHVPNLLAVSPGCDLSKTEAYKSGKIILQDKAS CFPAYLLDPRPEDGDVVDACAAPGNKTTHLAGIIHGHTREFAPARQTIFAFEKDSRRA QTLEKMVKTAGSEHMTRIGRGQDFLQVDPTSERYRNVGALLLDPSCSGSGIIGRDSMP ALHLPSQPVSQSHGGVAAAPSRRKRKLAQVAGEPEERVIRDDDGNETVVGSERDLAER LEALSSFQLTLLLHAFRFPRARKVTYSTCSVHSQENEHVVVAALRSDVARERGWRIMR RDEQVGGMRSWPVRGLAEACGGDEVAAEGCIRCNKDDGHGVMGFFVAGFVREAAEGGG ADGPSVRDESGAIARDVLEKPASTTTGAAVRLAGGGDEDATGGNRGGGEEGLQDGEQD GEQDGDEQSEWGGLDD UV8b_03690 MKFSTTTAVAALLGSSLALKDNPDKRTFAVLRFTNKQLTKGRMD PIVTPGRVSTHVHSVMGGSAFGLASTGKDLLSSNCTTALVKGDNSNYWFPSLYFKDPK TGKFEDVELFYANAYYFFDASNDEIKAFPVGLSIVSGDPTLRKPPSREFMSNLDPSKG PVNPVKWTCPRTNMNQEAWPANSDGLTAGIGDPNNKGEGVGFPDVDCDGFASPLRGDI HFPSCYNPAAGLANFRENMVFPSDAGNGKLDCPKGYIHVPHIFLEVYWNTPAFKDRWQ QGKGSQPFVLSNGDATGYSNHADFMAGWDETLLQNIINHCDKGTAGMDQCPEIKGVNK NECTIEAPVNEKVGGLLDALPGNNRVTGWSYGGGGSDGGSSSSSVVSNPQPSESSAHQ PASSSASLSSNKNQPTSLSTRQDDGGRPTATSEAQSTAHPSDPAATSDGASSSKAALP TAPPSNCVPVTHTVRETVTVTAAMPSLTNQPVSNSSRTAGDFKYAGCFKDSSDRALRG DIRPNLGAVSNVKCVTHCKSAGYALAGTEFGGQCYCGNELVGSDQVDDSKCNTACEGD KADICGGSWALSVFSLDGQVKLGGSKGRRRAVEHLHRHRSQRR UV8b_03691 MGEMRCHNSNLQLTGRRVGKLGWMQGPRTTLLGVALGHHPPWLV LVFFPCHWVCQQGQEERMPGPDETRTKSAFGFPYLDLVRRLCLASVPPPTPFFSSYSA PAAEHVLSFPRSSPIQQLVLLGIRSSHSFLRLPTQAAGSGRWRKDIRFNTIISVLWQH REPFPISLE UV8b_03692 MFRLTMRQQPQAARACGFGGRDRRVIDPPPIVQLSVDGPSLTRD QASSYLRYEGYVMNCSICDETGLRDASFMPEEYQHQRRLMGSLVGTPFVGQDEHGTEG CFFCFSDLSCRTPGAFRLKFTVIMIDPSRAGKIRHFPVLTDITSDVFYVYNAKEFPGM LPSSSLAKRLREQGCMISIKKGNDNTRNITGQGDLSDDEEEGESSQGQQKRRAIQD UV8b_03693 MADQAISSGSSDFKWEYANIQLCRDEVLEGAEEEARERTVTAGR SVSKGFLRL UV8b_03694 MVHEPPSWPSVPDASHASSNSPWAMAEHTPRKPTSRKRRLLPAI SLPVAVVALAALLSYPVVAARDTLEPKSITPASPDKPTFPSSVADATTVIPTASSMPL TEHALELRNAPHDGRGFGDDVPPTGGGKVVKRSAATSSSNSPPLPSPFDTPVPSAFQL PGRGTSCPTFIYTLLSNPTFKSCYPLSMLMQTSTGFFNAEKQLVSLVRVLDASCAADV TSCSSFLGKAAQNLTADGNCKSEFDQNQTQVIQAYRGLKAYKVLYSATCLQNPITNSY CFANAVTNLSTPSDSYLYFMPYGLALPGASTPTCSWCTQNTMSVYHSAAADRRQPVAS KYEDAARQINTICGPNFVNLTLPSPASEALAIVIPSYIVVLANAIFAVVLSTRLL UV8b_03695 MAGRQGIYEVKAAGVPRTSKWHSKWHSKWHGKWHGKWHGKWHLS TLDFLDSAVPAVTYGRGRTLSSVPVDIYREQTQQSKGLAGATCGNRDILRLGYYVP UV8b_03696 MADPRQEKTAPPHSDSEQSSTNGKANPLVEKSLFKHALAKADSK VAAPPPAVDSHDSYSHLPPHEADVLRRQIAVPELKQGVAVLYRYASRNDVLILAVSAI CAIASGAALPLMTVLFANLQRTFQDFFFSGGKMTYDSFVDEMSKFVLYFVYLAIAEFV VTYICTVGFIYTGEHLSAKIREHYLESCMRQNIGFFDKVGAGEVTTRITADTNLIQEG ISEKISLTLAAIATFVTAFVIAFINYWKLTLILSATVFALVANIGIASRFIVKHSKNS LEAYAKGGIVAEEVVSSIRSAIAFGTQDRLAKQYYKHLLQAEYFGVRVKSSMAVMFAG MMMILFLNYGLAFWQGSHFLVDGVIPLNKILVIVMSVMIAAFNIGNVAPNLQAFTTAI AAAAKIFNTIDRVSPLDPGDDKGAKIESLEGNIRLENIKHIYPSRPEVVVMDGVSLEI PAGKTTALVGASGSGKSTIVGLVERFYDPVQGAVYLDGQDISTLNLRWFRQQMALVSQ EPTLFGTTIFKNISHGLIGTRHENEPEHVQREMVIQAAVKANAHDFISALPEGYETNV GERGFLLSGGQKQRIAIARAVVSDPKILLLDEATSALDTKSEGVVQAALEVAAAGRTT ITIAHRLSTIRDAYNIVVMSAGRIVEQGTHDDLLERKGAYYNLVSAQNIAAVEDLMTE EEEDCINQEEEELIRRKSAMQDGQCAVDADDDIAAKLGRSTTRKSASSVALERKTPEK EKKYSLWTLLRLITSFNADEWHLMAFGLVFAAICGGGNPTASVFFAKQVVILSQPVTP SNRHEIKKDSDFWSAMYLMLAIVQFLAFAAQGIAFAVCSERLVRRVRDRAFRAILRQD VAFFDKDENTAGALTSFLSTETTHVAGLSGATLGTLLMMSTTLVAACATSLAIGWKLS LVCISTMPVLLGCGFFRFWMLAHFSRRSKAAYASSATFASEAISAIRTVAALTREQDV LRQYRESLAAQQRRSLISVLKSSSLYAASQSLLFLCFALGFWYGGTLIGKGEYDIFQF FLCFMEVVFGAQSAGAIFSFAPDMGKAHHAAAELKTLFDRQPTIDSWSDEGERLPDVD GAVEFRNVHFRYPTRPEVPVVRGLNLAIRPGQYVALVGASGCGKSTTIALLERFYDPL SGGVFVDGKEIRTLNINDYRSHIALVSQEPTLYQGTIKDNIVLGSANEAVTDEAIEFA CREANIYDFIISLPEGFNTIVGSKGTLLSGGQKQRIAIARALIRDPKILLLDEATSAL DSESEHVVQAALDKAAKGRTTIAVAHRLSTIQKADVIYVFDQGRIVETGTHSELMKRN GRYAELVNLQSLAKHG UV8b_03697 MHRHLAHPLLLVVVVVVLLLALPLLATATPRDAILLSRVQSLTL RGHGARTTNRRVAAIPQLRCVSSKPLCDLYAIDVMRCTNQGSSYGGEDIEWSCSATLP EELKLGTTDVICEGYAGPDDPYVLRGSCGVEYSLELTGKGKQRYPGLASSHGGYFGGG RGRGPGPTDLSAWLFGLVFVAVLAWILYAACYGENGVRRRPRATGGRRWGGGGGGGGG GGGGGWGPGNDPPPPYPGTKPSSSSQQQGQGWSPGFWSGLLGGAAAGYLAGNRGGNGN GNGNGSRRVYDGGWQSSPWDSEPYSPRPSPAASSSFSSASSSARHESTGFGSTRRR UV8b_03698 MEDRKRPAIGGADDLTAPPSKRVAVNGSKTKDDAIEMKEEGWVE AYTKGAIYRQMQEYSRKAATAESRLEELHKRCVHHDDHLKIIDAWWRQLLQELEVVAQ SQMSDDPATSEPPYLSGINFKDLSEFQTHLQDKGKTIKTKAESLLGRISAQRGSLTPD AAALEGRVNKLLASQKEYMLKLDRLHTEKDQLSEQLNAATLRYFKAEKKLDRAKSAQV QKLEQQAFANSTRPTGAAATNEVASDQHEVNGSTDELLLKYEEVSASATKQKEQLDSI LSELKALQDENSTLKSRRETWTDEDFIRTDVFKQFKAQNEELIKRINNLEATNKQLRE EAEKLQAERTAWKIQLEADANQVTQELEADIMSRDQDLARVRSARDEILAENSQRKAG MEQERTSIEQVKDLCSAKDDRIAALESQISRFQESQGQEVTTSEEDAGHLSPGELEQK YKKLQQDFQSINQELPSIEKAYKKMKDLAQKKVMDFVALEEKISLLIAEKSKADQKYF AARKDADTRNNEIRSLRHQNSKSAEIIAQLKDLEAQNRVLLSNLEKQVGDLKQSNAAL AAENKKMETTSLEAVRRSDSLSRQITDLTSLVKSRDNASAVVRERNTIQEAEVEKLKV RVEHAQKDRDNWKSKALSNSSEEEEMLRTFALCTICRNNFKNTALKTCGHLFCNKCVD DRISNRMRKCPTCSRAFDKMDVMPVHH UV8b_03699 MSSMFKKKGGLAFKPKAPVARPKPPAPSTKPAPAPEQPAIDANS EPATEDVPQVAHAESESRQVGQGGLGIPVEETREQQQWETSTAPGQTARPTLHGRQED SVSRPQKQPETLPRQAETSEEETAGLEPVADSSAGIDIQPSPTPRNRTVKVHHQPAVD PLPPQPSIEPHDQAPSSSEQTARPEPPVAENEGQRSSTAAAAEAAETAGDEPDTPAAP GPGSKRAPRKQAATTQDATVSDPAEGEPARPRKRQRKTRPQGQDSQTPAPRKRKTSTP KAANGSRSSSRRARSLTPEDAETQVVDLQKLKMSDLTKDLRIGKKFSRHDELRDRERK ARQKAKLDKDTDTPPSEAGDGTSQSRTPDAAATTAKPNAPGAASAPASAPASASASAP AAASGPQFRIVDGQIVVDQDSLVMDRHARAAAARAGEHMETVEENDFTCLITSSSFMN TSKLKGPNIWTDQETELFYRGLRMFGTEFEMISKMFPGKRRRHVKLKFNREERHNPSR IDAALIGEKTIKMDIDEYRAFTGAEFESLEVIEAEQRKIQETYEAERQRVADEQAEVM RKKRDELFADEDGEGDLKRKKKNGKRKGKQSVSYGLNGEPILQDGG UV8b_03700 MRVTFRDLKQQKFVLDVEATDLISTVKNKISGEKGWDPKHQKLI YSGKILKDDETVASYNIEEKGFVVCMVNKPKEKPAPAAEPSSAAPPTTPAQPAASTPA APAAPAAPAAPAQSTAAQSTVPATPTPQRSAEAGAGGQNISGLAMGAERAEAITNMEA MGFERSQIEAAMRAAFNNPDRAVEYLLNGIPENLQQEQQARQAAAASAPAHAPAPAQT TPSTQAGDDDGGINLFDLAAQQGGGRGASGSGNVAAAASAAAASQGGDLGNLDFLRHN TQFQQLRQMVQQQPQMLEPILQQLGAGNPQLAQLIASNPDQFLQLLGESADDDVPLPP GAQAISVTEEERDAIERLCRLGFDRDQAIQAYFACDKNEELAANFLFDQPDDEEPSTN UV8b_03701 MAPVGLLIATGTLAVLATSVTIVLEIICCIGATDNSLLLAAGVL AAALESVILLVFGTLGIVYILKAKIPLAWKCSKLVFILQLTACAVAAIASIVALVSLQ KSIPDTLDGGLADQRRNIAIGLTVALTMAAVSQLGFVVFCLLTSRGMTVQGSASTWSS HSTDDGRKHYVKAIRYSQTSPMNNAEENTSGSKTDSPARYNQRSSFRITGMPHLFGPT SSGCRLLIPDDQRPISLESVLPRASTDTSFDSWDTSSVDTHHRQAVIDVASASPSSAL KNALETNPANPPRSRPPSRPPTPFDLADLEPPRILRRMDSCGSSLSHSELKAAMTPNG SISELHIHPLFRSDSPTPPPAASPGTVVVAAPNAGQVISRRGSLQSLRRLRSGSLPTR SPLSLQASMESLGKGKTRENGEAEADRWSDRSDKNKTPPVPEWLLSSSMKASLETYKE RVVSDANGEAGEAPG UV8b_03702 MEACHRASMLPPLGTTCGSHSKSGLVGFDIATQILSMVRTFQRS DGQFIRRETRELGDPRDPRAHTFLWEPLVPCFLTLPFSQMETISNM UV8b_03703 MAKPRVIYWFRTDLRLHDSPALKAALDLEPAVLWPIFTWDPHYV YHARGGTNRWQFLLDCQNDLSESISRLNPKSKLFVLREGPQTLFPKLFKAWHVTHLVF EKDTDSYARGRDAVVTRAAEAAGVQVVVRSGRTLWDSDEIVSKNGGNPTMSMTSLLSA SKKIGQVPRPIFAPTCLPDPAEMPVDFDHNQPSTGPDRNAQGRPGNDATYKHIAGPKG DFAIETLEELGFEPATTPHKGGENRALKHLKAIVEDKKYAATFEKPKTSPALFEPQST TLLSPFLHFGALSVREFYWQVQDVVSSYGKGASTPPESLTGQLIFRDMYFAAQAAIGD HFHQTAGNAHCRFIPWHLPSKTDADTGLTTGEYHIDSQQAEKWFQRWKLGVTGFPWID ALMRQLRHDGWIHHLGRHSVACFLTRGGCYIDWERGAEVFEEYLLDHEPACNAGNWQW LSCTAFFSQYFRCYSPISFGQKWDKNGDLIRRWVPELKHLNARYIYEPWKAPIQDQKK AGVRVTGDGLSDAGNGTYPKPMFDFNERRDICLSAMKTAYGVGLRGDDKRVSNGGWRE MFSEGNGEIQGRFDSDAGESADDGDKERENVGAKRRRKPSSGAAPKKLKTQK UV8b_03704 MATRRAPKLSCLLCKMCFLPNRTPLLVRISRTSVFSHAPSTHQT RPYAIARPPRNSPTSSQIFNLSEIPLSAFESVIYRNGDSFKRLTCSEYYQAAQRFAEA IKRGSSPWAVSISGRDAISADTLHSVACIMRQMQSKTADAFATALWSSASEMGHRPST LSLARQLIRSGAYTRIPQLRKVEARFEELVSSGKDADALTAAGELLFEQGRFDAAVAT TRRALQLSERFEWRPYCELCLGKAYVKTGKGDEARRIFDRLAEDGLVEADVELADLLK RRESGEVAQRLYAAACNGRRDMFARLSEMELDGGAMPADQRSTEERRLWAMEWLRLAD TRAAY UV8b_03705 MPVETRKRKAAMAKEAQAAKSAPPSSSTPAIKRQRSLPVRAKEG DDGIPSKDDVGSKPPQNNVITFDDCGNPDRELAISAVEATDVSEALEQEASDSDEAPE AVSTSKVAKKMIESAQAFQKAAREQAAAEKEKRRQRDALFKQQASVRKEAEAGENTGL VVPGPIVAPAGKKWMEQKPVPDMLPLDYLTDSSSEDGDEDRVDSAASRQRKRKRNVAS VEKRLSRESRGPRDQVVGSTIYRVSKPLDKRLAPKANKHSKSTKQLLLKRGREPVKSR SLGFAKR UV8b_03706 MSMFRRVRKAHRKAKDSAGFPYDDEGNSQLTSRPVSVDLIDHPR IAPVAQFGPDTGPAPFADQDFPSHKGLSHRLFPPQPGGNGSHRGDSSIGSTRSATSTP SRRVGAIDNNVMASTAERSRSRRERTFVGSECAVCEEPLEHTLSGERILQFSCSHVAH EACFYEFIRELDGKHCPTCEAPLHLDTSRGGNVIDINKISNMVRSAASDGRSAQTPTP TWDNQTLRPPSLEESPNKHHVQQLTSSGRECGTKGSLRDSRDASLTDRHGSSRHARSD SEATGVASSGGYPETMQSTPWRHDYDVQAMETSPGSPRAISRSPIPSPIVTVRSEFPT INRSRQQQTLTCLVTVEVAGNSWRPDPEDLAIAYRQSTPASARVDQTMTHTVTQAPRA PRFIPYESPEVLEEITESLRNRVDNWHGLDFGRFGQLRLYGTLRVGKDKVSWQELECY LFAEMLICVKEKKLPPQAVTRWDGNSVSGQATRCSLKGSILIKKHLNEVSETGSLDEN ILTLSLSVADLPQFHLRFDNRNQLKLWNQALLDLNMVEPLMVRSPDFDRGEVSETDEE TEWLRSRPQRVPSTTSSWGPKSVTTAPTEYTNFAAKAAHPHGSIHVPVDVVVVVPISS SMQGVKMNLVRDALRFMVHTLGERDRMGLVTFGSGGGGVPIVGMTTKAWPGWTNVLSS IKPVGQKSHRADVVEGANVAMDLLMQRKHNNPIATIMLISDASTADVDSVDFVVSRAE AAKISIHSFGLGMTHKPDTMIELSAKTKASYTYVKDWMMLRECLAGCLGSMQSLSHQN VKLKLKLPEGSPAKFHKISGALQMTKRASGRDAEASLGDLRFGDKRDILVQLVITPDS TSQDQLPQDPWDNIVSGLEALGGSSENEAERALSIEEVPLVQADLSWDDILRAGTLQH MPRPSLLAITMLPPSSSQKKSRPSSPPIPPHPNIVQRRMELLTSDMLTRALTLTSRGQ HDRAQTLLSETRSILKGLGKGGLPPVPQGSSKLYSPLAPPVGTNDSASRALAGTPDGK RSPSPPTPATSSGGNGSAHAQLGLGRSHDEFGLGRGIDAGTVAALDAELEASLEWINH PAIFGRDSRKAVLQAIGVISSQRAFTFRTPVETLWAGRVCGIKRLSDKSREWRADGGG GGGIMEEA UV8b_03707 MSLNIPSAPNAGLFKQGYNNYDSEDGAVLRNIDACRAVTSTVQT SLGPYGRNKVVINHLQKMILTSDAATILRELDVVHPAAKLLVMASQQQEAEMGDATNL VIVLAGELLRKAEDLLRMGLKSADIVIGYEKAQNFALETLEKLVVDKVEDMRDPEELS KAIRTVIASKQNGNQDFLADLVSEAVLAVLPKNPANFSVDNVRVVKIMGGGLEQSRVV KGMVFPKEPDGSVKKARRAKVGVFTCPIDTSQTETKGTVLLHNAKEMMDFSKGEEAQL ETAIKELHDSGLRVAVCGDKVGDLALHYLNRYGILCIRILSKFELRRLCRVVGATPLA RLGAPMPDEMGNIDVVETLEIGGDRVTVFRQEDEATRTATLVLRGATQNHLDDVERAV DDGVNVVKAITRDARLVPGAGATEIQLSAKIQAQGEKTSGLGQYVIKKYGEAFEVIPR TLAESCGLDATEVLSRLYAAHHKIDDGDTGVDVDNNDGSGILDATDEGILDLLASKSW AIKLATEAARTVLSVDQIIVARQAGGPKPPGPNPNWDED UV8b_03708 MAPQSSTPPSLPPSVEEAYRRKCVQLKNRTNEVEDANDAARLRL ARIKRQVEKLRIERAFLLEQLSRRTSANVEDSEGSPSPPPTPKDKPLRIKRGHRKSAL LDMDSKATPNSSFKGPASPSDLQAKGHASDRTSTNGVRKPSKNQNPKSAFELYCLDAR PALEENNRDDECDIDVDEELARAWADLPSAEKGEIQTRFEELSKKPDDGDDNDDDEKD KPDKDLVDQTPEKEGKPGGKTDTQDEDVEMTNYDTEDQDGETQMDKDGDD UV8b_03709 MDPQAIGENTPLISVPPSATSPGPGPGPGTDTDIDIDIDTDTDT DTEPQPQPQPRPRTARTVTFSSDPVTKTFESDSYPPRGGNPPSAASLSAAPPRAGPPV LTALNNKLRRRNSHGAVQQIIQPHSGPGPKIGPQRSTKKTEKLKLLPNPDIEDGEDEE SGRDVYSQYTRIKDPNARRDAARLGKGDRDRLPRVTAYCTADKYRMDSLMRFLKGRGK LRGANPKIIDECIYTPYSYSKPSRIHEDPVRKHERRHSTGSESLEDEDRRHHIFALHN AGHDSGYGGDEFPGGDAAVAAAVDSSQPCNETVLGPSVTEEAPAAEGASRVDTHVYTP EVFLFDYGVVVIWGMTESQEAKFLKELAKFELEKLAPDDIETELFNFYYTRDYQARIY NDFITLRDKNNYMIKLAISHALAQSVKTSLYEELIATTVETCKDIPAHIALTGKINLS RKQINMQIGDLFILRIAIHLNGSVLDTPELFWVEPQLEPVYQAVRSYLEMDQRVGLLN ERLDVIADLLAVLKDQLSHGHGEKLEWIVIVLIAMEIFVACINIVVDVWAGDV UV8b_03710 MAGRPTGARHGGSSGNRDDLLLDLDNGQPAYSGGQRSTLTDDDL MRRYSRDQEPEERPSVSYDDFVGAAGSSPSHSTGAGLGIPQQGRPAPYTSRHYSQTSE LGNYQRYADDFDDYAADGESYYQHGGAGDGGPSAARSSARKRNSVLGLGGGFLGKVKN KLGMGQGYSEMDLPLTEHGRERGAPAAAAAAAAQGEPPRSKAFDMGNFKFGFGRSKPD PSTLGPRMIHLNNPPANAANKYVDNHISTAKYNVATFLPKFLLEQFSKFANIFFLFTA GLQQIPGLSPTNRYTTIAPLLIVLLISAGKELVEDYRRKQADNALNTSKARVLRGSSF NETKWINVAVGDVIRVESEESFPADLVLLASSEPEGLCYIETANLDGETNLKIKQALP ETSSMVSPSELSRLGGRIKSEQPNSSLYTYEAALTMQTGGGEKELALNPEQLLLRGAT LRNTPWIHGVVVFTGHETKLMRNATAAPIKRTKVEKKLNWLVLVLVGMLLVLSVICTV GDLIKRSVYGDSLSYLYLHKIDSAAQVVRTFARDMVTYWVLFSSLVPISLFVTVELVK YWHGILINDDLDMYYDKADTPATCRTSSLVEELGMVEYVFSDKTGTLTCNQMEFKQCT IAGTQYADDVPEDRRPSGPADEMGIHNLDRLRSNLAGGGEAALAIDHFLTLLATCHTV IPEMDEKGRIKYQAASPDEGALVEGALQLGYRFTARKPKAVIISVGGRELEYELLAVC EFNSTRKRMSAIYRCPDGKVRIYCKGADTVILERLHQENPHVEATLRHLEEYASEGLR TLCLATREVPEQEFAEWFKIYEAAATTVGGTRTDELDKASEIIEHDFYLLGATAIEDR LQDGVPETIHTLQQANIKVWVLTGDRQETAINIGMSCKLLSEDMMLLIVNEESATATR DNLQKKLDAIRTQGDGTIETETLALVIDGKSLTYALERDLEKLFLDLAIMCKAVICCR VSPLQKALVVKLVKKYQKQSILLAIGDGANDVSMIQAAHIGVGISGMEGLQAARSADV AIAQFRYLRKLLLVHGAWSYQRVSKTILFSFYKNITLYLTQFWFTFQNVFSGQVIYES WTLSFYNVFFTVLPPLALGILDQFISARLLDRYPQLYAMGQSNYFFKLKTFAQWIANA FYHSIVLYIFAELIWYGDLIQGDGKVAGHWVWGTALYGAVLLTVLGKAALVTNNWTKY HVLAIPGSMAIWYVFIAAYGMVAPMVHFSMEYHGVIPRLYSSPVFWLQTVVLAVLCLI RDFVWKYAKRMYWPQTYHHIQEIQKYNIQDYRPRMEQFQKAIRKVRQVQRMRKQRGYA FSQADESQTRVLQAYDTTKHRGRYGEMASSRPA UV8b_03711 MHHNRRKSGHGHNSSSTTDVRRAVTATDPSARHKRPSMTRRHTP VAAQKQSRSHRDRDRDRDPYDAWDDERESFPQFCMTCEKQFVPHDDKHLYCSESCRRV DQSSTCKSQSQACKSALGNHHPYHTYYTTESLEPRDIIPRASPSRPNSIHFSQSPPES PGTLSTNHHSLALSALRSLTIGPPSPPSPTASNGSGIWPFSRSAATSPAGSYPRPWGA CMSSTYDAGHYQYATGAYTHDSGSAGLDRPLPIRHPGASSRPKSIELVTPVLRR UV8b_03712 MSRIDPHMVTHEKCFIRETSVDVLFAIGSVHGAPPALAWPASGS RVGKFAPNCIRHVDPETPGFIRTVGELGLAVAASFGAFMDGPDLIVTYSVGDGEAAAG PIAWAWRAIKYLDAAESGYKHPDFTLYGKMTKGLVMPSRPKPAKRRVAFCVLQDWLES YNNVEPSTVAESRSSAKEPEKNRRTRQASASFSPDKLESSKIGALIRTGAAWYNKSGR ILSGISTLELGGGRVIEVPSAPCCQGSMQGYSLTGQTAVFPSHEPFLGLVHTMIVHHG KFCRMARTLPVGEAVYIASTTSRLPKLSGSRARVANVGDLLVLAAESLHPHARWQPDF VNDMFCTEQASLLQLSQLRGRAAGKIARTTLGEFEKGEDFHTINTRHSRTMADSVASS FKAIRIIRLHTR UV8b_03713 MATRTATTDATLVGTIRATAVSAPPPQLMRAVRFHGQRDIRLDT IPVPRVAEGKVKIAPKFCGICGSDLHEYLGGANLIPKPEHPHPITKETLPLTLGHEFS GIVEEVGHGVESVKPGDRVCVQPIIYDGDCRSCVRGLVNCCDRNGFVGLSGWGGGLCE TMVVPESCVKQLPDNVSLQEGALVEPLAVGWHAVDISPFKETDSVLILGAGPIGLAVA QVLIGRGCKNIMVSEVSTKRREFATQFGAHHTIDPVNENLVARVSELTGGLGADVAFD AAGVQTALDTAFDALKARGTLVNIAVWEKRAGLAMNGLVFRERGYMGVATYSLGDFEA VLDAISTGKIKPARMITKTIKLDQVVEEGFHALINDKENQVKILVDVGAGKLS UV8b_03714 MGTDVPGLTVPAVSAVAAKRTAPPAGSLDVVPDALREVKLVELL QRDRRPTFIVSLTASVKANASGDLQLVYCNPSLRSAPEIFRRISVKSLETKTPDLGFE NFRHWALDSDQSNEQDVPFFQYAGRSWSHITISDDFRVISANGVVTQDRTLHKHASNS INNELALWNSTDHAQLPPPASSEPPQIQQIANHRLTKERVYCDWTSVPLEDEVDDHKV FARLKDWSATPLGPIDDWDWDLRSASDMTMRTPYPAAIYWGPEFITMYNKPYVEIAGK KHPDLMGQPYAEGWSEIWNEIKPVFMRTWNEGESVMKHDDRLFISRNGFLEETFFSWC LVPLLGSKNQVMGLFNAAFESTQQIVNERRLLTLREIGEKTAAAKSFREFWLLTLKGL EYNEPDVPFCLIYSVGEDSDSENASLHSGSVGFSHYLTLEGSLGVPMGHPAAPLLLDS RTQEGFAPYMRQSMSAGGVPIILSREEHTLPPNLVEGLEWRGFGDPCKHLVVFPINPV AAWYEATYPRGFIVLGVNPRRPYDNDYKLFVQLLSRQLDASLASVVLYEEEVKRSARA ARLAALDRENLSLQLQLRTQEAVESEYRFSRLAEFAPVGIFIADFDGCFSYCNDTWWQ ISRQNRQEDLGNTGKLAWIHNVIDEDRPALQAAWDKMLIEKSTMSIEFRFKCSQWIGD SLVDTWVLMSAYPDSHHLETKESIFGCVTDISIQKRAEQVQSERRKEAVELKRQQENF IDITSHEMRNPLSAILQCVDQVTKSVSAFSDYKSEDLVKELHVECLDAANTISLCASH QKRIVDDILTLSKLDSRLITITPVNVQPLEVIQQVVKMFQPEVRAHNISLTVHIHNSY QRQGIDWVMLDPSRLQQVLINFITNSIKFTQGKEKRLIQVTIEAFSDLSQLLNSGITY LEISDFGESLKSYHHESEHADDPPTLLQFTIRDNGSGIGDEDLKLLFRRFQQATPRTH VQYGGSGLGLFISRTLVEMQGGQIGVSSQVNEGSSFYFYVRCQKSNKQTPAKEIIQHD QPTQPQLSATTFPPFKGLKTFSSGESHAKQKATPVDFPQYHVLIVEDNLINQKVLNRQ LMNGGNTTYTANHGQEALTLLESSRFWKGHESDGYDISVILMDLEMPVMDGLTCTRRI RQLEREGVIVKHIPIIAVTAYARPEQVKKARDAGMDEVIPKPFRMTDLMPKIQDVVGG TRPE UV8b_03715 MAGGTPRGINAARKLRVNKKDRLWADLSWRKRTLGSTFRSSPFK GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPHDGCLNFVDENDEV LLAGFGRKGRAKGDIPGVRFKVVKVSGVSLMSLWKEKKEKPRQ UV8b_03716 MSTPVQSTPTKAAPGTDSPGTWRHPRLDEITRRQNATSFAEKNV RQIAYNVIALLILWIVGLIAKLKVNPQIVSITFRTYLGWLWFAFQLVPFVQIGLACLP LVRANDDLSDIPLTSAQRRLLGLGPSSAPPLPDANFSTPPRYSRTPSIAGSVGSRASY ASSPLSGRGSPVIQGSCGASGSQYSPVGSPLLPRSSFSALGNGRRSSFGSPSTFGQST SASLFSEPPGTPSPSGGKRTSVGLNSKWLYEKGRRPSGSMGSLR UV8b_03717 MAASPSSAAATSDIDQASSPVALDDKSVASRGAGSPAGSDDEDT QMADEAAEVRDKPTRGRARASMKGGEPAHQIGKIRHLKKEDGEPLWREDIQYDLLRAI FDNEQKVFTNSYDAKLGKQCFADLYIDTMSRSSKTSKVLRDKLLSDRDAAKGMAMVCL LVNVGRMNTTLNFFPEMRAQLRTYHAIPSLQAHQDAHAYKQLQDAPRLKSILKGGAED REEPNSLEKIKRANVPRTNPVNLIFSMCHSAQKVAELHFPDGQEFHDLIMKTQFTSTS RAMAFLWLMWFYLESDFTEEGCEENPFGAGVDYGLDVANQGVPRLVEMTEDEQAKENV DSPEEIEFGREKQKTRAKILEMDQAYLNERDTKRGGKRAVDDGPAILPRIRPSKHDSD RDSTRSTPPPRGVGRGGRRGLGLKYQIFEGSSPARHGSEGVGSRKPRPPTAHQLAVER NRSERVEYILDRGLRKQHHRTRRVRRVEGAIFRAHRRLQAQEDPFEDSDAEDAVARMA SSKDKAAVFREKGIGGLCLLADEPDDYGEEVSAYAAALRRSTRRLGRWAGRESELGVI APVKKPKAKSEVKNTDGGADEGLAASPLKNGDTNGDVTMGDADIEETAMMDDEDLDDQ DKTEVLVDSEGE UV8b_03718 MEFALESYTRLPPITRTVVTLTFIVSAGIMVGVVPANYLIFHQY FLLKFPPQIWRLVTAFLITRPGLGLLFDTYFLYSYLSQMEVGNPRFPRKEDLIWYLLF VCGTILIVNYFTAFGFMNFLPALVLAMVYNVTQEQRGVKVNYMLVTIPAQLMPYAMLG FNLLFPKGAMNLLLELHGLFAGHLFDFLTKTWPNYGGGRNLIPTPRVLSRIVRFVESF LETRFGSVPRSTGQRLGGGGGRGGSANNSGGPLPDAWRTRGPGQRLG UV8b_03719 MKIHDQLRNEEARHAAWEAGKGALVGAAKWGAGTAVLGAIGYAC SPIYRGTTVQFKVYLQMSGMVLGGMIEADWRLRQYECQMRMQRRWMRERAKWERFEEE FGKNEGGK UV8b_03720 MPAAARIEQKGESSTAASKAALASSSNGTPNSELPWVEKYRPAF LDDVVGNTETIERLKIIAREGNMPHVIISGMPGIGKTTSVLCLARQLLGESYKEAVLE LNASDERGIDVVRNRIKGFAQKKVTLPPGRHKLVILDEADSMTSGAQQALRRTMEIYS NTTRFAFACNQSNKIIEPLQSRCAILRYAKLSDAQVVKRLLQIIEAEKVEYSDDGLAA LVFSAEGDMRQAINNLQSTFAGFGFVSGDNVFKVVDSPHPIKVQAMLKACYEGNVDSA LDTLRELWSLGYSSHDIISTMFKVTKTISTLSEHSKLEFIKEIGFTHMKILEGVQTLL QLSGCVVRLCKINMDPKRFQVA UV8b_03721 MGVAKKTRKFGQVKRMIGQKDARLKANQQKAELEAKKKKEKRTI NGELVREAPQMPSNMFFQHNTALVPPYNVLVDTNFLSHTVQRKLSLLESMMDCLYAKC NPIVTSCVMSELEKLGPRYRLALRVARDERWTRLECDHKGTYADDCLVDRVSKNRIYI VGTNDKALKQRLRKIPGVPLMSVARGKYVIERLPDAPHS UV8b_03722 MPAQLTGPVGASIPIHVASEQANNYRKQHKHSRSSYSESSPLAN SRNNSLTLRPPKRHMSSPDKTIAVTNASGRQAASFIRVATAVGYRVRAQLRNLEGVVA TEVSTNPNVTVIVGELYTRHQPTEENKDVTQNGPISGVGVNHDLISNLFRGAKLAFIN TTFYGDEVQIGKALADAANKAGVEHYIYSSMPDHAAYNKNWPSLPLWAAKHKVEEYVR ELDLPATFVYTGIYNNNFTSLRYPLFCMDLQEDGSFVWQAPFHEDAKLPWLDAEHDVG PAILQIFKDGASQWKSHRIALAYEYLSPKEACKLFARGVGHPVHYVRGPIEIKVRIPA GYREQLEALEKLFRVDEKDPKKQPPYFGDMKLEISCPTEALELWEGPRGLEEYAREMF PLEEEANGLTWMLDDDDIDQRSTADEKLNAATTSVEQLRIHDEDEEDDSEDEGLVMRG LKRDEEQWLA UV8b_03723 MREILTLQLGHLGNFTATHFWNLQESYFTYSDQGECLVDHDIHW RPGIGVDGSETFLPRTVIYDLKSEFGSLRKINPLYDGTSESAAAADSLWSAPSIVHKQ QAVAPSAYQQSLDAGKEPSPLTTSTVRYWSDFSRVYFHPKSSMQLCDLERQSTTLPFE SVATGSELFSFLDKHQDVVDTDWRPFVEECDLMQGTQVFTTMDDAWGGFAASYLEALR DEYPKSCIWVWGLQSPSLGIPGKTRQLRLSNTVQTLHQIYGQSSMLVPLALPPIITDS QEDLDSSSSWHVTALLAAMAEVALTPCRLRGESRQPSLSDLAEMLNIRGNQHLAAAKI MPSIRTQDVAGKNTHTSLFQLGWDGGKTKSRASHVFGEVISQRGPLSSEKDSDAKYKV SPRHVYGESVIKRYKTPLSFPLLNSYPPVFGTVTGKTEVPLRATMTTDTYIYDRMKVL RLQATSLIHTNEREALDNGISEIADAYRDDWSSGSDEDDDDL UV8b_03724 MSSDQVYGVTPPISIMLPTEAEKRASDTLIEELRRQRTFESPSD TQKRYKVLESLQTICDEFVKRVAREREPKNDVLIKNARGKVFTYGSFRLGVFGPGSDI DTLIVAPKYVTREDYFKYFPLMLEAMAPKGSITDMAVVTDAFVPIIKFGYSGISIDLI FSRIIQKQLAPDFQDLKDSGLLRGLDEAELRSLNGTRVTDEILTLVPEQSTFKLALRA VKLWAQRRAVYGNIMGFPGGVAWAMLVARVCQLYPKAATSVIVNKFFLVMSQWRWPQP VLLKPIESGPLPVRVWNPKIYKGDSFHLMPIITPAYPSMCATFNITRSSMSIINRELR RGLGISESIMVGKQPWCDLFAKHTFFTADYRYYISVISASKTKEAHNVWSGYVESKVR MLVQKLEQHTSITIAHAFNKGYDRRHRCRSDAEIAQVQDGSLDFLQKDGSVPSPTSGT PGLHDFGQITPETMLSPESTEVFTTTHYIGLELDEGAKSLDLSYQVDEFKALCTQWQR YQDELKPLVSIGVQHVRNFNLPDDVFDVDEKKPQKKGMKSKKRGAAEVMIMAEED UV8b_03725 MATTSMDYEAAGGDRYDDDVTRYDRDNRSASPRPTRDETDSGRR RSASPNGNTESIAKESSGPKDDDDGAINPGSNLFVTGIHPRLTEGEVSKMFEKYGDVE KCQIMRDPHTKESRGFGFVKMVTSEQAEAAKEGLQGEQIEGRTLSIEKARRARPRTPT PGKYFGPPKRDPRPRFDDRRRGGYSGTGSYGRDDNYRYRGYERNYDRRNDDRGHERGG YERNYERGGYREDRRYDDRGYSRESDRGPYERRDRDEYYGRERYAGRDDRDRYSARGG PGGYERDRYDRQVDRSAPRDREPVPGG UV8b_03726 MVSGVQWLNGILKQRTEWHFYLLCCTNDELVMIHAGDIVAWMQN TTLTMARGLRSTLAQQHQGRDTASHNNVTSDCKAQPDVVDCGLLCILTFFRPDAETDV WGSVVLPKLPQEENCNTRRPAGYSILRNLAWLVPSSGRLVRIFTYSEVV UV8b_03727 MISALSPAKVLLSAVHAALNADIGSLAALAKVYASTLHHQLLLR IILTYLPESVKPAEYVGLLTQTADGRLLNGDTVAVEDILVIDLTDDQAAKEARRLLLR PLSCFDAPETFRNDPLGRFLSLRARSMNHEAGMVGQLLDLLLPFVNHSTELHDWIVCT ALPYIRRNSELRVGELPEYSLHQFESLPHRTASEYLVSQLNHLDRRQDGAVMIMRSIL GPWLHDTARWEHALDVKEVQPTVLLCPVWHQICEWLLSLAASSWPVAVQILEGWNGLE DVEFGYGLSSKLPPSCYKYFKRSYAALTLACVYITPEATLECLTSLQRITAKVRSYLA YDEPCKSLEEMLSDLPDFSIENLSIFREGRIASCMKNDLLEQSNPLTDPQENATKLLL ALILSAYILTIHGRPSSVKNVGELTFLRDELAQKTEVAKLLREIGSQTSPDGDANLLR ARRCLLWLHDWGKTDTAGLYVSACGALGTVKKAYIETEFLKMLLSTRRYALARSLYED DSYNYLSADIVQEVVYQSALLAFDNATNPDRSGGGLQHCHDIIHAFPGTVGPSLPGTK EIWALLKATYALSNYQLAIQPEEPFRPVVLRVHSDPISIIQKILEQNPRAYTRLQEFV EMGTNIARAGLPYPNVGMPADVQVTAEQPEATIEKIERRITAMCIKAALREDDFETAY SYVASRLACLVTDSSTHVLSDGWSWKAAMEAGLYVRTAWSQLPSHLGTASSDLNIRHV EQRIECLATALRLAPTNELQDILKNFRRCEEQLDSIMKEEIIGKIMCREGIHNNHSSG AFDDLQLEQQSSLSPLEESMVTRQMEDVPMSLFDLSRATARLAQKNITAFSSRQSIIE GARSASPDYSDALQQRVRKRDQLREAATGTLTVIKLRLWNRGLYYIIFIYFVAAVLE UV8b_03728 MASGYGMHGGVGRCFPFWQDVMACYVVNTTAEDDSGKKKCSPAL EDYYECLHHKREHARAIAMKAAYARAGIITPRNNAPSAEQVRDLGLLKK UV8b_03729 MTPLSRCGAGADPVSRTAAAAATTAAAIIITARTTTAKTTMPKR SATLDKGVSADLARGGTAADPCVVARRTARMALRAMSDALGRSRA UV8b_03730 MEAAVARRLTAALEEGNLLPDLQMGNRANRSTDAALSTLVEIIR TTWHHGGIASLLQLDISAAFDTIHFEGQLTEKLPITAGVPQGSPLSPILFLVYITPLY ERLQEVASTITLGFADDTNIIAYGRDTGETRALLEKAWLICDSWSKQAGLNFNPGKSE LIHFTRAHKADETVGCQYREARLVYTQVIRTSIAFGAGVWHTPTAPGGVAKGIAKSLA TEQSACLRTVTGAFKATPLDTLETEAATPPIDLYLNYIHQRFLKRMDKTGMTDKINRA SAAVAAMLQRQRRKGYQGPPAYIPFPDPDPSQLPAEERLAKDWHDRWQSNRAAARARR PRHGTPAAERAPDFQSIAKMTRLHEGLQKHESAILTQLRTEKIGLNSFLCLQRVPSVP SPLCRCREGPEDLYHIILSYPKYSASTDSTNSGSPNPSNIA UV8b_03731 MAHRVQGVGAAGADEVMDVDRGNRGGMAHSRHNPNTTIANSTQC NQTETEPAQGRKRPVADVITDFIKELQDHIPPAVLGRVREAINFVAETARQEADPGNI CTPIGTLTQTIETAVNKAIQNLPKPAAAPATWASIAARGLAAAVPPQRSPAPLTVPPR IHREVLIKGNTISEILQNRTPIEIVDAVNRATAEGAAVAARRLHSGDTIVTFKEEKAK YATDTT UV8b_03732 MATFRRFRPDDINKISKCNLDPLTETYELGFYLQYFAKWPSLFQ VCEDKDGNVIGYIMGKVESSPDTYKYSEHYLPWHAHITALTVAPEARRLGIGKILTEQ LELAADDSDSWFVDLFVRKSNHRAIEFYESLGYSVFRVVKDYYGDHATNPNQVSEDAL DMRKPMRRDRNRQHIRDNGECHLVHAADVW UV8b_03733 MKAYLYDNLPGDPRLSHDSGQPINTAALSKLGVLYYYFPDIADL DKLATDREYKNRDEIIISPEKMGSIYKEKVAIFFEEHLHEDEEIRYIRAGRGFFDVRS KDDQWVRIRVEKGDLLILPAGIYHRFTTDEANFIHAMRLFKDEPKWTPLNRSDIMEKN IFRRSYKGQYLNL UV8b_03734 MASSIGPCYKLSATVSKEVPFDNVCVLAQTPQLIALLSIIRNKN TDRADFIFYSNRIIRLLVEEGLNHLPVIEHDIITPVDRTYNGLMFQGKICGVSIMRAG EAMEQGLRDCCRSVRIGKILIQRDEDTSQPKLFYDKLPEDIADRWVLLLDPMFATGGS AIMAVQVLKARGVPEEHILFLNIIASPEGIKNFATKFPHLKVVTAFIDQGLDEKNYIV PGLGDFGDRFYTI UV8b_03735 MGKRKKSSRKPIGPKKSDPLPTTFTCLFCNHEKSVSVKLDRKAG VGELDCRICGQKFQCAVNYLSAAVDVYGEWVDAADAVAKTVHADVASGNTTKSLKN UV8b_03736 MDIPESISATSDQDTSQGKLAESVAELVAEPVAEPVAKSFMSFE DWKEIMLRRTGQDPQNLGFRKPSERVSGNRYLPDSEHAGFGEEGEISLNFETYTDNGG HGSSSADVTDRDNVENEKTRQHLDEDGKAATVHLSKDAGKTCKERFSYSSFDAGATVL KTSPGAKNAKAILVENKDTYMLLECDSKSKYVIIELSDDISVDTIVLANFEFFSSMVR HFRVSVSDRYPVKMEKWRELGIFEARNSRDIQPFLVENPQIWAKYVRIEFLSHFGNEY YCPISLLRIHGSRMLDSWKDSEPGRDEDASADMNEVIGNRILQDESQLHIHAKPTHAN WRHAEFTDSITRKWFCESNVLKTSEMTCPVFLAVAKDPTDVKYTLPFAAQTTVEQPMG TGIKQ UV8b_03737 MAGDDNLLPASSSSRVEEPLRNTSDTRSIIAPQECTQIKSDAFF DILPPSVAVNTLATSLPIDQQLVSSTEPENDLFSTPSQAQHKTRLSPTASAFNPYAGS SRALEETTPIAAALSAELGLSRCLRLSSEEPSTAQDIKRWLDDLELHGHKFHGRNSVT ADANKAYIHFNDIRDACSTLTTILLSVQRWKVEYCRSSPMDQKIVDSFTGQILLVATP ASCNSNVDQTVRIARNFLHSYGQLFAFIRVSNSSTRSLRAIAEFCDIAHCMKILSNCT HVTTPEGVRLVISPYGFCQDFANRTPISDTYHSVRRNTLGYPQSDVFSAISSTTTSEE RVKQPLTMYPLMFQSPLLANNATRITRSAHLSLTNNHNFVDVMRIREGIDVRTTIMLR NIPNKVDQSMLKSIIDESSWGKYDFMYLRIDFANDCNVGYAFINFVDPLDIIYFVETR ANQRWNCFKSDKVAEISYATIQGKDCLVQKFRNSSVMLEAPHYRPKLYYTSNGPRPGL AGQEEPFPDPDNQSKMKRSCENAEHVGLFTPNAGQHYRDEQRRRRSQYDRGTRLAALE EYDYDAGVQTLYNSPL UV8b_03738 MISMSGNLVGNRNSSPEANSSCSLRPPPSRAIGTGSSLRASADM ATLPGSSATRIRPSSDFYGQPQQVHGHNNMENDSQDKIAQQWIADIDQYETTLEEMAA ATLDKDFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMGKNHPL SGILSPVNFDKDPMSNRLSDAMNKLNVDSTRKSFSRHSAVVSNKRNSGLNTSTINAMF PDAAAAIATEKAKFTQQTGNPPSSNRNSAANDPRLPISGTQESHDMGATSSASLWGSA TGSDQVNVNPSTAQTPMGQFVQPAAPGGIRSPQLQVTGSSGIQSTALTAPEKNPSDLP LLSPYQSTSGSWASMVNTPMAATFSTGNIGANQADMVANATAMKLAALSTVNNRFALD DVRKYRRARSNDAQSSGHAQISPSGQSVTVSGNNVVMVNEHGHVLSREQVLAIQAQQS LGLGGQRSRPNSPGIAIHSGLGPASHFTSPQHNGFLSAYDGSSGLLGGGIPSVNLGQL GISGHEGYLSDHSDIVRGRSPRGRRGSSKPPEDPTDPTLLQDIPSWLRSLRLHKYTDN LKDMKWTDLIELNDEALEQRGVNALGARRKMLKIFEQVREARAEGKV UV8b_03739 MAVIRSRLKSLQKWHPRPGDIQAGDPVPIHRQRRNQPRATTLSD TRYRREDDAKLLLLKLPFIRLVREIVTNCCRPRDKEFTWQTQAIQALQEAAEAFMIHL FEDAQLCAIHAKQITRLHRGIRPARRIRGIWAGLGSPLKGNSCYNAP UV8b_03740 MGGQSKTDISPWARAASGATGAVLANALVYPLDIVKTKLQVQVR PDRACSTSAADNLHYKSTWDAISKILAEEGIQGLYAGINGSLIGVASTNFAYFYWYTV VRALYAKSSKNPNASPSMAIELALGAAAGALAQLFTIPVAVVTTRQQTARGDERKSLW ATACEVAEGPDGVSGLWRGLKASLVLVVNPAVTYGAYEHLRRTFFEGKANLRPQEAFL LGALSKALATIVTQPLIVAKVGLQSKPPPARQGKPFKSFIEVMEFIVDHEGLMGLFKG IGPQIFKGFLVQGILMMTKERVELLFVFFIRYVQSLLSKRLRKATQNSSLSLKRIPLY NSSKPLTTA UV8b_03741 MAKSKNSSQHNQWRKAHRNGIKKPKTSRYPSLNGTDPKFRRNHR HALHGTAKALKEAKDGKREVV UV8b_03742 MPQDPATRLAALLRAASIDDHEEILSAANIAIRANPNNETAHHT RVTALLKLDRFAEALRAISDGDLKLGTSCVLERTYALYKLGKLEEAASVLGSSGLLNR GLTHVAAQVAYRAEKFDKAQSIYHQLMAGDAAENHDVRINIKASQAQAEWKTLSGSSN FEPEKMPDTFELCYNAACASIARASLGTASRLLHRALALCDASDELTRTEKEDERKPI LAQQAFVLAKLGRMDRATETLSSFDLDAETDDDFKLIVQNNRLALEAEPRNPFLLERQ ITSWRMTLQDKAKLFDFQLRILERNSSIADVEAHKINGVRSRTQRAIKQAQLPSSDSE INSISLIGTAAETQGMQNKSLLEFMAALLKKQPYDTALVLTIIQYHLEHKNPTAALHT LESFFSLLERPNKENLHFVRFSPGLVALAVFLKNRKGKHTSAKTELANAAKFWCNHPA GPAASLLKEAGTELVSSFSEDELECAGAAFARLYDDNPTSAIPAAGLVASLAASDASA VAKHIKQFPSIESLVNGIDVENLVDIGVAAPTGSSSSKKRVPQDDVTGDNAAKKRKRR RLPKNVVEDKTPDPERWLPLRDRSTYRLKGKKGRRKAAESTQGGVIKEEETIGLVGGG DVKVERATASSSTKKKKKSKK UV8b_03743 MPFLRRRGNMGSETDIRRHTLLSISQPPSNERDLVPSLPPIPQL TGSEDSIYLSRQATLESDQFGLIPELTVTDAQQRNASRRFSMLRHRNASDSQLSLRLK QQAETLPPIPRPPAIITTLSASDVTDPEVSSLPRKTRHPIDAFGAERQHDSASGLLGE SHDVNGERSSTPTLTLDTFAQRRPSLQAASVINTLSLHPYSNRQSESPRSDASSADHI YGLSPVMPPKRAHNTTPFFKLRRNKKPSQPFFALSHLPQKSAGFAKNSSLASLALTTD SRPTSSRSATIRKGNEGKEHSQARHDFVTGSSVMKGTESRSGHSSPTRASFLRGRSST MSSVGRDSTDDHLSPPTTRTSTSTGRKSLGDLFGLGRIRQNSELSRQGTLTPATPGSI GSKSNSLQLPRDSSLLPERKDDESPANYLARLEGVVSRGAVASALARGTDAFSAAVLR SYMRSFSFFGDPMDMAIRKLLMEAELPKETQQIDRCLQAFANRYHECNPGIYSSPDQA YFIAFSLLILHTDVFNKNNKRKMQKSDYLKNTRGEGIFDDILECFYDNISYTPFIHVE DDLNLASERNQTKKSGRKPIISGNVADPAKRATREPIDPYTLILDGNLDALRPNLKDA MHLEDHYNYLGTAPCFNLKDLQNTFFRTGVLQIVSARSRPDAFMSEQTASNPDDAHPG IVDIKVTKVGLLWRKDAKKRKTRSPWQEWGAILTGAQLYFFRNISWVKNLMHQHENHL RSGHDGIPVIFKPPLEEFKPDSLMSTHAAVALVDSTYRKHKNAFVYVRQEGLDEVLLA DNEEERNDWLAKLNYAAAFRTSGVRMRGVVGANYDGQGRRGIRRLESADTSHLIQTPT GPVSIARGYIDHKMAEDIQAARRDCMQQKIREADKKVEESQKQLEEQLRNARHLLILA PIQPRTREHILLAAARLSAQLKWTRMDIWREKCHRDILIQDLADDDICSTIKQPDLDS EESTQTPRRLVSQTDCKPDSAPVPNLNPQTPTEDSLSRASSFVGLAPDLAPSVLTASQ LPQSSTMETARVMSNATNTRNGSVSSNTPSFVSHILASTSQCNTSREGDKTFSGGRSD NQDDVDADERDFLKQAGLWEGRSRRSSVDKSKVSSSAEMLEYGPPAERDKLERNKIRR SLQRTLRESAGHLSHHRSKRGRDASASTSNSLDGATGETFLSRGTGSFVVHGKKASVI NLGTELQTLSQEEKILCRKQQQTLQVEQPIVSRLLVDELDEAFHAAPETPFESNNSNS RRSSGAIAGTATVDSFKKPDKNKLRFQGAKSASRGGPIVASGPDSEDASCSSDGQRPS QPRTDREDKFGIGKASTDDEMPYETEGRREDEPGENDNLAEEEGKSEQEHDVIPSHPT QAVTA UV8b_03744 MAPDATSDCSEFCNQGGNTTHPYPEEASGDGENGTDSLISLVIT LPDPEAKKLQIMVSSQEQIHEVRQSIIDLPAAFRFTCFHLEYRRQKINDFISIADIPD LDPEPEFLLVEDPYTEKEARIHLVRIRELIGAAGDRAETCQGVLPGLSLFESVSRQAH TCQDAPLESASPLKDYDFQSEPSLWNLLPDALPPPPKTVKSVGLSSWNPPPCHLRQRG HLLYVLVITNEGEQFQITSHVSGFFVNRSSNTKFDPFPRPAAKGLSAHSLLELMSLIS PSFEESFHQLQEYNNSRDPLSTFQITNAIPSAPWTVPSPSSSLCAHVPDPTRPQETYL FAGIENTDTLRDWNEELQSAKELPKDTVQDRVFRERLISKLFADYNDAATKGAVMVAR GEIAPLNPTECRDAQIFVYNNIFFSFGADGVGTFTSEGGDEAARVATGKDVAGVRLVN QLDIDGLFAPATVVVDYLGKRIVGQSIVPGIFKQREPGQNQIDYGAVDGKDIVTADER FVSTFAQLSKALKVKKHPVWDKDGKRFNLEASIETKGLMGTDGRKYVLDLYRITPYDI YWLELTKSANGNEGYPHRMTVLRPELVDSFARYKLKQWVDKELSCRSRAQSKSDQPAH DDETKRASNETLDTSLDLSGFSFSLNPDAFSGQTPQNAEEKKELQEDEEEVRNAGKYL RQQVIPQLLRELSSSEISFPMDGQSLSRLLHKRGINMRYLGVVASSSTESRLRCLKDI CILDMIARAFKHVASSYLRTLPVPLTSACLSHLLNCLLGYDLNSTPVVDIDPSLRLMY SDSDLSFESATPGSLRMVVEEQVLMRFRYNLAPNWFQIVGKVQLLREISLKLGIQVQA KDYHFEPNKSMHNERISQSLPKIAGVQQDGESKDKKKKKKARESSPISSTSFEENLTF TADDVVDVVPVVKHSAPRSALAEEALEAGRLSIIQKQIKLGQELLLESLSLHEQIYGI LHPEVARVYNSLSMLYYQLDDKDAAVELARKAIVVAERTVGVDSAETLLNYLNLSLFL HQTGQSRAALVYSKHALMLWKIIYGPGHPDSITTLNNAAVMLQHLKQYHESRLWFEES LNVCESAFGKQSINSATLLFQLAQALALDHDSKGAVNKMRESYNIFLNELGPDDKNTK EAEGWLEQLTQNAVSIAKHAKDMQTRRIRSGIRFPATATPAQQQTVPHPERIAPPSHV DSRSIDELLKFIEGGDHKSNTVKKRPGRGNPKRRGQATVA UV8b_03745 MPSSARRARRGRRDARRDKDDLHLVDLEEPSPSRPAKRRRRTRS SDPADCSHFSSLTSTSRTHDHDDLRGSNDDQVVSQVTQQLKSRVVQASKDHANAIHEA NRDGVKAYAKVAAQDWTFYITKLAVNIGRGSEVSHGDDQADFGAHVHIDLGPSKMVSR DHASICFDSKDEEWILEIKGRNGAKIDGRPLKSRASHRLVSGEVIEIGNVEMMFVLPS EISPLHVNAAFLQRCGLTPEAVQAPISRRQPPITPASAEYKGPGTPPPVQSRGASSAK SPAVATPAVMIGAHGVDLSQDDNQHIKPQYSYAQMITQAILNAPDERLNLNGIYTFIT DSYSYYRHQQAAGWQNSIRHNLSLNKSFDKVARSTDEPGKGMKWQIVPEAMEEMVKNA FKIGRGGHRGSSAPSSPNQLNYITQGARDMATRQQISARRRLVSPVVSPPNPLSVTAT HSTPQQRSEPPGGRNATSTVDGSPLPRHRKSNRSIPDSSFSSLNPQSPTLTLSYLQDE SASLVTPAPPRIHPKLAPPSTAQRPSQHMPTSSPAPFWKYADISSTPLRPSAMYELSP SKLAGGIPPQSSSPPRASKSPPSSPSKPKKPVIANKFEQGVAPREADENQGFDLTRGF QSIGSYHAPVGRGLSVANAMQRQS UV8b_03746 MPKDETFPGVVLRLSEHLAMNQVVEGGHLPLEEWFWEMPVCTRW WTAATVLTSALVQCHIVTPFQLFYSFRAVFIKSQYWRLLTTFFYFGPFSLDLLFHIYF LQRYSRLLEESSGRSPAQFSWLLFYAMASLIILSPLVSMPFLGQPLSSTLVYIWSRRN PDTRLSFLGLLVFTAPYLPWVLMTFSLFMHGSVPRDEIMGVVIGHVWYFFNDVYPPLY NGSRPLDAPSWWRRLFNGQVSEESRDGFNNDLIPAGGGRDRGAPHVL UV8b_03747 MDYDRLKEEWSEVEERDGVRLSWNVFPSSRMEASRLVVPIGALY TPLKEKPDTPLLQFEPVTCKQPCRSVLNPFCQVDVRARVWICPFCLSRNQLPLHYKDI TANAIPPEIHPVNTTVEYRLSRPAPTPPVFLYVVDLCQEEDGLSSLKESLVMSLSLLP ENALVGLITFGTMTQIHEVGYEGCSKSYVFRGNKDYAAKQVQEMLGLSSSGVRTSAQG QPARSMSMGPSSRFLLPVQQAEFPLTKALESLQRDPWPTANDRRSLRCTGVALSVAIG LMESTFQNSGGRIMLFAGGPATEGPGMVVGPELREPIRSHHDIDRDNVKYYKKALKFY DNLAKRAAHNGHIVDIFAGCLDQVGLLEMKSLCNSTGGHMILTDSFTASMFKQSFVRI FEKDGDDHLLVGFNAVMEVLTTKELKVTGLIGHAVSLNKKSVSVGETECGIGNTCSWK MCGIDPQASYGVYFEVASQGPATHQQAPQKGMIQFLTYYQHSSGQFHLRVTTVARDLS GPAGDPAIAQSFDQEAAAVLMSRIAVFKSEVDDGPDVLRWVDRMLIRLCSRFADYRKD DPSSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFDRHVLNHEDVGNSLIMIQP TLDSYTFNQDGGQPVLLDSASIQPTHILLLDTFFHILIFHGETIAEWRKAGYQDQEGY ENFAALLEQPKEDARDLITDRFPLPRFVVCDAGGSQARFLLSKLNPSTTHTTGPYGSV GTSSAQTIFTDDVSLQTFMDHLMKLAVSGTN UV8b_03748 MGNLADELADAFTDSSEEGEDVEYSDGPNDVLYTEISRQQMAAP NLLGAMGVDVVATPVRHQCLELPPSQAKGYYESVVDGDSSEYGSESDLGCAGLPSTLV ATIDGVESLVQVGTESYGRAEDDVLRRVTDGLRDLGSQLILETNASRLITAHTALTTH LSHQTRQLHGLTFPLLSPLAAGPDKETIDDLIPLLVSLTDQMPRPSTYAFSSLTALHS ITAELIETLSYLSDTLHMSRQTTATASRRLKSAKDLVFEIRRDEELRQEGERWLSRGN WSQRLASRECANVCGEVIGGFEDVCDSWRQRLLAQANSQA UV8b_03749 MWRRTYLLLVLIRLWFALSPSYLHPDENFQGPEVIAGQIFSYPV RKTWEFTSEHPIRSVFPLWPVYGLPMLLLRWLWIGHGQDREIPPIAVFWTLRVLMFLT SFVLEDWALHELIPSPRHRRIAVLLVASSYVTWTYQTHTFSNSVETLVVAWSLVLIQR IVDTQQQSSLMSSTVLGIVAVFGVFNRITFPAFLLIPGLRLIPHFSNKLSSLAALGSA AFITTIVAIGLDTAFYSSEPLTWADLVGNPIITPLNFFLYNSDATNLAQHGIHPLYQH IAANLPQLLGPATVLLFTKPHLSLRLYSAISGLVVLSLFPHQEARFLLPTVPLILSSV ELPKNKTLLRTWAGAWILFNLVFGVLMGIYHQGGVVPGQVFMSNQPDATNAIWWKTYT PPIWLLNGKNEVLQTKDVMGLKGAVLLEQLTDLATCDTPADRRSREYLKESNGTYLIA PASAVWLDPYLQNKGLQGLRFREVWRYSKHLNLDDLDFGDDGVWNTLSRVVGRRGLVA WRVTKTCPEQ UV8b_03750 MSKITVAHVRTQVAELLEYSNETKKRNFLETVELQIGLKNYDPQ RDKRFSGTIKLPSIPRPNMAICILGDQHDLDRAKHGGVDAMSADDLKKLNKNKKLIKK LARKYDAFVASEALIKQIPRLLGPGLSKAGKFPTPVSHADDLTGKINEVKSTIKFQLK KVLCMGVAVGNVGMEQEQLIGNIMLAINYLVSLLKKGWQNVGSLTIKASMSPPKRLY UV8b_03751 MKLVLDLVAQSILKSPNREEAIDIRKNILEILISIVIGRSKKPV AKSAIKTLDHLLSKGVITLDDIKSTYVSLRAGRAGHDDTDIWRSFTVDLLHWMKLHFV CSIAGRLIVSIYCHWRQGKVAGTVPEIETWHQWLLDFLSEEAVLLESIKNYVFLPLFK ADRSEALKYLCWMNKDHALSSARNMSMGTPALLQLAALEAALGSGDDGAGDPLSITLQ EKVLETVLAHPSHEVRSLALSLLVTSPSTTRPYSSTALDLLKKHLGSFFADPDAKFRV DFSAKARDMFKRVRGAIHVLKRSIRRAKAKIQKANALVLSGQSAIAQPILYRSNLISL PEAQLTYCLHAHVKFLHWYLAFLCNEMIPTASYQRHIAALKAFMYIIRMEDDGSKTWD TDDDQQLFFNQFDSMWARALLDLIMDPFEDVRDVAAAALANCYTDGRYRWLTLDGFGT STVPVGQLIDLSRRASELARRTARADHSDGAAKASQLLCRFLKSGNERLHMLSTMVDE LRSKIDRVENDLGRAVVDAPLHGDFASLCDTWQVVSKIKLLAPQMSQAQELQEHIVSC CERTWNAVRDILCDDSPEGHLPEELEEVDGLDTKGLLSYSFRAVHESSNLMRAVILTA RNAPQGDLIVPTSELFERIGNLTFTQLASLRHRGAFTTVSATFATCCQQTKHLAFERT RQSLLDTWYKGTLNAIYSQASTTRRSAGIPSMVTGILAANSPHPTFDQVMNTLTSLAA KEAKVSEINGSNLPQVHAYNCLKDIFKNSLLTSLGNKSESYLPDCLELAAKGLRSEVW AIRNCGLIFLRSLIDNLFGTHESKATIEAGWDGKANRIHYHRYPNLPTVLRALLQSGH RILSEPTDAGSAAESVFPALDLIRRAGPPDLLRDEIQVLVAEYLLSPVWHVRDMAART LCSCLLHERWLADIEHICRSALSRPSAHRQNHIHGVLLTLKFVIERLNEVAPEWLLGD LEHLGSFLSQTKLDVLFSSCPDVVAAYMDVINAVWSIESARNLPLSPFRVSLPKTTTG SALLNIQKAVYHVYLTSRLDEPIPYLQSHLQCPSIDLDGLVAALETTSKVWPPQSCSQ DVLSSLCSLYITVCLQTNYFEAQVLCVESLTSVMDDLLEQRAYDKIPCGALLTLWTEL AARPMNPALSNAVIRASGCIAAAHSHPGSTSSSSSLHAWGLIMADAALDDKTFDTRFA AASSLRSFFAVHSSPRAPDHLFPMVTLYDALNDDDEQVREVAAAAVQSIVGDALVPLE AANRLLRFLATAFGASPCFRAIVADRLVGYHGVRAAARQAWEPAEDELARAMQFDDSL FVVEEKNLFVDEVRETKRWVDVFNSLDWQADEESLIKLDDWVRRGVLHLAALAEVNDG PLGWASDATVFAICTRLVRSSAALAGKTGSLDMRQAIATAKEAMRLNGSRISQLLTEG WIGI UV8b_03752 MASHQPFEALALVDWSHVLQHDLELFMNQVFDQAQIVIDSIPFT ATATKPASSGRARAKTDSAVVYSQGQESRPAQKSPAAPGLSARLQKEWKEVKTNPKDN PFGISVYKLPGKDGKGAWFARRSVHECLSFDEWKAGLKRELAESLKVQGSPGSGNIRG IGAERNVEHRIVQSAGSLDVFQLSAQFPGPTAPRDFITLLLTSDVPRLNPDQQSQALR QYMIVSKPCLHPDCPPRPGIIRGQYESVEMIRQVPAETDVTINKRSLSSADLTLDEAR RSSTVHLAEHNSRVPMVIEWLMVTRSDPGGSVPRFLIEKGTPPGIVGDAGKFLNWVST TAFEDGSARPAQDEKNVNGNSAANGGTSTAKLPPDEAVLDEENHKDSQRDAQDLDATY NDWIPSSTGLYGIIHGAYGVAGSIASGLRSQLSNPLSFAGSQSSLADGQRVQRQEEEE EEEEEEEEEEEEADGSEDEEAQSDTSSLRSFRSALEKSLTGDKATTSSVAGSQSEGSK SQRLQPLEKDLQKLLDRRKKLEQRYTEFQQRMQPKRQGEKDKDVASQAKLREKHDKEI AKQEAKFKREMRKLEEKREQEERKAAARRKKTLESREKARLTLELEKIRTERDVAAKE IELLRAQVGELQAQNTMLAAKLGRLGGLNREDVTCSKAASVRSK UV8b_03753 MTPNGPVSSPGPPRQHDRGVAVGLNEAALDSPTFRATAGHFADQ TEALERWLNGYVNSTFKLANEILGLEDTINAYLTKTMPSGADGIIENDYTLLALKRAG DGQRECWMQILTSIKKMDMGVIDPIRSFLNGEMRTFKENRRVLEQTQRAYDTTLSRYV GQSKTKEPSALREDAFSVYENRKAYLQASLEYCQFAPQLRLAADKLLVKVCNEIWKDL QRSRDAMTNATRWTREMDHILGWAKVMESSEGVFRMELQAARRELGEATQEVFKPSRE LEDYSASTVPFLGSRGPLSLRSNEKSATISGKQGWLFLKILYGKPVRYTWVRRWYYCR DGVLGWLVPGPQGVLQGDEIGVLLCSAKPAVGEDRRFCFEVKTKNQTMVLQAENQREL IEWLEVFEVTKKKAFEASIGNSSRVATAGPDPAFSIQRPSAPEFAAVNTDSPGVAAAV SGDDVGVAGFDRSVTLSVPAQDIVRHSADVNNMVAGRRSFSTLGRDLAREEDESRREH AARIVQKLDLHRKPTFGAGVVEAGPISPGLPSSGIASLISASHHLLPAHPAAQVPVNK TGKQSTGLLSPLDSSAGSLAPLTLARPPVTTNLSRAAVFLMGEKRQVANSRTLPTSIV ANYWGSNIWATINTPEQPILPKRDGDDAMGVAQQPNSLKNLPGAEGEGRIGQPFPLNY PAELKAQTAQFRLLFPTAPPGEELVLVFRAAWSSSEARDSRSEVLAGDGRIYVTSDNM YFYGHQMGLVTAYGISLDIITEVTTAAGKDCDFIFLHLGQDTNETGYTRITVKIFLDN VHLLHTRLNLLMDNLQAEEPMDTQDIISALMNVDRDEYERPSAGAESWEEVSAHTPMD DGTVSGRPVTRNQHGVGLHHQPARTPRRLPGKLHLPSHPVMYEPEGMTQKAAERHFEI SAKACFHVLFGDKSFVFAKLYFEHRAQQIVQGPWVLVDQGRMRRDFDFKVDYMDMLGR KKSADVSDCQTIDVFSDHVTYVVTHIRTAWHLPHSQSFRLVTKIVITHVAKSKCKLAA YVKIDWSKTPTLSKNLVERQALHDAVAGAEEMAELATDQVRKLGTRSRTNAAIYVYGH VGEQTQAVVFSPASAESSKKQAIKPRTLTALFFETARSFGESAVSSLIMWAFAGLGKI FGIFTANRVIVLALGASALLNALLTSSESSTWWKERSAAGFMRRIGVTPNPTMSKAIY FADLDEATGGDGHALDFPKNSTCLRTYKSLLSTTEIDMPWEEAGVTLSSPWGRATARR LRRTRQRLGSYRHDLMVAMRVVNSVEREMVQMEWENWLVNEKSFCDELEQVLRGGGGG GGGGDDDDDIPVKDGWLGAKTVGSLPEDKKKMLEQWRGDHCGSCRRDYNAVMGGGLVR GR UV8b_03754 MSDAQANEAERNIEIWKIKKLIKRLEAARGNGTSMISLIIPPKD QVSRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQNRLKLYNKVPPNGLVIYCGEIL TQEGKERKVNIDFEPFKPINTSLYLCDNKFHTEALAELLESDQKFGFIIMDGNGALFG TLSGNTRDIVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITND KVNVAGIVLAGSADFKNDLNASDMFDNRLQTKVIKVVDVSYGGENGFNQAIELSSETL GNVKFIQEKKLIGKYFEEISQDTGKVCYGIDDTLKALELGAVETLIVFENLEITRWVL KDSNGDEAILHLSKQQEQANRDKFLDSSTGQEKEIISQESFLEWIAEHYKDFGAALEF VSDRSTEGNQFVKGFGGIGGILRYKVNFEQLADLSDDDEYYDD UV8b_03755 MDPESVVTEVAETIQIGHIRSHPDLNYHAAPSTAADRKEQIIFH SPRLSDDDLLDDMADDGGDLPYSVLRPAPKTHNLPPLPDLRFEQSYLRSIENADTWWK ILLITARDQIMMPFAQGLIYNLLLCGWQHWNRNAKLHGNTAGSRVRRWWYGVNNWKIP NRQT UV8b_03756 MTAADDDSSDLSSLSSLSPVPSDADLDDRSDGTTTKPKPSILQF FPKITKEPPKEPSPPPRKRSPSPPHEFVLADNPDIAFIVMFRSRFGDAFPKSLPHFGP QELERDVVETSPGDRAEHLLCALLGLALNRKQDVKIGHYGRALEDAITSHKTQWPSSW NDKNPLSGGATFNSMTPSERLTLLRTLILWSMASSDTVKGLINQSYRQNRQEDDVNQA RSVQPWGSDGDKRRYFLIEGQDDTNFRIYRESNPAGTNRTWISVAGSIGELKALAEKL RTRDGGPKAKKLAQRMLLAIPRFEAGEEKRKRREYRQMRKEQFKRPEPGFSMYEGRTR GKRIKYTYSDDEDVAHSDATGQRRSARNTGSNTPADDTVPITTSSGRQIRAPPRLNMV VVESVPASAQRESFEMEYDTSMGAPGRPRRSATHPDTNGWPNDGSRRSESEEESEADF GDDENDVDAHIPSGESGDEDDLDEETARTGGGLEHQIHSLVVKLSVTPPKLRTALAPI HQEFNLLPAPDARDWNVNTGNQDTRTVEMHDAPDLETSVRVKSVNREQTPERKVDITP PAHGQSRQAAAAKGNTIQAQTPSVIPSTSLALRGSPEKTLVHLASPSDETNGKHSCVA RR UV8b_03757 MDSKSSSPGQSPGPSPPDMSTIKAQHYNAGLELESLEKKFQILS QQVYPETKPDEPQPEPDLKQNLELSILGITLASKKKEKIQLERKIVLMKRNAGLLPAK EADEQNRTLTRRYFSAGDQLWMHQKKKVRLQQDVGKIRLLDPRCNAVSECLLALYRKS DGQGQGKRRRPSEWRANALTYYKARIIDHDLDGIGGAWCHVSGCWHPEDDIKAAHIVP FFLDSSSIGEILFGSRAESLNRPGNALLLSRHIKGWFDSHHLVIIPVDPMETPITRWR TELISDDIRKSLICPEFHARELDGKELTFLNENRPVSRFLYFHFIMALIRIKDLKRRG WETIWARYYDQRPFPTPGPYMRKSMLLAIATHFETTDIEVVNSWIKGNGFETAELELT KEEADQAARLVLEAVEAAETKTDLEENPDEEDYSEKDSVEKDYSEEE UV8b_03758 MGRVIRNQRKGRGSIFTANTRLNKAPAKFRTLDYAERHGYVRGV VKDIIHDPGRGAPLAKVTFRHPYKFKQVSETFIANEGMYTGQFIYAGKKAALTVGNIL PIGEMPEGTVVSNVEEKIGDRGALGRTSGGYITVIGHNPDEGKTRVKLPSGAKKVIHS SSRGMIGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKE UV8b_03759 MASTKEYGLLCLENPLLDIQAVGDDALLEKYGLKANDAILAEEK HLPLFEDLLNNFDAKLIAGGAAQNSARGAQYMLPPNSVVYLGGAGDDKYSAIMHDAVK AAGLRVEYRVDPEKNTGRCGVVITGHNRSLCTDLGAANHYDLDHLKKPEIWSLVEKAE VYYIGGYHFTVCPPAIMELAKQAAEKNKVFVLSLSAPFIPQFFKEVVDASAPYWDYVI GNETEAAAYAESHELPSKKPKDVCKHLANLPKENKKRKRVAIVTQGTEPTLVAVQGED EVKEFPVHAIAKEQINDTNGAGDAFAGGLLAGIMQGKDLATSVDMGQWLARLSIQQLG PSYPFPKQTYTASSS UV8b_03760 MATATSQASQYWHQALKMRKHLLKHLEKLQAETTLGIDISQFEA IEGLLEKFRLAFVHTIFLDFAYAVKENSEEALWTSHTSINTEYRRIQSRLKHSSHTVE RRKLEKSYNNFLRVAQKFYKGYIQRLAARYDVPELRRVAQGIDVEQMDSVDQISPIPA KLQAMVLKSCHSTLLRIGDLSRYRTQARHKNSGYETALTYYSLAHHIKPHSGYAHHQM GIVHLDQGNHLDIVYHFYRAWAVESPHPNAQSNLELEFKSLQLPNSSKSRHNAATAQD AFSMWFVRLHALFYKGEKFHQQAELEGEIMHRLEMACHIEKSTNTLLKMALVNMSAHH IAATNYAESQSLNSLRFYHFTLRFNALFVSHFCAAFEIELKEAMSDEGGDTVPTKTAN ITAVVEALLPVLRLYCMWLAACRSEVCAGLQMLEELAPALVQNLAKVFTLLCVFTYNQ ESLASCPYLLSEDLEIRGFRPLAEHNIPEPCRCFCREDGTPKTYLEISSSRLDLAHES LARVLDILRCAYHFADEDTFPLSHGIIDNWLVFEHRPEHTPSTNLLNATPVVVEASNT ASRGNPIAADNQVAGHPDKAAIFNGQDQPVRNLRPASAYHQLQSMTPHDDILRSDHVD EAENTVINMLTPFLKPPTPQSHHRQGSSSNESSYGMHTNTANEILGSFQTEPSPAGSV PSGKFAQLPWAWFNTPKPDPAKDSQPSSGQAAFSAQCSPHQSPKHSSPFSNLFDDPFA TPGRNQCASLVNSNEQRNTRHFSSPSLFAAESSVRGNNLPHTFSGTTVPRSSPFTQWA ESQKLSQNNGPADFPWGTRALDRAPPSTGTSGFSHPSSLYQGTPANGLGLGLGTGPGD SQAA UV8b_03761 MYSELAIAVWQGPSTRYHGNLYASFPIILQRHSTLAVTTYRRHC GGVSVLCYRAAVFTFHRLDIDINSPETRGCFTQKNLETSYQGVGTGRAASRDTVLPPA KFPHIDSAVEEGDGNEVTTMRCSFPPHKEPLFFQSYQEYECHYNMFHTNRCLGCRKNF PSEHLLGVHIEECHDPLVKVRREKGEHTYSCFVEGCERKCLTHQKRRLHMIDKHMYPK NFFFGVTKEGIDGKRSLLVETGHRRRTSSAASSFKETRRRLSIGEARDAGPHGESKNC TAPCFASVDRKLPQGENTDANMEDLTGAMSALQFVPPSVKFGRGRAGFSKS UV8b_03762 MPAIRHSSKRKPPPDGFSDIENDLLIFSNKMKDAQNKPPPQGPK HQAQWEIFQIAHQRSRYVYDLYYEKEAISKQLYEWLLKNGYADAMLIAKWKKQGYEKL CCLRCIQTKETNFNSTCICRVPKVELKESHEDRQCVNCGCRGCASSD UV8b_03763 MAITPTQFAKKTTQSANWSDAKRRVLSSYREWIRAAPEIQTMYN MPLPISAIRTRVRQEFERNRFANKLPVVDVLLFKSHAEYQETMNFWKQTTHVMSYFKD ENFRGDKRLPSSFLEGFLEGRN UV8b_03764 MPSRTSTAQGPASQDVSMQDGSSAAQSEDAEMEDGFEADELEEK KEEEEEEVEDQRVRILPGSTDTAASFEFTDEGHTLGNALRYIIMKNPDVEFCAYTIPH PSEPKMNIRIQTYDGAAVDALKKGLHDLQDVCDVVAEEFWVKRQEFNSSNHIHQ UV8b_03765 MLHHQQDQHAAVPSRPPESQTFVLGSCSRVASVIPGQPAPFASR PQDVIPGQYHAMKHKRNISRSGRDSVEIPGSFGPSFSRDAVVAYNGETWRKRKTPVVK PTNSAQSSDAVLQHVSLDSSAFLGFSPAYENKTSNMGSASIANHALAQ UV8b_03766 MTGQFPHPPEDIIIDFGFLDHGWLLPKTPNTIFEFILVGPLPGY ATSTWYTGRQITCYNYDAMFCMGSARCQAAVYPEIRRTRTFCCITREERSIVGLSAYQ LFPSLTPRTPHEREDWSKPIASAILFLCRLSPAACRLPPAACRLPPAACRTLVGLDGN SNLAEYFALEMLLKSSKVGALLEASLAY UV8b_03767 MSAAIAMAPSPAPHERTAFSSADVVSAEAAATTKSTGSSPPSTQ RTLAASGSNSRGVTLSVQRQGDSNSPQVAGASSGTKSSPAGPARNETGRVKVMVKKEP GSPTLSNMKPRPRRLDLSKNTLASANNLASAVPMSARESLGIQEVGIACLSPGFVTQD PVMKEQLQRSMSVREQQRSIIESRLQQQSAKGDGPSDKDKDSASGFAAKTPGMSRRGK APPGLSIVAPSHEQFANERVIQSAPLGRSFTGHHNSIPVSRHVSNHHPSSHLSSSSHI HHVPAHQTNNRLPPISDVFGHSATAHPDNAPPAFKRGAPLASPSHQPMHQQALGSGRP REYKSAEEAQQELAGGRAELLPKIIHYGGHQPPTPPSPAPGSRQVDSVRNSTKRRTRA EYENGGSPPLGYGPSAPRRGPFGEGRESPETQRAKKDEFLRLCSRAWDLFHS UV8b_03768 MPAASVTSSKPNSAPSSKPSSVKSHDGSKKSPALASQDGDSASE SSHLRAPLEKASVKERFTRIFSSKEVAKSNGYAEQAGRSRGSSLNGASSPAPSRKESS EKPSEKPSPNPSKVIPGKELGMRFAPNPDVQGGHEHHLKSSRRQEKLSDMWRTLLGKK PEASPDHDLSLVSNWVDSLRQEREEAAERKGGPNPSSTLVEKYGKCQEVVGRGAFGIV RISHKKLGGSEKLFAVKEFRRRPEETEKKYSKRLTAEFCISSSLRHPNVIHTLDLLKD AKGDYCEVMEFCAGGDLYTLILSSGKLEVQEADCFFKQMMRGVEYLHEMGVAHRDLKP ENLLLTTRGALKITDFGNGECFRMAWETDAHMVSGLCGSAPYISPEEYTDKEFDARAV DVWACGVIYMAMRTGRHLWRVAKKEEDEFYARYLEGRRDEEGYGPIESLHRARCRNVI YSVLDPHPTRRLTAAQVLKSEWVREIKLCKAGEEGL UV8b_03769 MADDAASSSSSPRLAIEHAEDQPRPPLASTLPEPPALQRYCSPA RQHTRTPSAHREIKETLDARVEFASDEVDGRTCHRINQYIIVEEIGRGSYGAVHRATD QFGNEFAVKEFSKTRLRKRAQSHILRLGPQGLPRQLLPGPRRAAGPLSPRMTGLRAGE ESDSLFLIREEIAIMKKLNHPNLVQLIEVLDDPEEDSLYMVMEMCKNGVVMAVGLDEN ADPYDDELCRCWFRDLLLGIEYLHAQGVIHRDIKPDNLLLSDDQVLKIVDFGVSEMFD KPDNMRISKSAGSPAFMPPELCGKHKDVSGKAADIWSMGVTLYCLKYGKIPFSRSGVL EIYEAIKTDDPPIPENEDPAFADLMGKILEKDPEKRIAMPELREHSWVTKGGVDPLLS TEDNCANMVEPPNELELSRAFTRKMNHLVSMMKVIQKFRMLLAKIRTRRGGVGAESCA ASAQIPGSGAEGLDAALEKTKAEEIQNILARRRLLLDQQDRGANKGHAHEVGDQDPLL LGIGTGARDAFARDETTPDVVAESPTAVDYNVYDRAYEEAIKRRLSVNPSKRPIMYLT NFVKETDYFMKTGDLVDGTALSPPLAKAEPKEAAQVLRDNAPFLQGPHSPSSPRTGLT ETLRTAAMRSSMSAASHAGSGTSLLKSEWREAVGTATANLSCSPSTKLAHVVNKMAVC TPGLGEEVKLEPQPDA UV8b_03770 MVVYSFYIFDRHSDCIYAKSWLPSPQPLSRGDATRSDDTKLIFG TVFSLRNMVRKLGGDDDAFISYRTGQYKLHYYETPANLRFVLLTDTQSPSMRNALHQI YINLWVEYVVKNPLAPVEHKGGEGVRNELFELGLDQFIRGLM UV8b_03771 MFTSARRWLRNNRTPIAIGVGVIGAAYLATQYVLDKIHDARERM SSDRIAKENLRRRFEQNQQDCTFTVLALLPTATANVMAALDTEAITYEIQKIKASAKT LKPGLSESTTGPPSIADTTMTEEDGKSMATSASLHSESGIHASQIAIPAPSSSASPAQ HAQQQHAQQQQQQDGAVLPPTVSRKTKRQLWHDLTISAATRAFTLIYTLALLTMLTLV QLNLLGRRSYLSSVVALATGSQQATISLENNDDDNPEQDYGSDFDTNRKYLAFSWWLL NKGWVQIMSRVDSAVRSVLGSLSPRDLLSFDRFSELTMEVRKLVEGSTADERRRTDWL SVLLPPRHEEEEVIRQSGILEDNSVQGACPAEPSPATLRRLLDETADLIESPSFSHVL TLILDAAFEVLVDQKLAVEAFELPSQSPYPPPESMPLRESRVILLPKVLSVLTRQAHV IGSGVPNDYLQRMETVRALEAFAAVVYSSNWENEIRQEAIMDSAANMSKDKTQPQLTR PHEQQKQPAADESIVVVDSAAGEFETAWEKARGKTS UV8b_03772 MDRQQDPPEFILDVFTDPRSLRDVVKAILHTIFFTRFFPSLVPR TRDVLDLTLPYVDDNELETMIDQRASALERQLDAERSSGGGGNPNANANGGGRGQVAI QFFEKRRRKAWLSRGDEEVCWESWTLKVTVAEPRTESERAKVRRAMEQTLLTTAMKIV TFANTHKDHIPPITTQGGNPFPFKISIDQKETGWAARMRIY UV8b_03773 MARVTPFSGPNEPLLIFQDDKSDRTAPMTSHAPMPSVTKPARRP LSSSNSNVILYAPNKPSTGLSPHKATSSSSPRSPLKPSSHANKLNMVSMAPPLCRGPT TDSLQKKPHLSKFKTGPQRPCFDVMSYGKENIHPQIFPAPAAINFSVENHFQKPSGKR ILLDAAPIKDSRPLKKLKTDEATLPPHDSFPQILDDGSKPAHSYAQLIGMAILRSPSR RLTLAQIYKWISDNYSFYNPTDAGWQNSIRHNLSLHKNFIKIERPKDDPGKGNYWGIE PGTEYQFLKDKPTRKAAPTAENLPVMSTRLEPSQPMTMTMTMTMPAQEPTLPPPPSST MHCALPPLPTSQATVPMPAELSSDATIPVSDNMSTEDVVDKVVESDLPPEASLYSPLP ANMHSSPPVSRHVEVRSGTPPPVARNLASSISRSHKRKFASMDDSGYISSLESSAVRP NQKALLLTSEADRPRIKRGRAEEEIARIRNSSPFSPTKSRSLTTYEPISSSPLRRANE HQLLPPLTPVVKIKPPVRPPPSASPNTNLRIHRDKVRHMLQSPLRRVAGIGEDSIPWS PAFNLDDAVYTFDDMAMQSTEYDIFQDFSALDGTILPGIGSADAGSPVKRSAKRARLD RSVSTSALGELTNSVKKKSMASVPLLKLPENSPSRFLETPSKAFEDLGSPFKLFQQQS PSRMPSPSKFSALLDPPADGDWSSLALDSTDFGSGGSEFTGLDILQGFEKIGAGSQTS KPKQRGGKPPLSRSYSTAF UV8b_03774 MWQWAWTLDSDGSALPAACSLQPGLVGVNWRGRLCNCAVQQQQQ QQQQQQQQQHTWAENSLLPAQSLTHDNDTTMVGEHHIVIANIIANIIASIVASIH UV8b_03775 MYPSSNVFLGGNSQRPGPQQYGGSSFNPVGPGGQQQHQPVPFGP QPTGLGQQPLQQQYTGFPTQSHPAGMPQSSQPLQQQYTGFAGAQTQQPSFQTGAVPPM PSIPLQYQQQFLQQQQQPQQQPQQQPQQYPSQPGFQLSQPTGFHSSSPAQSSGPAPPP PPMKPQPTGFTEMAASFQTGGTPKPQGRRAEKTNKIPNIRLSFITAQDQAKFETLFKS AVGDNSATMSGDKARDLLLRSRLDGDTLSHIWTLADTTRAGQLYFPEFALAMYLCNLR LTGKSLPAALPDNVKNEVSSMVDIISFSVVDDAPSSNPVSNAPTYGNDSLTGPAAQQP QLSNSQLLQSQMTGFPGQQTGFGIQPQGLQAQQTGFPMMQNRQPTGYQGPRPPMPPMP TGLGQGLNDGGVLTTPLNAQPTGRPGQWGLVNAPASGLPNIDALKARMMPQPGREAGS YTTQGLQGNAVIPWAITKEEKTRYDSLFRAWDGLGKGYIGGDQAIEIFGQSGLEKPDL ERVWTLSDNGNKGRLDLDEFAVAMHLIYRKLNGYPLPNTLPPELVPPSTRNFNQSIGT LKTMLNQESDFRKNSGASLLPQKTGVSYMKSRSFRGTGAGAQPSRKDATVFRNEDEDF GYRSSARRRLGNSSPRSESPGSAVSNDELTLEQLRKKIKEKQVLLDAMDFADEQNNEE DDLLDRRDRKESEELYRRIRRIQNDIDAHPDAAPASGDSDAERRALKRQLQNLIDKVP ELASQVRRTEKAIMDARIELFRLKDVKAHPHSGPLISGTGPGGAVTESDRLKARAKAM MQQRTAALTGKKADTGIDDSDAQRRLEEESIKVRTEKESNENMVRDVEEGVREFARGI EDSLKDDGRDNTSGHEKRRWEDGLGVEDEVRDFIFELQRESRACRVRAQDNRPGQSSA VIQQSGPGRSASPRAESPGRTSRTATPSAAGGSYSSYKTPEERAAYIKQQAEQRMAER LAALGIKTSAKSGETAAQRAERERSERAAKLRLVEEEDARRDAERQARLADEQGVPPP VAVADAPKPGTRAPPPPPTRKGGRLETAEQDGSKKAEGAEQAVAAKAAEEARLTRERE EKQREIQTKEETAKEEEDEFAKEQQEAAARLIALEEQVRQGKLRKEEERKRKKAALAE AKEKEAKMAARRAEIEAAKQRELELQRQLESLEEDDESSGDEGLEQVTPQESTSGGSQ MANHAAENGNNADGSALAVPAVVTSPPPAETESRNPYHKMMSHHEELTPATGEPQKNA AAPAAPAAPPPAAPVPRSDPSTNPFHRMSQAVPARSGPVSRKRADSEDWGSDKEDDED SDDDRPGGGNAAQLASMLFGTMAPPRPLSAAGREPTPTSPAAASDAAPPPPPISPASG SNGRMAAAADAVPLPEGNGPTCPPPPPPMPGFEAPSAPPPPPPPMPPMPGVGACPPPP PPPPPGDVPGAVRAPSGGRPAGFLSEIQLGKSLKKTQTVDKSASAVAGRVLD UV8b_03776 MNVESWKRRSSSGMALTLSATAPYDVPSTPTEIKSPRVLTRALR SISSSSIHSMTSGPTRSSNSTRRLQKNPSSSSSSMFDRLQRRMSSQSPGSDRPESPVE QLQPYSSMEILQCGPLKADVSLLKARSEYLVLSDQVLVKLSGGDAARAAFPQLNPHDP HARDPARYQQSSGKFSSSDVRLEIPLRSLIAVFNDDGPSNRSGIEVWWFSPWPRLAYS KAHFYFSVPKERDDWLDAIHRACRSKLRQTPGSSLIADNLRSRIDHLVRSAEGSADVG YQSIIFPVARRVFGPKSAGVEEAPDNTDISSFFLIIGPCMCHFVEVLKADHFTAPGDL RVKATSYGTVTLTRFRASVASHEHRFIMYFRLPFGRESRVDLASTHYRRIIETLTKAD RNLKPMWPQHFQQVIFDVKGLPPPLQLTSGNDLGGLKRSLEAYCAAFQVRVPSWTIEW SPPPQPAFRLLPQTGQAYLPLQLLAVFRALRYNSFFKAISFRGVDLSPLAGRNDKTQY GDSLAYKSLNGVTISEDHHELLSQATILEQEVHALLFASESIRCLDVSDVLGLAGRKN NRLSRLQCDMASLSKTTSEILRPFLELLRRKLCVCHSISLSGNPVAAGDLDELANLLM LEQVHVRRMDLSKCSLGDSGLSRLWMSLAGQSNSLEWLDTSDNQGTVRFETIKSTLRR MKRLCKLKIAGNTRILSDEPLFDEMTMQEWELQELDLSGIALNTATVDVISNYLATDK SKSLQALRLNNTGLTGGQLAKIFQAMGRARPMELHINGSRIDEGADELVMAISEDYGP WCLFAQMIEFAVETNYIKILRALTSNQSIECLNLAGTSTPDAASGAACQAIADFFAQN RSVRYLDISGFDSKLDEGRLGREFSKSLIGLRTNKSIEHLRVRSQMLNINIGDLAEAI AVNRTLQTLDCEGNDFSLSNYRHLVQSLEQNTTIRYFSAFAPEELNKAITRCTETAVN VVPVRRTSVISRFKQDRNENGQTKPLMQRLKDEWDGTGADLARILQHNQALLDQEMLA DQSDGSSQEYWRCCNVADAALSAAFGGLAFRDLEVQRAKGRRTSQDSQLALAVDLSGR RHSHSHSRQSSLGSRDFARPVSILSSEAAVSPSTEEASNGSGGVPTPPEFDSATDQDS ELMTPPFDDDAAFYYIYYDGREVDDVLQLKRNRRYLGDPTSRIDEEDS UV8b_03777 MVIANFAGGAPDCSQKLPRYLYGRHGTVSRKDDDTKPKVRHDLQ CLARHHLRHEFVITTSRLWTVSG UV8b_03778 MASNIQDSWLSRHYIDYVYIPGVLLVVGTVIVKRDWAWHSVVLA ILLGAYNFWNFQIKKVLKPDVFQEFELQEKTVISHNVAIYRFKLPSSKSILGLPIGQH ISIGAPLKQPDGTTKEIVRSYTPISGDHQPGHFDLLIKSYPQGNISKHMASLVTGQTI RVRGPKGAFVYTPNMIRHFGMIAGGTGITPMLQIIRAIVRGRASGDTTEVDLIFANVS PQDILLKEDLDALTKEDSGIRVHYVLDNPPEGWSGGVGYVTGDMITKWLPKPADDVKI LLCGPPPMVSGLKKTTESLGYKKARPVSKLEDQVFAF UV8b_03779 MAAVANGSTNAVANGDRISGPVSTRFFDIPSAIDIPVQGDQEDE AVEIDLEDLLDDPTELCTLFENERAAKTYWMTVALAYAKQRKIDHAIEMLIRGSGAIQ GNASNPRDKVSMICCLCWMYLWKSREAPRVAPDGIRVSEAKTKEYYLQLATSSLNDAA RLNPSFPPIFLARGVLLLLRASLQAPSKIAGAIATEKNELLKTAVKSFDDALRVSQGK NMLALMGKARAYFSMRKCPDALAIYQDVLQKMPDLVDPDPRIGIGCCFWQLGFKEDAK AAWERSLEINPESKIANILLGLFYLDASGHVPVGSDEFLKLYRRAMTEFTQKAFKLDK DVPITCSTFAGYFLSRKAWDNAEKLAHKAIQYTDVNAIASDGWYLLARKAHYNGDTEK ASDYYRRADDARGGADTGYLPAKFGVAQLSVLKNDLGEAKLRLEKMNQQSKNHEAMIL LGTLYAEEIFASQKTDSKEDKSAEMKKAVAFLEGVRNSWKDPKRTISPDASVLLNLAR LYEAEHPDKALQCLQQVEQLELDQIPASERPTNAMDEATAQKVMRKSLPPQLLNNIGC FHSQAEKHELASEMFEAALGACMRIGEKGHGLDTDALVSTISFNLGRSYESRGLTDKA LEVYEGLLARHDDYTDARTRLAYIKLRKNPNKGGPDAVAKLYQENTSDLEVRALYGWY LGKVQSRRRPANIAEDPEFRHYKHTLQNYDKHDRYALIGMGNLYLLQAREMRRETEQD KQKRSAIYGKAVEFFEKALSLDPKNAYAAQGIAIALVEDKKDYKTGLAIFNKVRETVR DAHVFVNLGHVYAELRQYSKAIEHYEMALSKDGKANDPNILSCLGRTWLNKGRSEKDI DAYNKALECAQRALKVAPEQVYNKFNVAFVQIQLVTTIQNLPENRRTAEQLEKAAEGL EEAIESLDAIATLPQTPYPKHDVEQRASMARNTLRKQLERAIGKQKEWEEKNKEKIQA ARQQRDAELKRREAERQAIMEKERERQEKIRKEREAIAIRDRALAEQRAEEERARQEA DMTTDEETGERVKRKRKPAGRPAGEGRSKKVPRKKKADRDDEEDSEEDNHTTKRRRLT KKETSKFKSAEIVVNSDEEEAQDDEDDALDRAERSLDRHGTPSSRGDEDAVDEDRMDV DEDADELAGDGGGGGGGGGGRDDEDTTRRHHVRIRRGRVVQSDEEDEE UV8b_03780 MWNDEDNNPYGTSFDRRDSQTSSSINPTSPVTRNYRSFDIPRTP TSDSEEDEPAFSQSSAPTAISASHEYALAEEEPAQRRKPGGYSSRIEQILYENPNMPI LITDAGKSLESGGRYIVYTIRTGDLEVRRRYSEFASLRDALTRLHPTLIIPPIPEKHT MADYAAKPTSAKQDQQIIDLRKRMLAVFLNRCRRMEPVRDDGVWWRFLDPNASWSEVL HSHPVSSIPKSILKAPPLDTANPSPAHNFLPIPSGSAKLKTSAGMTYDINPSAPQTGA HVIGRFPPESTTQSEHDLDPYFISYEASIKELEQLLMGPMEKVNRRTLSHLSSLAADL CELGSRYNAFALSEQAPSLGPAIERVGQAADLSYIATEELAGSLGSSFAEPMRENAQF AGVVKSVLRYRVLKRVQQDLTTEELAKKRSLLEQLEQSEAEARRIEQYLSNSQQLSPP PKRSTSLKEPSPQHRRETGQDDTESIDSDFPPSHGDFSSSTPSASQGLPERSASVSHR KAPSGNSITNKIFGPLRHAIQGVADVDPERTRRDTIGKTRESIGQLEQAQIVSERDVK EASASVLKDLRRFQKDKEDDLKRYMLAYAKSQVEWANKSKLQWEEARAEVEKIDEN UV8b_03781 MEQPADAQIASIAEPGVKAHGPLLYSMRKEVADLLERNQTSFPG AQPVSFARQHLDELQKQDYYVCEKSDGIRYLLYSTADEGGDEAHYLIDRKNDYWFITN RNLHFPLENNRQAFHKETLVDGELVWDSLPNGKKEPRFLVFDCLVMDGIKLMDRTLDK RLAYFKERLYTPYKKLFKEFPGELQFQPFFVEMKPFQLAYGIEMMFKQVLPSLKHGND GLIFTCRNTPYKHGTDPHILKWKPPEENTIDFRLKLQFPVVEPDEVERQEGITEPFVD YDSVPRAELMIFMGDSGPAKYEFFDELFITEEEWEILKGLNDPLVDRVVECNQDEQGR WRLLRFRDDKTEANHKSTVLSVLDSISDRVSDRDLYRAAGSIRDSWKARQARADQARR GR UV8b_03782 MIGSKVAAVIHEIKNRRVTLFYSCHLVGLVFLFHLYIVQARPNK TMSSKDRKSDGLTYGNKQQASKMNASVAKLTNVCWLVTLMLGLAVICLHLGRRVSLRT SDELIHAEHVISEAAHRLAIRAECNATGPAFESAADAMTAVGFSGSITASQLITITSE TLSSAPPTTTTTTTESPVDTVSVSTQTSMTTLDGVSNTDQRLTITATTTGTTTITLLP VPEYTEASYGSCQATVTETVWVTVYPPSDATVTASLSTFTTVNTVVSYTAGLPDVTIS GNPSTYTAVQTDTSFTSGPPDATVSGDASTVTDIRTDVSVTSGLPDATVSGGAATVTD MQTSWTWPLTTTYSTPFTTITISDLWGPSSSNSVSETEDVRLSTFVTIISSQIVVTLS DSSYVSSEQKGDATSLSASPTSTYTLLLTTTGGPPAVETTTVDVFPPAYAGSTYNTTA GGNPPSSTSSVMTPVVVSGGNKKPEPKAWGGSNGSSNLACTVMLIAVIMFMS UV8b_03783 MPRRRTRASEPEPEPVKEDARAQESEPETLPESDPQVGRQEEPE PEPEPEPEPENGAGEQRALEFDEELSWRPAKPIPSATLLDRLERLSKELADFDQGEVQ LDSLKKVASDLAHRNLLQHKDRGVKAYAACCLVDILRLFVPDAPFTDDQLKMMFTLFI KDILPALFDPTNPYNSQHKYVLMSLSDVKSILLISEIHGADDLLLRLFNSTFDGVSTS SRASSEEQVAKDVEIGLTEMLMEVVDESPGSMPATVIDAIISQFLRAAPPGGARNKEQ NGKQATLLLKTEPAAYVMAKNICNGCADKMSRYVSQYFSDVILHASRFATKSNGFRQA DETDEEDNPSGPSEADLKSLRQAHMLIRELWRAAPDILQNVVPQLDAELSADNVHLRL IATEAFGDMISGIGAAGPPPPPALDPAAYPPLRLIDDAMGTPPVVETETIALTRPYSP QSFAQTHHATYRNFVGRKNDKTGTIRAAWVTAVGYILSTSAGGIGLSREDETELVKGL VDKLNDSEEKVRLAAVKAIELFNFRDAILKLGITGGVGKEGSVFASLADRCRDRKPAV RVDAMVLLGKLWAVGAGEIADGQEAVTACLSGVPSRIVNAFYANDSDLNVLLDRVMFE CLVPLKYPLTKGKGSKNAAASQGKGGLSAGDQDRLRAERILLILKDLDAHAQKAFFAM QSRQPQFAKVVAMFIQQCEAYNGGVIDASEDKVKSSLAKTLQWLGGFFPDPLKVRSDL QKFAKLNDRRSYQLVKYAIESESDFRTVRRAINELITKIQATSASVCLDTLIPLLYRS SCLMFNRSHLATIMDYSKSDKDGFAAVAHQVLNDISQRNPDFFKGHAEELRRDIIEQA PTDKKVNDPAVVDILKAYSSYAKKYPQNVTCDRSFTQILTSYAQYASPPRSAKYAVNI LLAKNDDKSKATATNLLRSVIKGLDYQSPHFLTKLATISQLERLMPSVTADSDEAIHD LTIKEILRQVRTDASPTDPSWVDDTDVDKELQAKSLSLKILVNQAIATEHEADAEDRA KAVFKLLKTFVVMEGEFCKTKDTPLHHKKRLRLLAGLLMLKLCKVKKYDELLEHSSFN KLAELVQDSELQVRKRFMEKLQNYLTRGELRPRFYTILFLVAFEPAIDLKNRVETWLR SRARFHAERKQQVLEALLGRLIPLLAHHPDYSSDIDDLVDFANYFLFYLGAIATEDNI SLIYKYAERVKQTRDGINPEASENLYVLSDLASTVIRKYQERRNWAFQAWPGKVGLPT GLYSALPSSEAAQQIAKKLYIPDEIDERLDDVLRALDRKKKRKSMNDVADHHPAKRAR TQIKAVIREKKTKVTKSSSKKSAKPKKAAKPKKEKEVVSIAPSERRRSSRTHKVSDYR ERDDADDEEEMLDGVAEWDYGDYESDEGESGSGDDGSGQSADAVSVDEDAEKVGYSRE EEDDASADEAARGTKRNGSSRVPATAKAKGVQAKIVAKSDRPTRASRTRAARASSDGP EMDVDD UV8b_03784 MATTLPATSAPAMGVTTTPTPTPTPTPTPASAPPAHAADARDAS QASAPAEMPVRTKESFNRLMVERYTMRDSVAAAALGEQLNQTRKSSQEIRDRIREYSM IRNEYKPWFPPRRLYGEGYKGYGNGFTENHQPISRIIYPSQKPRPGRRTTPAFKAARK DLLKQAEQHEELVPVRLEVEWDKVKLRDTFTWNLHERLLAVELFAAQLVEDMGLKQPH AQPVFEQVVHQMREQLNDFYPFAFSEEDALDPELPYSAYKNDEMRILVKLNITIGQHT LVDQFEWEINNPNNSPEEFACSMARDLSLSGEFTTAIAHCIREQAQLFTKSLYSVGHP FDGRPVEDSDLVSAFLQTPLTSVFRPQQQAKEYAPYMYELSEADLERNEMVFSRDQRR QKRSINRRGGPQLPDLKERQRTIRTLIVSSVLPGASEHVEDSRLYKRAVGANRKRTHR DGELSESDESDESAPDSPAPSQLVGTARTRGMRGAASAAQQRMVNLGRSETPETGSLH HHETRMSRRGREETEEPSHLWVTLKVNRDKLRRLIKGDYRDVKALSQPQTPIVAHVGA PGISSAPRPKASFPPTPSAPQPLAASGPSAAGGASGSLPAGQLGRLPAPPPVPGQSTP PSPPPPEWLVKALKDLEKQYPNGDKFEAVMKYSHLDPVTELPIQSQSSQEGVKYAWLP RIRCLDCTAKLYTPGPDMTAGKFEAHLKFSGHREKVRFRLASQGGGATGP UV8b_03785 MSEEKDVVVDYSLNNPDTLTKYKTAGQISEKVLAEVSKLCVPGA KIVEVCQKGDKILEEEVSKVYRGKKISKGFSHPTTVSPASYVTPYTPLTSDETEAALE IQPGEPVKIQLGAQIDGFGAIVCDTVIANPADKENDTITGRSADLLLATHYANELLLR LMVPPGLLAQGTEEEKAKAASQKAPTQSKISALLEKVCESYGCNLVESTTSWLFDRNE IEGTKKIVLAPQDGGKGDGTPATAEVWGVEMGVSLGSGKCKTLDGRSTLHRRTTQTYG LKRPTSRKILSEVQKKFGTFPFSLRQLEDERDAKSGVVECVRGNVFRQYELAGDKDNA PVARLLTTIAITKNGITKLAAAPALDLGKVNSDKKITDEEILKILEQPLSRNTGKKSK SKKKKKPAKKAAAAAQDSDDESDDSDE UV8b_03786 MASNTRASRSASRFSSPAQLAAAGKDANKPLEFSKAPPVNEGSR TFLQKWLEPSVQSKTSFEEAGLMRFGVLENMAPLGALPKAKKAAGENGAPVRKIILKT SGADASKLARARENPASHAGVIENTPLQMSPPASPLPLSPAAPVAPANGNGKSLVTRV KDEGAEDDEYDPGGTDGRRRSRRVSVPVKKLMPAPVGSAGQSSPVPERRMSSASTAPK PKTPAKRQSSRSAPEPRGFTDKVVASAVEEALKHYRYPTAWALRTLYDEKSGDADFVA MLEDVFSQTADEETMEQFRRQVEERKRQGKKGNRGCQHFAPAATTNSSSSGGGRFTPD KPMEAPYGNLVLEPERSCRRTRKAAAAASKAKPPSHGGAARVAATPPRRQGAAGCGEA STAADAADAADAADAADAADAADAADADAAAAAAAAAAAAAAAAHAGARLGYAGSSGR TTLAKSMVKVKTPGSRKRARRSSASSDSSLSTALSLSSPEILRASSLNSPTAGRMAPR ATAAARAGGAGAPAGAGGVARHPAKPCAGAKPQASSQALPKPQPIKTRGKPAAASSKQ ALANSSRSSSPTFHHTTSRPLTLPCPASAAAAAAAASASASASASASLRETDDASLPG RLSAAELFPNLNTKGTTRGRTTRDTTRGTTRGSTTRGSTTRGSTTRGSTTRDTTTSLG SASPASNSDGQATAQAHMPEDDEPSFWDRRLNASKVTNSYNAQESSVRGPDAASQTTP VQNTRRTRQSLLAAASLTTRATRSASKRPHDEAETAVSPLSLSLAGDAVSAAESRAAT PTSLRPAKRQKTGLRVKSSPVKKKGGTAAGVPRPANEPSSSSSVNGTMPKDPAAENDE YCSACGNTGDVVCCDGCPRSFHFECVDMVQSDSLPDEWFCNECLIRRYPSRVPVHKGI FGSALNYLEKCIPRAFSLPRRVQARFEGVRAGADGDYEDVATTNKAAKKRNGYDELPD FFKQREDGQAVLCHGCQRAAGEIRSIIPCSTCPLYWHLDCLDPPLAVPPVLKTWRCPA HVDDVLMEVPSLAPAHRFRKVKGSQVISPAFGRGLRNNGYIDIDWTDEHEHEHEEPDQ SGWPDVGSFGRMYKLPARGVILDFIEQLRNRGAGYGPRRDESKIIAYPLPPSQADSAG PLLGSSLQRKVEELQVSINLVALQQKRSDGIDRLTSALLASADQSMLSLMARANADNV AFHGQLTDDDRLGLRATLAQMDAMSSRIRQVLGDDDVAATPAPPTIKAEAEASSPFAG AESLHGLVAHKADVVPVVTEPTPPSTVDHADGSMDLD UV8b_03787 MAPAYPSHLSTSQSAPSQSPGNSSSPVDPSTSNNRTVLGIPSGI MGNNTRSGAGSPSHDLAGSSRLFSKRAREIQAQEGIPGLPLHSWGGPPTSGNSTRLRE NIPESPTDGFPEFSQLPTPDSMPQTRRARAGTVPSRLPGGPVNGLLNAHSLAAKSARA TPSQSPFGKSPSPNLEHPDNATGGSALLSRLRAGSLPQRSPFVALPGTSSPFGPSIFS SWNPAGVGRERGLTLASIASVGSNGPSSPSQSQFSKEGTGESDVHMRTLDYLGLAETP QPPRAQLATPYFDYSNQSSRFRSYSVNNKDKYEDDCDVSNNAPMESQYDALKDQLAAT NAAIHSHNLAVQAFINNTRPRARTTAGVLESMRTFNAGVIPNPIAAADIRLQEEKEYD VTQELAGVSLGRSNSRNAGLLGAEEQGLEGPTSALWLGGVPTSTTTSTLVEMFKSHGP IVSARVLTHKNCGFVNFERVESSVAAKASMNGKEIFPGAGPIRINFAKPPSASNTPGH DGAYPSPSPDPFARSQDNASGPAQAVSTDGAAGASHGASAPNVPSLADMPRDVMDIVA RFGATEEEGADILQSIDAAIKYTQFAEEIPAIREPTHTRVHDAPKLRDVRKRIDNQLL SQAEIESVAMDMLPEIAELASDYLGNTVVQKLFEFCSDQVRDAMLAEIAPHMAEIGVH KNGTWAAQKIIDVCKTPQQMRLIVDHLRPYTVPLFLDQYGNYVLQGCLKFGPPYDDFI FETMLSRLWDVAQGRFGARAMRACLESHHATKNQQKMLAAAIALHSVQLATNGNGALL LTWFLDTCTFPMRRTVLSPCLVPFLAHLCTHRVAYLTVLKVVNQKSETDARDAILRAL FFSPGDQVLEAILQDTQCGATLIFKVLTNPFFDEGIRAQVVDNVKSVLARLGAQPNQG YKRLMDEVGLPTRPAAPSREATNSTPDGRHRPTSRQVNSNSNTNGQHAQGGQASSSSS SSSSNNNNINSNSNNSTSTSSNSNSNNGNGNINNGNNKPFYKPPGAQPGAGAYDGGYG GGSSRNDGADATASVFPQYGQGIIYGAPAAAMAPPMSMSPLQYQQTMMNRAASHMGYS YAPMQSPYAAAYGATPAGVDQFRPPGMANGSPVQPPTSQLAAQMPSGPAHYANPGYGV GYGYGNMGSLQHVAYMQQEPVVHTRRGGRR UV8b_03788 MAQNGSVYSAGQFMNPGPAPKPPSDGRPRLALTPSANLPGNMAN MAISPIRSTATSTYTGSTISLPIARQQSNNTTGMGGVAIKKEGWASVKESRNFIQYWK QKYLILRKESLDFHKSEGGKVSYTLYLKDVVNVGRVEAAGTIFEIKRRPDGSSTSPGD DDGQTKTLQIRVKGDDDLYEWIDLIYGACPGMGGVSNPTNFSHAVHVGFDPQTGQFVG LPPEWSKLLNSSAITKEDYERNPQAVFEVLDFYTDLAKRAENPNHYPSATPTPPGGMQ NKQPSGGSSIAPPRPAPPTPTQMNFSSTPPQSSGGPQRRPTVGEQQQQQQQQQQQQRR QVQNMSPKYASHEASREDQRKKQLDSHRQREAEQRERRELEAYNASLPKTKVPMAQQE IGGYGNSSTSTSAPPGSDRFNPNRAAPPAPKPPASPAGNMRAQRPAPPAPSSSSSSRT AQASQPSSGAYRDPSSQGPRMPRNDASQASRSPNGTQASQPRQPQAAQGSSRLPAPVK PLNVAPKPSQTHQADGAKTAEGAPATKPSASERKQDVRMSTMSENEVMAKLKEAVSRD DPNLSYSKQKKIGQGASGSVYVAKIKETAQGIAREILRQQGARAQVAIKQMDLAHQPR KELIVNEIMVMKDSKHRNIVNFVDAFLRNNNQELWVVMEYMEGGALTDVIDNNPSISE EQISTICNETCRGLHHLHSQSIIHRDIKSDNVLLDARGNVKITDFGFCAKLTETKSKR ATMVGTPYWMAPEVVKQKEYGPKVDVWSLGIMAIEMIESEPPYLNEEPLKALYLIATN GTPRLKKPEKLSKELKAFLSVCLCVDVKSRASADELLAHDFLQHGCSLGSLAELLAFK KHAK UV8b_03789 MTTTATTLKGQPLDKPVLDAMLRRRMFYTPSFEIYGGVGGLYDY GPPGCSLQANIVDLWRKHFILEEDMLEVDCTVLTPHDVLKTSGHVDKFADWMCKDPKN GEILRADHFVEAVLEARLNGDKEARGQKVEEQDDPKKKKKKKAKSEAVKLEDAVVKEY EEVLARIDNYDGPQLGQLIKKYDLKNPATGVLPSDPVAFNLMFQTSIGPSSNLPGYLR PETAQGQFLNFAKLLEFNQGQMPFASASIGKSYRNEISPRAGLLRVREFLMAEIEHFV DPQGGKRHHRFHEVAHVELVLLDRDTQLSGKTSTRKVAIGEAVKDGLVDNETLGYFLA RIHLFLEKIGVDLSKMRFRQHMANEMAHYACDCWDAELLTSSGWVECVGCADRSAYDL SVHAKKTGAPLVVRERLEEPLVIEEWQVDIEKKKFGPLFKKDAKAVEAALLATSQDQR EKLAKQLTDTGKTTLEVAGAGNGTVEVGSDTVKIEFRKRVENTREFTPNVIEPSFGIG RILYSLVEHNFWTRGSDGGDEARGVLSFPPTVAPTKVLIVPLSSNAQFRPHIKKLSQQ LRSMGISNRIDDSSASIGKRYSRNDELGTPLGITVDFQTLQDGTVTLRDRDSTTQVRA EESKILDAVRELVGGSKKWEQVTSELPKFEGQEIEVACR UV8b_03790 MRPLTEQETKVLFTKLANYTGSSLKNLITPLDNGDRFCFRLNRD RVYYVLQSIANLATSFPRDKLLSLGTCIGKFTKSGKFRLHITALEVLSEHARWKIWVR PNGEMPFLYGGNVVKAHVGRWSDDCPEHQGVVVYNMNDTPLGFGITARSTAEARRLDP TGITCFRQADCGEYLRDEDNLFATT UV8b_03791 MNANAHGECDTAPGRALKMTDEAFTTPQSSVEMKPSRHHQTIVI KLGTSSIVDEKTHEPLLPTLTLIVDTAFKLRKNGHRVVIVSSGAIGVGLRRMEVEKRP KHLAQLQALAAIGQCRLMSLWDTLFGHLSQPIAQILLTRNDIADRTRYLNAQNTVNQL LDMGVIPIVNENDTVAVSEIKFGDNDTLSAITAAMIHADLLFLMTDVDCLYDKNPRTH PDAKPIEIVHDFSVLEADVSSAGSALGTGGMSTKIVAAKLGTLAGVTTIITRSSNPGN ILGIVRYLHTLKMANGDPSSVSDSDDQGQHSGSEPPLSRTTTSLNLTDPSVSVGDGAA PPLHTRFLPSSDPISDRYFWLLHTPQPHGTLFIDQGCHRALLNKAGLLPVGIVGIAGN FAQHEVVRLAVVQRRETPNDEGHMWDGEPVEVGRALVNYASAEIIRIMGHQSTDIQSI LGYADSEYVAQRSHIGFFRKDSRPATPMSDLL UV8b_03792 MEDSGFPWTLGHVHSLISSLYDPKSPDNVATTQALLASFQSSPQ AWSMAYELLKLPDEKVKFFGALTIIVKLNRDSATLNEEDAKELLKHLMTWYLSSLKAS ASLLVPRKLASALATFFLHFHQLWPNHVRHLTICMVEDRFYDPFSTDRPQDVSALVCK ADGNTLKAILWVITGIMEDVTRLEWNIMNNTGLFTSVIQHTSDAVALLFQGLTSHRIS VAGNEVVKCLQSWILFAQRLPSRDSHIVGLLRQLMPTVIQLLPVDTQFEVSAELLIEV LNSYPTLLTNNEFELLASLLISPWSDEQYQRLFHESPDFDSTQFGHLLLAFGEERCQW LMQTNDGRSKSILAKLCGLLTSEGVPGIENRVFVAAIEFWSNLTETMSDLVYSGEIPT TPWAKQALDSVLEAVSNAWHKIIYPPQEVVNKWDSSDRVFFAEARKDVIDFFQSVYSL CGSRMVAIFVELVLTAVNDAAWPRLEAATYCLAGLAECGRGDAALDVLMTPVFESSLF TDLASPNGNIPNRTRQTCVYMIEQYTEYFQRNISSLAPALRLLFHLLGDRSMAASASK SILRLCSSCRHHLYSEADGFLAEYRNLSLNERLDCTCSEKIAGAIASVIQAIPNQDER SHASTMLLEHVYNDVLLAKELFGRSAEAKMSRVGHQCGCDTWTLEHPALHSALKALKC LHSIGRGFQSPAESPIELDNGSLLKAKNDGHLALSHRKVVEIITDIEAIFGQNAEVME LICAILRCGFSEGEPGPFVLDVDVVTRYLTSHNVNTPRPGLLVTTAASFVSSLQIRTD VDKESTYAVLLHWVVSLLRSLSGEPERDTELSHNGIDFVCRLLIKSPRTLLSLQPAAA AEFFFLFTLQVLDGNEPLPKGAAAEFWATFTALQGDQADVQEPIMRVMDMLGPLLVQS LIRNIGGRGSRSELDKLSEPLKKLIVRFPMAKHWLQTAVNHDSFPSSKVTSEQKALFV RKLLALRGSRPTNQIVREFWLSARGSNFSYAS UV8b_03793 MRHENAVQSPGSRVIFSGIQPTGIPHLGNYVGALRHWVQLQQQE PDSAKLMYSIVDLHAITTPQNPAQLRLQKRQSLAALLAIGLDPGRSVLFYQSSVPAHS ELMWILACTSSVGYLSRMTQWKQKLNIVAPGRPEAEQTVGNRLKLGLLSYPVLQAADV LVHRATHVPVGHDQRQHLEFARECATNFNHAYGAHLVRPHTIAASPAHRIMSLSDPTS KMSKSHELGRSRILITDTPEDIRTKISMALTDSIPGISFDPAARPGVSNLLNILSAFD PEKRNPEQLAQVYGAADTRSFKDTVSNAVIAGLQGITGRYNELMGAESLYLDHVAAEG ARRARQSADETMCIVRAATGL UV8b_03794 MRIGCLQFSSQVGKVNDNISRADEVLEHAAPERLDLLVLPELAF SGYNFSSSRHISPCLENAGSGISSLWAMNNASKHDCTVVVGYPEKVDLVDNLPANPEH YNSVVVVQADGDVVANYRKTHLYYTDETWAREGPSGFLCQRMPGLGTVALGICMDLNP YRFEAPWDAFEFSTFALLSGARLVVVSMAWLTPQDPTSFNTMPSEPDMTTMMYWVSRM EPIIRAESNQEVIVVFANRCGSEDEATFAGTSAVVGIKSGEVYIYGILGRGESDLLLV DTDKPPFAKLIYRPGSKAVAASEASIAQCPAPVVQKDHGKTQSVTEATPAASSWPSKH GGTTNICQG UV8b_03795 MEDSHADASLCIEDDDADAPFHDATGRIGKWVQMIQTPRKPQAS AQYDYQKLQQSAQHSRSPRWLITTGMHNL UV8b_03796 MPSASASLANQLGTCDGGPSRRHVKRSLPSQRKGLSPGVTFSPM RNARLDIADAMSTKELDATKSPISGHTRLLNSSFVSAVCELKVAILSPVTTAPVAEPL PQQVSPPRLSDKPFVPGECKGQGKK UV8b_03797 MRIPTLDQSTRFLVEKEDTLRCPVAQDDYKSKLRCSSPCSSTFS SMPPWALCHLPPRSMPVVATPTWDPGSCATESATPSLFVPPIRGYPPFHSLRSFQVRT ASAYSLIPSSTFTTCRLGPGAGGPLYQT UV8b_03798 MLRLISSIFASLAAVQAILCPSGSQCAAKCGNVLAATSPDDLVC EQAAFSNNPTGQLFAGCVDCQRSSAYFRGNDSDVQSMLYNIRYALSYCVWGSVPVKNP QVVDTPCITSKACGPFRDAVQFMNLSTQYDAYQYCDVWPTNDALDFQGCTECLQAEGR QYMGNFIIALQAACVQKPAAGLFIGLGGELFSTTAVQISTPSPTAMINPAWFDHGAIT LGCKVGIAFGCLVGLLILVGCGIVWNGKRRRRAYLRTLDAKYSPPKSWPTPVARGEMG ETQGQRQQTFRGYDDTPLSQQPLRGWDDTPVSQQPPRGGWEDSPVTATCEKPLPRYFS PYSSQYNSPIGAQEGQAMPWAQAAPVQNHHTGAAATRGEASSDYQASGSVDKGKAKEE AYEMHHVDTSEIATSGSHPRAMGRNETPVLSHPGYGRQGQTASARQTTTGHGASHGNA T UV8b_03799 MEIVKSTKVEEEYTIKPQAVTPSVDTSSWPLLLKNYDKLLVRTG HFTPIPNGCSPLKRDIKSYISSGVINLDKPSNPSSHEVVSWVKRILRAEKTGHSGTLD PKVTGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKLPGGQAQLARALETLTGALFQ RPPLISAVKRQLRIRTIHESKLIEFDNERHLGVFWVSCEAGTYIRTLCVHLGLLLGVG AHMQELRRVRSGAMDETKQLVTLHDVLDAQWTMDNTRDESYLRKVIAPLETLLTSYKR IVVKDSAVNAVCYGAKLMLPGLLRYEAGIEHHEEVVLMTTKGEAIALGIAQMSTVEMS TCDHGVVAKVKRCIMERDLYPRRWGLGPVAQEKKKLRADGKLDKFGRTNDNTPATWTA EYKDYGAADTSAAAAAAAPTPVKKVTVETKMEVSAPGTEDGDKKKRKKHEGETPDEKA ERKRRKAEKKAAKAAKKTPKGETASDGDSD UV8b_03800 MARARAAGTETLLLLAAMLAAAAGLPEVTPNSPCSSACFDWGGL DASSPSGTTRGSDVDIVCPDHQFDTTARGRKLASCLGCLQHSTFSQGVESDQAWFLYN LRYAFTSCVFGSPNGTAVSSHPCATSEACGRLAPALQDGLAHPRRVERLGYCDGVLFA SRANACVECVRADGQHAYLSNFLVVLEAACQQKPHATQAIGLNDTIFSHHAVQLAQPA SSSPPPLTTPAIVGILVGAIVLVALLSGCVFLHLRKRRSRTLRFRRTLSFRCKTAAST TCAAQALYQDDHHNAERFFSEKGAAMASMSPVARSEPPRWTQTSAHRQLTTTKTAVPR PPPAYSPEGMGFSPDYYTPTSPDFTRPNVSPLTYRAGGSPGVTALRAFGAASPGREAS LSSRAMRGERDTRPGLPSMH UV8b_03801 MAKFREQHEAYRKRVRASLTKAGLIDDPEKRKALSDAIEFKGIC EDMCPEFEKITRITELDVVQAEKDPQTSHANPSKMVKKLARSAAGQEAPLPMDVRSVP ALRRTLDYLLDQLLRTDANLPKVHGFLWDRTRAIRRDFSFFSSLTPEETKTQVYVLEN IARFHVTSLHLLSQEGKAPEDFVQQQELEQLGKALLSLRDVYDDCGEQGIACENESEF RAYYLLFHAEDPSILETLQRQWKPHHWRDSDEVRTAVSLVEALQNTSNFHGPLKDGPS LAAATSPQAYFRIVRDPKVSYTMACFAECHFPQLRRSILQTVKRALARPKDPAKDITA AVLNNFLQFDTVEQAIDFAELHDFEFAADPEGQPGLGGNILVLDDKLPLPHRRLQHQY SHSLVERKRSSRPLPEVIHNTVFQDSTAAKSTNSLGSEGSLFVEDVGYNPGPSGLSGL SEVFPNRLSAERPSLASPFGTPGLSSTQILGRQQASNAAAPLSSQSKDTSITRGNPFG APAAASESHSKLNPFASTASPASLFASKAGDGGRDAMSMFKGGAAAVTMNSSTLTPET TGQQPAFRESSATFPSMASKLQACFSVSAENPGTANPFSSTRLSGDSKSASSSASMPP PPIPQSKVVSNIDRSPSDSHPPSLIDVGTNKPAAVFANLANWTPPQARSAPFGGSNVA KPSNSTANTTPNPSIAPDLPGSSSSSIAVTNDAAPSPAIPSSTADEPVIQSTAIFSGL SATGGTSSSNPLSGLANQPKPLAGSALSQPSTSAPAASTHAQPAAPPRDLMADFTTWF VLGDNGVLEQFQIFMIDDIVSKTFSDYENKTAETRRIEEERRVDEEVQNFRKYNLSLK YFYRWRRHAREKRLSALRRGGRDQLRAFYASQHAAEHKAQTEAAKQAARQRAELSDIN RPEEFAKMLQRKKVTRSEARVALLSSGVLSGMDDDDAREVADSIVREEGFRSRSNSIT TGGQSREPSPPSSSRRFGSKTRALRDMYLGKPERFRRSLPSMSCRSSEPPEGPRPASN ASARWRLKAMGIEQLPDATAVPESMAYDMRSRPSLYSSLAFGSSTTGGRSSRRASVSG DANANAKAKGNGNGNGNASGSPTSKTGVPAVDDSSATNKRKRSAAGTTNAAGGRSRHK RIMSEAEKLTSELKAIRQELEQGAEWFRSQTQRLRSESRGRECPPWLDDGILDGVAGQ D UV8b_03802 MPRGKWIDKKTAQHFTLVHRPQNDPLIHDENAPSMVLNPTQPKP GSSKVKHLDDLASEFGSEAAQIRENEGEAAGYGVYFDDTEYDYMQHLRDLNTGSGEVV FVEATGRNKGKQKESLEAALKKLDLEQKSGDLFDDEEILPSKNLTRVTYEAQQDVPDS LRGFQPDMDPRLREVLEALDDEAYVDDEDDDIFKTLTKDGQEIDDYEFDEAQFHGEDD QGWESDDTAKPTIEYKEEIPQLVKAHDEPEVGPSQDWMKDFKKFKMEQKSGKTAVAPT HSELQSTWTTTTNGGRRKKRKGALTDASSFSMTSSSLVRTEQLTLLDCRFDKIEEQYN EDVEADLASVSQASTASTVDGPARKDFDDILDDFLGSYTKPGKRTSKKSKAQTGLQQL DEIRRELGPARIRGRNVKT UV8b_03803 MVLAKSKKSVGLGNALMNDRFGKGKGTDRKKTAAITRTNHATGE QYLVNEKQDAAWVKMRSVTEQGALDEFLATAELAGTDFTAEKMNNVKIIHTDQKNPYL LSAHEERAVLGKHRMHKNRLTVPRRPNWDSTTTPQELDRAERDAFLNWRRGLAELEEN NDLLMTPFERNLEVWRQLWRVIERSDLVVQIVDARNPLLFRSADLESYVKDINPKKEN LLLINKADMMTPTQRKMWAKHLKMAGIAYKFFSAYLAKEMNEARDQDSDLEENVRQQS DSGLGKQSARGGGVLSDQEEEEEEGGAESEAGDEDTRILTVEELEDIFLRYAPQDAEA DHKLQVGLVGYPNVGKSSTINALIGAKKVSVSSTPGKTKHFQTIHLSDKVILCDCPGL VFPNFAFTKADLVCNGVLPIDQMREFNGPVGLVSQRIPKPFLEAIYGIHIKTRPVEEG GTGVPTAEELLRAYASARGFSTQGLGQPDVARASRYVLKDYVNGKLLFVHPPPGIDDA RAFNRELYSELHLPEKRRAALVTATDSMSLADDASAATDLDMVGIPAETGPKTQRLDA GFFGDKGTRGHVAMPFNHKYTEQGLAAGRMLSGRKARTAVALEGGLDPKDMRLGNGKK HFKGGARMGKGKKVRTRNTDRDESD UV8b_03804 MAASPASHSPTTGIDPSIPRNMSLVTLSVTSGESHDLPSVHETR HNHHYDDDFRFKTMVTYLHRRAVGCGWIPSEPGGVQHDCSGILLRRSRGEYITAPDAI SPILVEAVMRLNLHVALTMRPQMLDGILASLAPGQTELRFNDGSQIQVVDSLACAQPA TVKKLQYACVFRHEGIILVWHHDLASIFPQATMMEERFLALVWGQGKTPFNLLQASSR AASSLHAPGIPSPMGTATPTLGMASPGVLTRSNSGEEVMEKDTSMNRPESVARPVSRA SAFFVGAALCLSICLLVGIFLGKVVTECVLAYSWTRVALAVTVPLLMCASLFFFQVIL TNLFQMIGPIGGMKTNSRYFSAHKPCLRRAYADGFSPPKMTIQMPVYKEGMESVIVPT IRSLQAAISHYESHGGSANIFVNDDGLRAGLGDDEVCKRKEFYADNRIGWVARPKHHG DEGFVRKGKFKKASNMNFALNISQKVEAYMQEHADSRMADLGTNWITEEEEEKMYETA LSRVLSENPLAWADGDIRLGEVILIVDSDTRVPEDCLLYGAAEMFLSPEAAIVQHSTG VMQVTYDYFENGITYFTNLIYSSIRFCIGSGESAPFVGHNAFLRWQAVQDVGVPQQDG YIPYWSESHVSEDFDISLRLQMKGNVIRIADYHDGAFKEGVSLTIYDEIARWQKYAYG VNEMIFHPFHRWIYKGPFTPLFYTYITSNIMLSSKISILAYMCSYYALGSALFLSTLN YFLVGWFRDDLASSYLTSWNVFLSLVVVFNASGPVALAVVRYRNGEKPLLKALWENFK WMPMMTVFFGGISFHISNALLAHLFHVDMQWGATSKEKEDSNFFQEMPRIFSTFKYMY LILALITGAMVYLGAFATPDWAITDFTVIIPLSLNVGFHALVPLVLNPSLMIFNY UV8b_03805 MAETQEQPEASPRTMRKAVQVVGNNDSPLHSSGSLAPGSFTSVL AAGRGLSSLDMSSKRRFRSYRLRGEYEKPWLADPAMNKTKWNNWIVRAFALLGFILAG VAAFIMIWPYREGNYCLIYDDHFTTLDKTVWTQEVQLDGFEAGSFDWTTTDDKNSYVD SNGLHIVPTLTNQTTSITSNDLYANYTLDLLKDGSCTGRSNRSCIATSDPIKGTMIPP IRSARLSTRGKRSIRYGKVEVVAKLPKGDWIWPAIRMMPEDSVYGEWPRSGEIDVMGS RGNSRQYPEGGRDYYYGTLHWGPTAENDGYWRTTQAKQIRRGDYSKAFHTYGIQWTPS YIYFYIDSRIHQILFVGFHATKPLYDLGGFARVAENQTLLANPWAMSSSTTGNAPFDQ RFHLILDVAVGSNNGWFLDHVGEKPWIDGAKNAQWTFWDAAARWLPTWGEGDERGMTV RSVKMWQLGQCGQAEL UV8b_03806 MTDPPPLLMRPKRLSLIPQQPTRNRFNDDLFAHLHPSTVVEALT TTTGALGHYLAGTSAAERDFAIRAAVASQRVWEWVDELSDWEWPVDGGSAGFESPNHT TRRLPFQIRVPQTCGAEYMGSLPAREVALYARRIEEILRDMDDLEVEEIKSQVLNNYI MPLSRPCTPLSISSRGPSSVSVYTKMEDVSALVTTILVQTLPNLTKLTRLLRTWTVRL GVLQRIPPLLYAIEDAEVGLKAGWAAILKPAQSLPTREHGKRAMGKKPTLKRTDFEIM RKVLVKKVSTPGRMLDIMLDSLEGMDDTLPDSWLDRLEAVEQNYSEWVAACERKIRES AWYRSAKPPQSLEQPAGEDAGEPLQSEPSAEYSEPLPGDPGIAIALPVPLKEKRGNPS LTDDGPSTSPSDCDDSPTRANDDPPDKTSPVPPRDAETRGPRTPTHEVFSESELATES FDAVELSPPSDHDVSGLTRTMSPVGEVEEEDDEDLPLLHSSAWRGSDLSDDSILLPGD TSRFDVLSSDLPEMSASPPVPRNRVREARFADDSPPSSPPLPRESSEESPNSCAESPS VTPAAEGFGLIYDHKTVTEGSFAEDFDDLMSPSEAAGPSFRRDSTSDRQLRQQISDII ESIPAKIKLSAEPSPIINLNPPDLQLPRLGNKPSKEPFKQSTSSLSSRTATPSFTLSP ARTNRPRQFRGQQEIKVYHLSRSTGEAPIKLFIRCVGEHGERVMVRVGGGWADLSEYL KAYASHHGRRSVGAERAATVEVQDAPRSFNTSAMTATGSSPPSRPVSAAAAVRMEHSP ITQLNIRKTRRSSGAGNSEVPRLRARKPGAPNPRFDVSCAEDGEPSRPNSRLSWVEDD SSFLGLAGPKGKKVEMSEENKAWVESVKERVRQVSGERRVSQPDDRKRFGELGKVGGT KRLFLKAADNAPKARSDG UV8b_03807 MTTEAVPDHASPASSQHTDSGEKSTCSEKRAAAGGQAPSGPHKA TKRRAARACVSCRARKVRCDVVEGAPCGNCRWDNVECIVQESRRRKKKIGTPPTSLAS TSSSTETQLRRKIASAATSTSQAQAQAQATAKPSSAAPSTLISASLATPPLSTSGTDA ATRSSSVSAFSTHSSDAVSGTPLMPKSRRNDGHVPHMLYQRCTGYRNDYSHFTDVSSF ANGRTAHSLNSLELPDAAASQLPAFVRPLPPKIAPEDVQYLAIKGALTLPNIALQNAL LQCYIEYVYPYMPLIDLHHFLSIVDRRDGANGQTSLLLYQSIMFSATAFVDMKHLREA GYATRKAARKAFFQKTRLLYDFDYEQDRLVLVQSLMQMTYWYETPDDQKDTWHWMGVA ISLAHTIGLHRNPGATIIPVSKQRLRKRIWWSCFMRDRLIALGMRRPTRIKDEDFDVP MLVENDFEIRRLEEGISVVSPECTLIRDVNMQHELALMCISKAKLCLCISRMLKTQYS VLIRDKMTPENTTNSTMMLFPNKHIGSVHSVDLELSAWAASLPDVCLYRPLSPVDIRD GRSTVAVQRTILHMVYHTTISALHRPQFLPSSPNQAPAASLQAQEMSRLRVRDAAAQI TCMTSELHNLGLEKYLPTTGVTVILPAMIIHLLEMKSCTPQARDRATRGFRQCMRVMV KLRDMYAAADYATGFLDAALKKAAIDLNKTNVGQEALSGLKHDLPPEFSVQTPPPENV PYMTASETLFQQKTKPALAKMATLPPNKINAAALELSATSPPQTDLDSAELTPSASGQ SEEQQLGNLDTFALDPIQGNDEFDWNAVSGTELDIDQWLQFPGDGNGSGNVGDSVIVD LFSSTDQVGNQITAGGDLDSVDFDNDGDVQMKGEASAQLEISA UV8b_03808 MASPKSSESSDASTPRSSSPSSSVSSGRSTHTSVSDKSMFTSGR RLTYLNPMSTVNVSAIEEAMKMAALDQHRGYVKDTCSAVQQSRKTQYISQTCAAGYQI IREPLWNKGLSFTPEERVSKNLTGLLPHAMESLQTQCARAMKMIQTRQTNLDKYLYLS NLKDHNVDLFYRLLMDNVRELMPLVYTPTIGDVCLQYSSIYTRPEALYISIKQKTSIR TMLRNWPCSDPEICVVTDGSRILGLGDLGMNGVGISIGKLALYTAAAGIHPAKTLPIV LDCGTNSEANLKDPLYLGLRQKRPSVEVQLEFMDEFMEAVKEVYPNMVVQFEDFESEK AFRYLDRYRSSHRAFNDDIQGTGAVVLGGYIGAVNLSGVPIEEQRLVFMGAGSAGVGV AKQLVEYYTRRGFSEADARDKFWLVDTKGLVTKDRGDKLAEHKKYFARTDNNGQQFRT LEEVIEYVKPSALVGLAATFGIFTESIVRALKASVDAGGAGRRPILFPLSNPLTKAEC TFEQAVQWTEGSVIFASGSPFQSFSVKVGGEVGEMTYYPNQGNNVYVFPGLGLGAILS KASRVTDNMVYTSAEALAGSLNAEEIQRGLIYPRIERVRDASINVAREVMKAARRDGV SELPDKYWHEWEEWGDVALNAFIKQQTYNPMCFTDARGRL UV8b_03809 MASRPPMEETPSDAAAPTPIAQLRPDIDHPESRVVDGVVTITWP YSIVTQSIAFILADHDVLLRRNRGQLRVEFRGASGQALAGANLGGGDEVRISLDGARW SECNMAAGLPAGSLQWQLNFTGRLLLKIRKLGSLETECLDISSDAGPEEPSEPSEPSV AAPVPHSTPPLGAVQIDPRPLDATSVPSQPPSNVVSKRLASSVFEADEYPSPAFLKRA RVSYGSLFEDGADLFGDDDVKQNKTRQKSRLSMANAVWRYSSRTPSPEAQPQPRSESP RVEDAASQNAIRIPPPAATAPASKLSAMVDEGCQTTQGLPFDASLSVWASREPHFTDS TAHEPPLAANGTRAEQGTGMQTPSRTLFGPLQAPPRESGSMQESPPSSHDMAAHPGHH SFGYELPTVQASGHGVPPTAAASMITSAPILEAPAAPTYPSIGFPEHIYPELDAHHDP NLQFLDIDDTNHMPRTIPHPTPVLSREMNQMNSIASTRHPFTEDPMMVESSPPPEKQF NQEQASSSLDFQAKGAADEEVRSTNVVAREDEEGEGEEEQGSEMEETRSSDRLYSSSY DDGGDLQGEDYDLRNYADTRDDDELESDSEEWSEADESDVEKQAMEPGEEQDEADDEA LRYEDPIGEQAPPKGGEEGESYEDEEEQGSTDVDHDESAHGHEQQEEVYEFIASRPSA GMDKELPLSANEEPVCEDQYDEEGYDEGDFDGEDEYDDGEEYEQENQREAPSLPCAPK EPVFISLLSDSEEEDEAGAGAVAAHGDPGEGPIDEDDERAEAGEEEQDGEEEDEESEQ EKVGAGERDERDGEGEDGEEEEQEGEDEVEVEEERGEAEEGEEEESEEEEEEEEEVVE DEEEVVKDEEEVVEDEEEEVDEDEDEDESEDGGNQGLDAESLDEKSANEEPFTQDGGI IRRNAQEEQRLASHDERPAAERSETAAHDAGDLVSEQPIADVQGKDHEVNKARSDVDA METEDEAQCQPAEQAIIARNDVGRDSTVKEIMQHVTTEDEQGVAVASPAPDHGMDEEA ESSASASQVQTASAKESFDVDMMDALPAGEGEKLAGASGEETAEAEAQSRPACENDAA SDGNRELTARSDTQPFRKARSLDGEHDDETDDETDDDAAAGDQILNELREYQSLIVQD AKDGSEAAGGRAEEQAPEPVEESSQEPDFLITVMSLRSHCQSHRKSRSGDGESPSPQP GDPSLLLAQAHAQAQAQAQASPPAQAAGPSEPPEAVAESVPEPVVERTSPAMLRVARG TKPEQLDPSIPLAKASIETGAKAGEPATPTVRVTRSMTEHSERSDTQRTASPIAIETR ASRRLATPETRPPQSQRDERGERDFALQSPSSVCGSFTEDESLAALRRQLTKHVRTKL PDFLCLRSLRTCLNKTADILAVATSTPPQPHRPKHGPRDYMLELTLTDPSSAPSGISV GHVFRPHQASLPVVRMGDVVLLRRVQVVSVKGRGFGVRVTDASAWAVFEQGDEEMLPQ IKGPPVEVAAEEVEYAAGLRKWWAALDDKALARIEKATRKLHAGQE UV8b_03810 MGSIYPTAFHVKRVAVIGAGPCGLAAASYLQAQGAYDKIAVFEQ QAQVGGVWNYNAVVPAPNRVPQTSPFCPPNGPSRVAGEKLPVFPSPMYDKLHANLPKT IMKFSDLDFAEDAWIYPGRHDVQDYIISYSQHVRHLIRFCLQVTSVELRTDHLGHDTW RIQARSTVDGQVLDETFDAVVVANGHYSTPFLPDLPNIGPFHQAYPSVITHSHNYHSA DAFKDKKTIIVGNGPSGQDIGYQINRVSKGQALYSVRHGLPPDKLRHTGCREMAEIQE FLIEERGVRLKDGTVVSDVDAIVFCTGFRFSLPFLGHLEKEFITNGVRVHGLYQHLFY IPHPTLVFPALNMRIVSFPFSETQAAVFSAVWSNNLTLPPKPEMVRWNKEAEATLGDK LHIFPPVKDAEYMNELHDWAMEATRPGKEPPRWGAEQVWIRTIFPEAKVAFEQQGCKA TTLEELGFTFDASQHEPCQ UV8b_03811 MASRPTVSIIGKDGAPTGATHPIPAVFTSPIRPDIVQRVHTGMA KNKRQPYAVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKIWRKWHVKVNQGQKRYATCSALAASAAAPLLMARGHEIMSVAEVPLVVDSTLFEG AAITRTAPALALLKAVGAGPDLDKVKHSKKLRAGKGKLRGRRHRQRRGPLVVYNPEVD GKELVTAFRNIPGVETSPVSALNLLQLAPGGHLGRFIIWTSAAFKALDDIYGSTTEAS SHKRDFFLPSNVVSQADLTRLINSSEIQSSLNAPKGEAVTRRSAVQKKNPLRNKQVML RLNPYASVFSKESQKKQE UV8b_03812 MGEAMNIQNILDTGYFTTSRARSSGPPASSFPRPPPLSHSTPSD TLPPPVPVHSQVPRTVYLAPAPAPRIVRAPPPTVEDELESLAREYGSCSASLVSDEET PSRGEVDQYPVLMEVHEHNPERRFVIVPEPLKDQMPRVDEQPRAEIPVKADYTRAHSH SRKTLTTEEGPDKDGIDISRYGLQRRKSNQESPPIDTELGNQIQTKNHGTKPAATGLM SDYFDSRRSRSHGDRVLSPDINSSGPKQHANSNRGWSQPSGAYSARSPSRTSQPFDDR DGHSNPGQPRTSRDPSGTRRSNTDLDSSRSQRMVSIDVDPRYRDHHPHSRGEKGDSSQ AYAHSGESRPRLSPRPSRDPSRSGDENSSRPCSPGYLPSKPAVIQDVKPSGWHDRRED LLSGDTRSASRTNTFPRTTAEGGGASAAAAQMAHHSTSPAPREPARSVLRAAEPPAYS GASSRSQLPYPDDDYSPSSGLDIQNTDPGARCHKHDSIAAVSMPEPVLQTPVTATGRF FESSNSTPVAPAALGTSQTWTPGVFDPEKDGFPAERLIGSLRRYSENRGRDGDDVLPE CPRQKPVAGKVDWLTLPHTSLNFCPSCYDAVFAKSEYRFLFKPMLRPFGEPIACDFGV SPWYRIAWLLTLKDDIPDLRLMQQISNLNSAADQEPCPGTKKAVRSWLTVKDPHTRLP VPDFSVCQQCARIVELLLPNLRGVFEHLDFRPRQDMCALHFARDRKEFVLFLDALETT SDKAMVTKKPPNVDDLARQLWRLTVGFRCREDAPVMDGYWHTMQFLPEFTVCANCFDE VVEPKLSDGNVVARNFYKKPQRLPSATCQLYSIRMREIFRRSCRTNDPKYLEDKVLQR RRKEKDIYDQLVKLDRANVSSSWKEEEVAKLVDEWKRWE UV8b_03813 MARAIYFSLDRFLQVFGLMMASLSSRYCQLMLSQGICSSVGVSA TSMAAVGSASCWFKKRRGAAFGIFATGSSLGGVLIPLMLSYLSRSVWYGWTIRSVAFI LLALLLIANLAMSSRPFPRHLKRTLGTPLSRENISSVARRSCLGAVWSFCSIHLHFQR GGVGGNTRLFGA UV8b_03814 MSTAFRCVAPFLRTARYGLHKGHVNPLRSAIKHQNASGFLNIYR SYAVFERSKPHVNIGTIGHVDHGKTTLTAAITRRQAEKELANFLDYGAIDKAPEERKR GITISTAHVEYATENRHYSHVDCPGHADYIKNMITGAANMDGAIIVVAASDGQMPQTR EHLLLARQVGVQKIVVFVNKIDTIDDPEMLELVEMEMRELLTTYGFEGDETPVVMGSA LCALNNQKPEIGIQKIDELMKAVDEWIPTPERSLDKPFLMSVEDVFSISGRGTVVSGR VERGVLKRDEDIELVGKGTEVIKTKVTDIETFKKSCDQSQAGDNSGLLIRGVRREDVR RGMVVCKPGTVQSHTQFLASLYVLSKEEGGRHTGFHEHYRPQLYLRTSDESVDLTFPE GTEDRNKMIMPGDNVEMVVTMTHPNAIETGQRFNIREGGKTVATGLITRILK UV8b_03815 MSHESVWNSRPRNYGKGSRSCRVCKHKAGLIRKYDLNLCRQCFR EKSKDIGFVKVRG UV8b_03816 MLLIKSFALATLAAVATARSAVIDLTPANFDAVVLKSGIPTLVE FFAPWCGHCKSLAPVYEELGLAFEHAKAQVQIAKVDADAERALGKRFDVQGFPTLKFF DGKSDTPEDYDSGRDLEGLTDFITKRTAAKPKKKLEMPSEVVMLTDKTFPETVGGEKN VLVAFTAPWCGHCKNLAPVWESVAVDFANDANVVIAKVDAESPNSKAVTKENDVSSYP TIKWFPAGSKKGEIYSGGRDEKSLVEFVNKEAGTHRLVGGALDAVAGTIAALDSLVTR FTDGATLEDVAAEVKKAAAGLNEGAKYQYAKYYVRVFDKLKSSDNYVAKELARLEGIL AKGGLAPSKRDEIQTKTNILRKFTKKVAEKAEELKDEL UV8b_03817 MTQIPQPTSQPETHEHPPQDPNADVSAAVEDLLNTLSSKFASVS SEIFAKMDEMSRRLDNLEAALQQNTSKEDSSKP UV8b_03818 MPSPARNPAGVILFIVFLIWLLFPEGDYRSQSLAPTDVAVGRLS RYQDALAVLNTTRWGDFSPKKQTTREGPPRHADEAKFLNLTGFREQDGFAWDDLEAFR AKGLRLSRHAAPSVADDDEALWTNASGTVHGEWVRRSGSSGRTLDSYNLTASVPGVEW VGHTVAWARNVTGETGRIMLRLSGNKTLNRYEQLAADMAPLSGGVIRSLRGTAVVEDT TGSGLSWEMKLWGVHWPRRGVILMTTTSEKFEGIFALPHLAPGPDFFQSGQRLLNKTL AKVIRRKHMHVYSDQRMPWNSDTENPMYTAYPSPHCEYIMYAQVHPPLAQAADGGPGP GPGPGSVSRPSAQDTLQAIESELQHPVGAPIPRIPKLKMSAVIYSPDCSFFLETKGPP DYPPGESNHLIGMKTEVLTHQIKTWLLLYALVVLGQVRLLRDQMKESFTPSTMGRVSF GTISIMLIVDGMTFTAAATWVSSAAATFLPTLALMFASFLSMTIGGSFLAKIHEVQLP ESRPRREPTQDRSPSSAGPTPPPPPALAPGPAAARFLDASAATASLLPGPGAASARPL TPTVSVPIIVPSDQDVDAEIAAAASAVPGATTAPRPTNPPAPQTLTFQAIIGRFILFS LCVSFLAISSSTWYPVPRSIFLNACAFVYLSLWVPQIYRNMLRNCRRALAWPFVVGQS ILRLLPLAYFWVKEDNFLYASPDVPAFLFLSGWVWVQLVALAAQQILGPRLGVLVSWA PDAWDYHPILREDNIEAGGLPIGLAVPEEGIRLDRSGGACSEKRLGAVRSIDCAVCRE DLQVPVVKAGEEESSVSSVFARRLYMVTPCRHIFHTACLESWLRFRLQCPICREELPP I UV8b_03819 MSASQTSIVGSFKPSTERSKSSTFTASSSTSRGEGRSHSGDAHR PGPGRRSNAKVKTGCINCKQRHIKCDELRPTCSQCARSKKQCTGYPPPARSATSLIDI RIAPKPISTVRPQAGGSTAPRIGIVKPTVLPPRRANRRKLQASAAQQQLVVASNTHIP MIYQPSAGLGFQQAEGLYFELFRVQAAPEISGYFYSSFWAQRVLQESHSEPAIRHAVV ALGALYKTLEQGFHLATSPPLKKDALKSTWSAHWEVAIKQYSDACNSTLLLTGQSLQS YRIRLMASILLACFDSFVGDHKLAIHQIRTGLGLLEQLQAQHPNHRNPSPESKVEDEI LDMFTRLAIQAKSYDMAFHFPEPDVIRLAPQRQQSRGSSPISSDPGSPGSSSSITIPP RFSSLTDARNASDRLCERLVRFIERLQLAKNNKSNVLPASWVEYGRDLKSQLDAWSEA FEIIFQKRLDPLVSHTEKAGIAALKMFQINTNILFLMMFCDTEVQFDEFKPHFQAIVD LGWEVVGAEEKKAAAQHCQAGEAYQHQHRSSSSSNANANTNGTFRAGKPCTSHVKPSF SADLGIVPPLFVVATKCRDARLRRQAIQLLRSSARREGMWDSELAANIGQWVMQVEES DEPMQFQPGVMASLPTKPIPEERRIMVKSVDFNLHYRRADLRVGTRAVHEGQADDRVK TTTISW UV8b_03820 MSQDKPGGETTPPSSPVPPSSSRIIHNLALAGAVITPLAMLLPP RKVDVRFFVLAGTLSLATNRLAYDYTGQSIYQRFGSRVGAVLDPNLPEGARRTQRLLR EQREREAAAGKQGQPGGDKNKTAGLVKDIWMGGEAQDWSERRAEEHRRSMEEGKGLSG IIMEQIADVWNGRYGNKGTKDGGSDSTQSPSAVAASSAASDKK UV8b_03821 MAANSQYYQDSNNNNHHHHHPPSYRASPPHSSEYSNHPSTDSHL PVQTPYDAVGSSSSSSSTALAPRSQNQQTALSPFATVFDDNHASGSGSSNSLPHPGNW PDTTYYAQGGPSPARSTTQDNIPLQDRRGKTDDVVDHIYDVPENETAAQARRKKKGVR VGELGMLAGKKGIPWVCYVFSIVQVGVFIGEVVKNAVLTGSPIMIRPQFNPMIGPSTG VLINMGARYVPCMHNVEEIQGSNVTVLFPCPNATRSDQFCPLSEVCGFGGVPNPTFNN AAQDPQPNQWFRFIVPMFMHAGLIHIGFNLLLQLTLAKEMEQVIGSIRFFLVYISAGI FGFVMGGNFAAPGIASTGASGSLFGIIALTLLDLLYSWGERRNPVKDLVFIIMDMVIS FVLGLLPGLDNFSHIGGFLMGLALGVCILHSPNALRRKMAGGDAASYSAVHPSSGETA PGFLKSPIGFYKGRKPLWWAWWLVRAGFLIAVIVVFIYLLNNFYNGHRTCSWCKYLSC LPVSNWCDIGTIQKTTTS UV8b_03822 MPLSPGPDMSDTTARRLSNHFFRLPIELRHHVYSYIVPSRVHVH LGKRGTLCVSTCVNPASRGDRFCFDRRSTGDPSAEVWGRRLNSSWGSHWRCEEVALAS GLDPVDVGAHHHDSARALMSTCKQIYQDVAYLMAVHLAIHITDIATLDFLLDPANAAA GTTSASNLFARTFPLTRNLTLAFRLSPKVYQDIAALSSQPELSNGPSPAHMWMRVWRK TAGMASLRTLDITIDHGSKSSWSTLDEQAVLSTLLPLASAPPRLALTIHVPHSPASPA PGPRSEGRPDLIPILSRVERYARQRFFTEKRQDGSVGLVYEEDAQKMMDIPGQDAAEM QRAAEWIMHIWFQGVDLDYLAMTESCDGGMSHVGA UV8b_03823 MATLLPQKRVLGEAGTRQNIPPSTPGSTKKRKTKTDIFSSSPAS RFTGSQRDPRAKLGSSQPKSTFETDVLEKLSQDISDLKRSNVEKDQAWERPPVQDFDP SRDSLCFQAIEAEEGTLHGGKATVKLFGVTREGHSVMLHVTDFKHYLYIQAPVMFQPQ DVNAYKAYLDSQIPQHQPAIHRVDFVCRVDIYGFQGNTQNPYLKITVTDPKFINKVRS TIEGGNANWKGMWKGAADGIKTYDNIQYVLRFMVDCKVQGMSWVEAPADKYNLVPENQ RQSNCQIEAEVPYLDLIAHKPEGDWAKMAPLRILSFDIECAGRKGIFPEANQDPVIQI ANIVTTYGEKKPFVRNVFCLDTTSSIVATQILEFDKEDKMLSDWQQFLIKVDPDIIIG YNIANFDFPYLLDRAKHLGVKGFEYWSRLPSVQTRVKETNFSSKQIGNRDTKATNTNG RLQLDLLQLIQRDHHLRSYTLNSVCAHFLGEQKEDVHHTMITELFNGTPESRRRLALY CLKDAYLPQRLMDKLSCLENYTEMARVTGVPFNFLLSRGQQIKVVSQLFRKALEHKLV IPNIKSDASDEQYEGATVIEPVRGYYDVPIATLDFASLYPSIIQAHNLCYTTLLPDKK LAERLGLVKDEDYIVTPNGDMFVTTKQRKGLLAEILEELLTARKQAKRELARETDPFK KAVLNGRQLALKISANSVYGLTGATTGKLPCLAIASSTTSFGRQMIEKTKDEVESKYN MANGYSHDAQVIYGDTDSVMVKFGTKDLAEAMKLGEEASHFVSSKFIQPIKLEFEKVY FPYLLINKKRYAGLYWTKTEKYDKMDTKGIETVRRDNCLLVQTVIEKVLRMILIDRDV DGAQDYVKETIANLLQNKVDMSKLVITKALTKDDYAAKQAHVELAHRMKKRDAGSAPA LGDRVAYVMVRGATGAKNFEKSEDPLYVLEHNVPIDTKYYLDNQLAKPLGRIFEPILG ETKARTLLTGDHTRTISVAAPSVGGLMRFAKKTQTCMGCKKPLTGKQESGGAVCSDCA PRVGELYKRTLDKVSDLEVRFGRLWTQCQRCQGSMHCEVICSSKDCPIFYMRMKAKKD LEDAGKDLSRFDLDAAAIW UV8b_03824 MFGGGFPPGGLGGLGAGGSSSSSDGKDSGDDDEDSDDTPPATGK TSHIDSKGSGVDAKASGDTLTPPATSKASRDNSKGSGDNAKVSDDTPTPPATGKAPGD DSKDSSDDDKDSDDTPTPATSETSGENSSKDSSDEKKDSDDTPTPPATGNTSSDSGKG SGDAPPAAGKDSSDSPLPGGEPPGDTPPPAGKDCSDSPVPGGKDSGDTPPDTGKDSSD TPLPGGKAPGDTPPPAGKDTTDAPLPGGKAPGDTPPPAGKDTTDAPLPGGKPPCDKPP ATGKDSSDTPLPGGKAAGGNPMPDAAGAGNHVKRSSDSSSTWAVGAVGKAFSGATLRT WLGGKIPRRGIFRRQFGGFGGLSPGAGGSLFPGYGGGLGGGSGWTPGPSLGGGSDQAS LSGKNKDSGDSAGDSDSSSGGCKEVTLLFARGTGEPGDLGLSLGPATAQALQAALGGD RLSVQGVKYAASVQGNFNMGADPADVMIMQKQIADILHSCPKTKLVVGGYSQGASLTH RSVSGLPRSQKRRIVAAITYGDPLMIWEQGQIANFPKSKTHIVCDNADGMCNGSYALT PAHFMYGPRVKEQIDFIMSKLRE UV8b_03825 MQLPRTATWLAVALSVGRVGAQTTKSDIIAIAASDFPHCTLDCI RPSNLLDSNCTNSICACRLDDALDDARSCLRRSCGYPDILAAKHITSSACNGRDYRRD SAGAYKVMNMALSILTGVVCISRFVFKRKFSAARRYTPDDWILMSAFAVGLPCALVNR HGLVHSGLGRDAWTLTTDDIYHFAIYFYILEILYTICMALIKLTLLAFYLTLFLAAST SVWTRRLLWGSITFQVVLATVTIMLAVFQCSPVSYYWKQLVASDASASCIASTPIVWT NASLNVALDIWIVLIPLREVRNLRMPLRKKLGVIFMFLMGTFVTVVSILRLSSIYLTS ASINITWDYLPLALWSTVEINVGIICTCLPTLRLIFMSISPKVCGSQEPRSKTVSKST EAQLGAAASRRQRRLSSLETNVGTEEGELGAYAGPKFNVVVTDQELGIPFSEGRGHQE UV8b_03826 MAAFGPPPLTGIKVLEFAGLAPGPFAGMLLADAGASVIRIDRVS SNPSLPTNDILARRKASVSVDLKTRAGVDFIKELVARGADVLIDPFRPGVLEKLGLGP DELLGINPRLIYGRLTGFRRDGRYADMAGHDINYLAVSGVLSLLGRASDTPHPPANIL ADFAGGGANLVQGILLALLARHTSGKGQVVEANMVDGSSYLATFPRLALKTAMGARGR GRNLLDGGCPFYDTYETKDGKYFSVGALEPRFFELLTKGMGLEGRGLEENRFNVDEWP AIYTLFKETFKKKTRSEWEAIFDGTDACAAPVLDYDELENDPSREGDQRPSVTLRGTP CLAIKPRPEEESDPVKYGQGSGVAGGGYVCEPLAPGHGGPILLEKWLGWRKGEHYDIK GDFYVLRGGGSKL UV8b_03827 MSGSLATPGGISDPALIQLVNKLQDVFATVGVNNPIDLPQIVVV GSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINRPAQTNGVSADEVSTANDKA ANADEWGEFLHIPGQKYYDFGMIREEIARETESKVGKNAGISPAPINLRIYSPNVLTL TLVDLPGLTKVPVGDQPRDIERQIREMVLKYIGKSNAIILAVTAANIDLANSDGLKLA REVDPEGQRTIGVLTKVDLMDEGTDVIDILSNRVIPLRLGYVPVVNRGQRDIDNKKAI NVALEAEKAFFENHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISSSLLK YTSELESLGPSMLGNSANIVLNIITEFTNEWRTVLDGNNTELSSTELSGGARISFVFH ELYSNGVKALDPFDVVKDVDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRLEDPSL KCVSLAYDELVRILSQLLAKSLYRRYPQLKEKMHGVVIGFFKKAMEPTNKLVRDLVAM EACYINTGHPDFLNGHRAMAIVNERYNPSKPVQVDPKTGKPLPVPSTPARTGSPTVPE TDGTGNAGFFGSFFAAKNKKKAAAMEAPPPTLKASGTLSERENIEVEVIKLLISSYYN IVKRTMIDMVPKAIMLNLVQFTKDEMQRELLENMYRTDTLDDLLKESDFTIRRRKECQ QMVESLGKASEIVSQVQ UV8b_03828 MGSGTRHGLRPLFIPCPAGANAQRPDDAIVFPLAGTQRFFGAQP AYELRQHPPQVRTIRADGRAGGAHRTRRMTGKQTMLRHVRSISVFLAEYEQRNAVWQV VRRLIEVGPWQTANPCRTDTDYTSPILMTLPHDVAP UV8b_03829 MASDTGSVTLSNGSAIARSNGSTGGAALQRPAIAAGVTNGTHKA APLAANGSSNGEKTPVPTPRSTTYFGHNREEVTRILIQALSDMGYQAAAESVSRDSGY KLESPTVAAFRSAILDGSWPEAENLLTGAIVSGQGNGNGGEGNGLVLAPGSDRDVMRF RMRQQKFLELLEKKDTTRALHVLRTELTPLHHDTSKLHFLSSLLMCLSTNDLMTKANW DGAKGDSRKKLLSELSRCISPSVMLPENRLAILLEQVKQSQINTCLYHTAASSPSLYS DHLCDRRNFPTEVVLELHEPKGEVWQVQFSHDGSRLAACGSDDNVLIWETTTFSVVTN LAIQVQKEHASGVASVSWSPDDSMIVTCSLDNHARLWDAKTGSLLKKLKRFDEPISGC VWVGEGDSFILGTLDTKRSICTFDIQSEELLQWNKEHRVQVLCGSPDGRWLVAADNVK TIYVYNMMTRDLEYELNLLTAPTSLAISQDSRQLLVNKKDSEAQLIDLETRSTVQKYL GHKIDECIIRSIFGGANESFVVSGSEDGYVFIWHKNIGAAVERLPGHIKRCNGAAWNP ADPCMLASCSDEGSIKM UV8b_03830 MDSLDGYLQVPPDRSQILGRQSWKWNYHDIDLLQTRYIVVGPCA ASGKSWQGSSGFCQRPRGNSVLPKPLTKTATDELYLSVYKSKEDSEPMQKWRLGDISD CEVQSVSHRKQAPVLPTLVITISDTQRKRRSSRATGFISTNKDSSATILLFRTPPHDD DHISLEEWSRVINTRKASAIAIGNPMSPMFTSPFQTRPNEISESHPRPSSSSRGLQHK SSTATYSTGPWDRSFTFSSESPSLRSKRSDLSSPSSHTHLTQNGSYPIPEQHYTTIMP GDIVASSPNGEYQGQFIEGWAATQRRFSAMTSPIRGRNSISSQSQQRSILDVASPPAP GESILDRAFQLGQIPGARSLVPGEENLSSIARFDALMRDTEERRRRRETIHRIRQTGT RVAVDHDGKTIEDRNEDGKDLDESESDSDAFPPNLFRNEELSATPMSPSTQRALAYIA ERHEQRPPMSRTHLSFHAGIASAPAPAPVLASRPHTAYAKSGPNASPGQTASHMIPTA TTTLTLLPSPREEDHGRSTRSTEKLCSNSSSKRSSFTEFTKRLSSTSSLLLGQTNTSG ASSHVSSDEADTQNCSSAPRTNLGLRGSGASPRPRDRYDQDRRCGWRGSVSMVRPEGA FTFMK UV8b_03831 MAADIGQISQLLNATLDPAQHRKAENALKQEATKPQYSLALLNI VNSDSLPPNTRLAASLAFKNFIRSNYVDEEGNYKVTQDEVQIIKERLIGLMISSPPNV QKQLGEAISVIADSDFWRRWDTLTQELVSRFSATDPKINVGVLEVAHSIFNRWRPLNR SDELYIEINHVVNTFGQPFIQLLATTDRKIDEHGNNKETLKRWFETLDLQIKILHDMS SHDLNPIFEDHLPEISGLLHKYLTYSNPQLETGDDDEASIVDTVKAGICEVLELYTIK YDEDFSKFVQPFITSAWNLLTTVGTETKYDNLVSKTLHFLTAVASVAEHAAMFNDENV LSQIVEKVILPNVALRESDIEMFEDEPIEFIRRDLEGSDTDSRRRSSTDFLRKLQERF ETPVTTAVSKYITHYLNQGKTDWKAKDTAVYLFLSIAAKGAVTAAQGVKTVNPLVNVV EFFEQHIASDLVATEGVEPISKVDAIKYLYTFRSQLSKEQWRVALAPLIQNLNSSNYV VYTYAAVAVERVLFLTDDAGSQMFPRADIEPFAKDLLNHLFKLIERETNAAKLQENEF LMRCVMRILIVIKDGASPMLDSVLTHLILITNVMKHNPSNPKFYYYHFEAMGALVRYC SANNAAVFNQKLWEPFNQILAEEVTEFIPYVFQILAQLIESSPADSISENFKSLLGPL LAAPLWETRGNVPACVRLLSAVIPKTSELILQGNQLEAVLGIFQKLLGFKKSETQAFD ILDAIISSFEPSAMGQYFGTILQLLYTKLQGSPADSFKLRFARFYHLVSARLEAGYGA DYFINQSDQVDGRAFAQVYPPFVLAETEKLAKPVDRKTAVVSLTKTVCDSQVFAQKFM KGWANSCRILLSLLANPPAVVAGAGDELISEASVDDIGFGMTYTALNTCKPLARDDFP DIQNVSTWVKDYMAAANQRHSGAIQGFITQRLPPEQQQAIAQYIR UV8b_03832 MSPRPEDEPRAPDSSRPSGLGTVFRSLTNKSMKHPPPLSAPSTA LPRAEFINATPTSSRELSSNHVDALELLRNGSPNERISAANSLKLAITEYPLNPILDI WYAGKDLINPSNSPPTRAAGWELLSELAKHSSSTDLERRGYFQTLSAPSNPEDFHLQL AALVDLTNHGRVLAGFDYELLPLLTVWLQQAFNAARTARKNSHSGRVAGNSSRGKATV LGEDENSAQLFIFLLDVIKFSFNTADEAAVTDLINTILTICETTSQEDDLRSGINVLD AIVTFGSIPQSKLKDCVQVLSSIYCLVPNLQKNSWHTIANLCKSHNGQATVRILLDTL RNLQPGVNEKVTRKIRSSLAVLQKLLTKTAEKGYPTVPYALLVDGLSSTLKATKSLLV YVSVLQLINSLFDDGDGRVHRLIIDEDWSTSLDSAVECYRRVREAGDKQRGYHEQLQV ANVDRELSTLILRLDTVVKGQSGEFIPRQTVVKFFADVHRLLPDETAKTVLDYFQEFR CCSPSDLRWEENLDLVLEAFFGNRSRSSDTRLRALQAMMDAYDIVDLVGDGAEQNFIP KLAKSILQNVAEESDVFVLDAIVSLMVSVIISCDMELFDFIIEALRTIIMSDRTRSPI SSPTTSTITSPTDGDSPAPGLASPQSPSSVATAGYVRMFLRTMNLNSEKSVKLFSVLV GIARPSQNEVDARLTAMKLLFRLRADWANRVFVTDDLDNTSLATAMCRTDASFAKKQA EEAMQSFRLCRSDHGNQSRTSRGVSFGQSPIQERGVPVRTLSGGKSLSVRYHQQWKCP DAGALPDAIPSLISPVLASHDWTANAGDDDATNTSHTNPSDRLPKSSVLSMTVWLDAV LDMLQGSDWEVYSFALVHLPSQLSNHAIFVDAIPQIQALRRIICDQIRTNSFQEPPFV SGLRRADVAICLFHSLTMILSYHEHFGKGDEDEIVKTFILGIGTWERTAKYCIHALSI CCHELPLSTSKSLVQMLNQMAASITQPHVSVHILEFLACLSHLHNVYVNFREDEYRIV FGICFRYLEYARDKRQANRNSHSSEPSTPGTPGTGVVADAAFTAAPPEELPQYVHALA YHVILFWFLALKLPDRATHVGWIVKKLFADSDQNGQTADEHALTSIDFMQRVTYADVD ESREDPYFTEDRFGRIVKKQWLVGNSIVTIKQASASGWAQIVKRQPSGTSAYTIRESY ACPPPHQVENHVDVSREGQASSNAILPSHILLQLMSPVPQSFESARPIPLPDDDAVDR AIRVFDRSSTVDSHKIGVIYIGEEQTSEAEILANVSGSSDYTEFLNNLGTLTRLKGAT FNTQGLDREYDTDGRYTFCWRDRVTEIVFHVTTQMPTDLERDAQCTLKKRHIGNDFVN IIFNDSGKPFRFDTFPSQFNYVNIVITPASRASFIATRTATTSSGLRGQPFYRVQVLS FIRLLGLNASVFCHVWTAREGGEHISSWSSRLREIKRLRERYGPKTVVPVVPSPPPPS ASHTQPSELSRPSSSVRDSFSSLRRTSVATFFSSTSEQASHRSSMLSTSTSANDTEVG NPSGTNPLIESVDFSKWA UV8b_03833 MVDDNINDLIEDVINASKLPAKGRAINIKTTVASLSTLAYDNGL DSAAVDKLVDLVTTPNYLDQASLAAVVRNLYPAERVSHDVVLRVVSALGHGTLKAPLA LQGALLKWLVMVYHVLETPGVLAQAYSVLFNLLDTAAIRPQLAHLLALITRRRHVRPF RIQQLLNLSRQTGNDPPLVGLLRVFKDYYPEIIVGEAVRGKASAFKHPDVLWRSRLGE IQDAHRQRVAGQRSTGPQSGFRVNRPVNRAQRNKAVPVVLTSHATEDSVTLEEVEDVN SFVSKMDKLELPNQLVAVLADPLLQKLLILRPDPESYQRISNWLSAVFDEVLSGDADA ATLWEVLDVVREFVVRTRTIPPLLLGFFTRFFEIWDGEGPRDIVFDILGFVPMHDFTE LHELLFKPLEAAVESYAPASQLSLLKMYTHLLRHWTSVLQSSDAVPENASDGISALVR HVNILGLTLLQTAPSVGTDSLILDFYEQAARLVIDAKLKRYLRIELPPPPLIYTFLFS NSLATLSRMCYILAVYKRGIETAVATRPRDQADAGAGAGARRIDSHTYDRSYVNLFNG YIMDMCNCLWRSRAFNDTDTNARACTLAPATINAMAAYALSVDRALPLNAYFSLSHHP VLCYRSIERVRDLEDDAMEHDGSIRVRHGGPVTQSTLTRLANAGGMRLSWQDYRIEVL KNLADSGFPGVAELLKNAMTVLKKSMDGRLSIQGTPIQM UV8b_03834 MPPTPPRIQVNNLSYSFPDHATGVRNIVLDLPPRSRTLLIGANG AGKTTLLRLLAGKRLAPSNTIAICGVDPFKESVEGVTYLGLEWVLNPIVRNDIGVTEL LRSVGGDAFPRRRDELVAMLDIDTAWRMHAVSDGERRRVQLAMGLLRPWTVLLLDEIT VDLDVLSRAEFLAWLKRETQQRECTVVYATHILDNLAGWPTHLVHMHLGTVKEWDETD RMLGSIDGTVARSGNSRLGELVLGWLREDLEARGPRSSLNLGSEGKTYNFGSIGIGGY GDESKQKAV UV8b_03835 MLQSLLLAALACRAFATPCHAPEHWLYSSDLDNKTLDLLDRPDI AGVQLLYSWRSLESAKDDYAEGFQLLQGDLDKVQAKGKKVWIQIQDRTFDPARDAVPR YMKTPEYRNGSSPQGEADARGVFEPQGHVAAHWVPAVRKRFQSLLDALGKKFDGKIHG INLPETAIDVTPSSPQNHGFTFEAYFDAALENAKHASSVFRKTFAVQYVNYWPGANGN DDIVAARKYFTRSFEFYARNHIGVGGPDLVPENAQGHPPYAGAMKNSYPFIHDYGKRV PISVMSAQEPDFCLTNGQNGTRFKKDDFVKIGAYLHARIIFWATMAPWLNGADVPYTG DFCKP UV8b_03836 MTVTVLLLAPLAAAAAYAVVRLFFPLPDTRGIPTIPFWVALLPL VKDVDQQDIFARYIDGPLRKHGAVKLFFASRWNILLHQPSLLADVFRHESVYQKSGNQ NKIPHSVLASLLGDNIISSRGQPWHTYRHVIKPGLQAAPDLGVLLVNARKLCRVLVEA QAAAGHGGVGVQSCIQRYTIANFAQVHFGVDFGTLESSDAYLHQIQYRIKREIFKPVF LNFPFLDRLGLPSRRRARWLASHFTDQLVLALDQASQDSKFDSSRQPLTTALLEARRS GTLTEKQFRDNVTVLFVAGQENPQLAIISTLYLLAKHPHIQEQLHKELSLEDSPLDDV TQESLHSIPLLSAVVYESLRLFPPIGQLVNRQVAQPILLGGRIHLPQGTYVGYNCYST NRDPGAWGADADAFRPSRWGTTCQDIQKEYRRRRSRAEFISFHGGQRACLGERFALLQ LKATLLGRFVREICVSFSSNGCHGQDKAECATHMEGMESTRMAKFSL UV8b_03837 MHPSSPAPGVHLEPSPAKASVHKRFVAGFVRRPCGDLIDCFGPS QAQVNSAWSQIRQHALQSPSSTTTAAAAAAAAAARAASLTTAHFELELELGLGLGLGL GLGPGLNATTAPQAQPSKPKQSYSLYQAYRPTSQQFVGIILQSGPSREHDVFFSHLLL SSLHVDTAVDHDVLRGLDNNVHVLTDSIVDLFDRRLRYVAKNDKWSSGGKDVFRQNVY SFTSRGAMVEFCLPAFPCKSSSLSKVLSAAPDRGECLALTNMHDFLHDVEAIYSPGAK LWIISDGHVFSDCIGVDDDVVDEYASQLKAMNDRIVALRDGKHRIGFKSLPDLFSCGA PDGSLDDTSKIAKDLPELDHHISTKMNPEAELCRQVLTLGFQLDQAELRSILSSQDAA MIALYRGFSKFMLEDLTTNQYTRHLNRSQLRKKSAKVAFEMIQRNQAYSNLVQMLFPH HVRLSIHAHDNSGPKFGIQMLGKGIIPTDTLPPDGKDVNCCDQLHVPTPWHNCVVEMS GHANLYITKSGIARRALSSVDLSGGIVESPDQGQYVYMERSCNSL UV8b_03838 MSQDDAAIIHKAAAAAVSQHHPTEDDLDSAEASHDETTSPLSSK KALWAWLILCFSTGPTNGMVNSYVTASIQSAANAVGHIPGTNKPCARRGAISCAVKLG GRDVDYLSYLLYLRSISRATEGVVSILASGLADFSNYRKTMMMCSIYLFGALALPFAG LTGNDYSHLNALSTLYVVISTVSGVYTVIEASYIPIFMRSVGWFSKRARLQDQEQTAT SDNQTWIQGSLVSVLGLVSGNLGAILALLIGVIITYTRGSYVTEGYFNFLLAITVGGC LTIVCAIIGHILLPNVPGAERPKGKSIFLLPLRTWLKLIGSIRKYPNAFKLCIGWVLW NTGYSNFLQLLGALFLETTGIQRGSDVYTVYTFMMVIFACMGSLGWLFSFRYAKLHIK SWAYLFLAVNILCVFWGCIGISKNVSIGYKHQAEFWVADFLFMSTSSALRSLNRVLYA SLIPKGSEAAFFGLEITLDLATGWINPLVQGVIQNRTHNLRFPMIPNLLLMLVALALY VWTDVDQGMRDAEVPLASSPSGQAQPGFTRVDSRNVSKNATA UV8b_03839 MQRSPCAQPHPSPVASSHHQHDDDDDPEAIRVRDRVQQHTATSM SSADSGVLDATAPKLPASPPPVYCAFPPARRRFILAVITLAGMLGPLSGAIYLPVLPL LEREFNVGSTSINATVSVFMVTFAIAPLFWSSFADYGGRRPLYIVSLAIFIASNLLLA VLPKNYGALLILRIVHAFGSAAVVSMGAGTVADMTEPKKRASAMSVFLLGPQCGPVLG PVLGSALAGQLNWRWIPGFLAVFCFVLWLIIVLMLPETLRYRVGNGEMYKHQSWVLLP PRLSSAMVPESERGPKPPKPTLLGYWKLFSYPPIGIVTVNTAILYSTYFAMAVALPHA LENVYHWSTTEVGLGYIAVGIALMIGSLVGGRLSDWRRKNKAAASSDGTVEPESRLYD QIWGVMLCVAGTVMFGWFVQNSLHVSSVIIATFLTGFGMSWVFVATTAFLGECAPLQA AGAFALGNMLRNPGAAIASVVYPPLVARMGLAWFFTGFALLDLVVVGGAVIVLRVKGE HWRRKKAGSAAK UV8b_03840 MKTFATLLAVLGAFTALAAGLEINPRSCTTKADALKQAQNAGCK NASAKCNSYGCQCVWGCFSPIGGGRLTLWTNRCGTKTVQYGSDLQAMC UV8b_03841 MPDMTQIACRIGWLVIGITILRALNLLYIHLRPSRFHRFAHVSP KGDQPWALVTGASDGIGKAFAQELAARGLNLVLHGRNHAKLTLVMSQLQRAYPKRSFR LLVADAGMVSCLSCTRPAGDNGDKARSGDKGPSDAAASAVATVEFSSIREAVADLHLT ILVNNVGGAPSPAFLPVSQSSQSGTTGNISLNALFPFHLTRELLPLLAQNAPALVLNV GSLADAGLPFLATYGASKQFLMTWTRALGLELAFEGKAEPVEMLGLRVGRVTGASGHK EKPSWLVPDTRTMARAALDRAGYGSGIVVGHWAHALQDVGFHLLPKWVGDKIIISLMR RELATAQTKQSTSKQD UV8b_03842 MARKVCITAVDGNTGFAIAELILDHRDFSRKIDSVIGLAMDPNA QRAQELKEMGAVIVHHKPGRVKDMAITLRDSGADTICIVPPAHHQKYDICVELVEATK KAGVSNVCLVSSAGCDYADVKKQHRLREFIDLETLVLQTKGDPSTPTGGSPCVIRAGF YAENLLTYAPQAKEEGTLPLPIGEDHKFAPVALGDVAQVAAHVLTGKGKHGFDDRHRG QMMIVTGKKTRSSEPMTASSRLTGNCDPGPKLCAGAELATAASTALGTEIKFENISQA EAKRVLKAQSDSDQSELQYLLEYYSLVREGKTNYVSTTAFQFVTGEHPTEPDEFFKMY QTELRPHKKAKRNHK UV8b_03843 MDVPSPQPSPAGNNLPSPNVRSSNTLSSQVTSVLSTSFSDADFG QALALFDQQNLSNDGKTRRNIKLSLQKDVMDYNGLIVDRFGCVAEQLNRVNTILKGLN ADFEEMRSRVERAQEGTSAALHEATSMLRNQETLLVKQRVLSLFKDRFILTEDEEVAL TSTAEPVDGRFFATLSKAKRIAKDCELLLGLEKQTFGLDLMEQTTKNLNLGFQKLYKW IQREFKTLNLENPQMSSSIRQALRVLAERPSLFQKCLDFFSEARERILSEAFHLALTG AAASDMDEPSIKPIDLAAHDALRYVGDMLAWIHTAAVSEREALEVLFVAEGEELATGL KTGKDAEIWRLVADDDFGGDFDAIKALNELVDKDMFGTVRLLRQRVEQVIQTTEETIL VYKLATLLNFYSITFDKLLGPSSNLRQCLQNLEDEALRQFRSLIKDSIASVHGHSQHV PADLAPPIFLQDALDQLRAILQTRDSSLSMNENGEMEFEGVMADAFEPFLSGCENLAS SLTPLKASIFLMNCSLASEKCLSGFNFTKRHTEQLRLRIQVEATSIVQNQYQFFCQGS GLASLLISGDDGVATVRSDMDQNMLSRASQQLDEFLPAALMDALDRIKCIRDVELTRR ITDEAAGLFFEDFKKLEEEIERRDLEADADKKGAWRAVFPRTSTDIRVLLS UV8b_03844 MTWHRVSYILYDTVFERANTIPTGRADQGLVRYLRLGAKHCHKA HSHTHGSVYQRSSMTVMVGSSVASCPSQASINAAP UV8b_03845 MKSLTMLRNKVFTALQKTYDESYLSCSTAVYYEGQGDEAEAMRH WRNALEQIYEHQAVRPVPSREPQTDTERALFEALKQLELQCKERIDLLEALRVSRAGL PARLAANKPHDVVASVQSSKGSIGQGTIPAVTYSELSRPTLPRRPAPPARSPSSPGQA EPSSPSGCAKNSRAGVAPDKKPTSQSPSVSTESVKTLRSPSPDKHTLRTTLRSGRSND KPNRAMPKPSRQAVDGPSKAATLAWSALTSRDPLLRASSSAEGPPSLLSPRQTTCQQR PSTYPLQWDSHSRRLVTSRESEHPSHDPDSNVPSRHHYDDYARSKSAKLSISAASSAL SLSSNQQASDSNESLTRSKSAGSSREASASVSLASKCRDEPGSGTLPFGDAGKDVERR HLSQDLTKRKAVANRPAKTAQHLSRGSITPQGGDYRQHGSPNQALTDISSSEHGVSAA QTSSSKSHRAKPKDVVAKPITQSQPSDNAESDGGAKDMNWKRKKLSILKNLPPGVDEG AAKQILNDIIIQGDEVHWDDIAGLEIAKNALRETVVYPFLRPDLFMGLREPARGMLLF GPPGTGKTMLARAVATESKSTFFSISASSLTSKYLGESEKLVRALFGLAKSLAPSIIF VDEIDSLLSQRTGSGEHEATRRIKTEFLIQWSDLQRAAAGKEASDRDKERCDANRVLV LAATNLPWAIDEAARRRFVRRQYIPLPEPHTRESQLRTLLGQQKHEISASDIKRLVEL TDGFSGSDITALAKDAAMGPLRSLGEALLHMTMDDIRPIRLLDFESSLSTIRPSVSKS GLKEYEDWARDFGERGG UV8b_03846 MASQIGKAARRVTHELHGVVVSAGLMQKTVKVRVGGQKWNKVVN KWFPDPKHYLVHDPNSSLRTGDVVSIAPGWPTSRHKRHVVKHIIAPFGTPIHERPPVP SLEERIAEREAKKTARDERRSLSKAD UV8b_03847 MAASPGRESKPAVLIAPSKTTMSSPDRRTGVKRPRTQSLPPPAL PQLVAEQHTPILPADKASQRLIVVLSNASLETYKASHGGSNRSGLHREDKYSLLNSDE HIGVMRKMNRDISDARPDITHQCLLTLLDSPINKAGKLQIYIHTAKGVLIEVSPSVRI PRTFKRFAGLMVQLLHRLSIRSTNSNEKLLRVIQNPITDHLPANCRKVTLSFDAPLVR VREYMKTVAPNESICVFVGAMAKGADTFADSVVDEKISISNFSLSASVACSKFCHAAE DVWDIL UV8b_03848 MLPNAIRQTDDAPPLYLPKKSRARNYRDDSRILEEAESHMVVGD EQQQVEAKFDDARAEGSVMDSSQTPATASVHGLRRGQPRAKLLGALQGWDPEEDVEND VPASLLMEPHENPGPLPSFQAAQAPPIPQARERDKPPKAHRFTLTAATHDGPHRERIR NLSTLSRPRSLASDLKLNGRRERALWRWANVSNLDSFTRDVYDYYEGGGLLCILCSNA LWLLETLFVTVLLTFLTQCVDYSKVPESRALDQVIVKQCTRKMSITWSLGIWLYSFFF IWKSVQYFFEIRRLIYIRDFFVHLLEIPEQDMQTVSWQDVVARIMTLRDDNPKTATNI PRNLRRFIGSQSKERLDAHDIANRLMRKENFLIAMINKDALNLSLPLPLLRDRQLFSK TMEWYLHYCILDMAFNEFGQVRQDFLRADRRSALSQKMRQRMYLAGCLNLIFAPVVLA YVVIVYFFTYYNEYQKDPKMAAARKYTPLAEWKFREFNELPHIFYERLHMSFPFASRY IEQFPKRMTEEIARSVSFMAGAIMAVLAVGTVLDSELFLGFEITKDRTVLFYIGVFGG IWALARGMVSEETTVFNPEYALRNVIDYTHYMPDHWQGRLHSFEVKQEFAELYKMRVV IFLEEVLGIITTPLLLFFSLPKCADQIVDFFREFTVHVDGLGYVCSFAVFDFKKGVEQ SAPQRAGGMDVREDYYSTKHGKMAASYYGFLDNYVINPKTGIPGHMPPGSRGQFHPPP VFPSLNSPSLAVDVHGSNMVQPDWNRTRARHGNNLLTQNLSKPAAGPVIPQLSPMASI LLDPHNQPTAPPVGGTSPQQHQQHQHHHHRQPRTGSYAETQVADQDVGRRSERRRAAE LNNDAYEDAGLDESTWETSPGNGPSRENSSTNPEDVENGVLGLIYKFRQTQHTRRSGL V UV8b_03849 MPNATPTKQDRVPKLVAAHGSARRVRRLDGLGQETNTLFQPWNA QDSDGSQARSQALMKESPAKFGLLTEFEKPTLGPARSRAYRINRLLWGSGPQHSADDV EVHVSVKPEARASHVSDIQADSARFPKRKQTPSLAHDSSVKHDTTPDRSITNESSRGL SGSSHLGESDDEDVGSSSLESDRIFSSATVCAGQRSHHTQRRIEIPSRLSEEQTSMIR SPDFLDTAEMACTVPLQTSSEQTSSENVSRPVEQLHSVTEEMIRQLQDLRLEPEQTPT EFKSKARTVPSGKVPLPDTSVRTLSPPRRYPLQETRAQPNQGSCANHSSPGTQDKSNL TQVSLVPTASLTSKRASRAVSSKKAFNAEKHALAREFLSELDKTITDGRIAQLSESTG GIKLVWSKSLNTTAGRATWRREALGQTGPCGRPVAGEYQHYVSIELAEKVIDAQDKLL NVLAHEFCHLATFMLDGVTSHPHGKEFKAWASKCSEAFASRGIHVTTKHSYAIDFKYV WECVSCGSEYKRHSKSIDTRRHRCGSCKGDLKQTKPAARQGATDGGGSLKKSHYQTFV SEQVKLVKLENPGLPQRDVLRIIAEKWAASKSSSPSENRAASMERRPGNAALATKGQQ LTMNYEAITPGRV UV8b_03850 MPGYCGTTLYGGAVVCDLPEKFADVSKLRQVPDNQEVWIDKDGF TSIIFDITERVGGPGNGPEIDGHAMTTHLEDMVGSDIDTVKIWNTAETEFTRLDLKSP AYTLIATQTPKVGQSHGSESAPDFTAIIMTLLRLERYNTDILITINVPHIKGEYDEDE VDLELGKQGLLIGDAVEYSARIWESFKIKDWGLFGDA UV8b_03851 MNCIFCRIVKGEIPSLKLFESDKTLAFLDIGPLSKGHALVIPKY HGAKLQDIPDDQLTEVLPTLKRLVKATGATDYNILQNNGTIAHQEVHHVHFHMIPKPN EEEGLGIKWPSRAGEMDKLKSLCEDIKSRM UV8b_03852 MFRIILHSELTASSRGPNINLLRNLRSGLVSLGADAAQPERLGF LSYGRLSASKRRGFLTSSGSLSALSVQGSKPEVSTNLQSAICNPTVTPALAACIALAI AIASWYSLGDNPDRDGVPAQLNAMAQEIAPGWVGNLSPEQEHKLRELWDALFKVCGVY GDGDSKRPDVSATDPRQPDEEVEVPRKKQGFRFFGSASRAATSASDDQHVDGSLHNDK FGLTKQYQEILASQKPEEIREALWSMIKHDHPDALVLRFLRARKWDVEKALVMIVTAL NWRHSQMKVDQDIMKNGEAGAAADEKSGHGKSKTLGHEFLTQCRMGKSFLHGIDKNGR PICVVKACLHRANDQLPESLERYTVFIIETARLALKPPVDTASIIFDMTGFTLANMDY HSVKFMIKCFEANYPESLGAVLVHNAPWVFQGVWKLIRGWLDPVVASKVHFTNQRAGL EEFVNPDQIIKDLGGDEDWKYEYTEPVEGENHLMEDVQTRDRLVGERQKLCNLFEANT KQWIRHPEDEETRAIKMKRQELAAKLRDGYWKLDPYIRARCLYDRQNVIHPGGDVDWY SYKTTR UV8b_03853 MRNEMEENLAGNARSRKRSNSRTGHSRPTTPLRPSSRSSIRDSA RKSSVDDAYFPLNTFEPAFGELSDTLVDLEANMMHFQLMHESLARFSESFASFLYGLN MNAFCVDFPEGPSSESFLRDRQTPQPSQSSSRLELDGEATFMTTDTSFVDNPPASTKQ PVESSSLEQRQSRLPSVRGSTTRAGPAARGSGRLLKYRGRGT UV8b_03854 MGVGNKRTITKTRRKTRDVDQIKADLLSSKHLAQFTSSKAPEDL PGLGRHYCIECAKWFDTEATLCAHRRGKPHKRRVKQLREEPDPDPRTVPGAKLQPGSE NQSQTGLNDASEGMELAV UV8b_03855 MLASRQVLGNVARNRVLFGAASSVRHLATASNSVLDRKVRQNIW EENNFINYKKMSENLAIVRSRLNRPLTYAEKILYSHLDDPHGQDIERGKSYLKLRPDR VACQDATAQMAILQFMSAGMDQVANPTTVHCDHLIEAQVGGEKDLARAININKEVYDF LSSACAKYNIGFWRPGSGIIHQIILENYAFPGGLLIGTDSHTPNAGGLGMCAIGVGGA DAVDVMANLPWELKAPKVIGVKLTGELSGWTAPKDIILKVADILTVKGGTGAIVEYHG PGVNSISSTGMATIANMGAEIGATTSVFPFNDRMYDYLAATKRKDIGDFARSYAAELR EDKGAEYDQMIEINLSELEPHINGPFTPDLGTPISKFSQAVKENGWPEELKVGLIGSC TNSSYEDMSRAASIARDALDHGLKAKAAFTVTPGSEQIRATIERDGQLKTFEEFGGIV LANACGPCIGQWDRQDVKKGEANSILSSYNRNFTGRNDGNPATHSFVTSPDLVVALTI AGTLHFNPLTDKLKDKDGKEFLLKPPTGDGLPARGYDPGNDTYQAPPKERATVNVQVS PSSDRLQILQPFQPWDGKDAKDIPILIKAKGKTTTDHISMAGPWLKYRGHLDNISNNM LIGAINEANDEANKIHNFTTGEWDAVPAVARDYKKKGIKWVVIGDWNYGEGSSREHAA LEPRHLGGLAIVTRSFARIHETNLKKQGMLPLTFADPADYDKIKPDDKVDIICTQLAV GKPVTMVVHPKDGKTFEIQLQHTFNEPQLEWFKNGSALNTMAKAARQ UV8b_03856 MPSIQGFSAIRARTYALRLPLFTRVIILVIAFFWILSFPSFWDL RQWGSLIPDKISFATAYRLSTFPLIHLNFVHTFLNLVALTPLMERFENEYGTLTSLAL FFGPLTTIPALFYVIIELGILRANNGIMGASMWVFLLLGMEAIRTYRSNPHLIIGTYH IPTWTTPLLMMFAVAALLPSTSLLGHLCGVIAGYICGLGYLKFLAPPEWALRWIETRL NLLAVLPHYVSVDQKTYGRFGVLPSTTRTGGSAPTELVGSTQRLGP UV8b_03857 MSRLLSSALSLRRDPRLLKLPPFLSLTCIVVGIVWLLLLPLDSY SRHTYISENALLPGQVHTYFGGSEQNVLNAYRRELDGFSDKSNHQINDHLEAILGRIG AKVGRQNYTYTSAGEVYFGENLYGILQAPRGDATEAIVLVAAWKSVHDLFNGNGVALA LTLARYFKRWSLWSKDIIILVPPNSRTGTQAWVDAYHDAHDSYQVASLPIKSGALQGA VAIDFPLEQRFEGLHIIYDGTNGQLPNLDLVNSIVNIAGGQMGMQTAIQGMKHHDNSY KDRLQTMLRGMLKQGLGISTGPHSSFIPYHVDAVTLQPYGEGWHDAMAMGRVIEGTFR SLNNLLEHLHQSFFFYLLMQRDRFVSIGTYLPSAMLLAANFTIMAIFLWVKSAHPLPL PPGQNTRSEKSQLADSKVAPVKESNQLSAGQQPSGRDLFPPLGIVSCCHAASIVPLFV FNNIRVAYLPATFTVICLGSAVLPYIISRFLPFIFVLRPQLFQLTKSFSLLVLGIALA TLATLNFSLAFIIGILASPLTFVQPCTTTLSKYTMAGLLSAVAPPVIVFTAARVSDLP VAELLQEASFGWDVWAMYTPIVIWCIWWPAWLVAMVNVLGTFQR UV8b_03858 MSLDTIPEFLAQQRESAPEELEPLILEFETFWERKLWHQLTDSL ILFFSNPKSAPQRLAFYKVFILKFADRINQLKLVDLALKAATQCKGDHERLSFLSSVV EKVNNANSQDALVYASVAVSRVKLNLNDLEGARRDLDEAEKILDSFDSVETIVHASFY DANASYYQRKMDFANYYRTSLLYLACIDLSSLSDEERHKRAYYISVAALVSSSIYNFG ELLLHPILDALTRSNDAWLRDLLFAFNRGDLAAYDGLANSVASNKLLSEHSAHLRQKI HLAALTEAVFRRPPHDRTMTFATISQETKVQQNQIEHLFMKALSLGLLRGTIDQVDEV ARISWIQPKVLDMKQIGNMRQRLLDWDSNVNQLGNWIETAGKDVWAA UV8b_03859 MHCYPQAARAARAASQAAHKAAGRRFVSDVSITRTGKPILRVEG GRSSLGGHTATVFGATGQLGRYIVNRLARQGCTVVVPFREEMAKRHLKVTGDLGRIVF IEYDLRNTPSIEASVRHSDVVYNLIGRDYPTKNFSLQDVHVEGTERIVEAVAKYDVDR YIHVSSHSADPLSTSEFYATKGRGEAVARRIFPETTIVRPAPMFGFEDNLLLKLASVL NLFTANNMQEKFWPVHSIDVGAALEKMLYDDSTAGETFELYGPREYSLSQIATMVDNE IFKKRRHINLPKAVLKPLAGVLNKALWWHTMSADEVEREFLDQVIDPTAKTLKDLNIE PGDIANFTYHYLQGFRSQNYYDLPPATEKEKREDKKYIHSGNCLLSVRDRGSFLRWCG RETMSDFTADVQLSFICFSCG UV8b_03860 MTPKTSGSAVEPQRANFGRRHQTSEVLSTLQRPFKCPSPSQRRE STLSKPLRKRRKIDYSGADGSTAEEKLYTNDDRLALATRDANRFPVFQPKDKDAVFRK AFSVPLVDKSCTPYSRRPPPTLGLRQGAVIAPRPLHDPSGEFAIVLYDPTVDEKSEDA QKHEMESTATSEASKLDAPLVHKSLAEILGLRKKVGDERPKVPVVLDPKLAKILRPHQ VEGVKFMYRCVTGMIENNANGCIMADEMGLGKTLQCITLMWTLLKQSPEAGRPTIQKA IVVCPASLVKNWANELTKWLGANAITPFAIDGKASKEELTRQLRQWAIASGRSITRPV IIVSYETLRLNVEELRHTKIGLLFCDEGHRLKNGDSNTFNALNSLNVSRRVILTGTPI QNDLTEYFSLTSFANPNLLGSRLEFRKRFEIPILRGRDADASEADRRRGDECTGELLG IVNKFLIRRTNDILSKYLPVKYEHVVFCNLAPFQLDLYNYFITSPDIQALLRGKGSQP LKAINILKKLCNHPDLLDLGSDLPGSEDCFPADYLPKEARGRDRHVKPEYSGKMMVLD RMLARIHQDTTDKIVLISNYTSTLDLFERLCRSRQYGCLRLDGTMNVNKRQKLVDRFN DPDGGESVFLLSSKAGGCGINLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDCFV YRFIATGTIEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELFQYRPNTKSDTH DTFKCKRCKPDGKQQIKAPAILYGDTSTWNHFVNEALQSIQDLLLRQEHDLSEVSAVF QYISH UV8b_03861 MASQDGTASTQTSASAYHLKQLTQELSDDLTKVRDADDFKSDSL SSESKALSAGNQVSGRMSGESPQGLLKRREADR UV8b_03862 MAARNTRPFPVVSTLTGFALLLLVQLAAANTQLDHRHGQDADAV AQGANGSTPMHESYPPTYFALPDGRGLIYGHVASMVVAWVFILPVAVMLSIARSGLTL GTQLAFIAVNSFGVLLAAVYNTGTPDLYPNNSHHKIGWIATFVVLLQVIIRLTGRLTG AATGQGESNSNTNSMEMRNRVLDCVPAPVPSHRPLTPPGSYAQRDPRFSNDSGQGTEL GTELGTESLQSDCISELHDEQAPLNDRTKDIDEESVYNGKTADGATFVSWRPGLLQSL KLANAVSHWMIACLGIFYRVVDRVILPFGFVALTTGIVTFARLFEGQAVFSGLAHWIK GGVFFWLGLFTLGRWSGSFAELGWAWNLRPVLLSNRRWHPSAEFVESALIFFYGSTNV FLEHLGGWGGEWNAQDLEHMSIAVLFIGGGLCGMLVESSRVRTFLNNTASSVAPHEPS PAERMDLWQAPETESVSLNPIPALIIMLLGTLMSSHHQSTAISTMVHKQWGNLLLGAS LSRGLTYVLVFLRPPRSILPSRPPTELLASFCLMSGGIIFMASSADTIKGMIHYKLDP MFMYTMTMGLVAMLMAWEITVLAIKGRAARKMSLFHSRHYMQGVHFAD UV8b_03863 MATQLATLPAIQRLSARCIRILGGNPGKFTLQGTNTYLLGQGHR RILIDTGEGRQAWIESLKSTLAEENATVETAIISHWHHDHSGGVADLVTAVPGVRVYK CEPGPGQLAIVDGQVFQVDGATLTAAHAPGHTRDHMVFVLAEEDAMFTADNVLGHGTA VFEDMGEYLSSLGRMRRLFCGRAYPGHGPVLDDGPGKIAEYIQHRQRRIDEVLQTIRT GKSPQANADMWTAMDIVKVMYRHVSVEVHPAARRGVVQILEKLSRDGLVARTGEDQWQ PCMEQKPAL UV8b_03864 MSADFWAGYLSGAVGILVGNPLDITKVRLQAGQAATRSPRIRGP AALAAGTAAPVLGYGALNAMLFMSYNRCEPALQAAFASDNSLAATWLAGALGGLATWV VSAPTELVKCRAQLSATRESSWRIARDVCQAQGLRGLYLGGLLTATRDSVGYGFYFWS YELAHSWWPSAAAAAAAAEPQGHALSTQPETPRILLCGGLAGVVTWASVFPLDAIKTR VQTQHATVQQEAAALPRSPNPASCAALRPPGSMEIARLMFRQGGLSIFFRGLTVCSIR AFVVNAVQWAVYEWVMAGLVQASQKEDGAAAK UV8b_03865 MSDQRSTVDDASGSIEVKEADQDVEMKESASTAPTDAENRASEE GEKDVFQVIQSLTTYLSTIQEDGEELAAAFQRIPNRRTLPDYFDVITHPVAFSTIRGK IQKKQYQAFSGFVADVAQICHNAQVYNRPSAPVFAAAVRLRDIFSEKLQQLAAKGDIS SDDAKLPDLGELPPVEDSVSLQYQNDDEEDEEDADKGDDGEDDEEDDYSSDDADRQRS RKGRRVRGQQTPSGRKERDDDVDDEMHKKRGRPPTVPTPMEARITSILRGLRKFKDKE GNLLILPFEKLPDKVAMADYYQTITNPIALDNIKKKAKRKKYRNVDDLQKDIELMFDN ARLYNEDGSAVYLAATELQRQSRSLAEQEKARPDDDFRDEDGKLPLGEIQHDGRIWKV GDWIHIRNPNDLAKPIVAQIFRTWQDGAGQKWVNACWYYRPEQTVHRYEKHFFEHEVV KTGQYRDHQVSEIVERCFVMFVTRFNKGRPRGLPSASEVYVCESRYNEEKFRFNKIKT WASCVPDEVRDRDYEMDLFDAPRRMRKIPSPIKHLLREDAKETDELPRPTWGSPNAPP IVGAVHRRPLEPNESPPPEPTPPPSMPTPLEASSDVVARSSALPTSPMDAVRSPLSAF AGPTPPSSLPSGQYNNNNMVAGQFAPTTPGVNGHIVQQTPVPVPNPPPPAVASQINTR PMQYQKQQHQQHQQHQQQQTGYVPNIAPAFSQQPPAFNHSQHTVSPSAAMTYNHQPPG RTSFSAAACNATATNVYNPPRPPEVYTLPDNINAALDEQIRRNYQHDGDGRVLFFAGP PLKRKNNRLSPQDAGIGHSAKYLAGREAWLADRKSKKMKWYEGQQSGAEHSSASTPVE DGESDSIATQAATAITRWLLCYNDQTVEWQRAAGLQGWQINA UV8b_03866 MNRILIPRTLRGPRRFLAVGISAAACTSCLVFRNPNVQLHVQSP VERPSTTPRTTVQRSGFSTSVIRQISSGSLAGCLTGLLAAMLSKTLIFIGSLIALSLH ISSRFHRGFSYMPRISRLLGSSTIYQAGVENPWFTSSFAVAFALATFARL UV8b_03867 MYGIRGRCPLPSVNRLITQLNGKGAFLLLQPPNSATFFPFDARA VSFSPPRHTASPFSSSRAPDARTAAKRMASTSSRLAKLRSLMKERNVDVYVIPSEDSH SSEYIAACDARREFISGFTGSAGCAVVTLDAASLATDGRYFSQAAQELDANWTLLKQG LQDVPTWQEWTANQAAGGKTVAVDSTLIPGLAAKKLDGQIRNAGGAALIPLGENLVDM VWSSDRPSRPQLPVTVLEDNFAGKSAVRKIDELQQELRKKNCPGFFVSMLDEIAWLFN LRGSDIPYNPVFFSYATITPDAAILYIDDCRLTDACKAHLENSNIQVKPYDVFFADAE KLHTEVAAKRQSAADEKPPGMFLISNKGSWALGRALGGDGSVEEIRSPIGDAKATKNE TEMKGMRDCHIRDGAALIEFFAWLEDQLVAKKAMIDEVQAADKLEEIRSKHQRFMGLS FTTISSSGPNAAVIHYGPKRGDCATIDPASVYLCDSGAQYLDGTTDTTRTVHFGQPKD SERTAYTLVLKGLISLDTAVFPKGTTGFALDCLARQHLWKSGLDYRHGTGHGVGSYLN VHEGPIGIGTRVQYTEVPLAPGNVLSNEPGYYEDGNFGVRIENIMMVREVKTKHCFGD KPFLGFEHITMVPYCQRLIDRDLLGPDEKAWLNEYNSEILESTKTFFENDALTMTWLQ RETALID UV8b_03868 MPPKFDPNEIKIIHLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWKGLRVTVKLTIQNRQAAVSVVPTASSLIIRALKEPPRDRKKEKNIKHSK SVSLEEIIEIARTMRYKSFAKELKGTVKEILGTAYSVGCQVDGKPPKVIIEGIDSGDI DST UV8b_03869 MIQTLGGRGAIQSRHLAASVRQIPEESKNETERYTSLRASQEEP GTRPHTNIKSVVPRAPTASSTKRNR UV8b_03870 MAEIKSAQKVWLASNDNATIEVDRVVAERSMLIKNMLDDIGDDM INQGNPIPIPNVNEAVLRKVIEWCEHHRNDPPQAQDDESDGRRRTTDIEEWDQKFMQV DQEMLFEIILASNYLDIKPLLDVGCKTVANMIKGKSPEEIRKTFNITNDFTPEEEEQI RRENEWAEDR UV8b_03871 MRGNKFKTTRHAKSVKMPSHKSFRTKQKLAKAQKQNRPVPQWIR LRTNNTVRYNAKRRHWRKTRLGI UV8b_03872 MGAGMSWLSNLLWAKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYKNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVVDSTDIERLQT AAEELAAMLNEEELKDAALLVFANKQDQPGAKGAGEISEALRLGELRDRNWSIMACSA VDGSGINEGMDWLVQTMNQE UV8b_03873 MLPELTPDTDMAMEIEQRRVDPLVRGGQDAETTGKTAEEVGRKP RSVAASPATSGLTCPGPLWISGHPAPSQDPRSAAQACERRDAAAPVVSLFLLGESARR VTGKATVLDSLDEQKAAGLVLARLAVPHIPIPLWQTTPRRAWIW UV8b_03874 MPVQLLPASAAAFAPRASSVNVVLGNKVEPWLTQTLKRVSRVKR PLNSVPQHQRCLADLLSSPNAIWTLASLMLPNLADADHPDADLDADPDMPADPLEHHL LFSHRLVHVEAYIVHVDMVLRNEVAYKLTPDTIAALVGYHEQVHCVDAKANTYDWPDK DQQCRKLHDDFVQAVNRFVYRAHATALEGLEEEGAGELLIGKSDEVKSSLMALMKPLL PPPPPPPPPPPALAPRVVHLVRQPPLLPSSSPASAFWSQPPPSSSLPAVDAWRVLPSS PGLATSSAESDSTPVWTTLGMSDVQMPSSPPALAFTQPYSSSPFYFGSPPIQGPMLAA ALPLPSMLASSQCGVSVGVGVGVGMGVGMGMGMGGFGWDRYQEYATIM UV8b_03875 MANSNAEGPQPTLLPDAPSRDFMTEAQWETLFALVDGILPSITS ATSSAVTDKRGSIVLSDRDFEKLVDDCVSALSKPPPRNKVKDYLEYRPSQDANVRDSC LRSIADNPQRGQLSTVLNLLGGYLGSRLLTGYWTPIAQQPTKIRQEILQSWASSRFTA LRMLCKSIASLAQLANSVQSQWFRDISGYSDVPRDWKPNQGHDYDFLQVPAGTGIHEI STDVVIVGSGCGGGVSAKNIAEAGHRVIVVDKGYYFPPTQLPMTQPAASHYLLDAGGA YFSDSNSTGVICGGSWGGGGTVNWSVCFRLEDHVRDEWAASGLPLFASSDFDDSMDRV WDFVGASKDAIRHNGRNQALLDGAQKLGWKGGAVEQNTAGKEHYCGHCHLGCGSGEKR GPAVAWLPAAGKAGAEFMEGFAVDRVLFAEDGVTATGVEGLWTARGENGHLHEATSSR TQRRVVIKAKKVIVSGGSIWSPVILAKSGVMNRQVGRNLHLHPTNLVSAVFGTREMLS WEGGIITSYVSEFDNLDGKGHGAKLEPTCNVPYTTFAVQSWKDGVDAKVLALKYRHLG TFISLVRDRDTGRVYADPDKGTPRIDYTMSDFDRQHALEGVVALAKICYVTGATEIRP HYHHLAPFTPKDGGKRQAELQAGEDPEFTDADFAAWIRELRRVGNEPPVAISLSAHQM GSCRMSADEESGVVDMHGKVWGTSNLYVADASVFPSASGVNPMITTMALADWISRGVA RELDG UV8b_03876 MDRLSCHVIYVNRVVGEDGLIHASSDVSNPPQPSNPSEWKRDHV RELVKPLLEAFGDVHICSSGSACLSTLFQLQDGSMTSITPTLVLLDTPFDDHLQDQLA RSRSSSPPAAAAAAAAVTSLTSEIHTPNEELYGLGLLQKIITEAHLRSMSKLVVTVPV ISYSGSSMCSTGHEMTDGASESPLSPEAILSVNRRLIRTCLDLGAADVLISPISSKCV TTLEICAYKAQRDATKDQQEILEVTKGRKRSWVGINEQKPFAYLREAMVSGLMNGICR LDGNDGQITGAHVAVSSRRQVEIAHAVGHWHFCAHSFSDDELLVAALVMFKHALVLPE LEPWRLSADQLVSYLVACRAAYNSFVPYHNFRHVVDVLQATFNFLVRIGALPPYPSGH EQRSFAEKSPMAGLLTPFEALTLLITAIGHDVGHPGVNNGFLATLNAPLAQLYNDRSV LESFHCAAYSQILRRYWPSAFEDRKMRSLMISSILATDMGLHFDYMKKLGDAQERLQH NKSIKGWSGRQIEDHKALACSLLIKCADISNVARHHDAALKWMYILSEEFSRQASMEE ELDIKSSLLAQPKKDILSLATAQLGFMNVFAIPLFEGVADIMPAMRYVVDELETNKGL FEKKLQEEKARQTTEVANSRCGICEGTLPPRTPSRLGESNGQPYKSKVNVPAERPEST TDGANEESGGSPRAVADDVQQQARCAVDELAEVGGAMPKLHYTTHDENANASAVDSVR HLADNDPFNFRFQGDGGTESKATTQLSRQRCSENTEGSTFGASSGDWQSQATTATTGR LALSPSTQGTSIVSTESLERRLSATGLKVSPPSTSSHESPRTVIRESVVIGDKSAHGG SIGKAEGKSLRKKTSRFRMKDFSFFRRQKAASPPLPSSDTTT UV8b_03877 MNGGAELPHRSSSPLKRRASSMNPDTAPTENGIMDVDGSEHGRD VLEASSRQFSRAMSVDNPDASGQEEPCMAQPQPPLLEQIKIIEMLLKAFAEAPVREGT VAYLVSRTWVDKALALRGGSKSASAVAAEDVSLGPVDNSDIVLEVIQDSSAKPFVRLL PGLGLEHFELFPEDAWNLVMDWYGIKEGQSPIVRTAVNTADSKHVTPNILFEFHPPVF YIHRAWSDISPIPIEQSLKAKNPPPLVVARSSAAHAQTFLKEIKTLAGISLERKVRLF TVPPTVTMLENDASSAPTPPDSPGGAPGRSAGRFIWPTMLVDRASIAQVRDTKISVPL ADHTTNDKFNGQTALRHYDLTTDQTLILDEAIGNAYVSNYSRRVRKVVSSRLAVSSVS SKSNTNSNRSSPAREGPMTRGQAQKKRLGKSAGVVGLHNLGNTCYMNSALQCVRSVEE LTKYFLTESYFDEINKANVLGFEGRVAIAYGSLLREIYAEGRGSVSPRDFKATVGRCR PTFSGWSQQDSQEFLGFLLDALQEDLSRIKKKPYIEKPDSTDDMINNPAAIREMAEKV WDITCRRDDSVIADLFTGLYKSTLKCPECGKISITFDPFNNLTLPLPLENMWSKAVKF FPLNDSPVKIEVELSKHSSIESLKQFISDRTGVPVDRLMGAEEFKDRFFKIYDNNQDV SEEIQSSDTPTIHELEAVPTNWPSKNPRMKPRSMLDIDTPPESSEWSEEECDTMVVPV LHRRPEMGARGPEGVSPPHFITLTREEASSYGSIQRKVLQKVATFSTWSKFRDDFNPD TCDGADGDVVVTASSDADSSGDSKVAANSVEGEDDMVDITMKDATDGTYKRNTNAASQ SKILRHFNTVAPKWAHPGTFLNADLQNLFELCYFRNDSDGPVPTGWSSVDNTRSLPKL SDRIREPSLKDGDQPSPESWNSAGSGNDESAGEEYEAAPESTATRMVEESSGEDTPPT VKFNGRPGKHNAKFGPGGHKKLKGHRTYGKKGNKKRDKHGRGSKLGQRANAIAPQPMP PAIADGGPLIRLFEGIVVDWSEEAWDAVFGNNSRRPNASQGSRTFIDVDTLQDPDLKM TQRRRATRRTRGITLEECLDEFERAEILSEQDMWYCPRCKEHRRASKKFDLWKTPDIL VAHLKRFSSSGWRRDKLDALVDFPIEGLDLTSRVIQKEEGKAEIYDLIAVDDHFGGLG GGHYTGYAKNFVDGRWYNYNDSTAHVVSNPAAVVTNAAYLLFYRRRSSEPLGGPRFKE IMAKFDNEDSDHDATESSEDGATGRSGKTAPSLLTGTDDDELLPGYGGNTVRRSIEDE GPGPHQRVGARSLDMTQAWSFSSLDRQPGMDAAEADYPSDDAQFDSSGDDGGQALSEK DAEMASTGPFEDNASWDNQDVMAVPADGTREGSLDEVAEIHLEGDRA UV8b_03878 MPSAQSSDLTSGRHAPVVLLTDQLEKPSLDNRDYRVVRLENDLE ALLVHDPETDKASAALDVNVGNFSDEDGMPGMAHAVEHLLFMGTRKFPVENEYSQYLS AHSGSSNAYTASTSTNYYFEVAAKPEDDQDPSDTNPSPLYGALDRFAQFFIEPLFLSS TLDRELMAVDSENKKNLQNDSWRLHQLEKSLSNPSHPYCHFSTGNLEVLKTIPESHGV NVREKFIEFHDKHYSANRMKLVVLGREPLDVLQKWVVELFSSIENKKLPPNRWTEEEP FREIDLGTLCFAKPVMDTRELGLIFPFIDEEALFESQPSRYVTHLIGHEGPGSIMSYL KGKGWANRLSAGAYAICPGTPGMLDVEIRLTEEGLKHYPEIAKVFFQYIAMLRESPPQ EWIFEEQKGMADVDFKFRQKTPASRFTSRTSSVMQKPLPREWLLSGQGRLRSFDPAAI AQALEKVRPENLKMTLVSRNFPGHWDKKEKWYGTEYSYDKIPADLMDELKLAVNLSKD KRLPDLHLPHKNNFIPNKLEVEKKDIAQPALAPRVLRNDQAARTWWKKDDTFWVPKAN VIVNLINPIVYDSAENCVKAKLFTELVRDALEEYSYDAELAGLQYSVLMDCRGLSLDI SGYNDKLPVLLEQVAVTMRDLDIKDARFEILKERLTRGYQNWQLQSSYQQVGHYTNWL NAEREYIVEELAAELPNVTAEAVRLFRRQLLSQLYVEVYVHGNMYRSDALKITDLLES TLRPRMLPESQWPIIRSLILPPGSNFVYKRDLKDPANVNHCVETWFYVGCRGDRQVRV KTMLAEQMIHEPAFDQLRTKEQLGYIVFSGMRIYDRTCGFRFLVQSEKTPEYLDRRIE AFLVQFGKMLEKMSETDFEGHKRSLVIKRLEKLRNLDQESGRHWAQISTEYYDFEQAQ QDATHVKALTKAEMVEFYHTYLNPSSPSRARISVHLKARGAGDLDGKILKLLANCGVA NVPQENRQSVDLLRSHLEKLDDVPADEVEKIVLQVKEMGLSQDANNEVVNGPTDEMSA VDAAREITDVRQFRAGLPASSGARPVKPISEFEENEPKL UV8b_03879 MDGAYVCIAHRKLVESLTRKMTLKTSLEIRTSPLNGNGNSNTTD DLMDALAPPCTGQTCKPLGLNKSVKQSDRVLSRRNSLASPANAFPACMCFLSGQHVKL GRQAGLCSQFAQLCHVIKVVRLRHPAHAFVELPFS UV8b_03880 MAESWVMLKSSSEYLIPPTRVADTQPFQAQANATFSIDRDVVDY ATYGKARHDTQVLKTSRFAFGKSDSTHKTAQTSTFSSRTLLGPVKDFDASQSHVGIWA LEEQVFSYALFEAMGGADDVNLGKDGSNGTRSSRWEQK UV8b_03881 MAPETPKAISSRLLTMKFMQRAAASASSKASPDSEAPSKKRKLD RSPAPGRINPNIDHALIQSALDDQEAARQAALQKHSSTDSQWTLKAVFEGQPGEKASQ SMNIMYVGYGDIDDEVTEDNPSKGRTCTKPSKKVTSGRGESKPSDESDQASSDENEGA NDKYKARSPGDRTNAAGNFDRSHQSRSQSRHDNNAVRAKQFRDKRKRKEVRLNKLTSI SAAGGGQFVSQGYRAKATKGYNSH UV8b_03882 MASCQWRIACNLACQLRISRRALTTTARRLEATTTATSRSETSP ILREELPTKPVEQAPNRVTVWSRSQNPRSRAMTGPRFEQTDFDLQPQPLAAIEMIHKE PVRWTHDRVVACDGGGGPAGHPRIFINTDKPEIATCNYCGVPYANEHHRKHLESLPET SYPLS UV8b_03883 MPQQPSRGPSPNTAIALLLLLFVAFFPGLFHSIWTLPFRLLPVF RSPSQGYTGTGRMTWFQKQFTLPAKSRGSYLITDQVVGALPEIRDYKVGLLNLFAQHT SCALSLNENWDEDVRADMSDALDRIAPAEGPKGEALYRHDAEGPDDMPAHIKSALIGA SVTIPIKDGKLATGTWQGIWYLEFRTSKHQRRIMATIQGERS UV8b_03884 MSTIPPSALQSAQPPLVPLGFNSKQPEKVTLYPLSNYTFGVKET QPEEDPSVIARLKRLEEHFNEHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LRPEDEEEEGFKSRLDERLAPVGRIGEGEEAGDWEVGECLAQWWRPNFETFMYPFIPA HVTRPKECKKLYFIHLPKTKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLSR YNFEFVDEIGNVVAMTPGVAPPDGYVPKTKVLAGDDTDMKEENGTL UV8b_03885 MSPEGTNATANDELDFMHVHSSSSDQDFSISKGLDFSIKPADDN RSSISSDKSDSKPPRSAMRRSSSIAKIPQSPRRVRFDFMGEEVLPTSSPQPFAFISAR ISSPEPLDDRIDCASNLATEPGEDEEEETLPRKVSSSDALRALSRVPLEEDGTVWTVV SCDSDDAAVDEASPPTGRNSVGKTERSETCAITTDLPTAAGSPPTARNAPSCNVSQST HEVVASSISPEQDSSDDGFLAMARRKAPAPCVSRTPETPNLANLTQKIGGDERNNLNT GQLNGIKANTLKDTTTPTPKPVTLIQSEDELFHFEEEGLKFPFEASKRDEPVEQEQLE ADDSDHDIATAPDAEPISLYATSPAVAIARPRERDAEESLPSRPKFQAGTVGSYKGKP LVMPVMRNPDILAKLESTEPVGPLTGSVHDRYPVEEDFKPSTLQTCISQGVVVSAPRS FSEMLILEDMMKSAKRQSTSPGAHPQ UV8b_03886 MGGINLEIFKFGLYVMFPIGIMYHFGTNLDSRFSVPNFYPKPEE CNRLPRDRDEVKAEYERIIARQRFRQAQLQDEQRQRTLLKGNQSDGNDSRNVDD UV8b_03887 MATTQCSFASPSRALFSTAHVMLAPRPVRQRCFRSFTTSPRSLA GHNKWSKTKHIKAVTDKKKMSERTEFTRLIAMYSRMYGEDVRFNPQLANAMAAATKAG VPKSLVQAAIARGQGRSSTGAKLESMTFEVLMPPDMALIADVETDNKNRTLHDLRNVV KKAGGVVGSTSFYFSRRGRITLRSGQQSPTLSDVLEEAIEHDGAEDVQELPGEGFLAW TQPAQLMVITEALSKRFSLEVTESDIIWSANEDTKTAIDSLACVKSLDALLTALREYP EVKAIYANVRQGNITKENWEKLERNVDV UV8b_03888 MPSKPEPEAPIEQQLAVQALAESATGPESTNATPELHLSNLQAL PTEHQELFLLKFVSDLKRHVLGLDGDGCTAQQLYLKKEIFQIINLSAPAPCRVIRNSL GRCLAHIFGKGDRKLLFETINDLISIISGSKAKSEGETRSRHAAVLCLGDVFAAAGDS AIGLHQLACTTSLKLLKSASSNAGLRAAVLSTLAKVVTMVEGSMDEGISRDIWKQGRN LVASDKGSLVVASACRCLKALARNTSYFRNSADLDKLEAALLKAADSSSAQVRHAVAD CFAEALVQGYSANGTAEAFSSKKAKTRLKRSSTHPAIVHINEDDEQLPSRAASPAPSG KRAQDLALSLADMLKILAQQYVKPSASNKTRATIGICYGKLFRRLGERTVQTRYLQIV EGLSVDLLSHSTINNNRYRLLITRRIVDTIIHDVIGRDILGESSRISAAESIVANILK NYPQALPERPEPSKQALITALRAIASLIATLGSASNRFAESCRDGLIQVLQHPSYSVQ VFASYCMKALVLACPQQLLPCLSVCMNSLTRELSLLSGGRNSPRRCLGFAHGLAATLS ASPARPLYGSLDINSRVLTMATNLLKSSSQSELRVSSIQIQVAWILIGGLMSLGPNFV KIHLSQLLLLWKNALPKPLAKDNTSARSLLEASFLTHVRECALGSILSFLESNSRLLT VDVSKRIAAMLQSTTAFLRTLPFKKTTEDISQRLTPAVQLLDLDMMVQRRVLQCYIKL INLSPAGGSEALLQSNLLTLAISLFADPENYSPSSLSASIANAAGAFDSIWDVGDNTG FGITGLVSGFDVKPLPGQQDASSEDGAIELGGPEDLIDNLLKSPVCGSLEHDASMLYI GGTDNQPMRPDPPATEVVNNAIQLFAFVFPLTPAKVQESVLEQIKTFASAGLLQRDSG RKAAIHVNVALAILLSMKVAVKETQSPSGSVSDLAVERLLQDIVRDFVLDHDQYVRSL GYASVARLCNVYGNAFTNQEVKFLVDTIVSNREPSARAGCAMALGAIQTKVGGMAAGY HLKTILGILMSLCNDPHPVVHFSALEALSLASDAAGLSFAGYVPGTLGMLAQLYVSET HHAEIASAMSMNLEMERSTPAAICKCVDSLINVLGPDLQDADKSRDLILTLIDGFQDE SDVSVERASLACLEHLSLYAPGQMRYADYVKLLQRHLTSDNAALRDVAVDGLHNIMKR EPRDVLREAEDGFEEQLWLVLDTAPHHDGIKNIVRNWLHQSCLDETSEWLQRIQRVLK MVRAKSEQQDEPRSAGGLPDLQDEEVAGFAATDGTEGKEHAAANEAEPLRWQVRTFAF SCLNDVFAIVARDAAAHGDSAAQVALQNKVAEVVRMAFSASTSDILELRIWGLKMIGA VLKMFGRTTDPDFEEAMLLEQYQAQISSALTPAFAADSSPELASEAVNVCASFIAIGV VTDVDRMGRILKTLLTALENFSSDSENAGIGDLKGLSSNAQVMVKIAVFSAWAELQVA STEQKYLRDVLKPHIGTLTPLWLESLREFARLRFEPDISMTLGPPSLSGSLDAIYAAL NRETLLRFYQDAWLKLVDAIASLIEQDSEFVFDALDGKEARQQDAPDSRGKSPTINYR DEPVAFFFVLFGLAFEALATRPGQTDSLATREQTLDILEALKKILHPSVSGHAIYRPD VFAETMDLLDRLVLTEGLDIQRVIVDVARALCVRHPSARRQADDEGDLSEDIDQLFEL TRIIVLVLSGLVPNLSEGNQPVRHQMNGEAVILIRNSLDALVDAAEVFPSIIKTDLHA CIVHIFATILANPSCQELVVPQSLAILKRFVASVPAAASSSSSSSSSSEDSLPPQAPV QGCLRRFLSIYLNAQKRQEPASLACVKNCLLATTILFTSGENQLPASLSLVARYLDEM LDCLTDRMTARIAATCTRSLLLQSAPTAADLSIARHLLPRLIAFATDTEREDPERARS HITHTLCLYVGTVSKDRVPAAMGLVIPTLVTRAAGDGEDTYQETSARLLELAAVDQAA FKATVGAMNGGQRAFLEEVIRSGQQAGSRASHPSATEHGQPTITLKMNFGNA UV8b_03889 MPKTMSRHGGSDKKVIMADVEPGDKTGIYYMPICNLPFGTSWQD FKDWLRIDCHVDHVELFQSSTSGWIRLRGQDNFNRAWARLKKEYFRNRAIIASDKNRT ESIKIKELVDDSRAATYSSPGHWDLYASESTSPDAMLPRAPSCLEELSSDRELGRLAP SAACPAGPVAVAVTTTPAYGGPPVLGSYATMPEAYNACLDATGNRSLPYAPGFGYYEQ QPPADPSPVAATGHFGRGSPFVGPPSVESQYSSLHPSHACLSCRSASGTPSSLSADAH QRRAHTAQEARRVLVTSIQRKARASDVSNWIRHQIGEHSSAITALEIPLLEPKGRIHG YALVTLSNPAAAEAAVRILDQKLFQGRVVSTRPMAGGTQPQPHGPRCSKDPRPPWPAR QPSKHDEESKPTRRHNDWKHRKAKSKGSTSPSASAHAQCHNAVSGEDIFSGPVIAYGS STHRPRNSLELVPET UV8b_03890 MQISPLAPLHHSQPFKSSLFCIPSPNGRLVAVLTSSAILVRSTT TLQTVYAVKLSPELTEPITTCSWSACSSNILVSAGDQIRVFSACDSSLYATIQCPAAP TGGRLSLVEFGASDTEVLACAPSGLRLSIFDLASSRAVEVGNPKFCQPSSASRCFSIR PRTGHLLVLTRMGGKDMVSIHHPSTRQIIRSWYPGSTDAQEIKWTPDGQWILLWESPV QGRRLFIYASDGQHFRTLEASSLASNPTDSTKLDMEPGIKSCQLSCDSELCAVGDHSR GVTVLRTGIWRALMSLWHPLAVSPCETLQVWQEQVSSRVNGGDVHAFLRAAQAVSPPS MAENMLLSVDARTGCSLCAFDASSTLLATRLDESPGTIWIWDLAAGELRAVIIFHSVI SFYWHPTSRETLLISCREEGKHVASYVWDPLSPGPVWLDVEKYLPGCDAHGPRLQFSW LNHETDSPQLLVSDAQQYVLLSLADWEQEANPWQAAERGSESDGSASGRDGLEPIDLA EDISTLEDTFSFRHTKT UV8b_03891 MPSTGRRQLSNSTPIQLGTLGLAQDNVSDRGPSFDTGTALPRRD RIRDAKPFSEFLTDKFHRKHDYLRISVAERCNLRCVYCMPEEGVPLSPSRELLTTPEI VMLSSTFVSQGVSKIRLTGGEPTVRRDILPLMQQVGALRKHGLKELCITTNGISLHRK LDGMVQAGLTGINLSLDTLDPWQFQIMTRRKGLDAVQKSIDRILEMNKLGAGIKLKIN CVVMRGVNDGEMLQFVDMTRHKDLEVRFIEYMPFDGNKWNRGKMLGYSDMLHLIKEKH PGLQKVTDHRNDTSKTWHIPGFVGRIGFITSMTHNFCGSCNRLRITSDGNLKVCLFGN TEVSLRDMLRQSNGGNPIDEEAYEALKRGESRRRQGMGSLEQPPGMALNEAELLNIIG MAVKNKKAKHAGLGELEHMKNRPMILIDPAPASLKEQVSNRIHRLGGQTTMYGPSRSR YAESVDRCQPRRLHYSTSTARQWKSSDDAEQKHCRRARLTHVSDTGSAHMVSISGKSV TSRTATAACTVRFSSETAVSLIQDNQMNKGDVLGVARVAGIMAAKRTADLIPLCHPIS ISRVTVDLEPGKQGDSIEIRATVTCDGKTGVEMEALTAASTAALTVYDMCKAVDKGMV IDGVRVIFKEGGKSGRWEAD UV8b_03892 MFAAALAVLLAAAAAAVSPAAARDYAPGKRNICVKENGTGSPIL WTGKFCKTRNGWPSSAFVGNVEYHPPICCYDMAAYGSYLEVIQGSAAACAYADRYGVS MTYITKVPGRDGVYDITVANAPYGTDTSKTPGRYICKRAAVNELRYYGNYPYN UV8b_03893 MAAVELIMPTPSFQHHQQPWRLPSSAHARPHHARSHSCRAPQGL PGSPLDTATDTATDTAGMSQHHICSTTPPSPTGHHPQQAGRPMYMPAVLRRCDEFPAP KPTRCRTAGSTSSTDSESPLRRSNMAILNNIPGLAMLGQRLSRRSPAEADKTLLEGEW KLDAFPQVTGMPTRLHWKPDPESTVCDDPSCKRTFNYLVRRHHCRKCGNIFCDWHSSA VLPLDQDAKFNPRAGPSRTCNHCFQQAKALHSRSNSQTSSSASSDAPPPTPINAPPTP GVTAPHKPEVAASVPRDWNWSTF UV8b_03894 MAAADGYLLASMPDARLFSFPPTDAPTPLSPAPEAISLMRPFNI PGGIYQGALDARVPLTIAALYAVAAKALNKLNASRNKKPWAVSRTRPFFAFVVLHNVL LAVYSAWTFWGMLGAMRRSFVSPFGPGGIAASADSLCRIHGPPGGGSSLYFDDVSGRW DSAVPDSLLAGLDGNQPSNTQMGRIWNEGLNYYGWIFYLSKFYEVLDTFIILAKGKFS STLQTYHHAGAMLCMWAGMRYMSAPIWVFVVFNSFIHALMYTYYTLTAFSVRVPVAVK RTLTSMQITQFLVGATTAMAHSFVTYVLPINVTRVATEPGRLSTAAPKSVMPAATDAL DSLKKLVFGSVAQEPAAAAAAAAPGAATKAALIAETSYVAQPCIATANETFAIWVNVL YLAPLTYLFVSFFIASYVKRSTANNNNNNNNNNNNNKAAGKASRRLSNVALAEKAGWD AARGLEREVYGGENMVVNGRVDSVDSDQAVSKKANGRPRRRA UV8b_03895 MRLSTSALVLGAASSAVGLGDQKVLSNPSKAALGLDLADVGSLD FDSWSESLKKAFGEASSEAKAIWDEVAMLAPDAVDAFKKHVISAKPKKNSRIPDKKWD HVVKGAHVQSIWVDNEEGESHRKVGGKLDNYSLRAKKVDPAKLRVDKVKQYSGYLDDE ENDKHLFYWFFESRNDPKNDPVVLWLNGGPGCSSLTGLFMELGPASINKKIELVHNPY SWNANASVIFLDQPVNVGYSYSSGTVSNTVAAGKDIYALLTLFFHQFPEYAKQDFHIA GESYAGHYIPVFTSEILSHKDRNINLKSVLIGNGLTDPYTQYEHYRPMACGDGGYPAV LGESECRGLDNSLARCQSLISSCYNSESVWTCVPASIYCNNAIIGPYQRTGRNPYDVR RDCTGGNLCYDELGYITEWLNRPEVLEALGVEVDSYDSCNFDINRNFLLQGDWMKPYH NLIPKILDEIPVLIYAGDADFICNWLGNRAWTDKLEWSGHEGFSKAKSERVKDYGKMK SHGNLSFLQIYRAGHMTPFDQPEASLDFLNRWLSGSLKA UV8b_03896 MKLPFLGSLVLFGAALSVYGGASSNSKGYPVNWAVTLYDSFDSI DAFGPIDVLFYLSLMKPLNLSIMAETMDPVWMRPASPALSKAGSRFTVSINPTHTYHK PPRDIDVLLVPGGMGVRSGNSSVPAVRFIRKAYPAVKYLLTVCTGAGVAAKAGVLDGK RATTNKAAWNETVKMGPNVRWVSPARWTIDGNVWTSSGVASGLDLIFEFIDAKYGKEV SRKIQSKVEFVRAEDACDDPFAALNHVPASGNCRA UV8b_03897 MASVPGQTAAAADADAQDAKSSKQDINPWSVSGEVGEDGRVKAI DYRKLIDEFGTSSIDDALLQRWERVTGSKPHRFMRRGIFFSHRDLNMILDRYEKNEPF FLYTGRGPSSDSMHIGHTQVFDFVKWLQDTLDVPLIVMLTDDEKYLFSEKRTVEEVMG YSRTNAMDIIAAGFDAKKTFIFSDFAYVGGAFYKNIVRFAKRVTYNTARAIFGFDGSS NIGKIHFASIQGATSFASSFPHIFGEDEKKTGSIPCLIPCAIDQDPYFRLTRDCASGL KFAKPALIHMRFLDALQGPGSKMSASDDNSAIFLSDTAKQIKNKINKYAFSGGRETLE EHREKGGNADVDVAYQYLTFFMEDDQELKRIKDDYTSGKLLTGELKSICIEHLQNYVG SFQERRAKVTDAVVDEFMSVRPLEWNGNPRVPRADLIVPVAKAAGGPAAESTGSEEMS KNQLKKLLKEQQLAAKKAAKAKEKEETAAAAAAAAAAAAAGNAP UV8b_03898 MSPQINQPSNQIKLTNVSLVRLKKGKKRFEIACYKNKVMEWRSG IETNLDNVLQIPSVFFNVSKGQTASREDLEKAFGKGKTTDDMVLEILNKGELQVGEKE RAAQLERVHNEVVGMVASKLVDPRTKRVYTSGMIEKALDMLSSQAHSTSGDKSGAGTP ATGDDAEAKPRAREHSWTGVVTSKSAKSQALEAMKALIAYQPIPVARARMRLRVSCPT SVLKQAVKGAASKGAAEDEDREQKAAGTVKDKILGYVEQVESQDVMGTEWEVTGFVEP GAFKALSDFIGNETKGQGRVEVLDMAVTHED UV8b_03899 MSLVSGEKTNFQFILRLLNTNVDGKQKVMYALTKIKGVGRRYSN LVCKKADVDLNKRAGELTSEELERIVTIIQNPTQYKIPTWFLNRQRDIVDGKDSQILA NGVDSKLREDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKGG UV8b_03900 MSAKSFYVLKAKAVPARYGLSKNIQTLLQSLDSYHTGSVDVSEL GRLVRLSPRRRAAVANTITKCANILKKDPSEVKTCVDIIEMCTEILDIADRPTSSHGF PFMKLPMEIRERTLDLIIDNTFRTQVVVPLVKPSTCRCPKFDRDNVFQTSQMKSLPTI LGQALGQEFYRIFFRKKKFRFRCNCELLAHLDGNSHFRENARHIIVHWCGPQSAGAFK ALQRCDRLDALSINISKSTLVHLNDRASLMRAYFPLAHRNARMSDVLGLDELLEIRGL QHVHVFHIQPKSNSHSVEMDRACLWDLLSSRLTLPADAIAS UV8b_03901 MAIRDRFRRALRRSEENSDAISQTESNTTTTTNTSDTSSVHKST ASSKLARTFAWQRRSKDEPDKEAKRNRKNSRLHPSQRPLTLQNLKHQEMLSHFTMTFG ASDPSQIETLSFVGVSPCCTRAPSLDMQRQDTRGSSPASDLPKPDSDRD UV8b_03902 MIAEGISCVPLGGLTDTLWKGIPSQYMKPDFTAAHCAVSHFISA TDSDNPDHGLTGHCRRRLHIFSPKATVSTAAPPAAAAAAAAAAAAASSAASSCSTRGS LESSSLVAAKHDHGLKKRRDTTSRT UV8b_03903 MAGSPGNSPLDSPDGRAAEQAPPGQTPAKLPGSPRSGPRPAAQQ ISSRADESSPLLSGDESDAHAAPRRRRQHLPAPPAADASQETKGMWYMLALTVGIAGL QVAWSVELSNGSPYLLSLGLSKSLMALVWIAGPLTGTLVVPYVGILSDNCRLGWGKRR PFMVGGTVATVAGLLFLAWTREIVGGALGLLLGADPESAGVRVAVIVSAVVGIYLLDI AINTVQAAIRAFIVDCAPAHQQEEANSMASRVTGFGNILGYLAGYVHLPTYLWFLGGN NQFKILCAIASIGLAVTVALSAALVRERDPRADGSRARKTPGIFAFFSSILTSIKRLP PQTMRVCQVQFCAWVGFFPLLFYTSSYVGEIYVQPWLRENPRMPPEELDAVYERATRV GTFALLVNAVVSLLTNVFLPFFIAPTFDSQPAPADKRGVLGRWRLDCLRIPGLTLKRA WLGSLFLFAGCMFCTVLVTSVAAATVLIGLTGITWAMTLWAPWAVISAEISRRDVAAR AKKLRDMTPSRGRAPQASAVAAASSSSSSGNSQDLDVEGAAAEQETDQAGVILGIHNM AIAVPQMIATLGSSVVFKLVQKPRGTPGDRSFAIVMALGGIFVLASCIFAMRISDDAG SAKQGVEDEAQGRGLLSDRD UV8b_03904 MVSCILILLLGWPVAAGAGAGAGAGAGCPAPAPAPAPTKRHTRL SNTAARAAATTNGYPCDYKYCDGRGSMWCYYWDGVTAYDVSKGPIPAETRVPVGLC UV8b_03905 MRFSSSLRALGGTVVLVAGIARAHYQLETTYDTTNFFDSFDFFT GPDPTKGFVEYVDSNTANKEGLAGYAKGGIFMGVDYKTKNPAMGRKSVRVTSHKAFTR GLFIADIAHMPGSICGTWPAFWMFGPNWPVSGEIDIIEGVNAQAKNAITLHTKPGCTV SNHGSLASTKFVSADCGAHGTSAGCGQRTDDNQNYGDGFNAIGGGVYATEWTSDHIAI WFFPRSQIPRDIQAEDPNPKDWGTPLARFAGGPSCKLDDHFANNQLVFDTTFCGDWAG SPSVWGSDPECSALAPTCQDYVSNNPADFADAFWVVNSVKVYQKGAGDQGQSTRIQPT NKTRARPTLRPTSTNTPQPGYQPQPTTTSTQGDFQSQPGYQPQPTTTSTQGDFQSQPG YQPQPTTTSTQGDFQSQPSGQAFPSGQPQPTIPAPGAPQSQPSTQAVPSGQPQVQNDG SWNGKVWNGEPWGSRRRDGSSAKLFSL UV8b_03906 MRWRLAVAERFKTCAWRGAELQEGLMLALEGTKELIIPVWQAVA HGPVAQDDDNCAMLDSPSVCSDVVQVGRVSPGVQGQPTQSWIPPRTMKDDESPRLGA UV8b_03907 MGRKLKIRLLIGLVILLCVYIFYHSPLVYWYRRASWGGGGSKFV VILAANVGGGVMEWKGAREWAIERDSVRNKRKYVSRWGYDFEIVDMKTRKKYAHEWRE SWEKVDYVRSALRKYPNAEWFWWLDLNTMVMEPSYSLQSHLFNNLEKLVYRDINVYNP LNITHPLTYPYLDTSATSPVGDGNMNSVNLLLSQDCSGFNLGSFFLRRSAWTERLLDI WWDPVAYEQKHMSWEHKEQDALETLYSNHAWVREHIGFLAQRKINSFPPAACGDGKGT NDTRFHYSQEDRDFVVNMAGCEWGRDCWGEMYHYREFSYWLNRNLWERFKEDLVAVIW FKLTGKWVKL UV8b_03908 MAKETSNENGHLTSTNVSKQVDDWDEWEDDNVITPIDAGEQVHI FQPPMSFQDQNTKSASARTSRLSTTKIRRLKSRQRQKAQNAKAGIKIITDMATLSRGN HERSPNMGAGKFVDAAALRALEGEPSSASVGNWNWLRRIYGKSPDTATPTQRNEAKNR DLSPEDRPIVIGISLPPSDMAGQQVHPHGACDGGQSSHPAATLGLRPRHARESLSYEA GGGSNAQKSMWSPDTPDTSPSLSPNRAVSSMYSQVTMHARFSKTQTPPPVPPVPSNYQ EPAHKKRSSLGMGKKPQDEEGGDTPCTMFEEDVDISPQRSAKSNLLTLSPDSAGSRSH GWWDHVITPFADKSMSFSSRNQDLEPPKQQARNDTWRHLEGKETPAPWAKDLPLLAPS SPAQAPIVRAPMPRRSSSGGPDARAVGMPRPCSNGAVMVAEPSTGMATTPRIVVTPDS AIISDCPPPYSPREKREGGPIRYRAVFPPGHALNTQFPPTPRPASPGLAATMSSQRRA HGPIDDTPSTQRTQIPPTVREPLPVRAAGTYRPQEHAHPAPGRRYKVERKRRRHEKED VIARRAGGFWRGRGCMPSTEEQPSIWVNLTDFPPMPTGVLTVVGPDNTVAKSGCTEPS TLWSCSLPKDQQAAVAPYKPNQPTLIMQIQWDNGTQRTWDVPNGDAPSSVARRAFGAA AHAGGVLLKRAQPAVTQFSPNPSPPSFKEMWFLGETTDNVKSSQKGGEPTPFYISLVK SVNDTASTSRLGRRESSPDIGNTTFKALIPPPDLEPDGTSAPAVMMPNPVQQPVRLYD RGLATEHYAFYTYFKRTIFLKSVSIQNQTDETMPLDQDGGCRKTEASHLVTWGETRLH VQIWTRALQNSSSTLLKPDDGSKGITGGGTGHLVRPGTMPYPVTITHDTHGGDPNKKL VWDRPINDRLQVQRDKAQALINDMGIGGTWINRRDSGDAKYGGFDGGTGGCKCEWVNW V UV8b_03909 MPLRPPCRPRPRPRLAARPHGTRTRARALLTLAIESSCDDTAVA ILSRTAARTALVFNERVSSDNRAFGGVHPVVSVRGHNASLAPLVRRALAALAEEEPGR SKPDVVCVTRGPGNMANLAVGLNMAKGLAVAWGAPLVGVHHMQAHALTARLAGALGRD GGGGGGGGIGCGVVDFPFLSLLVSGGHTLLVHSVGVADHRVVAATGDIAVGNMLDQTA RVILPPEVLAASPDVMYGRLLEAFAFPDGGGEGEGYAAFFRPAASRRDEMDPAPTPYA WTVPLPFRESRRLAYSFSSIHAVVHRIAAAAAAASPGGMDVAQRRCLARHTMRAAFQH LASRLVVALQDRPDLAAAAAAAAGTLVVAGGVASNRFLVHVLRETLAARGFPGVRVVA PPADLCTDNAAMVAWAGAEMFRDGWRSDLGILPVGKWPMQTDEGGNGILGMDGWLRTE GG UV8b_03910 MDALVARYSRPTYQHDEASDNEAHELMEATSSLTTKFAMPPISQ PSSWLRAATDDRANPDCPIKIAHGTTTLAFRFQGGIVVATDSRATAGNWIASQTVKKV IEINSVLLGTMAGGAADCQYWLAWLGMQCRLHELRHKRRISVAAASKILANLVYSYKG MGLSMGTMCAGVTREEGPALYYVDSDGTRLAGNLFCVGSGQTFAYGVLDAEYRYDLGV DEALELGRRSILAATHRDAFSGGFINLYHVKEEGWVKHGFTDTNPVFWKTKLDKGEFT NVTSALD UV8b_03911 MSASEAMELRQQGAIEASQNPQSSVTADQAQKELVEQSKNAGIP AFTFDPDASPEQKRAQAQAAIPPELQRSRREKGAAIITDVDDGNGPDEELPEPSKAGV LDVATTADGSKDLTGMDPVAHEAPYSRTGWAPKFGWGGGAIEEESLLDHATWLEGHLP HTLYGDWYHNTGLIIFACTASWLVAVFGGGLAWVMIVMAICGTYYRTSLRRVRRNFRD DITRELALKKLENDNESLEWINSFMVKFWPIYQPVLAQTIINSVDQVLSSATPAFLDS LKLKTFTLGSKPPRMEHVRTYPKTEDDIVLMDWKFSFTPNDTADMTARQVKNKINPKV VLEIRIGKAMISKGLDVIVEDMSFSGIMRLKIKLQIPFPHVERVEMCFLERPKIDYVC KPLGGDNFGFDINFIPGLESFILEQIHGNLAPMMYAPNVFPIEIAKMLAGTPVDQAVG VLALTLHGAQGLKNSDNFAGTVDPYASISFSRRQELARTKTVQDNANPRWNETHYLIV TSFNDTLDIQVFDKNEFRKSKELGVATFRLEELEELNVHENERLEVIGDGKARGVVSC DLRFFPVLQSETLPDGKVAPPPDSNQGILRFTVEQAKDLDGTRSLVGLLNPYAVMFLN GKTVHQTKKLKRTNNPIWDNGSKEILITDRRKAKLGVTIKDDRGLISDPDLGKYQIKL DEMLDCMAQGKEWYQLSGAQSGRVKMMAQWRPVAISGVTGTGGYSTPIGVLRLHFQKA NDLRNFESFGKSDPYVRVLLSGIDKARTVTFKNDLNPEWDEVLYIPIHSPRDRLTLEV MDAEKMGKDRSLGLVELFAGDYVHQGEAGEYLVNQGKAIRTDGLRLHGKGIVKGTLTY TVSFYPCLNVADPEEEEDEGSEKNEKPVKTENGGAKKPDDKTNADSGRPSVDRNSQGS KIKADLDKPRQSFDKSKVNGERSETESAGRPSVDRIDKANPPKVRLSPEELLKHESGL LIFRLLEAELPESHSRLEIFVDDMAYASYISSTAPSKTHKFDEIGDCVIRELDFSRLT LKARKKGDDKDQTLAQLTGNTMETLKQCLNNPTMLKLKTDEGKGGWVKVSLKYIPIKM ELDPSESINNMGKLRVDVLDAEDLPSADRNGKSDPYCKFELNDQEVYKTKVIKKTLNP TWNEFFEVAVPSRTAAKFIVNVYDYDFADKPDFLGATTIRLDSLEPFRANEARYVLDG KSGSIRLRLLFRPDYVTRSIHGTSTFSGLSAPTRIVTGVAGAPIKGGVAVAGAVGHGV GRGASFLKRGILGSKKEKENGNGTWHDNAEIPTLVTPSEGGTLPEPGLRKASGPGDAL DASPMAAALPSGSPGHARTKSITASVHSTAPGGGPKGSATVTVVSASGFPPSTDLFIV LSQLSHKEKVIGKTKHFKAPSGQWKFDETFKVSCSADAQFKIEAKGDHFIGSSDDLGE HIYFVDETGSGAAKELKVGNGTVTIKSTFQPAELDAPDTPRSHLRRSFLSKRETRTSR ESTPNP UV8b_03912 MGLMDRWIDAFTDTGHRHGMHDMHDTHDMHGTHGTQDLILLSRH ASNPPSKLPVRTTDNWQDKDARGATRPSGAPRELQLVSLPRSRVGHDEQTHLPSTRNY DRLCCCRGA UV8b_03913 MAPYPISYAARPRLPGPTFHPLTPGLSPLSDNFLELAGRRRPVI QQTEVPSEWAPSAPEEFELPRWQPDAEATSCPICRAQFSFFIRKHHCRKCGRVVCASC SPHRITIPHRYIVRPQRSDGPLTTVAMLDGIRPGSAVLDGRPGGRRVRICNPCVPDPN TAPPPPSPLPPTGGNASPSHHRSRSTLGGSQDGVHPSNRYGTVLDTDDGRDPLQVFAR IRSISMRGLPPASTSSGAPANPMSAPNTEDRGREHQFVRPLHPYIAPSTFRGRHSYGF ENLASSSRQRALPPPPQIPEEDECPVCHGELPARTLPRFERLREAHINACIQVSITSR MPYGEEMPRRTGMYVYTATEKDCVDDAECTICLEEFAVGVSMARLECLCRFHRACISA WFETRPGRCPVHQHDGSGF UV8b_03914 MNGPSSSQILEPPQQQPSSDAPLSPRTALSPPLPPPRVFAPAVS QQGIAQNVAAATLHSSQPCVVVDEPFHRRHPTLTSAPTSVPAAAAAPTAAPSRQSHGA SNVYACRNCGRSYSRPEHLVRHVQNHTLGRRFSCEICHKYFARKDLLRRHVANHDNDS PSKRRRVTSSPGAGRVSQACRPCATARVKCDDSKPCKRCVRRELTCVYPEVVSSSSLH HAPTSSNVQATTSHRDIHPYLELCKTEPGQSSSPASHRSSAPVPSGNASGPDGYTSTS TPYSQTGSVRPGESQLLTPETVFDQQANDAAPHPSPFEPPIAIANSLPDVLYRQPVDQ SKVAALEDTPVLGFWDNNSNLDVSDLGFGVWNYWDLGGATEGTIPPQACIDLQESSVD MNQMRKSLVKVWTESPWRWDPTCNDSAYQDQSHFAVPPNETTSAQFQNSRDRLERVVS EKLEQPSRDRVLAILLSQCRETATANQVSSSFPTVDVLDTLVHIFLAAQACQVDSWLH LPTLKLEKQCPHWIAMAAAHGGAMTPIPTLRRFGMAVMEAVQITIRSRFEENNSAIRN FGLMQSLILLQDLGLWSGNRRRMEIAESHLVIPVTMMRYRGRFQRAKYPMICVAPSDE TEALEEKWKSWIEQEQWKRLVFHCYLREAQTSMTTLTNPCLSYSELRLPLPEARELWM AKTAQEWKTRYLERNAGQAKGPPSVGDLFHDVHLLTANHSRLDAQFSISILLHGFWAL IWEYRQLSSLHKSRSYANSLGGNPDLLLSQRHAELVKDLQSLHLIAAGWQDMSAREHL LLHLLMMNLHVSIDDVQLFLGKEGEDEARGVYPSLQQWVSSTEARSAVWCASQVLRYA KLFPAGHLKDFYAVAVHHASVTLWVYGVVTRANRQRYVSTQRTAEPVYMDSADSIAIQ RFIGLEHGRPVIRGPATAATASTAAAGGGAQEASLHDPRACMETSQDILRANYPPALE GLPPIVENLVQLIKKLGHAAWAVGLG UV8b_03915 MEQTGLFHWPALPHPALVATTTAVATASLLLLAKLTLWPRNRPV LQSPLKTVIPRTPKTELDQLAYLPDTFPGARDVDTPYGSIRVYEFGPPAGDKVLLVHG ISTSCIALGRIAHALVARGCRVMLFDLFGRGFSDGVGDLPHDARLYTSQLLLVLASSP LAWTGAAGFRLVGYSLGGAVVVPFANAFPHMVSSLVLLAPAGLIDAAAFGAVSRFVFS SGLVPERILAVLTGRRLQRPLASSVAARARAAVALAAAEAKAEAAPGTDTDTDTRTDT DTDTGSGSAGPPPLEARVSDYVRWMVRHHDGFVPAFMSCVRHAPLTGQHRQWRGLATR PPGTTAVILARADELIRADEYAHGLELAGGAGRVFWRVVPGGHDFVMTHVDDVMRQLD EFWGPGRRAGAAVNAPGRVHL UV8b_03916 MSAVVVADSQSIEAEGHSACPHGAASDHGEEREAALDLDKPGRA EEAKPRPERNAAFNDYLRVFKYASKWDFLAYAAGVVASIGAGITLPLINVVFGKFVSN FSSFANFTGGGRGIDKEDFQRKLDELALYLFALFIGRLGLNYINKLCFRMIGIRLSSA IRLHYLRALFAQSIHVLDSMPPGYATTTITSTSNTLQLGISEKLGVFVEFMSTIIAAI VIAFTYSWSLTLVTSSAILFICLTVSIILPFIVKGNGRVTKAEAKASAVASEAMASIR MIMACGAESRIAKKYAAFVEEAKRHAQFMSPLIALQFGLIFFGVYAGFGLAFWYGIKS FVDRSVGDLGTIVTVMFSVMMVAFSLERISTPLLAVSKATVAACQFFTVIDAPAPSKG HLTQPEVSAGHDILLENVTFAYPSRPHVKVLDALDLRIEAGKMTAIVGPSGSGKSTIV GLIERWYNLKEQPVVAKAIEKNKKAKKGSESCDEESEPAEAEPEETGPPVELGGTIST GGHRLEDIELNWWRSQIGLVQQEPFLFNGTIYTNVANGLVGSPWENESEQAKKQLVVE ACKEAFADEFIEKLPMGYDTLVGDGGAKLSGGQRQRIAIARSIVRKPKVLILDEATSA IDVRGERIVQAALDRVAKDRTTVTIAHRLSTIKKADRIVVLKKGRLVEAGSHESLITI ENGVYAGLVNAQALSLGTEDEGAVTGADKEEDAGEKTSEGEKNCKAKSGQETDAGNKV GKQRNLVTSFGRFFYESRGQWYLMCLTVFFAACAGAAIPLQAYLFAKVVAIFKYEYDP PRLKSEGNFWSLMWFVLAIGVGTAYFGSFFFSARLASVIRNKYQQMYFEAMLRQRASF FDDDDNSQGAMTSRAAGDPKQLEELMGANMASVYIAVFSLAGSVAIAFSFGWKLALVG SCVVVPVLVGSSYWRLKYELQFDKMNSKVFEESSKFASESIGAFRTVTALTMEGVICR RFEQLCRGHAKTAFRKARWISLLFGFSDSATMACQALVFYYGGRLLLSGEYQLMNFFV CFMSLIQAGESTGQGLSFGLNAAQATAASNRILNLRDSQLRGEGAPGAGIPSCEGGMR VELENIHFKYPTRETPVFQGLSLIIEKGQFAALVGASGCGKTTIISLLERFYDVDEGR ILCNGIDVTKINVYAYRRHLSLVAQEATLFQGTFRENILLGVDDTAIGEAQLHQTCRD ASIHDFIVSLPEGYDTNIGSRGVTLSGGQKQRVAIARALIRNPDILLLDEATSSLDSE SERLVQAAFERAGRGRTMVVVAHRLATVQNADVIFVLGEGGKLLERGNHADLLKKRAV YWQMCQSQALDQ UV8b_03917 MACLIPLSLSTECQGKRYSLFPSADQEGPRRFNGASSTPQPQNA PRARIQPQPRQQSQPQRLPQQQQKQQEARGDLKSAPRGIAHWKLTLRDIKREYINGHF RRCTTHCHQILENDDKLCKANPVHLLYLHFYAAASLEMQARAIHQSSPHRASLLRQSY VHYSAASDAAKQAEGSTSRVPPRLVTSFSSLHSASESSASGSTVSTRMSSPAPSLGSA EDVFKPHPRTSPCASKKKKVSFCDQLIFEPIIRPDSPTLGFDECLRRSSLEPVYPESI LKNAQPTPPAAFLVEDLPLGPEPGEPDDADPFYHARSIHRFCTILSSIRRQITSHMTT LDIEMAACRIPSTLTPISEEMRNLDIKARIERLRACGWNRPRFDAKRYETLRENALAD MMQ UV8b_03918 MSFAGMLHRLHGQPESYEKKSKYRFGRTLGAGTYGVVREADGPT GKVAVKIILKKNVRGNEKMVYDELDMLQRLKHPHIVQFVDWFESRDKFYIVTQLATGG ELFDRICDQGKFTEKDASQTIKQVMTAVDYLHDNDVVHRDLKPENLLYLTREPDSNLI LADFGIAKTLDSKEETLKTMAGSFGYAAPEVMEQKGHGKPVDMWSMGVITYTLLCGYS PFRSENLRDLLHECTANPVPFHERFWKDVSQDAKDFILGLIVPDPQKRWTSKEALGHI WLSGKTATDHDLLPELEAYRRRSRLRRAIEIVKLQNRIQKLKEHEEDPANSDMGDAAA TGSGDSKAYGSRLHALGLFALRETQQKHHDMQVEEKLEKEGRRRSFDNA UV8b_03919 MATHMRERRVAKERQKIEKSGLPPGIELIDGDNLKDWAFDIRVL DSNPLYANQTFRLKFQFPQSYPIEPPEVTFEMKPDRPIPIHPHIYSNGIICLDLLDSQ GWSPVQSAESVCMSIQSMLTSNSKNERPPGNEEFVKGNRLRPRDIEFLFHDNTV UV8b_03920 MAPRNEFDAEQIRNKARKDLLYLLEGVRGKKNLVFDKSLVGPVG TIVKVNTLQEYGVDKFFILENDNVDTSQHNVVFIARGECGSHADAIANQLKRVRRQSQ TTHEFHIFWVPRRTLVSDQLLEEAGVLGDVNISELPLSFFPLEKDVLSLELDDSFKDL YLSKDVTPTFLLARALMEIQQHHGLFPRIIGKGDLSKKVCDLLVRMRQEHLAGEDTSG SNRSGLTPSTTSESVIIIDREVDLVTPLLTQLTYEGLIDEVFEIQHKQTKVDTTVVGA PVRSGAAATTQGRKETVLLDSSDKLYDQLRNANFAIVGGMLNKVARRLQQVQTDYESK HTTKTLAELKEFVGQLPGYQQEHRSARIHTGIAEEIIKHTRTDQFKGLLEVQQNLAAG ADPSSQFDGIEELIARDAPLRETLRVLCIYSCISGGVKPKEFDQFRKLILHGYGYQHL LTLHNLEKLQLFLSRSSPLAGMIPMASGNANTSGTKTNYTYLRKQLRLIVDEVQEDSP NDISYVYSGYAPLSIRLVQCILQKQYLTSFAKGGSATSAGMAPVGAATQGWHGFDEAV KHVRGQTFYELQKGEDKAVKARALLSGGGAKQTVFVVFVGGITFSEIAALRFIAKKEE GRRNIIICTTSIISGNRMMDAAIEAGSYAREPEESEQRNS UV8b_03921 MNPFIHSLAKIPKIMLDDTEDNQFHSLETIEVSVGEDIDRSSLS LASSFGGVEQGNIYESSQAPSGDVVPVSLDSSTSSLTEQSEASSLSVLSSKATTPLDT DSSKLLRADKESQQSSPRSYHRIHKRLNCTVRPKSSVPTNVPAHQYAAECIDASESSR LNPYALHPEEYHLLRQHISHAQVTTYLNIRNSILRIWIQKPWVGVTRQQALGCASSRW FDAANVCYDWLVRRGYINFGCVRLPDVLEKQSHEQKCEKRRIIAVIGAGVSGLSCARQ LEGLFKQYASRFFEMGESIPKVVVLEGRGRIGGRVYSREFKTMFSNRKPEFKDKRHTA EMGGMIITGFARGNPVNVLVRGQLGLQYHVLTADTTIYDSNGKPVDPKRDELVEKLYN DCLDRVSGYKFKSQPSKLIEGNRDLLGEGRDSPGDGGKTILQAEEAAAGLPHAPSVPE QSVPARINLVPVSADKLTGRVHTMPGVAASEKVAEKAKLMGWSLNPHAEPDFDLNLDE ASSRNNSTLGSVLDHAISCYKGLVDLNAQDFRLLNWHIANLEYSNAASLHNLSLSLWD MDAGNEWEGSHTMVVGGYQSIARGLLYCPTPLDLTSKFVVGRIRYDDAQFDGRATIES EDGMTVEADTVVCTIPLGVLKHGNVTFEPPLPAWKVGAIERLGFGILNKVVLVYDEVF WDSERHIFGVLRDSPNEHSTSQEDYGLNRGRFFQWFNVTSTTGVPCLIALMAGEAGFE TERSSNESLIEEATQILRSVFGKKVPYPVESVVTRWGSDKFARGSYSSAAPGMQYDDY DSMARSIGNLVFAGEHTIGTHPATVHGAYLSGLRAASEVLEGMLGPIEAPTPLILPKD SPHLQKRKETARGPREARLEAYEARIRDYIRDEIGDRPLPPAKVTCSAHLLYGKANSD EARKRCENRKGGKKARSLSKEVRAMTSRMWKEATLEQRQPYKEQAAAHKTAYNEAQSL FKEQAQNWDQRAVTLRAQYEKEHPSLPGPDEPPGEVGAASKHRRVRQVSYAGDGDKER DL UV8b_03922 MLSRAARPALRAAASAPLRATAASPNGAATFATLREIEGRLKSI RNIQKITSTMKIVASTKLTRAQRAMAESRKYGESANEVFDAAETTAAEAEQKKSLIIV CSSDKGLCGGVHSGLSRRIRALANQSPEPFDLVVIGEKCKAQLLRTNAASIQLSFSGV GKDIPSFADAQAIADQIVLLSSEYTDVKILYNKFVNAQTYEPTFLAAFSEEAIAQSPN ISAFEVDEDALVNLREYSLANNLYWALAEGHACEISARRNAMDNASKNAGEMIGRYQI LYNRTRQAVITGELVEIITGATASEDM UV8b_03923 MSSDSSDDDRPLARPNGRLSANKISRAEDQALDRSQAKRSSGMA GIAVFNGPEDDSMDLDATNGVKRKSRSSISKVSYKDESDSDGQPLAKRPKAAAKTLDS DSEDQPISKARAKKTSSSRPSLLAESDDDQPLGVQLAKKKAAIEKKAANEAQAILAKE AKQAKSATRKGRKDESDDEPLAKGPAKKRQSNGVAARRKSNGVKKEESDSDAPISKTK GKSKAATSAKGKAAAAAKDAKDVKKAKAREATEEEDEFEWWNAPKPEDDSIKWTTLEH NGVLFAPEYEPLPKHVKLIYDGKPVSLSKEAEEVATFWVAMMTPASSHHLENPVFRTN FFNDFCDFVKKHGATDNDGKTVVLKSLDKCDFSKIYEYWLAKTEASKTKNLSKDEKEA AKAKKDALEAPYLYCTWDGRKQKVGNFRVEPPSLFRGRGEHPKTGRVKTRVFPEQITI NIGKEAKIPDPPKGHKWKAVQHDQKATWLAMWQENINGAYKYVMLGAASDVKGQSDYK KFEKARELKKHIGKIRKDYTRELKSEIMADRQRATAMYLIDKLALRAGNEKDTENEAD TVGCCSLKYEHITLEPPDKVTFDFLGKDSIRYNETAHVEPQVFKNLKLFKKAPKTDGD DLFDRLTTSQLNKHLNSYMQGLTAKVFRTYNASYTMSTLLKELAADPRSKGTVAEKVK LYNDCNRKVAILCNHKRTVGASHDQQMQKMGDRIKGLRYQKWRTKKMILDLEPTQKKK KGAAWFELDEDLDREWIQEHQQFLIEEQRSKITKKFEKDNEKLKASKEKPLPDKELKE RLQVVKEMEAKFKKENKTGKVEAEGRGVTVDKCLKSIEKIDDRIKMLETQADDREGNK EVALGTSKINYIDPRLTVVFSKKFDVPIEKFFSKTLRDKFRWAIKSVEDADDWEF UV8b_03924 MRPGSATATATATATLRGAASALASLALLLLPRPALSQTWSRCN PLQSTQCPPDTALGMTVRVDFANGPVNSFEASGSPTYGRDGVSLTVSRGGDAPQLQSL FYIMFGRVEMTLRAAPGAGIVSSLVLQSDDLDEIDVEWLGAAPDEVQTNYFGKGRTTT YNRGQLHAVPGTQARWITYAVDWTADRIVWTADGRVLRELPAAQAAPDQYPQTPMQVK FGAWAGGDPAYNAPGTVAWARGPTDFAKGPFAMHVRSVVVADYSTGKEYRYKDTSGTW QSIEAVGGGAVNSKGSPGGGGGNPGSGSGSGSGSASGPAVTIAVPVGGMAKDGSSATA TQTGWPWVATANPSEGSIPSGWYMTPNGKIMRTASAALRSSASLAAALGGAFALGLAS FFARPW UV8b_03925 MMSQAAAAQNPTLYFNDSKMANLAHQKMNAFQAVAPTSPAYSRP GSSCSQLHSMYGSIDPAVMTPTGPLPSTHKPAIMLETEFADNPYFPCTPPLSASGSTV GSPRALDVLQTPLNPMFSGLDGFAVKAEFEAMESSMFDWASCDSPPMTPVFIQSQPSR VPSLSSTTSELTTLSCPSLSPSPAPYSRSVASESDVDFCDPRNLTVSAPANPTFAPEF TVAGLGEAEVKSEHVCIETSVLSEAAFEYNPGIPSAIPALGELSDLESEDEFSSLMGA GEVSRPRACTGSSVVSLGHGSFIGDQDLSFDEKDEFQFASRSSRPCSVEAGEDTHQDK RQKKSKTRARTKRSAQAKMHTAAAEGQSADSEEQNDQGSPAATDSNSSSSGAGSPAAP ASSAPINRRGRKQSLTEDPSKTFVCDLCNRRFRRQEHLKRHYRSLHTQEKPFECNECG KKFSRSDNLAQHARTHSSGGAIVMSLVDGTEAPCYEDATAGAPVMEDFANYGKVLFQI ASEVPGSASELSSEEGSDSGKKKRKRSD UV8b_03926 MTTNGLQPDPTVDLDWGDFRGAIHDVFSNNAAQHPDRTCVVETR SSRNAHRSFTYRQINESSNQLAHHFVAHGAQVGDVVAIYAYRGVDLVVAYMGALKAGG TVSVIDPQYPPERQKILLEIANPKFLVCIDRATHEFGQVSDVVMKFVTQELRIKSFVP ALRLDDAGHLTGGGVPPTGRDVDMLAAQHSLRQHAPDVSVGPDSIPTLSFTSGSEGRP KGVQGRHFSLTHYFPWMARRFNLSENDRFTMLSGIAHDPIQRDIFTPLFLGACIVIPP ADAVSYEVLADWMGEHKVTVTHLTPAMGQILVGGAVTPFPSLRNAFFVGDLLTKKDCR KLRELAPNTSIINLYGSTESQRAVSFFEIPSKAADEAFLDDLPDVIPVGQGMRNVQLL VVDRDDRTKLCGVGEQGELFIRAAGLAEGYLGSDERTAQLNQSKFLTNWFVDASQWTT APYQRQASAGERPLPKWHQCYKGPRDRLYRTGDLGRLRPDGSVECTGRVDSQIKIRGF RMELGEIDACLSQHPFVRENVTIVRRDRNEEQTLVTYFVPEIKRWFQHCKHEEQRATE PELLDDSMIGMLRQFKSLSDDCKALLAAKLPKYAVPSILIPLVRMPLNPNGKIDKPAL PFPDPADPALLTKRRASSVTASMTETQKQLAAVWASVLPNRTARMLVPGSNFFDEGGH SILAQQMFFRLKQQWKGIDLPVRVIFQSQTLEALAAEIDRAQDPIGLRLDALPLPTDE NAADEAYAADARSLTSQLPKTFAAGAGALDAAAPSSSSSSSSSYTALLTGATGFLGSY LLHELLQGPRPASRVICHVRAKDAASGLDRLEGISRAYGLWRPEWASHNRVEVVAGDI SRPQLGVAQETWARLADEVDVVIHNGAQVNWMLPYSSLRAANVMSTLACIRLCAAGKP KRLGFVSSTSTLDSAHYVSLSRKQGLAVSEGDSLEGSRKGLGTGYGQSKWASEYLVRE AGRRGLAGAVIRPGYVTGDAETGMCVTDDFLVRLWKGCLQLGARPAIANTVNTVPVKQ VSRIVVASSLCLPAAAAGGGETLQVAQVTSHPRLTLDEWLGALQVYGYDVPAVPYDDW CERMSRYVSDAAKPEHALLPLFHFVTGNLPADTVAPELDDANAAAALKLYSAGAAAAT AASADRDGDPLRDSAVGVDTVGVYLAYLVAIGFLPGPGQHQARPLPGLPSATLQLIQQ HAASGVGARSSRT UV8b_03927 MSTSADRLNVIALISGGKDSFYSLLHCLHHGHRVVALANLFPCP AGSSRASASAPDATSQQHDQPHDPPTDLNSFMYQTVGHEVVPLYAAATGLPLYRQPIA GRAVCLERDYEAQGDGAQGDEAQGDETESMLPLLRAVVSRHPEANALCSGAILSTYQR TRVESVALRLGLAPLSYLWKYPVLPPPPGRPADEAQLLRDMAAAGLEARIVKVASAGL DERHLWERVTSEAGARRVQASLRRFGAADGASLGEGGEFETLVLDGPRALFTKRISIP ERGRTAVPEGGGSAWLMLTGARVEDKPPDGGRDAPPPPPPSVRQPALLDALFQTVLDS LEPGAASVAAHGRDHRSALMANKPPLRAAPDAADSLHWAVAADPPPPAGTSVQDETAD VVDKVRALLSSNGLQPGHITSVVVILRDMSDFPHVNRIYGGLFAKPNPPARVTVSCGD LLPAGRSVVVCVSVPRAAAAAASRGGLHVQSRSYWAPANIGPYSQAVETAAAAAAGGE PTRLRAVFVAGQIPLVPASMALESPSGAAGSLRRQAVLSLQHLWRVGSEMKVQCWASA VAYLARQQSEDAAREAAKLAGRAWVLAHASPTTLDSETSQTDPWDLKYNPQYRSLAAT GADSHPSRLPDWSVYTPRQRGEPSSCVPPVFSAEVESLPRGSLVEWHAHAGLKDIAEG SVELARYCCSREGGRWRSWHLVIGTGDAVAVYTTMAYLPNNQREAVGLHQLERELGGA YEECLRKLHPDATIAGPKPYLGYVDVTRTGGVGSPGGCGCGWKGFAATDVAVIPCRSL WTLEGERACLAALYKTVFTTTDEAWRGVARRGEA UV8b_03928 MDDQGPGPPTTLADGVVGRPPPAVLSPSVSFRRPSTNERINEIL ESGRNRADSMARNPSQPGRRPSSCALAIDDDDDDDDDPAAAAAAAAAAAPDELTGIVS RGSEHNYQTLHTADTNQSRHSIYRRRPSRPQAPEEHQHQHQPKHQPQSQSQSQQADSD GGAQQPRSWLRKKLGALQSVELENTGSVARDHLALERTFLAWLRTSLAFASIGVAVTQ LFRLNTTISGGDGYSGNATLRRLGKPLGSAFLAISILTLLLGARRYFHGQEWVIRGKF PASRGTIILIALVALAIMLASLVVVIVIHPPEDEL UV8b_03929 MPSADPLDVRAFANTQLLLLDHELQSEIDETSQLVSNHSPAGLQ RAGLAITNLVVSSQRTGLGGRTLLQLGPDGATRSTVELPEHGIRTGDIVLVAEQPAGS ARKREVRELEKKGSRGVVTKVQRASVNVALDDDADEAAFSGRVWLVRLADEVTYKRMS LAMTKLQKMGEAEYSSFVRVLFGLSSPSPVAQDLQDADVGPVEWVDAALNDSQKDAIR FALASREIALVHGPPGTGKTHTLVELILQLVKRNQRVLVCGPSNVSVDNIVERLSPHK IPILRLGHPARLLPSVLNHSLDVLTSTSEAGAIVKGVRAEMDAQQASVKKTRSGRERR EIYANLKELRKEYRERERKCVSDLVAGSKVVLATLHGAGGFQLRNDEFDVVVIDEASQ ALEAQCWVPLLSARKAVCAGDHLQLPPTIKSLNSGGRAKAGDEALPLIKGMTLETTLF DRLLALHGPSIKRMLTTQYRMHESIMRFPSSELYEGKLVAADSVRARLLKDVEYDVLD NEDTNEPLIFIDTQGGDFQEKNEQDEKEGPRSTKASLLGESKSNELEAALVRQHVRRL VDAGVRPEDVAVITPYNAQLGVLAPLKETFPGIELGSVDGFQGREKEVVVVSLVRSNP DGEVGFLGEKRRLNVAMTRPKRSLVVIGDSETVKRGSRFLKKWMEFLEENADLRYPDI STLSHK UV8b_03930 MAWGVVKLHTYVVSGGSRGLPVLVLPCAPRCGSDLPEWFVLAWM PLSADAMRKWLVYSGWTSGPKRVGPMPSREPVNKHAGLMAQLSHDALQVWLEPTKPAK PAKPAKPGPDWIKPAVPPPDASRAPHGQWVATRHDQSYAATTHASRLCLGAVLCNVVA GVKIRRQA UV8b_03931 MAWKSASNGNGRRLSKPIRGQISNPILLPNPPDEALSTEEQAVT RANVVDSRGNDKVLGPQTSSGSSLDQTVVNHQTHDAPGSVDSAMVTHTPNVTPSTPVQ APGSPNASTDSSTHSRQSISVADVDAQNDKRASTTKPQLKKSVFRSALSKLFGRKKRG QSRGAARLSDPKTMLPASNNAPKKEHRSDPTYMRPNHVQANPNRSFSMPITDHHLALG SHSIKDEDVLLVQNVRNSLSAEKRLSGKCLPSFSYPTYPACTGWNDGSKLAGLSPRPA SSQDRRSRGMTGLNHDSREIGRAISSDCHGLKRRSRSVSEIPKLGPPSSSAEEEVRRH SAEIRFWRESYAAPFASQTSAKTDDELVQLQNSSLDDMASIDAERPVTPEPAVAAAQT SDEGGKFASPSRSAYTLETLGPVALENRVDNLETRMSRLEGIVLQIGNGIQALRLQSN NENRQSGPRPVQGRRDEHAARSVLLQPCDMELEGGPRRSTIRPDTRLSDASNMSLGET NDTTPRATILPLIDVPTQPDGTDEITSDSPAAIPSEQYTSLLGLLETERLARVALEAQ VRSLSRQMQFVNKSLAYTNTDHSEAPSLDRSFGEVSTFDHEEEDDSSRRLTATAYHYD TLALDDSGVITDNRSDNEYTESFVTPAETSDNVFSGYEDENDTITVEQARKMSLFTFG HASAIPDKATASCRRTGCIDALGV UV8b_03932 MLPFTRARRIWVCTRCTRRAGPSPLRHQHQHQHQHQHQHQHLLL LQLQRRRHRRWATTAAEAARPPPSPSPSPSPPADRRASSRGDDALLRLLFDAPSSVPS LSRLGLGLGLGLRKSHGLFNNRHLTTPDGFHVFAQSTLRRATRLVRRVLAAASVAEYR AVVRDLDRLSDLLCRVLDLADFVRMTHPDPRFQHAAAKAWSAVYQYMNQLNTMAGLHH QLAEALSRPDVASAWSEEERAVAQLLQLDFTKSAVNLPQRARDRFVDLSSRISDVGSA FVQHMRPAVGEVALPAHRLQGMHPGLAAAAAAASHGLRSHISVATTGSEAAAALQSVR DGDARRQIYLAQRTASRGTLDRLESMLRLRSELAKLAGFESHAHMALKDRMMAKTPAS VAKFLLALREHNAPAVRAELAELADRKRRHLAVPDASLQAWDRDFYMEMIRAETRPRA PHPDPHPAHQLSAFFSVGTVMQGLSRLFDRLYGVRFVPREARPGEVWHPDVKRLDVVS DDGGELLAVLYCDLFYRPQKSPNPAHFTIRCSREILAEELDEAARDMASSSSSSSSST VFDSPEQAANDGMETSCRDGKLMQLPTIALVCDFPKSASAREPALLSYASVETLFHEM GHAIHSILARTSFQNVSGTRCATDFAELPSTLMEHFAADPAVLSLFARHWKTDRPLPY SLVQERIGVSRRFEGIDTEHQILLAMVDQAYHSAAADSLPFDSTRVFHEIQHRYAHGP KDPPGTSWQGFFGHLHSYGSTYYSYLFDRVLAERVWRVVFRAGQGGAAVCRENGERLK QNLLKWGGGRDPWRCLSDTLDDERLAAGDEESMALVGSWGIKDDRQTRS UV8b_03933 MASRLLQLQRRAVAPLAAIAVVLSPATVLAEAPARKVRRRKKTP FSQACPAAAKPATLTRERGGLRGKKKPIYDDLDAAGASDDDAPARFEALPPKQPAAAA AAAAAAAADPPPRREKQPRAPSPTDRLAAEIGRARLALYRVAAAAEDKVNETMDSAFH LEQSFTDTVAGLAPSRESGEKLMPGAMYVLVAAMAGSIITRRSNVLLRSTVPWAFGVG AGWAVLPVTMRNVSDLAWKYEQRFPAVADTHARVRESLRRGVSFAKAHGQVGVHFVDE KVTDAREAVEGWVKQGK UV8b_03934 MLPASARRIMAAQVKRVPIPPRGVDYRGKVVLAPMVRSGELPSR LLALHYGADLVWGPETVDRAMIGSTRRFNHDAGVIEWTRPPSQGHQKPPGVAQESVIY RVFPEKEASKLIFQIGTADPERAVQAARLVAADVAGIDVNAGCPKPFSTSGGMGAALL QTPDRLVAILEALVKNITPEFEIGISVKIRILETPAATEALVRRLVATGITGLTVHCR TTPMRPRERAIRDQLSMIAGVCREAGVACLMNGDVETRDQGLKLAEEYGADGAMIAVA AERNPSCFRSDADGGLLPWQTVVERYLEHAMQVSNRFSNTKYLLAQMVPGKAKLYPSV LQAKSYTDVCKTLGFAGLVDRAQDVDIDRGLDKPREKTSKKARKNASALAAGGKRTAA PTRKALSDRNVGSKADLPEPAAVASVV UV8b_03935 MENEPVFVEDSRSSRRGRFMGKLFGKEKKQTLDNTPATLDAFLY GSAAHLQVTHAPPPPPSLLPKLAKLDTTISRYPQALAVNQQAQQNRPLAPGKIEPRPP RTSPRPNRKGMVVRFADSYPDIIGEGGDDSDMPVTQVGKNKRARQQHPPPAHPHRTSW SSNAMALAHPCPSDPSLGSSSGLPVGPESEVNDFQPKSLARSQTGCSSTYELDAGPMA SHGLPGRGEQRSGKTVVPGATASPMFLDTPGRRDERRRSFIEIHQAEMREAEAQAFAH AARTANAASQPDWGGGVQPPASYDVSSDSSRERTPSPEAQTKKPALEYSPAGSIASFS SFAYPTSNPSQTSLPIRQSSAASQLGPPGPAYPTAAKSASERLHDAVKGARDDDALAA FLSGTKHLFELFRLHAEAVRPLSACAPRDCARAALWWLLKGRIGLELAIRNWPNSTQP QMQKQLDRQQAYTNLSKGYWLCQEAIPEIMGAQRSPPSAEISAVSQSLLSSLRKLAQS MQRNGFLPPEEPFLPQTIDKSIWLEYPALSQDMVALLTGNWGSALAATQHPLPALQLL DALPLGDTAETFSYGRAVADVFLTEQGRDSQRIFLPCILSVVRHQDSSGLAFVIASQN GHVQLAVGQNRNEGPVLEDVRWYNTTCCVEVCLPRGFLLVAQLNQDDYRMLWNMHEFG TKTRGMLQPRSDEALVFRNSLRSFQYLDSDPHSRMFPKGSVPQCDVALFEKVHMESGP AGQRTWHCGFRIAVVTGPRTRTISGLQHSFPPPLPVLFGLFRAEADAPALSLKCATGN QRGRMMLVFNDEMERARFHSLLAGIALNRAEKVFADAPLKWFLVSQSLREPSGLEPFG RLPWQAVRVINDEFSPEGGAPPTVFADRLKVVVEHQHGTVADRVNVGPGELRVRLEVS NDKILRVLRQPQQDVTMSVSEAQVPRELATDLGNALQLLKANQTIRTFEFHDLKGLHD FQLALTGFETIFDAVATTFAIARRRMVVPIHKKWEAGSTRIQVIRLRDKQLQLLAFFE DFAHGHCMNFVLKGTDVYEALQKGSKAGIRFVDAKFPLPRLPADKDGGYDDVAFVCVD VPDLPGEHDDITVMFDKETDRDLLAQCLPAPAKGLKLSSRLR UV8b_03936 MSNWFAQPKGSNHSSADEGRGVGESDQDTQVMEPDQGNVLSHII SQLRPGADLSRVVLPTFILEPRSMLERITNFMCHPEMLLDVPNIDDPVQRFVSVVKFY LSGWHIRPPGVKKPLNPILGEIFTCYWDLDVNKRAYYISEQTSHHPPKSSYFYMAPHY HIRIDGTLKPRSRFLGNSAASLMEGVAYLAFLNRGQNRDTGEQYILTQPNMYARGLLF GKMKYELGDHSVVRCPELDLTADIEFKTKGWVSGTYNAIGGVIKIESTGEVLYELSGL WSDDMFIKDVKTGHKDMFFNATTSKPSPPKVRPLAEQEERESQKLWLSTAKAVKERNH ELATQEKTRIEDRQREEAAKRAQDGVEWHPRLFRRVKSSDGVGDDLEWIIHSTVDPRA SLEEQTRQLLSIYPVVDGQTLDGDLAIPPRKGTSQTDDGARKEGHLIGMDGKLATVQD ATKREPDEIESLLSSTGKPAQGPLIDFGDE UV8b_03937 MANFLASIFGTELDKVNCSFYFKIGACRHGDRCSRKHVKPSYSQ TILMPNLYQNPVYDPKNRMNPSQLQNHFDAFYEDIWCELCKYGELEELVVCDNNNDHL IGNVYARFKYEESAQLACDELNSRWYAGRPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKNPSEELDRDLTLSTKKWLRLRGRDERSLSRSPSPEPNK UV8b_03938 MDQGDIPALLARLASDEDAARKMAVFKLQTSINDPSFADVFISS GGLVVLRGLIMESGGNTLAYSLQSLTRLLEVDMGWDIFQGPSASAFVERIVELVVINP LVNILRGAMSILVALVSRSRSDQPTSPAGPANPAAASFGFRALKPAVAVYPQFFELVV QQLQSADHALCANALMLVNALIRDSILHSETCSGRSDSSDGGEDWAKLVKRLQDLGLV KAVYRLMQSSAIQDLAYPVLEFQTLTKMLLRKWREVEVDPSRREHRRALKGIHGGGVN GHSEDDDDSTEASNRDDRKRSPNKWRRLGFETESPAQEFEATGYLGVMDLADYVARHG DGFQKLLLEQTVRPLEKTCPVARASLAVTVILYEHFEVDRVDVEDSKGYQGLEAKDHD RLFRPLLLEWSRLHSAALLAFFRLWKATSAELADFDKVAELVRILVGQVVGRATRAKD ISEAEDEMLEVDITQLRRLQTDLLDRSFDDAWGQYLYQVRETLKQEALQFVKEQRVRC MLQGSWFYKPASSRKTDQAHSGQAHNGQAAAAAATATAAAAAAAATAAAATTRPWRFA RLSYNRRYLHYADFEHQMAQDPGLDVLTNKIDLGSVSSVGSNVSAADDTKSDASGSAG QAKKTTTKITIYAAEMKEQGGTEEYPVLSLRPVSPSLASEWLDGLLMLLNQAPITAET SKLINLIIDYGLKMRLLNVRLDAAFAGPPAGAGAVPSRAGLDEDYFFEV UV8b_03939 MARIQIPLDALTSRFNLGDRFSGFSSGPLSGRFSNLRPLSDFFD FKRLSKPANFGEMQSRVNYNLSHFSSNYAVVFAMLSLYALLTNWLLLFDITLVVAGMW FIGRLDGRDLEIGTFRATSSQLYTGLLIVAIPLGLIASPFSTLLWLIGASGVTILGHA SFMDKPIDEAFSGEAV UV8b_03940 MDGGDAAHYGSWHGGEARVQELDTEGEESDAQGAGSVTRRSTRL MRDFGHFADTYSGDGRGHYRDSRNEHGDSTSNATNSEEGDDDDDDREEEKEDNDDDYG GGGGGGGGNYLHMFHLGPREREEVLLLQSAFQRISEAQAMGDSNVHLSKQEIEALARY QARKDEEEEQARRKKKRHSGSGSEKKKRTKEQRMAVPLAQLAGVSHKKKPLRPSSSEL PSRQDASPRQVTTDGELPPDGRDGQGYPPMGYFPPPSVYRTRSRAGTTASSSSSSSRR ALDDYEYLSRSWAANSPRPSRDPLREEGSSALGSRFQADPFQFQTAGPRAPHSAGAAA ASGQHASSGSGPYEVLYEHRRGTAAPAAARSRHGSRRTSYGDETSEEQSLASEGSASD EHGGGSGSGAQIREPESKARGRGRGRKQTIIVEESPERGKGKKKSSSPVKGKQAGAKK KKR UV8b_03941 MSRAWPTRSSASATKRLIKELDAWRRDQHDEPGIERLGPVREDN LLEWEAVINGRGVGSGYDGGRWLVAVSIPAAYPLQPPGVRFVTPVVHPNIALHTGEIC LDLLKEAWTPAYSVLECLRAVRVLLGCPEPDSPLNVDAAALLRGGDVVGSRRLVECWC ADGGRYDGP UV8b_03942 MARPMGSVRLKKANPCTLILGAVLCIFILFFLVSPSSSGASTRL SSVTAQHHLSPPTSPYKKSSSKSGLQTGPPPVVRYNLNNVTVTARPIENREHVLILTP MARFYPEYWENLLRLSYPHELITLGFILPKTKEGNAATAALQQQIQRTQKRGPENDRF KSIIILRQDFEPAIASQEESERHKMSNQKARRAAMAKARNSLLFTTLGPATSWVLWLD SDIVETPPTLIQDLAAHDKDVIVPNCFQRFYNEEKKKEDERAYDFNSWQDSDVAMQMA SKMGPDEILLEGYHEMPTYRTLMAYMATDGGDQNLTVPLDGVGGTALLVKADVHRDGA MFPPFAFYHLIETEGFAKMAKRLGWQPYGLPNYRVYHFNE UV8b_03943 MADNMDRGLDEIIADKRSTGPRNRRGGRDGRHRDHSDYPRDGVR KPNRDAPRNLDSEWVHDRYDEADFRRAPAPRRRRESPTSEARGSKLRVENIHYDLTEE DLDELFSRIGRVSKLNLRYDRAGRSEGTAYVTYDRKEDAEEAVRQFNGANANGQPIRL TMLASRNPFDTAVMPGRPLADRVSAPGGRARSSSPRRRFDEEDAARKGIDRYIPGRHS RSPVPRRRGAGGRRPGARREGNQQESSRGGGGRANPRAKKTQEELDAEMADYFGGGEK DSGSTINAPTNGDAPAVPAASNDDIDMIE UV8b_03944 MRASRQVLSSFGRRRRHVASLRQLSSATKTKGRDQTRFSCFFSP KDDPRELLGKLAGHAVLENPQGHDAAVVMATPTLFKHVNDPAFTLELAKLLSAAAETR HFHLLCAIVDCIAPAPGEPVPAPGVSVLRGELHSLMPRLWQPEPPLRAFDKDSVAAVT FRAGSSLQTLPLARTTFLNSRPSTLLAGRFDVSGGSPRLKQAMHKQWQQVNVWSADPG VGPDTGMGLWAPLSPVTRPRRIVDSFGNIVRGVDVEGKPTPASTELEDAINRIHGHRL AAEASAGPMGVWALVSPASSRQRLGDPDDAPDPEATLDGKAASREDVESTAAYLQSQH RAGCRLFQVLSGGGGWGAKKGLLSLDPRETFYAPPEEEDMERFMQAMSNSGLVPTDSY IQFFVSGMAPSALTASSATGVVFGVPTSPSSTEEAGPEPQTSLLADHFGALSSQGIYT SPLPNAKHAFRESKLSVPGSRVFFR UV8b_03945 MATTNSHPEVDKDRKKAERAAKLEAKKAKAALMAANAANKEKKA KEKKTEEVVPPYIEDTPAGDKKRIRSFDDPNFRAYDPIAVESAWYDWWQKEGFFKPEF TPEGKVKEAGSFVIVHPPPNVTGSLHMGHALGDSLQDLMIRWNRMNGKTTLWLPGCDH AGISTQSVVEKMLWRKEKKTRHDLGREKFVETVWEWKEDYHKRINKALTHMGGSFDWS REAFTMDANLSAAVAETFVRLHEEGIIYRANRLVNWCTHLNTALSNLEVDNKELTGRT LLDVPGYEKKVEFGVIVHFKYPIEGSDEAVEVATTRIETMLGDTGIAVHPSDARYKHL VGKNAVHPFIPGRKLPIVADEYVDMEFGTGAVKLTPAHDPNDFNLGQKHKLEFINILT DDGLMNENAGPYKGQKRFDVRYSIQDALKAKGLYVDKKDNAMKVPLCEKSKDIIEPLM KPQWWVRMKELAEPAMQVVRDGKIKIRPDTAERSYFRWMEDINDWCISRQLWWGHRCP VYFANVEGVAKDTADEALWFSGRTRQEAEKKAAAALPGKKFTLEQDEDVLDTWFSSGL WPFSTLGWPNQTHDLETLYPTSILETGWDILFFWIARMITLGLKMTGKVPFSEVFCHS LVRDSEGRKMSKSLGNVIDPLDVISGIKLEDLHQKLYLGNLHPSEVEKATKYQKTAFP DGIPQCGADALRFTMINATTGGGDINLDVKVIHGYRKFCNKIFQATKYVLGSLPEGFT PARDGVNRGKTLAERWILHKMNNAARDLNVAIADREFQKSTTIIYKYWYAELCDVYIE NSKAIIRDGTEEERQSALQTLYTALESALTLIHPFMPYITEEMWQRMPRRPGDDTKSI MIAKYPQYSPVLDDAESESAYELVLACTKAARSLMSEYSLKEDAQVIVQAYNEPTLQT CNAEVASIKSLSGRSVRTMHVIGPDAPRPAGSVAYPVSTAVAVFLHVKGRVDMDAEIA KAQKKLGKAAATIQKQEKILLDPSYKEKVSAAVRRQDEQKLADAKQEAASFEETIKQF EQLKLE UV8b_03946 MPLDIEEILRQKKAADAATAKPRFIPKAQRERIAAEKAKQDEDG RKRKAAEEAQKRRDEERKWAARSGGGASSSRSSAAHGGLAHGGLANGLAPVPTGPRSM NRPKTDGKKGGERTNGDKKSAEDIEAALLRSRYLGPQVNEQSSFSAKKKRMRTTEKKF NFEWDLEDDTSRDNDPIYASQTANRGFSLAGLGGEFDDEAERRARKRARLIEERDAEH GRERAKGIMQDFYRARAKAKARADRTGLGRHWSDKKLHEMRERDWRIFKEDFGIATKG GAIPDPMRSWDESGLPRRLLDIVDRVGYREPTPIQRAAIPIALQARDLIGVAVTGSGK TAAFLLPLLVYISDLPPLTEANKNDGPYALILAPTRELVQQIETEARKFAEPLGFRCV SIVGGHSLEEQAFALRNGAEIVVATPGRLVDCIERRLLVLSQCCYVIMDEADRMIDLG FEDSVNRILDALPVGNEKPDSDDAENAQLMKSYLGGRDHKYRQTMMYTATMPPLVERI AKKYLRRPATATIGNAGEAVDTVEQRVELLAGEDRRKKRLHEILSKGDFAPPIIVFVN IKRNCDAVARDIKSMGWSTVALHGSKTQEQREAALASVRAGQTQVLVATDLAGRGIDV PDVSLVINFNMAHSIESYTHRIGRTGRAGKSGVAITFLGNEDADVMYDLRQMLSKSSI SKVPDELKRHEAAQSKPVRGGGRKQEAAESAGGGGGMKAGGGGGGGGGGGGGW UV8b_03947 MASAAKYIQLAKTLPPPLQRFFARWPPASLQPAGSPPTRHQEQR PDPFRSHEHPVTGKWHDAAYSCRRQAQLVRLAREHGVEDLLPPTSKGTEHRLARRVEL GLRVKGTGVGQTVKGRIHERHMIAKMEQRRKAMLEMPKLMTAWKRIGKRNWTKWPK UV8b_03948 MTSALSFRTPTHYEILGLTPSALDDPRQDPSSASSLIKKAYRRA LLRHHPDKSTAVTASSSPAPLADPRRRGLYTVDQITAAFTVLSSPAQRAKYDALLRQA PGLGGAGPATLAPRFQTGVDNVDLDSLAFDQGQGHWHRSCRCGNERGYVFDEEDLTAA EEDGELLVACQDCSLWLRVHFAVVDEDAAAQEKIDKS UV8b_03949 MGHEDAVYLAKLAEQAERYEEMVENMKIVASEDRDLTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNFSQVTLIKEYRQKIEAELAKICEDILDV LDKHLIPSAKSGESKVFYHKMKGDYHRYLAEFAIGDRRKDSADKSLEAYKAATEVAQT ELPPTHPIRLGLALNFSVFYYEILNAPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAETGAGQADAPVKEETSAAAEPAVASEEAKATE UV8b_03950 MLRTTLTKTAAFRPLRAAFSTTGRAMGEGDTGAPPKTGGQGDAF QRRERASEDLAIRQRENEKLRELKKKIAEQKQHLQRLSDHIDEITKDQGGEKN UV8b_03951 MPEFSFKAGSSSSAPSGNAATTTAPASGNMFGGTSGTPSFQFGN PNNNAGSSFGQNPAGDGQKPAGSLFSTPPTSGPTLFGGAATSTSGGELFGAKSASATS TSAPASSGGLFGGAATAPTSNPSFGNAASTPKPASGGLFGGGGASGTPPLFGAQSSAA QPQSTTTAAATPAKPSSLFPSTTPAGAPPADPSKPAAGTSAGAIFGGATQPATSTTSN PAAPTASGPFSNLQFGNTAGGLFGNKAAPAPGTSTGGLFGSAATGKSTATSAAATGTA SPFTVKPASTSSTTAGPASTGTGPSLFGTAASSSAATSSSTPATSAAAGGLFGNKPAA TTALFAAPSTTSTAGGLFGGDKSSAPATSKPASSLFGTGAATSAAAQATTIASSASAK PATFTSSAAGLFGGGKSASDVGSKDGDKAKEEPKPAAQHGSASVLGASTIGPAPQMAR LKNKTMEDIVTRWASDLTKYQKEFKEQATAVSTWDRSLVDNGEKIQKLYLDTYEAERA SHEIERQLAAVESQQEELEAWLNRYESEVQDMFAKQIGPGEQLAVPDQERERTYKLAE KLTQQLEEKSRDLSKMVKEINDVSGTLSKGAKAEDPLSQIVRVLNSHLTQLQWIDANA SALQAKVTAAQKSSSSMGGHYAGPESDAAEGFYRSYYGRR UV8b_03952 MTAPEGLEPPPDLNKADPGAHQLPEPETSDSEDQFTDAHSGPIT PRINSPIPRTQVEKAGGGPRHVEVPTAETQGTREAEAQPDEVAAQEGPDGAGIPLDTP KQEIPITVWESLQSQESTSLGQSLSSNSDAGPDVVVELQNEDNDGGPDGGEVAAGPVE QENDDFGDDFDDFEEGGQDDDFDDFEGGFQQPQPVTTVPQGSPSAQLYTVPFPVPDFD GLGPDEVLSATKPCLDHLFPPDELDPPPPPTLHQDASVFLTPRSASLWSQLVAPPPLA PPDWIRSRTRRLFLVSLGVPVDLDEILPASKQKKLILPSLKFRTTSSPRTSSDSRSVS RLRQGEGNASSTSVDTQGRPTGSKSRKGPPPAPELDLVAARYLCMTTDEALDGMTDDE LSRHVAKLEAMQGTANQVLEYWRKRTDEKIGDREAFEGVIENLVKHARKVRNCVFKCA PYALDVAAKTASLIAP UV8b_03953 MSNEDPKYDDIDSESDSGESIEHNEVGDGPDEKPLKSALKKTPV PEPAVQRPPLPPQTDPKDLDLSSLTPLTPEIIARQATINIGTIGHVAHGKSTVVKAIS GVQTVRFKNELIRNITIKLGYANAKIYKCDSPECPRPGCYRSYKSEKEVDPPCERDGC DGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNESCPQPQTSEHLAAIE IMKLDKIIILQNKVDLMREEAAQQQYESILKFIRGTVAGKSPVIPISAQLKFNIDAVN EAIVNTIPVPPRDFTMDPHMIVIRSFDVNKPGAEIDDLKGGVAGGSILHGVVKLGDEI EIRPGIVSRDESGALKCTPIFSRVVSLNSEANDLKYAVPGGLIGVGTRIDPTLCRADR LVGFVLGLKGRLPEIYSEIEVNFYLLRRLLGVRTADGKQAKVAKLAQNEFIMVNIGST STGAKVAAIKNDAAKLVLVSPACTNIGEKVALSRRIDKHWRLIGWATITAGVTLEPST A UV8b_03954 MAGKGPDEKKRPAALNLTPKRSDSSSTEGSLKVPRTPRFAEATS VHSPVDTNKSPFADPEKPQTGDSQPGMVGFGYISNGRDCAATGPKSPLKSAMKVPGTP ARQFSNPLSPTFREEEFLEKREAQNDKEQAKDIKIKTRVRMAKFALRGVNFGCSLIIL SMLSTSFAIFNSTKSLAAASKFTPWAPNTEKTAWPQKLTLAMACVSLFTCILVFVAYC RGGHKRATKVNTYYTMFAIGWFILSMLLWLITAVIFQHSKDNSNNKDMWGWACVNNQR SQIYADKIDYVLVCRLQNWTLICIIIEIVVEVISISLYSIIFYRFYSKRRLMKSMDMR DRARSDLYLAQLRSQSAPNTPGFAPKSPALSHYAMSPRHPPAAYRNLSDIDESSPFTP GGSVAVAQSQFAPPSQTTFKLQAPPAKAPSATPKTVPAAFSLSAAAAAAAAAPTPAAP PAQSAAPQHAPQAADEPTYDAVPIPGAYAGQAIKSPPPHQAAFGQAS UV8b_03955 MATDKGLEDVPEGQIESNYDETVDSFDDMALKAELLRGVYAYGF ERPSAIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISVLQKIDTSLKQCQALILAPTR ELAQQIQKVVVAIGDFMNVECHACIGGTSVREDMKALQEGPPVVVGTPGRVQDMIQRR FLKTDAMKMFVLDEADEMLSRGFTDQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTRF MRDPVRILVKKDELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKV DWLTEKLTARDFTVSAMHGDMDQAQRDLIMKEFRSGSSRVLIATDLLARGIDVQQVSL VINYDLPANRENYIHRIGRGGRFGRKGVAINFVTAEDVRMMREIEQFYSTQIEEMPMN VADLI UV8b_03956 MPSIVLHHGQGTESTALRDGPAIQGGSIPTHPLGVKPLGNRYLS KGPNAKTNSGIWGLLPDEMLMLVLEQLDAGSLLSLGSTCKFLFAFCHSDELWKALFLL LPAASSRSLKWLGTWRSTLLALPKSQAAILDCGNVFSDVLHRPFACSNIVPSRFANQI PRANQIPRMENLTYEEYADGWTEKPFLLSRCIQDWPACSRWSIEELLRMYANVEFRAE AVDWTMERYCEYMRDNRDESPLYLFDRKFAEKMAITVGRRDGAAYWKPDCFGPDLFEV LGDERPAHRWLIVGPERSGSTFHKDPNGTSAWNAVIQGSKYWIMFPPDAQVPGVYVSE DCSEVTSPLSIAEWLLTFHEEARRLPDCVEGICHAGEILHVPSGWWHLVVNLEDGIAL TQNFVPQSPSLNLLSEVLLFLRDKADQVSGFDGKIEQPFELFVGRLGEKYPQVLERAL EMVDKKSRKKRKWDAAIGKEAGGENSGKGFSFGFGGGEDDDEEIP UV8b_03957 MVSDEHYDLCLPVLRDSTLEDEDKTDKLEELLRKETNLVGSSLD NAILDALWRFRDGGGSSASPPPIRSSILRRPSPASWRASPTPLSASPRLAVSPLAPPG FIPSTFGRAKSSTASPFSSPRASPRLALATPVIPHSPNLNAYEFASDPTPAPEILGDY QSENVEWLLNDDAVSIASSMGSSSVLNAAAPEFSSLSSQQTDMSPYDMLRSILGPART DEEIESALATHGYNLSATVASIMEGQSQDEGAPLSSSDEPKTVLIGKSISLDGRPATP SNQSKAGVICKFYMSTGQCLRADCRFSHDLSNHLCKYWIMGSCLAGDTCVFSHDPSKF VNKLCLDDSASVGEEQGTFQVQDPSSFPALQPGSSDAGGNAGGNAGGNAGVNAGVNAG VNAGGGGGASLLFAGLNPPHGPRVLQGTESPRLRSRPGSRHQSKDISANAPAIDDNEA FPSLGSASSKPGKKHHGKRGGHGHKENVANSLADIVKMAPTPPPGSPRSESRKTANGN PGSNKTGENSAAAQAIPSPKHIPWLETGNRANKAYLKARQEAIKHGGLRNKFLQSAAQ AWNRNDARAAKALSLRGQSENDLMRKAHREAARELYEDRNKSTGNSAEIYVDLHGLHP EEAVEYLEKVLMDNSKEWRPVYAITGTGHHSKNGKDKVGRAIRNFLGEWRYAYREFSV PGDRNNMGGILGIDARSWDKSLARKEAAEDKGEVDILSQGVEIGDGKVRLLVRDPPKG PSGRRW UV8b_03958 MSPGAAEPGPASALGRASSPERPAARWSSRRSRLSDAAVRARSS PSSEPGPLGGAWQACREWGRCAARRYSSLSPAQRGLAVAACVLAATAAVLVLAYSHRF FAWLAPFARSWRELPGGWLAVFALVFVTAFPPVIGYSTANTIAGLVYGFPAGWPVAAA ACTLGSLCAFLASRTVLSKYVDAMVGRDHRFVALGQVLRRDGVLYLTAIRFCPLPFSL SNGFLATIPSITPFAFAVSTALSTPKLLIHIFIGSRLAILAEQGDKMSARDKAINYIG IALGSAAGLAVGLVIYRRTMARAAQLAREQGADTGDAEQGLAAYDDSEAALLDPEDAA AVMMADDDVSLWEGQGGRSWEAVSNDGDSVDSDDDGDDDDVAGRTARPPALP UV8b_03959 MDQIRLALSLADAFNALADQVQILADRKTVLEHKLRFAHEQFQY LADRYAPATPEVAETLTKLQLPPHTSVDKTSPVPLPQRRSSPQPLHQIAVIIRDGRRM ASKIVSAGSSSKTTCSSRESVSRPSQDETSMSTALEQDFTVQGKRGSLQCPFSKRMSA SGTHDGKSKYSVDTTPHSSADPICAAMLEEATSQPAPNGAGSSKCPIRFLDKHSPEEI AHYVETHKHALPRSHEVCLRRYQRNETQMKKLDSKYGNIVSMIEGLSHLHQPMLPESE TPGQPPDGAESGGPNKRVENWAQTVSRTGDLDVNHEAEAPPSDADRQSHFDRPLKEVR VGESPSRPWGISVPVYEPSGHGEEYPHSPPPAPVRMSDSGPPGSAPERVTSGGKCPFD HGKFSGASIRPPPFTDVKPPQPVNGFGDAPLANNKGAATTSTAPDPTQQPAFISPCTV KPDGAASPHMIFTGPVFIGYPIEQAIQFVNCQRGSS UV8b_03960 MMQIPPHPSPPPPPPPTQPHGNGNGNGNGNGNGNGNGNGNGNGN GSDSDSDSGSDCGSDSGSSASFPQHSKDHVVPGQCKVTAFFFEVPLDYENPSAGSIQL YARRVAKNERPLFPPDDDDDDDDDDDDAHNDAHAHAHAHARGSIKPYMVYLEGGPGFG HRSPSDHPVTRAALPRGYQVLFIDYRGTGLSTPVSAAMLAQVGDADAQARYLRLMRQD NSVRDCEAVRRCLTAAWPSRKAAWSLFGQSYGGFVSLSYLCMHPEGLREVFLTGGLAP VGKTPDQVYDATFRKTAERNQQYFAKFPQDARVLRDVAAYIESRGGRIPLPAGGFLTV ARLLTMGIAFGGHGGFDNVHDTLAALETSLRQFGFLTRASLASLESFTPFDTNIIYAI LHEAIYCDGPGNASNWAAERVGRARAPGPFSWLHPDFSLAQSPGPLYFSGEMIFPFHF ETYPELIPLRQVAEKLAAYTDWPALYDQDRLRNNSVPLYAASYVEDMYVDYRLARETS TLVKGSKVFETNVMYHNAVRAKADEVMQQLFSLRDDELD UV8b_03961 MKRMQGLLHKTTFGIAQEKRTSNTPGPIAGQKRQLPNSDGSDKP RLSCNSDPQATVHFQRAIVLPHGQCRLTASVISNVERIPSETTEYTQRRLISATPLSS QDPELSLDHAIYDLPRQISLNFASAGIKHIYPWQKNCLKGPGLLSGERNLVYCAPTGG GKSLVADLLMLKRIIEAPGTKALLILPYVALVQEKVAWLRRIVRNVRILESPSAEDES DQPWRQRVDYGTIRVVGFIGGGKIRATWDDFEIGVCTLEKANALVNTAIDDCSISRLR AVVLDELHMIDDDQRGYIFELIATKLLSLGQQLQIIGMSATLPNLSLLSTWLKAHAYE TRYRPVPIQEHLVYDGKIFLAGPNDGLGETSSQLSSQDVASGKSSPIRRINLSLHKEF ADPVLNSVVSLSYETACMGYGVLVFAGSRGICESDALWISRVMPQPHELPQEILDKRM SLLADLRSLSIEVDPVLEETVLYGVAFHRELTGCAGLTSEERDLVAAAYDSGTILVCI ATCSLAAGINLPARRVILHNARMGREYIGPAMLRQMRGRAGRQGKTPIGETYLCCKHA DLDHVMQLMHAEIPKVSSCLNTKNRRIQRAILEIICIRLATSHESIVEYFSSSLLHSS EPSPEFLHESVKSSLDELQDMGLISSDALPNFSPTRLGKAIVASSIDPDDGVFIHGEL SKALRAFVMDGEMHILYVLTPVQDFSAAVNWQVFRNEMEALDESGLRVLDFLGIRPTH VLRLAQGATLKDTTPEEKKTARVYRRFYLAMQLRDLCNEVPIHVVARKYDMPRGSVQN LSQTCQGFAAGMVKFCEHMGWGVMAAALDHFSDRLTAGARSELLALARLPFIKSRTAR MFYDNGYRSIASIANTNPKDLVPILMQAQPNKVRVKGQSDALLGEKMVAKANIICQAA NRLWSVQMQAEMEEE UV8b_03962 MAQQNDPKVANVEAQSVSDSVNAFSNSDLPKDSGADMAAPYGTR SRNRGSNARINYAEDKDIDMDVYEFYDKKDQDVSKKSSRKSESGTNGDNTPRSIGSRR AAVEDSKAATLFQNGSKDTTPSTTACSRAQERKLEARHTPNGADVEDDDLLDDDDDDL QGIGTDRTTSADAEEHHHHKGTAEQIYQASLWPWRYLGMHCKPEDALDYDDRIHPRAS TRIGPRHQANVTPWPGRPVEYVKPLEMKKGRGASKLSKEAQVAQEAEKAARTKRPKWV QDEPPGYQARGEDLDENDPSATSTRLWVPKSSDSTENGDVFGYMTKAQGLSKKLGLPE RSTNLQDIALETLYRNSHDPVNALRHLPETDRSVFKEPSLTPAEQKKFEEGVAKYGSE LHLVMKHVKTMTPGEVVRHYYTWKKTERGQQVWGSYSGRKGKRLAKREDTAASKAADD VADNDDDSAFDSKKAVEKKRSFICQFCSTTASRQWRRAPTTQGLVNANGTKATSKDKG SQYIIALCRRCAELWRRYAIRWEDMDDVAKKVGQSGGKAWKRKQDEELLKELQAAQEM GLKTPDRGSTPAAATNGQEPPRKKLKGAPDKDVDAAASETGSTATTLRKKDKTADSTP VPDLPKPRTLPCAVCDRLEPLGDQHLSCRECRLTVHRNCYGVIDNRIQGKWICDMCFN DKSPQVSIHYKCVLCPVEFTEQDFIEQPKLTHHKKKMSEKDRERERIEVQQARKAVEY YRKRQEELNRPLNPREPLKRTADNNWVHVTCAVWTPEVKFGNARALEPLEGIPLIPRA RYDEVCQACNQKGGACISCHQCRIPYHVECARQQGHLLGFDVSPVKSSRRDQSNIVTM KGESGIMSAVLWCKDHVPRKSIAHKMDEVVNESGLNALQLYVQNYKQADLTLTGTVRK ANLMMTAAKMSGLPLAPSTRRASSSATGPNGVLSQVRGGDATEAAANAEHPGEKVCMT CGIDVTPRWWPIDTTQERRLTNGHHGAIGFEAQKFVEQRKFQCHRCKKSPRTPGSFSA QPSSPAATEPPRQQQQPQQPQQAPLTSSANAPPLLPPPPPPPPPPSLRSPSRPPSSDY RAAPLRPEIHTLLHHAPAQAPEPPPPPPPSHAPSTPVGHVSHGQAHSLGPRPNHAVGH GYPQAPPSRSAYGDWGSQHGSPPRHMGGAPPPPLHGGGPPPPPVSSLTALRPPSMSGP PPIASVPVQHQHAHGSPLYGSAMPPSPRRLNGAAPPPGYVPPYGSTPSSAHAPASRHV AVSPGVSHGALPPRSDGFPHGLHTQRAPSYAAGVHTSPAMVTRSGLPPPGGPPAVAAQ REAVGSVGGLGHRPGESRPASGASASPSLRNLLS UV8b_03963 MAQFILDLVYSLGNCLNCFPGSPTLKINSRSFKIIRLLGEGGFS YVYLVEDASTHELFALKKIRCPFGSESVRQAMREVRAYRRFAHVPSIISAVDHAVATE RGAGGGGGDDDDDSAKTVYVLLPYYRRGNLQDMINANLVNHGSFPERHLLMLFLGVCK AVRAMHEFRPPPAERMHMGSEEDGPGPRDDDDDGDGDGDDAQERPLMASRDNQGAVAA GGNSSSSSSSSSSSSSSKAQSYAHRDIKPGNIMIDDSGSTPILMDLGSVAPSPVAVTS PSLALQIQDAAAEHSTMPYRAPELFDVRTGSVVDARSDIWSLGCTLYACLVGKSPFEM RSDETGGTLSLCVLGGDWRFPDETPAGAKRIVATTTISQAKARAASADHSSSGPAAAA AAAMPISEPVRQIVRRCLRVEPAERPDIGELIALVEQALEGLPGEASTY UV8b_03964 MGGGGDMRSKASYPILAPKPVGVPKASILKNRIEPFYRSGQYDK VNLLANLYNARFSGEPHVKIYVWSAPNQDRPTFKEATSHEYKETHVGAAFGPSWTTHW FRLHLAVPREILHEELLILEWDANNEGLVWTEDGMPLQGLSGGGERIEWNLPEAFRDG KEHVIYIEMACNGMFGNAPGGKVSIAPPDQNKYYGLSKANIAAVNVPARKLQFDIWEI GDAARELPEDSAEQNHALSVAMRIIDTFQVNNQESILACREIAKEIIGPDVDSHKVYE VGKDPVVFGIGHCHIDSCWLWPFAETKRKVARSWSSQCDLMDRYPEAHFACSQAQQYK WLKQLYPGAFERVKRKVNEGQFHPIGGSWVEHDTNMPSGESLVRQFFYGQRFFEAEFG ARCRTFWLPDTFGYSSQLPQLCRLAGMDRFMTQKLSWNNINNFPHTTFMWVSPDGSQV MCHMPPSETYTAEADFGDLRRSMSQHKTMRVDSSSLLVFGKGDGGGGPTWQHFEKLRR CAGISNTVGSIPKLKLGLTVDDFFERLAPKAAELPTWYGELYFELHRGTYTTQANNKK FNRKAEVMLRDIEQLATVISIDNSSYKYPSAEIDGMWERVLLCQFHDCLPGSSIEMCY DESNKFYAEVFSTGEKLLKEIYSFFGASTTKSNYVHESVVVNTLPWHRKEIVDISDSE VGVACGDGQILKVHSFKVQEDKPAVTVAATGNAYVLQNDQLRVVVENGCIASLYDVVN DREVIEPGGQANKFVIFDDIPLFWQAWDVEVYHLETRKELKYGQTRIHENKPHRVTLV TDIEISDESSLKSYISLSAALKGQPSQVDCFAEVDWHENAKFLKVEFPVNVVNTEASY ETAYSITKRPTHYNTSWDMAKFEVCCHRFADLSEHNYGVSILNDSKYGFATAGKIMRL SLVRAPKAPDEHADMGRHSIRWAIFPHKGSLSSATVRAAYAFNNPLKLLSAPSTAVFK APIELVNKDESNSLVLDTIKRGHDDDDVSLREGLRVNKGQSIILRVYESLGGHSRGTI KVAYRVKRVSKTSILEDELEAVELGDGQFDIALRPFEVATYKLQL UV8b_03965 MFLLPRCRHGLHPRLPRQGVPLVTRSSRTLGRLQSYRLVTAPRA QIRGKKTRTTINLSDLPQGPIKSEAPLIDHDDHEPSYPTVVLQARRNMRRFQNCVLLT RVGSFYELYFEQADQYAPLLNLKLASKKTSAGPVPMAGFPFHQLDRFLKILVQDLNCY VAIAEEFPNDAADKVKSGGLLHDRRVARIITPGTLIDENFIDPYTNNYVLAIHVCQGA QDSAASQTLPGSDGARRSSTKPASALGLAWLDLSTGHFFTQVAELASLPSTLSRIGPR EIVLSADIEFQKDHDIFSILAEDRYLITYAARGEISRVGDWAPLLESEVSESTQQQFT QVEILAGNLVLNYVKERLQGTTMKLLPPMRHESMQVMNIDKNSLRALEIKQTIRDGFF RGSLLHAIRRTVTKSGARLLNEWLSSPSTSLDVINARQNLVAGFLTLESLRDSIVALL RRCHDSQRLVQKFSLGRGDADDLLALANTIHATQDIVSLLEDASASPDTPVQTTSLKD LSSRIDLAQPLKLALKIKDSIDEEGIVHQHEVEDSEASQMLALAHDVVATEGSQEDAS ALPKEKKKRPALTKEKESYAEDNGPWIMKPGANENLAKLHEQLAYLLEEKVRLNEKLR ESLGAASLTLRWSPGLGHNAHVKGKDTKLLSGMRALSSSRSTSSFHLPEWTALGQRLD QARFHIRREEQRVFQMLREEVVLNLVKLRRCAAVLDELDITTSFAKLALEQNLARPKL NNSTTHTIVGGRHPTVEGGLVEKGRSFVRNDCHVGTASDGLLWLITGPNMAGKSTFLR QNALITILAQVGCYVPASYAELGVVDALFSRVGSADDLYRDQSTFMVEMLETAQILRQ ATPRSFVIMDEIGRGTTPEDGTAVSYACLHHLVTVNRCRTLFATHFHQVADLAAADGL YQTDGKDSSAVQLYCTGLEEDSHGGFVYVHRLRKGINRQSHALKVARLAGLPERAINT AKQVLEAQGGVTATKYGQGEADGAIGEAV UV8b_03966 MGGKTANKAGYFDKLKGLLEEYRSVFVVSIDNVSSQQMHEIRHA LRKEGVVLMGKNTMVRRALKTFINDTPEYERLLPFVRGNVGFVFTNGDLKTVRDKLLS NRVAAPARAGAVAPIDVWVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVE TGNKVGPSEATLLNMLNISPFTYGLGVTQVYDQGQAFPPEILDIGEEQLLGSLSKAIT TIATISLALNFPTLPSVMHSVVNSYKNVLAVAIETDFSWPEIEQLKDRIANPDAYAAA APAAAAAGSGAAAGGEAAEEAKKDDSEEEEDDEGFGGLFD UV8b_03967 MSLRAVPYNRVETSGSILFATRGGKIHSFDLSDGKHVSSWQHPD VEKLGSSVGVDAGADRTTEPEHEHEQGLQSDNTRGAEDDEPPAKRPRVGEEDATAAPP IAAGDQAKRKGKKRQRNGDGSHGDSSRMGRVVDRPLITLMTCTDDGHHLVAVSGHDKA VWVLEHDGQGHLAPLSQRVMPKRPSAIALGFGPHILVADKFGDVYHIPLLMAPAPPAP LAPPRAAPAPAPALKLNEPAANTSTVHSKRNRQALEHQRKQLESDKSKGPAPQPEGPG FELSLLLGHVSMLTALVVAESKGRRYILTGDRDEHIRVSRYMPQAHVIETFCLGHKDF ISDMIVPKARHDVLISGGGDQDLFIWDWEAGQVLSKTNLLALAREVVPQTSTVSVCGL TSLLYPAEEGPLTYVLAICEDLKAIFTWQLTDKNQLKNPSIIQLPYNPLHVATVAPAN GSPLKLIVATDPGQSVEARSLREYTITRTDGRLSSLAELPIHGQDLDGAEIQVSEKEI RNMFYTAGNLRKQEYQDTGPESLAEGDAVDGVAVSEEQ UV8b_03968 MVKESKLYDTLGVSPTATEQELKKAYKVNALKYHPDKNAHNPGA EEKFKEISHAYEILSDSQKRQIYDQYGEAGLEGGAAGGGGMAAEDLFAQFFGGGSFGG MGGMFGGMNNRGPPKARTIHHVHKVSLEDIYRGKISKLALQRSIICTKCNGLGGKDGA VKKCPGCDGHGMKTMMRQMGPMIQRFQTVCPDCNGEGEIIKEKDRCKQCNGKKTIVDR KVLHVHVDKGVRSGTKVEFRGEGDQAPGVQAGDVVFEIEQKPHARFTRKEDDLLYKCE IELVTALAGGTIYIEHLDERWLSIDILPGEAIAQDAVKVVRGQGMPSYRHHDYGNLYI HFNVKFPEKNWTQDEAAFQALRNILPSPSLQNVPPAEAMTEPADLEDIDNQSQSRVFG SPGMEEEDEEGHPGAERVQCQSQ UV8b_03969 MISTTTTTTPKRTLRRKPSLTHSLRAPSASASSPNLHTLYTAQP KLLRPGVSRKTSLAALTSTSLASIPDVSESYALETVLSESPPDMAPATPGRSSTDDII VGDAVDVPGGMSGTVRFVGTVQGKKGTFAGIELHQEFAARGKNNGDVDGISYFTTSVP GSGIFVPVAKASLRGSATNAFPLSPTSHATGGLAGTNFTPPGLTKFSASVGPGFRAPS PQNRRPPRTSLPRPDSPVRRTQMTPGPRPSIGTPAPKAPARFASPTNSNRFTQSVRGT AGDPNKRPPRLDRKQSIGPRSASALGSVPGYDQESMLSMPVSPQRLRANGLSGSLSVM NLRRPASRANAANEEEIERLRSRLEDRDKQLKEQAATLAEMESSLVELQGLIENSDGP RVQRNSLDDKDSVQLRAMLREKNDKIAMLTSEFDAHRADFRSTIDTLELASTETERVY EKRIEELMADLRELETRNLDVDSVANQLRQLEELVQELEEGLEDARRGEAEARGEAEF LRGEVERTKTELRREREKQGPVGTSPDHQASASKELEQKEDEIRGLKAIIHSLSRDSV GDADERTPTQRPGSFMAGGEDGNAAHKDLERQVIELQTLLDKKNSREEELEQELEALR GGNPTSQQNSTHRSSLRDSRDTVIPVRNPEPRLQEINHKREPNRRRGNMLDTMPESDD YSTATETSTLWCEICETSGHDILTCTNMFGPEGAKAASDTTAGPGVAREGMRAQSSLG EDAHPAPLSTKSKMSSPARAIKILPNVMESGPVAGKESGIVDAAKWCAVCERDGHDSV DCPFEDAF UV8b_03970 MEWRTTLLGYARDERVILMGIGLAAFGIVGTMTSVLTMIRDAHA IDPKQPKTQYITQDTEDSLELGTLEKLLLHPNYSIREVATKILCDRAINDNETLLRLL YGITRPDYDERMRCLRALALLTGQTMGLDGLSQLNNDKAYSALVRSLELSLDDSELPD LTNYHWDEYYLRDMAERFCLMFVHELINKYGATSLVKAKFVEKWLAKQKWGDGPESRR HNFKAYTDLKGNRIVDIVERIKQSKRGTRALERAGLMDKDSSRRRMREMPDVIMEIEE EVVEEDMPATRVRRPMREHSVEEQRLRRQHREAMVLNDGTRPLGREDIIERDHGSAN UV8b_03971 MAGSQLKRLKASLREQGITGPQQSKKQRRKNFEDGKARNDKRLQ RGVVLEGIREQFNPFDLKHAARGPKFEVTTNRVVSGDAAKGIRGRPGVAKAVGEERRR ETLLVEMQRRNKVGGILDRRFGENDPTMAPEDKMLERFAREKQRSHKKSSMFDLEDDA PIESLTHMGKELEFDDDAPADDFHEDDLEMDYDSDGSVRENQRLKRLRSLASAGDEKE TYQDEPQRKRTKKEVMEEVIAKSKMHKYERQAAKEVDDDIRAELDKEMQSIQMLLSTS KGSTRPNDAATAKQSSIAGLDRDAFDRNFDLEVKKLAQDRRAQPTERTKTEEEKVEEE SEKLKELEEKRQKRMRGEEVADGEGDEGEDKKQSNTGGLHDTEVEEEDDGFGLGSGIK TRPTATELGFDDEDDFILDDDLVASGSDLELTESDEDSDGNPDEDSSREDEADEEEDE FTKGILNEEEARNPVFRMADWAAKDIGALKSDDQGLPYTFACPQTCQEFQAITSAFAV KTLPTIVQRIRALHHPKLDSQNKERLGNFSVALVDFISLPWDEKSSPSFDVLESLIRH LHSLSKMFPAEVGKRFRYHMDQIGHSRPLSLQQSDLVLLTAVGTIFPTSDHFHQIVTP AMLTMGRFLSQKVPQRLSDYSTGAYLAILFLQYQQLSKRFVPEVPNFCLNALCALSPI APDKKLGNFPQHEPPAGMRTQNAAHVAVRRLTFADCSTEKAPVSVKVATMSTVLDILN AAADLWAGKDAFLETFGQVVDVAKHLGGKACQAQLPSQLVDKADKLTAKLGRMMSLAQ ISRRPLELHHHRPLAIKTYIPKFEETFDPDKHYDPDRERAELAKLKAEHKKERKAAMR ELRKDANFMARENLRIKKAKDEAYEKKFKRLVAEIQSEEGREANAYERERQARKRAKN R UV8b_03972 MAHPEGNVCAEAETGEDDYMNMTFEEPAPRVETSLQRIKRLKKE SLARGMVKSKAQLAEEEAAAREKALTTSMLDAPTGKRSKGFAMMAKMGFTGGGLGKKD EQSGNPGTPEPIKVNIKEDRGGIGMDSEKKRKMHEAMDGRVAKSVKVDPDEYRERVRK EREDERLEKQFEAAQRVAERMDDEQSQSEVSSASRQGPGSGSSSAPFPARPLRSIPVL YRGLVRRREERERDRRMRYDLEQSLSRLPTYDDADDDEEDQIALGKKQMLYVTAEDLD QEDEELEEFDAREPAERLGQLVKYLRERHRYCFWCKMQYDDEALDGCPGPTEEDHD UV8b_03973 MKFAHLSLGLLSLLAPLAAAWSKEDREIFRIRDEISAHESDAGA TFYDILGVAPSASFEDIQKAYRKKTVALHPDKVKQRLRAERNKKAGGTGKKSAVKPPT AAEIRNAVKQASESQTRLSLIANILKGPARDRYDHFLANGFPLWKGTDYYYNRYRPGL GTVMVGLFVVGGGAIHYLVLYMSWKRQREFVERYVKFARDTAWGGSVGIPAMEPQPAE SPDEDAPPPIPQNRKERRMQVKESKKESGRGRVKRIAKKSSADSREPSSGPTGARRRV VAENGKILVVDSLGDVYLEEEDEDGNVGEYLLDPNELAQPTFKDTAVVRLPLHVYNLT VGRLTAKKEADTKSQAPEVEDAADAAQRTPGNDSVSEEFELLDNSTDSLGKAKSSGME KSGKSNKRKNKKR UV8b_03974 MERSRGIPDQEMFLSRPAPDGCGDSPTVEPLRIFKPKSPEPAGR SSAASPAGRPSFPLPPGASSSAAPLPFPDDDDDDDGDVRKPTPPRPYGSAYNDAAPRL DTALAEKRPGLAERRGAPPKVIHSPSSPEADQGLLLLARPLPEQGRPNPAGANYPSYQ RVYYPPPGAASTAASSNHAASSSVPPPISEHDDHGVNRFASTASNSTTRASRGSPPPP ETPVVEPGNVPGGGIEARYAASGIAGTATLTSLQAHQAQSAAAAQRLAQYGHQAPPQR PWTPTEAPDQAPSGQPTVYQGANVLSSPEPRPGATSAPPQQQQQQQQQPPSSHQPQRQ NQGGGDAPAPLQVSVLEQDFQRMGTKTPPPAYSSVNPNGSSAYPRDKQQRPQQAPGIV AAATRPQTAPPAGAQASAAPPPSSSASPPPAKPVAPVAVAVAAAAAAGLASPALQHPG HPAFANDPRPDPNGQPLQSPSPSTISLQTQTATPASPPPLPEGWIAHLDQNSGQYYYI HLATQATQWEFPKGPNPIQHEQTPLSPTASTYGNPLTSPLFGKQAMGSPLFAPHTPGY AESIMSVASHAPTAAGFSGPPPGSGVDMYRIHPTNGVYFGPYLRYVNMDVENGVWLGS ILLVTDAPQPPTIHVHLSVDLSPNPKQLQPRPICTHQRWTFYRYDLELPMSECGTERW TYAVTSHLGCTRYEFVVAGRYETGWRFIAHSGNDFAAGTSQNERARLGGVGFMWKDVL QKNVDCGGFHVQLGLGDQIYGDRLWREVPLLKQWLAMSGRENKKNVQWTARHEEDVSH AYFHFYTSHFDQPFLREAFAQIPHVLQMNDHDIFDGYGSYPEYMQSSAIFKNIGRIAT DMYLLFQHHTTAEIMRHVRTDHDVFTITGTGWHFVKYLGPAVAVVGPDCRSERTQARV MAGQTYQGIFPKVATLPPSVQHVVWMLSVPLVYPRLDAVESLANTVAAGKKAVNTTYN ILGKVTSSVAGVVGGKEAVAMGFSQVKKAVGKGGLMGNVLNHFGELDIQEVLKDMWTH ETKDLERTYLIRTLQGIAQQKGVRMTFLSGDVAASGAGLLHDPTHPNDHKTMYQIISS PIVSAPQGGYLLKMLHNQKTLYVPRNGHKSTHEVSDTKEDMMEIFHTDASGAGREMKK LMGRRNYVALVAFDQEAAAAASQGPFSPNPSIGSGSQHGLAKLSLAVDFVVQGDGAYT ATTKYGPVIIPHLEYGR UV8b_03975 MDTAQPAFAPLEERPLKDTICLFDVDGTLTPARLGASPEILATL AALRQKCAVGYVGGSDLAKQQEQIGQPAGVPVTTLFDYCFAENGLTAYKLGQELPSNS FIRWIGEDKYKQLVSFILHYVADLDVPVKRGTFVEFRNGMINVSPVGRNASVQERNDF EQFDRGAGVRKKFVAALRERFPDLDLTYSIGGQISFDVFPRGWDKTYCLRHLEQEAQK PGGVAYTKIHFFGDKAFEGGNDWEIYSDPRTIGHAVKSPDDTMRILKELFDL UV8b_03976 MPADNVRGSRPLRSHPFVATFCLVGLMSAYSFFRLPSQLWIGDL RHPVGDGQGPSLFARAEQLECSKVHSALDKCAFVRRYCQGDDAGLLPYLEWYYCSSDQ ARPVAFVLLAGWLGLLFTTIGIAASDFFSVNLSTIAAILGLSESLAGVTFLAFGNGSP DVFSTLAAMSSNSPSMAVGELIGAACFITGVVAGSMALVREFRVDKKTYARDIIFFIV AVAFSMSFLADGQLRFWECWAMVGYYILYVVTVVGCHWYSTRRRRLLRREGEARSHYY GMAGPADDELAGEPYRDEPEAAQDGSLHDSRRSPIPIHDTLTPERGPGTGSATQDGDI ENARSTADGSDDEHDRLVAAEVSRSMRVLRPARRKSYALPPIRPSLVGAVEFRSALSQ LQRESTLQLSRIPGRSYSDHQISGRPRRGTTSEVSEGERWETLSIPGGAAARDRAYSC AGMPPTAPAPLPDLMDEDGLADSNSLFSNTSLAVPGPTPSTRSTIPSPPFTVGGNLAP PPLGPPGTSGGGKTSHAEQRRGDTAGPIKLLIPSRRSSQSDASSTNSPFPHCAESPNV AMTPNVTLERAADLLFPPAGVCRRDNSFPDVQAPGTAARRPVRWWPYAVLPPPHVVWA TLFPTLQGWRDKSIWDKFLSAISVPSIFLLVATLPVVESDTSEDSDDGFSTLHAGGGC GDSRHVAPAISVGAHEAEAEGEWERYRRHSRRASVVSAQPCSSQPIVCLETEDTAVEP QGAGAGAGADEPSLAKALSVMASTSHEDEERPSWNRWLACLQLFTGPLFAMMILWANM REELSSPGRTLLKMTLYTLVLSLVLLAFLFVFTSEHKRPRYHFLLCFLGFVISIAWIS TIAGEVVGVLKTFGVILNISEALLGLTIFAAGNSVGDLVADITVARLGYPVMALSACF GGPMLNILLGIGIGGVLMMVQKANKKHAKHPTRPIEYGPYSVEVGPTLMISAVTLLIM LTMLLVAVPLNKWILSRKIGWGLIALWTASTVANVVVEVTGVWKES UV8b_03977 MPRVAQVPRAGGVKSTSKSHSASPFKSPIKIPLNDDAHERGQRM HSRKALHEKQINEIKAAATPRKGSLRLDDLENASPGSALGTPRGGRIGELADEVMVGG VSVTPVKRVPILANFEEWMKMATDNKINATNSWNFALIDYFHDMSLLKEGDGVNFQKA SCTLDGCVKIYTNRVDSVATETGKLLSGLADSSSSSSSKKKDREDAEGEGDESGDDLD EDGNPKKKSKKKAQRSSEATLAPSFSSLQLKKFELEFAVDPLFKKASADFDEGGAKGL LLNHLMIDSQGRIVFDSSDDSGDVGPVGKKKEEDDDEEGEDEDGDADQADIIAQLEDG LAEEVLAGEEEPAVEIDLVSLGQRFLPALSRLDELDVCPSLKSFDLGDPSGSMDIPFL KAPEDWKDQDENHAVGGDDSGMLIDDDAPAGFDDDDDLGLGSFDMGGEVAFGEGGEAW ARDAALEPQMRVYDAGVDDEAAEGGGFDDNGEYIVSMVKPHNADKMHQDILGFFDQAL RKNWSSAEHWRIRKFKDATKPSGEVRRRKEKEPFEIDFAAPLETAAANAIYTQASSNS AISLPKKDWKSKSRNLIPDDKHFNSRSLLSLFLKPKARLSRRRTTGGFGPRIGGYRHD DDAHAGHDQAPMEMDEAFWAKQKAPEDTVLPEGNYDANFFQDDGLPFPGGDDADDDDD LEFADAREHLSPDGDAGMTEAGGMTAMLGGETFTNAAFGHTLVTSTRRIRPEYVNYAR KATKVDVRRLKQEIWKGMAFDQLEAPPHGNQATQQPPAEDEPDQKPQPPSHDTTLKFT HVMNALQSVYPKTAMNDISTSYCFICLLHLANEKGLVIDKSDGLDELSIRKDWTAELT EGG UV8b_03978 MTHELDCIFADLGLTQYLDAFIHQGFDAWDIILDIQESDLDALG VKLGHRRKLQRRIANARGIAPSASLASSTKSGSDEKQDGLSRDSPRTDGTSETNGVTK RKYRRHPKPDENAPERPPSAYVLFSNKMREDLKSQNLTFTEIAKLVGENWQSLPPAEK EIYENQANSAKEKYHQGLTAYKKTAEYRKYAQYLHDFKERQSRQYKGQDASKRSKIEP PRLRHGSTSSSAPPTTTNSSASGSSSERLQGSEPPPTRRERVNSSASVAGSQYSSAAP TPMSGPNSFDDAALSPRIAQFDNGSPTDPRHRHSRHPSSLRGDARPESVHQHLPSLSD MLDVRQTGVSHHPHPAAAPEGLSYACSPTGGASLARPLFGGGGPVMPVGRPPPLRHES SSNSTSASGSSGSSGSSGGSVGSYGRSIGEGPLPIHALLSDRPDDAMSNFERRLSPVV STNEPSAAGKNNMAMGFAHGPSGYGFQSDSSPFQHMKVEQSSEGDVLMTTDETLPRPK LSRGGKNRLDGMDALLRAGEIVGRNVRR UV8b_03979 MTSNFLSAKANYPLDDAINVQCSALQRGSRWVGGSKISCLTHER SGMEGDGMLERSKLMGRRAVSKAEKFGDRGGSDKVCVDDTSELARLGYFRPKSKRWVW QVTSLKVMKTKR UV8b_03980 MPQDTEHLAQALRELAKGEQAAARLETSLAALEDKLDAMLAAVE GRPAGGEAVQQAGRGDGEVGREGGRVSE UV8b_03981 MVVLDDSMLVAVTEVVASLAVSPKSCPAASTAIASRVTLPGPET AAKRSLEVELQKLILRVGQLESRACASRTPVLPETPNEVNDTLFGHDASPAASRGAVS KSKLTHAHQGSLDSPNLLPRQLTKEALEGLREHVDDQSKLLDSQRQELAGVNAQLLEQ KELQERALAMLEQERVATLERELWKHQKANEAFQKALREIGEIVTAVARGDLTMKVRM NTVEMDPEITTFKRTINAMMDQLQIFASEVSRVAREVGTEGLLGGQARIGGVDGTWKE LTDNVNVMAQNLTDQVREIASVTTAVAHGDLTKKIERPARGEILQLQQTINTMVDQLR TFASEVTRVARDVGTEGILGGQADVGGVQGMWNDLTVNVNAMANNLTTQVRDIIKVTT AVAKGDLTQKVQADCRGEIFELKSTINSMVDQLSQFAREVTKIAREVGTEGRLGGQAT VHDVEGTWRDLTENVNGMAMNLTTQVREIAKVTTAVAKGDLTKKIGVEVKGEILELKN TINQMVDRLGTFAVEVSKVAREVGTDGTLGGQAQVANVEGKWKDLTENVNTMASNLTV QVRSISAVTQAIANGDMSQTIDVEANGEIQVLKETINNMVSRLSSFCYEVQRVAKDVG VDGKMGAQADVAGLNGRWKEITTDVNTMASNLTTQVRAFSDITNLATDGDFTKLVDVE ASGEMDELKRKINQMISNLRDSIQRNTQAREAAELANKTKSEFLANMSHEIRTPMNGI IGMTQLTLDTDLTQYQREMLNIVNNLANSLLTIIDDILDLSKIEARRMVVEEIPYTLR GTVFNALKTLAVKANEKFLDLTYKVDSSVPDHVIGDSFRLRQIILNLVGNAIKFTEHG EVSLTIKERDKQEEVGEGEYAIQFVVEDTGIGIAKDKLSLIFDTFQQADGSMTRKFGG TGLGLSISKRLVNLMGGDLWVLSEAGKGSQFHFTCRVRLAAGGTEGILKQLKPYRGHQ VLFVDKAQSSSGSDIKEMLEQIGLHPVVVDSEKSSALTRLKAGSGALPYDAIIVDSID TARRLRAVDDFKYLPIVLLAPIVHVSLKSCLDLGITSYMTTPCTLIDLGNGMIPALEN RATPSLADNTKSLEILLAEDNTVNQRLAVKILEKYHHVVTVVGNGWEAVEAVKEKKFD VILMDVQMPIMGGFEATGKIREYERGMGTHRTPIIALTAHAMMGDREKCIQAQMDEYL SKPLQQNHLIQTILKCATLGGPLLEKNRERELAIQADAKSRNHKVAASQGFLRPALES RAMTSREPLQSQEAAASPPALAAEEEDHWARQRRDLSEMRSISG UV8b_03982 MSTASRQSWTPSRRVKSASKDSFRGRTASRAVRHQHIVVEHEEA RSFALRTAYLHYLLQPRAKRKQYVPAPKPITRSHTSVVQLVQEYIAGGSTSLKLPSGF ASQLLDRVGGVIRGSESLPGFNDAAVKRSFAEAYTAFSDKDFQKTLKERKFEPLILIF YSSATKAAQKSRAPDDDSWKLLPDRHLALFVRLNISILRNHGHDRDRAELISRLSSLE NKLLTNDQNLVENGQDKRGSTIEVVVPLSYDVKDMPMVQVVASIFGMSLSDVQSEINE HRQAWTEEAALIDLKSYQHRLNSNMAGALCSQDFDVEDAFSEWKKSEAPHLSQMMLDI LTAKPELAKRSTASLEKSLPGRPQSLYSNDQAYADLSRAISAQDGGSSLSLDTSISLS NLSLGNEAGGLRAVEEAIYTFIPPDPREFYKYILQHAVTYDQLHADPASDYQPLSKQS MELLTELCVRWRVPQSSRLITLLEVAARKFLDQEIVSDELETVFELVKSPQPEMKKAP HITNHSASLSEIDPGRWTLHDFAVYQQTFRTLYDALLRELYDLMGQCYASKPPNISAV MVLLKEHIEADPTFSPRPGAAAEFAEHLTHGLCQTANDVYRDSMQKEIPAQKEDWDFA HVISLGKSTTKLCERIRKRYRNNKEIMGVDAFAILVQEIFPSFEKDASVIIETILNTA KGAGHEIPIQDGFELYNELVEIRRIHLESLSNVPFEFNIENLLVDFVWRWIHTAEAKM TDFVEQAIKHDQFHVRTQSPEQIALDSERHSVSVIDVFMLFNQTVDTVFALQWDNDEH HARFMTALARSISSGIGQYCEIVEQCFAKEMDRPSAEDLAAQNQSTQEKWMQYAKEAW SNKEKAQPFQFYPESFVKLNNVEYAMQELDKLEKSMNAEACAALLERLDGPKKKVRKP SKYTFTIKVVEAEDLKPCDPSGYSDPYVVFGDEYQKRLHKTRIIYRNLNPRWDESFDV TVQGPVNIIATVWDYDTIGDHDYVGRTSLKLDPVHFSDYLPREFWLELDSQGRILIRV SMEGERDDIQFHFGKAFRHLKRTERDMVRKITDKLTTQISATLSRETLRGLLGTGGIG ASVTSLWKKRTSSMPVATSSQIEGALTRLFTYFDENFAIMKQTLTEATMIAVMTRLWK EVLMTIENLLVPPLSDKPSTKRALTRKELDVVYHWLEMLFAFFNAKDEHSGEQLGVPA EVLKSPKWHELASLNFFYFEDTNSLIRESERMAAATAQRAQQALLQQPQQNRMSAPAS LGATFGGAGAFASMGTIKRGKTIMMSRNLGTMRKEKEAKRREAQADPSDDMILRILRM RPEAAHYLKERHRQRERQAATAAAALIVKNSVSQGWHTGGVGGGQMGGRSNLPRR UV8b_03983 MGNVTSRPDEGSAALYLRDQDKLSISSLVVTNPRKRSSLSIVPN AFPASKVTVSRLPGDPSPVEFVQDPEISNSPNGGPNILLKLSSDDELIFTFTFVIRQS HQLVGSEAKPATVDTNISGLTFVCGSRPREVANLVTREFHADPNLHKNANVDLVGDYG TSGSPSVSFEWTWKWKPPRPVEDKGGGWRNSCSFVEYDPRAHRLDILASFSYWVSSPG SPLSHPSSPSPQFYLSSPPKIRVASTQSVDSRLTGIDFDEPGSPLISPSDNLTQTTTN TTQETVKVDVLCPRHDDDVNVSDDGPVFRATLKALEQKTGNMRTQMKKVLKRAEQAHL QQTEANDAFIAFMDALQEASSTNANAFQPALEHYFDKIARDVLLYERQNTANLQRIII DPLNKLYQFDIKQAESKKREFEEESKDYYAYVSRYLGQRQDSVKAKKLADSDSKYQNK RRNFELKRFDYSNFMQDLHGGRKEQEVLSHLTRYADAQTKGFLATAKKIEDYLPHLSA LSTEVQEATKEYEYRRREREEKRRQMEKPSTPYLEPEQAGPSSAVSPAATSNGTGGNT SDNELGRSDSADSQVKTAVGIGISQPAVPQGVELSRSPGSQGQSSIASSAGTKFKGFR DLEERDASQTTVIQRKEGLLWALNRPGGHVDPRNLNKQGWHKFWIVLDQGKLSEYSNW KQKLDLHMEPIDLRMASVREARNAERRFCFEVITPSFKRVYQATSEEDMNSWITSINN ALQSAMEGRGVQERASSSKSSGDSSLKRDIGSILTGKTQSLGHGGHSHHHHSSSTPGI PVRRITVGARPSVVRTSSSGYDENPDKLLQMVRNSDQGNCWCADCGSGSKVEWVSINL GIVLCIECSGIHRSLGTHISKVRSLTLDIKSFTIDIVEVLLLIGNRVSNMIWEAGLDA STKPGPQASREQRLKFITSKYVERAYVKPISATLSRYATPDETLLAAVKRNEIQQVLY ALALRANPNVLDKMRGTHAVWLALAAADPASPFPAQGVNATEADMKPVPFPVAELLTQ NGAEIPASLPAFPLGRYAQQYYEQKLGKGQATTGTDVLGSLPLHLSSSNDRSQREKEA RLQKRVSAGGRLAKSPIPEK UV8b_03984 MAPPRSEKSQLKRQRGSLPEDVTESKKPRRSDRLSSHTAAAVAA DKTPVVNKQHLPSPVTHVYNGESSDACQRSPPAPLVQANDGLTPRKTDEAWPQGQALS SPPEDTQPLSQYAEKHPALSDEVEDEVKEGVWGYLVSLHPKYGDKPLVLKKRNACPLP DSVAAANGKKQATNSRSAPRQAEETYERNKAKGPASGGYLIGRHPECDIVVQDGVVSN RHCLLFTENKGTDTVAIVEDLSSNGTYVNEAIVGRNQRRELEDQDEIAVHGKARFVFR YPQSRHTSEFLQQYTLLEKLGKGHFAEVYLCIEKATGQRYAVKIFTKPPGMEDRSKTE GLQQEIGVLMGVSHPNVLCLKDTFNERDRVYLVLELASGGELFNLIVMKKRLTEDETR KVFLQLFQGIKYLHERNIVHRDIKPENILLVDNDLHVKLADFGLAKIIGEESFTTTLC GTPSYVAPEILAESKHRKYTKAVDIWSLGVVLYICLCGFPPFSDELCSRDFPYNLSQQ IRSGRFDYPSPYWDSVGDSALDLIDSMLIVDPERRYTVDQCLSHPWLTQSGPNVNDST CGLVGGIAGLEVNRRAPVRERTLLADLNSVHVTTLVGVGTDKKAVKVFTKNPQRITNA SKERGPDHQRAPAEFMEMGGKGDQQLYGDDDSSVYPTGEAAARGAKNTKARAR UV8b_03985 MAAAKNKGQPQLLFVDGSFEELAKEMAEYLKSEEAVQLLSKDKV SKEDVLAKLVAASPGLSTVAEKEYTAASNLLIYLVLQSAESKKYLQTLCGNLAKVPVN SPVHGPGLSLNALTTVFNLLPEEDGIRARIFLEIVKFLRAHGLFDSLRPYLDKLGDWL ASWDAGEELERMAYENVAEAALEAGEEATGYEFILRALRTFDADDRDDVASEDAQRLS LRAVKMALLSNTYFLFQDLRSIPSVQALGDSHPVYAQLLDIFAEQDLEDYNDFNEEHE GWVEQQRLDYGKLHRKMRLLTFASLAAATPSREIEYAKISKALQIPLEHVEMWVIDVI RAGLVEGKLSQQRKEFLVHKVTYRVFGQKQYQELSTRVDHWRATLQNVLAVLRQEQVS AKAQKEREVQELERKLNNAGIGNHGPGGNRRGQNQQSQQRERTDNDD UV8b_03986 MASRVPLSCRLASRSLGKAWTPATCRAARPHRSYSSGASKDKVK FWPLLAVVAIGSAGYVGLVNRRKDMARSDPPQIAPPAKPTPTFSPADVTVVFVLGGPG AGKGTQCANLVDKYGFTHLSAGDLLRAEQQRPGSQFGDLIRDNIRNGLIVPMEVTIQL LENAMTDALRASGSSKGRFLIDGFPRKMDQAHKFEDTVCPAKTVLFFDCPEKVMQERL LERGKTSGRDDDNADSIRKRFRTFVETSMPVVDYYDRQGKVVKIDATPAPDKVFSDTR ARLTEKLGREF UV8b_03987 MPVSTPPKRQVPASTWATPAHAALRQPPASLKRRLNGIWDDGTW WCNCEPRSRAVRRESKKNNRNKGRLYWTCAYSSCKFFLWEEEAAVREPAASRGHDHDG HGHGLGDDEPEPLRPKTPTFTQRPLESYGILKTLTRRGSATKRSGGNMAFESSASATT ASFSSGLTVRNEPSRTSAAQPDTPGTKRKRHQRQTSSYGEFSDLDSDEERQLARLADR GARPTTPRAATATRDVFTTPATETRAIDVIGGLPTPSVSRILFPPSEAPRFKTVSFEE PLSTEAVVTPTKAPPSSHAHANAVSPPISPCNAVQDLTSLVMDLLRDQPVDRGVAGSL RKALDAWGRKTAGIAKGRDAARAAAKQKQARISELEETVRALQGKLKYQEEKMTETKR KIQDAYDVI UV8b_03988 MSLGAAHLHPLDKKLAAAASRGFLGVELYWEDLAAYSALLGGGG GMLAAAGAVSELCARLGLRVVSLQPFRDADGVASPRLREALLAEFREWLAVAGALGTR IIGVVPATLPPPRGLLPADCGAGAGEAAAAALLLRDLAALARPLGIRVAYENLCFAAH VREWEQAWERIQLARADNLVFLPDTFNLCGGAYMDPEHPSGRRDGGDALLGQKLRGLA DTVPPASMPLLQVADAEWQPVPLTADHPWRRDTGFAPLMALSRNARQFPFERGGYLPV LSVVEAVVAAGWSGWVSMEIFSRTTAKAGDDTIREHADRAWRSWVKLAKRMGWNTRPK LLPGDD UV8b_03989 MASSMALRKLASGSVVLSRLATSQARRAFSTTRPVARVVATSPL RAKEASPHVSTKYNVIDHEYDALVVGAGGAGLRAAFGLAEAGFNTACISKLFPTRSHT VAAQGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASIIELEHYGC PFSRTEDGKIYQRAFGGQSQKYGKGGQAYRCCAAADRTGHALLHTLYGQSLAHNTNYF IEYFALDLIMQDGECRGVLAYNQEDGTLHRFLANNTVLATGGYGRAYFSCTSAHTCTG DGMAMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGARGEGGYLLNSEGERFMERYAP TAKDLASRDVVSRSMTMEIRDGRGVGADKDHIYLQLSHLPAEILAERLPGISETAGIF AGVDVRKQPIPVLPTVHYNMGGIPTRYTGEVLTLDEQGKDKVVPGLFACGEAACVSVH GANRLGANSLLDLVVFGRAVSHTIRDNFKPGEKLKPLAADAGSEHIDVLDQVRTSDGP RSTAEIRLAMQKAMQTDVSVFRTQESLDEGVRKMQEIDPLFKQVGIKDRSMIWNSDLV ETLELRNLLTCASQTATAAAARKESRGAHAREDYPERDDKNWMKHTLSFQKEPHGKVD LTYRGVIATTLDENECKPVPPFKRVY UV8b_03990 MARDTSVTANGEDVAVPTTVQAGSETHQLPIRQISSNPNPLGQT LTGKQEHYLKRELLSKQAQWEINELNSPTALQRFGAPFRSEHGEVPPQESELPILRYI FVKHVRDFPFLDKAKEKEFWQDKLQVFLESFAGKQISSSEDRLEETKRRKLAIKAQKM VELMMVSGIGTSSGFEERIRFSEIDIVDSNAIDTGVIHSIPEGHYINGWDVNVAGVRL ISVKRNIRHHKHAEFLLRIKRKGELEHFVGRRYGDFSKLYRRLRTELPGRILPPLPKK NKSSTTASGLWTSWSNADESDGSSVSSVSTRMTGAPAPAGGVSSLLSPAAPTNRRPGS TASARSIGSKESSRVSVDGKQRNVVQSNKQDDDDDSVVLWRESQRVSLRSFLRSLLHN PQIANTKAMLEFLSGEPMTPTDEDVDDILRRKAVDEKRIEEQKQFYEIARKRAAELDE YMEQFRRDIVEKNGLTMLFKEIKEKETIQDLSLQYQKFAEWLRIEVAAVIYHLFLAED NSPELFAQAKRIHSLIPYAVLKNVIRIANPAAVMSGVLDIFLAQPFGARSLMQRIFSL TLSDGIKSFQKSIDALTNKIADPTFTDKLKRYTDASEEVKTCIRSEAENERVDLIVAI LRSDLIEPELTGAQVQRLFNAYVAFNNAVENVDEELRQGAQLFSYLKQLLKLCIRQRD KAMMLQLIEEPVTLKLFRDLFTIFYEPLVRVYKSANVYNSVTDFAVFIDDMIKVIDKC REQDASADPNQTVQAFIDLCQRHEHNFYKFVHEVHTHDNGLFTRLMGWIEGILEFLRH GPRNGTLNVNALFEGGVGAGTVDRDKAMEEINGLISWQEARKKWHHDKTRQKMAAEGQ GPNSVGAVAMRFDSSDFGLDGQDLQEMRLDIDDDESEAEAEEEDELDPIQAERRRRAK KQDRLRRSAGEPKKPSISEVHKLHDNFLDMLRDVLAD UV8b_03991 MSTRGTRGPDAVKQTCPSPPSSRHQIKRSLSELASSAGMLPRRP PQHRRQDSQSAHETEQPALSSATRAAHARSSTDMPVSAATTPLMSPNESRRASILGPR ENGQEEPEGDRDGARDAKLLREQQEASMRETGLKQSLAGLNSLSTAMTKRLDDTYYSV LEKMSALQNTVAALADLAVDSHQTCEAFAKSSCDLESDIIRQLGAAGRLEDQQRRISA LQARIHASRDRISALASRVDLVQQRVERWEQADREWQERTRRRLKIIWFVGTAVALVF TALMIAMHHAHGGGKPAAEQKAAMSPNIPPWLGASNADGRSETTEESGRRLLWKAPLT DPEQLRAFGEL UV8b_03992 MSDDQAPTLVAAGSQEKAAKPLGMRKNGKQWHAQKQAFRPTKGL TSYERRTKERAAMAQMKAKEKEMKDEKEEARQEKIRKIREKRAKKEERERFEKMAETM HRKRVERLKRKEKRNKLINS UV8b_03993 MATTQGFGCAADRAAPRLPGHSIDHSLNYSLDRNRAVSDMLDQS ASSGSEPRRPNPSFVFPAHAAAPSTYNGIPRRRPLSMVDVHCPPSDTATVPPSERSHG PAALPQFSFNPGASIPADPESIATLSPPITPNSPRLVPSPARPAGHGHRRGGSEFVGG SMREGNFIAVMSTSPTKSEGGFASPGLQPPRRTHRRGVSGAISTNDLPYLHSQPDFLM PRGNSAPNSPVSFHVKEVAPIPLDDQPPALPEPARPVPKQSIADTVDKASANDFSVPV PASPLQFEQIPRLSRNGRARVGFSDTLEFIPRPLSLVSSDTSSTVTALPGHSVSGSIS SIISAPSPLDRDSPALLTRSSTLENYESRPSTAGAILEGFASSKPADGGARSPRRRNS IPLLFDVAQTEANNSLTTPSPVKTCNKRWSFFGLDPFVNSAPFAKHRSCNSSSSDSLT KAVSKASSSDADSDSSDDEATGEDASGSSKKECKKTRKKNRVKGWAGSILPLRSSRKR SKMHAVRPPTPPASVGPADPEEQEKKETDVCGSEALLHTPTVIITESRTVAKDDGLKR RAEEDGAYPMIDLDAALGPFNTPLPQNPEWDAAQRAAGNAGRRRLHSAQGMKGFSGPG MHYHRRAESAPDLPPFDLGHAGGIHRFGSSSTMADVFEEDEEDDDDDEANDSEHHDVE NVDADGSEPERSGNPDGEATPPAMAISVSDASADAANESSTRHEARQAGCGTRSKDLS AAVHAAAHAERSESSLKDGVAAEESSSTMFCPASSLLSWLPADAQDSASSSPRLTPGY RELSTESGQVTAMNNAVAMPASPYSTSHASSYPSPRSPMSIDAQRISTAPSSVADGSS FHSLLMGEPGPEVRISADYDMPSLTSSTTTITRESTFIPMARMSQPSLGQQRPVSVSS AAFGHRRASLVSLSRLISTSHGERSKLSVEATLDNDAEAKQGRWKSSKSKRLSRIMQF WKPSKGSSPP UV8b_03994 MGLSPNDEHRPGVDEALNDCPSPGRDSTGDLMRQDSQPPPPKNK HERLPPLRRLYSIERALVALYAALPARQATILTSLPI UV8b_03995 MVAGSSSTTLVEKTRLWILAPARTGPATEGRKTRARGVHGRAFK PIGTIKQTKKIDEKRRRGQGIMAKLANATHITVVAAMSFYYQWLIHPDSRWAFTVVTH DSQYTFHAPILGYKGFNSYVQRRMDSTLRGSIADAYCDDIVIASSSFQLHILDLVDLS TRLIDPPTRPIDLLRAVLAKIT UV8b_03996 MTNLRTLCLIESNSNTTTYILCLNRSAVRTDIVIATLVRRSAAY GQLKNVILLLNTPHKLFDLRLFSIYLAEMQSANPTCLFWLVIKRNCSPFYNGCKC UV8b_03997 MGFLDPFTNAGASRPSKHRRDASRSRKKPSPRSRSSSRTRGASS AAEGLGGGPTGDSHYGKRNSSTGSFFGVGNLSRSSFFNFGNRSSYYKRSPRRGFMRRS YKRLRRLVRDLVHYAKRHPWKVLLLVVVPLLTTGVLGGLLARFGLRIPPVLERLAGLA SRAAAGDSLGLVGDAVRMAGDMGGSERRAGHAARASSVGAGTPHGEVRWERRTSYGSY GGDLYSGQRGGRGYRGDGRHRGDYPGGGDWWGDAVADFAKRWF UV8b_03998 MIDKLVGLAMLVAASVVFLYYTVWTLLMPFVDDDHPLQNLFPPR EWAIRIPVILVLLGSAVVGSFLGMVMIRSNRKKVAKAKAAAKKKN UV8b_03999 MQQSQYIVDGGKQGIVNNDKTCNSQCVVDSGNGTRPKPITDNGS TMQRQQSQRLQCAQIRRKFNHEPTTTAHQFTPIMKAGTDKQKTPDKNTCNSRACCDPA THACEFAAAAPVLSQADACPRRLAALL UV8b_04000 MPRKDKKNGKESGGISAVVTSQAAAKFSNGTSKGNHVNGGGTAA ASYQSRPASQASSPRVDTPEINRPLSSDHGNHLVASPLLDALSSTSASPNSIPNLTNE WAKTVMASSPGNLINLTSDSPPTQPSSYEDAGRLHNGWVAGQRPSASPSPASLSPPSH GHRRPLSYHSDNQFHLAGTPPKPTSAAAAYRRSSLYSQYQAASPTHPPLPHQPQAHFY GAQDAADVATTPQSGMKAGDRGFFLGFDKLPANHGFPTRAERVVLAGYEGGLQVYSVS KKGIELVSSLKGLRGGVHHAKILPWTVNDHNSFVFPLVAVVVHGPVLPTRPTAEEGNR KVGAAGATLDSSTTSPRSSYAHPDGFPARPAPPIQFYQTSVEVYSLKTKKLVDVLLQA PELPINMEVSFSSPLFQPPSPSGAFGVKADAGTIAVSSGVTGECWIYKQLSEPRRAHM YACTGKIWTRVQRSNRPEVLEESDKRNSSTVPPAHRPSPQLPILSLNGRWIAYCPSDP SSQVSLRANIAVPILGRAPGVSSMAPPHLPTPSAAVDLPISDSVVNKLMRETTQELIS GMKWVGQQGLQAWNSYWSQPPQQHAQQQQARSSSPNQWPNQRVSQAAESSQFPPTHGA SGTTTAAPAKDPGLISIVDVETLPASATVHPLATFSTPLGCSFVSLSPSSLALFAAST KGDVQTVWDLLRLHYTSSSPLQTTLSQHETIGPQVRQVAQFSRMTVARIVDVAWSEPL GDRLAMVTERGTIHLLDMPVSSFMWPPPRRRRKVASRAGAEVAEPSHSAVSIASGALG AAYQAAKPFVSRSRRGSSNAVSPSAGTTLRDSAAQGGRVIAASISHSLGKTGTAISQL RHTGENRVSLPPCVLPSAGCISWLRGRKSHSLSSIGRGLIRVFPCRTRVSSSAPGKKI SRVNKYKDIKMPLLPDDAVAPLVRQVVELGVADEYLELSEAEMEAENTLTLKPRRTQP APQNGPDATIPQAEIESSAPYQPFHTDRRVVLYEYARAEASSVLLACSTLEDKSAGKR NRKQKEEEDDEMSAETFQGLEAEPGPAPAPATAWTFGQNISSIQLDLGLPASNDEDLV GPDDHMALPQSAMERVMQYGDAEQIVVTTRRRRGNRQGDGDEDGFFEDDCEVLDFADQ RV UV8b_04001 MEPDGRNADGQPSHRSTRPMTLRATRAELAASARDARRDKLRDV QLRLGLPAAGAAPRPGRHRHHTTFVSIDLEAHEDQPGFPTEVGIAVLNTHKIDGVDPG PAGLCWWRFIEAHHLRVREFAGHVNRRYVQGCPDQFQFGASVIVPAADLVDALRVILS SYADGKQDVILAGHGLTADISCLDRIGLSAEGLPGVLGTVDTQALHQAWADGDRPRNL ERVLQDLCVRCSWLHNAGNDAVFTLRALIAMAVEGPMPEGGTQRIPQLSTMDTSEL UV8b_04002 MSSIFAIGAGAAVAAFLGRAGLVAWRRSRGGVGAMGKAFYKGGF EPKMTKKEASLILSLNERALTKDKVRKAHRTIMLLNHPDRGGSPYLATKVNEAKEFLD KQAN UV8b_04003 MPSDRQPAVHKVSRGGAGNFYPVDKADETAAEKDIRRQDPVVTA AAACRAQSPPNVRTGRGGAGNFVDQSQRPDAAEEERLSRQVAAAVSSGLGRNPQRMMG GRGGAGNWTGEKQQASAEQSQGEESKTEQLERRVLEAVEKGLTVPGRVHHARDKDVNR UV8b_04004 MQQSTSRPLTLQRPCLSNPLTSAIPGRQSHARNNSHTLLLPSSL NANHRVTRRKSVTTPGPSLAVLSAAVVSGEQTAAIPIANGGRRTSTSKAALARSSLVG SLPSPPASLPTHKTVPEGKQESQDSAIDDDANDVSADEAANLQGDRTRRSSDGQSPLK DSKRSNRVEVRCDKCGKSYKHSSCLTKHLWEHTPEWSLTSKLLISKHQQVQLLEAASV LVAMNGKEDSATPPESTKDSASEPDSPSPAASGYSEQAEHPSSADTTPPPMLEEAGFN RLVCRDGNGLGFSRTYRSAGSGSMPAGFAYGHFRQPSHDRRPPSSGANRTGEEDRDLA AAVELLSCSFNSNNASHSSTLTVPSDVPPVPPVPAQYLDQATSFSSAGFINSFPQRQP ESFTRGESRRGGEDVKMEESDDDFDMQSRARSDEDDDGVFGRMEE UV8b_04005 MAPTLRNARSHDAAPTSNIKLEDEAADVRAVAAAETDERSSKAV DAGSKLPRLLAFPLTVALSLALSTLGGLIIPQVSRSQLDSLTRTPDTWGEAAILAGWR ILELGLAWFGKLDSVEAGSLKMLANGPTYYLMMQFYGLNPWAALSAAVVDVLSVTVPF HLVLPLSTADEPSLRSWNRDLVDLPMLLLTSLLSTGIYTVTLVLSLRFLLPRTLVAYF NGIPTLEPAYSASYADALPAMLLLGFAASTFVFPPFAATGRAKEDAQIDEFDPVNATL GQTVWWNFWGYTAKEKVVIRRTAAAAALSGVGTYLACTRRMYGIEATGAAAYAAVWAT AAVLAGLGLGFVGRE UV8b_04006 MAVSVSVSAADLALASLADDDGGGGGGGGAAPPGKAAAPRADPD AQTAVTDFLDFTEYLPSDVLRSLTLIGKLDEAYTQASLRADELTAAWGRLPSLAAEER PSPVRLRADISEQLGRAMRSRAAARDEARRMCDNVGRHHAKAKVLLAKLRDMMAGYPA AAEEARSPAAAAAARSPQAVRAKPPAGEAAAAAPRRIRRHRVPKVTVPGEVLAPYEIE YDTASDDSDVSSSSSSSASSSSSSSSGSGGDADACGPPSGRRRTPAAPRIKLLSSGRV HKLGGRAGRPATYSSAAMAAAAAANAAALRNPPPEDAVIGSADAPWLQLTNYELAKLR KRMKKNATWTPSDTMVARELKALGRGPEEYRQARKKAEDQGADFDPGAPDAPVVDSDP GAQHLPPPGAVGADAEAAGAAGADETPAASSRGVKPNQPAKKRLKREALAKQAAEEAE ESARIMVRAAQLFMHNGKGKPEGGCKEASEAKELLPREEAQSPAKPRTSDPRPPLKRK RNGDGDGEDEHAHESGTATPSQPLPKRSKTETPVRPPHHPGMGPSNGLAADSSAIITI TNTTTSTTTNTNTNTNTNTHTHTHTSSSAAKTAANSTSHGVLPQGPATPASSAAAAIA IATQPKTPVPLPYPPQPSARAALSPTPNPANANGADAPASTVITTTAVPVKPPAETPV PLPRTDRRKSITTVAPPPRDAAKRETRGEAAKRTHHPSPPTALVPPRPQSRGQTPRLD HAPDAAAAAAAAAAAAAAAATGRRPGSRGKAMSQEPPCLAADRPRRASTARTTPAPEV KLAAKRAKRPAPGVVSTTNSGGSSAVGKRKAAPKKKARAAKKEKGQGQGQGLGPGQGP ETEMEDVDDEGNPIDPDEPRYCLCNRVSFGTMIQCDNVDNCKQEWFHLECVGLEDIPA RTTKWYCPDCRKLLNIGEKGEISARGIKK UV8b_04007 MAEKEATVFILDLGAPMAEKHSGREESNLDWCMRYVWDKLTDIV AANRKTLCVGVVALRTDETHNRLQEDDGYENISVIQELSNLTMSGLRALQASVKPSTT RHGDAISAIVVAVDMIDTYTRKLKWIRKIVLVTDGQGELDADDLADIANKMNASGIQL TILGVDFDDAEYGFKEEDKPSIKAENEKTLKALADACNDGIFATMAEAIHELDTPRVR SVKPYKTYDGALTLGDPDKYPTAMSINVERYFKTHLARPLAASTVVVKSEQASQSTHT LEVDPMDGVEFAAVKQARTYKVNDPDAPGGKRDVEFEALAKGYEYGRTAVHISESEHN ITKLETTKSFSILGFILWSKYEHFLNMGEVCITHARRNDTQSELALSSLVWALLELES YAVARIVTKDGKDPLLVLLVPHIEPEFECLYDIPLPFAEDVRTYQFPPLDKVITVSGQ TLTEHRLLPSDELSEAMGEYVDAMDLSAYEVDENGDPAEYAPIDELFNPAIHRINFAV KTRAVHPDKPIPETPAALLRFASPPEDLTEKVQLKVDRLIELADVKKVPPRAKGRRNR EPVKPISGLDVDALLGHDTNKGKISETNAIPGFKQVLGTANDMHEIEDASKQMAAVVR SLITNSFGDSKYSQAAECLGVMRNELIDMDEPGLYNAFVRDLKKSLLSGALGGDRRDF WFKMRWSRLGLVDQKQSEMSNVTQDEAEEFYKSR UV8b_04008 MPCTPSAENPFHRHPILGWQIMAGSIFFSVAPYHIVSYGTLLGT SFFQSFINGPVMFKTVERRVFSAVQAKLFPIYFGMQTALSLVLALTFPGNTWLGVSSG ISGLSNASCRWHSLLPITTMFVTGLLNLVVLYPAASKVMKARRGQAKRDGKEYHEPGP HSEEMRSLSRKFGVLHGISSLLNLGTFIAAVAYGFTLGSRLQSVVDRV UV8b_04009 MKIISRVVVHLISAITVVVVVLNSPLLLPLYGRIRFYSQGSLSL APQVEPIGIGGWVPLFHSALELHNAKSHKSLGRLCLGRAGMAALDCW UV8b_04010 MSEEIRATDTSTAAFNATSGTTNPTESVQSPPPVLGYGFPKPQG KIDVEEALNRKPLRWTFRGQVEANRARPAPEVLDHRREKDLEQAKQDMLEMQGALSQS NNFNSKSG UV8b_04011 MALSPKYITGDKSAINEFIDKFDTFLFDCDGVLWSGDHVFDGVP ETIMMLKAKGKRTVFVTNNSTKSRDDYVKKLSNLHIPCEKEDVFGSSYSAAIYISRIL KLPPGKNKVFALGEAGVEAELAAEGIPCVGGTDPSFRRDMTPEDFKGLADGSLLDPEV GVVLCGLDFHVNYLKLSTALHYLKRGAVFLATNTDSTLPMHHSFFMGAGSCMIPLEYA SGQKPLELGKPSQAMMDAVEGKFQLDRSRTCMVGDRLNTDIKFGVEGKLGGTLHVLTG VHKKADWDKKDAVAVPAYYADQLSDLKIVA UV8b_04012 MAALPALPSIEDCSNFAITVEPFLNQLYQLPERLVDSAGSLEAL KRVYVETNPLVTGFAASIVIAGICLIVSEVNRNYSQVDRLWSILPNLYIIHLASWARL AGLPHGRIDLVAVFSTAWSIRLTYNYWRRGGYNVGSEDYRWEIVKSKVPGFLFFIFNV TFISVIQSILLFAISCVPAYAILLSTRFEPNPTAADYAYLAVELALVLSEWISDGQQW TYQSAKQQYNKTAKLPRGWNQADLDRGFISSGLWGYSRHPNFLAEQMIWFVLYQWSCY ATNSPYSWTILGSGSLILLFQGSTRLTEDISAAKYPDYVEYQRQVGMFIPKSVWPYKT PSYQPKVIRGSDLAKRQQQKQKAT UV8b_04013 MKVLCVAEKPSISKAVAGHLSGGSLQTHNTRTQYIKNYVFDFDF GQSLGHCSVTMTCVTGHLTSIDFPSEYRNWSYPPPETLFNAPIVTNIYDDKKSIAKNL ADQAKYAQLLVIWTDCDLEGEHIGQEIVDAARKGNSRIQVKRAKFSNVERAHVLSAAR RLVNLDEKQVNAVSTRIELDLRIGCAFTRFMTNSLKSLGGPMENLVLSYGSCQFPTLG FVVDRYFRVKNFVPEAFWTIKLVYNREGKNVQFSWARNRLFDRMSTIVLYERCLAAKT AKVVNVQEKPTKNYKPLPLTTVELQKAATRLLGLSGQQAMTIAEGLYNRGFISYPRTE TDRFDKEINLKALVQKQTGDERWGPFAQGLVNGAFTQPREGRHDDKAHPPIHPITYAA PSVLNYDEGRLYEYVVRRFLACCSEDALGMRTSVDLEYGIESFSAHGLIVLEKNYLNV FIYDKWDNTAELPKFTRGEVFQPTEASMTEGKTSPPNYLTEADLIALMDANGIGTDAT MAEHIQKIQDREYVATIDRSRSTAGDDGSDGQSNPPSARGVPDGGRGRGRGARGRGGS NAVRVRQGGVRIFVPTQLGVALILGYDRMNFETSLGKPFLRKEMELKMKAICEGQTTK EVVLRESISQYRRVFMQTQEKLDVLRAACREFVFAQPVS UV8b_04014 MASLASAARDIPLASLSRDNLTVVAAWSDSATVARSHTLPTPPN SISPALPAHGLKAQLQKARLEPVDSDLDLQDNASSHDRSLSPTALESAGAITGTMLAK YHLPEILLNHGPLAIRHIMGYLTTSVPGFSGIPPTKARRLVVSALESRGGEGGDVNGN VEFEKVGWGRWDAKRRRFASRHRQGTPPYSFGSGIPISSKTGARGARGSFGADGFQFS HDGDDIHMLMETEADKMSLDGSASASCSEAPEENVVMNDDPEDVTDDEDWAAMGAAAL RAESYPSRAAIKSHSAFLSPNFSPVTGLRTFSVNSGMARPPGPTNLDFSTLAGASDAQ ERDAVEALLRLGSV UV8b_04015 MTSVQVSLAASNGADSKITSGASSASPNASQHSSTDDNLTCRWN HCNQVFTSPDTLYDHICDRHVGRKSTNNLSLTCQWNSCRTTTVKRDHITSHIRVHVPL KPHKCDMCGKSFKRPQDLKKHVKTHADDSVLSRSSQEHLGFNYRAQGPKGPSYYDHNG QMRSSGFSHPSHGYYAPQPSTGYGLYFNQPPMNTPRAENISYSPATAGGGYDRKRAYD MVDEFFGSAKRRQIDPNSYAQIGRSLLPLHGSLSVSNGPMTTTTTTEQYMPQHAPTMM HHGPAPTQNPLAQQYFLPMPNARTQKDLVHLDAILGQMQDTIYENANHATVGVQIHNG GDNNNNNNNGFGGYRHSHSPTVLQRASPTGLPVSADGYHHHSVSAGMHSPLTALSSTG TPAVTPPSSSVSYTSGQSPSPSASSGLSPQSRHSSMASSVMYPSLPTSLPAVNQGFGQ STTATLGPSFDMNERRRYSGGMLQKARTIPPIRRAEESSGRTTPKASDSAALSAGSPS SESDASEVTREREEQYDRWLENMRVIETLREYVRGRLDRKDFDAEEAEATWRRDNDAA DVEMKSPPRQFPSLREGSSSLYPRLPVPGS UV8b_04016 MIPALQHGKKQAASSLLSPAAVAPVSATALLAEEAEAEAAEAAE AAEAAEAAEAAEAAEAEAEAAAAGAAAAAAEAAPSPRAYLLPMSLPSSQTHGAFLGHS TAAHMQSSLVIVREQLMTFLPASQQQQAFLAAAGRASRTAGDDAGASGLGARAEPSSW YTTGASSGHWTAAHTQSFSSTDRLQLMTLREISQQQQASAGDWARG UV8b_04017 MDLPPLFDAVDGQTALSGPARACSAPAKRRRPAPSLTDCCRTCR LRKVKCSGNPGEGPCSNCARLDLTCGFTAAEDDDDVAISRTTPSYSHTEAGTLRKRAQ RACSQCHSHKTKCSGNLPRCKRCESGGLACEYTPAKRRFTNVRFRNPSAKSEPGNTAK ASTNPEPNQVKSARRGDAVASPAPGAGSGGSSPFALIANESNLGSEEMLVRRDILLRH VDAYMGYMYWLPCQGYLHPETTYREIQEGALDPTVAAAVCGITSVFVSPSDAGGEFGT KCSAHVEMYLFRNGDKFSDNLLLLFALSITFNLIRGEFAKVWQSFGVASRLMLGLRIN WDVGPRDDQSFAQQESLRRVAWHLFHLDRMLAGGYEEYISCRADVMRIALPCAEAAFR ENRPVNAERLYDKPGNHPSTINLHAYQLRLIDLRHRIQVTTKRLCAVGPATQSQLGAS KIMADINGLQNELTRFHMSLPPEILLSDQTVAKYMASTERCGYVFLHTHLAISHIDLY RFSLPGQRDKVPAEVLRRLPPEFIARSQKQAVAHAMCLGRFCDAIQTEVDQMRDTGRL ELAGDYSTFQMSTHCVRVLLVALQHELYRDITDVTTAPLWRSVEVDEAHIRFLVDAVQ RVTRPWCCILKVAQQAYDHNIALIEGFNKTRKVADQRAVETMFAGKPDSAHPCSPGPD AILESIAATGSPDEHAGRALSQRPSNPSTPWIAAPPDPGTALHQHQHQQRQQQPPGIP LFLAQARNRRASGALPPTADATSPLPDAQLAPAQLAPAQQHMYAGDGYADDLASRPYG QGCYAHPLGDY UV8b_04018 MQGFGQQSPQGIKEQPELASLDTLLRLPRKHVTTRHNTSKHAKH RLYTGSRLQTVPAAALHGKAASIIAATLGPMALLCTVRLFPSPAPKQMRRAHVDIGWL LYLNVAVPKHHPLGVLRAENILSHVETSPSRQSNASTAQCRLIIRGKQQKSVVDNNEK KERKKKGAVGLANSGSKMIKANKCYRPRDGNADAGEWLSFTMPHVRS UV8b_04019 MPPTFPNPHILSSFIETSYTTARSAMPSNQESAASGPWMSRFLG GQQPAIPSSPTLSRRGVDAPDNVINMMIILLGVAFCALLLASLFSFLVRRRFQRLRGA TLPTYEDVKQTRNPPSLTIETSHNGRSSVYYIGRDGQPMLQNPNLPPHSPDNVPQIHI TFPDEPDENGRTKSGRVLVVRVGDNATVGLEPMQEEQLPAYEKEAKGQFQTIDMDHIG GLKEKDRTLFQ UV8b_04020 MERGRIGRAVEKQNGPADRDNGPKRAETDWNRPGSLVGGRSLEP GAWGPAPAASCPETRDQTRGQMPDAAGQMPQARCRRPDAAGQMPQATSHKAETSKRRK EAGRYRIERGKERPIVDQPSNGAGTRQEQRETSGVNPLRTGVRRWTGFGAGDMGKLDA WCHLDLEGGKILDIVHTPYCKDETAVSTATAGGSGLRGMRNARVPRGNPQERRYQGRQ NPADGRCRAVHVILRRRRPRAASAKDLEIDSVDACKGEESAARLGPLGEASARRPPLL GEAATARDLTRGRTRPSWAT UV8b_04021 MPPGSSDKSQQPMGSAFPGSNLYPNKSIWTSSLSNARERSIGSK DSEGSPSGSSALAANSEADVWGSGSNPWNTESSTRSVSTSPNRCRDTAIPNGPGFFDH APAAIGVKKGGFGGSKIFADDPSVYGQAFASQKRPAAAEASYMDQLAAYPQARDSSLP PSRQTQGSPAAFPEYRRGHTPSNSIHSQRGMPSTAGPSFTNHSVNQRAFNLNKQIDDD LSLHLRGRLNMDHNRNNINNAAAFNSTPPPSQLNPGCQPWTDASSTAYDGSLKLHTES MPAYSVMKRGSMDRISPSAEYYLPSGGSSQNFAASPESWPARPSSSRDAQGNGAERCH VPQQLPAGFAPTPSFYPSQYSFPPNLPPQYAQSLLDLCGQGQPLRHNMIPAYNLGPVP PVYGIPTSLPPIRPSRDQDPGKGVRSALLDEFRSSHKSSKRYDLKDIYNHVVEFSGDQ HGSRFIQQKLESANSDEKDRIFREIEPNAVQLMKDVFGNYVVQKFFEHGNQIQKKVLA EKMRGRVMDLSMQVYACRVVQKALEHVLVEQQAELTKELEVDVVRVIRDQNGNHVVQK IIELVPRQHMDFIMDSVRGQVIGLASHAYGCRVIQRMLEHGSETDKRDLMLELHASAQ MLMTDQYGNYVAQHVVQHGKAEDRARMIDLVLAQLLTLSKHKFASNVVEKCLEHATAE QRTNIRELLLSVGPDGSLHLQSMVRDQYGNYVIQKLLGLLHGSEREMLMEEIRPLLNT IKKNSSSRQLQALEKLLETGPGGPATRNDGPSSHADDNSAADTPALTNETSSPQSSSP RSAHISAVGIPSEDDGKSGLDGDLGHLGKLPVSLTPQFGEEEA UV8b_04022 MTTTAAATTRLTFLYPHLLRAAGAPATPSAGAARWMATTRAKSS FAPRHGKAVEPTWAKTPQDEQPQQPRPGEAVSPAAQRAPGGAEPAELPRETSGGGDPE RQQAPQQAQAAPSPAQSQGAAGSGPGWGSGSGSSSPGTTKAADRQAEPAAAAAAAATH DDAPATPSLDAVLHMPSPEQVEHPHMTPPPYVHHFDSYSLVKQLEGGGYTHDQAVSSM KAIRRILGGNLDVAQKSLVSKSDVENESYLFRAACSELSTEIKNNRRLQDEQMRQQRT HLQHEVDILTQTLNQELLTLNDSVRGLFNDRNMAVREEQKALESAIQQINYKMSILLS SDSKSEIEGVRWVLIRRSVVGLVFLAILTLGMIRYTTYLAQQRKKEAERGRKERERLR RDGGRTDNSAPADAAMILSAS UV8b_04023 MALEPPPGPRATRLQQVYTASLTRTLDKLSYDNLSGCYPTIARR ASPLLRQVQAQMVDKLRDKSDREFAGILRARDVVRKLNHLEGLIADAEGRRKEAEAEA EAAAVAADLAADVKPPHRLPPREILNAHLDARLAEHVALLDARLQTTQAQNALLADLV RQQRGEMSALLAGLERAVDDVRGANAVLGPAADRLAGEARRDAGLGG UV8b_04024 MDPRKPLVGDHGQPSTPSPPPERRRYSMEEDMYYNHVMAAPPRR LSQPPAYEPSPNGSDEGEGEGEHQMLGRRRPARQTPGFEQSRHVHGADGHEPLPNYQS SVYLEGVFSKKHEIENTTKRAEDRHWHTVFVTLNGTALDVYTVKKDWGWGRSRDGPTI CPDNPPWVKRSKLEKSYSLLHADAGIAADYTKRRYVIRIRAETDQFLLSCIELTTFVK WLECLFAAIDVAAPIEDRDFPRDMSIPRIQRIRWLQGQSPALPGFACSAEQSRNEETS AATREDEGGAAAASAASAAAPPAPLPATRQPLHETAAHPPPRIEAVNRRLSTSSYPNV SVDPHTGKWFPEHRWSSAHDLLYAKLCYSNLLFRSPRKSNYIISKGKQWFVDWGTGKM VRVLPPTYGESDFFGPWQVIHTENPRI UV8b_04025 MEAITIFIATKLPGSMASLTTSTKASGSAFHGIAPRVLRGKVKV PSSAGVKRTSSEVGAALPPSRPASEYPQHLRSRQAYPCPTGSTGVSSCAALQCQIYRA KPCATSVSTLAGCINGHESLRDAGFLHRDMFINNLMFNADSANPSWASLLIDLDLAAR EPRVASSGARGITGTRALMAVGILLNEPHSFMHDLESFFWVLFWICIHYHGSGRRRTS KIFESWNYLDAGYLAVVKKKKKKASLRARPADLPRWQMPILPLLFEH UV8b_04026 MHHSLSADGCFRRRPSSSSRTCFTSPRTVDKDMATEESLQSFLH PPKRHLLRSLLGSVVARLVFTSSRLPTKRMPIVLYIDSCLLSPPGPRPMFASAANPLD FAPDSMRILSYTFNFWKPSLHRSIKIHPKQRCPIYAGAGPEIWLQFQLNNSTVQFITL EKPFLVSTK UV8b_04027 MAANGNFAQQEQYKAAEQAPSGGADSNAANNNLSKDEVGWYFVE QYYTTLSKSPEKLHLFYGKRSQFVYGLEAEVANVSVGRQAIQERIKALDFQDCKVRVS NVDSQATFDNIVIQVIGETSNNGAEPKKFVQTFVLAQQPSGYFVLNDILRYINDEEEQ AGGEVESAEPAETPADLQEPAPTAAEPETAAEDAKLDAKLDADAVDEKLNQTVTAQED TPVNGEENSETPKPVEANAEPAAAAAAAAAEAVDELVAEPEKHKETTVPSPAAKAKPE PAAAPAAAAAAEPVMPPKPMTWANRAAAAAGPRPVVPLAKTATPPAHAQTRAPAPAPA SAAAASSASAAAPQSPAAAPAAATTAEPAAKELSGWQTAGADSKRQKPQPSSANATEK EGTLGYVKFVSDKVQDADLRNALSAHGELVYFDINRQKNCAFVEFKTVEGYQATVAAN PHLVNGVEIVVEPRRIKATTYGGNTYNAGRGNASGGRGRGGFDGNRNASGSQRGNFSG PNRGRGGAARGRGGAQGNNA UV8b_04028 MPLPCIARRHTQSAHRRVIVMISKVRLWFMEETSADLMTKMKTL AVLKLNDSNHLEFAGALISTMLGKREILPIIMPTGKNTGSIGNKTTSTVQSLTVAAEA EHS UV8b_04029 MARHLSGDSVSLYTAICKPGRHGAGTVRFRRGSYCCCKMERSIR VQPRHLRRISAMASVYVFRWNASSPHYKPPGDGHAKPPERVLTARSNGSYSPAQAVGL ASK UV8b_04030 MPKSKRAKVVHLNQVSKKTREQKDKLFQNIRDAIPEYQHCFVFS IDNMRNSYLKNVRHELNDSRLFFGKTKLMAKALGQSPSEAVVPGIEDLTQYLSGTVGL LLTNRPVQSIVEYFDNFEPVDFARAGVTSPRDFTIPAGVVYATAGEVPVEHDVPLEHT IEPELRKLNVPTRMVKGKVVLGDENGQGEGYVVCKEGDVLDSRQTRLLKLFGVCLSKF RVRLSAYWSSASGQVTEIDPAAMEGVDES UV8b_04031 MTDKLPPNLLALFAPRPPLRWVDPPDYAPEKRKTAAIDGVAAFL PQLQHYKETDVYEPTESWLEARDRKKQEKKEAVQQLLTVAPKLYKPTEDPNIRGDAFK TLIVARLSYDADERDLEREFGRFGPVERIRIITDTHAHEKPNKKKKPHRGYAFVVFER EKDMRAALDSCDGIRIKDRRIKVDVERGRTVKGWKPRRLGGGLGGRGYTKSMPSRPGG PGGFGGGGPGGPGGFRGGFKGFDGGRGRGGFRGGFGGRGGGFRGGDSGPRGGDRNGFG GAPSGAPSGPGGDRRNGDRGFSGGFDARGGRGFDDRPGGGFREGGGRHGDRDGGRRTG GNMEPIGRRDGGYRERDRDRDRDRDRDRDRDRAARDFDRPRDDDSRKRGYEGGGHEDP RKLRRY UV8b_04032 MTSYLYVNIPCSQNRKKMTVKALRTVATGKNGVGSFILQCKKMD LHYCDWAGSSKGMNGFIKSLFPKFAAANPQVEFAVSPRPGKHPVIIGHYINGRTKPVC VRNLSPYEILKKMELVRDASGDKLKRTNKAVTSTNPSVRGIWSPYHGKGMIV UV8b_04033 MPRKFFVGGNFKMNGSVSSIKEIVNNLNNAVLDAEVEVVVSPPA IYLQLVRSNLRSCIEVAAQNVFDKPDGAFTGEISVSQLKDSNINWAILGHSERRTILK ESDQVVASKTKYATENGLGVIWCCGESLEEREAGKTVEVVTRQLAALKEQINDWSKIV IAYEPIWAIGTGKVATTEQAQEVHKAIREWLKSVSEKVADETRILYGGSVNEKNCGEL SKQPDIDGFLVGGASLKPAFIDIINCRKQ UV8b_04034 MIKAEMNLKMHYIIWLTVSALPWTLCSTSCERRIDERQLKSELC TSFKGYLESLGQCATYMVSIIQKQCRDTCGCSSDEDCGKGFVCTDHVCKRKAECHDNR ECDGRVCESGKCVPCTATASCGRPDAKCVDGRCVAATDPRPADCTKSTDCGEVQVCKD GKCSSCSTDAECGDGKLCSAGQCIPKPPTCGQPGFEWAQWRGPRSWGKVKSPPFAEFD PSAFKIQAPEHSGRTNSLIITDPRRLYGEAIATNLAAVIHQGFLLAPETGNFTFIFGQ ADDIALVWLGNLAYSGWTRANADIERTYIPPPGDETRTVRHLEQGTYYPVRVAWGDKG GNVALSVKIVAPNGTELTGQDGGYFRTEACDGSYGKFPAYGPPQ UV8b_04035 MVAEFVLLRFSLCLNYCALIDKHVVQGAEVIVAKGGEQHREDGL SRVCRIVALSTTAPRSVLDVTIGGLVLYGLFLVLARRATPYYLIYL UV8b_04036 MASNEVSDSVTTPQRLNQIADIARICGKMKEFLSKSTCTPNGEE FATALSKESGAVSVSTTKSQKAMSKEPTEIEAIGSGKAEEDVAAAPERATVSSKASEL PPGVQKQVTEPSINISLRNDSKETKASPAKSSSASASASAPAPSPAPPPAPLHIIQAA MSSSHTPRFKADGSRESWVVSSISSADTVHQDVATLGSRHGLDKACLFTAAANSQGDK DLVKAWLTKFLTSPSVEISREEFQAPDHIDCDINPENGTFLAPVIQPATLQRKPEGPC RNFNDIYWRQVNMTSELHIKKELKCRENLATALHRALDQDVSTVQPQPPAEPEEHWPS ANCFIRPAEASDFAAIADIIDAEAANAHNPQVFIDQKTSTQDIARIHEECRTNGRPFL VLLPAEEDFRDRSKWPKNSEKVYQEFVRYLATRPRAVPTIAGFAFISDYRHGITGMPC PGSQYLGKLNIIVHPKHREHLYGSALLDRILLSVCPFHQSKIDHTWECKDPDGIYEFP ATHNRRQYTQVYVECFGEQEAKDRWKTAFLKKSGFKKVGRLRNAVVSRDDTYHSRWLD MVLWECSVMSTSEIVFK UV8b_04037 MLSILRKARLKDKEMRVLMLGLDNAGKTTIVKRIMGEDVNTVSP TLGFIIKTIDHDGLVGSQRDVGGQKTLRSYWRNYFEKTDALIWVVDATDRVRVRDCKE ELHGLLLEERLSGASLLILSNKTDVEGCMDEQEIRQALDLDEIRTHQWHILRCSAMTG ENLQEGLAWVVADAKKRLFLY UV8b_04038 MSDEVSQFLEQVERLRGQQIEDDEARAREREEFLAAKRERQARR EERARSISPQKSSPANTPSPRSNRQSVHVSDSTRLGSPIRTSEASHISASTSVEQQTS PMLTGSLPAGEKETSVEAEANHRQSPTSPAKTPTLSWQQRRPPSRGAARPLSMVAAQN ATQRSLAETQGPASVTEESVGKDQIARALGSKDPSWFRQTPDRGQGSAAYRRHQVEDE DRLDMTSVGAQLPGLSIIDATSENSSERNHGLEAPPNSKLASPATLSSPPRFDGASDR PEEPRELPALSERGSPTRSPSPTKGLGGFVQSAMMKRSDSVKRWSVASPPGLTRAGSV AATRGNYDRSSAHKGARQPDTAGGSSATPTSSRPTSQHGEKQPEIGEVSKSSTQGSKA STIRETEREEGEGGGKVEDEEASVPTSPSKTMDPRRWSPTKSSWLESALKRPESPKQS PTAPSQPMWRDKAAMESNRPTSVSHKHQVSIGGLMRESALGNVAKSNPTGLGGIYSPP ANANRPAFGHGSKPSASHKPAGSDLAKIESEGKSEANLEKPAVQETKRTPTMSPPPIK PKPQTPPLKDFRSSLRQRPKEKEAPKSDEPEFKNVFGNLRRTKTLNYVASDELKSNIL RGKAALNITDGPRKSEKKDEFKDAILKKKADFKTAQAEGRGVSRTPTPAAERPFPEGL ARRAELGKPMTAAAKGSPVSEAHAATPAAKADSPLPIPGPKRIPSGSAVSARSPNAVQ VPAQSPSGDKLRRASTEPPSERVKSEPSKPANLPSEASRPLKLQAQVQAGPGGGKLAN RFNPALAGLLAKGPPAMSVNGGRGTDDSGESARPRAGESAEPSVPGPQLTHMTKNRAR GPRRKAPTGSMAGPSAFPSQVASKQPSFTQTESRVQEKMPEPEAEGESSALSDKTAVE AATTTITTTTATTLEQKQASLPIQQQVAAKATLRGRPLPSPVPGKESFESAKANTSAA PQISTRREQASEAAKMALSCSVKVSPEAENDTAPESSPKRLNVRRMSRFLDETSSKEA EQELARRLEQQRTGSRSPTKLVEPPSPAKSDRDCLPSMREPSPLFGVPSSPVSKSPFD KPATSGGLSSRSRPISGLSTGGLKSPSTIPSPARSPTKQAQEVFVILTDFFGPRRTRQ ALKVDAAQILMNRPETGGKVTSLGFQLFRVLGDGKKLPVAPQHERVLFDQEMYVCSHH STDAAGKKSFEIYFWVGDEVAKPAAEDAQLFVQREARSVGGKLVRLVQGKETAEFVQA LGGVIIVRKGSSNRFDSLATSMLCGRRYLGQVAFDEVDYGPGSLCSGFTYLITKGGSC YMWNGKGSDVAEVSCARLVGMDLTLTGELVEYEDGSEPPSFWDLFEDEGSRPHSADHW RLKPSYDKYCSRLFCSDADTRQQIFEISPFNQDDLSSEGIYILDAFFEIYVIVGSRAG SQYASFCNALDFAHEYGILASGMEDRPFVPVSTVVLEGIPRDLKRVFRKWDDGKSPTV TVKPTGTGLKRGKSLRVVTLTQALQALRE UV8b_04039 MARLADYLVALLAGYACLTLLLFAASRAVPRAGFAARALAAYAS LVACALFGVVASAALTLAGRQGIAQWATARAFRHVMALATGVVFDVDDPADALGSVRP AVFVGNHQSELDVLMLAALFPKWCSVTAKSDLKHVPVLGWFMRLSGAIFIDRRNSRDA RHAMAGAAREILTKRQSVYMFPEGTRSYAREPGLLPFKKGAFHLAVQAGVPIVPCVVA NYSHVFHLQSMVFRSGRIPVKVLDPIPTKGLSAADVDELTRTTRELMLKELLSLTESA QGRPVADEPSGSSSSVDTKSL UV8b_04040 MALSETLERESDYGSDFSPEDELFLIELASDNEQRMLNTRIFLT DLKTRNVVGQVILPSDASHDLQNGGAFSANDWPFEASLVPGPSNKPLCISCPGPGASV DADAGNSELKLEARAVQTSYPDKTTAEREANESETCQDDRSPLQKFRSYPRKPLSVSD LTAGAWCELKYWYTLTRLPGGRPTKTPAMQGGSKIHKQLEEQVHSTVQVNVLTKEDGF ALRLWNFIQGLRTLRDNGLTRELEVWGVVHGNFVNGVIDALTRRHPDPEHEEKFGEKE SHSGPKQTLLAQFFKPSGEATSSNPASQIFLSDVKTRGSMMPVSNSVLRPAEIQLLLY HCFLSDIAAGKLDFLKLLRRYGLDPDDTLSDDFMAQMEHVHGDTQQESATGWSESSTN RTCLGEESPSADDGGLLKYKTLRQLVSLVRHEVELTFPEGETSIGQILRVQYIHRDDG REIHVHDFPASSQALQAYLTSYMRWWQGERKAVGVEIEEAFKCRSCEFSADCSWRVSM AEGQIQKAEGNARARKKARKPLVYESDLGV UV8b_04041 MDGFVKVKLNDGHNEQWPCRTKPNQKTTILSLPAELHLAISQLL IYPDALSLKHTHRLFYHLVDTGVELKIDWLVSRRRLHLECPNDKACDLGSDIRFCRGS VAEAC UV8b_04042 MDVSKSDLGSLTATQAVELMRWNHVSARDYARALLDRIRERDPQ VRAWIYLNEEAVLEQADNLDRIPPEKRGPLHGVAVGIKDVFLTQDMPTRYNSRLHAND GNAAADSAAVSVLRAAGAIILGKTATTEFAAMTEGRPCTNPNNPKHTPGGSSSGSAAA VADYQVPVSIGTQTGGSIVRPASFNGCYGFKPTWGTISTEGVGRYAVSADTPGFCARS VDDLELLARLFDLDSLFAEPFAPMSIKGARIAFIKTPVWPAAGPGTRAAWDLAHELLA DNGAAIQDVELPAVFSNCASWRDLVIASEARAAFLPKYRQDKSKIHSDIVRLIENKAL PSRKQLLEAHDGIARLRSIWDRFATKYDIIITPSAIDEAPEGLGDTGTAAFCAMWTIL HVPAMNVPGFKGQRGLPIGLTVVGSRYSDMEVLRGGKAVGAIFNGQPS UV8b_04043 MAMQPTGWQSAPVARAEDGNRPDYYKVIGVCLAICSGLFIGTSF VLKKAGLLKANEKYNEVAGEGYGYLKNAYWWGGMTLMIVGEVLNFIAYAFTDAILVTP LGALSVVITAVLSAVFLKERLSMVGKVACFLCIIGSVVIALNGPKESSVADIQQMQRM FITPGFLSYAGVVLVGSAVTAFYVGPRWGRKNMLVYISICSWIGGLSVVSTQGLGAAI IAWIGGDPQYKFWFLWFLFVFVIGTLLTEIVFLNKALNIFNAALVTPTYYVYFTSTTI LTSIVLFRGFNGSSAQGICTVVLGFLTICSGVVLLQLSKSAKDVPDAAVFNGDLDQIH TIAEQEQPESEPKADAIRGTAAIVRRISKARLRMEAEELKRLHEEKMAESMSPIGEDG VSEYEWDGLRRRRTLVASMRSRATTSPQPVSPSIRAVGTPCSPHPPLGMSRFPTDEEL EEADRSTIFTTIAGTIRGRPRTSQTLPAYTDQYAIDKLRSPLRPVPLTHIVFPEQKPD DEAGAYYGPTREHVFDRQQQQQQQQQQQHAEHHGASGSAQSIPPTPPPHSARRQFSFN RVFRRNAGSTEEERIGLVKEGEVEGSPSFI UV8b_04044 MSRALELKQEGNQHFQSGDYVGADSLYSKAIIADPKNATLYSNR AMARLRLGYWDSVIADCQTCLSLTPSSMKAHYYLSQALLAVGDFESALLHALKAHELC VSTNDKSLGAITAMVLKCKKERWEHMDKTRSREARDLEVHLLALLAQERDTMLAGAQG DDLETSLIREESERKLDQMKDIFERARLQAAKRREVPDWVVDDITFGIMLDPVITKTG KSYERAAILEHLRRQPTDPLTREPLLPSDLRPNLALKQACDEFLDENGWAVDW UV8b_04045 MSDLVTAVLGLVALVMRQTNIFWIVVWMGGLETVHAVKTLRPER ASQPWPTSLVEQVKFFAWRCSMGDVHDPPLNMAWPDDMLRVIIGLAFAALCNPVRVIR QIWPYLSVLVVFTGFVWWNGGVVLGDKSNHVATLHLAQLLYIWPLFAFFSFPLLLPLA VNASGIGARLNSSEPGQSSTEQRCITATATTTTTTSSAIAESGQSGILQRRRPFKFHS GAVPQTQNQAASPSQLSPAPETTSWRFCTKVFIWAVYHLTILAASLGVIKYNTIVHPF TLADNRHYMFYIFRYTIRRGGGFRLLLVLPYTVCRWMIWSILEGRGHDWARAKSACSL VHPGPFISECDKKREAADAERTRNERGRDSELRDPLVSCAEPVPTSTALVLFLTTCLS LMTAPLVEPRYFILPWVMWRLLVPARRLPDDDIAQRGSSDGTSQRLLARAAVAVLRRR DLGLFSETLWFLVINGVTGCLFIVKPYVWRDETGQPLDEGRLQRFMW UV8b_04046 MVFSTPVRAAEFKSAYGPKYKYQPHINGWSKTTILRKSASFGGA AVVGLFFYVSGIPRVQQDVLQKLPLVGRYFVKEEINPQDNPF UV8b_04047 MDNYIDTRFERLEKALSNLIDSVTKYHPSTTQAEELKAADIDLS RGLEEVQTHQNNHLRIQKLRQSSALLDAQIRDTLNSLANTRRDIVTTHATTYPSDPYY PIAYEELLSYARRISKTTLPPAATMEGVATPPESQTPNPDSNPPSALTPSARTPSQPQ SPAPHGTPAQVTSEQATQQTATSLNTSLPEVMSQYLNPLSGQLFFPWPLEDKIRSGAL ASYQILVEQGIDPKGYDPATEEERKRKEEEERKAREEREKVEREEKERQAREEREKAR VEREKQREKEQEAWRRASLASGQPDGSGSSRPNVGVAEKKQFQFTNLDDLDDDDDDE UV8b_04048 MYSRDTLQHALCVAALALPQLASAFYLPGVAPTSYKPDDQVPLY VNKISPVAALQDYRLHSVLSYDYYHPAFQFCEPNGGPKYVSESLGSILFGDRIMTSPF DLRMLRNETCKPLCKATYSKDLRSFINDRIYEGYSLNWLVDGLPAGQQIQDELTGTTF YSPGFSLGEYQFPEHEQDEGKLSFNNHYEIWVEYHEVNGNPKQLRVVGVVVQPSSKAY TGEPDCSDSHPPVILEEDEKSQDVHFSYSVFWRKSDTAWATRWDKYLHVFDPKIHWFW LIDTAIIVVILVLTVMSILVRTLKKDIARYNRLDSIALHDLGSTSVVEDGVQEDSGWK LVHGDVFRTPSHPLLLSIFLGSGAQLFVMTGCTIIFALLGFLSPSNRGSLGTIMIVLY TLLGFVGGYTSARTYKAMQGEQWKLNIALTPVLVPGIVFAAFFLLDLFLWAKQSSGAV PFTTMLVIIAIWFLISIPLSVAGSWLGFRSRQIEAPVRTNQIPRQIPPVTTYLKPVPS MLIVGLLPFGAIFVELYFILSSIWFSRIYYMFGFLFLCYGLMVIVCAAVTILMTYFLL CAENYRWQWRSFLAAGMSGGYIFLNCLLYLVTKVKLGGLAGIVLYMGYSALISFLFFI LAGSIGYFASWWFVQKIYASIKID UV8b_04049 MAAPATLPALLASLTQSLSLTQEAAPKIASIEHPKDGISLLDVK NELLLSYLQNLVFLILLKIRNAKDDAADSHGLDESVRAKLVELRLYLEKGARPLEDKL KFSIERFLRTAEDAQRSHEQQAKPTTAKGSSDTSGSGSSEDEDEDDHAEGNEMDRQPR RLRGNTSAAPNLASMVDDATTRPDDGEDSRAGLYRPPKRDRAVMETGRARDGKIRKAQ RSTTMDEFVNDELSAAPMAEPSIGTTIVQGGRKMKTASERKEEAERRDYEETNFVRLP KESKKERAKKKKAAGHGNGMQFGGEEWHNLGEGVDRIDRLTKRKGAAGGTVRALLDKS RKRGFDTTDGPRGSGHGMEMGHRFQKKARLLEAGRRDRGKNR UV8b_04050 MPKERGYNPVQAQRKADKAKAIKKGKAENQERRNERLARKNPDR IQKQIDDLTAIAAGGGKLSRHEEQLLEGLEKELKSVSKARESLGDRAPSFGHAHGRDG PSGPSGPLGKRRRDSRGSSSDEDVPDDVRNIPMPRDTPPPIPKDVLDRWYARRRARRG QAKEQKPDDAVRRGDDATPKLAGPPPVQPPVQPQTVYEAKPVMRDLRQEAVSAFVPTA VQRKLKKSQGQGGLLEPEEADRLEKEGYMKSADAVAREAAGAQGSLAVTVEDAEE UV8b_04051 MDVHQVNSRVLHDETSAAEDDDGRVETAGPDVAGLEKKTTAVSS ASYEETYPEGGLRAWMVVLGAWFALMSAMGLMNSIAVFQAYTLSHQLKGHSEGTVGWI FSIYTFLAFFCGVYIGPVFDKYGPRWLVVAGCVTTVTGVVCMSFCRELWQFILSFGVL CGFGTSLLFTPCIAAVGHWFRRRRGFATGMASTAGGIGGIIFPLMLTSLFGRIGYGWA TRALALVCLAGGLVGILLVRSRLPPAADARAHPDFRIFKQLPFTLTTVGIFLLEFSLF IPLAYISTYAQHKGFDQQFAFHLLPIMNAGSVVGRALPGYYADVVGPFNVCLFSVLFS LAACLCVWLPLGHTAPGLVVFSVLFGFGSGTSISIAPVCIGRMCKTQHYGRYYATTYT VVSFACLIGIPTGGSIVGASGGDYQNLIIFTGAVYVASAVALMAAKVAQLGARNWLAA Y UV8b_04052 MAPSLDDDEHAYFASSPPPKALEEHARLADSFIRTHALAARRVV LVTSGGTTVPLEKETVRFIDNFSAGTRGATSAEYFLESGYAVIFLHRQFSLLPYSRHF SHSRDCFLDFLSEGPGGQVGWREGGDSAKVRDVLRQYRRAKEANMLLMIPFVTIGDYL HELRAVSRLMLPLGRGGLLYLAAAVSDFFVPPERMAEHKIQSTDAAAAWGRDGARDDA RDGDRDGARAGVQALAQPRNGASEDEEFDNFDASPRVPRSKRLVINLDPVPKFLKNLV DGWAPQGMIVSYKLETDPAILVRKARYSLDRYQHHLVIGNLLSTRKWEVVFVSPGRRD HWLRVPPPPPSMAEGGGGQTGWGDAEGRPLRADELPKEDPDTEIETLIIPAVVELHDA HQPGVNPPPGYARMLRAKDEGNKTVRRADARDLPGEAQAVQRRRPGKRVAIASRLFQT SYETRCETEAEYTKPDPT UV8b_04053 MGARVRRSKSPPSQRPAPLSLKQKKPNGTMNNGVEMRRKNTNNL DTSEGITANYVSRESFSLDDAVPKTPSATDHGFFELPRQDQRNFLLLVLLYFLQGIPM GLAMGSVPFLLKNHMSYGEIGIFSLASYPYSLKLFWSPFVDAVWSRKIGRRKTWIVPI QFLSGFSMLWLGSNVEKMMETTGRAGGPTVWAFMMWWFFLVLMCATQDIAVDGWALTL LSPSNVSYASTAQTVGLTAGHFMSYTVFLALNAGDFANKWFRSVPSEEGLVSLGGYLR FWGWTYIVVTVGLGFFKGEDKSQDEDGVWDVYRIMWNILKLKNVQTIIIVHLIAKIGF QANDGVTNLKLLDKGFGKDNMALTVLIDFPFEIALGYYAGMWSQKYTPMRLWCWGFVG RLGAAVFAQFTVAMFPSSGGVTTWYLVVVIAEHLVSTFSNTVMFVAVSAFHAKVSDPV IGGTYMTLLATVSNLGGTFPRYFVLKLVDSFTVATCQPPRKGGSANLKGPIITEVFSC AVQAEKERCEAGGGRCDLERDGYYAVNMLCVAVGIATFLWYIKPKVLHLQSLPLRAWR LGPLNDKR UV8b_04054 MQPNLYEPRSLPVMADQNAASDASQLDKEIAVLRKQAVLLRKTL QIQCSTILSSASITRAIRSSSSPAAARRSTPAAKLKTRSARQQAYMQQCIYRIAAPVT SFKVRDPDPNAVDGGHVLGLRFEIMSRGQFLRPYYVMLNRPFAASAHLRVHRHTVPPA VPLAGLAARFLPAPARGGGGGEDDGPAHQDLERFVRMLRREIVRYHNRLGVSADLRRR LGLQEAGARTPSPTALVELGIADIEAKQINLTWADERTARLVMDDDGKVVGFVVFGPD GRDWEASSAIFSPSDSVEDVTRKLEAYMNVNLQRNE UV8b_04055 MKSAVLAVAAAAAAVSAHEARSPLDLGVKVGNLVSIDICVGIDV SIPPALSLQIDGCPKNAPPPGRTNVWHPPHHVPMDDCDDNGNTEWHWVQPCGCKPETP HVWATSTVTETQYSTVISCAPTVTNCPARSHGVVTVVVPATTTVCPVAVPATTLATVA TSTGPASTGAKSDTSSTPATGAGTEPAATQPPHSETRPVATQPPQSESHPSASQPALS ESQPGSKAPPATASCPGCTTPAEAIPETTPCPKGATPVVVPPVGTVSHPPQGNWTQPP PSMAAAVQNSQKVGAVVAIGLAAVFL UV8b_04056 MHLQPTEKCFDNAYLSQGGRGRMMELDTRLEQLDDVFGSASASL LEHEVTDLHPSDMHRLETEHATAGYREGVTAAKGLTVQAGFDEGFSLGSAIGLRAGQL LGMMEGIVDALPSRRHEDADASTRLLKEAQNELTISKIFDAEYWAPDGNWTFEVVPSD GVQPVFSDVANAHPLIQKWSRTVAQQAELWHINPSKLDHDSGPHLDMAPIEPLLLTAP ARTEQPLDCKRGQKRCSADPETNSLKHGVATRDLNDKANATLGGQSLLHQRKPCQPPS PSKSKVKVYDPEREFRQLAGSEYLPALRLAREDNVTADACRNP UV8b_04057 MSNGRLDGKVAVVTGAAGGFGKGIADKFSREGAKVIIADISEQA GQAAAAELGAVFVQADVTKRNDWERLLNESLKAFGQLDIIVNNAGVGYENKPTEHVTE SEFDAVFNVNVKALYMSTSVLLQRYFLKENRPGCFIQVASTAGIRPRPRLTWYNASKG AIITATKSLAAEYGPKQIRFNAVSPVIGSTAMTHLFLGKADTEENRAAFVSTVPLGRP STPADVGNACCYLASEEAAFITGVNIEVDGGRCV UV8b_04058 MADLKLPFALADESLCRPGCLINGQVLDAKSGKRFHVIDPGTGT PWISCPDCSGLDVDAAVQSSHQAFQEYSKWTPRRRAQCISKWHHLIVAARQDLATMLV YETGKPLAEARAEIDYATSFTWWFVGEAERAHGHCIVSAVPGRRAVTIKQPVGVAAAL VPWNFPVALALRKMAAALAAGCTMVVKPSPETPITALAVSHLALRAGFPSGTLNVVTT SLDNTPAVAEALCLHPLVRTVTFTGSTRVGKIISSLCAANLKKSTLELGGNCPFVVFD DANPDQALEQLMALKWRHAGQACISANRLYLQRGMHDSFVERLASRTAGLKVGHGMAG GTTMGPVTTPRGLDKAEAMAKDALSRGAKLVLGTGLRAHSEGGYFLNPTILAGVTDDM LMSQDEIFAPLLGVSVFDTEDEVVERANNTSMGLASYVFTKNVDRLWRMFEKLEAGMI GLNTGNSSSAEAPFGGIKESGSGKESGKDVAVEEFLITKSGTLTVENHW UV8b_04059 MMTDWHQSCAPFRGYGAFVFACALRSVRKGVFILSCDTNSTVYR RHLPAPASSTQETFSVFPSRSACVKVVGGPSQIQVVVANPHVNGRLVNIGQRQQSPCR KSGQRKTENGGNRQRDDGMRQRHLRARVMLAEHRKPFSLHGPKGSKGEWPGLGRMEVK IHGGANGGSYELHTKDYSCRF UV8b_04060 MTAFVDAATRRRVLKVIVVSLLLDLISFTFILPLFPKLLEFYRD REAASPALDGPPTLLQQVLGGLNRYKASFSRPIDSRHDIVLLGGAMGSLFSLLQAIAS PLIGKLSDRKGRRAALLVSMCGNVLSVILWVAAVDFRTFVASRVVGGLSEGNIQLSTA MASDISDESSRGSTMAVIGACFSIAFTFGPGLGAWLSTKPLVAANPFATAAAFSLVLV VGETIYLYVSLPETLPSMAGKGTGQGKGTETRGKRQVRTNSHVLLNAVHFVFLLFFSG MESSLSFMTYELFEFTSGRNGKLLGYIGLVASLLQGGVTRRLSPLLSVRIGVVSCLTA FFLLGRVSSVGGLYLAATCLAMTSATVVTGLNALSSFEASEDERGSKLGILRSWGQLG RGLGPILFTSVYWWAGRAYAYNLGATGIAMVSAVALMGLKTPRASVKANGDANAKARA G UV8b_04061 MGKDDKTHINVVVIRCFAINIDTRHSHVDSGKSTTTGHLIYQCG GIDKRTIEKFEKASPEAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYYV TVIDAPGHRDFIKNMITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAYTLGV KQLIVAINKMDTTKWSEARYLEIIKETSNFIKKVGYNAKTVPFVPISGFNGDNMLTAS TNCPWYKGWEKETKAGKSTGKTLLEAIDAIEPPKRPTDKPLRLPLQDVYKIGGIGTVP VGRIETGILKPGMVVTFAPSNVTTEVKSVEMHHEQLTEGVPGDNVGFNVKNVSVKEIR RGNVAGDSKNDPPMGAASFDAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFSEIKEKI DRRTGKAVEAAPKFIKSGDSAIVKMVPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGV IKSVEKAAAGSAKVTKSAAKASKK UV8b_04062 MRLILLSDLLLYTTTMGITTKWHTAKQHFFRPSFVFCFFGESLL DSSMFSLPKHIMKNLLPCFSLHVPPWTK UV8b_04063 MIVRAARSPIVCLACRLRLSQRNGSFPLPHTAASALAFAAYQQR SSRRHASDLSPRGAESTEDFTLAAVRHQDEPATRAEAAARWQPGHGEAEGKSAEEQRA DSGPESTTAADSAHAPDPAADQDAYGMPENPAPTEERAGASRSEMKRRGGLPHDLVSR RSLGVNALGKPIEAIVVSAQKVKRPKKAIPALQEMQAPTDAPINWRTVYPPQGDESAL SDKVWDNIEEIRPKDGRAVGEREFEKMMESLVNGFTQEQLVTYLNLDNRPGGAGWADD ATPSYPWIRSQSPWSAAQRSHWHDLRNKQRQAFLILSAKWKLEVREHIQGLGAAVVWL QPKVFALVARRSSGILEQLRADYLDKSNNERISTSPQENRLGIYAPKATVSAVLTRLD EIARSIQTETVPVGMIEEDNLREEMLEELANLTNTALQLDQEHAQLSVSWLPVTDAPS KELETPPDVVLRLLVSKEMTPKYKQVQVLPETPSAKTTRSATVAHQRDKRSMAWRDKL RPWYRFTNPVGHATDAMTPALDFPRHVQLPRAHLASPEERVETVATFGHILHMEQGPR TAKSAAKRRTLCPVVPHPAALTHTTADAPAPSAQERSIILNFAADATAPSSSVGGAIP PPVRLRVPINALTDLSNFSFPRTSVLEAVLPWREIDILLPNESVDVRISQTRLLPLDA GQAPLQEFLRASEFNPLQGRLLTPSKTTFSIPGTWVSAEQCRPAPAGQPAGQPANLLV NLPYTFAGLEIHQAIDLDWKGHVLRYSSTEAGQHGGQQQRLSLASAAAAAPGSSNGGM TRDQLQSFLTLVEETATGVHFSWHEGHKLAQAVSSDRST UV8b_04064 MLPLQRRRRPSDDDDDVNDSDNDNDNDNDNDNDNDNDNDNDNDN DAEVESRPRQRMNGDDWHHENSDDADSQTAQTAGARADEQLAKKLVRYALSCEYSRTA IRRDGIKERVLGNQGRSFKRIFALAQKQLRHIWGMELRELPVREKMTLHEKRQAMKSN SQPKMGSGAYILTSTLPQAYRAASILTPSRIPTADDEATYAAFYTLVVSLIYLNNGEV TEQKLKRYLLRLNADRNVAGDKTETTLKKMEKQGYIVKKIDRPPSRQDGDQAITWHVG PRSKEEIGLDGVMGLVKEVYAGSDIDLDKKLRASLGIKERNVPREEPSGAEPSRLRER GGVGGGGQG UV8b_04065 MPKFKRVTNQPQPISSPIIVITIIFIPALSEATPSQALKLLKFG PNLSPRMADKLRTQQELERLQAKYIGTGHPDTTSWEWRTNIQRDTYASIAGHRPLLAY VALAENEPIVKVRARMIRKMLQPVGPPPPRED UV8b_04066 MDAPSSHTMPEHWTELSAAKRQRKLCREQAEAIKNACRKDCGKD KVTECPKCYAKLMDRLRSRYSESEEREWFSQRRAFLHELDGLFQDAKDRRGSLKSIES RIESEKEAWYRWVLRRYPEFIAAVSDRGVNQEELRGMLDDPDRSRQELVNTMLEGIGK PPNWPLGVDEFAEKVEAATRDDAARLKKLYVSEFFTDALTGKALQSAESYLDEYSNSE TMMLEDVMDKIALDFQRSRSSKPQRESHKRRLDELKRAKTAFEQNKLQAKSLKGAQAA RPGPELYHLPPCLACGNPVLSSEVLSCTVCQALAQSGGEGQLTVYCSEACYARSHDGH VKSQHDCEAGEHCVQLHDPDVEMGDGTSRTVACNECLDRQEATLYCSDKCAAANIAKH RQKSHGAKTTANAAQALVRSLQPFVGSTLESKNPGLVMAQVDSN UV8b_04067 MAAASSFMPSAQAFRPSPSAQSPYPLNMSTAHLFEREPTKPVGT PFASTPTCMVRVLIRRLPLNTSEESLRLMVVWSKELSDVELLPVEKSEDEGFRSALLR FRTMAAAVEVKQMLDGRSNISKDAEMIVEVLPNSPPTARRHTTDQTAAAAVASSTASS ALAASRQAARFNGFQALDNSISPTSNGPFPAHEFIHPEANSHYQNIFSSQSPIGNHLS ERPRISGKSLISSDFGDDDETSDLLKDPVAYAESGATSQRRATAPQLPISRMAGLSLG ANHPPGPASLPPYMSPLPPANAPGPGLGYSANNHGYPRHTFPPVNPADQNPPCNTLYV GNLPLDTSEEELKAMFSKQRGYKRLCFRTKQNGPMCFVEFEDVSFATKALHELYGQPL HNSVKGGIRLSFSKNPLGVRSGQAPSQGANNAMGAMSGMMAGGSSNSFPPHGPPPGLA APPGLGSIHGSYSVASSMNTGGNRPYNNAMNGLAGGPSHPWSNSNLNSSVAAGPNGGM TNNSSYFPRHMMGR UV8b_04068 MAANPWDMTATIKQSPPVDVSQPYDASTMDNKTILVTGGANGLG SHMVRAWASRGANIIIGDVDDRAGEALVADLRSLYPSRAFAHVHCDVTSWDDQTRLFE AAVRSSPSSTVDIVVPNAGIIQASDSFAFENPRPPRDGGKLPKPSTKTIDVNVTGVIY TTHLALSHFSSSPNAARDKRDRCLLLVGSVASIYPLAGQAHYTMSKHAVCGLFRSLRM TAPLHHRGVRVNMLAPYFVERSRMLPKAADIAFLAGTAGGATVADVVDAATRLVADES ISGRCLAVGPRLRHAPPGELPVAPHEGAGQGRAAWEVYAHDYEEVDAFTRRYIRCMNA VTQLRGVLGWLVDVCRKLLGS UV8b_04069 MAPRSHVPASRELHVVVLGAGGVGKSCLTAQFVHNEWIESYDPT IEDSYRTQVQVDGRQVVLEILDTAGTEQFVAMRDLYMKTGQGFLLVFSITSPSSLNEL AGLREEIIRIKDDENIPMVIVGNKSDLEESRVVKRAKAFSIAQRWGAPYYESSARTRT NVDEVFLDLCGQMLRKDDDYLASIETDAKGSKFDAFRGGSKQKRRLKLRDKDNPRCVI L UV8b_04070 MNAIQDKCRPKHQVLVLKCYPKTSKGAVDVVPNSSELSYLLFYA TSRRSKIQKIGAFLEKKTANDVWRMRIGNVQVTLGILAAIVEKSPKDAVLIAPCVLKV LELILRSDDITMVESSLPTFEAFCQHHDLSSLFGDSAYLQQYQSVVQSYAQLASTRHV PAKGPVSKPLQFRWRNAGLEAIKHVAGSDALSSVSGRQIDVIVPMILENMWSADDRIF DTLLERVQMEGKPVSDKRLHRRSSIAAEKAAETSGDTNPLALSGTAVDVDNLAEEDTG VSATQCLKSIFVIPNRAQIYGATNALLKFISKRVAEGETLLTTDKTSGSDLGWAIKVY DIIARWAPVQDRYVILLATMDVLNQTPMREESLQRHLTFTAMMQSLLRSDVNLIGLSV MDVLLGLVRQIKKLFHLQHKGSSHDSSQSDERTDTEQETTPSRSQCAELLERLECCSS DLANHVYYADQVSDMIAALFGRIRHSRSTSIASLAGAAAAAERGEDHHGAEGTAAPPY AADLSRSQSLQETYFSHSNGRQSALKIIKSILLTASPKNGLGNNSNNKNNNNNNMGLS RNRVPIQAWEGTQWLLRDPIGDVRRAYVDALTTWLDREMARVDLIATDDFLTVSQGPG PGKAGREVPGARRVASSTCNRERQQRARRCQFLPLLHLAIYDSALQFVDYDNDMILLH GLLSKLVFKLGVNAARYGIPMVYRLQEDIQVLEQPIHKVRIASLCHGYFWALAEKFDF EASVVGRAIYNEISRRKNKGFWVQGVHIPAPTPMQVGPPGVARPHLQWNLGSLETEEI LPFDDRSSLVECIAASYRETNLSPPTSPAASPNRTQNGPVLGFNTGAIPTTRDGARER ECEREELPHQFRENMLSEWCRESALAAITAAGKAESVSGSKAGTTGTRENRLTINTGT AGPNGSSNGFWFGSPIESQQNARPQTVQTHGGGGLTPVSKLRNASMFGRLPPSDSVTS RMGVVASVEQLKTVLSGRVSRESAAGIAGAEDGSDESMTSCEYSMSDDTTSFNPAASS TEAPTTAAGVGEALARSVSASASVRGPVCSNTSTSAHEGGSCAAQTFYGGNNDEVPPV PPLPNLSTLSSRVGTQPSEFSGAKRNWSGHAVGGESFQSTCMHFRQESSKSMDLQELL RGIDSRWGEGSLGNSAKPPY UV8b_04071 MNPPRVASTYLGGPSGQTAELRQIMPPVPMPPSAGLDYRVDNPL LSPPSQPIGSSLLFWDSSLMFGVHDDGPVATDDNPPHAWPRNRPC UV8b_04072 MAAALRYSLVFYAPPSAVAACKAAVFNAGAGRYPGPGHYTECCW MAFGTGQFRPGGTANPHFGTPGVLEQTPEVRVETLCLGAHVARAAVAALKEAHPYEEP AYQVYKVEEF UV8b_04073 MSYGKKDEDADLGLVKVDRTQVFQEARIFNEGTVQPRRCRILLT KIALLLYTGDKFPTNEATTLFFGISKLFQNKDASLRQMVHLVIKELAKSAEDIIMVTS TIMKDTGGSTDAIFRPNAIRALCRIIDGTTVQSIERVMKTAIVDKNPSVSSAALVSSY HLLPIARDVVRRWQSETQEAAASSKSSGGFSLGFSSSNGQVSINNSTMTQYHAIGLLY QMRMHDRMALVKMVQQFGAAGAIKSPPAIVMLVRLAAQLAEEDAGLRKPMMQLLDGWL RHKSEMVNFEAAKAICDLRDVTDNEVTQAVHVLQLFLTSPRAVTKFAALRILHNFASF KPAAVSVCNPDIELLISNSNRSIATFAITTLLKTGNEASVDRLMKQISTFMSEITDEF KITIVEAIRTLCLKFPNKQAGMLTFLSGILRDEGGYEFKRTVVESMFDLIKFVPDSKE EALSHLCEFIEDCEFTKLAVRILHLIGLEGPKTAQPTKYIRYIYNRVVLENAIVRAAA VTALAKFGVGQKDQDVKASVKVLLTRCLDDVDDEVRDRAALNLRLMAEEDDETAQKFV KNDSVFSLPVFEHQLVMYVTSDNKEAFDTPFDIARIPVVTREQADAEDRTKKLTATAP TLKAPKAAPTKAPASGAEAAASASAQAQRHAEELMQIPEMKEFGNVLKSSRPTELTEA ETEYVVSVIKHVFKEHIVLQYEVKNTLPDTVLEGVSVVATPSDEDELEEVFIIPAEKL ATEEPGKVYVAFKKTNGEASLPMATFSNILRFTSKEVDPSTGEPEETGYDDEYEVSEF DLSGSDYIVPTFASNFSHLWEQIGASGEEAEETLQLSGMKSIADATEQLAKALSLQPL EGTDVPINQSTHTLKLLGKTVLGGRVVAMVRMAFSSKSGVTTKMTVRSEEENIASLVI ASVA UV8b_04074 MRSWKSLTQLTPLSLSLSLSPSLPVISPAWFRSMHATASQAAHV APILGTGPPPEPPTPAVRNTTERIERRRKQAHLLRQAKIIRNAKDGKSTTLRKRFWNS VSVEEVDGALQIFLDTRPLRHPQTKEIIQVPLSKPNLAFALALEWDTLTSAQQSTKQH LIPLTSLVCRALDIKDDDAAEHSEAGKIRNDITANVLRYLDTDSLLCWAPPAGRYDLR NDAGESLRDVQKRTTNDIVSFMTTHVWPGIKLEPVLDGDAIFPRKQAEGVREVVQGWV SGLTAWEIAGLERAVLAGKSLVAAARFIAEWSEGPAKRPQLSEDGQFGVEQAAKTVNL EVDWQVTQWGEVEDTHDVNNEDLRRQLGSVVLLVSGTGKEI UV8b_04075 MTATAPTSRSQVGRPRLSTWEFLKLAVKATTIGSLQKPWRFFFC FLLVPGMDDKLSRSKHPATRQKLLRREIQSLGDTGGSLLWMGNRTRAHRIVLFLPGGG YTAPALRGHFEWRWNAFPVPLAQAAETLGGILDSGISPSNVYMGGDSARGNLSVQVLS HVLHPLDGIRRVDLPRPLAGVFLVSPWLSNNVFADSFQRNNGNGMVSLRGLDRLGASL YGRELITAHKADILSGDYSAANPYITPLDAEDSGRHRRCHVQRVPHRWEE UV8b_04076 MVFCKESNRELLQSLKDMVQRDREAGEYVSPHFDGILKSLDEMS ARNDSRSRRGSVAESDTMYRPGRKEFEVLQAYNSLVNAGGRPICPLGETNHISKHPGL YREILLPWVKGSLNSPVLDWKDIFQQQLVNWQLFRAWQRANRDIPQLVASSESCMDDS IKEENRLLVARNSTSGSSTFELHVEAVQHRLRHHGFTKSFCLDVDANRQDEWTTWIEY LSFECYCFDRDAQSLQTDIGRRGRDDFRPGSDTSLFGGVLTSQPSLAVKPQTARPADV GTTLASDASSPLGGSNEESLVGRKCLLNRVCRAGRSDKAPDCQGDGSETDESTTTACH TLILKWALGQEREIAAQVIKKHRTNHTARSVSANAGLGQVKRTRDGSPCNKESASRPR GHFWTRQDKIRDPRRKRPCSGR UV8b_04077 MRCPSLRVAALALLATDSLVAAEESVLKGFSVAAIRGDGFLALP VGTVQRPAGQKRADKAFEDRLYNMEVFYATDVDIGNPPQKVMVLVDTGSSELWVNPDC RTAKSRQQAQQCVKFGKYDPSKSSQSYGPFGKEQLSYGDPSDKSTQTSATIFYYADTV SLGGAGISNQTFGVVSQSKGQSQGILGLAPDLKGGFKGDVPYSLVLGSMASQGIIASR VYALDLRHSDSQYGAVIYGGFDKSKYIGALEKRPLVRGIGGEFRLAVELTTVGVTTAS SSNFKVAGSDANVMLDSGTTISRMHSAVAMPILQALGAQNDGEGYYQVACSLRSSGGS VDFGFGNKTIRVPLKDFILNLTSSSSSCYVGMVITTDQQILGDSVLRAGYFIFDWDNQ EVHIAQAANCGNNDIVAVSSGKGAVPSVTGNCKESDAVFTGGTPTKGSVATKAYTTVY TITSCPSFDSNCRVGVVTTQSVAAATVTGKTDDNAGTRATATNCLVAIFGVFAIISNA L UV8b_04078 MFCNSASSDAANHVVRFVALPLHDVDVDMARPTSAFLGASTGRS DDLRACYLPRPKLIKVGT UV8b_04079 MVFLPSASTPPLPEIPDSITVEEFINNPRYGRYPVAESRHPYTC GITGLSRSVAQVAQRTDLLARAIAKQLGFHPHRGTEWDRVVCLYSVNTIDYVPFTHAI HRLSGIVTPASAAYSHQELEHQLRLSGSQALFTCVPLLDKALKAADAVGIPRDRVFLL PLPLSPSDSRFKTLDQLVEEGRSLPPLPPLKWVKGQGARQTAYLCFSSGTSGLPKAVM VSHFNIIANILQLSTCDSVSRKQLGIETQVNLGVLPFSHIYGLTIVCLLSHFRGDQVI VLPKFDPDTFLNAVQQHKVEQLSVVPPMLIYITTNKHKVSRYDLSSVRWVLCGAAPLG REVVQDVLRLYPNWRVGQGYGMTECSPGVATSLETDILPESSGPLLPGTKAKIIDSAG NEVTAIDTPGELLVQSPAVAIGFLHNEKATAETFVWHSDGRWLRTGDEVVVRKSPGGP EHLVITDRIKEPIKVKGHQVAPAELEAHLLSHPYVSDCAVIPVLDHRAGEVPKAYVAR SPESKHLSDEEVIVAISNHVQDHKAKHKWLRGGVEFIDVVPKSPSGKILRRLLKEREK ERRRRNEAGSRL UV8b_04080 MRNTLKLAVAAAGVHQAVATFGGAGLGASLGLGAGVDAGVGAGA GIGAGVGAGVDAKVGAGLGAGVGAGVGVGAGVGAGVGAGVGAGVDAKVGAGVGAGVGV GAGVGAGVGAGVGVGAGVGAGVDAKVGAGLGAGVGAGVGVGAGVGAGVGAGVDAKVGA GLGAGVGAGVGVGAGVGAGVDAKVGAGVGAGVGVGAGVGAGVGAKVGAGLGVGVGAGV GAGLGVGVGAGVGVGAGVGLDLGAFLNAPCFSLPSTSTNVCHKSQLSPWDFSGLDLGS FSSYLGLDFSGGWSCHKSKLDSSNYISGSCTQGSSSGLDISIGADAGISLFTPSSFDL FTSFDVRLEFLYFMPDGSTCKQSSDCSKQGTTIYNKQCAGATKVRILYPQQFKVKAGV SLQSSCNISCRKITWQCGQPVNPITTSRPATGSPSTFITTTRGAQTTPSGPQTTGQTA GQQTTPSGHETTGQTTAGQQTTPSGPETTGQTAGQQTTPSGPQTTGQTTAGQQTTPSG PETTGQTAGQQTTPSGPQTTGQTTAGQQTSPSGHETTGQTTAGQQTTPSGHGTTGQTT AGQQTTPSSPETTSQTAGQQTTPSGPQTTGQTTAGQQTTPSGSETGQTSAGQQTTPSG QQSTAQTTAGQQTTPSGQQSTGQTTPAPQTTTFVTTYGITSTVYTTTTKTIISCGPTV PECPGKTGSHVVTVTIPVSTTICPVTETHTKTQSDVNPTGGMPTGQTPSGTSQGPLPT QPVPCHPVVPRCLNTFLQLKTKCKDNTDSACYCPNKEFVDSVFHCLYAHGENGNVISE AVAFFQGICAPYIPVNPAIATCAQGITEIITVTGTPYVPSVSYTTLPYTATTVVGQST TSIISGEVTVPEIVMPTPTGGAQPSLPAAGTETGVSPNQSNPAVNVPSITGTGSMTPS QPTATLPATAGAGRVGVGMMMAMVALVAAL UV8b_04081 MPTHCSVPLAAAVFAAACAVETQTDPGPVLPVVVIRRVMAVMAS PAGNMVLDSEVGWTVTCFSSTLKQSGCVDLNNGLALHVEAPDTAVMEHNSGTARSKRK ARGCLFLFSRPPHSLWNQRRRWEEKIPSFGDDARGPLYTSVSSSSESRLLLLFTTHFL FTGPGDLLDSPADQ UV8b_04082 MAAAVDEDVLRRPLYVYDLPSKVLDSLTAREDSGTALLGTEEAT PSPSGKGLDRHASPVETVVGSLACSLCRQAFASLPDQRSHLKSDFHHYNLKLKTRNQK PVSEQEFEKLVGDLDESLSGSASEDSDDDDDDDDDGNDNNDNDNDNHHGKQDSVLATL LKRQARLADQDKRATPNHGAQKEGSEDAGSERRRRAKPLLIWFHSPLLPEHSCFGMYS ALLTDEQQRESDAVEVLRRKQLEPVSPSRPAKDGGIGPLAAYKGPHVFLCMIGGGHFA AMVVSLAPRASKGNTAMNREATVLAHKTFHRYTTRRKQGGSQSANDNAKGAAHSAGST LRRYNEQALVDEVRALLRDWKGLLDTSELLFIRATGNANRRTLFGPYEGQVLQPKDIR LRGFPFSTRRPTQNELMRSFVELTRLKVREVQPPQVKEAKEEPSKPAPSRSGRPSQAK AQLSEEEETALLHTSQLQGFIRRSKVPALLSYLQNNNLSADFEFQPRDQNHHAPRPLH LAASQNSAPLVLGLLTKGGADPTVENGDGKTAFELAGDRGTRDAFRVGRSELGEARWD WDGARVPAAMTKAESLQRDQREKAEGERREAERRRAEEERLRLEATPPQEVGEKPKGT ALLKSMAKSPEQLREEELRGLSPEMRMGLERERRARAAEERLRKMKAGG UV8b_04083 MGSALGASLWSLCARPKNSHGSDDFLQRERFSAASDERIMLMLV AVLGVWMGGPSQQSFSTQAGPGFFQGPEKQQAGRFRLQGCRPAGAQRTRNGSRDPQAQ VVPTPEVGPHCYQVAPPVGPKEK UV8b_04084 MRPLSRGKTDLVLDGDLRLEEALHELSYALVLSRRRRRRRREPR HDKATGLENAVLDPMRTFTMKCVLPWHVFPLLRHEFTSISELKQSRSSLPIKDATTYP YVTPKEVDSILESLEQNVSHHVTGTDAADESPHKIWRDPREQPGRPCLAMLTMALGCA SRVTTSIEETARISYQDGSWRNSRRQMGKQSTLTLR UV8b_04085 MPGSKLLVYLLRRDLRVADNPILHHLATGSDHGFTHMLPIYVFP AHQIEISGFLKDGETSPYPPARSQVGKFWRCGPHRAKFISEAVWNLKDSLEELGSGLV IRVGKSREVLDNLVKELKSTATAVNSIWMTEELSWEEVEEQKELASLCCERDIDFKIW KDEKYFVDDRDTGLAGPEDLPDVFTTYRKSQEPLRGKPRRVLPRPGKSSLPTFPSESS IPPQLHPFTTVSSLDHLRAMLLKPLDISLQHPPSLPERGEAGHSLQGGETAAWERLVH LAKSGALTSYKETRNGLVGNDYSTKLSGYLALGCLTARQVHEELVKLEDGIKPEFQEA AGYGEGENEGTKGIRFELLWRDYMRLCTAKFGRNLFRLSGFRQGKSYEKKWASPSDTA ASPDQEPCPRQVARLLERFKDGTTGMGIIDASQRELFLTGHTSNRARQNVASFLSKHL GIDWRYGAEWYEMLLTDYDVSSNWANWQYVAGVGNDPRGDARTFNPVKQSFSYDKEGT YVRMWVKELRRVEKLENVFQVWTTDEADLEKYGLSHNIMATDPVKKIEFNVDHKPRAA RRPFNRRRGQHREGDWGVAANGSPGQARQGNRSGTSSDGAASENGGAAACDTRATGPA RPQHTNGSARRANYTTSQDARADGNGHNGRGWHQSQSGQSRGHGPTRGYSRSYGARGS CSVGRQQGGSGSGSGSSYAAVPRVALGPFAGGVAGTH UV8b_04086 MSAVHRGLRTASKQLRVLRLPTSAPTASAPACSPYAVARSAPVG ARVLTAAQSSTSCRGLSTSVSRQSGAPVMASEPREYDPEIKDIADYVANKTIESDLAF DTARWILLDTLGCGLEGLRFKECTKLLGPIVPGTVVPNGTKVPGTPYVLDPVNGAFNI GAMIRWLDFNDCWLAAEWGHPSDNLGAILAVADWINRTNKAGGNLAHGKIFTVKDVLE SMIKAHEIQGCLALLNSYNRVGLDHVVLVKVASTAVVSKMLGLGEKQIADAVTQAWVD GQSLRTYRHSPNTMSRKSWAAGDACQRAVNLALKVMKGEQGVPTVLSAPTWGFYDVLF KGNKFQFQRPYGSYVMENVLFKVSYPAEFHSQTAVEASEKIFHQLKAMGKSAADIKAV TCRTHEACVRIIDKQFKPMDNFADRDHCIQYMCAVMLAFGRLEATDYTDGGEAATSEL VESLRKKIKCVEDPQYTLDYHDPALRTISNALTVELNDGTVLDEVAVEAPLGHRLRRE EAKPVILAKFKRHLSPHLSESRVQELVELSQNSSKLDNMSVDEYVDLYTVKESKFL UV8b_04087 MVSADRQLILETNRSLRNIKTELENLLEKGVIDEAVFDQIHSAL PQESPLSGPLRRANASTGSATAANEGPAPANGGSTVSPPPPPTQQMQSLGIGNPPSGS GTPSPAPPSYDSTPAPGVPNRSGKPVLAHVRALYRYVASDNRDVSFDKDDKIVVYEYM NQDWWMGQNTRTQQEGIFPRNYVLVEHKEEKSAPYAGPYSQPQYGYPPPGQGPPPQQN PYNSNVPPMAVAQGGQGAAEGQQGDGNKVNEYGKKFGKKLGNAAIFGAGATLGGNLVN SIF UV8b_04088 MSSSKKRAAEAVPEGKKKKKRKTKYHQDDEALDMELGLNTLFKR MDNQLLADCLAQKLSRFGNDLSPVELSDLTVPANSIQDSTAFQEDRTLKNLPAFLARF SGGEEGLAKAPKKKASPHTLIVAGAGLRAADVVRAVRKFSTEESTVAKLFAKHIKVEE QITFLKNRKTGIGVGTPARLNELIDIGALSLDHLERVVVDASHVDQKKRGIMDMKDTL MPLATFLTRPELKERYSDNGKPLALLFY UV8b_04089 MRPVLLSILPFSTVARCWRYGPEGLPPCVADCNKSLAKQGLIPH GMKTVCETSELQRVHFQCLINRCSPKSYGKALAHSISTCHGMGLDIIPLHPIEVRQYL PTKRNGMDDSDRDVKRNLLANVARAFPSTPGFSMELTCNVGQDGLVVMSIGEQPAAMT SSTSSRQWTGDVSMTCDHKNREQSYSFGRPSGHQQQ UV8b_04090 MKSKRARDAAATAGDAPRAEIPPENKRKRGDHDHDHDHDPGEEV KPKKAKLDKAEKRGKRGKKKDKKDKRESRKERKDKRKNIQDVPEEDAVDQETGAPADD PSTSDAAPAKKQPDRTKTTCSATAVPQSQPSDDPGEQASAKKRGRRAKHNEDDSRAGE PAPEPAEGDDAGKGKRSRHIVFVGNLPFSATAESIKAHFASLQPISVRCLTNRDDGNP CRGIAFVEFANVWTMRTCLDKLHHSVFEDGVSAPRKINVELTAGGGGKTKHRQDRITE KNKKLDENRARRIEKEKAAKQHGGRAGEAQDQSPGEIHPSRLARNPRLAR UV8b_04091 MAQPGVQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YSASVMVDGKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAKW YPEIDHHAPNIPIILVGTKLDLREDAATLDSLRQKRMEPVSYEQALACAREIKAYKYL ECSALTQRNLKSVFDEAIRAVLNPRPQPSRNRKSKCSIL UV8b_04092 MDVLKNLGKVLATSTRPIVSSSPRDRPSSVAGGLEQVQEQDEDD DDLPMHQPPRLSLPVDEEDDSDLMPPQSAGMENAPNTTPRVELPRRALSEQPDRFSRP SFGSMRDSDVFDVDERTEDLGRPSDFFPGLLEDLQAQANSAAADPTLTRVDYDDDRRS TLGGRESHVGLDIPADTADQTTFLMSEPPADGEIASSIRGDPSNDEAVAYNAASAHVG GRVSVADQPEEKGHEEIVDVYDESDSIAMSNARIAEPYDEDNREDGNEGDDGEDGEDG EEHDEHESVPRDKGPVAQPTSVSKPSARSKPKKRLRRISKFGIEFPPLPPTFVKRVAQ TALHSSGLSNPRVSADTLTALTQASEWFFEQLGDDLGAYANHAKRKTIEESDVATLMK RQRQITSDATMFSLAQRHLPRELLQELKMPVPPGGRIPRKRGRHDEDDDDDDGGEESS EMTWTQSS UV8b_04093 MSCRIALLRRLRPAPRTPSLPRPAAQQPPNPSPRTSSARSPFFT TSRRRASKHKQAADHPDFQSILDAPPQIVRSGRRHGPGIILLALIPVTAFALGTWQVQ RLGWKTELIAKFEDRLVRDPLPLPPTVDPAAVHDFDYRRVYATGRFRHDQEMLVGPRM RDGKDGYMVVTPLEREDGGSTILVNRGWINKAHRGQATRPDGLPRGPVTVQGLLREPW KKNMFTPANRPDKGEFYFPDVKEMAALTGSQAVWVEETMEPDFMRMMDFEARGVPYGR PAEVNLRNNHAQYIFTWYGLSVATAIMLYMVLKKPASNIARRVQASRGF UV8b_04094 MFYEGTLQEGISTAVGQQKLVFCFVTDDNAESETWEKEFLQDSS LKDVIAKQAVALRLTAGSEEAGYLAQIFPLPKTPTIVIMKHGELKEYIAAGVGKQDFF RRIQTSFNVSPPLAGPSLPEASSSSPPRAPSLAGVPSTVEQSENVRRVLEDRAARQQA AKKEADKEAEKQAQQDAAQEKKKAAAAKDEAGADAPQQAELLKKKQQAELLKKKKQQD SDERQRILRRIQADKEDRRHQAQEREQRKRHSQSSNGQSSSAALTPSPSTSASSRSGE FTSVQVRLFDGSTIRSRFKTSSPVRHIRNWVDESRTDGRLPYAFKQLLTPLPNRPIDD TEEGKSLGDMCLSPSSTLVLVPIPRYASAYDEAVPGIRDRLVGFVLAIFAWLLGLVGL GEASRSNQLPPTAQQAAANSGSASNQAKKHRRQGLGNPSDPQRDPQLYNGNSLNFEPR PDEEEL UV8b_04095 MPRNRSVGRASAPARAPTRPTAAAASPMPQQRPATTLAAPPQHA PQQMAPAAPVASQGPGLFGQMASTAAGVAIGSSVGHAIGGLFSGGGSSEPAAPQPVQS QAAQQQQQWGNNCQAATQQFTKCMDDNGGNMQICNWYLEQLKACQAAASQY UV8b_04096 MADVKNIDPDLERLDTQLDKLEDALDPLLDNLNDQASQLPLLDK AKLFSLTAYSIESLLFSYLRLQGADAQAHPVYTELKRVQQYFGKIQATEDRDAGAAPE RSLVVNQEAAARILKADLADNKMLSSKLAEKIAAERAKALLKSVEESRRRADAPASQG RAKKRLKAKGKGKER UV8b_04097 MGHAAKHLRGCQMCRIRRIKCDRTWPTCKQCVKIRCDCPGYRDI HPSPPLPATGRNGPSEQSKASPSATKRIAPKTDKDTDKPSEDSKSRTSTAAGTKRLLP HPQLSADELASCHFISNYVLIPRQNLPTNRGFLEFVVPLLRQKSNSPQFFYAFKACAL ASLGNRVGTGNDFDKQALGFYTKALASTFSALKDPVLMKRDETLAAILLLGLFENISA KTLGMFAWSSHTEGAIELVKARGREQLQSKIGLDMFTSVRTQMIIHSLSTGKAPPLDV DWWVKDPISDEYHVEFLQLSSRVGELKSEINNLLATVARSPDNTKLVKEKMQVCKSLD KEIVVWLEQLPDQYDWKTVAWEPYNPKCDYSKAEVFPGRIDRYGDLWSVNLWNVMRCL RIVLASLTIRLTAWINFPADYRTTSEYASTARTVVELVALVIASVPYQMGTFDKRKHL RDSASHSVFGCGEDSSEKSLAGYFLLWPLSCIQGQDYLTDSQRTWVKGRLKAIGNGLG VRYGNMLSQLNVRMPSMLILRDRLKSSPHNPASTEAATTGETLQAPGMASPANVAIPP DPSAPGTGTSGVAEPSMEALQQQEAMHMKVLQRQTQALMMKAVENSNMVDDWTLRKWL QL UV8b_04098 MRHYGTVNTADQPPSPEPAQLKPSSNALGRPLANSTSGTKPSSS DAFARAQRYVPSVLLPREAACSPTVHKIHVLGEDARSKFIAHALSSVYDSVEMIGWKT RPFSSPSESSKYGNIEKTRPGSRRTTSVVARNLAAREDAPAQSDGPDIHQLVVTGYGY EAAKALETVKDRLDDNATVCLMNDGLGVLEDVRTRIFQGAHEAPNFLLGHMSHRLAFN RRYDAVARLRGGQTRLTYAEAPRVRLEDAQKVESRPNFVRSLARAKDLKSSFNTYDQW LRFKLPAVIFDSVVEPVCVLLDMPYHGLLQNRAAQRTMYRLLREILLVLEDMPELKNS TVIRDYLHSQGVQKFLYNRIMSKRSNPSQLVRRIQHGLPTDVEYLNGYFIRRGRSLGI NLPMNVMMRDMIKAKHSQSIEQLNSYIPVEETSVPSHLAFQYRTTRG UV8b_04099 MDDSELEQIRKARLEQLKAQSGAGGGGPSSLSRGQDQDGQKQQQ DEARQHILNQILHPEAADRLGRIRLVKEQRAADVENRLIALAQTGQLRQKVTETQLKE LLSAVADNKEQEKIVVSRRKGWDDDDDDELLGL UV8b_04100 MDVVRKRWPSTLHAVRILACPSCASRPSSSQPKHFSVLNRPPPS YPGHVPLTRVERLSMAIGSSVMALLNPYRADLVAAVGESTATPYFIYRLRDAMLADPT GRRILRARPRVTSETLSLPYLRSLPPGTVGRAYVSWLDREGVSPDTRSGTRYIDDEEC AYVMQRYRECHDFYHAITGLPVVREGEIALKAFEWANTGLPMPGLSMFAAATMKSRER KRFWDIYLPWALRNGSRSKEIINVFWEEQLERPVEDLRRELRIEQPPDLRAIRRRERE ERKRLREQG UV8b_04101 MESIQRLLEWATEKGVVIDGMGPRPLPGRGIGIVATRDLKKDED ILTVPVCLLRSLANTPAHVTRSLNGATTHAVLATSLCLETGAEFAIWRAVLPTRREIR TCMPLSWPPQLQNLLPPAARRLLDRQRAKFDRDWALASAAHPSLRRPDFLYAWLLVNS RTFYHTTPLTETLPREDHMVLQPVADLFNHSPLLGCRVAFDAACFTITTTHAHREGDE LFIRYGAHSNDFLLVEYGFTLPGAANPCDEVCLDPYLCPLLSAQQREQLEEVGFWGKY MLDSETACYRTQIALRVLCLSDHRWRDVLDGVRDEDEDKAAAGRELLAVLARCQDDVG CKLRQLGRCEAGTEAMRGALRQRWLQINELVNSTTLRLQQ UV8b_04102 MAEADFEIDFYGDATNEQPQPEEQGSNERNEGDQHVQEEPNAAH VETDGNCQNRDSHPVKSEEESIHHGTKRKPEEDHRPVDSNSTAAIMISELNWWTTDDD IRGWMRKAECEDEVKELTFSEHKVNGKSKGQVYVELESRQAATAAKRFMDKLASDGGQ AAKKLSTSYWNASMNPFKTLPKDAPARGKDQQPRTTPAAPYNERGNYSGGFRGRGGFG INRGNMNQNNYNLNNPNRNFNNNSSNMGFNSNMGGGFNGPMGGGNFGFNNRGNMMGGG MRGGPAGMRGNRGGGNMMGMGPMGGMPMGMPANMGMMGMGGGMPGFQGMAPNFGAGFG FGQNQGGGGSGDWGNPHGAKRPRPE UV8b_04103 MDTPSADTSSDSPHTSHNYASADEGGDGDGGSYYYDIDDMVAGD GSSNTDPDYRDEPDEEDDDFQDAPMDSLLDEIEIELLLEDDQSDDAEGSEDDVEDVEV DEDVEVDGDADESDPLRNVMALFREGGRSGLLTRAQLMALLRNRDLSSALFSDDTNDE SFLGHWGVRRRRQAKDPNRFPKVPNEEGFKLMRAGAFGANNYDLHAKKHIARRMLERE LGVGNREDRRRNNDLVTQSMIPGTTVENIIHYDDPVYSGQFSDDGNFFFSCCQDFKVR MYDTSNAYNWTHYKTVTYPWGQWTLTDASLSPDNRWLAFTSIQSMVSIAPTDPNDAGD PYTLDLDDGDARPTRSGRRSRGGFGIWSIRFSGDGRELVAGTSSNSLVVYDIESRRVL HHVDGHDDHVNAVCFADRNSPHILYSGSDDATIKVWDRRSMGDGREAGAFVGHVEGLT YIDSKGDGRYILSNGKDQSMKLWDLRMVMSTNKFRELDLSRYSNVSGFDYRREAYDEE SWDKHPNDNSVVTFRGHKVLRTLIRCHFSPPSATNSRYVYSGSADGKVYIWNMDATLA GKIDVQKATMGTRRADRHTRLYFEEPTGWGTCVRDASWHPSAPMIVASAWNGYSMARG TCTLHAFNESSKDEGEPDMRRSVDALLRPNPAAYNQSSF UV8b_04104 MKFYNKHSPLLLLLLPSLATALSPRAVTPVKHASKSLASSTASS KADDAATSKSGTASRYNAAANGVPIDRKDGKPHQGPFVETDGVAVDATGQKLPKLKGR PDDPTLVDGQKIPKMNDGVMFDKNRDRPEKGISTGTEGGVTEKDKARKEKEGKTGEKA VTKPEAPKEQPPLPHSEERKLRGKEDEGDKAKQSAHKEEGNTDYTGLNKPDDLPDIPG DKKSKSTTGGTVKDPLDHLPRPSKETSKTASKSKSPVTEEKDEGIIQPFHSSVLSFTM ILVSEIGDKTFLVAALMAMKHDRMVVFSAAFGALFVMTVLSAVLGHAVPTLIPKRLTS FLASGLFFAFGARMLMEGMKMNPNEGVSAEMHEVEQELAEKEKEMNRTRNGSVSAYSL EMGLGDRASRSKNRFPSAPRSPSQSPCRSPVRRSGSMSGFAQGVSNLCSLLLSPAWVQ TFVMTFLGEWGDRSQIATIAMAAGQDYWWVTLGATCGHAICTGVAVIGGRAIAGRVSL KMVTVGGAIAFIAFGLIYFFEALYA UV8b_04105 MRGNQTAGTCYSPVSPLLLPPPEYRSLRIGQTSKQWLDGMSGRG VTPGYQTPPDRPARTPYYLGLDTEDREDKEDPIGRRRLPQPKPNVSFWTAAVGPTLLS GSDMKSRHLRRDPGIAPKTARALTRTTHAFAQQC UV8b_04106 MPPSSRSIAALLLTLLPLLCPLPAAADAPDPVYIKGLGLDGVSR DLDVSRYPALYTGDFDDCLGGESLFNVTKFDAAYYADNLTVLFHLDGTTNIHKEDLML HISVEAYGENRFNMTYDPCKANIYSMCPLNASVPITAFAAIPLAPNDVSGIPSIALGI PDLEGLARLQIFANSTQTQIGCFQAAMTNGNSFSQPAPVGSFLGVMVLVALLSSFATA IYGLSIPHMRLHYAHSFSVLVIFDTFQSIFFSGALSVNWPSVLPAWWSNFAWTAGMFA NDQIARSVSSFTGNKANISQVGGAGSVQINNGGGLSQRIYGRSIPLLQGSGRSPLSSL SRRAAYNASNPYDYTWSGHPRTPGMPMPGTWPGFAGTLSLVNVPPAEAFTVCVVWLLV ILAAVALFVVATKLVLDALSRLKLLKTDGFNYFRGHVWGYTAAALLRTVLVAFFTVMT LCMYQFTLRGSAGPTAVAVMVWLLFFLGLGGIAAYACYFRLRHGKYETSPDTLRFERG NVCGRIPFIAATRQSKIGDEESSQKPHLFWTMPFVSVKYVDDDPNRATVHLDEGYVKR FGWLSARYRRTRWWFFAFYLCYQFIRACFIGGGARTPLAQVYGLFIYELVALVVIIKL NPFESNRNTAAAVWMLSISKIVTTGLSIAFLPAFNLNRIIATVLGIIIIVVQGFVVIA TLVLIVLGAISTWMSLSRNRESFPEFLEAIRIGYFEHCKACAEDFPPRAKNPKEGLGG AEQEVKRVNFEVLEVRRAPKIEDEEADPFPDLEPPSISGIGGSASGRRSRANSSNSRF SVNSLPRSTRAHRASWSSKDIPLWDSEMNRGDQQRPGHSRNVSLRLSAYNPDTHGTNS PARRPMTPQEELAEDATMLKAASPCPENATKTLAASRQEEEKPSK UV8b_04107 MNNYEALRSCRFLRTELPASKADKAKLQHRDLLKGKGRVDLFDD SGSHAAGLEAVLVKLAALNQGESADCLPTRQDTTILLSISRLVLLFGRLAVTFLILCG LSLPWLLALHPPPLRDRLGGTCEETTPRLRGCG UV8b_04108 MANHPHGGVLKDLFARDLPRQAELSDEAEKCPAIVLTDRHLCDL ELILNGGFSPLEGFMTEKDYDGVVENNRLADGALFSMPITLDVDQQQIDALDIRVGAK LTLRDSRDDRNLAILTVEDVYRPDKVKEAKEVFGSDDDSHPGVKHLFNVAKEFYVGGK LEAVSRLEHYDFLDLRFTPAELRSHFSKLGWQKVVAFQTRNPMHRAHRELTVRAARSQ QANVLIHPVVGMTKPGDIDHFTRVRVYKALLPRYPNGMAALALLPLAMRMGGPREALW HAIIRKNHGATHFIVGRDHAGPGKNKNGKDHYGPYDAQIMVQKYQEELGIKMVEFQEM IYIPDKDEYMPANEIAEGTRTMNISGTELRTRLRTGKDIPEWFSYPEVVKVLREQNPL PREKGFTVFLTGYQNSGKDQVARALQTTLNQGGGRPVSMLLGETVRSELSSELGFSRQ DRDLNISRIAFVASELTKAGAAVIAAPIAPFDKARAQARELVEKSGPFFLVHVATPLE YCEKTDRRGTYKRARAGEIKGFTGVDDPYEVPSQADLTVDLTKQNVRSIVHEIVLLLE SRGLLDRV UV8b_04109 MPSKDRDEDVESGADEPPREKHRRSSKSDRKPDRDKDREHRHRS SKASSSRSKLGVDGSEASARRHRKRDKDKDRDGETGKDRDRDHGESRDNNGSMSDLTA GVSKVSLDRQRISLPYPTFSKAHSKESVMSREDLRSQPQSIPLTPEPTDLGDQEKRRK SSELHRNPSRSKRGSGPPTPPETDISPGRRRSSEYNDCKNSDVLGRPKYTESGVSRST SRHDDASKLSRRSGSSSQATFVKARAREHSSHDKLRPSASVRSSSSRHSVKRSGSTRS SSKTLEAREEGSSPSSVQDSSPQTPTQPANFSSQLPHGTKYRASPDFEGQESVFPSKT ATPVSMACPPPPPPPPPAVDIHDIPRVDYLLQNGGLPYPVTRHFLSVLPLLNGSRASN PPLTGADCLFGPFSNLLDQYSNVLNKQGSIAVATGHRSVARRLLDRLENVFSRDLPPH GCTCVMCDQSHEIHKGLTWGDVLEWVSGRIELPSWPPFDLAEIGTKAAEVSAEAPPRP ASPVKMDPDIAEEFREHYLRQSIKVRSAVDKWLNKTGETPAPPPQDVDDETLAFAILT NMGAEDRPFFNALLSGSRELKSATRAPTPGHRPRNDFIVKSGLSLQRLYRLQQVPRDA ECASYLVKNPHTHDLLVTISNINNSEWEILTSGRFDGFLWSGADVDEAMSGLESRGET PLGHRSSVSPLPRGSSAMGSRNATPFSPYSRGATPASFISLASSGAHATNRQPVSNDE EMEMAAIAEIEREIYAGMESLEDAFEKLHQKAEVVRTALRQRGAGLMQNLQNRRRIDV LSTPGSGNSQQSGYERPAWATGSDAEGASDEDWCLDDVDIMPDDSASNISSSRHRRPK RRTERRTPAPIEEDDEE UV8b_04110 MMNMIRNPNLNSSWLFRADILYDDGGQQEAQGSIETRDHCASDQ PIGRDILHLERTHTLVRKLVPRSEKRDRPLNQTCSFYSGHESETSSTSLVLYLAHVRA KKDLPFYHPKVSGIGHLHQWNPETGRGTISIHFLRAHDDERDELDNPKLRRVAYHLLE ILHKHGQGSASGYVKRVHHDMIIPQARFQNRYSCLKSKYARRLMDTWAEVTDPGKHVF EDLGIAAFLIELWTDMYRDTAFPGFVDIGCGNGLLVHLLTQEGFDGWGFDARARKSWA NYTTSTTSSPSGKSLVERLLLPRIVATADGDDPGNREMHTASIHDGAFEPGTFIVSNH ADELTPWTPILGALSNCPFIMIPCCSHKLTGAKFRAPPPRDKSKPKSTYASLVDWVSQ IAEDCGWEPETEMLRIPSTRNTGILCRNRSRSIVDVDMQAVVNKYGGVQGYYASVAKL VKADPRPH UV8b_04111 MSIENLKTFDPFAEADEDTGETKQAQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVNDTEMGEVIQLQGDQRKDVQEFLIDKKEGL ELDSKTIKVHGF UV8b_04112 MQSSVSPRVHVCSPQRSVGRNLSSIEVPLPTQNISHIGRWEWPS SSSVTGAKVSPWVSIRLERSAIRGDQSCPESSSYVSRQAP UV8b_04113 MTMGRPDHRTSSSIASSPPATASTAAVGTSSLRDTYGGRPRERS QSHHHHVDSSSTVSLPNSPSPPPIRPSILQPRVAVALNVSPHWHIYLFAFRLFSIVPA LWWGLPCALQLVLSILPGPDRILVVRGSWDGRPQNSDNAVPFALTEGALATTWSFACG YLAFFFTDCLMSRWLIHYTPQATIVRLLTINVVNAYLTMTVLSLAGGFRDHRLMLPGW IGIATTLTVCYHIAHQKINIRKETSTSINVFSIASYISMVTLLVHMHCVHEDYPTMPL VSRLRNIWRGLHAMLLQIKAAIERTERTERTERADL UV8b_04114 MTYGSEGTLVQLSDGARLFVRELGDGDRSKQLVIALHGGPGLSD HRESEASFGFLAPRFRVLVCDARGSGMSDLKGPFTHERWAADVDELRIRAGSEPIILA GGSYGGIVALEYAIKHPNHVSALLLRDTWASGPKGTMWSLKSSLTHPRVKADADRQYR TWTGALKSNDDLRASVFETMPMYLLETPGSGPTKAAVHPGELNLHYETHNFSMSYNQP RFDVRQKLADVSVPTLILVGRHDPIAPVQFSEEIHRLMQNSQLAIFEHSGHNPGMEEP EAFRHRVAMFLDSFRL UV8b_04115 MTLTYIRQRQKQACSEDGASGSSTSSAFLVGSSVDDDVFTQVSG EVVVGPDQVADAQFEALTHNATKVDAQGIYPGTACMFVANLSQPYDDEKLELEVTKAF SQFGEVWVKIKRDGNQMPFAFCQFTKDDHARNAEKFGKGMAILGRPCRTEMARAHSSD LLGQLGEVAKAEYLPDAMQKSLNIPPAVLVTYKMYDNRREPVKYFAQNPNFRVIANDP KRGSDLTTTPPAGPKWDGARVMEQYDKDRRSAFIGNLPLSMTEELLRTMTSSCGEVVH VQLYKKLIPGANGQKHCFGFVEFTRPDAPDDLVTAMNASTIDGYCIRVERKQSRTFST PQRVPGQLRHVRSTIPRRRPSAFFETEQTDEFEATSPTGHLGAASHSMTCNLRSQTDI SSAPQESQPDSLGADGISTDEHDAPSKKVVEFQMSNDKPSSPTVTIEAARVLGQSTTS PKTPNRTALADVQGKNPSTVALEGAHVSMPSPMAWMPAYAPYGYPYVGAPMTPQAAPG MVYGGYMGPHYYGTMYDMFGNLIVSPTPMLSPYPPSQALDEEIDGPEGRKGSH UV8b_04116 MGQPSFQASNALIYLTYGAFLLLGTGIAWRMRRQSKVEFLASNG TQSAFPLALNFVASALGAGILFSYPELATITGVQGVVTYALASALPMLVFGVIGPVIR RKCPEGFVLTEWTRQRYGTVAMLYLSFLTLVTLFLYMVAELSALGQVVQALTGLDSLP VIIVECVITTIYTSLGGFKVSFLTDVVQGAMVVGLIVIAAITVGAKAEIRRDLIDSSH LLEPTLLGWQLLYILPVAVLTNDFFLSNFWLRTFSSKSDRDLMIGVSVATVVVLCVLT LVGCTGLIAAWSGAWPGDPAQEGSLAFFLLLQNLPGWVVGVVLVMVVSLSCAAFDSLQ SAMVSSASNDLFRNSLNIWYIRAMVIVTIIPVVVVALRASSILQIYLVSDLLSAATIP VLCLGLVDRLFWWRGFEVVVGGLGGIVTVFIFGTIYYGSAEAGGKLLLLQNGLYANDW SAFGAFVAAPLGGILWGFGALGLRLSFQLAKAKVCRQRFDALDRPTTARPCSSDSPSP QRVVAGTAKAGRFF UV8b_04117 MPSRSALMRELDRASRQSANKMKKQPSMSQMQRSANAEFYHDGC GPLFPGTFISLPLARHPKPPYEFAQYSWARLKAWIAGTTSILGFKLQSMPSWTTRPRW KARRGQIAPTAKAMYREMLEAFAAGDKAALHSLCLGDFAKKLSAAIDRRDPKHGVRFQ LLKYNSRLLYPRLMSHRIYPMNQFDKDLYTEQAVVAIASTQQASRYDTATGETVPGSL RLQDKMEYVVLSRQASSKTYETGSWRIWGTTSATTLETHLQEMAVVEREQAKQSGWDG SSSK UV8b_04118 MRATHHLLGKGLLGRSMEELRRRTHIALKLEAVKGPLDPRPLYD FDTAPSVQDCIVMSDTLIGGSSKSNLDFITPASSGSSHSVAACSSSPSAYARFHGLIS TSLPADRPKIQRSGYAAFRTPDQRPTLFGRSFWDIDPYTYLALRIKSDGRSYFVNLQT EAVDPSDLHQHRLFAKYPGRWETVMIKWNDFVRTNHGFVVEPQTELLRQKVRTVGIGL TDRVQGPFELCIAKVWATNQVAEGATVVNSAESELKNRKGQKIHW UV8b_04119 MAHYLTPAKIGLLALLELYAEEAVPSDAVLPVLSFIISHILDRG STNPPSSQEARWAKAERTVSLVVSIKDFERLLASHQILMGLPGRRLFDHFLHRLWAIN SLDALNGFFGKIANMLAKTKQEHPRDGQATSGGMEPRIKFSRNSPFGVFIRRSRLEYQ RLRFHDCTELWKAFVRYRQPTAPYLRRKDPNFGRLSFDNVLLLGEQEDWSSESVSALA AVAYGDMLTGDETSTIPVSTDDVESLLEFQIEQMQKYGNRIPLEVRNQFHDLLHDSFL IPSLTHYLSFLDAWRSGDYPTAFDLLHRYFDYTMRYRDRLFYQYALMNLAVLQADFGC YKEAVAAMLETVATARENRDMTCLNFALNWMLHFGRAHPSLIHELDSNSLLDAGKESL AFLRVKAKESGMWTLWSSVLLTEAKLGLNNGDSVATSLEYVVRSSQLIVENNMKTMFG SQLALYAALWSRLGLTRLSTLTSDIFLRCHARHAVFDDELKHTCRIALALADCGRFDE ASQYLEKLDENSLRAWKPSQYWYKYRAIIKLKKDLHHNNLDGAQELLEQLLQSTSDDL EPDVAFTIDSLHIDYLTRRADLQKAFEKVERLLNRLKGENKDIALRVRLLLLKVSLLD RCGRPQRAFSTAVRATNIAWRARLIPCLWQAVGALSNILVSLGEFEAATQLLVAVLPR ALECEMATLSAQLYSFLADALVGSAGKQPPKSSKRAECMTRALAAVQKAFDHYSAVED IKNQCEMMAKKAMIMKLTGDMALAADYAAAYVELRKTAEKLSLGR UV8b_04120 MFSRQITSRFAAQLRQPIQRRLASTSTENEFIRERAHIKEHARG TTELWRKISIYAVIPSLALAGANAWYLWNAHWEHWSHMPPLEERTEYPYQNIRTKNFQ WGSGDKTLFWNDSVNYHNKNKVS UV8b_04121 MPPFRVTTWNVNGIRNPFAYQPWVEQRSFQAMFDILEADIVVMQ ETKIQRKDLQDDMVLVPGWNVFFSLPKYKKGYSGVAIYTRNATCAPIRAEEGITGVLC PPKSTTSFRDLPSDQQIGGYPRPGQLSCAVEDSTLDSEGRCVILEFPAFVLFGVYSPA NRDESRDEFRTSFFEALDVRVRNLVAAGKQVVLAGDLNVIRSEMDSTNVLDALRKDDM TLEDWMSLPTRRIFNQMLFEGAVYGERDEGRENAVLWDLCRVFHPRRLGMNTCWDTKR NTRPANNGSRIDYILCSDGIKDWFTYADIQEGLMGSDHCPVFATLADTVSIDGVETAL LDALNPPGMFKQGKRLRQLGQKDLLPLSARLIPEFDRRQSIRDMFKKSAPAASSDAAP SSEPAFRPETVASNTPAADLQSTSASSKKNADMASTPSADSLKRSCDPIVPPPRPLKR SKSTVELNSCKPKKNPGQRTLQGFFKPVPSVAAAPATLSSSDGQSGGSSKPIANPLAP QSPGVSDGEMACKSTSPNTAPTCDQGQSATGPKPPGAAFDSQEAKESWSKLLGKRVVP RCEHDEPCISLVTKKPGMNRGRSFYICPRPLGPSGEKEKGSEWRCGTFIWSSDWNGT UV8b_04122 MTSETNWPEVSQWSPDQLRTLWNQLQAQVNPFAQVLCLDGNLYR MLDNGAKAFIAQNFINHVKESVLYCIDGTGHDRVFLGAPRHFVAGCGMILQPRGSEPF WIVRSETKVKTATVCPPSIPEKTTKIPRPPNAYILYRKERHNTVKEANPGITNNEISQ ILGRAWNLESREVRQKYKDMADRIKQALLEKHPDYQYKPRKPSEKKRRTRRNTQAQIV VSSTADDFPISSPESGIPLTASPPSTHDAN UV8b_04123 MDGGNNAICYMMQPCTTEEDVHAHCGSLIYSCHDVQTMHSNGYS TQSNFDYRNVHLVLRQEKDKEPQTIVATDLSGIAIDPLIMDNTSPDENALGGGTDSQF EVNRLETTNIFDATQAVLNDQQMMDCIPAGMNWSVTESLVPQIDFMSQLPGCRATAIV DEENTMISELWDESFGRMEPHVNGDGVHSGWTLPLAST UV8b_04124 MTSLRTTEHTKTDQELAISIKKATNSDEISPKRKHVRACIVYTW DHRSSQAFWSGIKVQPILADEVQTFKALITIHKVLQEGHPSTIKEAMANRSWIDGLSR GISSDGIRGYGPLISEYVYYLLAKLSFHQQHPEFNGTFEYEEYLSLKAINDPNEGYET ITDLMVLQDKIEKFEKLIFSHFRSVGNNECRISALVPLVQESYGIYKFITSMLRAMHS ITGDDDALQPLREKYDAQHYRLVKFYYECSNLRYLTSLITIPKLPQDPPNLLAGDDEA PALPARPKQEILRQPSPPAEPKSQEPDEISEFWKSELDRQNREYEEQQRVLEERQQTA LLAQQQAQQQARREFEEQQRRLAEQQQREQEALLAQQAQWQTQGRLAELERENLNARA QYERDQLMLQQYDQRVKALEGELAHLQNSLGQQMTSKDDQVRALQEQVNTWRTKYESL AKLYSQLRHEHLDLLQKFKAVQLKAASAQEAIDRREKLEREIKTKNLELANMIRERDR ALHDKDRLNGSNRDEVEKLKRELRMAQDRADNLERSKGNELSTMLAKYNREMSDLEEA LRNKSRALEESQERMRDGNSDLEQLLRDKEVELEVYKAGMDEALVKLNDLEKNQGATD EALDGQIDALIMTNLEKINAIIDSVLEAGVVRVDDALYELDSSMQAGNQNASPSFVLS QIEKASDSATEFATAFNSFIADGPNSTHKELIKAINVFAGAVADVCSNTKGLSRLATD DKKTDSLMTGARQSAESSIKFFRNLLSIRLEELDTDQKIDVVINRNHDVQMHLQKLNK LVEAFAPGFGKLASKKGDLGVLVDSELNKAADAIAAAAARLAKLRNKPREGYSTYELK VHDCILDAALAITDAITRLIRAATVAQQEIVQAGRGSSSRTAFYKKNNRWTEGLISAA KAVASSTNVLIETSDGVLSDRNSPEQLIVASNDVAASTAQLVAASRVKAGFRSQSQEN LEQASKGVGAACRALVRQVQSMIRDRSQEDEQEDYSKLGAHEFKVREMEQQVEILKLE NSLVAARHRLGEMRKISYREE UV8b_04125 MGHSYGKRAGTRYAFSRNFRQKGMIALNTYLKTYRVGDIVDIKV NGAVQKGMPYKVYHGKTGVVYNVTKSSVGVIIYKKVWHRYIEKRINVRVEHIQPSRSR EDFLKRVKSNAEAKRKAREDGVTVQVKRLPALPREARTVSLTDNPPETVTPLPYETTI UV8b_04126 MPPRAHSKTSRVPRRPFESARLDSELKLVGEYGLRNKREVWRVG LTLSKIRRAARQLLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKLEDF LERRLQTCVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFIVRLDSQKHIDFALNS PFGSGRPGRVRRKKAKAAEGGADGEEEEDDE UV8b_04127 MPPPPPRKPESGAANKEENIYIPSFISRKPFYAGEDGDDNDYLK HQRREERKDLSTPTWYDRGRKTGPAATKYRKGACENCGAMTHKAKDCLSRPRAKGAKW TGKDIQADEVVQDIKLGWDAKRDRWNGYDSKEYRNVVDDFNQMEELRKQSQKAQNGDE GIEDGDKYAEENDMSKHQSTATRQLRIREDTAKYLLNLDLESAKYDPKTRALVDGGAT GGKVADLYAEEGFLRSSGEASEFVMAQRYAWEAQERSGDTSQHLQANPTAGAFSRRKE EEEMERKRAAREKELLEKYGGDGQQAVPAALRSMMITESEKFVEYDESGLIKGAPRKA AKSKYAEDVLINNHTSVWGSWWSNFKWGYACCHSFVKNSYCTGEAGKVAWEAAERQKA GGNLIETAQVETESPDDEQVATKVVETGPRKRTLDHMSGVSEAEMDEYRRKRAAADDP MANMLGKDKLLS UV8b_04128 MNRLADLLQQGVIMKTTFQPYEAHLQYLLQFMVDYNLYGCDYLD SETTKFRSPVPEYDPVSNSPHLWHSQSVSHENITDDISLPRVSHCSIEVDICVQHIAN RKLIQQRLLHHDFVERLHPIPGDLKLVHSMAGLWRDEAKRRQRQMAQNIQGKSHIPRD QLVTMSADPRQSHRQVWIHEAEYKGQAKRLIEEDLVGLDNQKPGFENFTEKVDLSEEI HTTLESVEDLFPHNLLPALGLPSNETPFVDKSTSSIEVDEKKILQEIEARDEDGPGDY NEGVRLYHKCFYVWLRTGNYGSAENFSQPRIHDTLKSGSPARRRPGLLTVEDRSVPAS ASASASNHADLTTINHQNETSDRRRLEKLSYPKSSSSMVEGNHTKAVVFDLDSRTQRG KLDDSMNASLPSATSPSLPTLSVHEEEASRKIQQVLNQAILSPYLTFSRLPPTISQCL AMRDCNQPDVIYQDAYYSREQDVPHRPREYAGREYRLQSNTLLFLPPFKADGLSSTSS GIRPDTLHEQQILEQEQQQQMLQCSWKNWEFIQIPPAYQEVAKWLEADDRSKHLGKKH FGRIAPAAGAWVSQINGPTPKNKHGFKYTQRTTSTSVEHEVQYMSTMSLEIHVNTRGK YVPNPEEDAIQCVFWACKTDEVKRHSQKMSDTIQSGIIVLSSEGNLKRVMQKATGAEV LEEESELDVIVRMVEIVRTYDPDILTGYEVHGSSWGYLVERARFKYDYDLCDGLSRVK SDSHGRFGRESDRWGFNTTSTIRVTGRHMINIWRAMRGELNLLQYTLENVVWHLLHRR VPHYSWRTLTAWYKSGKGGDVGKIVGYYLSRTIMDLEILEANELVSRTSEQARLLGVD FFSVFSRGSQFKVESIMFRIAKPENFVLISPSRKQVGAQNALECLPLVMEPQSAFYSS PLLVLDFQSLYPSVMIAYNYCYSTFLGRVASWRGMSKMGFTEYKRQQGLLALLQDHIN IAPNGMMYVKAEIRKSLLAKMLTEILETRVMVKSGMKKDKDDKVLQRLLNNRQLALKL LANVTYGYTSASFSGRMPCSEIADSIVQTGRETLERAIAYIHSVERWGAEVVYGDTDS LFVYLKGRTRDQAFDIGDEMAKAISDTNPRPIKLKFEKVYHPCVLLAKKRYVGYKYES RGQTAPDFDAKGIETVRRDGTPAEQKIEEKALKLLFETADLSQIKEYFQTQCGKIMRG AVSVQDFCFAREVRLGTYSDKGVPPAGALISAKKMLQDARAEPQYGERVPYVVITGAP GARLADRSVSPEDLLANPHWQLDAEYYITKNLIPPLERIFNLVGANVRQWYDEMPKVQ KIHQAAGGEAGTKRTTLESYMRSTRCLVCHARFADEGQALCPACRNDTPASLLKLHTR LAAEESSLQDVLRVCRTCSRLSPLEDVLCDSKDCPAFWTRAKQKTGLKGTRTAVEPVM RALVEDQADEAVASAW UV8b_04129 MGSWTALLVAYLLGGVTLIPLVGLAVLIHAHYAFPYRNDRENSH DDDDDDIVQPGDDTLLLDEARRSKPADEAKARAAHDLDVTAGYFAVCREYVPMGLNAK PIERATPLGSTTVAAPSPSVYQTMYRSIFDRKPVAGPLDGNGTNGSMSQRPKKAGNVF YVVLRHGHLMLFDDDEQLEVRHVISLAHYDISIYSGGDVTPEGELFIKRNALCLSRKV GGRSDKLALDGHVSKPFYLFSENCSAKEDFYFSLLKNQEQTFGFDSNAPIPKGFEVKN IISLVQRLHSSEDHVHSRWLNAMLGRIFLAVYRTKDLENTIREKITKKISRVKRPSFL ANITIQKIDTGEAAPLFTNLKLKDLTVEGECLVEADVRYTGNFRIEVGATAKIDLGSR FKAREVTLLLAVVLKRIEGHVLFKVKAPPSNRLWMSFQTMPKMEMAIEPIVSSRQITY TLILRQIENRIKEVFAETLVQPFWDDVPFFRTEHKTWRGGIFQDDDAVETSRPVEAAS ADNDAQPLERPEEDQDVPNEAHLVERSHTHHTHHSPVKAQQASTGLFGKLGRSANGSA TSILSASTGCKPASSATGLSRSLNIVRNATDPVVGTETAHADLYKPSSSPPDHATNLM ASLASRSQDASPVHSPVGSFAKAQLGGHLRRRSSSSSRETESRGNTEDSERASNSIPK GRRNTASSAESVARSEDSASASSARPATSSFKSHTSSLGRSFFAKRESGNSSSSEAVL AGGELKKTTLAAVTNAAEHARQWGWNAIRRQKEQHRNGDKACQIDLSRPMGRGQPLPP PGTPLPGPVNGAAILGSTVARRKTAATATGSIPEKVSLENDKSDEESRASQPPRLPPR RRRRGASQQQEEDVEQNMLVVAAPPDESPPGTPGAPGAPAADAERDRDEAWVNTGRKK LAIASQPGLEVDPEPEPLVQALAASMSTTLSQSLSNSVQGAPQSPFSPKVKAAKGGPL IAVPASIVVGVVGVCDDDDDGDDGGDGGGDDDDEDLSGWLQDTDLGNE UV8b_04130 MASSLAPDKRPDLRASGNGDVFGDPFVTTSSVPAHHRFSNFDQE LFAAGPGSSPTQAKRALEAHLAETDRRLDEAGKLGTALVSQRKLLAEQLQQVQKLQAE GELGPELRQKLVDIEREYNDLARESARVFLPKQRVPSNEAHAGSPFVPDGRSGRRSVS PSKFESQATGSPTKLGVPNRKLRNQPSNRVHDIEFAAEISTSLIAQVRNLQTLLSERD DELKGAQSEKTRLEIDLEGLQQRLKALDESEHRYKEENWNLETRLQEITTQQREAADR EKKLTQALNLSNSSKSSTQKELDDAKLTQSKLTEQHAAAVKHLDIELGTAKRNMAMVE SERAALQRKIDDLTNQNQELAKVFSLQRGRTMEREPPSGLSDDDFETAADNITPEHSP PPSPVKGTPRHTMLETETIKSSLHHAQRTIQSQRSQLHREKTEKLELRRIIQDLRDDL EKARAGDAGGASNPRRSRKTDTKEFKKPARLLGSLRSSRQEILVDDPDWEDNPGLPPT RGSRSGSRPGAETSLHTRLTDSSDHFDTANEASESAFETANERATETEDFQTGNEALS GSDDDNETETESACRGFGRMKRPPSLPAGLVRANNRDSNRDSFDSTASTSADEDEVAD MRTPTGTISSQRSRFRLSRGPFSRSSRQNSEEPASQRSPASHTSGGTPQAGGQSLFAE LQDFGSDDDSLGVATPSRRSVRSVTPGSTRRAASPPPAVPNLPKIIMVDSGAMTDPVT IVPQAFERAFDRPMFMDFVARDEDQISTTWPSSRAIGPDLSRPASMLSYSDASIHHEQ DMDASLAQFPVPPMSPCPVDANLLGLSQIQFQHIEPRELAIVPPTSPILSLTSLLVEH VEPREEIILPPTPPTLSLTPLIVEHVEPREEAEVPPPLLTVSRIATETLEPVMEPDTP RPCLTFSSVVAETSEPLCTSPPPMRLSSISTQTVDSPATSKLTSSKAVSVPATSPTQR DPPISSPILTLSPVVAEELEPREEQLSAPALASPILDFSGVFAQNIEPAPETLRASPA LALSSITTQQTQPILAPMATPPALSLSGISVEHTEPVQSSAASPTLGFSIIKSIETRP LSPRSPRRDAFIIPKDYANDPETPPSRLIGSVLARGRMDDMETFIAEDETRQSRHGSP ETATPESQRPFKEISANSNSRLSCKQSAHTSDRAAQTALTADSIDRLLKAGSPRSFGH VKDSSVSSVGSPETTGTVRRHRSQESFGSPVRLRAKPADETAAPDSAASTRPGSATSG RTSAQNVPPLPSNHREMIEAARTTSSHSQQQGSMGPPLWPASATKPWPQTPSPPTPSQ QGVVGAGRGNAAPRAAGTVSIHAGTVEMPPPGRVTSRSRRSSVSSFASEIDSRFNMRP GEVGTNPNGFGPNTDPRMIQAITQTMIGEFLWKYTRKTGRGELSENRHRRYFWVHPYT RTLYWSDRDPSTAGRAEARAKSVSIEAVRVVTDDNPMPPGLHRKSLIIISPGRTIKFT CTTGQRHETWFNALSYLLLRTAQGNRTDAEEMAGQITQEDVDEFNPSFGRAPGNDFVS KTAPSLSSYHSHTTRNESPALGMSMNIPTLTPSENHKHSSATGRTSAGTLSKLSGYWR SGAPKITGTLTGFRGRGASSQNRDIYEASEAHDSAEDVREIMEQQDRGSDRLENVRAC CDGRHDVGSLPHTSRRGRNLASHSHPGHSVPDASLAPMRSRA UV8b_04131 MDRNETVSESKVAAPVALFKKRGCKGKTNLRKRPATPPPAHSDS DSGSVDYSSSENNSGRRVKRRKKAAAVVAASSKDASFGNKDLEATVFTANRNVPISSS NDATRQSNWHDEDAAEDARSGKVPSSSSRSAPTQPQTEGTYKGLANQTSFIRKNPDAP QRKVGPIKAPTSIRTITVTDFAPDVCKDYKKTGFCGFGDNCKYLHSREDYKHGWQLDR EWDNVTKGKTNLGGTVVASANRKNLDGDDEDDEDDAMLQNIPFLCIICEDSYKSPIIT RCGHYFCEACALKRYRKQPTCAACGAGTNGVFNSAKRLNKLLEKKREREEQRRRAASE AGEGASHTDGGE UV8b_04132 MNYIRSTVNTLRDRYTPVSHDSTFRQTGQVTPEEFVAAGDYLVY KFPTWSWGDADSENRRVSYLPPGKQYLVTRNVPCHRRLNDDFAGDAGHEEALVNDGDD FKTAGHGSADDDGWLRTGGLASSQSLKARHVQTVDDAGNVAYTTGFEEDDIPDMEDED DEDAIIPDTGAESKNSGRRTYTLYIMYSPYYRTPRLYLSGYLPNGQPLPPQSMMDDIV GDYKDKTVTLEDFPFFANNIKMASVHPCKHASVMKTLLDRADAALRIRRDKLRAGRAL GSDPGMMGLEDEVAKLDVKSAQEAADKDEWEEVKGAEIDEHEVAIRVDQYLIVFLKFM ASVTPGIEHDFTMGV UV8b_04133 MTKLSSDSFLPSGAIDIPTNGPIGGFASHSGLDTFRPKPSMALS GGATSLCSQVPVRQAAPDSRTAHDTSIQSPSTNNSDTGDSAHHDFPLRQSLLHAPSTV YLPDSLSLPTCACAVGFKASSGRYFHPNAPSPSPPASWDIGGASLARSVSADAVVSRG IGGRSSLAESSIFQRTNLDALRRRAEELCRDQQAVIDDMSQEWLREKTDMSRLVRGLH QRIQRLEGENAVLRAIASHSAPVPGLSSFHSCFQSHGGGGGRSWNKSSPPQRTLGRGA SGNSAAMSLPPGLDGASRRPHFAKRTGSPSTSPLAAPTADHPVLSMLLSPRTEPQQSA CADFLLPSPPDTNGDVPVIDIQEIDPKLEGVPLKINAVRKPTFEPRATTDRNPKLATS PRSKPPGTNYGADQARRKSDVLSRDRVRGDRRRSGLTPLAISKSQEQTKHILATDESR RLTMHAGHTPNHSLSLFPTMTATGSGSAAARSQEDTPVAAWPSNNPAEERAYTPDGHD ANGETRLSESLKNLPDGHNPDGGHDRGTEAYLEPADDVALKGPLMIKNIPAQDEIFWA QVNQRLDPISRGKGALPKVLQSPEAAVSQSMLDANSPRAANGPDPEDASPDSDGGKPA VEPDVPLKFKSTCNFGAPFGST UV8b_04134 MGHGLGMRRWKFPPRTEPAAALGAHNALGQRQTVEPQLLAWRMG NRAKNRAKTCMSPKDCPDAEA UV8b_04135 MPTALPYPTPLTDPSNSSSPPLSHCSSSHSFSLPQFPPDITDPI LEEGDKESGTDSPIEPVTPVSGGRHSRDFSTVHHSQYHDYQQALQKVHSAQNPPAFTH HKAPLFLSKTAVPSESPAGHILGHLAATPHLQVPDQEPQRQQQQQQEQQQQPPPSQPT LRRRSANSSRSNLRRKMSRLFRRSNSTLEKDREYAVTDSHAPVSESAPQSSSFVNTHG VMTPRCPNGVKSWTTTRSNSPPSPGSPLEMAPSHRVNSGITSGSLPNPADFKKNRAST GLTLRGRAVNFVGATIGRGHRPPKTPRRASSFDTGDRPSGAPLPKHVDEEKMYPPQRL PWPLPPDAGTGAKARRMSLSLPDDFTVDVAELLTEFEYQSKLLGRHGRHLGKGAASKV TLMGRKGCPGELYAVKEFRGKSNRESQQDYENKIKSEYSIGKSLHHPNIVETIRLCTD HGRWNHVMEYCSEGDLFSLVQKGFLKGEDRKKDRLCLFKQLIQGVNYLHANGIAHRDI KLENLLLTKDSKLKITDFGVSEVFSGTHPGLRESGGQCGQNMGEVRLCAPGICGSEPY IAPEVLEKKESYDPRALDVWGSAIVMIYLTFGGAIWSRAERGNLHYDKLVKGWDKWYS KHSERDACITDTDYPNCYALDVGVNPPALRRILLQMLNPNPGKRITINDVVNNRWMRN VECCQLESYEEHSQIIDATKKDTCNKGSARKIFCHNHLPPKTSGSHSLGKMPGSAGY UV8b_04136 MTTRYRVEYALKTHRRDQFIEWVKGLLAVPFVLYSQPTGLPGDD ASVTKMAEEAHRRYAEIMRDVELMIDDHILRQRQYLSQMQAQDGPYAGFISPVPSKLG MLVPTAGPFFTRLPLEAAFKYQDRKRYISSRRYVAPSFNDVRLILNSAQIMAVTGGAL QLATFDGDVTLYDDGESLEPSSPVIPRLLDLLRKNIRIGIVTAAGYTSGEGYYGRLHG LLDAIAGSADLDDSQKHNLVIMGGEANYLFTFDASSPHRLASVPRPDWLTPEMAAWSE AEIAALLDVAESALRDCVRTLNLPATIVRKDRAVGIVPSEPHLRIARESLEETVLVVQ RILELSHLGAPQTQPQTPQSQTEPQTHSQMQSPAQAQAQAQARRGGRQRGIPFCAFNG GRDVFVDIGDKSWGVTVCQQWFGRQGTAIRGENTLHVGDQFLSAGSNDFKARSVGTTA WIASPAETVELLDELADLMQKKLS UV8b_04137 MDTAALRELLGGSLATDADNRRRAELQLKQIEEQPGFVDALFDI LQVEQEASVRLATVIYVKHRVNRAWHQPEQYSGEYIIPEDEKARVRDRLVPVLAASDS AVRQQLIPVLQRVLQCDFPARWPRFMNFTTELLNTNTPSSVLAGLQCLLAICRAFRYK SHDNQDRAQFDTIVASSFPRLLSICNELVNQESDEAGEMLHLALKSYKHATWLELSSH LRQQQVNIAWCTVFLQTVSKVGPAQALQGDSFDRERHHWWKAKKWAFFNLNRLFIRHG NPASPGKGDEAAQFAKNFISTVAPEILKHYLQEIEKWVAKTSWLSRPCLSYIIVFLDE SVRPKEMWTHLRPHLTNLVTHFVFPVLCLSEEDAEQFDDEPEEYLHRKLNYFEEASAP DVAATNFLVNLTKNRRKETFEILKFVNAVVNEYEQAPDDKKNHMAKEGALRMIATLAP VILSKKSPIADQVEYFLVRYVFPDFTSPRGYLRARACDTIEKFEQLNFQDVNNLMTIY RHILDCMADPALPVRVTAALALQPLIRHDIIRTSMQQSIPTIMQQLLKLANEVDIDAL ANVMEDFVEIFATELTPFAVALCEQLRDTYLRIVRELLEKESKAGEDGELFAEYDDKS ITALGVLQTIGTLILTLESTPDVLLHIEAVLMPVIKVTLENKLYDLYNEVFEIIDSCT FAAKGISPNMWQAFELVHTTFKAGAEYYLEDMLPALDNFVQYGTSQLVQNPEYIQALY SMVADMFTDQVQGGVERICACKLAEAMMLSLRGQIDSCVQGFTNMAMSILAAQEIKIK SYKIHLMEMVINSIHYNPLLTLQVLENKGWTNRFFSLWFGSMSSFSRVHDKKLCIVAI AALLGVNHEQVPASVSVGWPRLLQGITELFRTLPNAMKNREEALRDDFHLESTYDYGD EDEWDDNEANWNGEEEEHNEDENLESKDESKAYLEFLNDEAQKYSRAIEDPDDDELGE DSVLLESPLDKIEPYQLFKATLLKMQQEQPQFYSSLAGHLSAEEQNMLQTIMVKADEV AVQQAQAAAAAAGVAPAS UV8b_04138 MATSSWPATSSPHDAVTSRRSQFTYRQLGQLASFKTSNPLRVVA HIDLDAFYAQCEMVRLGVAEDKPLAVQQWQGLIAVNYPARHRGIGRHCNVSEAKKLCP ELITQHVATWREGDDKWAYRDDAAAKIVTDKVSLDPYRLESRKILGLVKDSLPQHLQK VEKASIDEVFLDLSAHVHNILLQRFPELSNPPPYDDPTERLPLPSVAALDWQADALID LSEEQENVDPDWDDVAVLVGSEIVRNVRRRIREVLGYTCSAGIAANKMISKLGSAYKK PNSQTVVRTRAVPAFLADFRVTKIRNLGGKLGEQVVAAFHTESLKELLGVPLDQMKAK LGDETGLWLHNAIRGIDTSEVNSRTQIKSMLSAKSFRPSISTEEQAVKWLRIFVGDIY SRLVEEGVLENKRRPRTINLHHRHAGQTRSKQGPIPPGKAIGEEFLFNSARELLGQII AEGKIWPCENLGLSVAGFEDGVRGNMGIGAFLVKTDDSGPSRSRPPEKRVSDVQNTST RKVRVNNGGIHHRLRSTGNDSDRNESAGRGSPSKALSPGTSVHITPMLQVREGIMSRQ FVSDSATEFPCQRCDARFYESEKLQSHQDWHLAKDLQEEERVGHTLVQKPAVARGSNR KRPSGTTRHSREGKLEHGQKKLYFK UV8b_04139 MSRQPRNPAASAQPATTRQNEYFVPRDGIDREVISADICRYLGN DALVRPGHYENPQTGQVVQGYYITAYRNLTTAMIEDLKADSARWDSERRAQTSRNTPG VQYRYSETHQSRQHHGPTEAPFQPESYPRESFDGPRYPGSGAPGYTGASNAYQQPPPQ QSYVASNGGGFNVGYQQTQQSAPSDPRFVPAQPGSMMRPGYQQNQDPPYIGTGANLPQ SGYSGSSDPYSNRMAASAGTPQQPVYSTAPPPQLGYPAPAPQYQYQSQAPLPTAGGHS YPAMQPHDPFYGRASPAGPVPPQQQQPPSSVFASPGQQFDETHQTRPSLATARNQTPP SGSSNRRPDGDRQHRPTRR UV8b_04140 MMLPHVLHNIWAHVRVERLWWAVRGGLALASSCDPVLALVFNLI MLSGSDPPVECLYLDCDLLNTVSPLSLIGCSGSYGSGCPLLLSCVRVMVIPGQPAQRL G UV8b_04141 MGITDGQLAAISAVERACSVLSLLGCLFTIITFCSSRSFHKPIN RLVFYASFGNMMTNVGTLMSRSYLRNMDSFACQFQATLIQLFMPADAFWTLAMAINVY LTFYYKFDAERLRRMELTYLIACYGIPTIPALAFIFIRNSDGQRVYGNATLWCWIDTD WDILRITMFYAPVWIVIFVTMFIYTKAGRMIYEKRKQLRNFHSSDRDQMSASDTLATI KTTEVTVTTEVVGSRAIPLHPVTPGDSAAGESSREMNGVYSVHITADSNHVSDFGNAA RPPTADAAAAAAAAAAAAAAAAAQKASTPNPPRRPANTNRRRNHELNNAAWSYTKCSI LFFTAILITWIPSSANRVYSLIHDKEASLPLEYMSAFVLPLQGFWNAVIYATTSWSAC VEFFQSIKSMKRPGGIRGGNSSRARSLERRGTPFKSAAGSSRTLESSSTTELTKDEAV AADPGRHC UV8b_04142 MFEDYSRHHPEASQSLGLPWETVTQESGNDTFTLAPLFLFILLA SFLLSPKGYLLRYLQQRERPQYNIKYRQCVDCFLGRCLLRPFDYAQYVSGWLFSALIS APFIPLIQRLPLVSLLYQGLTNNPLVNDCRCLRQSELKPVHHPAPPLLLSLCPPIHLP LVFAMWDVAWTDPNRELVGQHRAKRDHDKDEGGQDRTLARNSISTASSKGSARSAFSR FRPKPSRQSPSSIGSLERDGPAPAELSTSHKIKTVPALSHLEMDIGGTEVLNERRMSR SNPDISRASLETAANEVASSGSITKRTSILKNKVLCDQARPAAAASSAPIIAHDTVSN NHGSHQEQAADTEQDCLYSIPQSAVENVNNTPERPLNQQAPITQSFASENSQQAQSPS RLKPRLSPLKSSNDLGVLVPPARSPLRPSPYSSVRPIPMNDVSSWRKPTDWKQNKAAL GTVQKPESVSRLDVNNSTIMPCSRIVPSFPTLEVEARNMARAGPLLALSELQKCQKCA VGLDIQDELEEKKKWWMLSVLHHLDQKAPFNLCGRQTEGQDSGHLQQPREKVMAVFQP KFSADYLAALWPEKAVYHLPNGPLSFPTELSATERHNPTIASQLNESTSLFDAAYSMS LPSLCDVGQIEAVVSKISRSLKARGVLNLILIDPTPNVGALGERLRTWLLENLLLNLE RNSRCLYPSILLPHLLGAASLRGPGSTLVKARFYANPQNIGRHGEKETSADKEVRRDE EIRAELRSTVGCMLWREVWGGFVTSDTWWWDDAACMQECLERGTFWEYYFIRAIKPD UV8b_04143 MACQRHSFDLFLRASHESHHSHCSWLKLPLVLAFPCRTEHRDST CSCLPFDCNRTLLNMTLPPKHTSLPILKELHRLFHALDRAVAKSKFGRFFRLSSSDHP DRIENATFCREVRAGLTTFAAMAYIISVNASLLSQTGGPCICDSPVKDACDSIPEFKS CKEAVRRDLITATAAVSGMASFVFGLTTNLPIALAPGMGLNAYFAFQVVGVNGNGKIT YQTALTAVFVEGIIFIVLALTGMRQWLVKLIPSTLKTATGVGIGLLLTEIGLSYSAGI GAITGGGNATPLALGGCPAELISPATGLCESGQMTNPKMWLAIFCGGIMTAFLMAYRV KYSLVIGIALVSVISWPRGTTVTYFPNTEEGDSRFDFFKQIVAWNPIHSTVNQIDWTF RNSGSQFLLALFTFLYVDIIDATATMYSMARFCGVVNPNDGDFPRSTVAYCTDAFFIS VGALFGSSPVTAFIESGAGIAEGGRTGLTAIVTGMCFLVSIFFAPIFASLPPWATGCT LIMVGCMMTRQITQVNWYYIGDVLPSFVVMAFIPFSYSVAYGLIAGILVYTVLNGLIG LVLFVSGGRIEPREYDLKEYWTWKGKGRLPWFVRAVQSRKREAGEVDGAIVHHHDGGD GNCDDSTILARVKTASNDYSTTSG UV8b_04144 MSGGGWNTIESDAGVFTSLVESLGVKGVQFEELLTLEACELAAL QPLHGLIFLFKYPTDRPYATPDGPLDGSFDHAASQDMFFAAQTIQNACATQALLSVLL NQADQVDVGPQLREFRDFTMALPPEFRGEALSNSDLIRGVHNSFARSSPFADETRRTG EAEDAFHFIAYTPVRGALYELDGLQPAPIAHGPCDRDSFPARVVEVLRRRIARYEATE IRFNLLAMCRDLRVRARDFGDHDLLAREERKRQEWHFENALRRHNFVGFAGEVLKGVV AAKLSQGGDAAVDRWIDQSLERRERAEAPFQAKDEGDVDTKMGS UV8b_04145 MCETDNLLDIFGPDALRSPDRQTIHLVIRDPTEGHASSSPAPNS GRGSSPAVVASHAPTPVVVQQAVQMSFGPQPTSGPNGRTQSQPAIAAGSGSRLPSPAP NPAAAQPDATMSFHQQHQNMANWISQIQREAMTRAIVHQNQRGRAQMGMRGIGDPTGG HHHPGGNPADSSGGRSSPAPTHTIYREAFGPNGHSFHVETVIRPSAPGQQNGLSPIDV QNILRSADVNQAAAAAMASATAAQRSAPGPPPVHGRPLNQPGVTTPVFGSGGVPTAGS GRATPDVGARTAHAASSLPLPLSNFANSPRQGLEVYILSSPEGPRALLLNNATSEAFY TPRLRPQSSMPHLRTVPSFPNLSFAAATSPHAPPNIGHQPPRFDGHEQQQQPRQQYQP AQANHGGVANGQTPIDAPIQQQQQPQPQPQPQPQQRQRQRQPRLHPANPPAAGIPPLL LRAWPHMWLVIRLAVFVWLFTSPNSSWSRWFTVICLAGLVFLLSTGILNGSNTLKDND ASQLVVVLQNKSSHLSKRETRTRPKWPQGWSLTAKGDSRPGLVLKYGD UV8b_04146 MSALGVVGTSRLLRRHALTAVRSRCSSCAAEHVANLVANSSRPL PGPGSLFSRRFASKSGKRPRFSQRLGEALRNSKITWYHIPVGVGIGFLGLVQFYKVTA REERRRREEADGVAERPSKRRPKVRPEGPWQVQIMSTLPLKAISRLWGRFNELTIPYY LRIPGFKLYSFIFGVNLDEVAEPDLRTYPNLAAFFYRRLKPGARPLEPDSHALLCPSD GKVLQYGKIAGGDIEQVKGMTYSVDALLGKSPSGGGLSTGRRAAASGQPGVAEVHGDE AIVKQEEEFAKMNGISYTLPDLLLGRPDGQQPHVVPDASVPEVSQGAISEVRADLALG EKPWYDARPSGDALSLYYAVIYLAPGDYHRFHSPTNWVVERRRHFAGELYSVSPYLQR TLPGLFTLNERVVLLGRWRYGFFSYVPVGATNVGSIKVSFDRELRTNSLLTDTAADRA AEEAARRGEPYLGFAEASYEGASSLLRGHALRRGEEMGGFQLGSTIVLVFEAPCDKHE PGKPSTGWQWAVEKGQKVKMGQALGRVVEAET UV8b_04147 MSNSSQTKNFVASSSDCIPSEPETPSIAPSLIYSEESDDQEEAT VQSPQSPSSTRQRRASTRLIAKNGRDIQRITGETTAEFVGRCCGGGCCLLGSRPPGVT YEKVSLPDNDAFRSLGLKIRDIPTKLSKVAPVPEKTVAFKSIPKAANSASSKDSGIFV DSDHESVAGSDSPSDNAYWDGKLALVDTSIQPPRFVQPHPPYHVHPAKIHTARELTKE GAEKRTYHFDLDITDYPDEDGTEFKVGGAIGVMAPNCDLAVEDVLDALMVPRFLRDKP VLLVTSKGRYPTVWGDEKPRELATTRRDLLTWCTDLQSYPPTKPLLRVLAEHAEAESE KKILMFLCSSEGQGTFCDLRTGPHITVSQLLSAFPSSHPPMDELLSCLQPLMPRFYSL SNDPHESFQIRDQKQHRLIEIAVTVHETNDWRKGCRTGVGSGFFERQARRYIAAQEAG EKSAEFYIPMFKGLMANPLAAQFNSDGPMLLIGAGVGIAPFRGFVQRRLKQANCANKV WVLQGIRDSLVDEIYSGEWGVHEDEVKRVVESRRGEGRYVQEEVRNQADLVWYIANTV DGRIFVCGSSKGMGEGVTDALVDVAMIKGNLERDEAKNFWQLKKDAGQYIAETW UV8b_04148 MAFGARGAPRGGGGMRGGRGGGRGGFGGGDRGGRGGARGGGRGG ARGGARGAFGGRGGRGGPRGGRGGGRGGGKPGQRGGAKVIIEPHRHPGVFVVRGGKED GIATRNLTPGESVYGEKRISVDETIQNDDGTTSTTKVEYRMWNPFRSKLCAAIAGGAD DIYMRPGSRVLYLGGASGTSVSHVADLVGPTGYVYAVEFSHRSGRDLINMASRRTNVV PIVEDARQPARYRMIVPMVDVIFADVAQPDQARIVAMNANWFLKVGGGILISIKANCI DSTAPPAEVFANEVQKMRAESIKPKFQLTLEPFERDHCLVAGEYQRYKS UV8b_04149 MVRYAATEIQPSKSARARGAYLRVSFKNTRETAQAINGWKLQRA VAFLENVKEHKEAVPMRRYAGGTGRCAQGKQFGVSKARWPVKSAQYLLDLLKNAESNA DAKGLDTGALVVKHIQVNQAPKQRRRTYRAHGRINPYMSNPCHIELILTEGDEVVQKS SEVVGREEIHLNSRQRGARLRKAITAA UV8b_04150 MRPATVIFALAVSVKAAPTSPHLALEANPVDALDNLSGYFNLVA VKVQAAKTLASAPSCDLSRARMPTLGLNALPSPDRGLTVRHVAVGRGTQNYTCEANNP EASPKAAGAMATLFNATCVAAVYPDILSRIPGMAVHFDLEESEKLGPTGMTKSGVHYF LDSSTPFFNLDTPAQDIGQVHAAKNSSSNAPSTAAVGQLHEKAVAWLRLTSKHGTTGD IKEVYRVDTAGGSAPASCKGMPSRFEVQYAAVYWFWQGSNSSS UV8b_04151 MEASAEQSKSALKKAEKQAKLAAEKAAKAAKKSNLPVVGGKKTD DIIGITSLKAENFSAWYQEVVLKAEMVEYYQEISGFFVLRPLSMYIWNTIRKWFQERI EEMGVEETSFPLFLSKASLDKEKDHVEGFAPELAWVERAGDKKLEVPVAIRPTSEAVM YPYYSKWIRSHRDLPLRLNQWNSVVRWEAKQTTPFLRTREFLWQEGHTAHLTEELASK EVLEILELYAGVYEQLLAVPVVRGKKTENEKFAGGYYTTTVEGYIPSNGRGIQGATSH ALGQNFSRMFQISVEDPQNKGQHVNVWQNSWGLSTRVIGVMVMVHGDDKGLVLPPRIA QIQAILVPVGINKNTTPEDRKKHEEQMDSMRATLKKAGVRADSDWREGYTPAWKFNDW EMKGVPLRLEFGPKDAAKEVVSFARRDTGDKGTIPITDLATKIPELLETIQADMYRKA ESAFREHRQKITNWEDVLPALDAKNVVLIPFCLDGKCEDRIKELTTRADDDRDVPENQ KLPSMGMKSLCIPFEQPEGDELVPGETSCLNPECQGKAQKWVMFGRSY UV8b_04152 MASIFEQPRNGTLFLGGQKISGSDIRDQNVLATQAIANVVKSSF GPSGLDKMMVDDIGDVTVTNDGATILSLLDVEDPAGKILVDLAQQQDKEVGDGTTSVV LIAAELLRRGNELMKNRIHPTTIITGYRLALREAVKYLNENVSIKVENLGRESLISIA KTSMSSKIIGADSDFFSNMVVDAMQAVKTTNNRNENKYPVKAVNILKAHGKSTLESML VKGYALNCTVASQAMPTHIQDAKIALLDMNLQKERMKMGVQITVDDPQQLEQIRARES GMILERVELILKAGANVVLTTKGIDDLVLKMFVERGAMGVRRCKKEDLRRIAKATGGT LLSTLSDLNGDEKFEPSYLGHAESVSQERISDDECILVKGTKAHSSASIILRGPNDYS LDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFAGTVGSREQLAIGEFA QSLLVIPKTLAVNAAKDGAELVAQLRSRHALSQRIQEGDASEDEKTIARKKGYKNYGL DLMRGKVVDELKQGVLEPSISKVRQLKSAVEACISIMRIDTLIKLDPEQKDEGDGHGH UV8b_04153 MASLTSAPRLCLRSIAKPLGPAVRSLSCSAVRSDSGTSSYQSPF KMGSDKGSSIPDFGKYMSSSKGENKNKLFSYFMVGTMGALSAAGAKSTVQEFLVNMSA SADVLAMAKVEVDLNAIPEGKNVIIKWRGKPVFIRHRTQDEIDAANKVNVSSLRDPQT DDERVKQPEWLVMLGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNL EIPEYDFPEEGKLVIG UV8b_04154 MSFIAPIHRPTSIRHALRIRFLSPDEEDLVVAKANRLEIWRVTD EGMTCLHTKLIYGTISMLQRLQPKDSETDLLFIGTDRLQYFNVAWNAETSQLDTVEQT IEDTAEQYMRHSQSQNKCLVDPTGKFMAMHLWEGVLNVFRLPTRKGVTTKLVALDQVR LTELWMKASTFLHSRTGHPRIAFLYKTQLDQEEARIAVYRLTKDDKGGDVSRFDPHKE RELDQVIPDPYASMLIPVPVKEEKRYHVRNTEGAKAHLGGLLVVGETLLTYFDSLTYS SVSSTLQDAKIYVAWAEYNGTNYLLADDYGRLDLLTIQTSLGPTGDVVTGMTVTPMEF ADGSAYTSRASSLVYMGDRMLFVASHHGDSQLLQVDIKTKKMVLIKTLSNNAPILDFA IMDMGNREGDTQSGNAFSSGQARIVAGCGAYHDGSLRSIRSGVGLEDEGFLDEIQNAN QLFALKSFGASHVDTLIVSSTADSRVLKFDSDGGIEEIYEFQGMTLSTETLLAANTVS GQLLQVTQQSAALLDPDSGVVASSWDVPDGKSITAASANSKWALLCVDGTTLVSLNLG DNLAATMSRDTPPDAVADHVDQISCLHAARDFTDIGIVGWWHSGTISLVTLENLKPLH GESLRHTEDSASIPRDIAVVQLHPPEVSGPTLLVALEDGSVVTFNLSPTDYTISNRKS VTLGSSPARLHIIPQSDGTCNVFATTDHASLIYSAEGRIVYSATAADDATCVTPFDSE AFPGSIVLSTDKDIRLCRVDKERLTHVKSLAVKETVRRVAYSAGLRAFGLGCIKKELI NNEEVITCAFRLVDEIVFRQLGKPFYFDALASLEMVECVIHAELPDSAGNLAPRFIVG TSVVTDDDCVEEGDTRGRILVLGVDENRQVYQITSHKLKGACRCLGSLGEYIVAGLSK TVVIYRYVEETTATSSLQKVAAYRSASFPVDLDVCGNMIGVVDLMQSLSLVEFIPSQD GSRPRLEERARHYQPGWATSVSHLDEDRWLEADAQGNLMVLKRNRDAPLEQDRKRLEV TSEMNIGEQINRIRRLHVAVNEKAIVSPKAFLGSIEGTLYLFGDIAPGYQDLLLTFQT RLQDYIDAPGHISFDLWRAFRNQSREADAPFRFIDGEMIERFLDLDEAEQKLVCADLG PTVEDMRDMIEELRRMH UV8b_04155 MDFAENADKYVQREYCFVNRSGGSFDVERNLKSLRATAFGPLSN CLTDEGDQHSYTLPVNGIDFQEPDDQPALLLRNLEQACKDLDASHQMLPKWNYSYRNL TVSSELLDIGFHAELDLAKYMHQFNEDLFGLSATATVMHPNLIGISHHSPEAFVSLLQ QTPEPSVSPTQELKYLSLMNQGRERECDDVIASTEPMLPRQGLQAAAFNLNHTQQSSA PLATTAAHVVSKDSVLSQTPDHSHALPPRKGVEQIALHEACISYDETRDGILSDIEIF TSSTVNDDGQESVSKYFRPNSDFAGSPKATESPIKQFKDEGVWARAEATSLTSQKEYH ETDQLLSRFMQMRGNKGRISVTTEAVPCNTASTGKSKSKVIKGSPMKCAREAKSVQET IQADTNEWLATSSPDVSVPTKTGCCLVSIQLGPSVIRHIEEVWPAEHLIDRDFNSQLA STGLSSASGGVASFAAEVDVSLTSDTGLISTTLLQIKQKPLPGSHALTTVRQRIYNLS LKYKTLIIFVAETASSKEDRISLSTSDMAAYTDFVCFTTSLRAIVTVCLLPGAAKTLA RWILSVMAKYAPQAAGLHYATSLGDTSWELFFRQSGMNVRAAQILAHRLFEDFGTSGL AKFLAMGSSERGARYGSVLNLEEELLQAACLWDEE UV8b_04156 MAIFSAGLPAEETADLELLLSPPTPVTNGAIDIDAWTISALASL SVSPIARGTGTPLAIPLDDALPSDKTPRSGACAAPPHEHGLPRRPPSSRDSMKRREAL LRGNEGSRQRRRWENDRLVGVPNVQPPLPADWEVHPTHRVHHVPYQLAQFWDRGVGRR VDDRAARLQAARKKQQLKTGTATGLGVGEVPRDLRETAKRTPAVRNWVRVLEEPVRRF LREEHRRRQPSAQRQQAPASMDAAGSEVDSDDEEIVFVGRDKAMRELRAKKQLRHRKA AGQANHQALDSGVVFDSFGDGESAAFKRWLAHSISDYYGLASRSITLTATSCRVVYVG LKHVHGGSYPATNHLPRPLWELC UV8b_04157 MGGCETKTPKTRAHGGRGVHSSEEKSAGAAPARMPVGDQTTCLV DGGSKQAERGQALGSTAGPTDQTIQRQEPTLDYSVHMRFISKSAAVPTLVGTGTTRQR PGHSHRAPRGSQVEAP UV8b_04158 MNILQSTHVECEPLRTLRYLELVISILILVGLLISYLPQHYRII SRGTAEGISPYFVLLGTTSATSGFANILTVSQSRQAIECCKELETFQCVSGLLGVAQL GVQWVCFTLILVLFLIFFRYQDANVPSGELGGESPKWQTAVGVGLACAVHGLMVVILS GVFTLAFPNHLTAWANFLGVMAAALAATQYFPQILMTYRLKHVGSLSIPMMCIQTPGG FLFAASLYARLGPEGWSTWAIYLLTAVMQGCVLFLGVYYELAARRQEQQLAVQIADGS RAPYESLEGSAAPRRPFAPRTYSEGWERGLPGPFTGHPERYAETEEDLDDIREREERA IARENQPLLRPGGIGNPRKSYQTNKRS UV8b_04159 MQLLAQHAPTSSTSSSGSLATVDTTQPTPSRVFYSSAQWTADGT TILVASSDNSVSSFVVPADLLQTSTCPQQLEPRAVTRLPEPTQVVAPAPFFSLEAAST QAFLVACRDHPLHLYHAFPGAHAPAPIGQYKLIKHETEQYLTPSSLVWQYPGTHFICG SANRLDYFDVSRHGSDGPVLTVPTIPSRRHVSKGHGIGMKGTVSALAVSPPDSNGSSL LAAGTWTRWMGLYDLHRSDGAVANWKIAQADIPGSCSGSHGQGIVQVLWSPCGRYLVV NERHADAVLVYDIRGSGKLLSVLTGRTAGTQQRLHGDVFNGCHDGFEVWAGSEDGAVL VWEKVGLTAAETPPSWSWKPHSSPVCSTILHGSGSVVATCSGGWEHAGVDQSVDPSMA VPGRSQGCRVLDESSLKLWFLDEPPAG UV8b_04160 MRTIPDHCCTLLPSPAAMAAFLLLSTSATMTAAHVLPRPTDPVD ALSPGVSSWPPAPTVAFQWPNELELRRRQAGTICGYIGGDPNLPATCLPGSHCAVDIE HGAIGCCPDGGSCKDGIFTGCVDRNSGPQTVADPYIFTCRGGNVCYKNVFEGGYSQYG CGSASDLATTVALSASGKPPLALTPISVDLTATPTPLPRPSSLGLETTATSSGSGAPS SEGSDSETPAASSQGPKPSSTGSGDAAPSSDGSKSRNSGAIVGGIVGGVAFLFALAAL FFVLRKRKWSNDRRSSKDSVDPHHPRLLVPGNPHFEPYASRQEVSEAGIRHSNQLNAE RRSSDDVCAEFRPIGTSSPHPHHLDTEEEVPSRDGHQSGESDRVPLTRGLDEFSRGLN PALEPIDDDPDAENHSPQAYPGPRRGGQGGVLWHQNRRRSRNLV UV8b_04161 MPIRLRLRPQSGVRDLSPMSARYDFDMPDVEQGDSFRQVVKKLS LYFTDVIELPSTFEQLRTTEAGNCIRVLVDHLSENCAHPAIVNALLVLKWHYAAANEH LSISETRSYACEITAWRFLTRLSERQAVEFCLYEIPADPQQDEETSRRSRDQEAGETS PLLFGPASADGQPRGLAVGSISERTKMLSSLSRLTHLSDETAEDADPTAAFKSLNALE IAAIANAKRFLSQHVVQKIITGIWNGDVVFWDTLSVDSVKKPRYYNPRTSDPFSRLRV PKYVKAWEVIFFVAFLCLYYSVLITRNDTRITVTEIFLFVWIAAFFYDELSEWIDAGS VFYATDIWNVFDMIMILIGFTFAALRVVGLVKRNSAMNELAFNVLSLEALFMMPRICS ILSLSPYWGTLIPCLKEMGKDFVKFMVLVVIVYFGFLTTFSLIGRDVFTFGRMTGILT KIFFGSSYVGFDIMDQIDPFFGPPLMIIFITLTSILLQGSLTGMLSNSFSRVITQARE EYLYVYSVYVLEASTSNRLTHFYPPFNLIALIMFRPLRFLFPRVGKFRAGRIVLLRAT HVPIVGAIKLYELIRRKSQDDDDEFAGFRGPRVSKRSRPPLSYARPSSGYSRHTVPLS QESTLLKCENRRREDDELEDPTGVEGQLAELEQKIDRLTSLVMTLQSA UV8b_04162 MSVRVGDSPEDALSTGASTSASPSPSPSLAARNGLAMRQKLEAR SASGAKRKRADTVASEPSTHRRRTLDPGNGDENEENDSLNVYDPDQPVEERRAIQRGF RDLLKNVTECSEELLQSDSRALHETILKANELSKQVKQTTEATIDSRLLVSTTDLSYR KTLRLAQGSLSQGVDVDEFISKAITYMRHGSGISDDNALELSSTQRHRRAVSRRGGNG GDDDDDDDDDDDEDVGDEGDMMNWPHLGRFACLPHIRRPALPGFLLGPLSVEKKARKI AKRSAPFRPNALIEIRPEVLNVEDMAKKENDLTSICTKILQRLHEIQAETQETVADMI EDDMVDEEKTRIMHQHGLRSTGGIDLMRFVVNPRSFGQTVENMFYVSFLIRDGRVEIE LDEFDLPALAPVDREPADDRTQRHGASKHQAILSMDEQTWREIITVFNISEPMIAHRR EANHSGPGARSWYG UV8b_04163 MTGPASAPRYSAEDFETSSIRSAAPSYVSDVPSYHTLPYNQNEV IPPYSPPPPRTATLVSSASPPLFAPGRQRSSTALHHSVGLPPLPPVASPNTMSIHNFH LPTWSANNGPAARHYRNVAERRITSGRYAASPEPMARPNATERASEQPDDDTEMRPLE DPFLVGEVAAAQARQERLLREGDDILVREDRQWDWLLAQMRSWDERERSWTRFRREAE HHQRKKLLRRIGGRLLPT UV8b_04164 MESDYAPKFAPFIGMAGIAAAMIFGSIGAAYGTAKSGIGIAGVG TFRPDLIMKCLIPVVMSGIIAVYSLVISVLIAQDLAAPSASQKYALFSGFMHLACGLA VGMTGLAAGYCIGVVGDKGVRAYMEQSRIFVGMVLILIFGEVLGLYGLIVALLLNSRS KG UV8b_04165 MADYQYDPPAQQRPPPRGYPDPNNYQRDTAFSNIFGAAPPPGRS QTMSSSNAPPMMDQGRTQTMSSPMVPGMQRQPPPRPQQAYHGERDPMAQRPRHYDPNA GGGHVPGPRSASAEHQVSRHAHHMQQNQPRRPYQGPGGAHPHPPRMELRGPPPLAAGA RYGPQPIYQGGPRPALNSDPYRSQSLASTSRQQPYHQPPTSFHQSPANQFRQAAYSNH SSARTTAQGRVVPERHHDDRSMSMTGSYYPTDRDSHQTMSGRVIPNRRAPNEAAVSSN GFSPNGVYQTAPGTQTRTASMVSSTGFPEHVRTMSMASTAASTISPSDSDASTLTGRP SRSKSIESERPHTATKIRPPLVYPALLSRVAECFRRKIITGDRTKNELTYKNAFSGSE AVDVLSYIIRTTDRNLALLLGRALDAQKFFHDVTYEHRLRDSQAEMYQFRESLMEDPE EKPAVNGVFVLLSECYSPTCTRDQLCYSIACPRRLEQVSRLNLKINPGLRKDVATNVN DDEADQTDEQKLWINSVPKEVADAVGEREKKRQEVISEICYTERDFVKDLEYLRDFWI LPLRSKASPIPLQRRDKVVKNIFSNIVDHPSIHTVSSRFASSLTGRQQKEPIVQNIGD VFLEYVPQFEPFIWYGSRQLEGKFEFENERSVNPFFGKFVDEIERRKESRKLELNGYL TKPTTRLARYPLLLENVLKYTEDGNPDKEDIPKVLTMIRDLLGRVNAESGKAENRFNL RRLHEQLRFRPNERVDLRLAEDGRELVFKSQFKKSPTDPAEITAFLFDHAVLLVRVKQ NGKNEEIKAYRRPIPLELLAIREMDEVIPGQGIKRSSSSLIPSIRANNADAKKGEGWP ITFRHLGKAGYELTLYGSNQAARKKWLEFIDNAQQRLRARADFFNTTIISSNFFGGTN QINCVTPFDGGRKLLYGTDNGVYLSDRKNKEQIPRRVLETPNVTQIDILEEYQLLLVL SNKTLQSYSVTALNPDEPAMTKRPKKIQNHCSFFKTGICLGRHLVCCVKSSALSTTIK VFEPNDAMTKGKKQKGLGKFMAGGHDELKPFKEFYIPTESSSVHFLKSKLCVACARGF EVVSLETLETQSLLDQADTSLDFVARKENVKPIHIERLNGEFLLNYSEFSFFVNRNGW RARPEWRIDWEGTPQNFALSYPWILAFEPNFIELRNIENGAVHIVPHKNIRMLHSSTH EILFAYEDEKGEDVVEAIDFWKNDRRSQLLGGSSLAHPSNP UV8b_04166 MDGWRSSTEGPWQPVSAAILAEREVARRNELRRRGLCTTGCPGL DEQVLLGGLERGSVVGVSAEEETTGLSLGLQILSQELSSHAASHVLVVTPRPVGPLLH MLRDAVRGVLHRRGHLAQPGGGQLKLKTCLDRATLSCAFDTDGLRGVLSDLDATATAT ATATAGSETAAATPEIQDSQDEQDAPPPPPPRPPRPPSPSVILITHLPALLGGLFAQR PGPAALDALALLGARLRSLARRLPSRPLIVLLNSTTLADCAPEPQPPRPGATTTTTTP PPLDPSLRSIFDPPPRGPSGLCRRSRPSFGLAFAQLVELHVLATRVPRTAVDARRSAL APGEAGPRAEYVTVVEVLLDDMGVWQGRRGPRPSREQRWAAVRLDRAGVVDESWD UV8b_04167 MSGPGSFPKGQLPPAGLSIHLSSNNPFRNRAASPAGRDAAPASR AASPFDDPTTRQRPVSRNPFLDNSDQPTKPPAFSSNKPEQKPISAEDFFDSLSLDNKM PNDKLPPALMAAHRRPTDQSSAPRPGRPSNQHRPTKSQEEALRAKKPTTGVRPEASQS PQRKSQQRRPRRNSDSSVLDFSAQPITPEELKMIEQKRLRDRQRERAPREARDKDKDK ERSAKGRSKSGRPSKRLDIIDQLDATSIYGTGLFHHDGPFDALNPHRNRQSSRRAPIQ AFPKDSLNNSLGGAGPLNRNPDHATFMGTGIDEAFRDYAGSSKSKNGYNYPANSSAEP TIFDPVSRGTMVHGDESYGLGTSTFLEGTPAASATIARRQAEQQQEVTEGGLQRKKSL ASRIRQINKGQREFAPSGRLTNPDGVARKRSLDTMPLASSAGSETNPFFSEFGRGEES ISVRPREGTRSPVSPPSVPRRMSGSALERRVTADSATFGEDGASAKASGLLGRMKSLK GGRRPKNSDTSAQPASGPGTGI UV8b_04168 MFSNLSNIVQKAQQLIDPTQGLNLSSSDKNPSKASLFRNQFRLP SSQNPLYEINAELTIPPSNATQGDKDHDRGWHYAGKLHISEAYMCFSTTPSSFAQSAS TSTSLAFTGQTHGAGPSGNGFTFPLCAIRRVERLNSQNFQFALAITSWNGLLADNPKE KDGTKDAKGVREQRVTIHLAGSRLACERFCDGLKRGLRAGVGNVGKLRKVVAECYSEH LLRPEDKKAANPPDAGLGMIFRYPGDPKKLRDRAKMRLWAEYLRDNGRNATLIRQPTF HKLIRVGLPNRLRGEIWELTSGSLYSRLENPTLYADTLTRFQGQESLAIDEIEKDLNR SLPEYPGFQSEEGIGRLRRVLTAYSWVNPDVGYCQAMNIVVAALLIYMSEAQAFFLLS SLCDRLVPGYYSTTMYGTLLDQKVFESLVERTMPILWEHLVRSDVQLSVVSLPWFLSL YVNSMPLIFAFRVLDVFFVEGPKVLFQVGLAILRINGEELLDAADDGAFISVLKSYFA RLDESAHPKSENPKLRAVTRFQELMVVAFKEFSGITHGSITELRLKKKDAVLNNIENF AKRTAIRNLGPDSKLLGNEELGALYDRFYSVLYERQQRDVMIQEESKRRAKTSRMRAS EIFASAPNQVEKGRVGLGPSTSLMDYDAFREFLACICRWAISDSPQSSSFLGSGEDRF AKFNSARKAADAMSPWGSGPEPAEHEFLRRLYKKWDVDGNAALTLQNVVSGLAGIKGK RDIMSTITYFFELYDDDGDGRVDREGILRISEALLFLSRRGLEGALSPNAGGGLAPNG GGGGGDSGSLDESQATLATSVPVNERFLGSVSAFIRRCFEYAEADQPQDEQAEECSAS QAFAIGEDEDEGEDEGDNGDDLLRFESPSASPSKLKGAESLAPDAPIATAQAGRTSAE SRRRVSKAKSEAANAALNPAQPLHMTLPTFRMVVLADELLEQFFESSFPCSFQVIGGL QSPASMPLASSLTTFASLGFSSRQPTPAQAGPPGAGRGLRGVLDNIVTDGMRVATEVR RRMEEAQKELEKNALPGHRQEDEEEDDDDVGTGSGGAGKGHEAHGGDNRSVKSSDRDL LDGLDAGAGASKEHEQSLVDVDAEGGQRRAGTIAVSGTGVVEFEG UV8b_04169 MAATVAQSQNPASLSSAGLGSPTSRATHEKHHDPHQAQHPATQG AQAETFPRRHKLSPSHFKIVRTLGTGTFARVCLVRPANTADTPLEQSTAGDDQVYALK ILRKTEVIRLKQVDHVRHERAVLASVAGHPFITNLQASFSDRDRLYMLLDYVPGGELF SYLRKLRRFDEPTARFYAAEIVLVLEYLHEHKGGVAYRDLKPENLLLDKDGHVKLVDF GFAKPLGYKDDHPVETYTLCGTPEYLAPEVIHNKGHTTAVDWWALGILIYEFLTGYPP FWHQNPMEIYKQIVEKPVTFPQDPPLSDAAKDIIRSLCTADRSRRLGNISGGAGRVKA HPFFRPIDWGDVINRRRPGPIVPPVRYPGDTQCFDVYPEDSGRRDEYTHEMALKYDRY FEEF UV8b_04170 MPPPPSTVDLLVLTFNCAKTLVNVPVFANHVHTAFTNNASSLPD IVVLSLQEIAPLSISFIGNYFLRPYYSRFDEAINLAARRHEQNGRRSGDDAQPASATT QAAQGAAQPEPEQGQGQEQEQEQGQEQEQPFTLVAARNVGYTAILLFARDPRRIRNLQ DAEVGFGAAEMGNKGAVGLRALYDAGDGGGQLATTELTFVATHLAAMEWNLALRNANW AAIMRAMTFGDPETILDRFRGPEGTPPPPRVHSNDHDHDHDHDHDHDHDEHDDHDHET RRLLRETHHEEHLHVRRALHDISIFKPSSHLFVAGDLNYRISTSPPPPSNAAFPSLDP ASDSYYPAFLPLDQLTRERAAGRTMHGLSEPEVRFPPTYKYKALPRRTGLDDEHEGPV PWEFAPHRYPGWTDRVLYLDLPPWLPNSPGGRPGITVLAYDALPLLRTSDHRPVYLRA RVPLVPPEDMAPPQPPCEPGQGTNTGTGTGTDAGVGGWSDPRARLPVEIDPEAWARRR AARLKELAAGWSGSLWSTREGACVLATLLAAGLGVYYLCRTA UV8b_04171 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVREGFQYDYVFDWTVYKYQKNAQAIANANPDEDDKARASRATAANAGQSGVKPN ALPSTRRKMLERGSGAGVDTPDTSRAIGGSDRIGR UV8b_04172 MRGRAVLPNGGSVHRIAPPGTQPLMVKADKDDKDDKDDKDDKDD KDQATVQCLPRVVNPTGRQGKLVGPARRQPPTSSRLAPDAVRRCDAATLRRCYAATPR PQASAGVRRPGPQVSAPPVAARWPGRPIIGALAAAHE UV8b_04173 MQHHSHHQQQGPPTSQHLHHSRPSSIVHQQQQQQQQQHQQQQHQ QQQQQHHHQSHQHAAQHQHHSLPPPQHQQSAYSSHHTLSQAYQAGNQASAAQDNLGYY SHPSPYSTPGANSGYTSADTPDMMAAAQMPRPYPPMSYHTPQSNSPASVASPSQHDQH RSLYGQPTSQHLQQSMYYQHPSHYQPMPHHPNASPYAQHAHHPQQSMTSQPNMMMSHT APQNQMTQHAAQHAQAGMTGSPRTKIEPQVPVQLQKQAQASPMAQTQTQHQPNGSQMQ SPGNAGPGVNPNAAPGPIPATTPLVVRQDGNGVQWIAFEYSRDRVKMEYTIRCDVESV NTDDLSAEFKQENCVYPRACCPKDQYRGNRLMYETDCNRVGWALAQLNAPLRGKRGLI QRAVDSWRNSNQDPRLRSRRVRRMAKMNNRKQVQGTPTPHSGASHLPGPSGPSGISAA PAPMHGAPPPMGKPGMGSMGQPMHHHAGHHDGNAPGGDEVGHGAVYQDQHQHHQTATA HGTHGGGDDVRPTHVFTGYVGQGYPASAQAGQMSHMAPRTSGSAIPARTHGRASANEP DGLFPDVPEARKRKFILVDDNMRGSRLRVRVTLDGVDTNEIPDSFRKGASVFPRSYFP REMQSPPPSASGAQFFVQDECDDDKIQETEGRDSGARRARKSASEAVQMSLAEGGSGG VLVPRMKRSCRSKEVKLNDMAYRMAWLQSRVFAGRTVFLQRALDTYRNKTKAAIEGTM QDVVAVAPHYETRAGKRKWNDRRSRGEATNQD UV8b_04174 MGHVPCPPATRDDDVSAAADVAVVAGVACWLLVGALPMLLGSGD KAAGVDRLTDYLLCSSRSRHASQRNHVPLPPLAQSF UV8b_04175 MFTKAYYRFGSSRLFRFCLSCAQLGLHPCSNETESIQTATHTLS AYSKPCYISRKLRLNKATAFSLGSFRGHLTKEISLSCRLLGGTLGNLLLPVTHPSARP TRRNHSAELLSQPCVDSMTVAMASHPQVRGHFKEEPLTEPSRASIEPGRKFDQLRTQQ WAQQHISCFTSD UV8b_04176 MGYNGHRSEALRCQRRVGMASKKKKKKKKKDWRQRLERLKVFWK SVEMMDGWMGMGWDLGLQVAGGRQVADSRKAPDSTAQHSTAQHTASQDQGICVEQGTA PDTKDQQGPARPARTSKASKDQQGQQGQQGRHGRHQSQPYTGRA UV8b_04177 MRIASHRIASHRARGCTAALPGGMGTIPRRWLAFVCRVALALLD PLGWEAALRACVGVDMGVPAPYKPRDERLRDEKLLFPSHCAWGMDVEIALPQPRCLPV FLSCLLSCFLASLLSGKGRGACLLLCVFGGRKV UV8b_04178 MSSAALQQTPHHPTALASAPLSSSSNRQYGSPHSSPARDGCNGH QAVTSSSPSTRRPPSRKPSGNPDSPSLDMALPRQSRSGPHPSSQEARSSRPEYQHAVP LVAPVAPPRTSSSSHQGSSARRNQYSNDAMTSSPHHAQSEPSRNASRGDTNGYPETSR GKRSAHAPHNQESVHPSSSHDARELPISLPFRANPASSSKPAHEASDALARVISEGSS GQQHTSLHDNAQGHRDAAPAPGVAMSPSPEEPRGGRSRHDHSRSHKGTVKFGDFVLGA TIGEGEFGKVKLGWKPESRVQVAIKLIKRDTVGGNPSRLGKIRREVTILRGVQHANIV RLIDMIETDRYIGIILEYASGGELFDYILVNRYLKDHSARRLFSQLVSGVGYLHKKGI VHRDLKLENLLLDSNRNIIITDFGFANTFDPNEELSEEEELNLADREQVKRMGLDKIK PNGMRRGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDD PANPEGDNINLLYKYIVSTPLTFPEYVTPHARDLLRRILVPNPRKRADLFEVARHSWL SEYAHVVEFVTSSTTLPCDVQNATAASQGYGDAPMVTRSASVRETPKQKSPLQAAVGG LAKAHAKIDNEADATYRTPKDAKRRTVQVEYVAPTTQTQRGADAVVSRPPVSFSREYV DGRPGSSARDKALPHDVQNPYEASGHSKRPPSAHASGPIRPRDIRSTTDSNVYATGTA TASRPHTGGSVQSAASMSLPVRGNYGQPVPPAVADTNVQGRIQQPVNADDDDATSVPP KFTRMSGFNEARGHKRSSTIGDIGTKLLGRSGSVFSARGRKKPEGQHGEKSRKYPPVS MSNSMAQGEEAAGRASIDSRASRRSFSLGIGKKRSGSMTGSQDSGEKRERRRFSLLPA SFSLKAIGLGKEYPDAVSSAVGSHTDLPVEPGRVEPARGTTAPGDARGSTPFFDNGHD GNAQRDKDNPSPAYHQQYASAQQPDSRRLSAVPLYMQTASHFNSGSESSFDFRRPPTE PQMRSHSHGFSDHASHEHDGRAATSRGTRGVLQKSHKRFTDAYDQDEYRGHEGSSGAA KRVMDFFRRRGKARNGDERS UV8b_04179 MPSRLVATGEGKEALALVLGPSAAYGLPASSTGACTRRQLTPGP SRRPGPGLGPPSTITGTSTSTSTSTSTSTPDGWGMEC UV8b_04180 MTQASSDPPDIENQRSGAIPTTDGVSSRQPARQTQTPLCLSDFP PAVNPFDARIAQLASDSAASNVARDPGSCILLDGSPKDADADADADADAGAGFGVLGS LPSRRVLPAPSQDEGPGAVIETKEGRCVANDSVAGHGRGQDGGAGADRGKGKRPLVDE FRRSFWLIATHSWLNVLLVFVPVGIAVANIHGVHGGIVFAMNCIAIVPLAGLLSHATE SVASKMGDSLGALLNVTFGNAVELIIFIIALVKNQIRIVQASLLGSILANLLLILGMG FFLGGLRYREQIYNCTVTQMSACLLSLSVISLVLPTAFHASFKDSKRADAQSLKISRG TSVVLLAVYIIYLLFQLKSHAFLYESTPQHIVDAESTPGPAAAWLDTSSSSDSDSSSS SDSNSSIHSRDTIGRKMKKVLRGGRRRKSSLASMDANDVKATQTSSAAPPGGGNPSPG DEAVSEASSSRKHYFARVPPSTDEVDSSDDEEGSSRRRKRRHRHRSRRKHRKRERRDK KSSPRPAGAQDEAGLQDRIHSDTRRVDFAIPPPGTTASDAAAAAAAGSSGNESATPKR PLSAFRSVSIKTFAPTVFAQKPNAAGAGAAPPILTGPVPRVRYGIRRTNSLPDRLGQH HLRPAGAMLPAQIPLASLNAAAAATSDAPDVQEEHLTRAAAIILLLTTTALVALCAEF LVGSIREVTESSSLGEIFIGLIILPIVGNAAEHVTAVTVAMKNKMDLAIGVAVGSSIQ IALFVTPLVVILGWIMNKEMTLYFTLFETVCLFVSAFIVNFLVLDGRSNYLEGALLCA VYFIIAVVAFFFPNDDEANNWGH UV8b_04181 MSPSPGPSKASPTAWSKASPAASPTAPPPAYQRSHAGPAAQPPT HDWQTAVPDTALLPPPPAVFSAHDRSPANNATEAEAEAGEAWCAARPLAAPAPGPLDA AAQRALRAGDIRLLRPDGFRGTLTRRGPGRWEVATRAGSPDCCVVGHPPLYVAAQHRH APRTAYYEVRVLPGSRGSRVALGFAALPYPPFRLPGWHRGSLGVHGDDGRRYVNDRWG GRDFTREFAAGETLGIGMTLTPGAGDGARPRVSVFFTRDGVAAGGWDLHEERDAGTDL PVTGLEGFHDLSCAIGAFDGVGFEVILNPAGWRGAWLDLRTDIIARLDKIALNDFPIP NLPSPPSPPPPPPTLETRPLSPPLPSSPLEHAPSHEEAADKDNAQPDMRPVEPAHQLP KQIADMLRDVKTHMETFKTCAPHTIQRIAELVLEPRAHYKALAPYLHAVDRVVRVTSG TSAYPLPSVVPDMSAMELNGGEDARDPAAAVAWSNPTAAALGTDEALGGALLTPIPWL RRPSPETNGDAASSASASASASPGPGPAPGPASAGAQIHSEGTETIDGPNGVGSIETV SVSVNGVPSTGHARGVTQGELLRQEQRAGVVPVSQLTAGSQPSPPADEDGGGLNQEDE QVPHARGPQEIGVSDTGPQGVTTSYVGDDGVLLNGIDVEAAVGRRRRDERQHQTEAHA RADDDGDDDANADAASSPSSTESAGTKREAETQLQGEPAKKAREDHAPQPAAVAGVDE AAAAAAAHEPTPTPASTTDTTKAQDGAQLEATQPADPRDNETKASV UV8b_04182 MSRIDKLSISGVRSFSPSVREAIQFNTPLTLIVGYNGSGKTTII ECLKYATTGELPPNSKGGAFIHDPKLCGEKEVLAQVKLQFRSINDRQHVATRSLQLTV KKATRSQKTLDCSLVVVNNGERTTTSTRQAQLDEMIPERLGVSPAILDAVIFCHQDES LWPLSEPAALKKRFDEIFEALKYTKAIDNLKVLRKKHVEELGKLQNDEAHNKVNKDRG QRAEKRMVGLQGEIEQARERCEALSSQMQDTQDQARQKHEQANSFLQIVQNLQNKREQ LEYRRDAVNELKQTFDELPGDDEALESALEQYEHSMERYRLEAEQNKSQYNALQQQLS LSRKQLSTKLSEQGKHQSDKEKYERQLTARMEMIQEAATASGFRGYDGSLNEVQVKSF NEKVQKLLAEKKRDLERLQKENTVELDKATAVITELEGQKAARTQDRVSAKQRIGAID KRTAHLQNDASLIDVDEGARAILDEQLEEVGARLDKSQKELEKASWDQQISEENDKLW HFEKENEKLGQELVECTRLASERAQLDLRKKEVAERKRKLDTLTTMWKPKLSATVGDD WDLGTLDSQFQAAVKQQNKSLQEARRILDQTRNKQQKVAFRLKNAKDSGELKTLEAEK CKQQVLDALREVREGASIDDYTDEVASVEQQVEDLRNELSLFDALVDYYSKCKRILES KRKCLLCERHFDDGQNASLERLGNKIEKNLDPKGKVDVEKDLKDSVATLESLRAVRSS YDTFERLTAELPSIRDEQRATEGEHDGLDRQLEEHEARVFGEEERLRDLDDLSKTVAS ISQLFRDIKDSEGHVDRIMSQQMSGVVTRSADEIQELQASIGEKLRGLKARLAKLTAD RQRMKDQVTSLELERSELRNKIGHVVGQLDKKLDIQKQIQALRDEMSHQRESIQRADE ELERIEAGIVDARSARDETLRAGRGREQVVADERDSIANSVSEIRMLDSDIQDYLDRG GPSNLASNQRDIAALERSIGETERDMTDITVRTNKLKQEIDSGDRKKKNIGDNLNYRK HLRQLEVLRRDIGDLEDRNAREDYERLQGEARSLENQSSRLLAERGSVMGAMKTKDEE LGRLLQEWEMDYKDARHKYRESHIRVETTKAAIEDLAQCGAAVDRAVMQFHSVKMAEV NRIAGELWQSTYQGTDIDTILIRSDNESSTGKRSYNYRLCMVKQDTEMDMRGRCSAGQ KVLASIIIRLALAESFGVNCGLIALDEPTTNLDRDNIKSLAESLHAIIKARQAQSNFQ LIVITHDEDFLRHMRCSDFCDSFFRVKRDERQNSVIMRESITKIF UV8b_04183 MSHGPPPPPPPQPQPQPQPPHSGNFYGYAPFALNAFPDAQNPHF PAGGHDSFDLMAFFDDGDVPMNMDVGDGRQALPLSDSMPTNGSGDMSMGNSPDTPGPS TARSQDNSLKTTAENAPGGGPLPPGSFAQMSLIGSGGIANSSTSTVTDFTKRRNWPAK VVEELKDFLHILDANGRIKYASNSVLSISGYAAEELHDVFLKDLIHPDDQGVFVSELN ESIASGNPLRLFYRFRVKDGTYAVFETVGHAHIAAARFAPNPNNQSPFCQAVFMMSRS YPTKNAGLLDSFLEHKMENERLRRRIAELRREEEVETEESSRQWMQNQEGRSDLTPSE ATGASSAATPLFFRGNTSAGDLQAERRNSLLTRENLEGTVARRSDSLGDKMARYEGNT HADTIEMLTGLRYLEGERSRGITTGGSSPTLIKGDAGIAIPIDRDTRAGDKKKKLKMA EEYVCTDCGTLDSPEWRKGPNGPKTLCNACGLRWAKKEKKRNITSQRPTTLVDKTMG UV8b_04184 MDSIINISKGVLQQQLSGQSQAQHQAQEQSNTGGGGFQDDVLKM AQQQAAGMAGNTGSSDLFSNIMGALGNKQEKIQNEDIDEQDAVKQHQHAYQNNGKGDS STLGTAAAMQALKKFTQSENSASSQTGQAAFLAMAMSEATKLFDSKAAQGKVADGASK ENVVQQAAEMAMKMYFKSQAGSQGGLAGMAAKFLG UV8b_04185 MEPSSAFANYPEAIEGPETAYLAPPAENPVFRGYALVAASALVS RSGFLQKLLWNNAGFGKIRDLPVLHDIPSTFDPCVTPLGQNGPMVDFEPAMLAASHVD PKAKHYSVKDYHALYQRGDATPLQVIKALLPLTKPGEAEKGEYEDAWADNHGNDELVL QAARASTARWASGKPLGLLDGVPIGVKDDVDVEGYVNHNGMKYNADSPFFKRQDKSAW CVKMLQDAGAVVLGKNRMHELGSDTCGLNVAQGTPTNQMNREYYPGGSSSGPASAVCA GIVPITVATDAGGSIRIPASFNGVYGLKPSHHRTGYMGSSMCVTGPVAANAADLTIAY RVMSQPNPEDGVQRAFARSVPPGPSAKRVMGVYREWWDAADAQVAALCGNALDHFAKE RGYQVVDISLPHVADAQLSHGVICLTEMAEAARRRTVDPANWLSLVGHANRLVMSVGT QTPAADFLKVNSMRTLLMRHLAFLFRKHPGLLIMSPTSPLAGWPKAPGDEARGMSDAN ASIRNMMYIFLANMTGTPSVSVPVGYATPKRGQGTVPVGLLATGEWGSEEQLLAFAGE AEEYLHTRYEEGRRRPDAWLDVMALVRGDGAQGGGPEDQ UV8b_04186 MPIVARQASRKSLLGADPGFSQQTPQYSPHHSQSSSYGGRNSEY TQDYGQAYPQPVYSAAEYARPGYGQPTYAENENLNHFDQGYAGRQGLAPSAPSNSIRH DSWAFLMTKWSAAFMAVTTLQAIICLAFESYVFGKFQTSLGNYVDIPKVQSQYKTIPT FLTLFIFGFLYELVVVWDALRLKNTIQVIGVCIANLALLVYTAIQVDQIRVAIGVLDD HHALQAEISSQILWSNVKAFLIATPAIIALATIIMMFISWKLYQEFAWDILKTIGADY RMKKRFLHYQVFIALLKFDFFFFVGFIIQFVVVVAQKSDPEFALTIATIPITIIILLA AAFFTRRENKPGMMCVIVVYLGGLSYFIFKLVRIYQPSHASSYFAVRRSLTAFAVITI LLIILTIANAIICMNNFNSGLKTHLLPSRKAEEKPDATSINLHDVKPQMPSRMTID UV8b_04187 MAGTEEAVPSSPFGLLLAEVVRMRQSNLNAAIEDVDSIIDLLVA AREQVASNDDAHKIAMAMTTLQNPVKARLEAITNDLKEGSKAQRGFGKALDRALPHRE LPMEADAMANHPELINRAIAMHLLREGQFSVASTFLREQHEHREQTNHLSAAAGPKTA RLDDDGDDAMSRYDDEDQDEQEDEQEDQDQDQDEDQDMQGLHSEDLQDKFSEMYRILA KLKARNLVPAIEWARANNPKLEAKGSNLEFELSKLQFVWLFKGPLVNGLPDDANNGQS GALSYARQHFGRFQSRHIKEIQQLCCAMVYASNLGESPYRRIFEIDSAFDDVSTSFTR EFCSLLGLSAESPLYMAVTAGSIALPRLIKYTTYMKEKKTEWTTENELAFETPLPPSM IYHPIFVCPVSKEQTTEQNPPMMLPCGHVICKESLHNIAAKGARYKCPYCPTEGHLRD AVKITL UV8b_04188 MSSMTPVPHEGRQLQRHDDLLPPPSRDTPLHFSRQSPMETSGSL DQPTNSPVPQRRLALPDPLAFRFLEEDACTNVVERRRVLGGYELYLVEQWACSRQSPI ITVATFTGDERHSIVVGIMSIPKDQALWSARLRLYFKISSQHNARPKETELGELMITN LSSFPSALTVIAVPQGDVRRYRHMFIVNEDLKRLGCSGRSGLALTDPTEATQAKFHQL YKTSDHIPIAQSVTELVKLCQIALYMFEKLDYQYIDGLICDVTERAIGNWWTELGAEH YNFEPTDGILGPSTVSALLGMLMGARNRLHWFGAPVSKDVFDVEATKRGIAYFQKQQK LEKSRRLDRQTVFRLHTATAKGAAGEGWGVQRAVKSTVTEIGGKKGEIVMDMVSGKGK GGLADVETLDIDVLVNLAYGDRPRWLWHAKARRCANDSFGTGDQDVGSALLVKGDPGN PTPKRVSSHPLDEEQEMRRKEEGWTGLRSGFPSGSVNTAADPPPGERDALRKGVFKSV TDKMSDARSGLGRIKDVVGGNRRGHLSKLSISTKEEVSDGGNAGAPSAPSAQSSAMVP SAPVVGRAFTWKNKPGEYLAAIRDDEDALPGRGGEAKHVAASVSDSRVDDKSGDELLP ATELEHGASCVSSGVHKDSSPKASSAGPSLPNDGDLPGQEPRRERKTSSDAATVTMAR RHSLDTADATIKQAANEMRWPRRMSFGDAEEAILTWEEVVDVTDSAEYAACMEAHAGA ASHLHHLMEEVVSNVGPWTEEKIRAVEFLDERYARDRAELQGLYQQLSEACQRVRYSS DELLSEQRDSLTESVKEIEVLVARVEYEINGLAQKVHDVEDSIQAFERQVDHVEGRAA ELKVALESESWLHWLVRTLTGVGTGPNITRGL UV8b_04189 MSFLGLGRQQPTSEQKIAAVEGEMRMMADTYNRLQKTCQKKCVP ADYREGELNKGESVCLDRCTAKFLDTSMKVSEIMQQQGQQALGAGPQAAGGLF UV8b_04190 MKITAVAAAFAPALVLASPRAAGLASPPDTEDALSPRDAHAPEH KLMSRDPNIRNSCKPLMLSDTDHMTISALCLNGQNQYQHSRVILNHCLRNNEGQLEKF RKF UV8b_04191 MTSKGVVPHIINGVNRYPEKTFDVHSPATEQLLHKCGGASVADA AEAVDVAAEALKSWRRTSPQHRRDICLKAAGIMESRRDELSQYMQDETGATSSFSKEC VDRAIDLIKDIGGRIAALAGSFPPIANPKRSGLILREPYGVVLSISPWNSPYILGARS VACPIAAGNTVVFKASESCPKTSWALVDVFHQAGLPNGVLNMIVNHPADAALIVSSLI SNAHVKKINYTGNTNVGRIVAKQAGEHLKPVVLELGGKAPAIVWEDADLDLAAEQCAM GSFLHGGQICMSTERIIVHHAVRDTFRDKFAAAVSSFLPSSGEAPVLVSAAAAQRNKQ LVNNATKRGATVLHGDVDATEISRTRMRPIVVDGVTSDMDIYSTESFGPTVSLIDVQS EEEAIRIANDTEYGLSAAVFTKDLRRGLRFAEELETGAVHINRMSVHDETALPHGGVK SSGYGRFNSSVGLEEWTRTKNITYDR UV8b_04192 MDGSPIVARGAASLSPTSPSSSRHGNGSAKRKRSGPVTHESSPG SVLDDEAGEHGEHDKKRQPGVKRACNECRQQKLRCDVVQEPFQGCSRCNRLKVECKIE SNFKRIGKRSKHAEMEKEIDRLRRNISRAKTQGFVMDDDDDDNINSPVAPTTATYTHT RNPSLMGSDEAVSSLLHLKRGGAYAVPHYSYELEGVRLTDDNVTHLFNEFFAYYHPFL PFLNPQQPPDQYNQQHPLLFWSVIAVAARRFSPLDCPNLLTNLSGPMTRFLWTTIGEV PSNYHVVKAMCLLCTWPLPTSTTTSDPTHILCGVMMKTATGIGLHRPNHIRDFSRVSV ELNKEQLADRVTTWAVCNIVAQNVGTGYGQPASTLYDWTLALRPGDDPSLHLSTDLEA RLQIERFCDKVSKEMYSNASDPRGVAGDEHRAMLMRVYRRDYGELQASIMSQQLGPIV NMHLRAAALHMKLAGFFDSSKTPGYMDDLMGLWRAASSFLDELLEVDKVTSPRESPRG TILLYATNYLQQMLVAAAFTLLKLMRSFFCKTIDFDRGRSLFHHSVKAIRATSVVHND LQWRLAELMVQMWNGARLDSRANAYNPDDDGAAQMDDSLQLKVRCRHSMSLVFDSVWR WREEYQSQGRGSLENMKQPTNPESANESSASSSQLDSTLLPSHPLPTSNMLHSNGALT PSAAAAAAGLSATAPGPNSMIGGVLPYGETSYDFFDPQHWMLDGLVDLNYAGTFVAPL EGA UV8b_04193 MKSGIVSSSVPDTEVPVASMTMAAALQIRDMKLWNTCFEAVCSC ISTNLSRTHRGWNGGRNELLNGETPPELQLRPRRDENENGGRLITAPFRGGLWSCRHK EPLIWRRSFLPLMTGYQPDLA UV8b_04194 MVEQHTFIASILSPTDALSEETRSLSDVATSSTSSYPDLIAYSA VSPFTVSTQCESNLLTPISTADSPPLQQNHKFMVGQYPPPPESPHGQEPTPPGSTKMY HQWGHHHFEMSHHASQGSSPMHTPGHMAPDFYMADRRTPGPPEPYMGSYSVSNASQHG SLSQSDSPYYLEMPQLHSQSSLLLRGNAPMPLGPGSRELDRDMSMPAPLLHDAPRTSP CRRPGRLDSTEMVDTADRQSTEVLRSSSDSPRKRAAVIGNNRVKKLTGRRKSRKNMSQ SQPTEEHTNCNGEQVPPTLKETCPDEERCIFESRWRHRNKRGQDMWDSIQSDFYKKFN KSHGKEMLQMKFRRARSKYIEWLPKDEEILRDAWKKVEQERYQLILDTFLDMGGSRNM RLNSSDIEVKLVNDLKIEEHLYMDCFQEIDVRRRKKLSSRKRSGGGDKGHDDAALGSD VMSLEPRHAHDDDEVINQVHQPRSARWETSPASHVEMIDMQMWEGRGNMKIEPPSAPH RLLNNMSGRAMY UV8b_04195 MRADHLARLRQDTVDMFYHGFSNYMKHAFPEDELRPLTCGALTR DRNDATRIELNDALGNYSLTLIDSLSTLAVLAGGPQDGSYTGPKALSDFQDGIAQFVL HYGDGRRGPSGAGTRARGFDLDSKVQVFETVIRGLGGLLSAHLFAVGELPITGYHPQP LAAGVESDDPLELPPIAWPNGFKYDGQLLRLALDLAERLLPAFYSRTGIPYPRVNLRT GIPFYTNSPLHRDSAAPGGQDERAEITETCSAGAGSLTLEFTVLSRLSGDPRFEHAAK RAFWEVWRRRSEIGLIGNGIDAERGFWIGPHSGIGAGMDSFFEYAFKSHVLLSGQEAP NAARSPNRSTSTSWLDPNSLHDPLPPEMHSSDAFLQAWHEAHASVKRHIYTNRSHFPY YSNSHRATGQPYTMWIDSLGAFYPGLLALAGEVEEAIEANLVYTALWTRYSALPERWS IRENNVEPGIGWWPGRPEFIESTYHIYRATGDPWYLHVGEMVLKDIRRRCYAPCGWAG LQDVRTGEKQNRMESFFLGETTKYMYLLFDPDHALNSLDAPYVFTTEGHPLVIPRKKG KGARQARQSAKMGQAARDKGVSVTYRKEDFTNTCPAPAPVEEPLTGSSTAARRDLFAV SRFTNLYNTPNNHGPMQQVLVHDNKKGLVTKYRAASNHSIFPWTLPPTMLPPNGTCAA PFRRVISAIEFPAGDAESSLLSRLGASLEWYTYLGPTVKNLEGLRLQLEQEFSSEHGE YVWKITHVGNTQLGRQETVFFHAEHVRHFRDEVFTAMRRKDAVDIQVLVNVTPPSPPP PPPPAPPAPPPTNLSTLLALRPPEHPSAPPHLGSGLPNNMLQGQDRDRDQDSNLPPSE SLFRNLLRAVTSVFDPSETESPGTEDENAPRTALHTWEALASVGPGAYPLPAVADTCL PGGPAYNSRDPVSNFPWRSVYLADRGCDSPLPESAPKEHQVIVFRRGGCSFSHKIKNV PAFFPDRNSLQLVLVLDEADDGDGDGDDDAADHNGRQLPRPLLETEQLTPKGMKRLHG VPLVLLRVGKGGYGLFENALAVGMRRKYTMHSQGLIIDNALVL UV8b_04196 MTAPPPNLTSNLSSNTQTSTSSATQDAAGRQPPAAASTTTQLAS AKMSLRNNLREFPNFPIPGVDFIDIMPLFANPDAHATLLSALEMQITQTFAGNKPDVI VGLDARGFLFGPGLALRLGLGFAAVRKKGKLPGPCVTAEYVKEYGKDYFEMQQDAIKE GQRVLIVDDIIATGGSAKAAADLATELKGQVIGYLFIFEIPGLNGREKLGGAPTAILL DDC UV8b_04197 MPSFFQFTQGTEACVRPSDSSPLLGRFRAVPPRPGSSRRRSSQL GLLSDRLSTLSGDGRGSVHVGYGTLVAAQVAGEAADDEAADRDGDARTSSALTRLWQG WVLDLWVNPKQAAVKRMVDRWWTRYGLLVFLPAALAVAWCAVPFPQYPLPGPDDRAGS QPGRVERPENDPKPPAHGAARVQVNFWFFLLVYYGFYNLTALTWITKLFNLYNLNWWP QSLGFPLTVSLIAVLSIAVPIPVYLRPETRFLTVHNTSWISWTFIIMATPVAVAFLIL MVNERHIGLRHSLSETQRIFSTSWWAGEPDTPPPRRDRRRRNHRNHRNHHHLAADLEP EMLHVNPRLRPQGVAMRRRWLPASFVRFLWFCVALFVGLMVYVIGEAYAELYIRTLPH NNLETVVYVYGWVATVHLLDALTGWVLGLREGERVGSYPLSWVFKLYFMLTYQTYVRA LYARLRSPSQFIILQILSSTSLVVLTPVMMTRFTHRALTVLGLNGLSYGSYQKLQARN VFIRFLAENASMATFLGSVAVLHYGANKAVYPYFAFAKGDGPYDFRLTFIASSVTWAC ELVASFAVRLLIRLCFNVDVGLEGRLDFTVWPELLPTCAAVMLHVLQNMLFSIIALQF R UV8b_04198 MTDSSFVQAQQRVVARREARQAAAAAAAAAAAQRDASSGASAQL RRLPFPFNRLSPAWDAATSRQGTRPAFRVAQVDAELLDENLVDLLKGQVGDALRYFAG GHLHHDWSAEVTLALRAVLFKLTVWDHDATYGAALQNLKYTDARKPGPVLSPPSRLQK SLYGLVTVFGKYAWARWEDWLLEQDDGRDHGQPSHPRTRRLSRWTSRLTTMHSAAALA SFLVFLLHGRYRTLLDRMLRMRLASPTSQVSREVSFEHLNRQLVWHAFTEFLLFALPL VGVNKWRRWLSKIWARTKGIISTRGTGTGTGTGTGAGTGAGAEGQPTARGEYGFLPER TCAICYQDQSDSATTETEIMAAAAASSGVVGSAQTDVTNPYETIPCGCVYCFVCLATR LEREEGEGWTCLRCGEHVGACKPWSGDVLEQPAAPAGVTHRPRPARGKSVAFVDDAVD DAVAGGLAEEEAPAREAARPAEEAASAETSAEEDYDDGCDEGSDIVDENSDMGSDLAS DAGD UV8b_04199 MPVDSSWRLVDGEHDSFDTTILPSVDGQGDHVPAPLSSGQPSSG LPSQLSSQGNNPSLGSASASQDSIRDFVKHQDDEQVILREPFRPSVISASSSSRALRG YPRTPDPQFKMPLVDLGGSGRSARTVRPFATGAGYQDGSQGHASRRHDAASTGSPLVK RGARRQDDSSSSSSSSSSGEHHRQQPASLASEVCGALAAMGRSVASLLPSALRNALLW AGDVVVIALSFARYPLGLLLAAYLVFGGAMVVQNMATRSVYSAMSPLCRLPGTSFLHL PFCPPGGRDAGLGRLNATAAGLGAVEFDRLMGAQSRFEQVLERSADGVSLPFQMKRSE AAVRDLRTLVRHSDIQARHELVLELDGYIDTARQAASGLQRFNAHVGSAVDAVISINR WTSRYIDSLSADDDDDDAVRRNPSSSSSPSSALAEWAQWILSPFQPADDPPPFFSERV LLDKYVEHTGLVSERIAALILEAQAVLRLLTRAEDHLGLLYDITSRSSTAVSSRRQEM LRTLWTRLGGNAGRLHSLARQLSLLREVDAQRSTAVRQVSALLLELESIQANLGDLRD RVAEPRLVAASSSSSSSAAAAARIPLSVHIETIDRGVDRLQAARARIRAAEDDRVRDF LAKAGLHQRQDHLIDVARGTVLSSPEGASCTTNLDSSHLSIGTKGIKGQGAIGLDVKD STVPAYTRAVAFLWLLSRHQNPTWMKRNMTARKQKPKQGSSGNEPARNALSVPKTHGK UV8b_04200 MSLTDLQNNVFLFSNPAWNGEGAIASTLSKNLSQQTSQLAYEQL IDTNLTTLSTGNSGIYENLISGLLFVPDITSVPSCDVQQYDCIPINVTRRKDLPPANL DLIAIAPWFSSDCTQAYLNSALQAPVKAFLFYKPNNSTNKPQDGDSPVWNLGDGGAWR SNRHFPIFAVPGRDGQKIMTQLSLYSGAITQVPHGQEIKKLYNIHSSAYLRIWTRLTV DRPLDPPATWAFILIVIGALLSVILVVSLAMHFIQRRNRNSLRRRVQSGEVDLEAMGI KRVTVPAPHVVHFPLFTYNADPGLLDLPLSPRSQAAPGRRAKKTRRKRRRESGHATTP DAPAPSAPSVRSIRSKRSNLNGTGETAATNHQPSCHICLARFEHRVTIIRELPCGHIF HTECIDEFLMQISSLCPTCKHCMLPRGYSPKITNGMVRRERALRRLREKVDLEDFLIE PGRRTRPKTWGSRLLGFSYAASPSSSNKTDVPMKILHGSRGDADAATSHQTAAAAGRE TRNPSPLPDGPDPAGPDPVELAQALPVVARSQPRKSRPWALRLLPTQPEGAELKMSTA APNRRGSPSSFARERMRQMAGNNAPFDDPDRFRPIWRRAISKAFPGF UV8b_04201 MGEQLTGSLAGVALMWDRKRPPHLRGGLSKASCCMGAEARLLRP GSLDACKEVSPPDGWAWVPVQVASTWYLPRNSRYALDLGMNEMLALMVPGTEFGADPQ VQQPYGLLRTL UV8b_04202 MNNLRNPTFVLGLIIVAALVSPVAAFGAGNIASVSKLEGQNWRH GDIEDALLTLAMARAMKHKKFSKIMVSRVYFGNWLRDYSQAIDVGTVKSVSAEAIRLL LCILGFLTFGYGSGEFEVTADRLGCYRPEDHIDNPKNYADNQDARQYDRRLRGPIDED RELSIDPQSGMKNYIANERAGIMTSAKHVRNLFTRCVELGRNYKNNERKEDLYESLRL MGTGLHCLEDFLAHSNYCELALVEMGERDVFPHVGRNTLIRLDGADHEVYPIVTGTFG GVDFLHSVVGEVSDKMSQNEIEELEGTLQESKNSDTSLLRDLLDKIPDSLFGGKNQKN RIDEIQENAASAQVENMSVSPRNPEELTVYVQQVYRQIMPAIQFHDDVMKSITSAVEK IPVLPKIIEQLEDQLSKFVFSVMAPAVVPLIRQIKNELATGSGEVIQSSKEEQHVVFN NDQSTDPTHSMLSKDHFSNILNEIAGRTAARMVSWVVPQIMDAIDDDSVDVNRLCDRI INGILHHPAQRNMGHDGVSEARNLMFDEVRTWWGELQNEHTSYREKLCREGVLRGENH KEGVDDTGHGHGCTGKLQMRKLYGEPDTLENRIAGAAAGAIIQGATGLISNVVEQQTG MKLPSGQGSQQNEQPGEEKSGLEGFLSKASSLLGGALGKDDEGKRYTRNDGESFTGSQ TSHGYQGTSYGQSQQSSHYSGGSSEFHRREDSEYGARQESSYGGGGGGGGYVRQESGH GGSSQHHHPRRQEESSYDDPGSAYGGREGFRHGGYGGRDEGYERDTSYGRREGSGPGR QESSYGQDEGSYGRREGHYGAGSQRHGGQVEYVSQESRHGGRGREQDDEDDEDEDGKN HGRSSSYGRRGGGRCDGEDDEEDGEDDNDGSYGRRGGGRHDREDDEEDGEDDGDGSHG RRGQGGYGY UV8b_04203 MPRQTKKPEDKKVSVAPATMIPTPTAGVIPQPPIIPLGVGQRVV DNESFLRVRDSAVGRLSTILELLRSFTQDYIRQTNLLLGEHTAEGHGDLLSTFESAAA SLMMPIAAEMGLHVEKKERKKRTHDPNAPKRPLTPYFLYMQHARSIIANDLGAEAPKG AVQEEGQRRWANMSPTEKRGWNAAYQYNLRLYNARVHSYKHGNPNAKNMSDEEALKYA DDYQIPMPSIKEDPAAEAPVDDHDAIEEQLQDEAKTPKKSTSRKRKTATPAADTTIMD TAKATPASPDKKRRRSAKALEDKEEPKKSSRKKKSS UV8b_04204 MHLSPKELDKLVISELGLLAQRRLARGVRLNHSEATALIANNLH ELIRDGNHTVSDLMKLGATMLGRRHVLPAVCATLREIQVEGTFPMGTYLVTVHNPIAT DDGDLRRALYGSFLPIPDNEAFPLPPDSEYLVHKQPGAVVAVKGMLALNQNRKRIRLR VTSKGDRPIQVGSHYHFIEANPQLEFDRERSYGYRLDIAAGTSVRFEPGDSKTVTLVE IGGGRVIRGGNNVASGLVELGRAKEIVSNLQAAGFAHVAEVRGSRLDARGDGAHIDVL QMDRAAYATMFGPTTGDLVRLGNTELWIEVERDMTSYGDECKFGGGKTLREGMGQAAG RSDAESLDTVVTNALIVDWSGIYKADIGVKDGIIVGIGKAGSPDFMDGVTPNMVVGSC TDVVAGEGKIITAGGIDSHIHYICPQQANEALASGITTFLGGGTGPSAGSKATTCTPG KNHIRQMLQACDALPLNVGLTGKGCDSSTDALREQIAAGACGLKIHEDWGATPAAIDS CLAVCDELDVQCCIHTDTLNESGFVEQTTAAFKGRTIHTYHTEGAGGGHAPDIISVVE LPNVLPASTNPTRPFTRNTLDEHLDMLMVCHHLSRDIPEDVAFAESRIRAETIAAEDV LQDMGAISIMSSDSQAMGRCGEVVLRTWNTAHKNRLQRGRLPEDEGTRADNFRVRRYV SKYTINPALAQGFAHLVGSVEVGKLADLVVWDPAWFGTKPSMVLKAGLIAWAQMGDAN GSIPTVQPTVGRPMYAPLVPSSKVLFVSQACVSSGAAASYGLRSRVEAVRNCRGIGKK DMRLNDATPKMKVDPETYVVEADGKVCAAEPADELPLAQTWYIY UV8b_04205 MAPGSSHAGSGKKNTAAMPKQSRNSTPAPAPPTSLPPQEFYDPD YLNTRVILFKNLSYDDLVDHSAVNATVPDSKSVDVMLEKLKNLVNIMEKRSTFYDRGM RHLADERKKRPDDYDMRADGEQEGKRSKHKKKKASESQAQEDQSSPAKGVKRKLSRVD SASSSLSPVAAGSPSTMDVDKKVKDAGKDKEDGEDGEESSSEDEGAPPKRQMPAAQTF GEDPSTFPDPTVYEILPVREGMSDEEKKEIYSVAVYPKSDLADLIAGDPPDHDFSSAK PTSQINFSTFSTYIDPYFRPFSEEDLAFLRERGDRVTPFVMPKRGKRHYTEIWAEEDG AMSIDSPQQGREKLAPNQPRGSIDNMSDSIGETDALSIGPLASRLLQLLRPESRLQGS EDKPTTMGMTNGDVSLNGDVNGDGHQQNGDDKSSNPHPPATYMSESCTEAWKKATHPK LEYAQVDERLKQELRHIGFLPQEGFESEYEGHYDDEVAGRLRLLQSRLKEQMLINGAR KARLTDLVRERMANQEYQSILEDLDSQVQAAYLKRTRTMGKSKKTKRPGGVGGGSHFV GGAAGTARPGIGDQTKTLMERRRRWIDTIGSVFDDENLNKVPRQSDPDSSIFKHSDMA DLIKREKEQWDEEVEEE UV8b_04206 MDSLGGNILPFGIDVHAQPLLAGLAIALVSGIALYCLSSRSKND GDEAPGLIESFFLFFYSSFLKPHHGSDEANHQDALESFYRTQAGAYDPTRKVLLRGRE DMLGLAIAQLKSKAGKAMTSGRTQSKCVWVDIGGGTGWNIEAMGSFVNVPEFFSSVYL VDYSPSLCEVARKRFDRLGWKNVKVVCEDARNFRLENYQTNTSPAQNPLCPSVPGGST QQRPEHGGADLVTMSYSLSMIPDYYSVIDLVPSVLSPHGIFGVVDFYVQSRADFSFRN YTGGALGRHVNALSRMFWRAWFDLDRVGLEPSRRDYLEYKFGTVLNVNDRNKALGYIP YYVWIGCHKKLFAPPNLPHEIIERVDALATESPYLYPVQQGDASTRAVERLAPETRSK AFSSAVQNLSANLPLPSFFYQNHHWRIYYDDQLQKHTQFNDEYIYAFTWEDARVDERI LRLGPEDKVLAISSAGDNILSYLAQSPARVHAVDLNPTQNHLLELKAACYTALTYEDF WKIFGRGKHAGFRDLLINKLSPHLSSRAFQFWLSKADVFQNKRSRGLYDTGGSRHAIR AMRWVCRLFGLRSSVSRLLEAKTLNEQREIWRQKIRPALLSKFVCNLVVAQESFLWNA LGVPKNQLAMIERDHANSELVKGDRPVAKNTRSHAIWHYMVNTLDPIAEETHIAQDNP HYYVCLAGSFSRKCHPHYLAPEVHAKLSKPGALDGLRIHTDELDQVLARISPGTLTVA VVMDSMDWFDPGSPAAAAQVAKLNRALKMGGRVMLRTSALVPWYIKVFEAHGFTAKRH GARVDGACIDRVNMYASCWVCTKVGNLPPPTPEMDRIGGLEMPILTI UV8b_04207 MASLARPSVLRQAVLAARAPGAMAARAAAFHGSSKRCAILPPGP QRIEGGVNDPAPVPTPSAAHGSYHWTFERLVAAGLVPLSIAPFASGSLNPTMDAVLCC AVLIHSHMGFQSVIIDYIPKKRYPGLRKLFWWGLNAATVTVGIGLYEFETNDVGVTEA IKRVWKA UV8b_04208 MSPRIPAPRSFSRLTARLPRSALHVQHARGISGSYLAKVAQGEQ EWEQRAERILKGEQPHEWDLLEERGYIKDVAGTPETIKEIMRIKRIGVYVGVDPTADS LHIGHLLPFMPLFWLWFHGYPAVTLLGGATARIGDPTGRVQGREPLSNADISKNVAKI HFQLTRLWANVVTLRDKYGYAKDWAAKRHLLNNNMWLQGLTVYDFTKRVARQTRIGPM LSRDTVKRRLTEGDGMSLGEFMYPLFQGWDFWHMYNKLGIQMQIGGSDQYGNIVAGIE ALKVIRESEEAPHDRMPAGWKHEPVGFTVPLLLDSAGVKFGKSAGNAVWLDEFKTSAY DLYGYFMRRRDDEVEKLLKLFTFLPMAKIQEVMMQHKLDPHKRVAQHTLAFEVLSLVY GSQKALQEAQQHKFRFGGELPRVVYEPSEESGIITPNNAPRSDIQLPRSVMDLSPAKL LFATGLASSSSEGQRLVMQQGAYVAAQPGQFRGLVPGNLSWTPMKLWFPKETAKYLID DKLLILRKGKHNVRIVELVSDKEWAASGKIYPGQPYTGIIRRTMQALKKDAETTGQKL TAFQLRRLAEQETGERERLMVANNPDIELPSKHELRQRTKERQGRLTPDWVKRGKQKP NREE UV8b_04209 MMQGIFYARFFPEQGPQIVAQSPPGCITASELSTRQPLVDFDVL REYIIPRQAFCNRYVTINSPDGKYIVLGYPVVIAHTKYRRNEFIFNFGLLIEADVDQT PYEGVVRYLAETFAEMEKQNEYLSQGEGDGLRARESRRPIESLLEIVKEDLNNYGECM IPVDEANTINMKLFPHHRTPPTVKGWHVPVAKVKFSEIIDPTWDLTLQKVIAKIDGVS DVRRIAHSASVSLDLAKVALRHLLYYDTIILIDTFFFSSCYAPRPGIHDFIRNVDGIV EECAGYVSHGHARVSNYHLIKLMTSLAPSKSVKEWIMMSQENGFDVLRYVDVRRFVQF GIIKGCLYRVHKYVLSKQYLAALATGQSRPIPGGDSLQKYTDGNHHFDQIITEQNLTN DEIMDKLKLLPVPKGDLTVLYR UV8b_04210 MADVAEPSGDAQVTFKVKTSSDRNHTLTMAESATVLELKTKLAG EEFENIPIERQRLIYSGRVMKNDDTLGTYRIKNNNTIHMVKSAASNPAQTSASGPTPR AVPESISAGTNPSDPLAGLTGARYAGHQINLPGMDMFGPDGGMGPPMDEERIQRMMSD PNVQQSMNEALSNPDFVNMLIESNPMLRNMPNVREIISSPFMRQMLTDPQMMSQAMRM QQNMRGNESSFPAPGATDTTPTGATAGSASDGVNTDQQQNLLMNPFMMPGMMGSAAGN NGPNDINMAQMLQTLSSMGINPVAAPASTARSGTPGDGQGNAQGSQPHDAQNAQNSQG AANPFAALFPQGGGNAANIFGMSPEMMQQMMQMLGGAPAPTSPPDDRPPEERYAEQLR QLNDMGFYDFDRNIAALRRSGGSVQGAVEHLLSATD UV8b_04211 MKCANCRSKPKLLHPARHPSREPASLRARRDEKRRLATSRPGAD GSPGWGGDYERTGTCLRLASVRMDRRMRHLSWAVVVGGRGGIEGRIGTKRALLGSSAS LGRAAVVNGAPVRQAAPRPSGVQLTERVDDQIALLWRRVWARRYAEDEGAATRARWTI KCVRRGDCSRYQLPGLQKRKKKGTVGVGGHGFAICVEYATSGT UV8b_04212 MWVLPLIGYAGAIMGFCFLTLAIASGLYYLSELVEEHTVVAKRL LTRLVYTIIATQLALWLFDGFPFGPTLLSVFAHVVYLGNMRRFPFVKLSDPLFLLSCV LVLADHYVWFRHFSHSQAQAYQQPPYYGSAASVPSFSMIASYFGLCVWLVPFALFVSL SAGDNVLPTMGSEPVRGMDGKARPQGMVKALVDHVRGAIGEIAGSGAVGRP UV8b_04213 MSRPEDTLAADVHYDDAEARKYTTSSRIQTIQASMTRRALELLD LKSPSLLLDIGCGSGLSGEILSAVDPGAGGPHAWVGMDVSPSMLDVALQRDVQGDLLL ADMGQGVPFRPGTFDAAISISAIQWLCSAASSDTSPAGRLARFFDGLYASLKRGGRAV CQFYPKNEAQRNMITQAAVRAGFGAGILEDDPGTKNVKLYLVLTVGSAAVPGAAADIT GVVAGMDGVDILDARRRLKTSSNAALKKGSKAWIVKKKEQLERKGKVVKATSKYTGRK RRVVF UV8b_04214 MSDNPTAAGEAAAAEAAAAVAKLHLDEVTGEMISKTELKKRQKQ REKEAAKKEKAAANPKTQGSSNKNAEAAEKELTPNQYFEIRSRTVNELHAKGKAYPHK YNINYDIRKFEEEFQHLKNGELDKSRMIQLAGRVYVRRSAGSKLFFYDIRSDGARLQV LAQADNLDDKAPGFDEQHVHLRRGDIIGIRGFPTRTNPKTKQGSGEYSGELSIAAVEV TLLSPCLHQIPDDHYGFKNSETRFRQRYLDLMMNEKSRQIFITRAKVDSYIRRWFDER DFVSVQTPMLNPIAGGATARPFVTHHNDLNMDMFLRVAPELYLKMLVVGGLNRVYEMG RQFRNESMDLTHNPEFTTLEFYMAYADVYDLMTMTEELVSGLVKHIHGSYKTVYHTIK GEEINIDWEGPWRRIEMIPALEEAVGETFPPADTLHTEEANQFFKKVLAKTGVECSPP LTNARMLDALVGEYLESQAINPTFIIGHPKMMSPLAKDSRTTPGLCERFEVFVSTKEI VNAYTELNVAAEQRLRFEEQARQKSQGDDEAQMADETFCQALEHALPPTGGWGMGIDR MMMFLTDNYSIREVLAFPTMKPE UV8b_04215 MTTKGSCACGEVQFQFTGEPATTALCHCRDCQKWTGGAYTSNAV VPRASFSVTKGSPKTWDAVGSSGKINKHFFCGDCGSGLYTELEVMPDMACVKAGTLDG GAASLGGKVDVEFYVKDRVGYLHGCEGAKQVPEFL UV8b_04216 MLSRASSRTAQLLRSAADKHHFARSFATVQSDIFKPAKFGGKYT VTLIPGDGIGAEVAESVKTIFKADNVPIEWEQIEVSGIIDGAGRTEDAFRESVASLKR NKLGLKGILHTPISRSGHQSFNVAMRQELDIYASISLIKNIPGYETRHKDVDLCIIRE NTEGEYSGLEHQSVPGVVESLKIITRAKSERIAKFAFSFALANGRSKVTCIHKANIMK LADGLFRSTFHHVAKEYPTLEVNDMIVDNASMQAVSRPQQFDVMVMPNLYGGILSNIG AALVGGPGIVPGCNMGRDVAVFEPGCRHVGLDIKGKDQANPTALILSGSMLLRHLGLD DHANRISKAIYAVIAEGKVRTRDMGGQSTTHEFTKAILDKMETL UV8b_04217 MTERYRDQEPNEALDPEALYSKEYCIGGGSFGKVYKGVDKRTGQ AVAIKLIDIENADDEVEDIIQEIAILSELQSPYVTKYYGSYAKGAELWIVMEFCAGGS CADLMKPGLIGEDYIAIILRELLLGLDYLHSDKKLHRDVKAANILLGSGGQVKLADFG VSGQLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITSLELANGEPPYADI HPMKVLFLIPKNPPPRLGGNFTKAFKDFVELCLQRDPKERPTARDLLRHPFIRKAKKT TYLTELIERHSRWLVTHKGDDDEENWDVHEGAGPTEREKVDEDMWDFGTVKLIGDRGN LVHRPGLNAVGEIATNAHPSRPLEGEHASGEEYHDAGPTKTRDFAFEPSDTLKAPNSG TSRQSSPQRKPVPADLIESPAKTQLPASPRKPSSRETPGTPTSHHPSQRPLPPAPISQ SPDYDGELHHQLRRDLSMLNLSTSPCPAVPWHASPTHGDRPLPRAVQDLPPGQPGSRV PSMTIPEIPPYRASSAQRVTSQQVSPPQVASTSDHHAASPNSNSPAPSDGSAAPSSFP SPAPGNPNGELDALNDVIFPALEEALKRRQARLQQLYMPPQNAGAASANQQRAEAAHE KLRKLVYKLAHVCKEVDYYDKAEPVGMGRDVTSFLEGLLEEILVRVEPLDDEEM UV8b_04218 MDEAISTFVTITGATPEVASGFLQITNGDFERAVGLFFENPDLA SGVGAGIVPSASRPANLAPSTLRPNVGREDAAGVIHISDDEYDDMVGDVEEDGNGNGE DERVAIQHAAAVAQEEEDAEMAKRLQEELYQGSSGSLGEQQEVRAPIARTTETLVAPW DGGPDDEALFLRQLQNRRRSPPRRGGPFGQRIWGDASSSGNAAENGSHARRLEDLFRP PYDLMTRLPWDEARALGKEDKKWILVNLQDMNDFNCQALNRDIWKDGAVRELVLENFI FLQYDKDYPDSEEYVTFYLPSQTHENPDNYPHVSIVDPRTGEQVKVWTGRPFPSAADF HAELAEFLDRYSLAANSKNPVAKATAKKPPVVDVNRMTEEEMLEMALQNSLAGGESSG SASAANIHDPDALTKSPGAGGSAQEAKGKQREGAPEESGGFALISSGRRHVEPENDPA TTTRIQFRHATGRVIRRFHLRDPVRRIYEWLKAEPLEGKAGIEFELKKMPQGQDLMEK LDSSIEDSGLKQGTVMIEFIED UV8b_04219 MAAPTTEQRELQLVESVEFRILGVANREDKLHPLLQRYLAPFIL KAASEHASVRARVIQFLARLKTFIQPPEIVLPVKALLQQYKSVDSVVIKQLDLSFILH SIDRLDLDDKRDLIPIALAGSAQDAGQPRAATLFNIILRLLLDFQIPPRGSTDDVNLR NLIGLSDDVDAQYLATALGIFLRLRAPAAYPSQAQANPALSQTELRLFPGDSPETDKI YSRITELKFKAITLLASGAFKDEERFLPALFAASASDIRVASTAEEIIKRSTVSLEDE SLIQRLFLAHSQLPAPYRARILSVLSKSTVATTMSDSIMAAVDLDFLPRSSEDTSSMR PARTLEQTKLYRALFQFLSWVAQVGPSSSDFTIGASLITKMQNYIVTAQGWPHSVDIS NDEVALRSKAYETIGIMARRADMPPNERFELAAWLFRSLSEDNTVDAIVSIDGALSSL KSAIPNSIGGTSTNLKTMLLAQMSRSGSDSPSYRSTRHAVVKWANDCLPFHDAIGRWI DVLAVAWKDYERNDVVEQGERGLDPWTYFAHADAVPQLPDWKDMMTTFFDSEIQPGIP IQQEDGGTGHGVVIPDKLVFRNFRGQYLPAFPVALRYIKQMMLLTAIDDLDMKPDWMQ ILEAKITTNVETRDKIRLHLRSIDSAYIVSYLKACLSGAFLGAKPAFVEECLRCFVQV ATWSPGGPLSYFTDATAELLPLLQSNNKDIRLLAAKSCGILAGHPANSAAEVGNWIKI LESRFVNAQCLVGDGADSAEGALLAFGHIISRSVYYDREFPSQITFPFQFLLNEGVPA SLYNTALVAFSQLWAAKMAIPPEEGIYSLASIVDKLSSDAKKANEAAISALGSLAIGL EETMPIDQTEEQLPGVLGNILRALFSLHEIKRVEVQFTVGEAIIATIARWESNSVRLR MDVEARSTMILTGARGPLAEQVLGGLLRDCKTTKPSLLKASGIWLFCIVQFGSHLPQV QSRLREVQAAFMRLLSARDELVQETASRGLTLVYERGDADLRSSLVKDLVSAFTGSGT QLKVDKETQLFEPGALPTGEGNSVTSYKDIVNLANEVGDQRLVYKFMSLAANAATWST RSAFGRFGLSNILSDSEIDPKLYPKLYRYRFDPNRNVQRSMDDIWKALVKDPNATIEA RFDDIIQDLLQSILGKEWRVREASCAALSELIQGRPFSRFEKYYQLIWTNALKVLDDV KGSVREAAEKLCKALANQLVRQLEEDNHASAARAMMQEALPFLLSEKGVESTVRDVKV FSTLTVVKVAKHGGKALKPFIPNMVPQLLGLLSTIEPEQINYAYQLVGEASRDEIDRF RSREVSRSPVSEAIENCLRFVDKEVMVEFAPKFESTIKTAIGMPTKIGCSRVITTLAT RHSEDIQPVAGKLLQILEKQTLDKNDEVSQAYAKAAAYMIRAAPDTARVRFFDKFISL YFQAEDENRRQKIANVVVAVAKVSPDHFTAQETLLLPFAYLGSHDTDEYTSKAFREAW DQHAASSRTVKRYVQEIAELVQRCLGAAQWDLRHTGAFTIAAMAADFCDASDASGVID EKNLRVIWSELDRALALKTFPGKQNLLATYPKFVERAELLWRNDEAVASQMVKIALRE AKRNNEVYRPHAFTCLWKFAKARPDVDILKDIADITRPYLDEYQEEGKMDVDAKEDGS RDLDSRTAQNAFEAIARGYSRSDAADIRMSLEEIVVLLEPYLNNARFGLIKRETWYDC VQDLMKDSTKYIKPTDRQPASYRGSEALSSYVKSLDIDKSDTGTEQQRLKRAQALSAI LSGKNQGVFGKVSLPATLAAEVSGALGEERATDVQKAWKKVREEMQHSPC UV8b_04220 MSFANGTPPTSDLGAVPPTGASGDQAKTTLWMGELEPWMDENFI KGVFLSASGETVNVKVIRDKNSGNAGYCFVEFTSPDAATKALGLNGTPVPNSSRQFKL NWASGGGLVDRRDDRGPEYSIFVGDLGPEVNEYVLVSLFQARFPSCKSAKIMTDAMSG QSRGYGFVRFSDENDQQRALVEMQGVYCGNRPMRISTATPKNRGTHGFGGHGHHAGGP MMGGVPQQPMWGAAAMQGGFPYGGYNPATQMNQFTDPNNTTVFVGGLSGYVTEDELRS FFQGFGEITYVKIPPGKGCGFVQFVHRHAAEMAINQMQGYPIGNSRVRLSWGRSQNNS GVGTPYRPAPPPPHYMGMPNHGPGPYGPQHFGGPAPGPQGPPGPHGPPGAAVPQ UV8b_04221 MTNDATSTSSAANGEASKSSFAVKAGLAQMLKGGVIMDVTSAAQ ARIAEEAGACAVMALERVPADIRKDGGVARMSDPSVIKEIQAAVTIPVMAKARIGHFV ECQVLEALGVDYIDESEVLTPADDESHVEKSHFNAPFVCGCRNLGEALRRVAEGAAMI RTKGEAGTGDVVEAVRHMKTVNRQIAEAKAALAEGGIIRIREMARKLEVDAELLRQTA ELGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPAKRAKAIVQATTHF RDAKVIAEASTGLGEAMVGINCDSMKPEEKLAGRGW UV8b_04222 MLLVTIGVLALQGGFIEHINLVRKAAEQLNLNVRAVEVRTAEEL ARCDGLIIPGGESTTISFVAAQSGLLEPLRDFVKVQKKPVWGTCAGLILLSDEANATK QGGQELIGGLAVRVHRNHFGRQIESFESDLNLPFLGEGSRPFPGIFIRAPVVEEILSA SGTDVQALAKLSGRLDKMKAGVSQANTKDDSGDIIAVRQGNILGTSFHPELTSDARIH AWWLKSIVG UV8b_04223 MPQDEADVDGCADLRIELMSHEQDFIEAFHVASEAFGRQTHDDI WMAMNPGWDTAEGKSRGAALMAQRWRRSTRDRVNRPNAMFVKATLPLGGHGRTQGRRT VGTAIWLQASAVDGYGDAPATDWRRALNVDEVCPGDAAAQRYLVQVMQSLQRQRVGVV RQKASASPPSVMVLDLCAVDPAFQGRGVAKRMVQWGLEEAKARGGLECILEASVMGRR VYEKLGFRQQGPEIEYEVDEQFAGRRRPSNVFMRTGPLEAAQRNAVLSQQSGASSVFD CLGAGEPSPGHIGANRQESN UV8b_04224 MLGKKSIKVNGADCGVEALVLGAVTSMGGSLFGYDTGQVSGILL FRDFIDRFAQTNDDGEKVWSPTINSSIVSFMSIGCLIGALSSAYTADWWGRRKSISFG VVNFIIGNIIQITATNSWLHMMMGRFVAGIGIGNLSVGIPMFQSECSPREIRGAVVAS YQLMITIGILTANVITYNVRNSETSSSSWRTVIGIGIALSLPLALGILTVPESPRWLA GRGD UV8b_04225 MQEVLEKERLVGSGTWLDCFRSDGDVPKLVYRTVLGINIHFLQQ WTGINYFFYYGATVFEAAGMKDAILTQLILGAINVALTFYGLYVVERYGRRWPLFLGA LWQAACLLVFASVGTAHPPDEKNRSGTIMVVSACLFIASFAGTWGPMAWVIVGETFPL RTRAKQASLATAANWLGNFLIGFLTPVATKGISYTYGFVFFGTNLAAALIVWFFLYES RTLSLENIDLMYGQEGLKAWTSSRWVPPGYITRQQRDVTHFRRLSHVYQAQNEKSGNE TS UV8b_04226 MASHHVMNLSMDYLPPRRCRRAEWPRPLSREAYLSCVLESRYFI LAIAVLSSKLDANHGLHHPRHVHGHSRGVQGGSEKRSRRSAGPRDTSRRKRDLKALRS LRALLDFHEAVNMAESCAMETWPRPGSCLGGHEGCRPDRRLRQFYLLRDACFFWDTIR SPTSMLAWRLREATARVIEAEGLHCRVSQDKENTPMLRAFILRAFILRWAGLLLGGFP SKARASEG UV8b_04227 MATVSGDHDPLLLLRKAISDGHVFVPSASDDPGAAESPLAQASH LQFSRQGISLAIDTPTRFISNDKPVDLCSIYFAWLNRELAIPEYNASATTLNEQLAAA GSTGKVQNLGFIERLDLITWLEGASEESEYIKPATGDAEASVAGAAPVAKAGAASTAA QVRAAKGNLDPRLASVYDGERNMGDRNTVLRGIKPTDFSHVRKLAAPFIKTKPQATSA LGATSSLSLNQNGPSKRPAPIILLSPSASSLLRMTNARSFLEDGKFLPADTSGSTASM LHVQRIMPSIDPNRPMRFILVEGSEVFKPEYWNRVVAVFTTGQTWQFKNYKWSSPNEL FKHTLGIYVGWRGDQVPESIRGWGHRVLSTGIDRWRGGDGADASRFRDKEVVEQIWKT IEANMRSKGWRMDAAPTSI UV8b_04228 MANELATWRGSQSMWHHSLTAPWTWTWVKWLAHGRPWPATDVRR APEVTSHNNSSTSNGSTSNNSNNSTSSTSSTSNNSQGNPSLKRRQLPGPALRVPQTG UV8b_04229 MATLSHRSSNEAIPPPAQPCKPAQAQHDDESLLAPAKPSTSTPR SSTSDRSPSDLNLNLNPNTVVALSAHHRHSSSLRSTSSLSLSKSHRAANSLLNRAAAA LVSISNPGVRPRLSNSTLPRRPSLASASASASASAPAPAPAPEPSSPRKNTNLEASSS STSLAHHELLSQPYSETDPSLPPPVRSIDSDRKMHQTSSRLLRMTDDDRPFTRDFKDL FATLIVSLLPLSAHRVRLTKVEYTFLSEDAINNLGSLKFSQSNRMPDPKDSSRIVTTT TTTTFSMAKDMARSICQRFVEARFIESADGKYQQVYTMKGSVWQLTPKGICVLDRFCA RNGIQQKQVAELTNMHSTHLVILERDQQTDTLMHDWGTIEVIFRRFVGTEGRNVKTSV TAADSDSLHDYKDGLTGVKMAAERRVNGKTYQDTFTGKATVDWLMDCSTIVDRRETIE IASLFVEYDLMKAVVPDRAFLSQNTGCNLFQPTKYAVYQLSARGKELISGTGSKGRAS ESEGGTESQRTGIARDSNTQRLERILNDAALRLLFRENLRETHCEENLSFYKDVGEFV SSCKAAIQQAQDAPTASSMDTIKEVMAQAYGIYNAFLAPGSPCELNIDHQLRNNLATR MTKAVGQDNTMIETLKEVTALFEDAQTAVFKLMASDSVPKFLRNGKYEQQLRNFEFDS SRAKADAAASNALPQRP UV8b_04230 MQVKALVVAGIAGGAVAQTAPSSSSLPVGTVVFPVPTSSSNVGT VVFPVLSAPVSSSSPVGSVLFPALSGNATVVTQVVTAYTTYCPGPTTFAVGSMTYTVT SATTLTITNCPCTITQTVKFPPVPTNSMYNPQGGNTPKGGNTPNCNGNCNTPNGGNTP NGGNTPNGGNTPNGGNTPNGGNTPNGGNTPNTVGSGTSVPKPPAVAAAEGRSVAYSLA LAVAGVVGVLAL UV8b_04231 MMDLNPLVKEHRRIPPPPGTRPPTSSGAPRGTPPRIRCLCSPAV WPRETSPTRRRGAEVQGSRGWFCQEDDGKVAFHLG UV8b_04232 MDQTETPTKRKRSLDVTEHNTSRVQTPATVKRQKRDRTGADVGS PSTPKAPKAIASTLSGGFGPGRQAPSPAPAPAPAPSDVTRDSQATLGAPTPPKQLPAS LSANGLLGNPLGTGASKVWTRPAIKLAALRGTIWDNGDLPVTRRGSMPRRPTMAAAKQ TTVAVQQVGVATTSSQQKPGAAPQNNGEAPKGILTPKKKRARPPKNVTFNAEADEQVF FQDLPKTPSTRKPQKTKAQKAQDAYDDIVCEMCSNPDSKAPNEIILCDNCDFAVHQKC YDLPEIPEGEWLCKSCSQEDASKPCSSQAAKTASGGHAEHVQPVEVPDIPNLDQHIRA VQRVLLDRCTGRRRIRIFGQDEAYEKARQLLEQTILAGEGNSMLMIGPRGCGKTTMVE NIILDLSKEHRHDFHVVRLNGFIHTDDKLALKDIWRQLGKEMEVEDDLLHRTNYADTM ASLLALLSHPSEIMGTDEGVTSQSVVFVIDEFDMFAAHARQTLLYNLFDIAQSRKAPI AVLGCTTRLDVVEMLEKRVKSRFSHRYVYLGPPRSLPAFWQICRQGLMVDGPDAETEG IDVNVEGHSEFHSYWTHKIEELYKQRQFQHLLQYHYFTTKSAAAFLAEWILPLASLSA QDVTLNSPTAGASEATSLAPPPDSRLPLLSTLSDLDLAMLIAAARLDIVAHTDTVNFA MAYDEYSSLVAKQRVQSSSAGMLAMGGNARVWSRGVAGVAWERLIELGLLVPAGIGGG RGLGHGGLDGRMWKVDVALEEIPTAVKLSAMMARWCREL UV8b_04233 MTLNFCFMTVQAFYGYVTDSLGLLSDSIHMFFDCVALLVGLLAA VMSKWPPSQRFPYGFGKIETLSGFANGILLMLLSVEIAFEAFERLWDGTKTKRLGELF VVSSLGLAVNLVGMMAFGHHHHHGHGHSHDAHHGHNHDDHGHKHQHDGQGSHSHHGCH GHGHSHDNENMQGIYLHILADTLGSVSVIASTALTSLWGWAGWDPLASCFIAVLIFLS SKPLVISSAKRLLLSVPEATEYGLRNTLGGILQQRGVVSYWVPKFWLDDRTGSEGEER LVGVVHIVVARGVAMDEARDKVGQYLLSQGVDAVVQAEREGDNSCWCTRARGSVMAPS TPKLL UV8b_04234 MPASAPTAGSAAPQQTDQIPSLPEAKAAAKSGLLSQLRALAAGG FGGVCAVVVGHPFDLVKVRLQTAERGVYSSALDVVRKSVARDGLPRGLYAGVSAPLVG VTPMFAVSFWGYDLGKQIVSASSPVVGPDGLSIAQISAAGFLSAVPMTAITAPFERVK VILQVQGQKTLAPGEKPRYSGALDVVRQLYAQGGLRSVFRGSAATLARDGPGSAAYFA AYEYIKRALSPKDPLTGRPTGELSLSAVTCAGAAAGVAMWIPVFPVDTVKSRLQTAEG HVTLAGVVRDLYARGGYRAFFPGFGPALARAVPANAATFLGVELAHQAMRKMFD UV8b_04235 MPTAAPSNMNSNNSPPAHLFVVRHGSRLDAADKSWHLTSPTPYD PPLTYGGFLQARQVGNQIASLLRQARADEQTYSTSNGSKKRKRFRVVIHSSPFLRCVQ TSIGISSGLAQAFPDSAHGPVDLIVPQAASQSRPDIHFKSTLLRLDSFLGEWLSPEYF EMITPPPGPGLMLGGAKAELLRREDYSFYANVAKPQPQRTSSGSLWQSPASSPSHAPA SPSASDGEGSIDVSALGPALPGQPDLKEGYMPPRPLYAVSSSGSIPEGFVAHARDACV SVDYQWDSMRPPFDFGDGGKLGEEWASMHKRFRGGVKRLINWYASTDSPADVMGSSSG VEKATHFRDSDGEVETVVIMVSHGAGCNALIGAITHQPVLMDIGVASITMASRKQNLD YAALLASTPSPADASKKKKILVPVDKMYDIRLSASTEHLRRSNTTTPVFTRSASTAGT RNTMAAAAGAPRGRTSTMGGPVMSPFTYSDPFSPAGSRSTSTSAIDPPNIARRETASH RPLPRGTALASVGFGKAAAGWSKPGNPSTTGPASTIGLWSAGPSSLRLMDDGSDDTDE FDTMLPDFDRKRFDVGLRTKDKRRGNLSLESICSSATDDDAPVFPDFPQPGGTPLHNS ATAPMLAGPIKLHTKLGLDNTVEEIKATQLGAGVGGLWGIPPPLDEAERFRDLSQTKR RWTVNERA UV8b_04236 MAEQLRFDGQVVVVTGAGGGLGKAYALFFASRGAAVVVNDLGGS FQGEGNSTKAADVVVDEIKKAGGKAAANYNSVEDGDKIIETAMQNFGRVDVVINNAGI LRDVSFKNMTDQDWDLIMKVHVRGAYKVARAAWPHFRKQKYGRVINTASAAGLFGNFG QTNYSAAKLAMVGFTETLAKEGAKYNILCNVIAPIAASRMTSTVMPPDVLEQLRPEWV VPLVAVLVHSSNKSENGGIFEVGGGHVAKMRWERSGGLLLKADDSYTPSAILKKWDRV VDFSKPQYPTGPNDFLGLLEESQKLGRSEQGPKVDFTGKVALVTGGGAGIGRAYCLAF AKYGATVVVNDLANPDDVVNEIRKAGGKAVGVKASAEDGETVVKAAIDNFGRIDIIVN NAGILRDKAFANMDDSLWDPVFNVHLRGTYKVTKAAWPYFLKQKYGRVINTTSTSGVY GNFGQANYAAAKCGILGFSRALAIEGQKYNIFVNTIAPNAGTAMTATIMPPEMVQAFK PDYIAPLVLALCGDDCPDPTGGLYEVGSGWCGKTRWQRTGGHGFPVNVALTPEEVVKN WKDIASFDDDRVDNPEKAQDSMMKIMGNMGNVAEKVDAPAPGNEYLDAIKAVIGREGP AVEFKFEDRDSILYNLGLGAKQNELKYVFEGAEDFQVLPTFGVIPIFTAEMPFNFDDI VPNFSPMMLLHGEQYLEVRKFPLPTSGVLESRGKLVEVVDKGSAAVVKTALTTTDKAT GEPVFYSEMTVFIRGSGGFDGPSKGQGRGAATAANAPPKRAPDAVVEAKTDENQAAIY RLSGDYNPLHIDPSFAKMGGFKKPILHGLCSFGVAGKAIYETFGPFKNIKVRFAGTVD PGQTLVTEMWREGSKVLFQTKVKETGKLAIGGAAVELIDDKGKM UV8b_04237 MPPERPNLRELNASLEALAVVFPDVQVQVFRELLSNFDGESRLA LVADALLKNRVEWVKGRWKVMDKQDASPATLPRSEMFRSPEYVQAVAALAAHEFRGLA KSTVNAVLAECNYAYLEARQTLVDLSSKSWRFTVQSLLLRRKLLTTGEAENHPLVVWR STGQGSIVPTIRTTGNAELDRELYDALIRPLKRKLKEKGEAKDRGLAVLLNKEEAEAA CATYECACCFVDYTFEGFTSCSKEGHLICHHCVQSSIKEALFGQGWQSSINVDTGTLK CLSADGSGCTGHVSSDDLRRALFTDDKCGPDMLDRLDQRLAEHGLVAADVPLIRCPFC SYAEIDDVYLPSHEARPRFKLRSVYNLAVASAAVMGLISLFPLALLAALVCVTVSVRL EVWRSIGPEWKQAVHRHCRRRRGLRFSCQNPKCARASCLSCHKSWTDIHVCNESSLVA LRTQVEQAMSMAIKRVCPRCNTSFVKNAGCNKLTCPCGYKMCYVCRADLSEDGYRHFC DHFRPDGDPRPCTQCDRCNLWESEDVDAVLEEAREVAERKWAETERRELSGPEKAYLE TGVASQGVQSRVDRFLASHTAPKLPDVLDMIVEAMYV UV8b_04238 MSLQVADDKAAICLSFILEHVQRHKAASPRRPLIVGLNGMQGVG KTTLVASLALALEGKSIKTLVFSLDDLYLTRQDQVEFASANAGNALVQHRGEPGTHDV DLARSIFASLVEGQPTSIPRFDKALFQGHGDRLPREQWRRLNQPGDEPTEVIIFEGWS VGFRPISAESLEAKWEAPSRTLRKHNLEHLLFVNERLAEYDGITEYFDVFIHLDSEDA DSVYAWRQEQEHSLRAARGDPDAGMTPEQVTRFVDGYYPAYELYTEGVRSGIFAERPG RQLRIVVGRDRRVKHVVRL UV8b_04239 MMGGCMSSSSEEAEQKKKSQAIDRVIEEDSKKLRKECKILLLGS GESGKSTIVKQMKIIHLKGYSEDELYNYRPTVFKNLVECAKAVIIAMQQFDIEPQMKE NKDHIEYLMAYQAEPGPQAHIDPQVGVAVQSLWADPARTRLMKHQTEFYLMDSAEYFF QEVMRIVAPDYLPNEMDVLRARTKTTGIYETRFQMGQLSIHMFDVGGQRSERKKWIHC FENVTSIIFCVALSEYDQVLLEESSQNRMMESLLLFDSVVNSRWFMRTSIILFLNKVD IFKQKLSRSPLGNYFPDYSGGNDVNKAAKYLLWRFNQVNRAHLNLYPHLTQATDTSNI RLVFAAVKETILNNALKDSGIL UV8b_04240 MAAEQQRLPTRERRPSTGAPIVDITGGVGPAGISRPKHKRTLTG FGAGEIKSVEASIPEPQRDAWKRNQPTGFTDKDGFEKEVVRHVETTLARSLFNCDEIA AYSATSLAFRDRLITDWNRTQQRQTYRDSKRLYYLSLEFLMGRALDNAMLNVGLKDVA KDGLDELGFRIEDVIKQEHDAALGNGGLGRLAACFLDSLASLNFPAWGYGLRYRYGIF KQEIIDGYQVEVPDYWLDFNPWEFPRHDVTVDIQFFGHVNKTKTPDGRTISVWEGGET VQAVAYDVPIPGYDTVTINNLRLWSSKASGGEFDFQKFNNGDYESSVADQQRAETISA VLYPNDNLERGKELRLKQQYFWVAASLYDIVRRFKKSKRPWKEFPDQVAIQLNDTHPT LAIVELQRILTDIEGLEWDAAWDIVTNTFGYTNHTVLPEALEKWPVGLVQHLLPRHLQ IIYDINLYFLQKVEKAFPNDRDMLRRVSIIEESQPKMVRMAHLAIVGSHKVNGVAELH SDLIRTTIFKDFVEIYGPDKFTNVTNGITPRRWLHQANPRLSELIASKCGGRDFLKDL TLLNKLEQFVDDKDFRREWAEIKYANKVRLAKHIKETTGVTVNPAALFDVQVKRIHEY KRQQLNIFGVIHRYLTLKAMSAQERKKQLPRVSVFGGKAAPGYWMAKQIIHLINSVGE VVNKDEDIGDLLKVIFLEDYNVSKAEIICPASDISEHISTAGTEASGTSNMKFVLNGG LIIGTCDGANIEITREVGENNIFLFGNLAEDVEDLRHAHTYGSHTIDADLDKVFKEIE KGTFGTPQDFGAIIGAVRSHGDYYLVSDDFHSYIETHRLVDEAYRKQDEWIVKCITAV ARMGFFTSDRCINEYAEEIWNIESLAIDKH UV8b_04241 MAEFVRAQIFGTTFEITSRYSDLQPVGMGAFGLVCSARDQLTNQ NVAVKKIMKPFSTPVLAKRTYRELKLLKHLKHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQIMVRQHTEQALDPQMTGYVSTRYYRAPEIMLTWQKY DVEVDIWSAGCIFAEMLEGKPLFPGKDHVNQFSIITELLGTPPDDVINTIASENTLRF VKSLPKRERQSLKHKFKNADAPAIDLLESMLVFDPKKRITATNALAHEYLAPYHDPTD EPVAEEKFDWSFNDADLPVDTWKIMMYSEILDYHNVEAGVAAMEGQEFNGQ UV8b_04242 MAADGASSPYDGGDPMAMTAVPLTGSDSPANKGFSPLVTVVGFH HARGPEVETWFGADQGIDPAMQYNWPLLPFMALSDGAHASEEEFSYFTLLKPKTNAEP ATSLFGISCTRQLDSSHLITRPADVTRSTVQKAVVVIADSPQHFGMLRERLSIVTQAW FAQREFTDTDILRRFQESLADTRARGITGQESERDLHLGMSLRELIHEFKWQALVLLK CCLLQPKMLFFGSKCDRLCMVQFSLISLIPGLIRNLQDCADPELNSYEEKLAQPTSLQ SSNRNSLLTFMGLPLQIFGKGGFFGPYTPLQQLDILADFGTKSYIVGSTNSLLLQQKD RYSDILINLDEDTVNITSPSLKAALALTPADRRWIDFLTHEVNETWDDADPSRPKNMQ YVGSEEFIRSQFEAYILGLISSVKYHNFLVNQGEGSGPSSTLAADADPADDFGHEWVE AWSRTENYRMWNAHTDTNLFAVSEPKHPCAGGLTIEDIQRRVADQFKDLHLDERLAQG RDILGRNLAAGREKASSMLGKLYSDMEYLRESQRRRAEEARAQPGPMPPPPEKGPLYP VDLAKAQQTVHSASAKASAYMSSWATWAGEKRKSRGGWGVAGWGKKSGAALSPSPSTP IDREYQVVSAPGSRPASTEHHGPGRPAKHASFSESILSAASDGRVSGSSEPDHDTFHD VGGTPARSAADGAHAPKAQDDGFQTAATTGGGASAGSAKGPGGQAVEGEAEASPLPKP GRGVGDGTDQKPDGMAGRPPKPGREARDGTDHQPDGMAGRPSAQEVGDE UV8b_04243 MAARLASPKQMPPVPNREDIGATWTYLQAGITRIMNDLEQGIDM QMYMGVYTAVHNFCTSQKAVGLSGPAMHSSHRGAHLLGEELYNNLIDYLQKHLGNLVE ESKLHADEALLAYYIKEWNRYTNAAKYIHHLFRYLNRHWVKRETDEGKKNVYDVYTLH LVQWRKVLFEQVSGKVMEAVLKLVERQRGGETIEHGQIKQVVDSFVSLGLDEADPSRS TLDVYRYHFERPFLAATTEFYQAESKQFVSENSVIEYMKKAEARLSEEEERVSMYLHQ DIAVPLKKACNMALIADHSTLLREEFQVLLDNDREEDMARMYRLLSRIPDGLEPLRTR FETHVRKAGLAAVQKVQSSEGDKLEPKVYVDALLEVHSQYQLLVKQAFNDEPEFSRSL DNACREFVNRNEVCKSSSNKSPELLAKYTDVLLRKSSTSIEEADLERTLIQIMTVFKY IEDKDVFQKFYSRMLARRLVHSNSSSDDAETSMISKLKEACGFEYTNKLQRMFQDMQI SKDLNKEFRDHLESRESSKTVDSTFSILGTSFWPLTPPSTNFHPPTEIAAEIDRFALF YKHKHDGRKLTWLWHLCKGEIRTGYCKSSKTPFTFQVSIYQMAILLLFNERDAYTYED MISATQLSNEVLDQALAVILKAKVLLMSGGPGEKPGAGKTLNLNYDFKSKKIRVNLNL GGVKEAKQEEVETNKTIEEDRKLVLQSAIVRIMKARKKMKHTQLVSETINQIRSRFVP KVGDIKKCIEILLDKEYLERLDDDELGYLA UV8b_04244 MASSEPSSSARTFENSTIDSGFRLRPPRSLPPWIDSYEARYGPV SDEQLRLLKLPARIVQHQSNFAPVEPQRRVSRDGFVAWEDDPQLGAARKATSRIPHIL RYGRASMRGRKWDHLRSSEPVIVSKYKAASPQSSLSWSDFVRSSSWGGRTNEVSQVVS YDALDRLQPNFNSEIKVQFHHAEGRGKDGRRSAALSKRVWNGITQHPLSPFFFRLGVM VTSVLALGMSARIYQLENTLQVRNAERTQSVVAIVVDCLAVPYIGYMIWDEYTGKPLG LRSAMSKISLILLDLFFIIFKSASTALAFESLTYHTTWHALLTHLSKVLAAFQLIGLM SWSMTFTINIIRTVKRLGGGGDDNNDSNV UV8b_04245 MKQGSKARGSGLQVFARGISDGNINHNDPNEMLLQSKPPARSAT PHASGYVPSRQEIAEFAKLPIPGPGARAIASPRPQGQLRSIHRVGSPAVKRGYSSPKR QSIEANVQTAHLQHDIFSGSQLGEHFMTSGFTTPVDESSAVAVTQHPEIKVEEQQLQP SHLPSLPLAPGPSSKADGQQFSFQAADGRLSVVSGHQRYNTSHMRDGFYGNDLRHGFK APALPTLTYNLSALPMQGQPRLPMRGTRTNRGLSSQPRALAIQEEGFQDDLVQVDDGK WGNQIMTRNGESGPAHDSDDEVDNLGGFAERIAASGVRRPSGLGTRPARDDAKSNKVA QGFSSRDRKHSRPSVDYDDDVLSTMTFQNLMDEPFDLVPRIRGASNEETSATNLATRL ELIQSQGDHEQRQLFKNMTIDEWEDAGQWFVDQFASIMTRLREARRGKRRTVEAFEAE ASQREEAIRLRTNVIDKKLNKMKRDGQRVVRYKEL UV8b_04246 MKPPLPLRAFPFPLNVGTDICQISRVRRILDSPRRTRFINRILA PEELESHKARLDPSPGTILAKDAQIGGRGGETPPWETAAFLAGRFAAKEAAIKAHPHR QLSFHDVVIERRMGKAQRLGSGPPVARIRAANGEGEDVSALISISHDGDYATAVCIAH DPS UV8b_04247 MEPRPSPGPDEQDALRAMSRTPHPYHHPSSELPHAAERFNTDAH APASLHVDFQARQQRTSAHSSPTAFPSFLKDSAPCSDSGTEADDEHFLKGLPAPKARL HKGLRDGNEPISSPTTPLPSPAILDHEQIRAMSDKLSSRHVPARKRGFELLRRNRILV RRATEAGIVVSLGYVVVTSPHVSPLFRVWRKDFEFLALLYAALLLLYPLRLLAWSWRN TTTWAWPPLRIPSHFDPAPLFYPASITILVSLLVAQNNPGAILPNIILGISSIPQALI PVVDLSASYDLLHWLLSCLPLVWGSWKLDPSRHHPTYHFLSGEALVLLYPVHQTLCIV LHHLTTTSLLTAELQLLSIALINVLVLSASPQAKILKGLLWGGGLAVLVLCGPAIRRG IALARVPKWRFQRPVGSQKSRLWKDIRKMFSLHRIKNEMARGALGGSSSSSSAAAAAA AAANNNNNNNNNNNNNINNAATTTTTSTTTTTTFDDSLYYTASSSVDEEDDVLIFRGP WRVQTLGSTAANAKPRKQFVTASAATQPLGLLNGTSRRHTLSHFDLSGRKHAPSHTPS GRRKRAASLSMRPYVRLTQGQATVRKWVYAAYVYVCIVGIVFAGVRTYVQLYALDGQE PIGWALGYLLGDLPWFRFQIVSANLERWICLPARAAQAAGKHCHLGWVQHLRGDDFGE ANTRLILSAYWLTILVFGLVVVFQLKQTYEVDTRRKVFHFMMVGMFLPATYVDPTYAG LALSLILAIFLILDLLRASQLPPLSKPIASFLAPYVDGRDFRGPVVISHIFLLIGCAI PLWLALASLSRTGSGCLAGWEVPTRDVSMVSGVVCVGLGDAAASLIGRRYGRHKWLWG GGKSLEGSLAFAAAVFAGLAAAGTWLRVGGWPTSNGPAMSILSSARNAGICASMASLT EAVLTGGNDNVIVPVMLWTCVKSLGI UV8b_04248 MPAQASAPGSSRQVTEPRSLRKRSVNAGADPETTPTPTPTPTPS KRRRRRSPSAGDLAAAEASPDAPEATPRKSGKTGTRTPVKPPAKSIFATPVKNPQAEA ATPSRRAADQSARRKSARALIERAVGSRADDDDDDDGDGDGDYSNDTLIRQIYEDSDA QDSADDGRPADPSSRAEAATPSSGAGAAGQRAEASASASASASSPAARAKRATSPTPP RDLPPHELYFAHNKPGKPTTSDRTLASLALLTHDEYFALAGGQQHEDPHAADVAHLEN LHAELFPQWAFELSQGYSVCLYGFGSKRALLRRFAHHLHLHAARCARRDKLRIVAVNG YARALALRDVLACVGAAIAAGPGPGPGPGSSHHHHRLPTSTPAAMVSSILAQLAATDV RLALLVSSVDAAALRKPAAQGVLARLAAHPQVSFVCSADTPDFPLLWDIALRSAFDFV FHDCTTFAPFAVELDVVDDVHELLGRAARRVSGREGVAFVLKSLPENAKNLFRLLVGE VLVAMEEEGRLDDEPAGVEYRMVYNKAVEEFICSSEMAFRTLLKEFHDHQMITSRKDA LGTELLSVPFPKDELEAILEDLMS UV8b_04249 MAWNLNLSATLNATKLFFKPGICLPHCTVPTFNDLPIPLDKGLH QLGRQSDIRAVVLDKDDCFAYPDAKHVHEPYKSHFQDLRRAYPGRRLLVVSNTAGAAG WDPDRKQAAEVEKNTGVHVLPHSAKKPGCGAEIMAYFRQHPETGVTDPSHVAVVGDRL TTDMMLANMMGGWGFWISEGVVPRQQKSVFSRMERNLAQFLVSRGVRPPLPR UV8b_04250 MSLPPGPPSGDAKPSLGASQDPGVKAVQAAMESCLGKSIMSGVM GFGMGGLFGMFMASMSYDTPFGSPVTNSAGQNMSSLPLRQQLKVGFKDMGTRSFSMAK NFGKVGALFSGIECGIEGLRARNDLANGVAAGCVTGAILAKNAGPQAMAGGCVAFAAF SAAIEAYMRQPKEE UV8b_04251 MHPARYRQEVHSAEMQPPRYEALQYDGAGHRPVATRRPWWNPRF WTRRVWTWLCAAAVVIVIVIAVAATVTKKKAYPDYSALNYSLQDTYAGETFFDQFNYR TGYDPAHGFVHYVPHAEATQRNLTYATPSAAVLRVDTAVGPQDNPNASTGRFSVRIES TKTYNGGLFILDVRHTPYACGAWPALWLTDPYHWPDNGEIDIMESINQGTAGNAMTLH TTGGCSMGVVRKQTGTAIQDNCDHSVDKNAGCGVQGPGPTYGATLNSAGGSVVAVEWR SAGIRMWQFARNAVPADITSGKPNPAGWGVAAADFPGTDCDIGSHFKNHSIIANIDLC GDLVYGSWDKSGCPGTCQDLVANQPDSFKTAYWEFGSFQVYQPT UV8b_04252 MASGTFFDAKKTLLLSPFVSSTCSLLFAWDQHVFLGILTHAELR DRGNAILPTYWRVMFPWGLTQVIGLLGITTWTSIGAMVWNKDLLRRRGALPWYAATAG LAVGHLVFAPFVAPLIKFMMDDEGGRPMERKRAEPGHRNVEAQGEWLGWNMTRLLTTD LGAWLCCAVAVTKTFSLEQPAGAC UV8b_04253 MASIAASLQASLPKPKYTGEDEEAPTRTQQRGPRIVGAGQIDET QIVLKRSGPPAYGQRAGWRPRSQEDFGDGGAFPEIPVAQYPLDMGKKNATTSNALTVQ VDAEGKVRYDAIARQGHGQGRIIHTSFKDLIPLRQRADAGEIDLSRPDKDSVAATTEK TKNALAALVSGAVAAQRPKNINVGQRNDPTFVRYTPASQMGDNSKKQDRIMKIVERQR DPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDQEMWKIPPPVSNWKNPKGFTVP LDKRLAADGRGLQDISINDKHAQFAEAVKMAERHAREEVQQRALMQQRLAEKEKAQKD ENLRALAQKARDERAAAAPAGGGRGRGRGRGRRRSQDSRDSRDSRYSRSRSYSESEAS DSEDSEIREREKARREKHKEEERKLRQNRMGAERRMQVMAREQNRDISEKIALGLAKP TQSKETMYDSRLFNQTSGFDSGFNEDNHYDKPLFAAQDAISSIYRPRANDEEDDPEAG DKEMAKIQKASRFGEALGKGTFKGVGDAEAREGPVQFEKDAADPFNVDKFLSEVDQSS SSKRGYGLQEEESRHSKRPRVDDEED UV8b_04254 MRLSTIATGVIACLATDVTATALTYRLGANEKACFYAETKKDNE KVAFYFAVQSGGSFDVDYLVEGPNGKVVLQGERERQGDFVFTAQHAGEYSFCFDNDMS TFAGKHVDFDISVEDELRTAQLPSKQGTSPEQMSSLEDSIMKISSLFSTVSRNQKYFR TRENRNFSTVHSTEKRIVNFSMIQIGLIICMGALQVFVVRFFFQGARKGYV UV8b_04255 MSAQTTITKATSVALRISAIIFFRFARLPSIIFALFAIYIPAFV ASYLSGPQALVVDDEVDLTVKRTIISPETPLLSENEQVLEPEIIADEVEIEEKIILEE KYEPSWKTLLYGTANASRPKVSLLTFLINMVLVGLTVDALYRARWYYPAKDLSFVRLG YVSPGEARFLIREPNNERLPVTLQIRAKSSELLLDHSPWEIAAKVQLTTNETDFTEAV TVALAHSEQRWYEWKTSNNFTGEFLAPPKPGDMPTFHGGKFTFLSTSCILPRFPYNPV DHALAIPGLRHLARMLPNLGAQFMLFLGDFIYIDVPERFGKSTEEYRMQYRQVYASPD WAPVGQNLSWIHVLDDHEISNDWSSNKTGIYEAAVGPWHTYQAAVNPPAAVMAGTRGQ RRKDATWYEFVQGPASFFLLDTRSHRSSNGIPFDDEHKTMLGPDQLADLLAWLARPEP KGVKWKFVASSVPFTKNWPVNVKDTWGGFLVERKKILEAMWNAGAHGTTVVILSGDRH EFAATKFPPPPESEWSELSAAYEFSTSPLNQFASPIPTYKQTDDEDVQLKYIPSGSSK FGSFTIENVDGNSTLQYRLFIDGEERWTTKLIQAPAVDGPKAGGGSFWDRIKFL UV8b_04256 MAGRKKRPIEAKQHRPSKKQKRQQQYNSDPSSDEDEEQSFAAVN LLDSDDDVHNATADDAAVSGDEDTLSSSDEEQPAPKRPRESRSKSQHDAKAPEAAAVS DDDEEDGEESDVDGSDGDSDSAGRSKRKSKRNDPSAFATSMSKILSTKLSTSKRADPV LSRSAVAHDASVAAVESALETKARRQLREQKRKAAEKGRVKDVLIASRDEITGEAESS TADILETERRLRKVAQRGVVKLFNAVRAAQVKAVEAEKDARKEGVIGAKNRETKVNEM SKKGFLDLIASGGGGLKKGGLEEA UV8b_04257 MSSASRTLASRLAAWAATTCPRAYRRRTIQAPSSFIFMSACRTQ SAAASRAMQLSLLPRRSFSTSKPALHGHIDPPKPGEELHVTFIEKDGQEHKFAVSAGD NLLDIAQANDLEMEGACGGSCACSTCHVIVADEDYYDKMPEPEDDENDMLDLAFGLTE TSRLGCQVKMTKELDGLVVKLPSMTRNLQASDFQ UV8b_04258 MTDRDAVNPLRPYYRAPVIGETPDAVSASSANQLGGGGAASSAR YASRARHVLADIDYKGYLDDSSPGMMQNVKELVDDLMWKYTSVLLAQPFEVAKTILQA RDQDENAVLADDAEPAGLKRRASSQASSSIYHYHDSDSEGEEASYFTSSIPGTPTASY SRNLSGRRPQSPSQTPQSSKKAAISEHSINLRRPDSLIDVIGQLWHKDGAWGVWKGTN ATFLYTVLQSLLENWSRSFLSAIFNVPDLGVKGDIDRVIDLASPYPWTSLIVAAGAAV ATGVLLSPLDLVRTRLIMTPVSKGPRRTLACLRTLPSYLCPSAIAIPTVLNSLVHPLL TLSTPLMLRTRFMMDSQASPLTFSIAKFLASSGAILVKLPIETVLRRGQMAVLTSQEY KRAMGGTESRLDTIVPIGRYNGLVGTMYHITAEEGTRENAAAIKAASRKGKAKSASLQ PTYVKGQGLEGLWRGWKVNWWGLVGLWTASLVGTGGEGEF UV8b_04259 MATSAEKPTMTDNSNAIARPVPRKFKASDLPLPSATRAAIESLA HSFKKEGAYDLIRKQVWDKFEASDYEAQVTKAILEVAEKEVERNPQQLLTLDPRKAAA LIDGALERSGVYHKAEDVIGQLIDLDAIEERIREIRRADIGEDAAEAERLRGSKTDEE YAADTEARQAERERVREELRQKELAIDEEKRRIAKEERKKEEREREQAELKRQEERDG RRRKREEDRAERERVRDEEREKRHKERELREKEREQQRDRDRSRDRDRDKDRDQERYR DSNKDRGRDHSRDRSLDRDRERASKAKEAVQEQKLSKEDNERLEQEALADLLRESSRS DHAKVELEVDAALAPPPRKSVPASAIDPIRRDSRKVAESGSRSERRESQAPADSKPTG LRDGRRSSRSASRAGDRDRNAARERSRRREDSRRRDRRSQSPQRERSRSRDRNRRRDR SRSRLRRDRSRSRHRRDRVRDRSRSTRRRERTRSPLRSERRDRSSSERQRSRTPVRAE RRARSRSRARRDRSLSRDSRRGAAADHYDPRASARSPQVRRHSHDRHRDRARDKDRDR NRDRDAERERGRDRSRSRSRSGSRSRPGAAVVRTASAVREELEERKLVEVKKREKEAK AYLAAQKYAKAKGLPIPGVDDKKTTREDRRDGRRDERRRSRSRSNSRDRRYRDRDRDR DRDRDRDRDRDRDRDRDRDRDRDRDRDRDRHRDRDKSRTRDRDRDRDRRDRSRHSHRD KGRDRDRDADKDDRRRRDRRSLSPRRDRRRTRSPSRRRRSRSR UV8b_04260 MASHPSSSSTPSSAMSSSTAPSSSFNMDDDVLPTNAVSKEEEPV ARTLHTAVYISAWIFVSNCTILFNKWLIDTGGFRFPILLTCWHLVFATIATQILARST SLLDSRKKIPLTSRTYCRTILPIGIFYSGSLVCSNVVYLYLSVPFIQMLKAAAPVAVL FTSWAWRVAEPNLASFLNVLWIVAGVALASVGEIHFSLIGFMYQMGGIVFEAIRIVMI QVLLSGDGMKMDPLVGLYYFAPVCAVMNFLVAVPSELPRFTWAAVSDVGISILILNAS IAFLLNITSVFLIGRTSGLVMTLTGIFKNILLIIVSILIWNTKISFMQTIGYAIALAG LTYYSLGYEQIVKLFRLSISWTVSMWSSTSAQGRGSGSLATRRCVVITALVFGGLFIF VAALQHYDPQKTQMLRLPSWSGTE UV8b_04261 MNSQNPQFTNFYDDQVEAFRQVQSPGRVLDRLNEPTAIITIVVL LVALVLKINTIESSFESIAYSIATHLWDLLVSAIPTAMLVAVHNWVNPKSMTEPPPDT HAAKSKMVRRIVGLDRPGGMMEHVFLARNRALSVTGSVLGLKLDLERPAGLGNRDNSC YQNSILQGLASLPSFPNYLSACVRAIDMQRPTDDVAHTLRSLIADLNDCTNNGKTIWT PSLLKSMSTWTQQDAQEYFSKILDDVDKSVARAIKSTRRHCGLGSDCSSKDDFVYSEH SQHSDDSGYQSLQSNAELAAAKTLRNPLEGLLAQRVACVRCGHSEGLSMIPFSCLTLS LGLDKHHHDLYERLDAYSKVEEIEGVECPKCTLLKAQNLLTKLLEQFRARDSPFRLEE PLRRLEQVELALEEDDFDERTITEKCNISSQGKVTTTKTKQIVIARPPQSLAIHVNRS VFDPRTFDMIKNSAPVSFPQTLDLGPWCLGSGQDAEEKLAGDGGSEQEQWLLDPRRSM VAGDLSPSRLTGPIYELRAVVTHYGRHENGHYICYRRYPDSEAPESNEASSTVVIDGD KDDGGLAEDQKPRMRSKANNPGWWRLSDHNVSKVDEDVVMSLAPGVFMLFYECVDPSI VLQAEEARMEDAQEMAVPDLKDDEMPSGMATEPADIAVFERQDLHTGTGSGPTRCALS SSTVDELSEPETLDTSRDTADDSTTVTSAPSSVSHWTHDDEEEQLDGKGPS UV8b_04262 MSSALRSFMRLRGRSAFTGSTLNRPLPRSPQAIRALTTTIRWDQ GELLLRSQKDQEVVVRKIPQLWLRDNCRCTECVNQDTMQRNFNTFEISMNISARALET NEDGFDVLWSDWHKSTYTWSWLRSLVHRDPSKVLNRIHGSRFWNHSIASNPPEVSYQS VMDKCNNAGMAELTNKIRNYGLCFVIDTPKTPEATKQLLEAIGPIRNTHYGGFYDFVP DLAKADTAYTNLALAAHTDTTYFTEPAGLQAFHLLSHEPPPGEAQEESLGGQSLLVDG FQAAHRLRLEHPEAYEILRTVRVPWHASGNKDVAIAPDKGYAVIETSSRRLFRIRWNN DDRGVIPLRADVDKWYAAARTWDSILRRKKNQYWFQLTPGRVLIFDNWRVLHGRRAFE GQRRICGGYINQDDFISRWKTSNFPRDEVIAANIS UV8b_04263 MESDSHPPPEQVRRTLQVDNAIRAIQEKKPVPEIDFTIHTMEDG TQVSTLERVCKDVQAPAMTKPADEQFFEDDAHTKPNITFLKQHFYREGRLTEEQALWI LRKGTELLRAEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPAETRYLFLGDYVDR GYFSIECVLYLWCLKIHYPDTLWLLRGNHECRHLTDYFTFKLECKHKYSEAVYDACME SFCSLPLAAVMNKQFLCIHGGLSPELHTLDDLRSIDRFREPPTQGLMCDVLWADPLED FGQEKTSDYFLHNHVRGCSYFFSYPAACAFLEKNNLLSVIRAHEAQDAGYRMYRKTRT TGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSL PFVGEKITDMLIAILSTCSEEELKEETPSSTSPHSPTASQAGDDPNSIEFKRRAIKNK ILAIGRMSRVFQVLREESEKVTELKTVSGGRLPAGTLMLGAEGIKKAISSFEDARKVD LQNERLPPSHEEVVKHQEEERATALQKAAQEADNDKKLHQLSRRLSTDRKHRTQ UV8b_04264 MDRWIPMTFTPTCPVNSSIAGRDAPASGLPELLMRVWWLTPEVR LRRFVFFGSSSAVRLLRFVNLGSSSPVRLLRFVFSGSSSPVRLLRFVFVGSSSSVRLL RFVNLGSSTSCLSYALRPL UV8b_04265 MLPLPFAAALLLGVASPVSAVQPPYQLGNGTRNGTAPVKFRTEI VTAIYPSSKPTPTPTQPQTPSFVNDVPSATLSPNVHWSHDTKKVENVIPVEPNQGSQL YYGVSSPSESGHYAFLKYYFKYPSVNIDHSDHVSIDYHSNGVMTASFKDQDAFNHAQK TWSAKDGLILIAYVPGCGGYATGERCYFNVTGLEYNHQKQTIVAVGSSKHPDQITTSG ETEWGWWSADRHGQPRASTKSSGQSTSCPNGAAVASNTDADSGDSMGQLQLECEEPVD KENGLPTACLGSNFDQILDAKLGLANMSLESKAFLDGKFSTWPSNGTGAPSPGAARMR GRSLAQRGFWSKAGRFFKNVYKKVEQVVTAALSIGGGFDAGFSFKLPDRSNPNSLSNT LVNSLVRPVQSPWGDALLLKSFQPQQQVLGGTALVAERLNIYCVGCGASGQGRVAGRA RWSAVKGLEEGRIELRTNMQFALKLGIEAQAQLKQRFTTDLFTYGLPHLSYGVVTIGP YVNVGARVEVGAEANGKLLVGGEIGLQDALVVMDIVNPSQNQRSGWEPYFKPVFEADG DVALTAEIGLPVGIRCGLKISTFWEKSVGIVDEPSIKGSAKISASAKYSNSTGWEAGL HSHDGCTGVLTQISWRNRLWAGLIGTGDAPVLDTGDRSLMRKCIGPAASRSTGSLSQG TRRRSIDSASVSTAEGSEEVTYNMATNHTLSQLATPDSSARVVSCANGNLYVVTNDDK DNSYCFSQWDTNQQSEIVYDGVHRSMHYYNDTMAKIGVSRLRVSDSSRVPRSSVTVAF VPLDNPDGGQRLYVAADSKQGMFFPVVCDFSDKSASKLFLVADPVEGVETLQRKGVEH SVTGGQVAACRLLPLKA UV8b_04266 MRVAVIGAGPSGLTTLKHLTQARKHLDCQEVEARLFEYQPHVGG TFVARVYEDAELVSSKQLTTFSDFRLPDAPDFLSAAAYARYLRSYCTHFDLWPCIHLN SRVVAVVRRPNGHKVVYRCGDDEHEWDCDAVAVCSGLHVEPNMPAIDGLGNVPRVIHS SEFKSRKQFRNRRTVMVVGSGETGADISYLAVTTPTVERVVLCHRDGFHFAPKRNPGP VILPGLRKPDPFEPGIPIDVSRANLFDTAYVHKILRSNDKLLWGYYNLYIKIILFICS GTTLGMDQWVGEISRERDHPSKIFFNKSMKVCPYISQPYRPQLPGPRLWLYALRSAFV QTPIPDTQGRRVDLAPWPKRIDKSGSVEFVDNRRPEYTRLQGQRIRPDLVVLCTGYKQ SFPFLTSGFRNSADLPYPTPNSADVRHIWSRRDPSVGFIGFVRPSLGAIPPLAELQAQ LWVCRLLSPQSIPRPLSAQDEHHYKLRPVPGARITYGVDHESYAYQLALDMGSAPGLW DIAGFFSWRSAGSSWRLLLIWALGAQLNTKFRLKGPWKWQGAFEVLTSDEMWQTITRR PIIFGHFAVSMLPICIFGPINLLCWVYGASAALLSEPYASHPLNATADGIRVVTVHPH LSPDGLVACRLQTVTFAQRPQYETLSYRWGHGECPPRTVLVDGVALGVTGNLHDALHY LRAHPRRSAIWIDAISINQDDVAERSSQLRIMPHIYARATATLVWLGRRYVNLPPLDL DLDLGPAEEAEPNADIRDWVVSDAYWDRVWILQEIAKARRIRVCFGREPVEWEAFMSW LRRHHRDGVDGTAGPFKLDGLRRDKHRGSCSLAQLLTNHAGALARDPRDKIYGLVGLS TDGRGFPMDYGKTLLQVWCDTVHFMSRHDLLPQDTAERVRFCETVRDLLGGEQELGSV SGVVQLYSDPRRHQPFPDDGNKASSASDEMALSGLSFFSECYGVIVSLGPSASEILSS IQVTDRWEAELQRLYRGDLDSAHHENDNLLRAIFDSHGANGQLVGLSALQAHSIGFRG PELYGSCWSFMHKERGAAPGLDEAWVHDTTTPHEPRLAMLNLSPNPRFHTPFKLAFVS PQARQGDFICRVDGYPMKRVVVRPAEEEHSNDVRMHVCGTAVMVKDVLSGGVFDDDHV QSSFKLDVVMDARTLYALIFGNEGHGGLDARVDMDYDGVRRVVAASLGPRT UV8b_04267 MASPPPSNHRGCDSPPLSPRQSVAEDDQLQNTSSQMARRERHPA LESPPSHVVHPPRSNPGNPGNPGNPAGGVARRPSFPRSATSRHSLVADHKLELGHETH VLFPIVSRDSGADMGSAGAAGESQRPAQTADGVPTRAKPADDRATQNLRDSIRRLRPG KSGGEKAKDALHAASLRETVVLILAAAYQKLVNETLLRRKPLLPSRDGRHIPLNSSCK LLDERSQKPYVSNFIRSSRYTAYDFVPKQLVFQFSKLGNFYFLLMGVLQMIPGLSTVG RWTTIAPLSIFVAFSMAKEGFDDYRRYQLDKSENRSQAWVLNQSRSDGPKGGKQVVGR PQSPEVKLGVSVQTKPMSEDGDWVRTQWQNVKVGDIVRMRRNDGVPADMILLHATGPN GIAYLETMALDGETNLKSKQASPLLAERCSTLGGIKSTHATIVSEDPNIDLYSYHGRV VTAGNETMPLSLSNVVYRGSILRNTTEAIGVVVNTGEECKIRMNANKNVTAKKPAMHS MINKMVLLQIVTVLMLAMGLTVGYRLWKTGVQDRSFYLVSRNRWSASVLYREIFFGYL IMFNTLIPLSLYISMEIIKIGQLLLLQDVDMYDPVTDTPVVANTTTILENLGQVSYVF SDKTGTLTENVMRFRKMSVAGVACLHDMDVQRDEELKWRKMEDRRSKGKQTAHHERTL PASSSFKTPNYTNKGATGSLEEKGAEGEDEVGGGNDKDEEKEEEEEEEEQGYYESALP KRTASFASASHWKSTVRPDEEPEVKTEDMLDYIRRKPHTPFSRKAKHFLLCIALCHTC LPEKRDDGGFSFQAASPDELALVEAAQDMGWLLIDRAAQTIRLQTTGKKGLCRIETYQ VLDVIEFSSKRKRMSIIIRMPDGRICVFCKGADNVIASRLQLSLLAEQKAKEVSRRAS RRKTFEQDKARNRMSIASTRGTPRTSLAMMRRESVDRLEGLRRSIGRISSDLKRLSHL DNADSRLAGRWSDELAPTSPRTSSDVFGGRRASSGRMPPSYDGVDHRIDESVAAKEEA VLERCLQHVEYFASEGLRTLLFAYRYIQEDAYAEWKQRYQEAETSLVNRQERIEEAGE LIEHNFELAGATAIEDKLQDGVPETIDKLRRANIKVWMLTGDKRETAVNIGHSARVCK PFSEIYIIDGRHGSLRDTLTTTLNDVGRGMVPHSVVVIDGQSLAKVDEDDDLAVLFYD LVLRVDSVICCRASPSQKANLVKSVRRFVPNSMTLAIGDGANDIGMIQASHVGIGISG REGLQASRISDYSIAQFRFLQQLLFVHGRWNYLRTGKYVLATFWKEVLFFLAQAHYQR FTGYSGTSLFESWSLTVFNSLFTSLPVILLGIFEKDLSAETLLAVPELYTFGQQNRGF NLAQYVGWMVMGVTGSFVIYYFAWADFAKALFTQDTSLYAMGALCFTVGVIFINVKLL ILELHHKTVITFAGFAISVAGWFLWCLFLSGTYPEKVGKDMVRDGFLHNFGRKLSWWT TLLIALAALVMLDLVVQGVRRVYWPTDVDLMQRIEKDAEARRALVEHGALAEAGGADA DADGGDVEMQDLLLHRGRIGSVAGWRTPRASFQDGA UV8b_04268 MSTKIEHAVALANFPTALSPMSAPECDIATPTPASSTKQSPSQS SSGGNPPSNSKRPPRKSTLTQQQKNQKRQRATQDQLTILEVEFNKNPTPTAGVREKIA EEINMTERSVQIWFQNRRAKIKLLAKKSLETGEDIDSIPDSMRAYLAMQALETGKGLG ANYLGRTGLMPFSHNVMMLGGDQSGQGKVLIHHLTCRSLSIGKWTRVGQNTMDLIVFY SPDKCTMTYYINNEQAGYKIEYPFSFIKNIWLENNETDLNKIGGIVIELNRAPNFFMD SSSVANGFFQCPDFTEDTQATQCMIHQLGGNPKILTNQLAKLVSLDSFMNRHNPQHFV MGPVHDPHTISVSAPVSPTARPASQPNFHQPPTGMMQDHWAMDHMHSAMGPPPHGHKR QRSRSVPGPVDFAMFHNQLMPSFYIQPPGETGLSQHSSSIYAPVPQQPSSMGPGLRID TQAPFGLDMRQYPISATTASPSDFPPSPAGTYLSQGPETGPIPTAHFVTPYGNGPFLS PPMVGTDNGGILSPMPYTGVATEPSIVEQSPPMTMMGRPGSAELYAVHDGSCTISEDG VSLNEMYSKHTINLPMHGQSPGSYVSASQGELDMEQLVHFEHIDSVSMSPESVHPTAQ UV8b_04269 MPSTRRRDASPGLGPSASLGSLPQCREPGSCCLSLGHFLSSYRA EFAVSRGDCVTRSRETGPGVEELDRGSRVGSREARSESRKPSL UV8b_04270 MPGGTTTRRILAVSLDGQAHHLSSIIKDLTGSAPRHPASASLAG ATHELRLRTRYYSATVPIWLDLVSSPSEWAASFLSPEADEVLAALGAVVLVFELPRDG GPSSAGPAGSLVQQVGRVVDEGLGGWDWDGVRLAVGVGGSAAADEWDEVCAAAGLEFV HVGGESPVRNEFGETTGVARVREALEANEWADVGDGHARRGGDDDDDDDDDDDHLDPE DLHFGLGPADLEALREALVGADTVGADTVGADTAGAGLPAEAVGGGDDAPGEQQVDQL ESMMHRLLAVREAADGLPDGQRRRMAARAVQQVMREL UV8b_04271 MGKPEPSATGDAAVGSHSSTPSHLGESAASISLGSSPFPTHHRA PSPHGHLFDRELANDDDDDDDDDLPPLYTDHEEFSNDAEGVFDPLMPRHPQHHRDDDD DERPFRQDDVHAYFVDARLDRDPDRLAGLVDRLSAVPPRPFVRMLGTHMERSGSGSDK NRETRRVTDFEIEIELTHLLYADIRSARAWRSVSTAGNFEKVRRGTVFATRAPGFGGS GGGAPEEGVPGLREWCRRYCASRARLRCFTVERRVQGYDWDLLRRRLEGLVRATNYRG RVDVAFPVRHSHVHVYSDVAVNRWRLTRWISMVFVFSLLFVFTWPYLLLATKRWETVR ADWSLSEATAVPGRRKYASMSEEQWYAMWAGTVQRAMLERRRGTLDQGDLERTRAGQG GGGGQREAAGMEAMDAMGVVNRSFGWGGDTA UV8b_04272 MGFAQCSWPLWRVDDLTLCFQQDYLKVLFPLAVIVVSFIRLVWS NASRLLFSGHPRGYTPVRTDHTALPPDAAVDDDGQDSEADADLEIHDGRLALAKTISR GSVVEADAPLGQRACQVVEELAIIGLVAINVVALIKGAYGPAGRFAAIAGIVTWIYAL VLASLRLLLGGTKWRIPHLWNHTASIYGSSWVFQLVVFRSALIHPSSKLVQALSCSEF GLVSLLFALAATMRKGNKTVLMEWEDGIEPSKEPLASLFSLATFSWVDAIVYKGWKKT IEINDVWNLLPKDKAAAVIADYRQLRRTGSLAWHMLKTFKRMLLFQCFMAFVSGLFTF APTLLLKAILEYVEQPDRAPINVLWLYVIGLPVLDTIRSYADNAALWTGRRICIRIRA IIIGDIYAKALRRKAAAGKDKVLLDGKAAKAKHGEESGNENTLAKKLRGALGLRKRNK NQSAGSSDAESASGANDDNNNKPAVEDDQANLGTIINLMSVDSFKVSEVTAYLHFLCA SAPMQLVVSVVLLWQVMGLSAIPGLVVMVFLLPVNYFLAKGFNITSKNIMAATDKRIN VTNEVLQNIRIIKYFAWEERFGRIIDEKREAELKALRSRFTLWACAVAIWNSVPVLIT FFSFLVYTLIEKKPLYPSIAFTAISLFMLLRVPLDQLGDMFAHVQEAKVSIDRVEEFL NEAETEKYEQLGEDNVDECGVKRIGFKDATLIWGGRDTVAEDGSRAFRLLDLNVDFQI GKLNIIAGSTGSGKSSMLMGLLGEMTLMNGRVHCPGGRSREDVRPDPETGLADTIAYV AQSAWLVNANIRENILFAAPYNPKRYREVIVACALERDLEILDHGDETLVGEKGITLS GGQKQRISLARALYSNSAHVLMDDCLSAVDSHTAQWIFTNCIRGPLMGNRTCILVTHN VALCVPAADYVVFLDNGRVACQGSAQAVVASGLLGEEIQKSRPASSSGSRAASRVPSR VPSSVGEEGTVVNSSGDSPNGSTASKKDKVKKPQADAMDESKASGAVKWPVMKVYMRS MGPWWFWVLAVIVFNLQQLATVATNVWVRQWANQYVREETAVALGSLSHSYGAEAFSK GSWASIARLGGSSTIPGPSAGAKADWQMPLSALASPSVNVAYYLLGLAVIGVLGAFAA FVRDVWIFYGSLTASRKLHDRLICAVTRAKFKFFDVTPLGQLMNRFSKDLEAVDQEIA ATAIGVMSCALSLLITIVLIAYITPGFLIAAALIAAIFYFVAAFYLRASRDLKRLESV QRSPLFQQFGETLSGMTTIRAYGDERRFIRDNLAKVNTQNRPFLYLWACNRWLAFRAD LLGNMVSFSAGLFIILSLGKIDAGAAGISLSYAMNFTENVLWLVRLYGMNEQNMNAME RVKEYLELEQEAEPVVEKNRPPESWPDKGNVEFVDYSTRYRKDLDPVLKSISLKINAR EKVGIVGRTGAGKSSLALAIFRALEAEKGRILIDGVTIGEIGLRDLREKITIVPQDPT LFTGTIRSNLDPFDQYTDDEVFEALRRVQLIEPGESWTSSATPANAATPGSKATSSPA PSVMTNKNVFLDLSSPVAESGSNLSQGQRQLLCLARAMLKKPTLLVMDEATASIDYNT DAKIQGTIRELTGTVITIAHRLQTIADYDKVLVLDHGEVKQFGHPWELIRDQEGQFYS MCEMSGELDVLVKTAKKKWDEDKLVDVSDS UV8b_04273 MSSLRFLDLVKPFVPFLPEVQQPETKIPFNQKLMWTALTLLIFL VMSQMPLYGIVSSDNSDPLYWLRMVMASNRGTLMELGITPIISSGMVFQLLAGTHMID VNLDLKSDRELYQTAQKLFAFILAAGTATVYVFTGLYGPPSDLGAGIVFLLILQLVVA GMIVILLDELLQKGYGLGSGISLFIATNICESIMWKAFSPTTINTGRGPEFEGAVIAL FHLLMTWPNKQRALQEAFYRQNLPNIMNLLATILVFVAVIYLQGFRVEIPVKSSRQRG ARGSYPVRLFYTSNMPIMLQSALSSNVFLISQMMYSRFSENLLVRLFGVWEANDGSSQ LHAVSGIAYYMSPPLNFKDALLDPIHTAVYIVYMLGACALFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHRDQSMYKELKRIIPTAAAFGGACIGALSVASDLLGALGSGTGTLLA VTIIYGYFEIAAKEGDLAGMKGMIMG UV8b_04274 MAAIKVPSEPLLVVLGSTGTGKSDLAVELAQRFKGEIINSDAMQ LYHGQPIITNKITAAEQKGIPHHLLGHIPRHEAPWDVERYKREASRVMAEIRSRGNLP ILVGGTQYYVDSLLFADVILDGVQHQLDPTKSFPVLDQPTEVLLEELRKCDPVLADRW HPNDRRKISRSLEIFLQTGKPASQFYAEQEARKSALAKCDGATRQPWEKLLFWVYSDK EVLRDRLDKRVDEMCRAGLMDEVGELNSFKKDMEGKGESLDTTKGIFQSIGYKQFEPY LQALDEGADAKKVKQLNLAGLEDMKVATKQYANYQNKWIRLKLMRRLQDEGVHAVNCL YLVDSTALSEFQKNVVEPAAEVTRLFLGGKPRPAAVDLSDVARRVLTTAVEPPPRETL VKRTCELCQTVLVTEEAWQRHMKSRSHRRALKKTSNLASVAANKGAGAAGTSGVEALS SRTSSPDIGCEFAN UV8b_04275 MASTSTSTCLEPMQDLRRNPARSPAHSPAHSPAKAEDVVEVRMH RIARLYSVVSTDRIHMPTASVCHRHQPTHRDASVALNPHGDRTGRGPVQRRSTQQFDQ APKDSVLAAHLMADSTCSNMPNLRTSHMRLSDIWTLMAKAGVIVPCNQHS UV8b_04276 MSSSSTSPAAPQKHARILACVLCQHRKIKCDRNSPCSHCIKANV TCVPSTPAPARKRRRRPNQDLQDRLSRCEELLKQYADCSVPGQQPERQAVTPTSAEPT KSGIMSEPTRMANEKDKTYSHPRLRIVEDDGSVRFMDCYVLTTVYEELQAMRDIVETE DPGEYSIGGSEGPTPDNNSDLLFPGEVSTTNIEHLTPDPIHAFKLWQIFLDRVNPLLK VIHVPTVQPVVTEAATNMVHLPHHQQALVFSIYATACLSLAEAESVQLLGMPRESAIQ KFLHGAKIALVRFNILKNYNMVALQALIHFSISTQDRYDGHGAWVLTGSILRIAQKMG YHHDGEKLGLDPYETEMRRRIWWQILQRDSKYSITSGISQCRYPFHWDTKPPQNINDA DILPGSMQRVQPHDGPTEMVFMMAIVAFLKFRFQMEEDNLDLATALQGLILGQNPTSD KKSSDAMHQDVVTKFRKHLGHLDETLAVMERKYIDVNAGNVHKAAKGLRAFYLGKIDG IAEPVEEQAEHGTESLAPKDNVIRFILMLMEQRLGNYADMEACGFLWFVKSYFHLDIF TVLTGQLCQRPASNLADRGWAVVEQTYEYHSELLDMSQKEFSSQALLILRAWRAREQA FTHNGQHLETPPFIQRLRELLPSHESRLSVSTSTTASFSQQQNEAPQQAVPLFQGHQF PMAAQHNMLPHPFQQQQQQKQQEVAEIDPSLGGICDMSSRNWNLLGDWMDSQEQLSTS LFAYAGLPGTGTGLMGMDGNYMNTNRRRFQ UV8b_04277 MLAQRVGLAALRRGTPSIFAQAPQAIITAAPQIRPVTTARISQQ DGHDILVKQRLQRPVSPHLAIYKIEQTWLGHSAWTRITGCALSGAAYAYFSAYLVAPL LGWHLESASLASGFAALPFAVKGGVKFALGFPFAYHFINGLRHLWFDLGKGFAKASIR KGETALWTASVASGLYLAFGL UV8b_04278 MAAEPPRPKLLWNAENIKDVAESVGISSLNDEAVKALSQDVEYR IGQVIIEALRLMRAARRTTLTVNDVSLALKVLDVEPLYGYDSTRPLRYGEASLGPGQP LFYIEDEEVDFEKLINAPLPKVPRDMSFTAHWLAIEGVQPSIPQNPTTAESRSQEMLP KGPGANPALAALAGNDNVAIKPSVKHIVSKELILYFDKIQAAILDDNPDEEVVRLRQA ALGSVRDDPGLHQLVPYFINFVMDRVTHHLDDTFTLKQMMELTNAIIQNRSLFLDPYA SSLSAPALTCLMARKLGTDDGIDAVRDQYELRQLAASLVGRIARKYASSNSLLRPKLT RTCLKYFLDPTKPPAVLYGAIYGLLEAGGPEAIRVLVLRNLRTFDSAILQPLKEKSEG SIEYEMLVQGLVQAVASLGQPGELIDAGSATNGVNGAASDGELSELNDFLGPIVGRRV AASADRALVRTLLDARLLE UV8b_04279 MVSPDERAPLLHHPAEEDEDGQEFRVHENHVPQNSFSTADRVMV LALLSLVILTSTVGSVLGDGGLNRIQLDIICHTANSTAAPGTEPCDSKQSIHEAAKLQ AWKRSLSMLIGLLTLVPYGLAADSFSRRNLLALSVAGSLVSKAAEIIVCAFPHAFNVR LAWLSSLFTVVGGGPYVFSAVVFALASDVSSKAQRATVFFGVQSLAWAAGIISQPLLV TLRHRDPWLAVYAGLAMICACAALVLFLPATRDAASLTGTSCVTEESSSTSSTWATNW YARVKSATRPLWTTARWILLDNKTLGAVLLGVLFVNMSALSPSTAYRYALERFDWSRE RVVVGILRVSSWSTLAVTAVALPVVAQVLTRLDLTPLQQNVWLARVVVFTVMIGHFIS GLSTAWGPLVVGTALVASARGFFVVSRSLLAILGNDRHLAALFSLAGAVEIVSYSIRR PLAEWLLRVGRGWGDAWYGLPYFVGIILAAVGAVVAVSLRPGGRLSDEQVQDDEAA UV8b_04280 MTKKVLIVLTSADRIPKLDRPTGWYLPELAHPYLVLAPEAEIAV ASPKGGAAPLDPSSVDAYKSDEASARFLRDHRSVWEATTPLAEYVGRAGDFDAVFYPG GHGPMLDLAADADSVRLVEEFYAAGKPVAAVCHGPIALRDARSSRSSEGGEPILRGRR VTGFSNAEEEQVQLSAAMPFLLEDELKRVGARYEAAPEPWGEKVVVDGQIITGQNPAS AKGVGEAILKAIGA UV8b_04281 MSAQHQVQAVTLVHRPHDSGYRLLELPPDLANLLESDAAPVLTL ESSPASAVLRTPDRAYSLRQKNTSNALYLLEPGASSDSSQPEAAIISTLHETVELEAI AEPPARPDGLADTGGRGKWHERFGRGR UV8b_04282 MPPHVPRKRLRGTTDASDGESGSGKKRNRGPLILSARRKPTLYD DLDATTTPLSGNSAGSIFQASGVGDDDSSLTSFSDEDFEAGPPAKQPQVANGPSEDED EDFEFEDVAAPAPSHSDVPFVSGDLELTLTKDSRTSLANLFGDKKGPSKRERKARIAT HSLHVLTLLWHNAIRNSWLCDLEVQATMISHLSPKMWDEIDSWRRKSGIVAPAGRRSA LPSPKGKSGEKDARKKRGRRDWEASARKLEEGAVDLSHGDPLFRLMQSLASWWKQRFK ITAPGLRKRGYMTLERLDRLTKAYKENFAHQDQFGERVASLDEFRTSARTCQGSRDIG AQLFTALLRGLSMEARMVASLQPLGFGWSKSEDADAEKLDPAAEAPVTCAEQAAADTS RKNVLPDKSGHKHHVVSGPASRRSASSKAKAKPDPFPDSEDELRPEYLDTDDESVVSA GFTSKDTAPRLRQHDADLPFPHYWTEVLSPVTEKYLPVDAIVKNLVATNRELAESFEP RGQRADKAKQVIAYVVGYSADGTAKDVTVRYLKRKVLPGRTKGFRMPAERVVVGNNGR RAKKYHEFDWFQSALSGYRRGTKTHPLTEIDDEEDAVDLKPAKAEKKEVKEGEETLQH FKQSKEFVLERHLKREEALVPNATPVRKFKNKAKGGKMQEEDVYLRSDVVQVKSAETW HKQGRAPLAGEQPLKRVPYRAATLNRKREILEAEAATGEKVLQGLFSHHQTDWIIPPP IENGVIPKNEYGNIDLFAEHMCPEGAVHVPFRGVVKVCKRLKIDYAEAVVDFEFGHRM AVPVIQGVVIAQEHHDQVMAELEKDEAERRRKEDEKRRKASLSQWRRFIMGLRIVERI RQDYGDVDENATIFGRGKATGSVGHTGHAVGNDGKAGGGFIPDGYEEAHDDDDDDDDD DDEGRQHHASGFFPVGDEEMDDDGDEGLTVEHY UV8b_04283 MASSSNSGDQETSYIMRDKHDASLVGTHCQFDYCNQLDFLPFLC QSCTKTFCLDHRTETSHRCTNPGAWAERKRLADLSKPSIGQGKTLRDKVSEKPCASPE CKTVVGTSLTPGVHCDACNRDYCLRHRLREDHDCRNLVPVGARPMQIDVAQRTRSALE KLRAWGSAKKQQAGRALPKPRPSSAAARVVATQKLKKTARGDKRIAEDKRLYLFVEAE AETAQAKFPKGEFFFSQDWVVGRLLDQAASGLQVQNINNKSSDDKDKLRVFHVEGGRI LDYGEKAGSALQSGNTIILLRGVGPPADLIEV UV8b_04284 MTWWGKPKEQPKEQSKEQPKEPKPAQSPDANSAAQPPAFDPDKL PPRERLPERLQHIIDKSDKDSSFFDDVIDGYAPATTDTKLRYAAYATRLRTILLSAHR YVAYTSDIGESFRPVAHPNVVRAAYGISWLYILGDVSHEGYRAYWHNQRVRNPNLRPS AHQEESAATPALHDSRPRPGVVSPLEDWRTVMVQRGIFQSIASMGLPAFTIHSVVRYS GRALKKVKNPTLRTWGPIGLGLSVVPFLPRMFDGPVESAVEWAFHKGFQAFGGADYVG DAPATGREDLLNKDVKTKTD UV8b_04285 MAGVATYKIAGRQVGGHHLAMGILGTLFGGAYYAVSGSKKSPAS ATPPINASSSDEADFIKKFMEEQEKKH UV8b_04286 MSEVTTDPALVAASTTDLAQHPDASTPKERHSLTLDQRRELRRW ANSQSLKPSHKACIDWFYAQYGQQISQSTVSHSLSPKYSRLDGDNPQLSGSRLRFGNW PDVEKLVLLWYQQVQASGRQPTNDELGEKAKSIFSQLPRYRDEHAPEFSPGWIHRFKK RYGLLVRRQRRLGDGGINPAEDIDYLADCVPRVMAVAPDTSPAAIREQILRVVGVEAS LSTCALVRDEVIRRVDAPRQSPLPQHALPPPAPEAPAIPLAQPEQPMYADDDPEVVLQ NALRQLQQEEQAAEEQAAAVREERERAERAGFQAAAGVVTSPEERASSVPRYATPGHD LSHDLTLTPIHSDAPVSSNEKPIRCPFCLNQRMLRTIKEAVEHMSTHVVV UV8b_04287 MFLKHIDLTSALRPSYLPDEILLFVQDHVGLYEGKVKLPNHQDG QVYLTSHRICYVDKSEPRQNSLALDLRDVERYEIQARFLKSSPKITIFPKPSRQLSLP ARAFSHATSPLRNDSVSRGPRDNAHSHPPEPSPTTTATWVCTICSFSNPIPAGFDPAA ANSHTPLPPCSACGIKPTLAYILKAAIKSASQRPLASVGPPPNSNTSSCQTEEPHMPT ERLIGTVLGNLRQDQRTVSPEPSSECPRCTFSNHPSLPACEMCGAALLSQHTSTLTAS APPSRAETPGLFRDNAVRESTEADAADSIKISFRDGGDKVFFERLKGAITQRKWLLQN APPAPKSSWNADGNASNYPSDPSHQNRTKTAGIAGLEQLGLNMHKNNEMLIGSAFEDL EALMSSAKEVIALAERYARQSNGVSGGASAEDAAILAKSASQLGLVTTKDIVGGGSAE TLYLSELSRNLAEFLTDDSRGVLKRAGGIITLVDLWAMFNRARGGVELVSPRDFEKAA RLWEELKLPVRLRTFRSGVMVVQGHNRTDDATIKSLLSWLDDLHEFPPDRELSWDWRE FGRGVTGLETAQRFGWSIGVAEEELLMAEEQGALCREEGLEGLKFWKNYIDTGVVAPK SKAKSEEETLAEALKESGFI UV8b_04288 MMPGWSATQQAHGVSQQAHAQAQPATYNYANPAFVPVQVRQAFN PYTPPTPPSYAGYVPPAPNHPPVLVPTPPDAAQTEPSKTKTEWPKSVRNYVQRSFLPQ NDDPSVSRAEVEAKLKETIGTAKENGTLFTIDWDAVPLPHALVKADRDALYNRGIFAS SPPEPRANSKKRKSSDFANGDSSHKSRHNANSRLSLEDRVSYAPADKRSAFDDSSAKS SKLQKEAANKRKRRFENEQQKAGCRSPSPTLPSSGPIIGTSEVLEKRYLRLTAPPVPS NVRPERVLRQTLDLLKKKWRKEGNYSYICDQFKSMRQDLTVQRIKNDFTVSVYEIHAR IALERGDIGEYNQCQTQLRSLYALGLRGNPIEFKAYRILYFIHTANRTGLNDAMADLT TAEKEERPIKHALQVRSALALGNYHRFFQLYLDTPNMGAYLMDMFVSRERLAALCNLC KSYKPDVKLRFVTEELGFESDADTAQFIMNHNGQPLLEDRTDDIIFLSGKAGQLFEGS KSEAFRKVDIKGQI UV8b_04289 MKALRRSIKGDKDKQHHTSVGSKSAVAIIPPKKVIRALYDYEAQ SSQELSFSKGDFFHVIGRENDPDWFEACNPALPDARGLVPVAFFQALGRTERDSAQSD GGRPPTAKSPDHDSGYGETPSHPVTTAAPINHRSTKSADIDNKIFAIVMYDFEAQRAD ELGATKGEQIIIIAQSTAEWFVAKPIARLGGPGLIPVSYVEIRYVKTREVVPNALEAV RKAGVPSVEEWKKMAASYKNSSITLGKFEGGNAAAQQQSLEQGMDRMSLQGQGTQNGA KHLAGQHSVSQVAQFAPDQSTPQLYAPIQAWIPRYCFAEEKYWFVIVAVLEDGRHWEL SRYYEDFYDFQIALLTEFPAEAGNNGGQKRTLPYMPGPVSYVTDAITEGRLHNLDAYV KNLLRQPEYISRCNLVKQFFAPREGDYEVDPADAEEEYRLSQGSHQSVESPGNSTSHS NLNGNGYSSLSAANPHMNNTQQSMASQQTSLIKVKMYFNGDLIAIRVAADANYQQLCE KIRDRLKIAPNDRFDLFYKDEKTGNKLNLMSNNDLDFALQRNEKLVLYVEGV UV8b_04290 MKATDQEEALAPPSSGALAAQRPVSSAPEWNTRHLPWRLAADAA GGVCAGALVAPVISIIDRSIMENASGRSSLLDSIRSSVRNLMRHPRTSLLSRPCALIF VLYGGTFLTANALDTALSTVANQDPAAVSSGAGKFVASSASNIGLCMYKDRSFVRMFG PVGSVPRPVPLPSYAFFTLRDCLTILASFNLPPLLAPYIDARLSADIKARLSGHSAAQ FAAPAAVQFFSTPLHLLGLDLYNRPGQAPAVPWRDRLALVRSNWLVSSVARICRIVPA FGVGGVVNTKVRRDLMVKLR UV8b_04291 MSAGLIPPNPADVMVIRNVTPRVVTFSVPFSRFGKIKIGGRGTL VKLTSGNLAIFSPVALTEESRAKIAELGGQVAYIIALDFEHHLFVSEWAREYPGAKLV GPEGLQEKRAKQRNDPKISNDQFTIVFTKDGKRNIKMGPEFDADFDYEYVDGHANKEL VFYYRPDKVLIEADLMFNLPAIEQYSKVPDGQKPGGLADKLFRCAQSPAGDATWMKRF NWYLAARDRNSFNDSIRVIDQWDFDTLIPCHGEVIEGNAKEAFRRVFAWHLQRKS UV8b_04292 MAEYGRMDPFSFAASKDVDYPVSIRIMNLEGDKPPVKYSVLLER PDLRHIGSNTSRHSDLYLTVQVWAGSKPLTVPIQTAYKPFRSERKWNEWLELPITYKS LPANARLAITIWDLSPTGGKNAIGHAIPFGGTTLPLFDAENQIQKGRQKCLVHRNKHA DGTANSTTPALVTNKRRTSLQNGGGQFFDKDAEEMDRMEKLFKKHEMGEIQRVDWLDQ MVFRSFEKKGLQAAKSSMKMLQRLQALNGDGDGDAERSENGRGNGNGVDDEKSDDAAF LLNIELPRFDFPVVFADHEYGPPPISALKPLSASQATLPHHQPEVQFGPGINSAGKGP DGLSARLIKVYDPEVGQRDNPAEAKHRRLFRSSHRHGILDKDLKPNAKVRDELNLIMS YSPTHALSPEEADLVWKFRYHLTRNKRALTKFVKSVNWSDQSESKQAIQVLGRWTEID VDDALELLGPSFDNAAVRSYAVGRLRKADDEELLLYLLQLVQALKYEQISTESGQEGT QDSSLARFLIQRAAANFLLGNYFYWYLMVECDDNSPEQGVDNRNIYRKVAYEFMTELV KQPTGAEDRKNLLRQAEMVAILSKIAGEIKASGESIAKKIDRLKSFLADAKNELLTFD PPLAMPLDPSVKVTGIVPDQVAVFKSSLNPIKCTFKTTAGGTYPIIFKLGDDLRQDQL VIQIITLMDQLLQKENLDLKLSPYKILATSTTAGASQFVQSQSLSAIVGKYRNNPALA YLRHHNPDDRQPHGVRQETLDTYVKSCAGYCVITYILGVGDRHLDNLLLAPDGHFFHA DFGFILGRDPKPFAPLMKLSKEMVECMGGVSSEHYNRFKQYCFLAYTALRKSSNLILN LFSLMVHANIPDIRLEPDKAVIKVRERFHLDLSEEEAIVYFGNVIEGTLTAFAPVVID KLHEWAQALRT UV8b_04293 MNLFNLFTPFKGKRKLNDCSDETPDTIDKTECSNDSAKRRKLRH ALPQTSPRRASRRTKPGTQLKTAPTERLVVAVFGCGEDGELGLGNMMHSGRAPTVADR PRINHFLDINTVGVVQIAVGGKHCAALTHDGLVLTWGCNDSRALGRATQWERLQKLDQ IAREPNSMPSVPAPVENLSTLGLDIAQLTATDNATFILTRSGLVYGWGTFHGSDGVYG FLREKIQKNKPASYEARFQATPTRIEGLKNIRELSAGMNHVLALTESGDVYAWGSGQQ AQLGRRLVQRHQFESLIPRMVDLPKRGIVKIFAGFSHSFAVDTQGKVWTWGLNNFGQT GIPANEENLYIGVPTVVQGLTGYKIRQVAGGFHHSIACTEDGQVLAWGRCDNSQMGTD ILMLGKDKFLFDSRGRPRILLTPTVIPSISATFVAAGVDNSVAIAADGAVLTWGSSEN YRTGLATQDTIQTPTELVGKGVTDKAFTFAGCGSHFTVIAGPGQARRAQHDSP UV8b_04294 MVIQLGLRWSLLRRPSPQPAKLQQSGLSQARKSFVRRKSGPYGY TQAKALVYSAHGEPADVLKLHSHSISPSVPSSSVLVRTLAAAINPADINTIQGTYGSK QTMSSLIGTSEPSAVPGNEGVFEVVSVGDSSSSLKKGDWVIPAAQQMGTWRTHAVFEA SHVLKIDKENLTPTQVATVSINPCTAYRILREYGPSAGLTKGLPMRPLEIGRGEWFIQ NGANSGVGRAAIQFGKLWGLRSINVIRDRDSAEETEALRRELLELGADVVVCESQFLS RQWKDQLAEVTRGGREQIGLALNCVGGKSATALARSLGEGATLVSYGGMSRQPVSLPV GLLIFKDLRFVGFWLSRWNRKDAIGREHMVNDILNLMRLGHFKNAPVDEINWDGETEE TAIKAAVQGALRGFRKGKGVFLFGET UV8b_04295 MPSRLNGPATPRFELPALDLNFGSITDGTNIPPPPLDSPIVPTP PQTPPQTKRVDEAVINGDAVEKTSSPDCNTAGNKWPADEAPSSPAGSARQGSLRRLLS RNMLNASYLEGNSTSLDNVTRPPSRSAHSLTAGYKSRRNSWFNLFKSGESKRSSIMFD DSSTMPKRRAGPPPPTIPELKGIETKDGSLGNDLFKNIK UV8b_04296 MQPCPILGRIRRIAKAAWAVAQTMTLRAFSSRSPYPKQNAPSPH SLAGTQALAASKGPRQQLGPAPRCRYCLGRS UV8b_04297 MARFVPRDSFTVPSSIPKTYFLGHHLAGANKIRSILSSISLVIE CRDFRLPLSTQNPTLERSVAGRERLLVYTKSDLGTDTPGAKPLLRRLHGDDNVVFWDK TRPATTGALLARLKRAAREQDSLTGLRALVVGVPNVGKSTLLNALRSAGGAAGGGKKA KAARTGDQAGVTRKVGTAVRVVAAEDAGGVAGGVFVLDTPGIFQPYVGDGETMIKVAL AHGIKKGLIPDEVLADYLLYRMNRWDPSLYGRYCEPTNDVNDFLTAVARRDGKLKPGG LPNWQEAAARILSQWREGKLGRFVLDELGEAGIRNHELLLAQPALSLHQARKAQKEAR KARDAGD UV8b_04298 MAPPSPRRSSRARATNSQSQPSSSSSNASGRVERNTRSINKPSS EKSTPSISLSSEPLDDFDDTLLGRRRKRGQNDDSDRNSRSDNIDMAHGSDDLPEEEDE AVRCLCGSEDYPGPPPVNGPDADIFAAIELTDEVTGFFVQCDVCKVWQHGACVGIFSA ESSPDEYFCEQCRKDLHKIHAATNGQKYSKYVPLSRQSRATSRAASIAKDDKNSSKNS RPGTATQSSKRRSTMNSRDAAYDDEQLRRAIEISKEEKTNDSVESNARRTKRGRSGSE DNNNAIVKRQRTSSRSVSPRPVEKPNHVEDEASEDNMEARNGAKASARSHRHQLETAE KDDKERVRQEATNARKGRADRRRADDSDLSEEIPLAASAKVNQHKPVESPTKERSPSI LQAPGTPPTSHPTTSSSHKRGARSNRRGKGKNQYTKDRDVDGGSPARSMSRDLQKGAE PAAATATSSTTTTTATTTATTTGQSKSSATENRGSRGKGNTVNKMSMLDMKRRVAAIM EFISRTQVDLATEAPLSPSVSGRETPQEKQESAQTNSDVDLGDISCSSNTNNFRKLSY MEMMDVLTRDMVKWQNQYA UV8b_04299 MECSQMMSPDTVSALFPDRPIRPLPKRRLRERLTPEVAGAIRYP PRTRGALPLFHYPPFTVKEEGGQLDINSHKLMQNNDTACAVVRQQNETVTKDGREPSG AVWSSLVPTSPGETSSRTHQPVRPDQPRRTDPQAPPSITSSIDGYDSFENTNNKKKRK IPSAGDLSVNGAHGLGSEAAAPTLSTDPTTPVNEGTQVVDRFHHGPTSPSPSGTHVAN SQGFSGPGRGRFGRSRKGRSPLRALPDGNNAWPVQPMNSGTAQWSENDRGGIISSAIA NAGKLPLPSLGQENISLLQQHSSTLKTASTSGQFTFTCVSQVPGTVRWPGQLPSHAVA TQAPELSSSNANVEAHNASVIAGPGKAGQSRRSGRRRLEEELMAAARRRRQMAADSFY HNPPSIEDMWVCEFCEYERIFGEPPRALIRDYEIKDRRQRQEEAERKRLLEKAKAKSR KSRKNGKAPSKGGHTGSHHDEHGPPDFAEDQDASPMHQGHSHSTQSEEDYEVVHENRH TATLSERVHPPGTHYGIVDSTRVKT UV8b_04300 MANQQTNGRTKGPKGLVLQTQPFRTASQKVKDGQQPSWFGGPTI RQASPRTTDAVIQSSTCITEPRSGSVSPSINFSYPRARAFSPTPSVDDHALIYDPNSR RMVLKSELMGHPQSLRQVSEIPKKRKKATTFDRTGSHLSKGSVGGRTKTTAPEDTTDI APAPAVAQEQVSTPTRAPLTPTSQTTVDQQKDGSASNKRKKKKKKSAKADVGYTGKEI QEHGDDKDGDSLDKPSLAPNPHVNDEIQEEKKVEEKRDAQGDAQLPAATAATTTMPIP GQPNRQADSRPPNSKAEKQVRHIRVHSESPARSAHFAASSGDQLAVKHEPPPRSVSPR KSALKLSAQARGVSPSDSSSEASGNRNLSHDIEEATPTRKKSVRVSWDDRSTVFVGET GQSLEADSPLIPSPQTKKPWHSVVSRLSKKDGIVLAEDETMTPRPALPQFGSVREKKA KEPEERPLVRPSERAFALDHGFANSRVGHSTDAGVGNAMTQDLASKNVANMSKYREPL PAALPSIGNVPGQGGVSESSDEDFDSDMSSEPDDDPDVTVSTRSELSMTIEPSTPTKP AARDGKVQENVPIISVSPSSPCTNESPKAAPPGSFPDDRETTVDPIDESGGSGASTSL RSHEPCIVGTGMADIVEEDEETENDRFSDAYEDFDEVDGDGFLSLDAIVDGPALVKEV KKKSQGSPTTTEPAKVIERQAESVGAGKEPADAAPPDDWENAKAYWKSLSVEKRRQLE VEALSETGEDACASKLPAKNETSTAHNLSIEPGTERPPQEANHVNVDELDDHRQVSVA ATPPRAADPGKVKLRQSMRSDAPTPTRDRSLESSGGMRKSMRNGHDSGKDGSLRPRHA ETPAVPLATPKTFRNGQLNGGSLGPKVRSGRSASHHQPAVSGLIKDDKRNMSSNDLST GHVMVPQLGRRGSDGSDSSFKRKRPASSSGDGHHHVRMSMRSSMREPLPTPDRAKRFS LRSLSPAASFRRNSFSSLPPEATSVLGGGSGRMRQSLRERPASSSSRLKMSGFRKAAG LGKKPQSGVSRFADSSDDDDEASGGPSLFRSRFADSSDEDEPQPLPKSKGLPKSLRNG NRMTLDRPAGNVAAPELAQIEEAVTQPKRGSAMGDESLLRRTRSGRGTLAPLPQNARP QHTSRRGSFMSILRRKKDTSDKVSKDWGESAARKDTNLERSAEELRELRSHSPQKRGP SWPLADGKGTADGEDGRSQEEEATAGAPSSGGATATATATATAASKMSTFLHRRSASQ GAGALGPGHPDEDAHEPEPPTPDESLAPADAQGPQEPYAAKKRKKFGALRKMFGIHD UV8b_04301 MDSGVPMILPKGIVINNSSIYKEVASFSIVPADKIWEYWHVYTV TNKKLKDPTARRLENFWWQVWGSDRKYLSGAALARIYEDISVGPTILPLQGPPNRWEG PHVPPLTRQMVVARYNEGCATSQQRPEPPRTKTNQASIKHLSSSASKPPPAHPILKKA RSPLAHGPKPTARFASPLGSENDGAEDDDTPSSGSIPTTGSEAPTKSTKSPATSQKLP GTSIPENAEPTTDTRRAPAQLGAVPPAPERCRDHAGQQRRGTEGSMPRRAPVKPALGA KATGKQPKVARIATSHHVLAVSRSSSRPTSSTPAVANASARCAIDTQSSPTSAQTSLG ARAGTDKATATESAVGDSSPIHLSMSQNGYARRCSSQGLFTGPTAMTTTVAAKGHIID QAGSIPASTVLGSHMEGDGLACRPSAAPLADFRMAPTQPSQAASVPMGRTRSQLTLLL EREKSRVRVKPNARS UV8b_04302 MPDARCQMQEEHRYGHVCGVDRVTTSALSVVDVWSPVQSSPVQS SPVQSNRVQQSSPSLVGVLGVVVRILVALAWLMKATRGQLPAVLGSKVEDVEDWA UV8b_04303 MAARFTAGVVPRLRSAARSAWVPALRPSVASSRNLHNVPPRLDK PGKYARTDSEIEVEHPAEHDLPSSKPVLGTGGQFVKPTLPSFSLDGRVGVVTGGARGL GLVMAQGMVFSGADVALVDMNKEEAQKQTRLLTEAFHRDNPSAQRIPNVTAHYADVSD PESVESCVAQIVEQHGKIDNLVTSAGFAENFEAVNYPIDRMRKLWAVNVDGTYLFATS IARHLMARKAPGSIVMIGSMSGAIVNVPQPQAPYNAAKAGIRHLAASLAVEWAHAGIR VNCISPGYMMTALTEKILDEKADLKQKWVSLIPQGKMGQPEDLMGPATFLLSDASSYV TGADLRVDGGYTVT UV8b_04304 MATPVVGLRALATAAARPTVRRPLRPAAVVSLTSPPARPHSSAA RSKHRPHHPLGFEPPTSQDLEELRERVQDFTRREMPEELAARTDRTNAFPSHLWEKLG EAGLLGVTADEEVGGLGMGYQAHAVVMEELSRASGSVGLSYAAHSQLCVNQIQLNGTA EQRRRHLPALVSGRAVGALAMSEAGAGSDVVGMRTSATRVDGGYLLNGSKMWITNGPD AHLVVVYAKTEPARGARGITAFLVDARTPGFRCARKLDKMGMRGSNTGELAFDNVLVP DDAVLGQVDGGVAVLMQGLDLERLVLSAGPLGLMQAALDVALPYAHQRRQFGAPVAHN QLVQGKLADMYTKLQAARAYTYATAKAVDEQGLIRTQDCAGAILYAAERATECALDCI QLLGGMGYVEEMPASRLLRDAKLYEIGAGTSEIRRMVIGRAFNKQYASA UV8b_04305 MSLGPSPSRRCLLRLGRQARAVATLTPPHQAAAVSRLQSTVDPS SDEFKDNQAQMAGVMDRLAELTRRIQLGGPAKAREKHLARNKMLPRHRIAALIDPGTT FLELSPMAGYQLYPEAEVPAGGIITGVGVVEGVRCVIVANDSTVKGGTYYPITVKKHL RAQAVAQENKLPCIYLVDSGGANLPHQSDVFPDRDHFGRIFYNQARMSAQGIPQIAVV MGPCTAGGAYVPAMSDESIIVGGQGHIFLAGPPLVKAATGEVVSAEDLGGGKMHSSVS GVTDYLAVDDAHAITLARRSVSNLNWPAAPAPAPAPAPATATATATATAGSFAEPLHD PDELLGIATTNLRKPIPIRDVIARVVDGSEFAEFKRDFGTTLVTGFASIYGHKVGIVA NDGILFASSAVKGAHFIQLCAQRGVPLVFLQNISGFMVGTASERDGIAKHGAKLVTAV ACADVPKFTVVVGGSYGAGNYGMCGRAYSPRFLWMWPNARVGVMGSEQLAAVMETVGQ KADPELKSRIDRETEATFSSARLWDDGIIPPQHTRRYLGLGLQAAMTGRNEVRAGDSK FGVFRM UV8b_04306 MSRAYNGRDMDRPYNTPGSMNRAREQLREARAREGRAIHSPQTP STSLDAVPTPMPRHLPNPLPLRVVDSQIPPGYDQRFGQKISRPAQVPQWPLSSGSSMI AAPNSSPSPATWSQQQRPQRPPRPSQSRIPSIVDRTKPQQPTPVFTSRANTPMSHQES NGGYKPSGPSDGSARHAASPMGAPPDYPPPIPATDSGTIRKTTVLCPPPSGRRGAPSF YSNASLVSPILEEDPRAKCHLSYASSTAMPSTWGGDSPHLSSPGYNDAFYEESLTEKS RESMSEEYGDESQLVRSASMGKTGKPSLITTKSTAQANQSRNDPSSFPALPDNAGYLS AATSSSETIPAIKEPETSHGKPTDKDSYSSTAVRKAPAAAYSPDPRESIALADPRPQT GFSAMGRPPRLDIDAIHAAEARGSLTSLPDLIRRATRLAAMIDKGKRPASRLDVLEGF QNEKGDRHRTSLTDMLAAFPPPVHTPCNNNASRNNSWLGQGRHHRSKSAGDGKGVRPR RRVCGLPLWVFVLLVFLLVCLIVAAILVPLEFFVFKNLGQQDKEGLNLGQCQKTLTCL NGGTNVMAQEACACICTNGFTGPTCGDGAATGCTLTNLVSADGRSSISNVTVGRAIPR LLADGYRNFSVPLSGTTILARFNTAGLSCMAQNALVTFDGLATGSSQDADKVKVRDIP DKRNSLVSDAEQFSPSSTPALKPSTTVTIGGLDGQNSQVAGVTTTMTISDFGKPATFN SAPTSTSVPPTLPSTLVVDTRSPSPTNVPSAGSFIVTQEKLDFARVAMLYILQEETAA KAISAQAELQQLFRKAAQGDKQRGSKVSQQEASRVDLGNSTTVNLVDLSINVGNGPIG WQTPEPRSTSLSSTSS UV8b_04307 MFEFFSPYLISPSSVRTGRYCEPSRRHSSCPRDAPHAPRLSSTH LSVLAVLSTYFIRPTPHLKHGPARGDSQQGVGTLPRPCCVIQKALDFSQDGFRESVAS ESFFSPAAIGSNFSALVKFLGLRFAGTFDSATRSRHPCLCCDSNWWDLTLLSSHAFTI AHSLRYLLFGTREELHAVVLRITRHTSIRFSLSYMLLILHTCADHTDFSSSCDV UV8b_04308 MWKPPSPAETPQSPSAGTDSAVGSTSATKTKNPGRRFGQVIRLK KEYLAEYKKCHANIWPEVARQIKGCNIVDYSIFYDDTTGLLFASFKYVGYDFAGDMEL MADNPRVREWWKMTDSYQESLVPGAVSSEAGVPGWWKPMEEVFYQA UV8b_04309 MRLEPLALAAAAAAFVIVPKLSDAEEALFKALPVPDDAAAAAAA GLAAPRLVSVPCKQCAGRDGRLQLKVGVVDDARLLVNGFQVYPDAADAWHGGFLSAVV EAADREAREERLGYALTVAPEEVGRGGDGSSPVRLLRVELRVVEVGGRFVDGVPAVRV KLLKAPSGEIAVVGVETIATAGSGCRSPACFVKAVLADAFKSVKGLRPFKSCHGRLSH GLPFHGLPSHGLPSHGLARPQHEAHPNHPQAPAHDPAKAPFLSKGRPHAHHYPEHGHE WGRLITNVTQVLLPILIGITAGVGVALLAMAICGCFFRLSAAMRGDGEAGAASCPGSE RRAAPTAHEEALAAEKVGLTRQDAEVAPYKDEARGDEE UV8b_04310 MAQVVKALGVTEAAALLVVPRTWLDDNVRRVTFIQVCVAGGASI LDVGVVSPVRVAIVVWFHLPVAVDWKIVVNHVVRVTKGNVSWVEVSEPPEADNRPLKL LGTASLGESKVLRVAEESGRDERVNVLEVKRLLQALLNRVLYPGV UV8b_04311 MKTSIISKRFFPAVLLGESFLRVSSLSLAIRPKSDPGLDYRFSG IAPSQRPPDYQAPTYGYENPPPYQYDTSTLTTTDISKSSSTPPDVIYTIITIVTDQSS STSKRSLSSIDPYMPYSSSTSVSRSWPQSSILGVTGTSASDESTFASSTATLGLATET ASSLSWSTVSKSASKGVPPEDTSWAASITSSVTQTPTATNTKDMSSVGSSASSLPSSE SSEPAITSGSSHQGNTGPTSVITPTQTLTETVSVVSPSSPLESSETLSPKSGKHTIRS PSDENIDQADRDKFALQPLDSCRLSKYTFVRSPNCD UV8b_04312 MADSSKNSKAASRRVVDSVQEKAQQILTEDLGRARSVAYQAIKS RAYLYPFKGIFYFLTHRSLWRPFTSKIGQYLTVSAAVIGAMFTFTYLPQLAVLVFTSG PLAVVSTVLLVLNESSTIVNIISRNWILQQAILDTFDGTLISRNATNMVRQGREVRSG SDPIARLGKTLKSPFSKFSPAALIRYVMYLPFNFIPVVGTAAFLYLQARNRGTQVHGR YFQLKQWSASQKQEWLDKHTGPYTAFGLVATLLEMIPVANILFTYTNTVGAALWAADI EELNTNMTDETAPSLRDTARKAQ UV8b_04313 MRAVFLKSVDLMDSFSAWATGLTNVPSGKRAKSSSALKRLLVHG PGDSWLKHAALGRGTDPSRAEDGVFGSVSSRDESREEFQVDLGQSGNSGDSGDSGDGL IRISRTACPNMPADARRCPLELLSFVPGLPSYLPRASSGRKNHAKRSPT UV8b_04314 MVSHSLPSPPAEDTKSGASRKSPCTSKSAKSTNRVTKRPSASAS ALHHHHDHIAQSAGIHGRHKRVWKACERCRMKKTKCDGEFPCKRCKDDGLVCTAGVRK KVEYKQLPRGYAEVLENTQFALIATVHKLYNMVRNSQPWEFGEPDLNDRGLPVIHDIA KKLGCIRPNNDVDLPVHSVFPEDEAGLAELARQLQEQQKQDDHDSPKDVKDADSSRYQ RNDRASSSELEHSDFEDYRKAAFGGNNPITLSPQSFAGSNDFEFSSTIPEMDPGALFA STSPSLPDYRAWHFPKPQDGGGGFAMQFLHQAGGFAGMNMPDLGMMDPVFGEIKPDML PCPNPDVMMGMADPMIYGGFENEAMRLQ UV8b_04315 MGKSATSDAAQALEALNRMQSQQELSIIKLSETIADPGEAVSRQ RASDASASFLDGPTPTSLEADLAHYTELFAKLRFSYVEQVTKEKFLRAIVGEPPLIVS AQENHELEKENQAAKAELKSLKLEVASMVTELERRARQLSQLYEAIQSDTERLQHLPA QAEELVSSNAALKEAREVSSANPDLNLPLAKTRALIARREAEQQELARELEGLQAEVP RKRKEAERLQAELGPLEAKLQTSSAAAREAQRRKAAALGGVADDLEQRARWWKASEEV LTQVLDL UV8b_04316 MSNDELLTDDYVAGLLAQDANDCSLKYSAMGLEAFRDSKKPSNI PKPNTRFLRHIIKDTDAHNKALLAKEAAESKARLKGLDHAQQVKHRRSNPNARDIRRR QMGDIQAILGGKTKKQQRRANDVGDAPAERGRSDRGGVVDGRQRPSSGRSSDLIKGGG GKTRHGRLSERDFSDDDGNIKHRERRKSPRSRERGGSDTDDDGHCRRGSRKDGSRPRR RHRSSRSPRETSRTHRHRSRHRARPRSDPPASGEKEPRPSRHQDSDPLEDFIGPLPPP RHRGRGMIGGAAELDRRFSESYDPKLDVQMNEPSDAWDDQVEAFRDQQKLRLHQAQRM QDAGFTDEQIQQATEFNARKTEPPFVWSKVGERREWDKGKAVGMEAMLDDEDIAPVEQ AALFSDEY UV8b_04317 MRAPRIAILVLFLAATLFILYRALTTRRTTVVASPPPRPRAAKS VLGFMYYNTPFSLFPPNAAISLTDDNSTSFAARPAAFGPKLDPRGLSGQLWVGSGFGE DGMDTDGELGCSDLPGWGSANTRAAMKKSLKAGAATPSSVKSKLPKNGGGPAHSDGQG PASEAGKIRSSDAKNDNTDDYLTQALSGTLSSRGTSGSSSAHADIQSMQEAAEIRGKV VLLKRGGCGFLEKVMWAQRRGAIAVIVGDNQKGGPLIQMFAHGDRVENVTIPSVFTAR TTAQLLSSLTHPGSFIEDTIDDNGKPVLKVQQTAAAIHKTRSPDKPMMAVAQTPSASE PKRAVVKGKRTTSQASKLVNRWRLLTFVSKDTSSRPTDSDSRRHPSSGRLDWVLVEDW NDEKDKILSGSIGGNIKKPKSDVDGFVIGVHDWRDPDLVGKAGLRSTSNLMAGNKAGS KKGGAETDKSDANSQGDEPGSKHHGLLSTLFGGGSSRPAPGSTAALVDDVEFITAPPP PAGPQPPHEGLWVTITPTSSASPFFDTLLVLVISPLVTLTVVYALLILRARIRRRRWR APKSVVDQLPVRTYHTVATSSSNLSSRLPSPSAATPTTPLLQHSASRSRPRSRTTTGV MENPNLFTPSSALPTSVEPSRTSRRPEAEKGAGGFSAEWRKYMGRQVECVVCLEEYVD GVSRVMSLPCGHEFHADCITPWLTTRRRTCPICKGDVVRSLQRGGGNLPRYEPYREES DDNEDDDDDEDDDNAVQGSGSGSGSNPAVGFGSADRNSDLERGIMASAARDAPHSSSR REANWFGVLSSSFNGRALPERRSPPPEHRSR UV8b_04318 MAPPSSSSSSSPPPPKKCGVLGATGSVGQRFVLLLAQHPFLKLH AVGASARSAGKKYKDAVRWKQSAPMGGATAEMVVRECRPDAFDDCDVVFSGLDSDVAG HVEQAFLRAEMPVFSNAKNHRRDPLVPLVVPTVNLSHLDLIPHQRDVNRLRRGFLVCN SNCAVVGLVGPLAALQAAFGPVAAVSVVTMQAVSGAGYPGVSSMDVLDNVVPHIPGEE DKLESEARKILGRIDDARSAFVEQAGLRVSATCNRVPVLDGHTACVSLRFQRRPPPSA DECKAALRSYVADAQALGCPSAPDPAIWVFDQDDRPQPRLDRDLGNGYTVSVGRLRQD ESGVFDMKFTALSHNTVIGAAGSSILNAEAAVLKGYL UV8b_04319 MSWGQALSGIFGSVSLTAWICLLLPQLIANYKAKNADGLSMAFL LVWLLGDVCNLVGALFTKLAPSAVALATYFCCLDAILITQTGYYKAKTARRRAVARRD AAALSHAAAEAYEESPLLGRHGRRSSTDLPGSLIRHESHRESALEPIRKVVTGEDETQ ESRPWLNNLLGLAAVYVVGFAGWFVSFKAGAWDGDQGIPDDGASVPGPSDAGDVKARL GLILGYMSALFYLCARLPQILKNYQEKSCEGLSLLFFMLSLTGNLTYGLSLMCYSQNS EYLLNSLPWLLGSLGTMVEDSTIFVQFRIYGDGARK UV8b_04320 MRASIAAVAIGLSTVPGTVAWGSLGHITTGYLASHFVENTTQVF FQELLRSDDNDYLAKVASWADSVRYTKWGRFTKNFHFIDAHDNPPHSCNVDLKRDCKT DGCVISALANYTEQSLDPSLPAWRRAQAAKFVIHFVGDLHQPLHNEDVALGGNRIHVL WDGKEYNLHHVWDTSIAEKWIGGKRGKPYVLAERWANQLAGEIRDGKFSGQVDAWLDD LNFEDAVETGMAWSREANAFVCTHVLPDGPAAIVNQELGGAYFKKAGPVIELQVARAG LRMAAWLDQIAKRYPTAERGEPSFEL UV8b_04321 MHIKTVIVALAGLSAAVGAADAARRPLFSRTLERRQRGGNRQDG GRNGGGTNNGVGGGNNGGGGAAILDGNLIQTGSQQDGNNPGAQGQSASKTDNENFINF CRGKTLTNGRQIKQGSCNGIPMGNIPSTKNMVSSLFVNPKNGDNIAANQDFKIQVQMI NFSPGTFTNATSTYYSAPQDLDSQGNIIGHTHVTVQDTGRSLNPRQPLDPTQFVFFKG INDAGNGRGLLSADVPGGLPAGNYRLCMSNNGAGGGNGGNGRNGVPSNGQVDSRDSRD SRDSRDSRDSKVNKDSKDNKDSKANKDSKDNKDSRDSRDSKVNKASRDSKVNKASKVN KDSKDNKDSKANKDSKDNKDSRAVNKPEGKAARKLATALTCPGQMAKRSASIRARSF UV8b_04322 MKTLLAALLAAAPACRAGIVITPIQSDQIVAKLPGDCFFGAVTP QGCGPKPR UV8b_04323 MAPAKDMRRPDLVVPYQEPAASSDKPEFSSTISSTLPMAAMFTR NRYIGWAAVVFTVQNWLGESEDAKKKSSTPGVFSVLMSVMALGVTYMPLFLPPNRGPA GGSRTEPPAPVPSS UV8b_04324 MSPTLADEDIEKLFSGAPQFFARNESHFYGAPHPSIAFLFDEEL EIRDLTDHVQIEDKAWSSITAWPHLTRDIEHDAAARRQQHDRHKAHFHVKCRERPNMI SMSGLEKGTMGYQAALELPTGDSLEEEQFGFESLGTKAKVIVDARDQIMSPNGMLRRL PEPELLDRLRRNSEVYRDNDLRNRTAVETYKDLFHSCMRPTSTVVDQANHYSLNNQIR ALLRCLGVANVWIDFSRVEWRIRLGQVLWGENDGDELDDDTAIHDTDDAKERAEEKYW LLMQILLATELLIRLDAVTEGIEYGVSGVRPIDVVHFERAASQTVKWSLLLARSWLDN IDIVREDDSSSLQQRPPAPRRGSSWLAALASKMTNKHHKKNSVPPYHFTIKGRHGQRQ VDGLTHFAKKLMWPGIDRYETKISENAQLAVEETPGRRASSLSIQSLQSNCGAWDITC NHGKRKGRAQAQRRRLAAALHESGWLTKSYVFGLVLPGDSLYHYLMATLLENDTEAMA KLGPFANLSGGFVYSGKSFWSTSCIVGRVLAAGKGAADCMGWISTDVLPEGIEDGWLT IEVEDVAEDVAQLGKKARLWGKKKVERESSILGNGKENFIKAADFVIPHENKYATPPP TVYAELLSLDLTSPAELVPATPLSEMVPTPTVEHCTKCPELVSYPACLKFFVSVGGGK EEEFVFPLMYDVNFVTAHPCAPSQRVRVVKSPASPTALQMKSFGDVAGGGSRCRFRTG HPLHTFYEFTVIHISELVQKPRTTLAEFLVDPSLGKGGTNRVLVIDCITGFAEQPQSP AFERIMTPSSSPILDRKGSFSAAARMHLESQKRQFGSDMEIMVRAMCAQRGWNAIISR RKRSCLACAIREAGALAWKVIVRVP UV8b_04325 MTDSPGSNSYDALEEKLGRLAKKPGVRASIILDRISGAVLKTNG DLSALCTAKARDAATAASFSNEAPIAEASESKGVQDFSALIWTYVNMSGHLVQEADKE DELRLLRLRTKKHEIVIVPDAKYILTVVHDTPPA UV8b_04326 MGSSTKKKKEKQKDFQKPKYKVGKAKAKPSNFTDTSFKSKAIVM GHQSLSTEAPDYVQQFKHNLSLASSSRSDKQRREALAYLTSQLSTQPPSNPVGTVALL KKLLPLISDSSTPVRSQLLKLLRVLPPDEVRHGTEHASMYIRAGMTHLSADISNDSLV AMEWLLEVAGSDLVNSPGGWVKTLSAFCAMMGWAVSSSSAGWTSAARMTTKPKDAQTL ARQIAALSNFLQAGFEDESSVENGHVSMYWDSLYRIPRAPNAFGYLNLSSARRDEERE MYSSKEMRQQVFYEKFQQVICKGLDKAKNEGGATGRSASTLEHVLNDAMAHFEPSIAM DTRDLLDLW UV8b_04327 MERSSSAQVGSSAWVERQKLDYTTLQSNECHQPFDGEATIYQWK DEFGDVGPKFEALELELFGDPKTRHGRAGLDFSNIEQISVQQEGPTRIQPIRRFEDAG LHPIMMENVRLMGYVSPTPIQKYTIPSMLQGHDVIGIAQTGSGKTAAYLIPILSKLMG KAKKLAAPRPNPAAFRQGVDAVTAEPLVLVIAPTRELAVQIFNEARKFCYRTMLRPCV VYGGLPIREQINLLSKGCDVLVGTPGRLVDFISRPNILTLRRLKFMIIDEADELLEAD WAEELCQIMTGGEQDEGNIKYGLFSATFPKATRELAKEHLSAAHVRFRVGRAGSTTEN IKQTIIQTEREEKRDVLLKLLNDVTGVRTIIFANSRQEVDNLDDFLYNVGLPVTSIHS DRTQQEREAALRSFRSGDAPILIATGVTARGIDVQNVMHVINYDLPSMNHGGIEEYTH RIGRTGRIGHRGVATSLFDPSHDEGIASVLTRTLLETKQEIPEFLQMYIPQSGACNDL RFETESDYDAEEAQQVAQVGEDAAVGSAWGQGQAEEKPAASEGWGSNGWGQPDNGNAA VSDGWGSASPAVGAAW UV8b_04328 MGWLDSAGWPELSSFAARHVDIPTSASQVACAAAVAIVCLCLCL GLLSAPQPRLYAVPPPRYPDPGSGASDDGGSRGIKVPGSSAIRCYAPATGQFLGLVNP STPDGIDRSVAAAAAAQKAWAATTFPQRRAVLRSLLRHVMDHADDICRVARLDSGKTM VDAHLGEILVTLERIRWTLAHGEAALRPSRRPTTLLMAHKRNTVRYEPLGVVCALVSW NYPFHNMMGPVVSALFAGNAVVVKASEQTAWSSAWFAGIARGALAAHGHDPRLVQAVA CWPRAASHLAAHPGVSHLTFVGSRAVAHHVAASAARSLTPLVAELGGKDPFIVLDSVP ARDLPRVAEVILRGTFQAAGQNCVGIERVIAAGAHYRRLVDLLAPRVRALRVGPGDDA DVGALISDAPFDRLEGLVDDAVAAGARLLVGGRRLAHPDYPRGHYFQPTLLADVTPGM QIAHHECFAPILTLMRAASSSPPDVLAVANAPSFGLGASVYGAERDPALGHVVRGLRA GMVAVNDFAAYYAVQLPFGGVAGSGYGRFAGQEGLRGLCNIKSVCEDRFGWLGIRTAI PPPLRYPVASQERAWAFVQGVVELAYGQLPMKLRGLGKIVANL UV8b_04329 MSTTPTSPEPGPEAKLSKAHTQRARWATRKMTVKSSSHKRLSLL NRMQQHHQQHHQHKRTQSEKSPSAGDGNEAPEAGPPSPHADEHGNQQVADEDESDEEQ DSTRMLYFNLPLPDNLLDDGTPIQTFSRNKIRTAKYTPLSFIPKNLWFQFHNVANIFF LFLVILVIFPIFGGVNPGLSAVPLIFIIVITAVKDAVEDYRRTILDIELNNAPVHKLH NWHNVNVREDNVSTWRRFKKANSRFFGAMWHAIESLWSKKARKLRAERKQQKLYPQLE NEGRPSIETMRTRRSIRDSLASPFGHRESFIDAREDIQMTPVPSPTPANGVPRIQFPD EEDAKRAVAVQNMNFDVINYMKPSQGARFKKDTWKGIQVGDFVRIYNDDELPADIIIL STSDPDGACYVETKNLDGETNLKVRQALRCGRSIKHARDCERAEFRIESEGPHPNLYK YNGAILWKQVVPGYTEDELEDMTEPITIDNLLLRGCNLRNTEWILGVVVFTGHDTRIM MNAGITPSKRARIARELNFNVICNFFILLVMCLLAAIVNGVAWAKTDASLHFFEFDLI GGTAPMTGFITFWAAIILFQNLIPISLYITLEIIRTLQAIFIFSDINMYYEPIDQPCI PKSWNISDDVGQIEYIFSDKTGTLTQNVMEFKKATINGQPYGEAYTEAQAGMQKRMGV DVAKEGARIQAEIAEAKVRSLEGLRRINDNPYLHDDALTFIAPDFVSDLAGEHGHVQQ SAIEEFMLALALCHTVIAEKAPGDPPRMTFKAQSPDEEALVATARDMGFTVLGHSNDG IDVNVMGEERHYPILNTIEFNSSRKRMSSIVRMPDGRIVLYCKGADSVIYARLKRGEQ QQLRRETAEHLEMFAREGLRTLCIARKDLTEQEYREWKREHDAAASALENREEKLEEV AGLIEQHLYLLGGTAIEDRLQDGVPDTIALLAKAGIKLWVLTGDKVETAINIGFSCNL LNNDMELIHLRVDEDESGETADETLLESVEKQLDQQLGVFGLTGSDEDLALAKKSHEP PGPTHGIVIDGFTLRWALSDGLKQKFLLLCKQCRSVLCCRVSPAQKAAVVAMVKNGLD VMTLSIGDGANDVAMIQEADVGVGIAGLEGRQAAMSSDYTIAQFRFLQRLVLVHGRWS YRRLAESISNFFYKNMVWTFAIFWYEIFCDFDMTYLFDYTYILMFNLFFTSVPVAIMG VLDQDVSDTVSLAVPELYRRGIERLEWTQKKFWLYMIDGVYQSVMVFFIPYLLFVPGR PVTLGGLGLEDRVRFGAYIAHPAILAINGYILINTYRWDWLMLLIVIISDVFIFFWTG IYSSFTSSGFFYHTAAQVYGEATFWAVFFIVPVICLFPRFAIKALQKVYWPYDVDIVR EQELMGKFSHLQQEFCSDAASETASSRRSGSESSRRARRCKRVTYGSVDEDLRPIYPP STATRTTTHNRTQNGSDSTNFTANRMSLEVPTHGRPSIDRARPSYDRIRASMDRVRPS FEASNDFTSAARLSRIESSQSQGLGSGGRFRTRLRGLSLTKNA UV8b_04330 MPSPSSDSNGQSETEPRPRGQTVNILSFFKPVEPLPLPLPLPPP SDDASPNSEPKPPIPRPRSPPSSSPLSSEPPSTPPAPAVTEIRASDDEASDDDDSLVD ISCLIGATSRTSVPARHDDPYSTPRAKRTAAAGLRSSPLAVTPRHKFDLKALAKDARS DDAAVASSLKVHRPAAAPGESGAEGFAADAAVGRHVAGVVKDKGGQDAHRVLRAVRRS EPACSQHRYLFFADNHGPPDAPEPPSLPKGSPWHLLTQGSVESRERHLTSGLPQTILR KKGGLPDSLFEWMLDSLCAQSSAIIRQEYCNMIASCPEQVGRLLTADRARQVFIRLGA RDLGPAAADLAADLAVSSSDDMEPYDERDWSCLKSFISLLGLVAGRLTVRAAAYAAQT LVQLALDSLLISNVDVLCEFEYTIQQLADAIPGPSWNSFCSETCSLVNTRVDSCTVKT TALSCLPVCSRRTHDLRRRIAVADLFHDDALAARSPEDVVTLRSIIDLLSGERFAIRQ STDFSELRASVILVNIAVDDGSVASFDDADGELDFNAQVDELAGRLREIWRKTNDSGM KLSRTEAKSVVEWVQQRLAHSVRTRPKAKKSVFDLPGQQKDLFLPRQREYMKRFLAIK PKSTLAEEAEAEEQRRRATELDADTIVVAAE UV8b_04331 MSQHALSDQQVDNELRKMTAFIKQEAMEKAREIEIKANEEFEIE KSKLVRQETDSIDSQYAKKFKQATMSQQITRSTVANKTRLKVLSARQELLDGIFQEAE KKLVEGAKDQARYRKILKGLILEGFYALNEPTLKVQARKQDRDAVDKAIQEAAEEYKK AMGTDIEAKIDEANPLPEASTGGVIIVSGNGKIDINNTFETRLELLKDSAAPAVREAL FGKNPNRKFFD UV8b_04332 MGFVGSISPGGSIALGILVGLISTSVQSLGLTLQRKSHILEDEK GPHDVRRPPYRRRRWQLGMGMFVMANLLGSTIQISTLPLPVLSTLQAAGLVFNSICAT LILSEPFTTWSLCGTLLVTTGAVLIAVFGAIPSPPHDLDELLVLLGRKPFVVWMALQA LAVVSLAAATDAVNGTSTVSRSPRFRLARGIAYGVISGDVSAHALLLAKSAVELCLKT IGGRNQFSRWQSWAMLLGLASLALCQLYYLHRGLKLVSTSVLYPLVFCVYNIIAILDG LIYFDQVSLIPPPHAGLIALGTTILLSGVLALSWRLSDEQHAPGVGQSTLAPGLGLVD DTEGEEESLLSSEAAMEEAEEEPHQHDGHDDHDLDLDLVHDEYPPAYQTFPDANGDAA TPLTPTQKKNRRWAERAERAEIWGQLEDQEEPATTPAARLRAATLPGRSEASALLGAG RRSASVSTALADAADPGSCGLPPHRPGRRRRRSTGFPGIVARRNLRQRQSSTISGPLG GLLHSIPWFRSRGDRPPPSRSVTDDNVTRRHGGSARDTAPRDDSAV UV8b_04333 MTTKVFAATLGLNVLFLATGCLQLAFSLVAQSRMDSEPPDGRKA LRNLLYQKLPLTAAVVNGALVLATFVFTLLGLVTPRKGALKMGAFLVILCGLFTLGLG AHLWIMTLRLRDAFFPTYLDLDPAVQSLIQQSFQCCGYYNAARPAFVTDPACPSPAAA ALLRGCGTAVSGFSNSFLDHVFTTLFGMVGVDAIFILSIACLLKDCKERERYRHIDEK NGHRQI UV8b_04334 MADITDQHDQTSPTELDESQSVGNGGGATESRGIKRQRASAGDD DDDDDDKGGRERRKIEIKFISDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVS ETGLVYTFTTPKLQPLVTKAEGKNLIQACLNAPEPTPGNENGVDGGDQVDSPEESAPQ HLPPQGGRPGMPQNPHMPGGYMPNMPMEAQQALAYQNYVQQRSQGYGSGMPPQGGMPP SNHHQS UV8b_04335 MNHDGHAAPAPAPAPAPMAMVFQTDRSTPLYASSWTPASPGAYA ATCVFLVGLAFSARLLLAARAVQEARWLDRDLGRRYVVARGAAPPAERIAADCGAKQM MLLSANGLEETVVVVARGGGGRARPWRFSVDPWRAAMDTALAGAGYLLMLAVMTMNVG YFMSVLAGVFAGSLAVGRYSVSVGDH UV8b_04336 MEVTSFSSRRPAAGALPAFSLPPPTSSEVPRASDGLSPSLSSVN TASSQGSQAHGSMQYTYSTGQVHGTWPTPGASSYNVGSTSPDQPYGSRSSMYGQQQQH MGFGNPRSSQSPATGGEGLPPPPYNNVHQPFQTSISGGGNGGQDNSHHMSSGQAPPAH TGLLNSTQPHASATAPAPVDPYAHSRPPNNPSYYPASSSTPQQTSFSSYAGHQQPSPT GTSPVSRGVGHHSTMAPPPGSYRSYGSYQPLATMNGPVMSNIHQPGSQLSMIPAMGVP HGYGTHQMMYGHHSQAQPQSERPFKCDQCTQSFSRNHDLKRHKRIHLAVKPFPCSFCS KSFSRKDALKRHRLVKGCENKSNEAAAADENNAQERAAGEGDDDRSSLGKES UV8b_04337 MGQAGEVGHIKNDDDDDGGGGDDDDDDDDPANNKGKKSPDQLWN NPVVRLHKYIHVSNQLVGQRLFQHASPLIRIPSPPLQDVGMGALTWAAACLEPQTPTS WCGGGPRFRVQSPD UV8b_04338 MQEIPMSKRTKGGQRGFKQGRRHKRSARWKPNGDHGGKPLDHCQ TVESADGQSLEPVNDVPVDVVKVQRDMEDRLRHGHIPIRRGQNGMLARCCVLWTAGTV RVTRPHGAGEERPGEDLAGATSASYVGMVTSLD UV8b_04339 MLDSCVRPGGLVAWWPGGCGALARHARCLLAAETWLLGAAKGDV TANERLALDSRRQMADGRWQMV UV8b_04340 MAARDSILQGVCVNAKVDEAHRKILTPEALAFLALLHRSFNPTR KQLLQRRAVRQAEIDAGALPDFLPQTKHIRDNAAWKGAPPAPGLVDRRVEITGPTDRK MVVNALNADVYTYMADFEDSSAPTWDNMINGQVNLYDAIRRQVDFKQGQREYKLRTDR TPPTLIVRPRGWHLDEKHVTVDGEPVAGALFDFGLYFFHNALETRRRGFGPYFYLPKL ESHLEARLWNDAFNLAQDRVGLPRGSVRATVLIETILAAFEMDEIIYELRDHSAGLNC GRWDYIFSTIKKFRQRRDFVLPDRSCVTMTVPFMDAYVRLLIRTCHRRGVHAMGGMAA QIPIKDDAEANARAMDNVRADKLREVRAGHDGTWVAHPALAAIATDVFNEHMPGPNQL FARRDDVGSIGRDDLLNMTVPGSVTEDGIRKNLTIGLGYMEAWVRGVGCVPINYLMED AATAEVSRSQLWQWVRHGVSTAQGKRVDKAYALKLLDECADSLAAKAPKHNKFRLAAQ YLASQITGEDYADFLTTLLYDEITQVGAPSPASKL UV8b_04341 MADAKVLKARSPLAEATNRINAVAPTVDQQMNKVRYNQSDILHT RTTNAARVRADTRQAAVSQQVLTTNPHPAPQTLEDDKRMSQASYASTSSSRSRKNYKT HIGPWQLGRTLGKGSSARVRLCRHNITNQLAAVKIVNRRMAYLVHDNSMAALSKWDSS LPDTVNGEMRVPMAIEREVAILKLIEHPNIMRLYDIWENRSEIYLILEYIDQGDLFTF INSRGRLPEELAIFFFRQMMSAISYCHSFNVCHRDLKPENILITADYQIKIADFGMAA LHQTATHQLATACGSPHYAAPELLKNRQYRGDRADIWSMGVILFAMLSATLPFDDPDL RLMMSKTKKGQYEMPKFLSPEAEDLIRRMLQVNPDRRITMKEIWRHPLVQKYSYLDDF GDNSGQLPDTRKGFQYAPVPRADIDPQLLRQLRSMWHMFSEQDLTNKLTCREPNDQKA FYWLLYNYRNKQLEDFKPELAHSMSDYHHLKPAIWKRRISTCQFEQTRANGNGRSISR FTVISNAAETETDDCDGYPGGKGFQKCDSQVSQAKVTVHRDGQVVSSTTTTQANNRMR NGSNSRRMRANSTMASLTSRPQSSRGSLASLRSSRQENAQIRVPSLRHKRGVDFSHLR KRSGSAGPGQRAIAGRTSSGVASGKAPAGQQSPAAPSRSPSPGTMTVTDGTIPKAIGA PESRGSAQENGASSLFNEELRHFSNNIAKDCDAAFKSSIIIEDSECDSSMADGERKRE SDPLSLSLDSPATTLPPVTEASAASWLSRPLPPLPKKSGLQPRPRASVKASAGPNRVD QEVDVEASIEEASRVTLLLPRKADRRIVSAPAYSQPSRKLSTLPSINENAGIHGDGSR VVSAPPHSVMRRVNVGNPGVEYLSKVENSIRIVNSPTSAIPIGSVNAPVTREKSGEDA SGSALHRQPADGVNVDEDDACDVNRGPKKKKSSWFKRVSRIETIAGGELRRASASNEA KQQSGCGDDSGLQTRKRNFTFPFWKGSKGSESGMIIEGIKKNSSQKQQMMSRTTARKR RSGLASSESGSTRNIEVKQNWLTRLFRVKPAISYLCMNLSSKRARQEVVVLLREWRRY GMRSIQVDKKRNVIFGRLGSENYLSLKEVEFAAEIMTVIEHGKKQPLCIVRFTQERGA ASSLHRIVETMRIIFQSRHLLVADKNKQKMMIKTLNS UV8b_04342 MQHASSHRRRRSDMEDAEVSDDAGDGAGTPASDAKRRRVDTDLD SDGGASSFDSNFGRGIDVSSDGTMADFQPGAIVRVSVQNFVTYEKAEFFPGPHLNMVI GPNGTGKSSLVCAICLGLGYAPKHLGRAGSVKEFVKHGRDTATIEIELQKRPPDRSNY IVKVQIRREQNTQKWWLNGKETTHKKIQDLMQALKIQVDNLCQFLPQDRVVEFAGCTP VDLLHETLRAAAPEEMLQWQAELQDLHREKKSLADSVNTDVDELRILENRQQGLQADV DRIREREEIQQKVQDLESALVVAQYEEARDNYGKARHRKKEAERTLRRLESESGPSLE AINEKEAYARQIEAAIPSKERALKGAETATQSVAQEIIGAVEEVKEMGNKLEAEVKGF ESKKKELASSRSKITSLQADLKNQPADFNPSDWNQKIRAEEHNLRELEAENRQLLSDR DSKVDAVMPIQADIQRLQQELRDLDTQQGQQETLMRKHFPELAAGWKWIQEHQDEFEK EVFGPPMISCSVKDERYSSQIQALLQVDDLSCFTAQTKDDYKKLTDQLYRVMSLSVVV RTCSNPLSAFSPPVGRDEAAEFGLDGFAIDYLSGPEPVLAMLCAEKRLHQSGVSLREH DDDAYDRLMNSGKINQWAAGRQSFTIRRRREYGPQAMTTITKSIRDGRFWTSQPVNEQ EREEIKRLLAEAQGKRVALREENNKLKTRIDAIDDQKTRINGKIEELRKDKSILQKEY QKWQSLPEKIEFECGQKAAHEQAMRDAKRNMQEIHYGWDKAVIRRSRLVLRHKRLTDN IGKAHQALVEAKIWLIEAQSDVEGLKDRNASIVARLEEERRTVQEATEEVNRAKETGR RLGEKVTQLFDQAEQDRQELVTQLARGRSSEDIEMEVAAEAAKLELIHAANPNVVREY ERRAEEIAHIRRKMEGAGEKLRTLEAQLAGLMSKWEPRLEELVSKINDAFAYNFEQIS CAGEVRIRKHDDFSQWALDVMVKFRENEALQQLTRHRQSGGERAVSTIFYLMSLQSMA QSPFRVVDEINQGMDPRNERMVHERMVEIACREHTSQYFLITPKLLTGLRYDPKMRVL CIASGERMPKEGRKLDFARCINVQKRLMSAA UV8b_04343 MAFLFKSKKSQDRALSSRDGNSSSHVTSASVRLARDEKNVAQRA TPTGSLNSMDELGNGSPDQIRRGGSLDQMQSSDLPLRNGPPSSNPNASLYPWSQRRLT YTQSNPTPFPRYGAAVNATASKEGDIYMMGGLINSSTVKGDLWMIEAGGNLACYPLAT TAEGPGPRVGHSSLLVGNAFIVYGGDTKIDESDVLDETLYLLNTSTRHWSRALPAGPR PSGRYGHSLNILGSKIYIFGGQVEGYFMNDLSAFDLNQLQSSNNRWEILLPGDTSPNT PKARTNHSVVTFNDKMYLFGGTNGFQWFNDVWCYDPATNSWTQLDCIGYIPAPREGHA AALVDDVMYVFGGRTEEGTDLGDLAAFRISSQRWYTFQNMGPSPSPRSGHSMTTVGKS IVVLGGEPSSPTTTTKDLGIMYVLDTTKIRYPNDNQQGAQRTAQGIRRPSTSEVVSSA NRQLPPPAGAPQDSRKPGSAPVVAATTTAATGASGNKSPNGYTSPPPTGADPDMQQNS GAPPPSAPPSKLPRANLMSSPSGPPPQGPTPAKPNMEAPSIGRVRGASTDRVGRSGSP QTMLAQPAAGVPEHDTGPMMNGRRTPTQPSQLWRTGSKQDHSVSDANKGNPRGHRQLQ SSVDSSAESNVRAVRPSSPPPPTRQPSNPLSRRSSGRNSQTVALLNELDSARNRNAWY ASELELARKAGYVSTATMNTLDAKAAETFDDEDRPLVEALLAMRTELANVQSSVDKQA IMAAKQIAEAEKQRDAAIQEAVYAKAKLAAHTGGSVTSTPQLDGDRDEPSDRSGEMAK KLAAALGQQKDLRTQLDHSRTELMAEKSARQLADDTATAAQKRLTDLETYKQQTSTEI ERLKAELHVAQQEAREKSVACVEAVTALELVRVERDEFGSKYNEAVGSSKSHDETFES LKNAISASEDVKSHLETRLEEERAAREQLEDELNKLKREHEARTTELLASTKRLRDAQ ELAEKHANEAQTHRQALLSGLGTMTSQDTASADKGAHSERIAALQDQITAAKELARKY QLEADAAADKLRSAEERIAGLEQYQEQSSREGVAIRRQLQSALRETQALQAGHTDLRN QLASQQLETNAMTVQHNALKDILSERGISPTSAIRSRVLVSPRTNSPEQTRMRDLEAQ LASAAATLEECKLQFASQQQESELAYREKLQQLESDYQSAVHYVKGTEKMLKQLKDQL SRYKSDNGRLKLEIEELETRVSNEDGRPPAAPEDWLHERDSLHQRVESLESELKASGS NLERRLEAVTTELADSNKQRDEAIKTLESHRKDLEQLHAENALLEQRAGDAEQKVALL LDQVEHSVDNYRRRSRQAPSMTSESTNGLALGHSRNESSENGSSYGGANSLDARNSAA LDNLASELETLRSHWEATNKNYRLSSNFDFDSPVVGKKADEGLANPSLSESLADWRKR LDTDDHHSGGEKP UV8b_04344 MTLRPDLNGLLPSAPATNGDASSSRFRDHQGDSSADRNDISNAA PDNTRPSSAPSKKNGIVNSEARDDFEHSRLQRPVKPQLLRSKSDYAPRHVDDSDTEEE IHGWGARHGFEDHYQSDHIISQLASNWYMYFTDKRHETTGKPKPLEYELQDWRQRDRL KTVSAALAVCLNIGVEPPDQLKTTPGAKLEAWTDPTVPPIQKALENIGKALQSQYETL AIRARYKQYLDPSVEETKKFCVSLRRNAKDERVLLHYNGHGVPKPTASGEIWVFNKTY TQYIPVSLYDLQHWLQAPTIFVWDCSEAGNILNNYHRFVEKHEEEEEEAAERDPHYEK TNFRPYIHLAACAVKENLPTNPQLPADLFTACLTTPIEMALLFFVLQNPLKTKLTPDR AKQLPGRLQERRTPLGELNWIFTAITDSIAWTTLPRDLFRKFFRQDLMVAALFRNFLL AQRVMTVYGCHPQSYPALPDTHQHPLWETWDLAVDMALAQLPMLEKKENQGIDYEYHN STFFTEQLTAFDVYLTRGDAMAQRPPDQLPVVLQVLLSQQHRVRALILLGRFLDLGPW SVQLALSIGIFPYVLKLLQSAAAELKPVMVFIWARLIAVDISCQQDLIKDSGYSYFAQ ILKPSEGLPVVDSDEHKAMCAFILAMLCKDYKNGQMVCNQTDIITYCLAHLQNENNPL LRQWACLCISQLWQDLPEAKWRGIRENAYVKLTYLMRDPCCEVRAAMIHALTTFLGIP DLTEEVARIEESIAWTILEMGTDGSPMVRKEFLAFLSHFITRFESKFLVAAYEQLQEE KEYLMYPPRDDGQDHKMGLHYARPENRQKDGTIKPTAHGLSHNTVYMACWKHALILSV DPHPEVQCEATLIVDYVHNVLLSSTVGESAQTLMRDIQTLARQAAMLRNAAHAHAQRS SLIGGQATPPLPSPGLLRRTASLLFQSLVGGEDKPRPTTPSSVAPSRPSSSKPGPDQM VAPPEQLDQASAPAAYSVAREPMTGAYEGRDLTKTPNLPLKSRFLEWSIEYFREPQMK PGEADEPGSTEYNERLWRRARNENMLRETQPLKQIAGTHKWNNQLGVVNNGAQPAKLT FHQYEDHLAVSDEGNTVYVWDWKKQSRLSRFSNGNPQGSKISDMKFINEDDQAFLMTG SSDGVIRVYRNYDSDKQIELATAWRASTHMVPSNVNSGMVFDWQQVTGRVLVAGDVRV IRVWYAAHEACIMDIPARSGSCVTSLTSDQMTGNIFVAGFGDGAVRVFDTRQRPQDSM VRKWKDESDRQWIKSVHMQRGGQRELVSASRNGRVRIWDIRMDKPLHSFQTTRDTLRT ASTHEHLPVFAVGTSQHAVKVFNLDGNLLSRLEPYSSFLQQNRRAPISATAFHPHRPI LGCTAQGDYHINLFTCEKTEALHFG UV8b_04345 MDFTSQYQFAGGQPYQTFMPVPPLTPSNSHSAGSDDFNTTSPPD GFDGIHNTADHFQGFDYGRAFHNPPQQQTTTSLTSPPTPPNPSHYAVQRQPHQQILQP PPAANGSFAGNPSVGKVDGAADDFNHARGGSDDDESLTPAQSRRKAQNRAAQRAFRER KEKHVKDLEAKLADLEAAQQHVSVENERLKKDLQKMSTENEILRATSTASSGGYNTSH SPAPATTGPMKYCPTDFYSNVLQNHHNKFPSHRIVTSDDGERLLAAGAAWDFIINHDL YKRGLVDVEDVSERLKTCARCDGQGPVFSEQDIVQAMEQSVASGSDDLL UV8b_04346 MVYLRHSQLPALKEYKYSAVDRSLTSKYILKPFYNNFVIKLFPM SMAPNLITLTGFSFIVTNVLTLLWYNPTLDKDCPAWVYFSWALGLFLYQTFDAVDGAQ ARRTKQSGPLGELFDHGVDALNTSLEVLIFAGSQNMGQGWKTVATLFAALLTFYVQTW DEYHTKTLTLGIVNGPVEGVLILVAVYTLTGLFGGAHIWQQSMLQTLGIPQALGIPKV VYDLSFTQWYLVQGVVVLVLNTVESSFNVIRARHDRGDRSRGALVGLLPFFGTWTLIV TYLYLQPTVLYHHLVPFVVFAGIVNAYSVGQMITAHLVKLPFPYWNVLSVPLAFGVLD SMGPVLQERYGVGWPSALGSGEYQVSFVFLILGLALGVYGSFVVDVIVSICDYLDIWC LTIKHPVEDFGHKEGEKIH UV8b_04347 MFWSAAVLVQHPNSQKYPSGLEVAVSLAADPPCSAKPRDYVPES SNDKLKLHLSSIGFGCLVRPSLMRVLKTVDHADTWLEIDRQGKRMNVFFPYQVMRSRN GKLYEADTRRYKIVLDMTVMKMISEPSPVAGLQHLVVPMPRPPEYFRKVDNILSTFER NPRKWYLEQNGWCRATEIVQDIKYPRVHPVALHDETMDDMSIDIGRWTTIRLSFSEDS EENSKSYQQLQKLWLDCNIKSSMNCEFDIKSDATSAWNFLDHPVAAKAGQPSLLLGLS ATVHLDFRVRYQLEVCISRDILNEYTIGHDFLKRLASLSPLDAVRRLEYLADQGDKLF EPMKLFEMEDAECYIPNARIPYYCAYVRKASVTPTTIRFSSPTVETSNRILRKYSQLQ DRFLRVQFLEESEFGSIGRNREQNDDVWSRVERTLFEGVRIGNRTYEYLAFGNSQLRL SSAYFFCPTDHVSCADIRAWMGQLDHIKVVAKHSARLGQCFSTTREIRGIPVPQVRRI RDIERNGFCFTDGVGLVSSFFAQAIVQDMALDVLSEPTAFQFRMGGSKGVLAVWPHVQ WSEVYIRDSQEKFKSKSSSLEVIRCATRSTATLNRQTIVILESLGVPQAKFLQLLKDQ IVSFERAAQDASMAVDLLTKFVDENQSSLVLAELIKAGFMKVGFEEPFVLNLLKLWIS WSFRLLKEKARIHVPKSAFVLGCVDETGTLRGHCKEIEGSSVKDVQRLPQIFLQFTDP DRHGRKIVVQGVCIVGRNPSLHPGDIRVVQAVDNPKLRHLSDVVVFPSKGDRPVPDML SGGDLDGDDFFVIWDAEIIPRQWNYPPMNYKGPKPHELDRNVVVDDMRTFFVNYMKND VLPLIAHAHLAFADQLNDGPRSQECLRLADLHSQAVDYAKTGQPAEYNWELQPRRWPH FMEKPSSYRSRKALGVLYDEVVKHAIKFHPDWQHAFDKRVLEKFALGEEVIATAKAIK IQYDISVRRLLAHHNVETEFELYSGWAMSRPNVGSDYKTQEQLGQEFSALKDRFREQC YGEIDAGDAESLDRFVAAMYKVTAEEIRTVLRVEQDMDEGHVEPSIPEGAKTRMPLIS FPWIFHWVMIRLAMGKKYKPGKCVLSAARRAPAVEHGLQSLAERPKGGPSPSNTTTEA GAAVDEMQRLAAPADTVRGAMAAGTENQAVERTEEAVQADDDGEEDIYSDDRLVGVLG EGRSGMDRLAALVDEG UV8b_04348 MPAKQPTNWKLWAKVLVGGAAISIGGPLLTMRLTPTEDELRSRY NPDLLKKSIEGRQEREEEFDEFVTRLKQYSKSDKPIWIVVKEEEERRKKAVLEAAKAH QREADAQRDEMRREAGLGSK UV8b_04349 MGLKERLGLKSPETTAQTTAQTTAQTTAQTTTETTAKTSGADIL PDPEGTERELRRFRRQHQWDPFLDIDKLDNIDDAIASGNAEKEAAVDESLIQEDSPYP EVRNSVPPTDIDVPVNTIRAWTIGALLCTIVAACNVLLSMRRTPISISSTVVQLISYP IGCAWAKFVPAKSFKIFGRTFELNPGPFNVKEHTIITMMTAAGSSLSYAIDILLAQEI FYKQEFRWGFQILLMVSTQAMGFGVAGVARRFLVWPSSMVWPATLITCTVMYSLHDHG ASDPSATNGWKIGRYSFFLIVAASTFVWEWFPLVIAPFLSYFMWPTWIAPSNVVVNQI FGGNTGFGLMPMSFDWSTVTAFLNSPLQTPAFAIANVLAGIVVMTIGAAGLAFAGPDY YRYLPISANQNFDRYAQPYQTKLILNKDFTVNETAYQNYSPIILGPTFSISYGMGFAG LMSTIMHIVLFYGKDVWNRAKDSQYDEPDVHMKLMRKYKEAPEWWFSIIFVVSFSFGM IACQVWETHLPWWAYIVCILIGAVLFIPIGMVQAITNQQTGLNIITEMIIGYMMPGRP VAMMLFKSYGYMLSFNGLNYISDMKVGHYMKIPPRSMFGAQAFAVIWLSFVQIATYNF LRGNIHEICTSHQAQGLTCPNARTFYNASVIWGVIGPKKVFGAGGLYSWTNWFWLIGF VLPVIQYLVARRYPRSFVRYIVTPALFGAAGMIPPATLYFLFQWVIVGLAFNLVIRRM FFGWWSRYTYALSGALDIGTALCTVVSGLGLGLSETDFPSWWGTQVWQNTLDYTATAV TKEFIENVTKPLGPDSW UV8b_04350 MADVQERLKKLGVSARTGNGKGTPRRAVKRAPARSGADDKKLQQ TLKKLNTQPIQAIEEVNMFKSDGNVIHFSAPKVHAAVPSNTFAIYGNGEDKELTELVP GILNQLGPDSLASLRKLAESYQNMQKAEKGDDDDEIPDLVEGENFESKVE UV8b_04351 MPTPRRADLVAGSVTSQFGRLPAKSKPGVRDNGIHEWVPLSGIV ADQDGKLTCLALATGAKCLPASKLGQTDGNAIHDWHAEILAIRAFNRYLLDECRRLQQ GKPSAVLQTSPGDAAHPFALRDNVKLHMYCSEAPCGDASMELVMAAQEDASPWRTAPP ADAGAGADSSTLPGRAHFSQLGIVRRKPARRDAPPTLSKSCSDKITLKQCTSLLSSLT SLLVDPANAYLESLVLPESQFSESGCQRAFSRRVGSLADASWPGGYKFRAFAVETTRE VFDLSRRSVGARADKVAPSNLAAAWTCSGVEETILGGVVRGRRPFEEKGASSMSRRQV WMLARALSDALPCSRDVRRALAGQTYQEVKDAELLADRQRVKRQVRESALTGWVRNLG DSSFSIH UV8b_04352 MRTYDDSFSGQRIYPGKGKIYVRGDSKVFRFQNGKSESLFLQRK NPRRIAWTVLYRRQHRKGISEEVAKKRTRRTVKSQRAIVGASLDVIKERRSMRPEARS AARAQAIKESKEKKNAAAAAKKAEKAKTAAVASKGQTVRNISKQGAKGAPVKVAARTR UV8b_04353 MSGLKAGDSFPDGVKFSYVKPSGDDITSCGVPGPLDASTEFKGK KVVLVSVPGAFTPTCQASHLPSYVAKRDELRAKGVEQVVVIAYNDAWVMSAWGKANGL RDDFILFASDDAGFSKSIGWDMGERTARYAVVVDHGKVVYAAKEAGKGIEVSGAEAVL ASL UV8b_04354 MARGIKKHQKRLSAPSHWLLDKLSGVYAPKPSAGPHKLRDCLPL IVFIRNRLKYALNYRETKSILMQRLVKVDGKVRTDMTYPAGFMDVITIDKTSENFRLV YDTKGRFTVHRIQAEEAEYKLGKVKRVQLGRGGIPFLVTHDARTIRYPDPLIKVNDTV KIELATGKITDFIKFDTGAVAMVTGGRNMGRVGVITHRERHDGGFNIVHIKDAIDNSF ATRESNVFVIGQDKPWISLPKGKGVKLTIAEERDRRRAYAQAH UV8b_04355 MPARLSKHVGTDTVHDPFFLSNATSASKPALALPNMATIEPRLI HLLNEATSTPHFHHADLPPLHALPLPGSAERPLPPIDLDVAHRADRPSGPSAYPLRMV LDRDTPESSSNRPRTLNDSGHVSDHVHDKKRGRKVHGNDDYDFLQLPQPIKRHKSSHQ APAMPPIINGLLEPPPHAALFPPIASSSYSENDAGKLRLLSEHAYGGASEDRGRPSPE ADKAPGKSRKRAAKPRRKWSEEETKHLLLGVNRHGVGKWTSILEDPDFTFVDRTAGDL KDRFRTCCPEELRGSSKSSSLAGSPRAASQDIVRRAKTGLHSENILIQDSPSPRDEPD SVPKPRKSRAHRKKLEDLAELGIHGPFKKSHRRERRPFTDQDDHEILEGLDIYGPSWT KIQRDARFHLSSRQPTDLRDRVRNKYPSIYQRIEKGTFHSKEDALGGGVLEPSVNMSI ESSLQRSKVSAAMVPRAGLGNSKDDLHAWALHMVDSSNYAQPPPSFDFGEVGNSHLMG GEMDISRLLLDDGRINQSLARHGADYSPGASSPPSYITESRRERHGAHSIRC UV8b_04356 MPPRNSSRRDDSPERPDSRERPTRRRKSTPGHAAKFGSLSADLP PVRGAPKTGKPPSVASIPTQPTGVEADSDYYDDADDGDHHHADDLSDPQDPPAPTSPQ DQGERYRSTLVLLRKLQRATHELEQRLINGDHGNAAFAALLDIERCTFRDTVEAFKNV DAAFGAGDARMPFIGFAWLEEWGARIEADTGPHIRALIRANLMLTLLSIQRFDGEAGL DAPFLERLDAAFPQYFCEPRNTSRPCRLALLVRTCRLLGLLASQPDQDMARAREAIAS VFYCRRRSGTDFTFKSLTSEDEEENDGLEKLNIASRVREVEAALQDQGSDGIRQLANK YSETLSARLWEWYSDMLPPKDLGQQTPERASTFEGAAETREPAWSGSYAGSNGIRRPP PGADKTTSLLGHGLRRSVAPADAPDDHPTRTEELLYSNPEPPEEHDDDDDDDDDDAAW YQTDTRDVGDADRRRAQLQARHDAARPTITSEDYARMDEEKARLAARVRARRMRGPSP PRERSPSPPPGTRQRHRWPLHDTERLIRLVNTEKASWRRIEARHGHEFCHRRNHQAFR DKARNLKVDFLLTDRVLPPYFDNVALGGKEISKLKASGKNPFRQEADLDSHGRPINTS YRRA UV8b_04357 MNNETQPRRSSFGMLLRRTKSSDLAKGGRKAQAQALKEAELERQ RQAASRAPPKLPEFANNSEQLSKAFPQELQQSDRAYSLSNSAASGGCYARASTEPRRY VHPAVSPVPPVPKMPSHVADPYARTESMTHRSRYSYASSAISSINSPRRVRRRKDPTP FNILVVGTSGAGKTSFLEFLKTSLALPAAKRPRKADEAVFPVSAPASGNFVPHFMETE IDNERIGLTLWDSEGLERNLVDLQLRELTAFLESKFEETFTEEMKVVRSPGVQDTHIH AVFLVLDPSRLDRNLSASRNRATGHQGAASRAFGALDEDLDLQVLRSLDRKTTVVPVI AKADTITTKHMNVLKKSVWNSIKQANLDPLEALGLDEDTDSTGNRIDEEGEEQGEQGE ETESLDGCGSNQPSSSPPASPNSRRLSSQSMRQRTAQDDAKEDEVPFLPLSIISPDLY EPHVIGRQFPWGFADPYNEKHCDFTRLKEAVFSEWRGELREASREQCYEGWRANRLKI RNAPYRR UV8b_04358 MSGMVSRSLLNIPKALPTPPGACRVRPGPTVVVHRVRLSTTSCR RSAQNSPFQPLRPPSPQELGAPQAAKQFTKAQRWSKWLLIALVTGGTVYVGDRQIYAS GLTRTLRTFATTLLVALDYKVNFRPEPITAGSVAELHTRNAERLFHLLRTNGGLYLKI GQAIAMQSAVLPPEFQKMFSRMFDDAPQDDWKDVEAVIRQDFGKSVEEVFGVSFAGRE GMGLMERKARASASVAQVHWARLPDGREVAIKVQKREIAKQIAWDLWAFRAVTWIYSK WFDLPLYTLVPFITERLELETDFLNEARNSETMRSLINSEKTLKGRVYIPLVYPDLTT KRVLTTEWIEGVQLWDKKALTSRWLGGRGKGSPGANSPLPWFDVEKARLEMRTQPRRE KVKPERQEWKGARGRGGLGLSTKEVMTTMIDLFSAQIFKWGVVHCDPHPGNIFIRRLP NGRAELVLIDHGLYVYMSPTFRHQYSAFWKALMTFDNKTIARITSEWGIKGADFFASA TLMRPYEGGQGNSMRETLKKLEGKTPEERHYAMQRLMKQSLRDMLADEEKWPKELIFI GRNMRIVQGNNQYLGSPVNRVKIMGEWASRSLFQDKKLPFAQRLANAWRHVLFKVVMS ATDVAFYFFKVRQWLGLGGGMEDEMERRMRGVAQDYGIELQHDVFGG UV8b_04359 MVRPATPLSALLFAAFILLLLSVISIPLTKLVPLGEFKDVKYGV FGYCQGDKCTKILLGYPTGGVLSDDAQSFDMPSSVRHTLSAILVIHPVAAFLTLIMFC LAVAAHMHSSSHSARYLLVLFIFTLLTFLVCLVAFVIDVLLFIPHLAWGTYLVLASTI MLAISAVASCAMRRAIVSKKARQKRIAENAEMSGENFYKAEDQMNSLPAMASPVPPVS TFNERTAAGGDHLPAFATSEHLRKDDPISDERMPLTQRSPAENLNDVESVGDASFVAP TRSASRDRHGNLINGPRDTYGSRPYGRGRGGNQRGGRGAHGPYGRGGFDAYGVAGRGP GRGRGGYGLPPGRGGPRVRGGYRPPIHGAYGPGDMSGGRAPPPVAVYGNMPPGHFDHM SPADEYTSYGQPHASPTSLEHHWDGNNNSSVAESRDLPLAESPPPLPGHAVPAPPVEM DAGAFGLQNDMSSNTHQLRDSDVDVAGMVGLQQSRAMGDGGRATYMSDSSKYIFQSRQ EQYRMTTRSETYPVPHLPRLCTDRLQSLLSRIWPLLDQGLMPTTMKIGTLDSTGQQLK LLMGTGTRTSARLNP UV8b_04360 MDKQALASSFVPSTSTASSPSGPPEQRTYTTAGTIYKPSSTQPL QPPTRRGRSLKWSPAGLHADLALLPKSVLAGLPLRAPSGPPITALQQYTPLQQNYDRA ISPFNEPDHILAKMPAEAILAPSTHTPGPLSSLSGVEWSGLDRPTAGDGERHSRNDSD TGDEAATEGEADDDFNMGALMNMTVKSLQNLASYPNPNQKTAQKALQRGTRPRPCMYS QPVTGLSSASPPSLATIGMASGASEGTSSFSYPKYACQTESSTFRPAQLDAIPKMDGA WSTRNSTPASAIPVSPRAKSTSSEVLKTHHSLAPTGPSPMPLTAGPPGQRQYRPSTFE STFKALKANSPSGFLSQEDDEGLLITTQTLMQAGIDDISLTPDRIEAIPKTSSWSAPP ERVLGDSQVRRLESPSGMIDAADETWANTDRVESNSGRAEAWGLGDGRSWCSGSWRDQ SPETRALYRPGTDRLTEAALATRVGKLENWWYSGVNRTYRNAESTALKQFGPGEPGFG VIGDKRPLAPIHRPGILDVEEAMAIPASEHARSLIEMAINALDESMVVRCSGAESAYT LATRSTAG UV8b_04361 MLRCVVSRPGALAAGRVSSRSVVGRAAAAGAASKATTGTGTTPQ ARLELKLDMSAARLDGRTLSSRGFRTAAAAMSRATRTESDAFGEIQVPADKYWGAQTE RSLENFRINQPQDRMPPPVIRAFGILKGAAAAVNMRYGLDAKIGQAIQQAAKEVADGK LMDHFPLVVWQTGSGTQSNMNANEVISNRAIQILGGTMGTKTPVHPNDHVNRSASSND TFPTVMHIAAVLELENELLPALRSLRAALQAKVAAFEARNIIKIGRTHLQDATPLTLA QEFSGYVAQLGFGIARVEGSLPDLRLLAQGGTAVGTGINTFEGFAEAVAAQVTRMTGT EFRTAPNKFEALAAHDALVQAHGSLNTLAAALTKIAQDVRYLGSGPRCGLGELALPEN EPGSSIMPGKVNPTQCEALTMVCAQVMGNHVATTIGGMNGQFELNVYKPLIIRNLLHS CRLLADGMRSFEKNLVAGLQANEAKIAQIMKESLMLVTCLNPKIGYDMASKVAKNAHK KGITLKESALELGALSSDEFEALVRPELMIGPKPYKQ UV8b_04362 MGLLSLAYKRPDHVHPQGLRASSESPDDASSQGTLQPGRRAQPA GIPDSLAFDNIINGDTCPPMTVHDLMDYLVHVEHSAENLQFHLWHRDYVERFDQAPRA DTCLSPEWTQAMEEEAMLKLRKEQAENRRRPHPPSAPMFKGTMFENSMPEMPEVPEPA FDPFSTPPSSCDGKSESGSLSVWGASHSTLSDTSSKYKSRAANAYRSAGARVPFTIQP FRDEINRVMATFIVDGAPRQLNLSSLEQKAAVQALSYTTHPSALRGLCKTVDHDLRRQ SHPNFVRWSIRNGNPARVWFARVLGAFLVVAGFAIAILTTLSRLARSYRALAAVAWVL GVSTFVAGYNGVCVVLHGRHRRHLRPWEIFGPADDEEDQEGRGEKRSLDCFGLDNGHE DKPWVAKYERRHLVRKIFDREVWIQEPALRQIQDTIFVQAILASVAVGGALTALFVVV PGGNLF UV8b_04363 MDAQQSAALADAGSARHLDDGSRTMTSTEEINNTITRPGSVKIN VKGAFIVDPDASTPAVGAAGPSQVNGRGSPTHHETSDIRLPNHTAVVSHIAVDIGGSL IKLVYFSREVHSTDPGGRLNFQIFETDRIHDCVEFMKHLRDEQLALNGSQPGELCVMA TGGGAYKFYDMIRDALGVDVLREDEMECLIIGLDFFIKEIPREVFTYSETDPMHFVSP RETIYPYLLVNIGSGVSILKVDGPRSYQRVGGTSLGGGTLWGLLSLLTSAKSFDDMLE QAGHGDNANVDMLVGDIYGTDYGKIGLKSTTIASSFGKVFRKKNTAEAAAAAAAAAAD AAESPENPSAAGTGPLQLGFTEGDISRSLLYAVSNNIGQIAYLQSQIHNVSDIYFGGS FIRGHRQTMNTLSYGLKFWSKGEKQAYFLRHEGYLGAVGAFLKRQPKNWGRRNSLEGR EDIAELRKKPRAKKTTAA UV8b_04364 MACASPPRARILGPPLTPPQRQAKQLGLLFAGAGFLALSVAVAR RSVLRRQREGFPRFYSSNRAAARMDGAERSLLAVQALGLATLNVASFGVLLTGGLAWA FDLCSVGELRDRTRAALRRPGRFSPQDERELEGMMGSLLDKMGMQRPKDLGGEVATGT GPE UV8b_04365 MPRSKVPKHARPTAPAALPNWPQFRPPLPVAELAPQLHPATPKI ASIPSFFPKSLCRDYVAFLKTLPLQTTPGKPKRGEAVRVNDRFQVDDPGFALRLWETT GLKEALLGNHRLKNLWEGEPVGLNPNIRIYRYSKGQYFDCHYDESNNLTLHHHGAAIP APARTTWTLLLYLTSSSEGCVGGETVFYPRDRQIDAEAIAVSLETGTLLLHKHGDDCL LHEGREVKAGEKWVLRTDLCVKR UV8b_04366 MRVRQRKDLALEEATEKLPSNSNNTGFQNIPIPTASGSSPRAKQ DPTGTNSNTRIKARARAPARAPAPREEPTLRIPEEVNILHLAEELAQVPLDTECHLEE LA UV8b_04367 MKHPGVKRSTVDLQREGTEDVTGMEMLGLICLPGRLVMGITRVT MTGTVQRLKSAPRITPALRAGAPQCSSMTLLVPVLCHRDRPPQVRIIVLRRKGFIQQL LQRSRRDHTKVIMTTEDMGRRQGRRRLVKVLPPQM UV8b_04368 MGPSQKLHAYGAEPGSSGLPDVAYSRTASGRQSFDRAIRPAMEQ PQSGAHGTPRLHHAKSEATFHEPQAAVFEMAGDIPSMPPVPQGQPFQPEYNQAHASTS PAAGYDQLPPRGPSAPPGSIHSSRRPGGTDGYNSASSVASNADSLPAHPTPVRPGLMP NSVVNLKDRPPPVRNYGGTVQQQPPPSSSSQQYEQYMPQQQQQQQQQQQQQQQQQHMP VHRVGHQAPEMSKNQEPVTAQQLERLRMAIKINSNDQESALRLAKGLIEAADVLASKL PDAKQRTKGRERYLLDAQKVLKKLSSAQNQEAMFLLADSLGKGLFGNEPDNKEAFTLY QSAAKLGHAAAAYRTAVCCEIGHEDGGGTRKDPIKAMQWYKRAATLGDPPAMYKVGMI LLKGLLGQQRNPREAVGWLKRAAERADAENPHALHELGLLYESAQPNDTIIRDERYAL SLYQQAAELGYKFSQFRLGLAFEHGQLGVERDHRQSIYWYSQAAQQEEHQSELALSGW YLTGSPGVLNQSDTEAYLWARKAAVSGLAKAEYAMGYFTEVGIGVAANMEDAKRWYWR AAAQDYPKARDRLEDLKRVGKNGPARPRERISRSRMERQQQDGDCTIM UV8b_04369 MPPIRPLRPNLRTPIPIPLVKASFASRHPASTRAIHTTLAFRAK NQLHAPIRSPDSFHTYLSLSWSSRTPLLTLWTASWCPTCRAVLPLLQSLVESGVGEPE GGVAFAPVEFDSPDVMAGSLAMTYMITSVPTLLSFDAGEAQTTTRVADGRRLADRQFL TAWIQGEARRRGGRGGGGGGGVGGLWR UV8b_04370 MSSSSEGPKEGASRWRILQLLRSGANNHDVPEEKQPSGHAKWSF GVLNDKRTVEVPGSVLLLAANRNEPLGLRDVHARTSHSSIPVGFPVDATLSPGGSRPV AQHAPPPTSAAGQQQQADGNDGKKKTQDGTIILDPQPEDSANDPLNWPSWRRDTALLS LGFYCMIGGGITSLMAAGFTNIAHDVNVSVETVSLTVGLYMMGLGVGSVLASPTAILF GKRPVYLASVILFVATCVWAGYAASFSSLLAARVFQGVAISPVECLPSATIAEIFFLH ERAYRIGIYTLLLLGGKNLIPLVSAAIIGRFGWRWVFFIVAMVAGLGFVLLFLFVPET FWDRTPTRKPSSRPGFLRRLSSRKSVHVPRFSFQSVDTHKTSERPHSPGAASRQKDNH VGFLPASPAAGEFPHRTKGEATALEPSQAEANVSPQPASPRHVGFVDVAELSKSGKDA SNNAAPEASGPTNGPSAALSSSPSSSSLSPPPPPPPPPPLDTNVGLVHVPGEHAGLHA ASPVHSLHCASPHAVHKSPDGRGGQSPYCLSATGSAANVDYFSRGPNLDNERIPASAL RAPPKVKTYTHNLRHQPARTFIQQLRPFHGRLNNDKWLKVMVRPFVLFSYPAVLWSAA VYACSIGWLIVISETMAIIYRDPNIYNFGALQTGLVYISPFIGGVLGTGVAGKISDVL VRAMSRRNGGLYEPEFRLVMAIPIMMTTCIGLMGFGWSAQERDHWIVPTLFFGVLSFG CSLGSTTAITFCVDSYRQYAGEALVTLNFCKNVLHGLIFSLFVSHWMADEGPKAVFIW LGVIQLVVQLTTIPLFVFGKRARMWTVRKNLMEKF UV8b_04371 MASARIPPLLEPYLRLPPEAALVVLTSVLGCSANWLVLRWAYGL LSGRQQSGRQQKGQAADDGEGDGDAAVVLCSFLQDGAFWREGLSSLGLDLDNLQAAKR FSFVDGLTGLYAPDPDPEPATGAGRHHVLLSSTVDHVARTLEAAIAEVSGGGRRVVLV MDHMDAWLATADESASDVAAMLAALRERVHAAVVTVAADEALVQAQNTTLEKEHAALV LSLAHEAHLVVGLRRLDTGTSGDVSGVVRMTGGGRRAGRLEDAEYLYRFSGDGGVKVF ERGA UV8b_04372 MTKGTSSFGKRHNKTHTLCRRCGRRSLHIQKHECSSCGYPSAKT RKYNWGEKAKRRKTVGTGRCRYLKEVSRRFKNGFQTGGPKGARGPTSKAE UV8b_04373 MLPSIFRKRHEVEDIIPIPEPVGGESSGVDHLKHLAAFEKAHKL DPNLSMDELNDVDAALATGNAEKGIEIEHALMEDNSPYPEVRAVVRNYDVDVPANTVR AWAIGLLLCTLGSGINMLFSLRNPSVAITTYVIQLIAYPIGRGWDMVMPDRVWNVCGI SFNLRPGKFNFKEHVVIVAMSNAAYGGGALYATDVLLTQKIFYKQEFGIAFQLLFGIT TLCTGYGMAGLARRFLVWPAAMIWPADLVNCALFYTLHDHSRSDPSKTNGWTIGRYKL FLIVGCAAFVWYWFPGWIFKGLSYFAIACWIAPNNVAVNKIFGNNHGYGLIPLTFDWT VATGFLGSPLIPPFYAIVNVLAGIVFFFVIVSMGVHFSGTWYADHMPVQSSEAYDSTG NVYNVSRILDNNFTFNETAYKAYSPLYLSTQFALAYGLSFAAMSAVIVHVGLYHGKEI WRQFRMARHQEDDVHMRLMKKYRDAEDWWYAALLVVMVGISFGVVTGWPTGFPAWAFV VCLLLPIIWLIPIGLIQGISNIQLGLNVLTEFIIGYMVPGKPMTMMMFKNYGYISMSQ ALYFAQDLKLGHYMKVPPRVMFSSQLVASIWSAIVQIGVMNWALAKIPDICSEKQKDG YSCPSGHVYYTASVIWGAIGPARIFSHGATYASLQWFWLVGAATPILTWLLARRWPRS IWRYVCTPVIYGGTGLLPPATVHIFLCWGVVGIVFNYFIKRRYTGWWLQYNYIVSAAL DCGLIISTLVIFFTLYMTNASAPNWWGNDGMTDTLDWRASAISKPLAPGQTFGPAVFP UV8b_04374 MAPIQPRLKVLSVGGNPVSAFLSWRLQATNACDVTLVWKSGYEH VAQYGISFKSPVFGNERFKPRHVVRNPEDAAHARDGAFDYVVLCVKALPDVYDLAAVI DSVVTPQHTCILVNTTHTLGVESALEERFPTNVVLSLVSGAELTQLGQSEFEHKGSTE IWVGPANRNGSIPQTIQEDMAQALAMTLSTGQVDCKVSSNIRQQQYERVIGPIAFHPV SVLFETPNHAALFEKVGVRDMISGVIDELLRLADANGCKLDPDFKQRTMDDMTKPDLP ESIMWQDYLARRPMEIETYLGSPIKLARETRVPVPRIETLYAVLHHLNAVNRSKPKPS EVLPSNTMPPSSPVSTPQPRAQSQAAHRPMPSGMPNGNGMGPRQPRPRNSSNFGPPAL RRPPQQMNGGQPNGYPRPPPSVNGGSRAPSRRGSMDGNDLEEFSHLVLYDDIPEGPEP SYGGESDIGLRERELQLRQRELALREQEMRMRRAPPPPGLRRGPHPHPMRGSQQVFDD DDDDDDGFFDPMAAGGTPAINPDNLDMMSVTSRKNRKVSAPSASQFRRNPESDGPPPS RGSRFRPNFGRNRGSQVAHLPAMNDNILDDPMLAFTSNRYGNVDRGAMGGSSRANSLT ASRLDDGLYGPGHGGPPPAMNGAYPRRASQSPGNPYGPPVRGGRPSPPNGYAPSMNGR PSPPDGVRQPVPRYPPGQGNSVAAQQVEQHVGVSALHPPKPRNVRSLTGSASASVGSG EVDSERSAHSSQSSFQNAASIGVR UV8b_04375 MATKNPGATQNLNRHSIAPGGHAVKSRQLAHLQAQLARLSNNLS DTENLVRMTSVQAEAMRGLGSWHGGLFMAASKVLGEESVRDAAR UV8b_04376 MAKAKGHAGVQNRAIYSRASYLYQAATYLSRRADADALENAASA GQAPADKAHPAAANDGSQRKALGNLSRLAITTMKSVSLKAQIRQSPPLKRTVCKLCDT LLVPGRTCRSTVENASKGARKPWADMLVVECKTCGNRRRYPVDAPRQKRATLRPKAQA QAQASAQQKE UV8b_04377 MSHEEDLIDYSDEEIGANETAAAGSNGKKGELAVSNDVDKKGSY VGIHSTGFRDFLLKPELLRAIGDCGFEHPSEVQQTCIPQALLGGDIICQAKSGLGKTA VFVLATLQQVEPVNGEVSVVVMCHTRELAYQIRDEYNRFSKYMPDIKTGVFYGGTPIK TDVETLKNKETCPHIIVGTPGRLKALVRDKALRLGSVRIFVLDECDKMLDQPDMRTDV QEVFRVTPTQKQVMMFSATLSDAIKPICRKFMQNPTEHYVDEDTKLTLHGLQQYFIKL LEKEKNRKLNELLDDLQFNQVIIFVRSTARATELDKLLRECNFPSIAVHSGVSQEERI KRYKEFKEFKKRICVATDVFGRGIDIERINLAINYDLPADADSYLHRVGRAGRFGTKG LAISFVSSDQDQEVLREIEKRFEVALPEFPKEGVDASTYMAS UV8b_04378 MHHDANSSPAFLASSATSTTSQYAPYNSAISHAASVSTVSLWSD AASQSSDDTMSTSTSDSDSCDAYCISKEAATTAQNVVNYRRARLHHRELDVVPAELRR NPRRTAPGSHGSRGGCPPALVRQSDRKVNFVDSLVDSSTQIVEAIWPLSSAVCRNELG SKAVLPLRTFIQETLRRSRTSYSTLQVALYYLILIKPHVPKHNFTTEQPEDRHGDRAL QCGRRMFLAALILASKYLQDRNYSARAWSKISGLNTQEVNQNEIAFLLAVNWKLHITD DVFQRWSEIVLKYTPPAPPTPGVASQNYAQQSAEWRQLILKLEPDLTNIEGLLVQSPR SATGSDLCALSPRSILNLAQERRHVSTGEVTSFMSGMKRYGAPSVLDTVPNPVGTPGR LAPALGLLPTPRLTPQTSGFCTPAVSATSQMFGKGSAMGLAMGHVGTVSATHASLDRH PCSVSSSPPGTCPARRSSLATSVSTASSPESMVSDSSRSSSISSASSLASATLYSNMS RCSVPSRFRVTKLWNDRMSLKPSVPSVPEDYDEQCISLSPESYIESETNNKLEGLTME TPLARRRYHHHHHHHPHHRGEEATRDPASDAARALQDLHKHDLSGDSTPTGVSGRKRG RTASVDHGLQENVREILAGCYDFSQESWTDDLIRSHGISSGHHAHLPTQSVSGRTAKR VCCSAEAATSYQAGSVHPAISLRGRGIWDSILN UV8b_04379 MSPTPVHVTGKRKSPSWPTPATDPEGVLSPKKRRRSLASVRASR LLARHRPALENLPTELLEMILLYSANLALPRSSPIIGSKLSAKATLLRLFVMAFHDTW DKWFGILRLQRQCQPANRRDMARYQDAQYAQDAQDLHCQMLLGECSHLDARACFEADY ERAAGWPAFQAEQASRWGTQDVHPMVRLPVQLVTGPWDQESRRRLFWLTRGGVRLGSH DANLASWEVKLQCLDNAVVFAEEPDALVINCLVSRWALTGLPEDVVRKRLVHLDRRIE WGGDPPAVKDVLRRTADALNADAQFDRSNHVAAACGRF UV8b_04380 MPPPSRLPVPSRAALRFLQVVSHVAFAAHGRAGAPALVAAVASH GRGTQSPASPTARPLTTTATTTTTTSGCPRHARPRLPVRCPQARNAPPSANPTRLTFC AAAAGKKPVKRKESSEPTWQERLWGTGAKKGAKPLKPDDLRGHDDFQNGSSMFTSRRV LSAKAALEPRLRCTEVDENGKVILVDGEFKKTELIAKFGLNPRDLRKIDSSNLPHILV RPSAILLNLLHLKVLIKHDRVLLFDVYGSKTSYPQSAFMYDLQGKLQQKPSQGSNGLP YEFRALEAVLTSVTSEMEADFEAVREPVMRILSELEDDIDRDKLRVLLILSKRVSTFE QKAKLVRDALEELLEADDDLAAMYLTEKTHDLHRGLDDHTEVEMLLESYNKLTDEIVQ EAGNLTSGIRNTEEIIRAILDANRNALMLLDLKFSVGTLGLAMGTFLAGLYGMNLENF IEETNWGFGAVVSISSVASILVCWYGLIKLRKVQRIKMMYSERPHVPRSSQHSYQYHY GDASALGLLDSRNREMLRRAHMQKVVARRQAWFAFWKA UV8b_04381 MGGVLSCIKSALATIGGCIMAVISGIGGILQAIISGIVSFCGII VRFLTCGYCGRSRGARTTRRSRV UV8b_04382 MSTSNAPQQPVKLSLPLEYQQNLFQELRADDELVVIARGLGLLR LVTNLLHSYDAAGNNLIVIVGAEERENGWVGEALAEQAAISAAPKARGLTVVNTDFQS VGAREKMYAGGGIFSITSRILVVDMLTGLLDPESITGLIVLHADRVIATSLEAFILRI YRQRNKLGFLKAFADNPDPFTTGFSPLTTMMRNLFLRKASLWPRFHVTVAQSLEGKKK AEVIELEVQMTNAMRDIQNAIMECVEVSIHELKKGNSGLEMDDWNLDSALLRNFDVVI RRQLDPNWHRVSWKTRQIVNDLTVLRGLLTSVLSYDAVSFLQYLDTIHAAHSPPPGST RQNQSPWLFLDAAQTIFDTARRRVYSANTRAVSQSGDNIDSLRPVLEELPKWTILAEV LEEIDRDLYFDPRPVRDDSNGTILIMCSNNDTCRQLRDYLQTMHVKPKAATATATATA TATATATATVASTDGDGDGDQAEEAHKPSAAFMMRRRLRNYLKWKRQFAQVSATLFSE NQKALNSAADSRPGHGTTRGPAGKACSNKRRRVRGGGNMTVSAGRTESGVIAQYFEKP ADVANLMAEVQLTEEEEAQQKDDLVADPLDDMEDFFQLYEMQDLVVVHVYEGDQDEHV LEEVKPRYIIMYEPDASFIRRVEVYRSSHKDRNVRVYFMYYGGSVEEQRYLSSVRREK DAFTKLIKERASMSLVMTTDPHGAEDPQEAFLRTVNTRIAGGGRVAATAQPPRVVVDV REFRSSLPSLLHGRSVVIVPCMLTVGDYILSPNICVERKSISDLVSSFKDGRLFTQAE TMFQHYRSPMLLIEFDQNKSFTLEPFADLSGSLSSVAPTNVSSDLQSKLVLLTLAFPK LRIVWSSSPYQTAEIFESLKAQEDEPDPVAAVRAGLDKDTKAEDQAFNQEPRDMLARV PGVTPKNMSILTMQTESIREVANMSEGELEPLVGKAAARAMYTFFSRNVMEDE UV8b_04383 MAGNSMTAANLQGTFVSRSLSFLRLHLFVQLFLSCLAFFFAALL RRHALGYHRARVSLMSGELGIKAFFDRLDREFLLTLGLFRDLDRVGSGVLHIERIRHT LFTVCLFWSLESIVLAKGGRLSRRISEVIDLIMRLWQMSWGEKGRIRRRKAQTGRLGS RVRLGRYLYQNQFPADSYDG UV8b_04384 MPSILNDDDKDTVKRFVPKQSNKIHAVAVARLYVAFPNRSKWTY SGLQGAIVLANDLVGNTYWLKMVDISSASRGVIWDQEIFDTWHYNQDRTFFHTFELEE CLAGLSFVDEKEAKQFKKKMDEREKNASRATRSTPFGGGSQPVHKHGLLGGLFGHRHS SAPLPAEVAKPSLPSSSIHPSPSSSQYFNGTLAPSQFPLLDAFDPLWREHFGRDLTEK GLTDDFIKDNQEFIVDFLREEQSKVNNASPPTCPQPTNGGEARSGRAPPPPPPPPPPP PPPQAQAPAPALGAAAAAAASSASSSSSTKRGAPPPPPAPRRSGKGDGPAKEEGPAPS HSPPRLKFNAPPPLPDAGKFAHIEQSKRKPASTAPSTTAASVPHPGPPPPPRPGKTPL EPQSPAQRFHVPPAFAGQRVPPPTPSRGPVPPPPPPRAGDSHPASPAPPPLPPKVPIG NAPPPPAPSSSRPVPPPPASSSLPPPPPPLPFSNAPPAPPTPLPAAAAPPPPPPPPPL PLLNAPPAPPMPLPAAAAPPAPPPPPPPPPMPSASGASVPQNPDSGQLPGAPAPLLPP PDQSRAAMLGDIQKAGGIAALKKVDRTQIRDRSGAQVGGPGDSAASGPPAGAVAAGGG GGMADAIAAALKKRKDKVSKSDDEGDDDNWD UV8b_04385 MPKNKGKGGKNRRRGTKENDDQRRELTFKEDGQEYAQVIKMLGN GRLEALCFDGSKRLANIRGKMRKKVWINQGDIILLSLRDFQDNKGDVILKYTADEARS LKSYGELPEHAKINETDTFGQGEDGEAYFEFGDADSDEDSEEGDKKKEVDIDDI UV8b_04386 MDVAGALRRATPSCARVPANRLQGTCRLPPLRFASGPARTPRGK PRADTPRSVKRFVPEEASRFVTPDGRLLTTLENRDFFLEHGIEPDNGRMTRFAPTSEL SRLCADLGTALAYSPRHVIHPHDLRYFEPRGHPLAVQKRSQYAAKARRQPLWIMTTSA GAGAAVVRTLTQRRLTRSVYEALDALGYRSAGGDGGGGANMADEANKANKIRGTLWIA IHQPLKAASQPAERFGRVVAQALAKHCGL UV8b_04387 MLVSLTVGKVDAGVTVLLTPDKRLIEFPSILLPPNISSGSIVDI AVSQNVEKETAQEQKFRALQHRILHSFGASEPASPALRCRNATQTAVVLEWDPVELAT ADLISLSLYRNGQKAGNIPRPLHMHSTKISGLAVDTEYTFHLVLRTTAGVRTSDKMAV RTHKMTDLSGITITPGILPAAARETLCEAVERIGAKLADGVRIDTTHFVTTEGRGAAW EKAVENNIPVVRPEWVDACEKNGRILGVTRFYLDAMMPGPPSDDQLRSPPPPPPPPPV PAKDMAQSPPPSRGATTTAAAATTADDEVDAADAGSGKTQGTTAHGNDQEQGQQESSK APPHPDEQKMKLEEKAEQKVALRPSSSSKRDMDMDADKDVDMAMDGDAEPTGKGDEHG DEENGTEGQEEKPAGTPDGASFQEVEL UV8b_04388 MSARVGSVADNRAGGWYSYRASKAAVNSIAGSLDIMLAARSGDK AVALAYHPGTVRTDFSRPFWGRVPEKQLFSPEYAVERMVAVVRGLDLRDRGKCLDWKG EVIPP UV8b_04389 MCRPSSRNKFDCFTASSFPAPFPAATPRSRAKTLKEDAAEAAQA AEAGGRPPAGLLLSCRVAALIARACHRPSAIGHLHDTMLAQEEEEIHAPRRRDDVPAP AYFLNNHPPPPANSMPTTTPPPPPPPEHEDDVQVRGRFSKSKSIRSSSKSLPSKSRHI WLPDSAVAGVTLAGHRHIAISIPLEATPFGQDARSQYPVLSHDLQMGTPSKAPVRTFT NDKGVVTVLRPLVSQEHGTNMPTTASKQRLPSSAVPLNQKPLPLPPRDPTANFGRQPV DYIGVLPMAFDTSLLDDCNVPWNIPSSQSGDKASTHDRPSPPPPQKPAAPARVSSTKI NHIATAAKSLDGMMLVPHQAKHMPSVARGRHLSGSTGPGKSVAATLDKGGKDESRDEQ PKSTSGPLYDGAPPALSRKGSSISGANSATQAGPPLAEEAHSRPSKTPSLARSRKEIV REKRRRDLEAMLRAKTKEQHDDRLATPGAAQQHSATGFSSWASRPPTPTPTTALTMSS LMVVMDVEPCPEDEVDASQRPKSPPCQDTAMHRPKSPGSLPDLNDPNMPTPPTSAHGS PRQQRRSSSSSSSSSSNNNNRDRTLLTRPQESNGNEERERKVRNVIAMAKAKATARRL ALGSATCQDGAVSQADMEAKPRYEAHEEHRLWDMEHRLRRLERNGDIWLEALVPVLNS MSSAMMATKDRPPEAVGDVVSGEETFGDASWIKGELERMAGKRYDDGAPSDCLSRGDD TSGLRSIEPLMRELAGGPRRWKSTAVTSGKS UV8b_04390 MLLLHQVGSVKIGEVIRYTVTYTPSQDRILPSPEKLYVRIRNTS TIALRAAFVHGPYTLYVSAYPANFNPNEKFPNPRRYGIPHFEPMLKAGGSWECELLVP DDIRQEAGTGRHGGFGKSSDHDGESVSWIVEVASQVIFSTSAAVGFEVVVARDQKSLN LSHSLPLVGNTGQVTQPGRVSDHQQSLGAKDGHHPAQPKGVFSRAVRLKVEDTATLWN TPRLPGWDDIGARRAKQTPGADQPVESMVKTGDAETEENGRSEKDHLRKKKVHLVILT HGLHSNLGADMLYIKESIDAAAKQARIDAKARIEREKRSGTFKAAADSDASGRAENEE DKGEEEEEEEVIVRGYAGNATRTEKGIKYLGKRLSRYILSMTYPDQPYLPVGKGASEG LAGAMESDRHDQHRHEAKGAKKAHKHSTIQTDITRAQHDTDCRRYRVSSISFVGHSLG GLVQTYAVAYIQKHSPDFFTLIKPINFIALATPFLGLSNENPLYVKFALDFGLVGRTG QDLGLTWRAPTIARSGWGALVGNLGESAHKKVYGESRPESKPLLRILPTGPAHTALKQ FRNRTVYSNVVNDGIVPLRTSCLLFLDWQGLDRVENARREAGLVETVVGFGWAELTGT NMFSSRSKSKAALGEQQSDSGSSTPRGASRQVPQPSEDAVQEDDRASISSVPTPLTAD GDEAARGTSEGSGHSPLAGFFGLFKSADPPKPQVTTTKQRKMLRRGQTMPPSGSDTAN SSATDMSDRKPSKATAGHEADGCWDKVTAPPRTTFFESAGDLLNPSLPTVDYLIDPAK RPRTIFHDRVYHPSDIPPPPMKKRPTLLRRKMSGKMSATSPQPGQSGSRSPCPTIKLE DSVGSVKDYDDTANTNPDKDHWEVVDGSNMRVEEKIARGYHRDLSWRKVLVKLEPDAH NNIIVRRMFTNAYGWPVVKHLVDAHFSDSEAARMRDDEEPSVERAFEAHRSPEGDGRE VQTLDQVGVGNGADDGGSCGGKGGGDKGGGDKGGEANEAHEAMDEVPDLPNKKHDGEA ASTTAHGVARLGPPDRADSMTWSDRDWADSENESDAERPPKKGGKADEHDPAGTGEQG RQKERASASSWNWAEKIVGKGVGSNWAKSPKADDAKQAAG UV8b_04391 MPSPPGVTLLKRSATDAYRRPVNCLAPDEHYDATPRRYSTRDRS PAYGRTARVLLEYSGHSSATSGLAYGDDDDDDDADHIEVVEEVEEEPASYRNRRQPSR DEYMDSSLDRRYRRRRRRAYAEDDSGSRKHYTASDAGSATFESSRTLDQSVCSRQGPR RYVPDVIEDSRPPVSGKRPLMHRRYRSAEVIQLDQPKTRRSSTVGSSRSRAGSVSGHP AGFIGSIFGAPPRRQDIVRETRSHRKRVECVICMGDVPAAKASKLKCGHSMCRPCLER IFKLSITDPQHMPPRCCSQDHIPLKHVDTLFDPSFKKTWNRKFAEFSTKNRIYCPSRK CGEWIKPANIRPTVDLLAQAKEEGWKRCYKCRALVELKEGCNHMTCRCGAQFCMICGV KWKNCDCPWFNDDDRRGDFPEDTDVPVPNIRGDLGDVYRGNGPPSAVEIRGHTGLGQT TRMPIRRRPRTYQEEMHMRRVQESQDADMARHLQYEYDDDYYDEHDQGLMGGVGDIHN TSNAAGHYINEGYRHDGGRYRSSRPRAYDRTDHGDAYRSRGSRVETSRERRLADRLAE GRSGMGSPPATGPIYPVGMMRAVPPAPPPPPPPPPAAPAPPQGHARTLRHHGLEGEMY NTSAYTPRSERAVVARIPRDYEDEKDIHSPPRSRRGQREHRLRSSDLAGLNGAGVGMD RVSQWRTFVEPGVPDGESTLGHA UV8b_04392 MSKTGGIDAEASELRQRHTTDSSPLPTSGENLESEHGSDHGSGH AKIKRGSKTYGRTPDGTVFVVPTTHDMVSQLLDPRQPKNLSDAIVLAILASMIATAYL LPAEWKRFVFALTFLFWRGCYNIGIGVLLHVQSHHRRLITWAKRWKLFEDPKTGQNPR PWLYNLIKRELEAKIPEDYKFEDAPLEYNTWLVFRRFVDLILMCDFVSYCLFAIVCGR TPQGEHALIGIARWATGIALVGFNLWVKLDAHRVVKDFAWYWGDFFYLIDQDLTFDGV FEMAPHPMYSIGYAGYYGISMMAASYEVLFISIVAHAAQFAFLVTVENPHIEKTYNPP LPRRRDLSRLQSDPTESLDVVDSSSDDRLATGPTSPQESLIPVHNMVGFRNMDLFRVP DSVVVLLPLYVALLALATPKSPVWQAFFVAHALLWRAWYHLGLGIILDRQSKTKMWTR HFLKYGESAGEAWRQWKGMHHISMIMCNASFVAACYKMYSLPQDWNYGLALLKHVLGG GLIALQLWTALSVYESLGEFGWFCGDFFWDQKAKLTYTSIYRFLNNPERIFGTAGVWG GALITWSRSIFIMAIVTQLLTLFYISYIERPHMQKVYGRGLRGDAGLTKFIKRSLPVP IKGWQESVDKVMDETTQFVEDFIDSARPKFASGVKSIVRDTSALFNIAPARLTLTKIS PDLTGFDSKLYSLLIDGTQLQQSSINDRATGKESLSGRFPKPVKTMVYEYGAPLKVNW RAPANHSKSDWIGLYMVTDNRSRDTTEVPSLGRWAPTNEGCYDALTADSSIISSEHPS PKGDPSEPGMVEGQVLFQGDKLWWTQGVFEFRYHHNGKHNVMAISEPFEIRISQYSGD YVSLESKASYAQAVESAILPVVQNCLDRDPDIAPSSVDEPFGSHVERDGKYAKRIVYA IREIFGIEFAPAVVLADGNVRKLAWRICNAKEVLAPYSMSHSKGTSTPAAQEFDKHAA UV8b_04393 MATPAPPKPKHDWADDDDIEEPSIDLPPPQTISNKDGTKTIITF RYNDQGQKVKTTRRVRYVTHKETVNPRVADRKTWSKFGLSAKDGAGPAPDTTSVGENI IFRPSINWRKDAKDDSQDPNSQSMKDKLKDKKVKCRICNGEHFTARCPYKDTMAPIGE TAAADAAAGGGEEPGASGAGAGGAKKGSYVPPALRGDRAGAAGERMGGSKFGERDDFA TLRVTNVSEMAEEGELRDMFERFGRVTRVFLAKDRETGMAKGFAFISFADRDDAVKAC NKMDGFGFKHLILRVEFAKKAQ UV8b_04394 MATKASKAFNLRLSNSLTINHSSSKATISQGRRWVTPTSTKDLI LRAKVHILRKDNTGLLRDSMDPLRANTVQATVLAMFHKSDEIISRAS UV8b_04395 MSSPIPEGSELSHRIWSAASQHVAVFARATSTSSLPSPSPTSPA NPNDKQGLGIVNFLTALGVAVAIFSAQLTLFALLRNKLARIFKPKTYLVPERERTDSP PRNLIPMIRTLVLYRDRDVINKCGLDAYFFLRYLKTLLVIFIPICLVVLPILIPINYV GGRGHNIDFDGRNRSSSAQSSQTPTGLDTLAWGNISPQKTSRYTAHLVMAILVVIWVC TVFFFELRVYIKIRQDYLTSAEHRLRASATTVLVNSIPKKWLSEEALRGLFDVFPGGI RNIWLNRDLTLLLDKISHRDNIHKQLESAETELIQTVKKAQLKQQKAQEKKTRRTLHL GALTKKEQAARDAEKDAEADRSARVESGTDAGQSQDVPHTIDAGVRESRMDSRGRDHS REHSSKSTEERSTPLRTVGAGLKGVAGAAGKASRGLNDAIATSNGFMELNPAAPVSHN QNTSSPPAVNSLDMPRSNSPEGSLLTASSQKATKRPHGAGHSMENTVRKLDNIEDMYV NESPKFWQFWKPPPGSYASPVPQGDGAASDDAKDASVWKQLKNAVPFLGSKEEEFKYD EAYESEYKIDMDTDAVWTRYIKKGRRPTHRLPLFGVDWLFGVPLISKKVDTIYWCRQE LARLNLEIEVDQKHPERFPLMNSAFIQFNHQIAAHMACQSIIHHLPKHMAPRMNEISP RDVQWGNMAIGWWQEWVRTFVVVAIVMAMCILWSVPVGWTAALGQADSLLRTGDWFKS IEKSKALANIVKAISGVLPAAILALLLFLVPLIFGFLAGFQGAKTGSQKSEFVQIYYF VFLFVQVFLVVSIASFVFKTLGQFVDNVKELSSVTYVLNILAKNLPSAAIYFFSYMIL QALSTSSGTLLQVGTLFMWFIMAPVLDSTARNKWTRNTSLNQVNWGAVFPVYTNFACI GIIYCVIAPLISIFAVITFSLLWLAQRYAMLYVNRFEVDTGGVLYPRAINQTFTGLYF MELCLAGLFLIVVDGNGNRTCTIQGVIMIVVCILTVWYQVMLNKAFSPLFRYLPTTFE DEAVLRDEAFQRAQDIRFGLVQEEDGTCADADADADVQEVDKAPKISVDNKDVESNDL EKDRPDGSHQLFKPVRQVGTWAKAGGRQVETWARGGGHQLRKLKEMNDNSKAAQYRRQ RRKKDLEAQRAIGAALYGGMHDDIEDLTPSERDALTQHAFLHFALRARRPTVWIPRDD VGVSDDEIRRTHAFSKHIWISNDGTALDSKTRVVYGKNPPDFSEVDIINL UV8b_04396 MSSNLQVSNRPEPPKPPPQAPSAYFPLGYKDAVYQWWTSVTPPL AERSVLSLMPYVKEAVDGLAASAASPATRKPDPFGTRTWRQSLVQLSGRNRALNEICI QRHGEDVEETLVMLHGYGAGLGFFYKNFEPITRLPGLRLYALDMLGMGNSSRPPFKIH ARDKQDKVTEAEDWFVDALEEWRKARKIERFTLLGHSLGGYLAVSYALKYPGHLNKLL LASPVGIPENPYAVNASLPEPPESTFENEVLQDQATATATASRRAHASASNPNHVAAQ GQPNAPQRPLPSWLVWLWDANVSPFSIVRMAGPLGPRFVSGWSSRRFSHLPADEAKAL HDYSFSIFKQKGSGEYALAYILAPGAYARRPVINRIHQVGRQPIRQPDGAEAAVRETG IPVVFMYGENDWMDVAGGLAAEQKLNEARAKALLHGTPQEQRDEKGSVRVLVVPGAGH HLYLDNPDHFNEIVRKELEETMAEGRQQRLTT UV8b_04397 MTDDIDSIVKGAPVGTPRLKHPPAGPSPTTASGKQGDPLSHAPS SPAMAYLNLLILEASLRAQFLELRARKRHHTFFLALLTLWVAFFGYKLYFAPREDGRG VGGSIYWALEGAQKVCFMGGIITAILVWGTGIWDRGIRWPRRWFAVSNRGLRGFNCKL VVVPRPWWAEALSTLGFFLTYGLFSHTASSSYRHVQPCLLREAEKELQRNGPGQGHPG HHPTLVLPPHDDEERGGHEEDLAPGGDYVKLLLLPKPFSPTFRENWEVYRTEYWEREN ERRALLRRKVKELDRKLAKEAFGWSWWLPWRRTPPERKPTPPRTLGRHPSGDKGRRQH SGSNSSSARRPSVSSLSRSQAQAQAQTQTQAQTPTPTPTPTPAALDVDDGQMTPRRPG DSPSEKRRKKPSLSKARRPGTESRSVTPEAPSPLSKEAATATDAGPAQGSSERALTSP GPGQQPAASHSPSPKRPSMHQT UV8b_04398 MLRRLVTLSLLLPLGWGRPNAPGVTVNADARVSVAAVSDGFSRC LFYDDFSRAKGKFPDPSKWKYDLGTSYPGGPKHWGTWEIETYTDDPQNLYITHDDTLR IVPVRGPNDTWTSARIETTPEWDTACEPGHRLRVEAKIKLGHNSKQHKLGLWYAFWAL GSAFRGHYWSWPSVGEIDILESINGEPQIWQTAHCGSNPGGPCNESDGLGNVTATDVR GVWHTYAWEMNRQASHLGWQHEHMTWFLDGRPTWSLFGRDVGDEDAWEAMSAGSKMIL LNVAVGGGFPDGVAGFKTPTNETRGGNGAGMEVDYVAVYSDV UV8b_04399 MPPGLYREVVSHKYRFYYLFHLTSILRATLMILQLLIGAALTAL GSMSLEDGRPITVLGAVNTVIAGLLALLHNSGLPDRYRSDMAGFEEIEDRIKEFLDSG IALVDQKPEQVLAECFDLYRHAKSTVTVNMPSNYASRQMLQSIQKNVAATHPAGAGSN SSPIKGPGEQDSGKANDLQYTHQYRRHLARTCHFSHWLCYMYAWPSSIVYRSWLFEVT FPFELNWLTTWFS UV8b_04400 MAADKPAAPPTVERDGKLFRSVREGKAAILVPQDAAAAENTSEE QQVFYNPIQQYNRDLSTLAVKVYGETLLEKRRQAFKLKDSRQGKKRKRDQVEPDEAET AVDPQQADEQGAAATDAAENGGHAHKPPFKILDALSASGLRALRYALEIPFVTSVTAN DLSPSAADSIRQNAEYNEATDKLKVTNDDALALMYRSIAADLSKRDKRGNPSRSHKFD VIDLDPYGTAAPFFDAAIQAVRDDGGLLVVTCTDSAVWAGHSYCEKTFALYGGVPVKG MHSHEVALRLILNSVAASGARYGLAIEPLLSLSIDFYTKVFVKVTRSPSAVKFLGAKT MLVYSCDAGCGSWETQPILKSRPFPNKKGNGSFYKHTMAQGPSADRFCQHCGFKMHVS GPMYGGRIHSQDFIHRLLDEIPKASTETYGTLPRLEGMLRTALEEYLPGPELPTGVDP RDAEAAVVDHTPFFFIPGKVASVLSCSTPTDDMFRGALLHLGYQAGRSHCRPGSIKTD APWSTIWWILTEWIKQKSPVKVSKFKESMAAWKILQRAGIVGQQQKTLDGAQGHDAPE PGTNGASEMEADEAPPQRDRVDASPASGENGLGDGLLPTEEELRRTLVFDDALVKLGR QKGTRYMRYQVNPHKYWGPMTRAKG UV8b_04401 MAAVTRVERVQLHDETLSWTCSSEDKTDAATLDQVLFVLESPDP SHAAPTAAYVICCLKEDPEDSLRPYQLLLLSCETVPEKLRGGRRLVRRLPDHLRCTPR HQVDVLVSTKSGRGRAPAFWQHVLGPLLQVAGGRGSGRWNVVTTQDAHSVRRYAATLG GSSSSPSRCTVLLSGDGGLADLLNGRPPVPGPAGSGAAPLPLVVLLPLGTANALFHSL HRPVGLDSPATTPLVLALRTLFFGVAAELPVFRASFSPGAQIASHAPSLPRAAADADA DADAAPAASGQHADATCLYGAVVASYALHASIVYESDTPEHRVLGSQRFAVVAQRLLR ESHPYKASLHVRAASSPVLERDPHPTHGYVLVSMVSNLERTFTISPRARPLDGNLHLV RLGPLGEQRIMEVMMKAYDGGSHTDLQWDDGEKVRHDQVDEVEVVTEEEDARWRVFCV DGTIVHVPKGGSMTVRKADERLFRVLVDEAVEV UV8b_04402 MPANAIHANCLYALCCYAENGTRATDEALEFLYPLPKPEHLAAL QLIHTSSPWRFLCSFLLLAVFIVLQVPLHNASHEPSVVGSPRLLLPPNWFSAIRSAVA VSQSREFRDGNIRGDASWKAQLAQKTAYLTFAHKPIDFPSQETKGSKARFRFANGPDM LDRMLGIVAYLEAGVGGDGPRCWAQILNQHLDEGRLTGAVEPEDTDAVTHTYCQVDFT DSQGLAWTVAIGGIIERQQRSWKSQVWGLW UV8b_04403 MSVPTVPIALPADFLGLVERGVLALERAYGGGPQPLSSVEESQP PATTGDVAAGDGSSVSSVVVPLEVDDEPTPRPAAGGEPPRSEGVSPTWSETPAMPSSS ELQQAVAFLKFMKSQAESQPVASASGAPSTPPSSKPLPPAAQSAPPKQAKLVVPSLSS PRPRADLPPIVLR UV8b_04404 MTSMVSQSAPTILCTAKQTRFHTDSGNFRELDIAGLQIVATSGR DNRSSAASSKSKARSEGVEILTNARLLLKQGQRYALVGRNGTGKSTLLKAIAEKIIPG IPEGARIAILQQSILIEDDGQAKAAMACEQERNVLQEVIDRATARDTVEEEIKVLSDG VDALDPFSPVRALRLLKHDRLQKRLFHLHKEATLKSGARGMQARKTLAAFEKVVAESS TMINQPSDDIAPETLQAETQEAADERWSKPITSMSGGWHMRAALATALLQDSDILLLD EPTNFLDFLGVVWLQRYLQRLAESGKSPTVIIVSHDRDFISACTDLIILKERDLAYFH GTFAGIRSLPN UV8b_04405 MPKKRNHLRSFESCPVLSSPSSSSTPNAAGGKPPKTVNELLANL RHAAGRTLDPRLLAVTSPSVPPAIRELLQIPETTSPEPRRPVRQRFDSNGRRLPAGPA PPRSWVSTRPAQDSSPIESLSRSLRVSYSSLSQITLPGTSLPGPGSLIDIALRRLAVD WDFHRVYNQHHLYFVPNHLKSALIRYVGVASDGGASLADLKTILLPPDGIYRDGILPA REPRSNPEITNLDLSASIGRSLRLSEVLDLLFSETKRDDPPVEEPQDSWETDESSPSP PRLLLPNLTHLSLTLDPRSARDVSWKQLLTLSGKLRTITHLSLAYWPQPCLNPRAKYA TISSPQCRSIPYAGTNIYSHSLDHDWSEALLVLRMLSNSFYNLEFLDLTGCAAWFEAL RLQDAHDFVDWSGSWGKVTLLRLHVGWSPGADAMRSDKNAHAAAVDTAQGVEKHIRAM RAGKGRFIHVERDVSEDRVA UV8b_04406 MDRYNQESSTSSLTIDAPEASPLLSLFQPNNGLAASSSYTNDSN GFYPASGSIFTPDGRLRLGSNTTPSTGMAHVQLHNHRTTTLPHLGPHINSRDQFTSPV TPFPRRLSDHFSRTSPFPVSRRQPLNGYTPPTTRMESHQYTQRTGQNEVPPLLPIEML GNLQYMDPGMTAIKVDISGVIDKGPFLSSDREWTCYRRNYLACICSYTLSPNFTAVPI QFTPTTPSETSASQTYQVYGFAMCISAVVADNEQHNIELVQHTPKRDKGPISKPNKTP LAPKTTSTHGTLHLYGDGSGLGGARSLYSDGFGGQQQGVGVSVGVGVGQQMPTEHTFE RIQFKQATQNNGKRRAAQQYYHLVVELWADVGTQSTDQFVKVAFRKSAKMIVRGRSPG HYQNERRNSHGNGTSGAASSAGYRPMGPMGDFANGSGMAGTGLGYGSGYDSRGTNYNN VRHQEMSPESIFSSGDDKPSNKAYQYYTPTSYENHSDRVDLFGHRNAADSTLHSSTAT SGRKVKPELDFNLLPSPFSGGGARTDDHHHHHHHHHQQQQQQHRDRFDSRATTGGFYP SIVSPTGLGAI UV8b_04407 MMRTASRSWRFSSPISSPRPSRYAHSGCGARGKTRKQKAYIALG SNLGDRVAEIERACNELDRRGISVTRTSSLWETEPMYVLDQDRFINGACEVETDLEPL ALLDQLQSIENDMGRRKLVDKGPRNIDLDILLYGDETVHHDRLTVPHVGICEREFVLR PLSELVPFKLLPPPKPRKRIQDYLNELPVSEPLSSVTPIRGPSQMLTPLAHGRKTRVM TILNLTPDSFSDGGKHSLGQLRDTVLHHIRSGASIIDVGGQSTAPGRPEVSAEEEASR VIPAIQLIRSLPEARHVAISVDTYRSSVAERAVASGADMVNDVSAGLLDPDMLPTAAR LGTTICLMHMRGTPRNMMEFVNYDDGLIPGIASELSRRVAAAEAAGIRRWRIVLDPGV GFSKTGRQNAEVLRRLDELRTWPGLMNLPWLVGSSRKSFIGGVTGEKEPSERKWGTAA TVAAAVRGGADVVRVHDTAEMGKVTAMADAIWRP UV8b_04408 MASPQQIRTPITDLFKIQHPVLLAGMNVAAGPKLAAAVTNAGGL GVVGGVGYTPDMLREQIAELKEHLTDKKAPFGVDLLLPQVGGSARKTNYDYTKGKLDE LVDIVIESGAKLFVSAVGVPPRHVVQKLQKAGILYMNMIGHVKHVKKCLDLGVDIICA QGGEGGGHTGDIPTTVLIPAVVDAVRGHKSPVSGGPVQVVAAGGIHNGQLLASALMMG ATGVWVGTRFILTDEAGAPKAHKDAVRTAGHDDNVRTIIFTGRPMRVRNNPYIQDWET NRTQEMKELVAKGTIPYEVDLERFMGGDAANEHKGIEANNSSSSSGGGDDDDDEGDDP LEQFRPFLMGKCAAVVNEQKSAKAVVDEFVNDAVAWIKKGNQMIAKL UV8b_04409 MSGLATKQQSLKIFEKLKSKPANKICFDCGQKNPTWTSVPFGIY LCLDCSSNHRNLGVHISFVRSTNLDQWQWDQLRVMKVGGNESATKFFQQNGGTAALNS KDPKTKYQSNAATKYKDELKRRAARDAKEYPDEVVVSDAADDGSSTPAGEPDDDFFSS WDKPAIKRPTPPISRTGTPTVVGRTPSPFLNPGNGKEGTRSASPLARSDPTGEAKPAS RITTSAALRKTAATGAPRKANVLGAKKTQKLGAKKVVGEVIDFDEAEKKAKEEAERIA KLGYDPEAEDEPARDAHGAATIMSPTPVSPPRNSAANLNASQKSNAEVERLGMGIGRL GFGQVGSAKPTAQAASKKNAGGFGSVGPVKAVQDDSEKYARTKFGSQKGISSDEYFGK GAFDPSVQAEAESRLRGFEGSTSISSNAYFGRPEEDVDEDYGDLESAAKDFVRKFGIT ASDDLENLTNFLGEGTTRLQGAIRAYLGS UV8b_04410 MPLMKRPCTRMLAVRPATNSILAIKSRCAADPRRLFATQKGPAP RETPGVKRRSVTPFNDDGYVPWTELSAAEKTARATQQTFNLGLVLVGLALTGAVGYFL WTDVFAPDSKINQFNRAVDRIKKDARCAQVLGDPRKIVAHGEETLNKWRRARPVASSE RSDSQGHDHLLMSFHVDGPLRSGIAQLHMVKRRGQSEYEYKYLFVDVKGHERIYLENA DSSGARPKRQFNFLGVKW UV8b_04411 MAPVPVSRLGPSTTLRNAFFVCASREQTVEPSSRRAVKPSSRQA VEPTPRSSSRRAPPPRPTSLSLRQIAMHPPTAQASALRPYRIPPRKNVGAIAPELPPV GFRADTTAGMIDPLAWQTTRRAYAAHVRRSVERQHLMI UV8b_04412 MHQLILARSPGQTLACSKTKHTSPGAAGCFMTPWGPDSYEKQIP RKEHRRRACVPRTPVRPVQSSIDRYTYSPPRSSP UV8b_04413 MQPSLPAFHFSNLLSPLSQAAQPDPEASKGDAAMASVSVTVIKP NGPLPGAQASPSSNELPRPYKCPLCDKAFHRLEHQTRHIRTHTGEKPHACQFPGCSKK FSRSDELTRHSRIHNNPNSRRGNKGQHHPHHPHHAHHPHHQHHQALPPHMLHEGMMAP PPAPKTIRSAPTSTLASPNVSPPHSFASFAQHPHHNVHSSYSRAGDTSMLAKAATQVE RETPAAPVSRGPRQYFGHGLHSSRGHPNGLSSYHMARFHSNDDHDDHYNGALRHAKRS RPNSPNSTAPSSPTFSHDSLSPTPDHTPIATPAHSPRLRPFSGYELPSIRNLSLAHNT TPALAPMEPHLDAPPPFTPQLNAPRSHGISLTDIISRPDGAQRKLPVPQVPKVAVHDL LTETGFSNSGRSSATGSLAGGDLMDRV UV8b_04414 MWEMDYHSIHGHDLCSFDAPGTHRLPTVQASQALDGLQDGSGLY ISTGLEELDAALAAPSPSACHDAASPGGVKRGQVTEIWGPPGTGKTAIALQLAISSIR NASNVVWIDCFHELQAARLRQVLASAHVAVDDAEQGDRHSGLACSKFRQVSCLSLPHL MALLSRPHAKVMPHSVALVVLSNPSSLLNSWLPKTADGHAASLKPSKGPAASTRRRQG LQFVINALQKLAAARNCAVVVLTQCATRMQSEHGAALVPAVNATVWDHGVSTRLVTFR DWARRDGKLVGIFLAGLQKLDGTPSPETLDGVAAFCVDSAGVAKVDYDAADIPAGRGD VFQHKRKLGQTDLEVPDSEEDEDYGWAGDDEANLPAPPPQWQGSEDVLLGQELGQSDD DDGRDYGDGGRDYEDDGRDYEDGGSDYKDGGRDCEGGGSDYKDGGRDCEGGGSDYKDG GRDCEDDDGDSMSSAEGNQ UV8b_04415 MSVEHANGSTAAPAEETKKPPFSWLQPHPIFVILLVGPDEKPFG IQKDFLCHRSEFYGRYFAEQGADEAVEHVVRLADTTPETFGLAQSYLFTGDVVVPRDE AVPPYESLVGLWSLGHRLGIDGLCEKTLEAMAECRRRTERIPSTPLLVRVWRDTPEGS SIRTLLLSWAAEYMRSSAARAEFAKSLPQEVLSELVVAMSSFETAAQPRPSDSESPSR SLAPDVPRKNVHYLDNLSDDDNDEDEDEDNEDDDGNDDGNDDKGPSIMRKNRRLSGPP TLAARGQEPTLGRKGQMTPLPKLQKRRAGAACVDGRTFTAAQKLDFCSDLLSRMLSGP GFWTRLVGPFRDPVEPSEDGVPDYLDKVKKPMDLSTMKSKMDRREYTGEEDFLADMRQ IFENCFTYWKRGDPMWLAGEKLQKTFEEKFLHMNKWISKMGGDEGE UV8b_04416 MLFHTVASVALLAAAAHAAADEVKRQPYRLAVMALPGQSLMRRT TYGYNPDQQKCKAGNSCAEACGAGFAQCAGGTTDVAHCFNPGAGETCCTDGSGNSCEA GYYCTHDSKQTWCCPGTLDLAACAAAYHVSGMVAATSSPSTSTTSPSTSRALTTTSIS LAVSSSSSSSSSSSSSSSSSSTTTTTAKTSTLGSSSGPPSTTSLPVLTAIGAQNTTTI GTASTGQTTTRVGFNSTIASPLPTQPQVSASTVTVVPPSSTASPPRASSTSANDAAAS GVSAILLVAAGVVALL UV8b_04417 MPAKPFTPTDNEAFNQAVAAANKFSDEGVQVSKDDQLRLYGLFK FSIGEEAPKGGLFDPTRRYMYDAYKKVVDEGKTVKEAQDEYVALVDKLKASGDKA UV8b_04418 MPPRIEAKEVETYWNIFSDRTGGGQFLSGEQAAPVLKNSGLRDD QLERVWDLADVDNDGNLDFEEFCVAMRVIFDILNGEYADVPKTLPDWLVPESKAHLVQ ATRAIAGKQPQFERVEDDSDDLGLKDGFDWYMKPQDKSKYEQIYQENRDMRGEVSFTA LEELYESLDVPDTDVRSAWNLVNPSAGSTINKDACLALLHILNYRHQGFRIPRSIPAS LRSSFERNQIDYQVDNQRTGAASRWAAKADDSTSTGRKTKFGEQYLTRLGRSGFKTGG TDFATEKTEDWEEVRLTRQLADLDARIQRVEELAEQRKGGKRDSKPALVKRELTQLLD YKRKELRDLEEGRGKSAAGSSLKSVSEDLQTVREQVQGLESHLSSRQAVLDDLRRQID AEKV UV8b_04419 MSSTTVTLTETRPQPMTADTILRLFPDIDTSSEALSGHDEEQIR LMDEVCIVLDDNDVPVGKASKKLCHLMSNIDKGLLHRAFSVFLFNSKNELLLQQRASE KITFPDMWTNTCCSHPLAVSGETGSTLPDAVEGVKRAAQRKLEHELGIKKQQVPLEKF RFLTRIHYKAPSDGQWGEHEIDYILFIKTEVDLDINRNEVQATQYVGADELKKLFQDP SLKFTPWFKLICHSMLFEWWENLDSGLEKYTDEQQIRRM UV8b_04420 MAQVNHVENHLESDIHLSLIPSSWGSQVRELHMSDCHDAGLALA HSFATDPLSLYLLGVDGAASWSSEKLWKLHVRLMKYSYASYKLRGVATSIGPDYDAVA LWMPPGTTNDDWIATLWSGIWRLWYLLPREGRKRFFDEVFPILHDTKAEIMGNRTDDC YYLGYIGTKASARGRGYATKLLDHMIYKADEDNRAIYLESSHVRNNKFYAKFGFEIKK EIVLTRGPRPIRLYCMVREPQNDKSSTSVADLGTDKE UV8b_04421 MSAYAGYHKTGYGAQGGDDSGGFFAGGSQQGSQGASGKAYQDES LRPVTIKQILEAEEAFAGADFKIDGVAVTQITFVGQIRSVNPQPTNITLKIDDGTGQI EVKKWIDVDKQDDSNVEYEHDAHVRVWGRLKSFSNKRHVGAHVIRPVADFNEVNYHLL EATYVHLFFARGPLGQSGAAGANGDSMFVDGAGPGPGPGPGGANDGQMPSKLSGCSAV AKKMFNFMNSAPGGNEGFHLNIITKSASMTVREVLTGADELLGQGLIYTTVDDETWAI LEY UV8b_04422 MGRSRTATLPIIPLARASVLLPGLIQRISVNSSRPDIPALLAHV YEQAAARGPDGRIDAIPIACVPLSSPFVGPAGQLLINNGEEIDASQLDEVNPGNANKS DIFGFGVAAKIIGIDGRGAGEFALRVEGTSRVRVDNVVRDRPFFQGRVTYYSDEIDVA DKQLQDLFGLLKAQSRELVTILRISSLLPRTKGGPTLSPGVTKRLELLIMRREIKEAG LLADFMSNLVEASHEEKLGVLASLDVKVRITKVLELLERQVGGIKNNFKITTFTSVPV KIIDGLNDNPSKRNGVGLPIPGMNFLPLSGQMPAGNDQGDEQEAGEMDELKKKLQRAQ LPPDVAKAVDRELRRLQKMMPMNQEYQVTRNWLETLSEIPWSAMTEDRLGPDTLTKAR KQLDDDHYGLDKVKKRLIEYLAVLRLKQSLNDDVEEQIRKAEAESSAAAAHAVDNGNN GQPPADDAASSPEHGAKVQMLQSKMMAVGKSPIMLLIGPPGVGKTSLAKSIATALGRK FHRISLGGVRDEAEIRGHRRTYVAAMPGLIVQGLRKVGVANPVFLLDEMDKIGMASVH GDPSAAMLEVLDPEQNHTFQDHYVGMPIDLSRILFIATANNLDTIPAPLLDRMEMIHL PGYTTLEKRHIAMQHLVPKQIRANGLAEAQVTFNQDVVGKIIESYTRESGVRNLEREI GSVCRAKAVEFAEARDGGRVESYRSVLTVDDVESILGIEKFEEEIAEKSSRPGIVTGL VAYSMGGNGSILFIEVADMPGNGRVQLTGKLGDVLKESVEVALTWVKAHAYELGLTAE PTTDIMKDRSIHVHCPSGAVPKDGPSSGIGQAIALISLFSGKPVPPTMAMTGEISLRG RVTAVGGITEKLIGALRAGVKTVLLPAQNRKDVKDLPQEVKDGLQIIHVSHIWEAIRI VWPDSHWAADGNFSGLESRL UV8b_04423 MLPSSAYSCRNPPSEDEYKYDRSFSVLHEPVGLDRLHTPTYQQL DEKSLFFDSAQLWLGRFQVTATVCVAVSQDRRRLTLAGRDVSTRLCYNLFPLLDRSKG DGHPQPDLTVCLPATLAMASDEDTVSRRRNKEKAIGSITCRPRQMPCFGG UV8b_04424 MEPPYGYRGSEDHSFSPSLYSPRDSSGLSHDLSPQSYMATPGSS SFAGQQVAGGGSNAVENQSMNSPKSLRKSKGHVASACVPCKRAHLRCDAQRPCSRCLS NGKDDACVDVQHKKRGRPRLRDDRDTRFDPIRPTISRDASPRRALSLHPSSSTGSLFD EHYHRHQSFRPAEIPTTNCFSGRHGDRASSSESTTYTTPLSASGEPPEPVAYLNMDLE FVKASPTFWDILGLPSMVGRSVADIVLPAEFEKVSRIRTHLNSEQKRREPNYLPPILG HGFQSIQGLGFSFEDFGRFPLNFHDHLAFVGANGYVRPTPIRAGLAKEGSFYFVVLLL VSTSRQPQEASPVLGSLGAHAGSSYQRSSSDVKIGQRAPFDPIRYRPGESPYPASLSL GSSGHVSRPPNLGDQNYTQAGRPAEGPLERESYSSSPFRVTQQEPSGAGNSNPRQSLQ LPPIRSPSLQADRLASTGSSAWKSQERSSRVDIGGLIHRPGELKRLGEEGR UV8b_04425 MDQMDVDSPRGTKRKADDVPDAPQPPRRIRALDADVVNKIAAGE IIVAPVHALKELVENAVDAGATALEILVKDGGLKLLQITDNGCGIEKEDLSILCERHT TSKITAFEDLDSIQTYGFRGEALASISHIAHLSVTTKTKDSPLAWRAHYLDGKIVAPK PGQPAEPKAIAARPGTQITVEDLFFNIPTRRKAFRSHADEFNKIIDMVGRYSVHCKGV GFTCKKAGEASNSLSVQSQATTVDRIRQIYGSSVTDELLDFAASDGRWGFKAHVLATN ANYNIKKTVLLLFINNRSVESTNVKKALEQSYANFLPKGGRPFVYLSLEIDPARVDVN VHPTKREVHFLNQDEILQAICAELESQLANIDQSRTFMTQTLLPGAKPVSEDVMDEDD APPTVKSSGKKRRNSNDLVRTDTNVRKITTMLQYSDGGQGSKDKGVDADADPDADEPL SVPETIEYELNDRDMVSYQLKSLKELRKAVREDTHHELTEIFSTHTFVGIVDDTRRLA AIQGGVKLYLIDYGHVCFEYFYQLGLTNFGNFGVIQFSPALDMKELLRVAAEEEKKAL GVSTEDEFPLEAIVDRVVNQLVERREMLHEYFSLEISPTGELLSIPLMVQGYTPPISK LPMFLLRLGPNVTWDEEMACFDTFLRELATFYVPEQLPTPPAPGNHGNAGGADVSKEV KKRRQHVRWAVEHIFFPAFKAKLTATKGLADGAILEVANLKGLYRVFERC UV8b_04426 MGKSRRNRAGASQRRDPIAKPVKPPSDPELAALRETSILPVVNS LKNADPKSRTAAATAVSNLIHDSRCRKLLLREHIVHTVLTQTLTDAAPESRAAGWGIL RVIAEHEEPDFCVHLYRSDVLTAVEHAVKSVGGALASSSSSSSSAHPGPAQLPLKAER ALVVSVAASLVALLTALAEATDEALQAISASRAITDFLFRLVACGSRAGPDAAAAAAA GLSNLRGDALACLMILTEDNQPLARSVVSDPERYQALLEVKDEATGDGVLACATLHNV FAALGSLGDAPHVPGADDSSLIPTLAKVVARVQAGQGAADQSGGGGGSGGGWSSAVEQ QQLALETLASIGTALLHADASSATPAGESETAEPKADEDMGDADGEAPAASDDDASDA EDDDEDDDDGMDQDELDADMDMVTGADDPRDDGNLDDMPVLKALLQTALPELLRIATF QPADDDSLRLQAHALSALNNIAWSVSLVDFSDDLNGGIQKAWLPVGHALWARVIAPTL ASDTADISLATTVTSLAWAVSRTLGGQLPAPLEAADPPHRKFISLYQATRQLPEDTAA AAAAAADPFQRLGVKCVGVLGQLAMHPAPAPRNREIGAFLMALLAGLPDTPAPDAVEA LMQVYDVYGDEQLPCDRDVFWRDGFLDRLEAAAPRVRAMVKGVDGKTQPELKARAAEA LLNLERFVAYKKKNRPREDGS UV8b_04427 MTSSRGWRPWRDDEEMAKKDDDLALPRRSSRLAHAAACWKAARV PRRAWVKRVVVYALVILAMVYLFSRDASSELDPYFEASQGFYKQQFDPDRLAEAANRL SAPSRSRHAAKEKKKTMKTMKHGQKERPGSGVAAPGLGEPQPQPQPQRGADSSPDGDL TKTLPWYNGPVEYPHLAESLGAIRSTGGAMLANRNVLFAAASLKSASSLLPMACEMAK ERQNYVHFAFVGKADMALQDLVDINGIGKSCPLILHDARPDNFSISTPYRTSVAVTKA FYFIDAFMHPQAIIIDSSSAEEDYFLAPARDQILATQSALIELPERSRNSLSWISKLD SAALSAWNKPRFDILIYATPNRTGNLKRLLQSISNADLAGIQTPSITIELPHVVDAPL ESFLASFQWPRPTPYRGQQPQMISLRRRITRQRLDEEDSSVRFMESFWPTDPSNSHVL VLSPNTEVSTQFFQYVKYSLLHHLHSKTALVNDDQEYLMGLSLSVPPTLIDGTSAFAL PSPLGHQQGKPGQTAFLWQRPNSEATIFLGKKWIELHGFVAETLYRRRSMSDPPALLA QKESGKMYPAWLEYALLLARSRGYLTLYPSQPTARAIIGVHSDVPSPPEEYELDAGNR AAAPRTKKSGDEGNKYFEPASPVDTLETLPDNGQLPWPGELPLLAWDGKPKTAGEFRE DAQEFRALFRREVGGCGKKEAAGDNDAARRTAAEDLFCEGSSKQG UV8b_04428 MRTATSRPPVIRIANATFYRHHPSSRDPSLNPPLFKGLTFDLPS WSPQPRNWCVVGPSLSGKTTFLQILAGRLLCEPPTARSYPRLREDDAPSRSRSLQRAI QFVGFDAEASRTGGLGGGGGGTVSAYLSARYESRREMTDFSLRDFLAGNTELNPLGGR PGGGQQDIGEGSASALNQVAEDLRLEHLLDLPVTCLSNGQGRRARIARALLARPEVLL LDEPFMGLDPRTAQALGPLLQSLADKASPRLVLSARPQDPLPAWITHLIYLRSDCQVG SAGPKDEVLEGLRKYVRGVQLGGLVEDDGLPVHAASAVGGGSSAPLGPKAQAGCRPAP RKQQQTAAGSLSPVNPHAEALVEMDGCQVRYGDKIVLGNWSQQTEKGRKDGLIWTVRR GERWGVFGPNGSGKTTIAALLGSDHPQAYSLPIKLFGRSRLPEAGSGSGSATTTRKPL TFWDVQSRIGHSSPELHRHMPRSHSIRRVVENAWAATLGGAPAALDGPARQKVDAALR WFAPELQPRRRGGCSPSSSSSSSSSPDEDNLAWADEHTFGESSFSAQRVALLLRAVIK SPDVVVLDEALSGMDAAVRAKCALFLADGQRSRICRGGGAAEESEQSKRGAVVVEGLS ERQALVCVAHVRDEVPDCVREELILAADVQQIFSRYSADVQQIFSRCSADIQQMFSRY SADVQQIFSRCSADIQQMSSRYSADVQQIFSRCSADIQQMFSRCPADIQQISSRYPAD IQQISSRYPADIQQTSSNVSRVEKLPKQTRQVDGAPGPRLKSRRRGVGSSRPWRRAGN ARNSPEPRPPHRQPQPMANTTHHDHHHFQHQHQHQHHHHHHHHHHHHHVPPLDDEALT PRAPPAFLARPLLGPLVFENEASDARDHCANERTFLSYLRLAVFMAVLSVAITLSFHL KHRATELERRVAKPLGAVFWLLSVAMLALGLANYVGTVNKYGRRAAIVQSGWRTQLIL GSVAVCIIATCVVLLVTTRLDQPEARRLPSRLPSFVLL UV8b_04429 MSMRIVPSHSNADSFAHTSHTSSAPSAPGIHDTLRHGVGPSVYD AQASKPSSAHPLEARLKNWEATQEAVRMETLRRTFGIAEPVRRGMELKIVRDGEWRPL ALGTSLPSVHEDILRGKEDMITWEDVFAGDETRGMAGFHDEMEKKLKMH UV8b_04430 MDSTMSGGNDGKSTPLLGHQSQSDSVGEVLLMENMPPIPTATAT GDKWTACTPADASNDTEKDAATAEQPPAAVDAAPATNLERTAAKDQGAAEAVAKGKEK EHMAPAPPAKERRNSVLAIGPAQDDITTTPDPCDGPVCNITLLLTSGSRHPYKIDGKY LTRRNVVIPDATESGLPDPFSISIYTLKELILREWRSDWEAKPASPSSIRLIHFGKLL DDKEPLKKYQLSTENPNVVHMSIRPQDLDEEEPKSGSKSLAGGGSDGQRSRSNGRCCV IL UV8b_04431 MFLAILQKATQVGLDLSSRAWLACGGDGKSKIDTSSHHRSATLQ KDRPGRIAIDYTIDDTQPPPVLGDPVPTMSAKDGGGDSKLFARGKVAELRLELNSGGK KDKNYSIKKVALKRIVANMTMSNNDMVALFPDIIACMHIPSLEIKKMCFLYLVNYARV RPEIAIKAIPVLENDVEDQNPLVRALALRTMSYIHVKEFVEATVPLVKDTLRDNDPYV RKTAAFCVAKLYDHDRQMVERSDLIDRLNSLLRDDNPTVVASALASLMDIWERSDAIK LTIDYSNASKMVAILPDCSEWGQTYILEALMPYVPQETGEAALLAERIAPRLSHSNSS VVLTCIRVILYLLNYIADEKQVTALCKKLSPPLVTLLAKGPEVQYLALRNALLILQRR PEVLKNDIRVFFCKYNDPIYVKVTKLELIFMLASEKNIDEVLTELREYATEIDVHFVR KAVRAIGKLAIKIEPAARRCIDLLLELVSTKITYIVQEATVVIKNIFRRYPNQYESII GTLCEHLDSLDEPEAKAAMVWVIGQYADRIENSDALLEDFLYSFAEEPVEVQLALLTA TVKLFIQRPTRAQELVPKVLKWATEETDNPDLRDRAYMYWRLLSTDMKAAKTIVMGEK PPITAESERLEASTLEEMCLNVGTLATIYLKPVQTVFRSARPRKLQDSPALQKQNLPV DGDSQKSLSMFGHGGQPSNMDLRSRSALAPDGEGNISQAANDADAYFSSIGAQQMAAM QLDQGEDLFGGGGGHATGYVVSAHAPQAVLQPAQGGGSNGDLLML UV8b_04432 MSAATTLTTPDWVAQLRSPPAAKSKVPGIPDPVGFSPSLSGPKK HGKDGKSQPRKAPSGDEMETLKVKKAWEVALAPVKALPMTAIMMYMSGNSLQIFSIMM VFMAFKNPIAGLMATNQAFERFQSEKNAAQIMQTKLVYIAMQLVALGVGVWKVNSMGL LPTTRSDWLMWESLRESVDTVITAL UV8b_04433 MAFSAICRTPSTDLTAEFNDLLGQTGAPTATGKLALDVNVLEGF LKEAYRINSHIASLHKELKDVRQAYLSTAQPRRTRAHSAHGQPQALTDREREDVDANA KQMIRELNASIRGLGDAEQLRRETQSAIIRKKFASGLNALSSWAAGGGAAKKTAEHAA AEAQAQQTDIHRDGILWFLRQRLELCCRTQQDMMETRLVREMDKSRGMLVPPAADFAA FPPASGKSGKSEKKSWQASTASGRESNDAGAFTQGLTDEQVQMFEEDNQDMMKYYETT LDKIGTAEKSLVEISELQSLLVNNLAIQSAHIEQLVSDSLSATDNIGGGNKELKKATQ RPSAARYTFFAASGLCAFLVIWDLIV UV8b_04434 MAPPSSSPSSLERLNTIANHLSPTMASTNFPAATVPQAPEDPLF GLARAYKADNSPTKVDLGIGAYRDDDAKPWVLPVVKKADDILRNNPELNHEYAPIAGV PDFTSKAAELILGADSPALKEKRTASLQTISGTGAVHLGALFLAKFYTGNRTVYLSNP TWANHKQIFGNVGLKVADYPYFSKKTNGLDFEGMKAAIQAAPDRSIILLHACAHNPTG VDPSLDQWKELAVIIAQKKHFPFFDCAYQGFASGNLAQDASAIRYFIEQGFETVVCQS FAKNFGLYGERAGCFHAVTSPGPDATSTISRIGSQLAILQRSEISNPPLYGARIVATV LGDAALFAEWEENLRTMSGRILSMRDELRSRLESLGTPGTWNHITDQIGMFSFTGLSE SQVERMRNEYHIYMTKNGRVSMAGLNTKNIHHVADAIDKVVREAS UV8b_04435 MARKLPWKKSPENSGTLAKQAPKSETISKPSTPVTPVFTPSLGH ERGARSHQPGAGSGGLRSPSTSPPPEPPPQQFMIPGPQNDDKYRMVEDELLRIARRFT THLHRAEYNRLRMLTKAHNADTIREIARPVVPGPPTLTARRRKQAVDRDSKQRRVAKS LGNGEDAGAGARSLWVGTSLQGLMEAPRTENRRILSSVAAARAVGTRVPADRASRILT EEQGIQAPVPAGGVFDSLPRRTASLGGSRKSGNLSTEIISSSRGQSSRLPATPPRRQS FHSDPPPEPASSSSLVTSRFGSVGSARHGTTSHTLKNHLPQGSEIDDFHEDDDDDDDD DDDPFGVNKRRVQRKQSREQMRKHANKNAVTKPPPDTIPSFI UV8b_04436 MSMDLDDAPVPIGAVAHQTAATILCCNCGAPIDGTTATGALCFN CVKLTVDISQGIQREATLNFCRDCDRWLLPPTSWVVAMPESRELLALCLKKLRGLHKV RIVDASFVWTEPHSRRIKVKLTIQDAVQDGILLQQSFEVVYLVATQQCPECAKSYTAN VWRACVQVRQKVLHKRTFFFLEQLIMKHGAHKDTLNIKEAKDGVDFFFSQKNQAEKFI DFLNSVVPVKLKASQELISLDTHTSKRSYKFTYSAEIVPICRDDLVAMPIKLAKHMGN ISPLVLCHKIGTAVYLMDPQTLQTADISSPIYWRDPFRSLADAHELVEFIIMDIEPAN MRKGKWVLSEATVARASDLGVNDKTYFTRTHLGHYLQPGDAAMGYLLTGTMFNNPEFE AIEESNVYSSTIPDVVLVKKHYPRRRKNRGRNWKLKRMDKDEGELLPKKADQDRMDRE YEMFLRDVEEDDELRAALALYKNEKKHDEEEMSMAETEEDDGAPQVNMDELLDDFDEL TMQET UV8b_04437 MAPGGKDQFLDASDSEDDHGDAHDSDAELTKGGRHAKRRRVDSG SENDGDSDGDNDGDNDGNNISNSISNSISNSNSNSISNSISNSIGNSISIGKEAAAAG QPAAPTPRARKPPLAEKALAASEAAVRKSGVVYMSRIPPGMKPSALRSLLSPYGSLGR VFLAPEDAAARARRRRAGGNKRLLFTEGWVEFARKREAKAACELLNGRGMGGKKGSFF RDDLWNLVYLRGFKWHNLTEQIAAENAERTSRMRAEIGKAARENGEFVRNVERAKALG GMAAKRASKRKAAGDDDDDGDDGDEPGPGPGTTVEEERRARSFRQVRGVKKDVGTEEQ PADVARVLSKIF UV8b_04438 MSVETITTVSPTTNEPILTRQGASAVDLDLMPKVATEAFRQFRK TTLKARQDLVRKFLKELAAHEAELAHELTAQMGRPIAFAGKEIATAIKRAEYLLRISD QALQDTDGDEEKGFKRFIRKVPFGPVLVIFAWNYPYLILVNSLIPAILAGNTVILKPS PQTPTIVEHVAEYFARAGLPEGVLQYFHCGSPTMMESLVRDPRIAHVCFTGSVAGGLA VQKAASDRVVNVGLELGGKDPAYVRADVDIAWAAEEIVDGAIFNSGQSCCSLERVYVD QSIHDAFVEAVQDVLKGYRLGDPFDEATHVGPVVSKRSKQTIESHVRDALDKGAKDAT PANESFSNPPARGNFVKPTLLTGVDHSMTVMREETFGPVIPVMKVKGDSEAVELMNDG EFGLTASIWTKDTARGYELAEEVEAGTVFVNRCDYPSPDLAWTGFKNSGKGQTLGRFG FEQFVKSKSFHIKDYPK UV8b_04439 MSYNPQAKIGQDGPNRAKSCQSGPSDRCTATSRHRQTVNGQRLA RETCIEHRFVRRPRFRLLSDLVYCGSHPGGLDTWTNQRLTRTTNNARPTTHQPL UV8b_04440 MQLKHNFIMLYTRLQTMLRDRIRKPRRHVEISAPYDVKHKPVNL PGVSQEELSILREKAVASRIGVLELRPEFPSGHEYYKISRPAPRPRPAASLFNAGEA UV8b_04441 MTAQHPTVAASAPAKVLLAGGYLVLDRRHSGLVFGLSARINVVA GQIHTGPGVQLNEIVVDSPQFLDAQWRYGYHLAPEGGGIKVTQLQAGSAIRKNPFVET TLSYVLTHVHRIQGQQHSSQSPTSARLIILADNDYYSQATPAANQPGRFAKFTVPLSR AHKTGLGSSAALVTALAAALLAHYLPDHLFDMASPRGRAVLHNLAQAAHCAAQGKVGS GFDVAAAVFGSCLYRRFSPHILDQLPEPGSPGFSEKLASVVDGPPWDVEVRPQGVRLP DGLALRMCDVDCGSQTVGMVTKVLAWRSRDAAASETLWRELQKRNDELAAALKDGQVD DDDDQLAGAIRAVRQLVRQMGDLSGVPIEPQPQTELLDALGAVRGVLGGVVPGAGGFD ALALLMRDDEETEKRVQARLAQWSRDTGAKVRLLDVKGEMEGVRSEDLGIYAGWLCD UV8b_04442 MPTIYSSLYSNFIRQGSTFAKSITTHGYAQSVVAATHPHVLNSQ NRPVFRRRPSHRLSRLSTLQLHSAFHTERSSGGFSDHRTTHNHGGLDAYFEALQNKQV AGEADKEWTQFEFPKRIEWKPSSSAVLQSQDAAAVAPQAAEAAEAAEALSSIPADDQA ALAHINAALERELEARQLLESSANAPAAGRSASISPSASRTPLLSRSGTPGDARAPSP DVDRQSQSYADHLFKLSETGRYAEIPAVFEAMLATGVKPIASAYNALLAAAIHTPSRK IEVVSKALDVYTDMLRRRISPDRDTYDILVGLLASRSLEVLQLKAALEDKRKRFGGMD DPDRFMLASHEFEHAILCEEDRLDLAMKLFDSSVDAGVATYSAETYKMLISACAESGR VSDMLGLFEHMELSKTIPFASIFPTMITAFAKRGDLVSAVECYDEYRSLAIAQDGGEA TLRDRADSEVYAAVIHAYVVSDKIEGAVKFFQKIVREYGVRAADIKDALVSTGFVKGL VSRGIHQEAFHWAQSIEAEARSEAMVKIATAAADGNDIKTATEAFVNIEAKGQSLVTP TVALLAMFIRAGDVAAAAKYWQALAIPGVRANADFIEPAAMYAVALIGSGRVAEGLAE SEMMFQRIRASDSGANPQIGEEIDEGVDFIQRYMESRGIADARESSSQMSTAPPQTVT VSPFSSTPSVSSFEENYDPHAHNTDFKGSSLIADDLEGGQGRKGGRLDEALGRFRNIR RAGRHPRYITYAKLISAAAREGKIDLCHEILAMARADVPLMPQYATVRYGWSSILDAM VGACLNLGNRGRAEQYHQELLEMGAAPSANTFGLYITTLKDSTKTFDEASEAVRIFHR AKAEGVEPSSFLYNALIGKLGKARRIDDCLFYFAEMRALGIKPTSVTYGTIVNALCRV SDEKFAEELFDEMEAMPNYKARPAPYNSMMQFFLTTKHDKSRVLAYYERMKAKGIAPT SHTFKLLIDTHATLEPVDMAAAEQVLATVGARGQSEPVHYASLIHARGCVLHDMEGAR NLFDSVVGNALVPVNSSLYQALFEAMVANHQVEATEPVLAQMRRRGVELTAYIANTLI HGWATRKDIGKAREIYECVSREKREPSTYEAMTRAYLAVEQRDQAKAVVGEMLTRGYP SAVTNKSLELLGGDEAASE UV8b_04443 MADDGILLNFDLASAPAKTQVRFKGGRWRDRKRAERGAKQALAH PASESPADRDAYRSSKRQKLDGDKHGDHAGGSSLPRAERLDRAPPRNDGDGQQHKSDA AKGNRQVISRLFSFNPAPTTEDDAKDEGWTAPQPTNAPLRDVANFGSLTLSSRLVDSL AKMDLERPTAIQNKVIPHMLCGSSDVFVQAETGSGKTLAYLLPILHRVLMLSGKGDGR QIHRDSGVFAIIVSPTRELARQTHTVVEQLIRPFPWLVSTAITGGESKKAEKARIRKG VNFLVATPGRLADHVDNTKALNLGTVRWLVLDEGDRLMDLGFEEDLRKVIDALRGIAL VKSTEKGASLDALPERRVTVLCSATMKMNVQKLGEMSLADATFLAAEKSEDEDATTEI VHKAPAQLHQTYVVVPSKLRLVTLTLYLKSVFSRRGQTMKAIVFMSCSDTVDFHYEML RDPNETQLPPSVRIEKDLAETTVSKAAYVTSPASPEVVLYRMHGSLSQPTRTATLRSF SACKSPSLLLTTDVSSRGLDIPSVDLVVEYDPAFSFADHIHRIGRTARAGRPGDATLF LLPGAEEGYIGLLKSSAPPSSKSCESILKTGLMSALEFPVETAAGPSDGQSCHEKAES LQLHLEQRLLAHPRLLELARSGFKSHVRAYATHVREERIYFDMTQLHLGHVAKSFGLR EPPGGIGAGLDRKAGRKGGSAKDRRSTAKEDAASAVDEKLSMDLLKRKSMMLMSSAAS EFNIG UV8b_04444 MADPQQKVKNAGDTVSDQGKSVGDQAAGATGFVTSTLGAAVGGV TRTAGAVTGAATTGLGNTLSSVTGETGKPIGDALSSVGSGVQSGVESVAKGVEDAGNW NKKD UV8b_04445 MTMELSDIFRIVNLVVATITVLGGITEIFSFQLQYIILGTYMIV FGLAIALLEFQIPPQVSRYANFLFSFIGRGIFYILIGGLLFGTSVLSNIAGGIVCITG LGYVGLEFIPSIEPPNNMREADGAGWGAEQV UV8b_04446 MIPSTLCRRVHHSRRWMPYSFRPGLASNLALCSRRAKHGKANVQ FQHVRVQNIRNIGIIAHVDAGKTTTTERMLYYSGVTQRAGDVDAGNTVTDFLELERER GITIQSAAITFNWPKADNCPPGTLPKTVNLIDTPGHQDFRFEVDRCLPILDGAVCIID SVKGVEAHTERVWGSAQEFKIPRIVFCNKLDREGASFRKAVLEIGTRLKGWPLVCQIP WWDKENLVGVIDVIEGVAYRWNSEREKLCYAPDELKEKLSRTNPELMTEMQLARLRLV ESLADFDDTIMDEFLAENQHIPAALLKQAIRRTIQSGDGRVIPVFAGSSFRHIGVEPL MDAITDYLPSPAERPDVEVRVGSCERKLHDALDGDGKKGGKGANIASVATVFKVFDHP KEGVLSFVRVYHGTLTRNAPSFNTNIQVQERPMGILQISASKTQDVQELSVGQIGALR GLKKARSGDTLMTTSNAKPAAEHVRHVQIRPPDIPPPVAFLQVEPYGNVAAQELQTAL ENASREDPSLRWSRNSKTDQFTIQGMGKLHLDVFLHNLRQKKKIDAEFGAIEVDYKEC IIQRTKPHYTVFDRPVASKPGKAACTATLDPIEEESRGDLSSGVEMDGNVYEIEIPEP SGAGGAVFDAEEARHQLLNGAVAGLARGPRRASPIHGCHVKVTLDTSEDALVSPSGGH FSSVARTAVQNALRDAFLAQNVGVLEPIMLTHITCPEAAAGTVQHDITAGAGGHILEV KDRSVECSGGDMVDASKIYAPPDPYDSVTSLRGKKTADRMVEIVAKVPYKEMLDYDDH LRSKTAGRHSMTMSFDSFARVVGHREKGL UV8b_04447 MKPSVLYAVFGTSALLNAGSYASSSHQRSHKAHRRLSHLNHSRA HLHSRAESDTLVKRGTCAFPTDDPDLVAITPHMKNAGWAMSPDQECKPGHYCPIACKP GMVMAQWDPDSTYTYPASMNGGLYCDQNGNVKKPFPDKPNCVHGTGAVKAVNKCGGVM SWCQTVLPGNEAMLIPTVVSSEAVIAVPGQSYWQSTAAHYYINPPGTGPEGCLWGSPI LPIGNWGIYVAGANTDGNGNTFVKIGYNPIWQSSSLVATKPSFGVRIECPDGGCTGLP CEIDPTSATSGTVKSKLAAIGAGGAAFCVVTVSKGSTAHIVSFDGSNGKSGTVSEEGK ESSSSSSSSSSSSSSPSPSPKPTSTLQHTTSTTPSTTSTPGTTTTSEPTSTSTPQTTS TFSSSSTSASSESWSSEATSSESMSELTSSGLTTSWASATPTVNPGIFRENSTSIYSM TVTPPVSTEPAAQPTAPPPPKTTDKEGEAGRQQGSAVAGLVVALIAAACLL UV8b_04448 MSLVAQFLTQIRHFVCAQQADSLRSWLQVDPDSAASYHALAAEL RAQSASAPDSIDTLVEHHLPVQDPVQDPVPDGHAAVWPSFQALMKDYLVLWRDMSRDN LPRTHELLTSLVNSCGTAFAHPTYGAMLLQTSMSFSVTLARFTLRLSRRPDLARRLRP ADEESGGKSVAESSAEIIQKIFTTCLTDRSVGRVPEGKKVGVYMFANLVLKLLFACQR TNLAKMIFVNISTISPPLSLYPASQRVTFLYYLGRFNLANQHYQRAALCLEQAYLQTP PQLVSHRTNILTYLIPCNMLLGRFPSDLLMQRPEARPLRAVFPPLCRAVRSGNVLRFQ AHLAHHEAWLFERGLLLALAYRLRPLLWRSLARKTFLLTYVPPADATSRKAATLDIAH LHSVAVYLQRRLEGWLPAHPPHAARGARPSSQANPLLRKAVANSVQEPPGTTLAPPPG GPKKLRPNEGLVWGNAEITLEDVEMVVATLVQQGLMHGFVAHGQARFAIIGAKAKGPV VAGWPVVWQAIQERRYEEEFDMDHVPGWVKG UV8b_04449 MAVAQLRLPRLGSQLGWHVKTARPFSTGATLRKELQDAYILSAS RTPTAKFNGSFLSVPAPRLGAAAIKSAVEKSKVPVEKITDVYMGNVLQGSVGQAPARQ AAIFAGLPESVEATTINKVCASGLKAVAMAAQNIQMGLAQAQVAGGMENMSQVPLYLP RASGLPAFGHVRMEDGLIKDGLTDVYQQFHMGNCAENTVKKHGISREEQDAYAIQSYE RAQKAWASKAFADEIVPVTVPGRRGDTVVDTDEGYLDVKFDKVPTLKPAFVRDGSGTV TAANASTLNDGASALVLGSKAVAQQYGSGSRVLAKICSYADAAMAPVDFPVAPAKAVP IALERAGISKEQVAVWEFNEAFAAVILANQKILGLEGAKVNPLGGAISLGHALGSSGS RILTTLLHQLKPGEYGVAAICNGGGAATAMVVQRVETV UV8b_04450 MSTAARRRLMRDFKRMQTDPPAGVSASPIPDNVMTWNAVIIGPA DTPFEDGTFRLVMQFEEQYPNKPPQVKFISQMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTGSPANVEASNLYKDNRKEYTKRVRETVEKSWED UV8b_04451 MSANSIKVVARFRPQNRIELESGGKPIVTFSSEDSCSLDSREAQ GSFTFDRVFDMGCKQQDIFDFSIRPTVDDILNGYNGTVFAYGQTGAGKSYTMMGTNID DEAGRGVIPRIVEQIFASIMSSPGTIEYTVRVSYMEIYMERIRDLLAPQNDNLPVHEE KNRGVYVKGLLEIYVSSVQEVYEVMRRGGNARAVAATNMNQESSRSHSIFVITVTQKN VDTGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGKSS HVPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETLSTLRFGTRAKSIKNKAKV NAELSPAELKMLLKKAQSQVTNFEGYIATLESEVQLWRSGESVSRDKWAQPGDGVAGA RVEPKAARPSTPSRLAAESRSETPGAIERASTPSLQLDKDEREEFLRRENELQDQLAE KESQATAAEKALRETKEEMSYLKEHDSKLGKENERLTTETNEFKMQLERLTFESKEAQ ITMDALKEANSELTTELDDVKQQLLDMKMSAKETGAAYDEKEKRKAEKMAEMMAGFDL GGDVFSDNERSISQAIQHVDKLHEISVMGDNIAPEELSDIRARLVETQGIVRQAELSM FGTSSTEKDSRRKYELEEKLEALQQQYEELLTKSLAESDAEEVKTLLEKAYSSRQTTQ AQLIEELKADAAQKVSENSRMKMLIEGLQHQVKSGGAAPTSTTSTTTAAAAATNGKTV QQQIAEFDVMKKSLMRDLQNRCERVVELEISLDETREQYNNVLRSSNNRAQQKKMAFL ERNLEQLTQVQRQLVEQNSALKKEVAIAERKLTARNERIQSLESLLQDSQEKMAAANH KFEVQLASVKERLEAAKAGSTKGILNASGAGFSFNNAGSRIAKPLRGGGGGGGAAGAP ALQTLQTEGVASKRSSWFFNKS UV8b_04452 MHFSLKRGLDGGGGGGHDIRGYELPPWTVLLVLANLLLLVPLML IFEYTLKLIYPVFAMIEDENPPAYEPLSLSDPSAGAAERGPVTRGGGQPPRTVTSSFR AINRLLLANGGVSANFRGFFCFLAQVLLTSVLVGVFTGALGGLFVPVATLLAALALVQ LSTAWVHVVISEPSPLPFWARLPPFRRTFDATWQAVTIFWFASHVARFIPLALALAMG LRLPRSGFGEPMDVDALGAGFFVKTLVLAVVTIACSVFVTVPAYVVLVRVQASLLPVD QSTIIPFDRSFQAKVEPEVVGGKGYATVMDAWTTYSKTAWRRLITLYLKMFAITMTAL VVFTALLVPQIIFVAKHSKEVL UV8b_04453 MAAPSIPNLLSLKDGGRGRGRGRRPAGPPDSSCPGVSPDVAVQG TDTDAAVSRLSAVDLGYLDDPYARLFVSGPPTRRLPIINRGTYMRTTCLDAMIAAFLC HNGARPKQIISLGAGSDTRPLRLLQKPGAQTIVYHEVDLEPTCRRKLAAAQSCPSLRQ IFKDHSIRDDGGGGGSWSAEPRWGGEYRCHALDLRDLAKTTASLPRGMRADLPTLVLS ECCLCYLGARESEDVLRFFSARIGDLAALLYEPMPLDDAFGAMMMANLKARHIYMPSL DKYRNQRGQLARLEQSGFESVGCATIDKAWDEWVDKSERERVDGLEGLDEVEEWKLLA AHYLVVWGARGVTFGSLGDRTM UV8b_04454 MADSKDNKAYKYRQEISQMMYVSGETGEPSVETTSIIEDIVRQQ VIELLRNCTELASRRGSKSISTNDLIFQIRHDQAKVSRLRTFLSWKDVRKNVKDSEDK GADADLGAAGDEAVVPGGPVDEAAKKNKKAKVGLPWEPASFYSVEVPERDDEEDEEEE EMNYITLQRLRKADERTKAMTKEEYVTWSEYRQASFTWRKGKRFREWAGFGIVTDSKP SDDIVDILGFLTFEMVATLTEVALKVKEQEDTARAQSGADNAGAKKRKLQQGLFDPPS EGKTPVEPRHVQEAFRRFQERPKKGRAMLNGTRTMQKTPLNII UV8b_04455 MTGPTPLRKLVTLVWGDWQSNALWQRIVKHSLACLLAVIIAVLP RFRASSTFLTPMVVVFAHPGQRMGLMVEGLVMILLGSLLGLSWSLLGLRLSGLVADAN APAAYSIRAVFLLVCVLLHGFLRSTTPRLFNFVLFLLVVALLTIQLPSAADASLFATI YTPILLGAGVLVVVNLGIFPELSSSYLGTSTIDTLVAATDTLNRATHWFIAPAGRGAV DTRSLATPFAATTTTTTSGHGSQSSRVANWNLRRRVSRFLAHFPNPFLTGGDAPALST VPISETTLALLTMQKSKLRSQLSRCKNAQDEVNFEVSLSALSPDAMRPISIRYMASLV HNIITLIGACENKFVLVDTKDSRDDGKADWETDLSLPAMPNPETCNPQTASHTMPPQA GRTSGKKLGATDAPPPKALEAGSCEVLEMVLKRISLPVQHLQASMRRAVDLLASCLAY CFDVPHLPSGAPAPKGILLAEVDLRIDEFSASITTFDTTCAEELKRAIMDESENVADF MPRMETFLISSFLMALRGSAAHILDMLRHARFLVEKRQRHHDASRIWVPRYGNFRKWL STGGETDAMILPEGAKKAARQGLGDASDAQQETMPEDETDDAQASAVDEESPGGVTAP RQLPAKRSLHTSKVEGSSAKDRAFSIRAAAADTIEWVQHSDDVEYALKLAIAVYMVTW PALVSSWNGWYAEVKGVWAPLQLILVFEVAIGTSLFVFFVRLFGVVFGCLVGYLAVEI GRANRVTAVVVLFLGIVPSVYVQVATKYVKAGMISIVSLAVVALAAVNDGASTASDIF WKRLVAFLVGGLVSMTVETAITPVRARDRLVESLSASVGQIQRMQAAVAVGIDDPHRP RFRSRELLERFRRCRDKAQRSLAAAETFLPFCLTEPRLRGSFRPLAAIYKEIIYVLQQ IIDRMDNVIQLRRAWGSSILEHLNPQVHAFRRDVAASSTLILFSIHEALTTWLPLPQF SPSPRLAQLRLILRVRELLTTDDAPRGGGGGNEAGSERGSSNHVDEVTASLMTQRNFL SWNASTAGQMEIIEYLEELLDLVKRLVGVNAFRSGMLERPRYRRQVRKLEVASEALER TVSRQAGRPSVGHERDDRDDDSGVSEEEEEERRAQLRRAASVPEHNGAWRQGVQEDCG GGKDGIDGGQDIPPSLRRVGTRLRRDGTLVRRRRFTVGHYSPS UV8b_04456 MSAQAAAGQHGRLDEPQCYNCGAVGHWAVACPEPTRETPAGLAA WRSSSNPGQQNPKGPGAGCNNKRSKGPLITKYPPPQPPFVPGLSHVPPPPPPPPPPPH PPHPPHPPAPHSSHSHSHPPPPPLPPPSPYSAQSPSFPAYTHPLPTPAYNGSFPTPYA YPPQQYSPPPPPHGSSLFAPPAYSGPPPPLKPPSGQHFPASRVDVQDYRPPPPAHNQA YSRSPPPHASPPAVTIPPHQNRSDPRSSAGLAKPPSLPPKPPAGRVSHPLPPKPPRSH DQINPQQEHRNKRKNDRHHKGRDRRPSNEHRNQSNWSSFAHSTDHVHYSPEHRRSSSL RKGQRQNQNSSGSKKQPPTASCADRSSAVAMRKEEAGTRRRSYDLSPRSMAAATLDCG RADDKKRSPRLPASHSNGTSSGSAGVGIAPDSAKGPKFAHAYMPAENSDNSDAQSLSD AQGWGGKREGGQENEDDERRPKRPRMDDSPREDKSRAKPEDQECPWSKIQVPREAERM QQADRVRAGARRQASPASPASQASRHSSPSAQSSDLNSLEAELLGRPVKQKSPGKANP RRDSETHQGRTKPRRRRTNTNSAYSRRW UV8b_04457 MARAPSHAVHDAPAPSTSIQPVPNSQGGLRADRVAHLLPCGPDS RRTPSTALARLPKVHAAPLAELYAVWGRGSAKRRRPRLSEHVVGSAPLPLDLQASSLA VDRPSLGRCSCNPTTRKRLEERVRVSRRGPSLPPPLPLPLVAVAVACCCCCRCCYCSL LSPFAVAAVAGASCSKGPSPPPSSLP UV8b_04458 MPSLPASVDLDECISRLYKKELLAESVIEAICAKTKELLMRESN VVHVRAPVTVVGDIHGQFFDLIEIFRIGGYCPDTNYLFLGDYVDRGMFSVETISLLVC LKLRYPNRVHLIRGNHESRGVTQSYGFYTECSRKYGNANVWHYFTDMFDFLTLSVVID NQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPERDEFSLSPRGAG YTFGAQVVKKFLAVNSMSHILRAHQLCQEGFQVLYDDRLSTVWSAPNYCYRCGNMASV LEVSDNGERFFNVFAAAPENDEHKDMQSGGDKAADSNALPDYFL UV8b_04459 MASHDDDTMPEETQGYKLSQPKQSVAQYQEMDAGDESLQRYKKS LGLGAGKDISDPNDSRVCIILALTMDSAGRDPVTIDLSSPGSETSLKDKPFKIKEGSK FTMSAQFKVQHEILSGLHYVQVVKRKGIRVSKDSEMIGSYAPNTDKQPVYIKKFQEEE APSGMLARGHYSAVSSFVDDDGKQHLSFEWSFDIAKDW UV8b_04460 MAASTKRSLPARHNPLLLEDIPDYLDLVARRRLGQTKLTPKMVC GDEADPASLGVFDYAHLRAPLPKGIVSGIFKSSPTSYFLMRRSFDGYVSATGMFKATF PYAEASEEEAERKYIKSLPTTSPEETAGNIWIPPEQALALADDYKIGIWIRALLDPAK VPVSSSSTPGSPPKTIAAPPKFDLVKALNKLAPPPVSSLSRSSRSRRSVSPTKLSRRA PASPRKRSARLKAGSIEPPAHKPDGTNGDDTDKARQDDVVMKTSEFDPDVVLEHRAED ATLRIRVDEVSKDAEGHETKHTVTEVDVPLPSSGSPPSAEDTAKMIAAAKEMVQMGKD EDERVAAEHKRADEKRGSLNASSSTPAKKSKRKAADISVADKDGDDGKDEEGAEESEE GERRVKKVKTEAEVRKDRVKNRALFGIGATVAVGALVPWLVNLL UV8b_04461 MRVAATRLSSLVPRRGFASTPTRLDKYAFVGLGQMGFQMAKNLQ SKLDPADTLAVHDINPDAVERFKTHAQATPGAAVDLAPSAWAAAKDADTVITVLPEPQ HVLAVYRSMLAEAPPRSKTRVFIDCSTIDPSTSRQVARAVRAAGQGALVDAPMSGGVV GAAAGSLTFMLGADDDALVERRVRPALLRMGAAVLHCGPQGAGLSAKLANNYLLALNN IATAEAMNLGVRWGLDPRTLARVINASTGRCWPSEVNNPVRGVVDAAPAGRDYAGGFG ISLMKKDLRLAMVAAREAGADMALADAAYRVYEAAEKLDQCKGRDFSVVYRYLGGKEE UV8b_04462 MESIARISSLMETARELTLDAAQATRSVRSSSRPLDRSQIRKLL DSRNERDVLEGLRRVVSMMYRSNQKTAPFFSSVVKNVASPNLEIKKLVYIYLIHHAEQ EPDLALLSINTIQKSLSDPNPQVRALALRTMSGIRVPVISQIVSLAIKKGIADMSPLV RKAAALSIPKCYRLDPGQSPQLIEYLAALLGDKQYYVAGAAVSAFLEVCPDRIDLVHQ HYRSLVRKVVDMDEWSQLAMLRLMTYYARRCFPRAEEATAESLPQSRLADDFYSDSPD AGQPRQGKLSSDLLLLLNGIKPLLQSRNSGVVVAVTRCYVDVGTPEYVKLAIGPLIAL LRGAQDIQQLALYNIVSVCLMRPEDLVKYASHFLVRATDSAPVWELKLEVLTLIFPHS PSHVKSLILKELEHFSRGSDKAIVREAVRAIGRCAQSHAKTAPRCLRLLLGQITSLDG HLAAESLTVIRHLIQQDAGGHAGTVVRLAKNLDSASDPQARATIIWLVGEFSGFQGED NIAPDVLRILLKDFASESEAAKRQILLLGAKVYLHHVNRRSETVEADGAEQGAPKEQD AHPVERLWDYLLLLVRYDTSYELRDRARMYKALLGVPQLATLMLLAPKPAPQAPSPSE SRKGFLLGSSTLVLAGGGGIHGLRGYETLPDWVEQGKEPDARLRDQDDGASASRYGNE KSVAPAGEMLDQAASWRPPVAKADGLGETVGTKTLDDWLAEETETGDEETEEETGEED DEEETDEEEDEEDEEDEDEDEESGDDGEDVGLVKR UV8b_04463 MAARQPRQAPQPVILPPATPSELLQHVIARTTYPTTVVIAWPRD QFLNALAQDVRQSARARRADGDADEAAQHPLLHASLAQTAVSRHINLVFAPTVAHLRA YLSTFSQSDIKTPPPPASTPLEVPPLLLVYGHVELHRHGTQWSAQGLGFSTAVLVDGA MRNSHRAAIVEPRRTGSTDELEQLLEQTLPILTGTVCKDDGSWAGPVVALGRLLSSWF EVDAKAQVA UV8b_04464 MAYNPRMSIIPSSQQQGRSRKKEDEADAFMRLPDREIVGCITDI GIHFTVADLQKPNPAHVQQIFEWFAELLLNATRETVEPAMRAAAEDVCGDFSDVIPPD TRNLMGFYVSLRRLLFECGITDFTFNDLYKPTYERLVKVFSYLINFVRFRESQTTVID QHYNKAESTKARIDTLYAENQANEGRLGDMRRNRKAMEAQAREKTLRNDELKKRLLEL RRNQEKVAARLEDAKQKKGELASALEHKTQEKLTLKHESNKLRPYMLQSPSALQDSLA ELRDILNNDKAHIDALDRRARALQTSTDSFAVVSTDVASCIKILDEIAAELAREEEEM LRNAKQRDALSERGNNAREVERTESMLRRQLGKWTERTKKLREQSAKKAEEAKDKMQK LRATHRELTDEHTEKGKDMEIRRVRIEQTEKKMLDLKENIEQEIHAAQDEYLKMEAHV KLYMTEMEQLIG UV8b_04465 MLASRVPRTLASATRAHAPRVVRPTVASRTFPSSPARHIVHMIK TADEFRKAVSDHDRVVVDCFATWCGPCKAIAPILHRHSEDAAFKDKVHFIKVDVDELP EVSAALGVRAMPTFFFFRRGEKVDQVVGADPTGLTAALSKMAV UV8b_04466 MDPNQQFPGILYSTVLKISEAWQKPWHHNAAGMTSHPLTRYDEA GLKVKQKQISFRILCKHSICRVSDIEAQLLPVFVLYISQVARRKIMHRVHVVGCNQAQ UV8b_04467 MLTRAASKKAKTESSKLRRRPRTYSFSPGRNESIGIDRSGRHGE TAFRSRAAQDTEQVIAAGWNRTPTLHPTRRKSSKRRREDHDREAEIKAMSVYMPIRSG LGSNGRSGSKKSTKRAKTGGLGRDFEPASQISLSYPDSVRSGMSRDSEFISYKITALD SLAPRPTLRYASSAKLATPRATVSVTAEPRKMSLGETVAADQDHANSRRRINELADGL DAKDLRELMERDNRRRERKRLQEQEGMERRLASRTERQRREQLEAIKSVTPLPQNLER GVMGRELAGLSAEPASTVVTSSKRRALAMPPTSSWGSMDRTQPLDLFHRPETSPQDEQ APSDVEHAQPRVANPAEPNEPLLALPEGSELDGLARSKNSRSKSTLGSDKSRPADEEC GRKNSESSHKIGSRLSLSSLLKWGSKGKRHSGPSSFSNASREEMHVGATPSKPAQAEA LARLQGEDMSIPTNHLTGRPASSVPKRTKSRFREDLPEFPISPPDSRVQSPEDDFPLP RLPEKPLDVDLRPTPPSRHDTPPCTERSKGTQSAEPHLSMSLASIDSEGSWLSGRVGS SKTTMKQESCMRANRREYAHPSDSPTSLAREELAMTDDEYSSRFMLDRNSGVLTSGRR SGEYCPSIDEEDISTAEKAKWGQVGARPEVVQFHNHDRDTMHSRQGLVNIDSEDEEDA DMLVPNCLTLRNS UV8b_04468 MANTIIVVVGAGVSGLTTALLLSRNKANDVTIIAKHMPGDCDIE YASPWAGANFLPMSTRDNSRWERRTWPEISRLAKEVPEAGIHFQPTRILRRDKDMQGP SCPIDPIFDRDPWFQTLLPGFRELSAAEIPKGHDSGVEFTSVCINTALYLQWLVGQCL RNGVVFKRAVISHIKEAKTSSHTGKHASIIINATGLGSLKLGGVQDETMAPSRGQLVL VRNELQPMFTTSGTEDGMTELLYTMQRPAGGGTVLGGTYDIGNWESVPDPNIALRIMQ RVVDLCPEIAHGKGIQGLSVIRHVAGLRPYRKSGVRVEKERLDDATWIVHNYGHAGWG YQGSYGCAEGVVELVNEVKGENGEHLQMA UV8b_04469 MARPGQPLYKRAWHFALDVASGRHALSKLVPMALWAADAALCAV VIWKIPYTEIDWVAYMEQVAQVVSGERDYTKIKGGTGPLVYPAAHVYTYTGLYYLTNH GKDILHAQQIFAALYLATLAVVMLCYWQAKVPPYIFPLLILSKRLHSVFLLRCFNDCF ATFFFWLALFCFQKRQWTLGSLAYSWGLGIKMSLLLSLPAVAVVLLLGRGFGGSLRLA ALMAQLQLAMAVPFLSTNWKGYLGRAFELSRQFKFEWTVNWRMLGEGLFLSKHFALTL LVLHALVLLVFISARWLRPANRSMASLMPPMLRGKSPFSKSEQVWVSKRVTPEYVMTT ILSANVIGLLFARSLHYQFYAYLAWATPYLLWRAWPYTPAVYLLWAVQEAAWNTFPST DLSSAAAVNVLVVTVVLVYFKAVDPAKPGGPDHSAGTTTKKTR UV8b_04470 MGKPKRNVLAAAEETLTPPETLPPNQSLVRVVKPEGNNLYTCEL PDRKPVVLELAQRFRNTIWIRRGGYVLAERYAPGPRDSRAQGEIVNVVRDEKLWRKQP YWPKEFGKPAYDLVDDGDDSSSNVGRMPPSDSEHED UV8b_04471 MGSVHPDTPALATDPKFIFFTDFDGTVTTADSNDFMTDNLGFGV EKRRQGNKDVLNGKMHFRDSFREMMGSITTPYNECIEILLSNIMLDPGFKEFYDWSRE NNVPIVILSGGMAPIIRALLDKLLGPGWDIQIVSNDVRPREGKNINEEGGWRIEFHDA SVHGHDKSIEIRKYSSLPNRPTMFYAGDGVSDLSAAKETDLLFAKAGKDLVTWCENES VPFVTFTDWSSISQTVKDIAAGKMTVQEAAKGRI UV8b_04472 MVIKIMHAQILSNPLRGSMARRAWNGTQRMLLQQSPTALASRAN QRPTSWENRTHLYQGGRRNQTSQWRPISSHHQPKDSHASSGFAGDANSAFEVGKAPPV SQLQASATELPSVAEGRRSDLNQRFSQVMDNVQARVLTASQTLNDITGYSAIEAIKKE NEALEMQLSEAHAKVRSVRQAYKTSNTKRATTQREVTTLLARKDTWSPVDLERFTELY RIDHVLEGEVSAAQESLTEAEAEEQSLSQRLNAGILKRYHEEQIWSDRIRRASTWGTW GLMGMNFLLFVVLQFFAEPWRRKRLVKGVAEEEKRVLDQVRNELELVRATLAKQERSF PEVETEALAGKPADPDVTSPAPPGVAWRDIVMEPARLKTAAADLCSERKIDLRMKDAS ALVLQGALAGAAVAGSIAMFLLRKT UV8b_04473 MNEDEKKIQDIHRKIEREKNIINAAQAMRSQTTNEAVRSRLDTQ LRDGRRNLQFFEEKLRDIQMRQANQGVESMSLGTGDGPENRGPPPAPPPKDSSAAWGG AQGGYGDAQYSQIGQHGDLMPPRHPYAPPGPGSGMPKPRPNFTKLDLIKYDSPHLGPR IQLMLSQIQFKLNVEEQYLKGVEKMVQLYGMEGDRRSKAVAAGRRVESKQKIVLLKQA LKRYEELHIDMDSADAQDDDSINTPNLRKPLSGQLSIRVMAVKEVDHTATGRLSRGPE TFVAVKVEDAVVARTKTSRNDRWDAEYHTLDVDKANEIELTVYDKPSEHPMPIGLLWI RISDIVEEMRRKRIEAEMNSSGWVSADQMGSPSSPQGQFPTSPSQGGGRGPSFGPDPS RYSGGPPPHVPQPQINTGPIDAWFNLEPTGQIQLQMSFVKQNKDRRPVDVGLGRKGAV RQRKEEVHEMYGHKFVQHQFYNIMRCALCGDFLKYSAGMQCEDCKYTCHTKCYSSVVT KCISKSNAETDPDEEKINHRIPHRFQPYSNMTANWCCHCGYILPFGKKNCRKCSECGL TCHAHCAHLVPDFCGMSMAVATQIREGIRTQKQRQAKATSMSDRTLRPGGSRSSPMDT VLPSATPYSGSSISQATPASPEATEAAKIMYGNQQPPTSPTQQRPPAPNREPSSNAAA AAAAAAAAAAMSGSSASQGQRQSDYGRYGGYDQQQQSGDAYANQYSAQPQQRRYNPAD YAPVSQGYAAQVAAQARPPVQQQQQVPPLSQQNYPSGAPVPKPESAVAQRPQSGVMAG QRKQLPLHTDPGTGQRIGLDHFNFLAVLGKGNFGKVMLAETKRTRKLYAIKVLKKEFI IDNDEVESIRSEKRVFVIANKERHPFLTNLHACFQTETRVYFVMEYVSGGDLMLHIQR GQFGTKRAQFYAAEVCLALKYFHENGVVYRDLKLDNIMLTLDGHIKVADYGLCKEDMW YGSTTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQMLLQQSPFRGEDEDEIYD AILADEPLYPIHMPRDSVSILQKLLTREPEQRLGSGPTDAQEVMSQPFFRNINWDDIY HKRVEPPFKPTIKNATDTSNFDSEFTSVTPVLTPVQSVLSQAMQEEFRGFSYTADFE UV8b_04474 MSSLSRVLRPALRGPRRLAGSVATAHRAAARPATPSTILQSRLS TTAARRSGTAVVDITDIPPTPISHLSEVEAAMAESVAKFANDVILPRARDMDEAEDMD PDVVRKLFEQGLMGVEIPEEYGGAGMNFTAAIVGIEELARVDPSVSVLVDVHNTLCNT AVLKYGSEALKKKWLPRLATQTVASFCLSEPVSGSDAFAMATRAEETADGGFRINGGK MWITNSKEADFFIVFANLDPAQGYRGISAFVVEKGTPGFSVAKKEKKLGIRASSTCVL AFDDVVVPGENLLGERGQGYRYAISLLNEGRIGIAAQMTGLALGAFENAARYVWNDRR QFGSLVGEFQGMQHQIAQAYTDIAAARALVYNAARKKEAGQDFVRDAAMAKLYASQVA GRVSGLAVEWMGGMGFVREGLAEKFWRDSKIGAIYEGTSNIQLNTIAKLLQKEYTS UV8b_04475 MQALGAPSRTAGSVIRRLFFSARPPCPPAAPAFPRPFPPTLRHV AAFSTTRPRPATLNQVLRGIRKGKRARHAVSPALSNTNSPALKGVCLRVGVVRPKKPN SGERKTARVKLSSGAVVTAYIPGEGHNIQQHSVVLVRGGRAQDCPGVRYHLVRGTLDL GGVANRTTSRSKYGTKKPKKATVG UV8b_04476 MPNIYPNHFLDLDSMKYIINFSAPALQEHNRPESETYSHCKALE AKLNSYPVTYYIIQFLISTQLPCENS UV8b_04477 MAAGNARYVRYLIISVFALTVFYYVTNSKHEAIAVPAGLGRTVS AAPKQDKPQSQPPIENSSGNTLKDAPLVVTPGAPGWDDKLVHPAPGPRVNATFITLAR NTDVWDIARSIRQVEDRFNNRYNYDWVFLNDAVFDDVFKSVTTSLVSGKTYYGKIGPE HWGYPDWIDQEKAKKARQEMAEKKVIYGDSESYRHMCRFESGFFFRQPLMMNYDYYWR VEPGIELFCDLHYDPFRVMKENGKKYSFVLSLFEYAETIKTLWDSVKKFTKNHPEYLA ADNSMGFISDDDGESYNNCHFWSNFEVGDLNWLRSKTYIDYFESLDRDGGFFYERWGD APVHSIAAALFLNKTEIQFFNDIAYYHVPFTHCPTSEKTRTALRCHCKPQDNFDWKGY SCTGRYFDFNNMVKPEGWELQRD UV8b_04478 MSFFGFDARPHGHNTAAPGFSQSHDPFAGLSRRGDEDDAVDFED TYDGLGDQLEETGDAFNDDTFGGDTVVAGNVGKDFDFFGQTAKVADAIEEEHVRFTRQ LPATRPNAAHSQPPTLPSQTDSHYATSPAQKPARTGYEKYKEEPLPDLHVDQSIWGIG PPKTTPLPPQPAPQASSSSSATGSSSRRIMTLEEVEAAMRNQASRQAQSQIPFSDPAI FQQGPSSDIRPDVEQPPKQQQQQQQQQQGGHAGHGHPVTILQRPQSLQARPAEQTAMP PLQQSRQHAPPAQPTQILQNPSRNAGGSITMALSGIQGHRSQGSIQGLAQLHAHPHVM HMSEDEKAAFLDQETKRAKRNHKIWLLSKDNGLMTPQDKNFITRIQLQQLVSATGNPG DEDSDALVSEDFYFQVYSHIRAGQRQNPSQPLSNFAQTYLFQTGSRHGGMRRHGRPAE NHIQRMEQQVQRAVEAAKNKPKNPQLVIAGSLGKISFSNAKTPKPLLNIKRPENETQR SSNGRKPPHAETVLDRKRVLRNIEKVYDTLMKIEDHVRLVPQPSSNPAEAGMDQRHRE WTSTLEVYNARLWAELKVHEPIGATVPHPFIAFLSCAKGKRAIPRIFPHLTFEQRTTI LTMIIYHLDQLDVVQDVAITSGETDLNSRMRENIELFISTVMPSLMQYFNETGLDIVD GVLHLIATKLNVELIARTRIGVSMLTLILSRAVLLKQTGAGSAEQWEKWDQTFQILFS KLEPSLAYIFPGNVNAGVDVYVWQLLAAMGVSASHDQQTRLVLAVKDRVLDTVSMSKT LPPTMAAERLGSVNLFMRSIGLDVDLLQ UV8b_04479 MDAGVDDDPITASYSVFLNPALPLGRRLLVLQQPNRTDDAPRPP PTELRLKPQSGMVEVDLPLDNTRAYDRDKGLRWGRVLQSSLAAKSGGTHGLAGGFGFG AVPQRGRRKGEPDDDAAAYMDWNEAVRQDKVLKTQTLGGQYPDSDEVQYMVGVFQGNN LHLTPVSSLVHLRPQLHHLDAATQQERAASAAAAAASKDAAAASSAPTAARAIHMTIK NTADGEAITTETMADRLRFVQTEDWRKMRYTDENDEAAWDVYNESLFLRAPADAGAGA GADDKENPGDLEGSVPRFGAKWGRKRLLEAISGIVNDEAEPEPEPEAQHIKPEARPDG GAAVVAGKAKARPRNAPSRPISLD UV8b_04480 MRVCESLLLTCLVCLTYGAVLQDCGSTAKDILIHISGCPDSEPV CVFTAGQLATIKATFRSTSPIESASIKLWGVVGPAQVAFPLTPSDACGKWGLKCPSAP GARQTLKIDVPIDAGYPKIKVGVKMELVTDKGEKLICKSVPVEIK UV8b_04481 MASTPQNTAPTQPDNTLQPAAHKDQDSQQVGHQSASQQTPPAEQ PLPPSYEQARASQLDGPPQGHHNTNQPLAPPVLPLHQLSDQPSWIDCPFCRQRTMTVV RREGTSMQILVGAVLCLFCICLACLPCLAGWFEDSEHRCTQCKNLVAVRRTDGHIDVF GPQVPVASQYSGNQAPMGQDYQQQQQQQQYQPQGGQVPGQNHHQYQQPQYQSQHELHN MQPQAQPQEPVTQAAAKS UV8b_04482 MDPTMTEHDYRFPRRPGRTGQVKGHGGPGHLNLHSAGPDLPQTL ASAHGNLLGSALFPSLENAAAADSVQSVDQLRHDDPLAAQIWKFFSKTKLQLPNQERL ENLTWRMMALNMRKQKQEDEARSVEAQHAGLNCPRTQNAPSGIAQLRNSSDIHLGNNN SDAMNLDDLIFAEDVAPAAGLMSPPPAPKLNDAISARDPSTTAIPIKPRKESTSLLAP QSVPHYQRTGSNEFNYVQRHHRKTSIDERRTRKRPANFSPHLLAVNSNTAGGNCHLEA DSELQGYSLDNTDPVAMQQLNQGGNSAVSFALDSYMETDAVMNQAAHFQQNFSFSPSS SPMIPHGPFSNMYNTSSSVPTSSINAGDLYSPLGSAYQSTVSTPLAMGDGDGLYFGPH DGRHPRKQGIMQGPTRRLTGILGHGPQFIHNSANGGSQMYSGPGTESGSLSTFSTAPS SFSHIDPSQVFQTDSQVTSPTMPMRPENMLPFGADSDDEDSAAGLQNQNMPMHGDYSS SMDDMGCLGWDASLPGQFSTQAARFPGGLPRKQVMIGGTTTDYVVDNSDWENLGLGRS HSFKESDKRHEKIPRTASTPSHLATKHNGFEHTAQSLPTSPPGDHDQPPPDTMSGLSS AAPSGPSSPAAPPPPAKRASSTTNLQPAGGGAQNDGGAPTTCTNCFTQTTPLWRRNPE GQPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRGSGPNGAGGGPRSRKNAGGSAAASR KSSTLSMATVAAAGGSSSNLVINNNSLSPPASRSVLPKDSDSPAASSGANTAGSTPNS HYGNQGSSSSAAAAGGKGVVPIAAAPPKATPGPGASSSSASRSSGPAPVSSSKRQRRH SKSVGADAACGMDIDSPAESVSSSDMSRSMVTTPNMASLSSTMLSTSIGMTPSRQAIG HGHVMSLGHHQPGGPQHHPGGPCTSTGPQEWEWLTMSL UV8b_04483 MNNPVDTSQTCAPKKKPRLPPVDQTQARRFLQLQAWPGSASHLF RLELPAQPSPAFSPSASFRKPHVIDRRIAASQKLLVQIQAGFGGCCLSPDYLSYIRVT RLAYKLECFMSSFFQGGKEKAAGDGSPRPATPTKNINNSFINPPSTPHGSPSKKTVPP GAHDLPAAFESAMSLASTGIDAPVRLTRPQSVVTPLSSGNSNAQPLDESSINIDDSVI HKAALSGSPLKKQGQENTPPASRLGLSDSPAQHNHAAKSRQQLYEAKDRPRTAYKKFN TARGLTPEERELLQKPGVKRLVNVTQLYFLDYYFDLLTYVGSRQNRLAAFKSEFPPPP ETEEQMHSQMWTKYTGRERANLRKRRVRLRQGDFQILTQVGQGGYGQVFLAQKKDTRE VCALKVMSKKLLFKLDEVRHVLTERDILTTAQSEWLVRLLYSFQDDKTGVLAPSRIES MRIKLEQASETTVPFGKPMDQRTVAERRESYRNMRQNDVNYAKSIVGSPDYMAPEVLR GEEYDYTVDYWSLGCMLFESLTGFPPFAGATPDETWRNLKHWKEVLKRPVWENPNYFL SNRTWDFITTCINSRTRRFSNIQDIYDHHYFAEVDWETLRQTRAPFVPELDSETDAGY FDDFSNEADMAKYKEVHEKQQALESMADRQDEMSKSLFVGFTFRHRKPAAEDGGSPRK RIPLSDSETFGTML UV8b_04484 MRLLDAVVGFFGVSGTAQPPLSILDNSVKTARIPTSRESAVMGR RVLALSKLGTLSTVFPNATATATAHGVNNHEAHDHEVPPSGMGGQPVGLMDYVADCEE GGNPTILAIKVATNFKNVRAGSNITLAMRWQPPYAPAKRISILSRLLAHVGLSQGRSG IIDHPALFEAPDTVPYSAANLPRFALFGYLEPIQVDDEVSRKLATCYTTKHPDATFWL PGNRIHDSEWMRLVVTHIHWIGGFGDRAYIGWIPAEDWKKVKRDDWEAIRLPGEKKGW NEWSIGQSEEL UV8b_04485 MARPAQAQLPGKTRAGYRYRQFVNAFLAARWGKTQLRIFRVTTL RRRGKRPDAIHLCGIYIASITMSRMLVDVKRPMASPSGVRQTRF UV8b_04486 MTSRTPMGVQPRQPQRGLGSTMQIQRPSNHPHQNQLHHHHHHHH PHPHPQQQQQQQQQHQHQQRHVSSSQQQYPSSPALRDAHAVSDSNANCADAMPSRHVS TPKRQGSRLRLELSNEIITTGPKSATDSPLNLTPSRIISLSDAMDVDNARPAVSKSIL QDPDNPPMPMPKRRPPPSTQAIPSTRLAIHNSTPTRKDVRPKPYTVEAPTAAPRYVSS NRHDTETRDLFSKGLFSGCADFFPWSGIHHEDEWSTEAIQKGTWDRGSQNEASSARLA IFPALKQKGGLNALSTIFMGVLNQRRHRGQITAPSTFKPPPRVTLTDTKREIWLKDLA NPAISLRRLSRTIPHGIRGRTLLDQCLNKDVPTERAVWLAKCVGANEIRAFKRKGASG TFVLGGELKWIRDWTVFVEQFLEAVVSAFAEPDWRAKVTYAIHLATCLYSEQLLDREH YLEWIISGLENSSQSRIPMWILVAQLYWADLLQSRKCGRRLVFALLNHLHIIENDPHR DILIQLSGQLSNVLVSLIKDNPENFIRPPAWQRFSETLRLAIPPDDAVAQSAYSNIKH RNLRLLVANNTSPSGRHQYLVQLLDSTLQGKCVPELSTKCWSSSEDKTEITKTLVAWA TSLHRPGLTKIYVAAGLLKSWFAFGADPTSAILDALGYIAPEEKIKKALMIRLVSELV RSGTFSVFHYMQWLIGRGGLHNAEEIDPDDGPCASRLLVELPVHCLPDEQTSQRGNLL RRAGNYSVAEETRNTANALECVDLSLNLATCPAGSATPSKSLPLKKLLRKVKKSSRSV KSAIGAHLHSIVTSRLACRSGLVMTLEMFISIRTMMETIEDFSMLAGILRNSSTETDA DVLAACVDTVNANLDVFVALGSAEELFDMYLDRLKTMSRDQAVVIRPLLAALSSLASR LSQREDLAKQLSLDLVQNDRSNALDACSPVSDSMAVQAQTAEGEVSEQIDKLLASGNV IDHPTMNRLFRYIAPKLEASWMKLDENRRVFASLLSRLRMLDAHHFDKLMADWVSHIR SLRRRPPLPELYPLLVSLGCLSVSTILHTANAGSASIEATADAAAAAKSMTYLQELLQ MTIMNLPATGILDSEEAYRFRIQQQCAKFEHGKALVLLIRNSWVEYSCLRSGTGASQW PLDSVDYQNCLLETMQLLVVADSAIVAEALNMSTLPQTAFALAHKFVTKLLLPEGDSG QNPSFDQILGLANNLTMPFCQLKLNLDLSTSLPTHADGEQERVVRFKAFAKAMDNAIA SQNILWTSMLPCLSEDITHCLNTEAHSRFLGLMPSSKSKTITNDATDANRIHLAQNLL GVIEAILSGQPPCKTNFLTNDLVEKLTELWEIIMSQDEHRSSARKEVLEHWLPVLLRF ITLHSASTEAASTSATGPASSLKSSNVTTSHDARARIIIVLCGLLLDIETLPQGTTGS LSRQMFDIAILLVDALPDDLRVQCAKSILFLPGNTAGISTTSDPRIYYLLSTPRPTSA ENLKLAHRDKSSMPYTAAARGMGAMYGIGPALNERSSPFVLRRWEILSEPTPNVGEND TSLSLGLFETIKIQ UV8b_04487 MADRRHSSVDGNAMLPQPHPQTNPSGVITDELKFTQDADSEAPG AELGSDGKHSGEGFHSGQSEQGPPPAVEQSKLIVDPTVAKHVSDVMSSELGIPILLNR LKQSVASAKEFSLFLKKRSVLEEDHAQSLRKLCRLTQDNARRPEHRQGSFAKSYDEMV FIHDRMAENDSQFAASLHQMHEDLVELVANGEKGRKMWKVNGLAAEQKVADLEQVMRK SKAKYDSLAEEYDRARTGEARQGGKVRGAFKAHKSAAQHEEDLLRKLQAADQTYQSHV QTLQNEKAHLEKSARPEAVKALHELISETDSAVTMQMQKFAAFNEKLLLGNGLIVSPF KSQGAEGVGQPRSLRQAVAAIDNERDLNEFISSHHGKIPPYTEVKYERNPILNPPASS ISQPLPSSMHAPPPIGGQTAAGPEGSAGQSLTMGSRSSAGNFSASGGGITSQGPTTSG PPHGGMQDLNRQYGQNHGRSFSQGNMLSQQGPPQQHQFPARNSAQPAPRIGNPPSTQG PPQLGALSFQGSQHAPQSSTPPPGSSFPGDRDQGVSGPVGGPGYGGAHPSTTYAPAAR HMAAPSKPVFGVSLNRLYERDGLAIPMVVHQCIQAVDLFGLGVEGIYRQSGSLNHINK LKGMFDAESSNPALDFRNPENFYHDVNSVTGLLKQFFRDLPDPLLTSEYHDAFVRAAK HDDDIVRRDSLHGIINSLPDPNYATLRAMTLHLYRVMDNSHVNRMNSHNLAVIFGPTL MGSDPSTAIADAGWQIKVIDTILQNTYQIFDDD UV8b_04488 MFQCRLRVGVWLHVRTYATKQANKDFHNRAFHEYADHGTSYVAD LTFESLLASWPNHTWSSHRPCHN UV8b_04489 MSDSDESTLRDPASSTLSEDSTVAYLTPHSSIYSAPGPDSSSID TSILIPKNSKVNFASYQKYISRFATLPKGAGFCKNSVPAHTSGQGIFSLRTRKSISGL ATGFRNKMKVRKKTVGFIEYPVSCFTCHVSFNMPGSFCHVCGNVWDIATGCLNLCDAE ENPVKKGQYQEKQAAESNAAHARQQHEPNPQLSEHPMIASLKMTQQNELQRLRDFKAA TESSMRARNAAQEISLAKKQAEDEEATIRRHSKVIAQLEDHQIGEELDLRTALDQAAR SINVRIKHMEAYCDNLGHKSSRASLPPRIVTEQNLRDLERQYNLRFDMEHQHQAKISM MRNRQSSRMEELIHRHGSERKKLVDGHQRARMELSNQILKDQEICNTIFEERQSRLTA RWNLAIEIQCRELEEQTGLKRVMPTTGESETLSQEHKILAIPLFTTGQGVFCQLLLFQ TTAFHVDSCQQRKHDTIMDSSKAPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDQTRSI IRNVKGPVREDDILCLLESEREARRLR UV8b_04490 MPILAFVFLASVTDELYKYASSWCLFFSRASIIAGHGLASSNCP HVDGLALALYQILKSLIKLTRVLQKRDNHRPAHALTTKLPFRSQIWLWNFDPGAKSPH EC UV8b_04491 MDGLIDPTLAGKYPVILGDGLLGKTSNEIFTGIRYNHKPTLSSD DAPSHSRLKPSFPGKTDSYDLSFTDDDGSYAYTGSRNTNSDQYVLHFDAERKAFILDK IDSTFNLNVARLPGISDSERLRRQYPHLDSQPAPKRSVGKKTISKAAPKAPTSKSQAK ETKRKTDKKQQQPPKEAALSFPKPEQKPEQKPKPKSNSFEDEEDEEEDDDDDGGLLIE YPGGDTAKQTDFSPAFPQPRRFDDFMDQRDSEADDADGESDDEPDADFKLPSPANLHN AQAHPMDVEEGSGVQRDDSIHDMGDDLEKDMEMAFEDMANTPEGGDESEISEED UV8b_04492 MGPKTGPGGSSGVSLGKRSAGPRSANIANGRSDAFRQKKAKLFA ARNIPAQPADAALKDGQLDLQAFVAAHEFEIRSLEQSMATSRAVGTSRAFQQVPRGLR RRAASHNPKRVPKRLRSRARKEMEEDNTPIVESRRRKPRSTRARIRAETAKRLGILAA RKRRKSLKKAEAARKAGKHVAPATPAPAKFRKRQINKTWLPTHKWHAKRARMTDPKNP LWRFAIPLTPTEKIYRATHRAQGERGAMAWDTSYMSTIGLYGSICGITKILKAIGVTE EYSMIVWNPEPSSSNKTADVGGTGGSNVRNGQRQLFIRLSPAAFLETFTEMVRLCKMK GSGVYVEDLRFEIGSIELTGPASTETLLGIITPYHSKEMPSNKHANLFDSLKSVTNSA CLPENSVLGFSTQDPRLHYPPRKVEYPSDSDTATETLELLANWPAEEELEPYGLFDRG SRFRSSCLPSSKAINKRRSMAMPGSFLNPGQLDPPIPVLLISTRSPGSMQAQGTWVLL VPWKCILPLWYSLVHYPLVSGMNPRFGGLSEAMQVAFERGMPWFPTDFLATNAGAEWE LEQRQKRQLAYNKRPKSKRIAFNTVDLGAGRKGEIGDGLACDFEYLFELPRPPMLAAA EPELVQADKSADPDAMDVDSAGSLAVKGADEKPLHVPSLRLLNNVSRIDFNKFRASPV LGPRPPPYAIAIVRLTLLSRGVARTCARIYRLPEACTPAPNSSDVGVPASMPPHASPS VSLLPKDLRSQWLSKVPCTRTTAPQRSRIDNGSRQTDMQSRIRRFARDLIAAPEPYPP PAPNQASIGGHPLVPDAGDLIGFVTSGSFCLRAGTATAFGSIAVEKALRECYAGQKVN AQDFSPQNGGMCVGATYVRAITCMIPPSRGGPT UV8b_04493 MHFLPIVGKGRRPKFELHLKIYDLNNVPLVSGNSFIKWHLTHSM HAEHRGRTNKHPISNHKVDYGYSKLITGIRISVDKNNSLSECPFELEILQEFGVAEKI TLGHVKLSLSEFVEESESINRDLGSPSRKRSSIGVNPAGGQDKDGQEACTVEEGIVRR YLLQDSKINSTLKIGILMIQVDGERNFISPALRTAPVFGGIAGLVAPEAEDDTSPIPN LSKPRDAAEVQDLYRRTLAASWSRHPNELPVDECIEDIFSGGNGWKTKKQSQSNADSD GEDPGNNGDTLRPSDFRRYLKHQRSRSSNLGRHRHTHSASSDKSTSTVTGGGANAARG DRRGGGRLHHDDSRESRHDDLGSSRSGSLGSLAPTLGSSSDGGRGDLGMRRAREVDEF ELRDDLVAWKLPSGVTV UV8b_04494 MSETKGVKPGPEPADRVAIGITFGNSNSSIAFTVDDKAEVIANE DGDRQIPTVLSYVDGDEYYGAQAKAFLVRNPSNSIAYFREFLGKEFKSIDPTYCHAAA HPQDNAGSVFFSVKDKDSESDASCVSVSEASTRYLRRLVTAASDYLGKRVTSAVVTVP TNFNDKQREALIKATNDAELEVLQLVSDPVAAILAYDARPEAVVEDKIVVVADFGGTR SDVAVVASRGGMYTILATAHDYEFAGIHLDQVLMDHFAKEFIKKHNTDPRSNAKSLAK LRQEAEATKKALSLGNNAQFSVESLADGFDFSSTINRLRYEMIGRKVFEGFNRLVESV VKKADLDVLDIDQVIMCGGTSHTPRIANNLRNIFPETTKILAPATSASAINPSEAQVR GAALQASLIQEYEASDIDQSTHPAVTTVKHISNAIGVVTVDASHESIFVPIMQAETAA PARRTIHIPAPKDGGDVLVKVVEGGRHIKITKPEPKANNVQNGDKGEDSGFEDSDEEE EEEEKREKVWKIGKLLAEAAIKGIKKDGKIEVTINVLADLGVTITAREVGGKGGIRGV LTAP UV8b_04495 MSSDPVFVLPGDHIDPEIIPSHPQKPLRLGPGLRHVPPNDILPT LAGQLVVDHPRNAMRIESSRGRYVPRVGELVIGTIQKTAADVYYVSLSDYTAPALLPQ LSFESATKKTRPVLAPGALVYARISMTNKHMDAELECVNSSTGKADGLGPLTGGMLYS ISLGMARRLMMPKSMQHGAIVVLEELGASGLQFETATGRNGRFWVESENIKTVIAVGR AVQETDAKGLGVDGQKRLVRSIIKELS UV8b_04496 MTIVLEPRGAVLRRELSDGAIAGTVVGAVFAAALLTFCLYPVII HEIKRRRRSAYPSFDAEAGVYAQPCGTSVIDPNSHRRLSSADSFKQDGQLSRGGLGDV QAKQLSWPPRDGHVMQPDAIDGSSRQLSAQIEEVPRQDANAFSSVPISYDSTQTELTP FPYYMPDSMPDENPGVLKGTSADYYSTSIPSEAFGMVTTPETVQSQRTLAQGSSFKYS LKHMFRRQSGHDHSLAPELLREDRTALPRAASGTELGRIISTGHAVDSPTDFSPKTTS VSAPSQANYLPTSGVARSISASDRERAVTTLPQSPPRTGRPFKASPSPPSNPAPGTVN PMDIMPASTESEMWFRTEHQLLSTSYRSSSSEPAEQGGSVTFTPSPTVSELPPAEVVQ TALSPTPTNRETLRGLQERGEEAVPIAESHSHHHLSPPTILDKSQRPNYPSDHSTPIP GPHSTGASTDNTPSTQFDSPSPVSMNSSDFRYSTSPQPRLGSPKNGLLRCDEPGCSQI FDQPHKLNHHQRYHSKDHKCPYSNCDKGFGTKTHLQRHINDRHEKKKKFHCAVAGCDY SKSGGKAFPRKDNWKRHMTKIHNMDHQNLPEPIEVDHEMGGI UV8b_04497 MTFISDFFGLYAKSSYFAPCIPCTAKTEISVTGLRRDKRKWCSQ HLWSNFAVINMSRLNTSIIEVLP UV8b_04498 MSELNEVLAKWFEELQNLPKECHLLCPKTDEEDFEDYKTLDDPE SKISVEEKKQRIEDGNRRIEITYWNSLIFGFDKTDAGKWLEEFKEKIEQTLRTCSDCV LNWHMKRPVYLRKFSEKWNEEVILHIKEMLDRIDIGRIDHNLTWAKNYIGKIESSGAV FKKSQLGDNLSTVLIAIYEALCCMPYMADPDQRAVFQYVFMRLQGKNYLKLGNKDPLP GMTFFLFDQKNDDRRKWAHENWKGISAKSITEEQFEWAVSNGLISAIDDIVRKDAAQP STEIYLEIEHFWEGFETILAKLPETLILSHLRSLEVRPGSPSIYDLLFRHIQNCLSEG VLVVTIRVLKSFLQESAKAFWDVIGDARPNVIPDLVFVSPVYKTLLRQSLEDCWNGFN LEEDVVPFPTSWIQPWLMSLSRDRRYDACEVLIQTLFERLAKDPYIGEPGHAACIRAG FDAMIFTMRSFLDPNTQIATGTAHLSASAAFNLVVANKDLILKSLKQPQESKEGWTTF KVSDASRSVLQTAMRLDMKMLAEDFQAIHNSRTLQLTVTRKSQMLWEAISEMLQSCDD QIPLVKDTLVSIAPLISVEEIRPQKVASELDESSQGFNASLGATAEVLTRILTRISEV DATDLNTIFTDRLPIQVIVGLSVHGNSGLADGAAEILKNWTGELSRSDAIEHMSKLHP EQTLSSIVWTLDIVLKPPFPWGPIRPLLNMCRDVMQGLSDDTSGVLRTKTLDSKSAAI VRRWWHEQWRFVSKSCRNIEAWSFCISNSIMTEFCREIMELAEALIAQDGLLTSATAL GKSEHEVMPIILGPAKENFRGMENMIRLKDRWLVDVTVRVLCKILTRLRENKLEINAA SRKLITEACIPTTTPGKYIRLTNLNDQQRAELLQALGHDEEIQIVQLGISSDAPETSS FSSKITAKKQSRLDAWSKSAPPGSVTTEPAHVKRTNRDDVLELSRSADSPILKQLAAQ KAMAQGKKPDQKAISAIKESRQREKVEKAKRDAEAIARAKRIRGETVPGEGSGIHSLG VTGKDHTRSEIMVNSSDDDSDEDEESDDDGELALLTTGGQKDLDEQERRRQEVLRDRV RRPVKKIRQQRTVKEMRARLIPPMDRLHNTILSWDIFHQGNDPPNGPAASEVATKYPD PRSYQATFFPLLASEAWRSFVTAKDEITSQSFGMKIASRASVDSYLEVAFTLPAMQNR ERGGVSEGDILLVSEAENPLNSPDSKHCLARVHRTTHKKDMVEITFRVASRNNPLSSV LSPGANANAIKVTNMTTIEREYAALESLQYYDLMDEILKAEPSPIIRYGDERVTNIMQ NWALNRGQALAVLGAHENDGFTLIQGPPGTGKTKTITAMVGSLLSEQLSQAATGLNVG ALLRPLAAPRPLTASRSKKLLVCAPSNAAVDELVLRLKSGVRTTGGKTKPINVLRLGR SDAISAAVKDVSLDELVRARLEGDDTKDKAKAEREKLHEDAAKIKGELSAIRQRLDEA RAQDDVTGQSTFSRKFDELKRQQMQIGKRIDANKDSGNSLAREMEMRRRQVQQEILNS AHVICSTLSGSGHEMFRNLDVEFETVIIDEAAQCVELSALIPLKYGCCKCILVGDPKQ LPPTVLSQSAARFGYDQSLFVRMQQNHPKSVHLLDMQYRMHPEISKFPSTEFYEGQLQ DGQDMLQLREQPWHQSALLGPYRFFDVEGMQTKGRKGQSLVNTRELDVALEMYDRFSR EYKQCDLTGKIGIITPYKAQLFELRNRFQGRYGERITDIIEFNTTDAFQGRECEIIIF SCVRASSTGGIGFMTDIRRMNVGLTRAKSSLWILGDSRALVQGEFWKKLIEDAKLRDR YTKGDILGMFRKPLERANPLSSKPAIIESPRDVDMIDAALAGDSGADAQGWKSDASGP SNTTPPCSAPAESSSTPWNNADGGSANIVPREGGVPVIHTSDNQNLGSKKRHIDDLHD GNQTAKRIANKQRGGLMGKFNQRPVTHMKPPRDPSAMSVLGMVPPERPPAQVLTNQEA IPMRAASSGSTSLANRTSSSSSSSSSNPKIMQKPPPQGPRKKGKPSLFLPKKR UV8b_04499 MRLFLLPISTRRTFLYAQRLHAASVKTDASYIDRGATWAARKWS EWEKKESGWQKKVVGYGNHAFRRISYEEWGLKSVPPLSNRRRQEQAQSKEQVELLFPK DVIRREDAVHVLRKLGVEREGLHRRKMLWCLVGMPITIPFALVPVIPNLPFFYLVYRA WSHWRAIAGGQHIQWLVENDLVKPTSSPILNAWYGQAVGSSQPQQQQQQQQQQQQLPR GVQGRSRERLLLTQGQVRDLSKSLGMPALEIELERAIWQVERAIQKDDDSASCAEAQG KKHAAASGRASRRDKRADQQS UV8b_04500 MAAARSHVPCVSSPLNPYRQKRACNVARGASSRTCHRNVSISPS EALSRHKASEAWRRCTLSEVREYEGVLDKALMAREGDKAGLKRSSSHRNLSDVAGLAG TLGEVTLGSDEKQHGLQGRYRDSMPGLGFLSPRRVALAVGLRRRLPSLGLVEWLRCEP PSLPQQ UV8b_04501 MLNWAKQQLANVAGIQEPIYGPSAIKSVAEEAKETTPYTELTRD DLKWKAMQSTCVETQSFYFVSDNGDIGLAQVIYSNVAGMRTTCQFNSKIFSKDASKPH LWCSTPLSNFEFSEDNTAFYADDCAVELSQDGNVYTIKSMSDERSIVNLTVSRTAPGF VVGKTGTTKYGTDLENPWGSIRHAFWPRCAAQGTITTPDGSIDFKGKAMYSFALQGMK PHHAAAKWNFANFQGPNYSAIMMEFTTPASYGTTLVNVGGIVKDGEILLAGPGHEAIH TQVKKDADNEWPEPSSVKFEWKSNEKDAKPVSGVIEGSLGERTDRVDVMAEVPGFVKT LVAAAAGTKPYIYQYTPKMTLKLKIGDGEEINEEGHLFMEATFVTE UV8b_04502 MEEAQVVPVPAADSISRPSQTSALVSASLQQLPGLSMLAASNAA QESPQLRATAAPQNPTYTGATPAATNGNGNLPTCQNCTTSTTPLWRRDEFGSVLCNAC GLFLKLHGRPRPISLKTDVIKSRNRVKTIRPDLAAKKKQQQQQQQQQQAAQSFGLSAA DISGVDLDAQNAAAQAIRRTSQKAINGNEGSDSPISRTGTPSMYNQGLTSFMVDDPYQ TGGFNGTSDNGDRDMPQTHEQLIAHNSSLKTRVSELEVINELFRGRLSQLEQQEAAAR RGQEVAGVEQTQLREQLEATQQSETQLRAQLEDSHRRENILKRRLDELELELKAAKDA SGDGAARPTKKLRLDQHAEAVASPAPLQEDQFQALQDQQDQQDEQDHFGALESPGVSI KAEATNIEEPVVEDQITEQSVSEEVLAESTETSVS UV8b_04503 MASIPRRHLRLAVVFACICLSFTILPSILPGRPPRTWTVESTVH HKDATTEHQSPYALVPFPDSPLQPHRPAAARKTRQPWLAAIICAAQDVERRMLMRASW IRLFRHVPVDARFVVANPGPSWGGIIQFENQTFGDVIVLDHIPEDDITANTIKTLEFY KLLLQSGHRYEFITKLDTDLWINAPAFWHRYLVPRMTNETGQLAASVNRTVIGQLFFS DTGYNTFAHGSMYTITWDMMQLLVSLQERFNIVTGEDAAVGILMHKGQEMANFVNMRG EEKFDYDDGDSRGDGTAWARAGTHPDARAHALYSDGVIAVHLLKQTRLWLKVAQCFDE EGIKPMPEQQQTEKTPPLHISFNDFLYATGLSNPYKTMFETIPQEFLVHRMGQWICDG IWNLGKSRTGFQ UV8b_04504 MLLAVVYFFHRIGVARSSKAPDRKYGLPQNEIDVFASHAINNTV VIVPVNTGMMHLVENMICSLTTTRFDPSSIVFWALDKGAKLALDRKGLASYRDPSLYS TSGNSNRHGNTEQYHRMMRERPKFFLDVLSSGYDMLIVDADTVFWQSPLLLVPSEADK KMVDIVYSTDAREFYTEHDAFHDERRRGALMPPICNGLFWMKSNKNTVALWTEMLGVF EAPWWIQGIYRARFFQDDQRGIDVMLNDGRAKVVGPLPEGITKDKLPAADKAVLNVRL LDQTAVVNGQLLMFRAKTYQDKLDQLRAAGKDRIAAHMNWDTSVITKEDGARKKNIYF LDDDGKCRA UV8b_04505 MSTPNAKDSMKSTWRFADKNTWGLGHWVLHLMNAHPIDPDKPIP IHAKTDKIPYLTQKSQHAWVLTHACAPLLIHQAILNITGWQNLHYGLVFLLYFYAFQF ILGHESRTIRRMGQKWGFLDGDAHQRDGIPDVGATKIMLSLVKGTAGRVAMTVYFSYD HFVQPLDVLSDWKWWAWLALEIGLYTVILDFWFYWYHRLMHDVGFLWKYHRTHHLTKH PNQLLTAYADEEQEFFDLVGIPFITYISLRAMGLPLGFYEWWICHQYIAYIEVAGHSG LRLHAAGLSTLYPILRYFDAEIVIEDHDLHHRKGWRKSHNYGKQTRLWDRVFGTCLER IESAPENVDYENQVHVPLL UV8b_04506 MHLLRTIIALATLLVSLATAAFKPSDVIHPDDLIRYKDLMRSIF PGQTTRMRFQNNIVYVDVFSLVNKEQVVFTDGFRPSAHAITYFASEEKKALRPLRNPK QKQNLRKLNRGTVHQS UV8b_04507 MNDQGTGIGQALILEELMRRTGDTIPDFFILENDADNAESRLFC SEFAIIANTPPGEGRFAQEPRHALQQQPPRGKAGDTARARHLSATEFSLQQLLFDLDS SIVQRVPEIKGVEPGRPDYEALIAASKEDSIASTLDNVCVVNNHRKYVFDYYWVVKSQ VGQIHGVLSMKKATLFKVFENQLLE UV8b_04508 MSSVEEVVDALFRVLGHLASSALDLLDVKLRIPIISDIRGAIGV PEISSLDLFCWIAAEIDASQDGDKDLFFLEISAKVIHGAGHGVSDFLLFVATFASSAE ALYLTVANPWGTPFTVLGIFVAGS UV8b_04509 MAAAIASYSHDHFHSLPSVKEAHERFVNIKGQGHVEWFKKFFVA SNMDRRFGLAMIHRHFDLEHHEKLVEYKGTSIPWSGGFSGMKEPQPAMWSFDDKGVLR PIEFYYSEAHDGNFAEKDLELIAKFKTELALRGLDNVFGLVRYPGDKFDGSCEFSQGR ANINLQPKDYPAVLKAFSTIWFFSEPLWKRGCTCKCNEVSSDHPHTGHRTTQRT UV8b_04510 MASQRKFNFEPQNIFAAVSQLGMFGNCPFLDGEFHGGECRVFKL SFKDEASVAVRVLHPNDDISHDNTLATVQIEVRVLKELEAKGFPWAPRFLGASVTFDN PVKHPFILLAWAEGLPLSWDENSPPQPLRDFLLGQMASIQLSLIQCTLRNGSTTAMTY FEQRMRNRLRRVREGTIPGLTEKDCLDQQALLDQVLGTDRNSTVFAMDHGDIKPGNII VDKNYNIRCVIDWGFAALVPIAIAAGLSRFLWATHSANFAPSPTILEDRQAYTRSLSS HTSPAALSMLRWQTAKEVDFRTLYLESISSKGMHISMARVGWKVDCVFLGLDEEQRVA DAQGS UV8b_04511 MAKKPRPVLMPWDDLAWEQSDAQADAWERAQLNADSFRAVAKFI NQHKPGKAVELHKPIRGGNNVCYRLEYDDRSSVALRIPIDRPGVKFADEKVMIEVATM RLVSEQTTIPVPKIYHFGAAADNPLGLGPFIIMDYVEHESTMSDAMADSSLAPGEDHV LDPNIEEEKLEFFYRQLANVLLQLSRLQFNRIGSLLQDETGHISVSGRPLIQNMSHLM QFTGTPDAVLPSSPYPDIKSWYAALADMHIAQFTFQHNDAILDEDDARDKFVARLLFR QLVYEDRLTSTADGQSTRQPDIRLFSEDLRPSNVLLDKDLRIAGVIDWEYAYAAPTQF SEDPPWWLLLMKPDEWPGAYESWMQAYEPRLRTFLRILEDEEKKLGANKNGNGTKLNG SAPTEVCLSERMRKSWDDKTWMIGFAARNSWAFDLIFWRYLDPKYFGPNEAAGYRARL GRLTQKQREAMEDLVAVKMKEGRECKLKEWDPDKAKAHLARFWN UV8b_04512 MHLICYRQNLLQPARDTEEQRVLVCGLAQRVHHSSLIFLASLNP NFSATAMPLDEIQYPPGFGLKDVVAWGSTGLIILDAATQTVIKTPFDDEFRPSIQRER LIYERLTELGGHDGILSYHGGVDGGGIRLGYASKHDLQSFIQQQNSLDLRLRLRWMIQ LAETLHFIHNAGIIHGDLTTSNMFLDDRLNLKLADFAGSSIDMEPLLVTVTVSYEYPG DLLSTQADIFAVGSAMYEIMTGERPYSSLSEVDTRKRYQNKEFPDIASLGSLGHVIRA CWEGSYSNSKALAKDLKAIQETSNTVASDTWLPHILFGIGAIVLAALVYRKLARIS UV8b_04513 MPSVLEALQWSTAPLDVSSAPPGTITRVRSDNTVDMWSDWEDFT YDNIMAIYGNDLRIINSALDSMVTLREYAPYYTHGSRCYCGEYQSLVPGDTKPSHKWN PDMFETKPDVWQMPLNQVATYIAYARVRYGFIVTDREAVVLRFSREATAAGVGTSLPQ RLGRNVSFQLPSEPSVLSSESYGDSASGWDFFVEYKAIPWCNNEIMSDNMTFGDDPRK EDRLSKAQQEYERLRERRKEKELERMKIPFLDEEVMNPLKPLDCSMGAFRRPQLRKCP FGLADISEFRRVQPGQDHDGGLDGINWKIRVGSNDVFYVMKVFWDPAPPWPHYFAAQR ECQNVALLQMMEAAVSDDVQRGDQNGPVLLHPEPRSLQEAKTNLRAFSNEGRQHCKGR ELRHYLPRRLEPPPIRVEKIVRRLDDDASYVAVVYEFVDEGDNDYSTVKSVLEFLWHA GFSHADVTLPANWKNGVLIDLSDIVMPGAIGWSKRRYGIIDPNIIFQN UV8b_04514 MINQNQSDLIKNNPIGDILEPFRSSFRAKFQNPSSRLDQLNLEE LQALFVDLLTILQTTRACRLLKTSRNGPNLLSDLFRLGFAISSGEFELVRTKPLLQAA LADCLDEQSIWDNVYDLLADSTSPPRSIASVVEQTPWRGSTGSIVNSSELRDDVSKIL REELGPLYVGVSGLHDAILRSVPTLDTASEIAFRECIKGDSPLFDGGWTGWPILAIQD DVVAWLVGIIPKLEAFAGARNPIMSQRRKLLAQPRTPLEGSTGKRSLDVGFVNRDFTP RGGGIDAKYRWSQVLVAGELKSNPKSDIASDAWIDLAKYAREVLAAQDTRRFVLGFTL CGPFMRIWQFDRIGGIASEKFDINDNAELFIKTILGFLWIDTETLGFDPTIVVTARGR HIEIQREGKTERLILDDKIMYRAKCIAGRATTCWKAYPQDDPKTPLVIKDSWQYTDKV EEGALLREATEAGVVNIARYYHHEIVRVGGVDDDISHNVRQGLDITKATNYRIATSRF TVRTSTSDVSRKSRATSAGMKRTSSETNATVPPSKRSCLTLPANQSIQPPANRIHTRT IMRDYGKPIYDATSPAALLGGLAACIKGHESLVQKKNYLHRDISINNLRINEDEDNPS YPAFLIDLDHAIDQQRKVASGAKTRTGTRAFMAIGALLGENHSFMHDLESFFWVLLWI CVHFDGQQARVVKRFDEWNYLSMEIVADLKKALVIDEDDFCGMMENLFTPYYQPLAVT VNRLRRVVFPQGKRWKYPDLGRYQQMKDILVEAQKGQF UV8b_04515 MTESATKRLKTGPRIGTHNGHFHADEALAVHMLRMLPAYRDAEL VRTRDPKVLDTCHTVVDVGGEYDAHRNRYDHHQRGFATTFPGRETKLSSAGLVFLHFG KAIVAQRIDASQDAPEVALLHNKLYESFVEALDAHDNGLSVYDPAVIAAAGLEKRYSD GGFSLAAVVSRLNPNWNDPELPDPQAAQAAEDEKFVAASQRIGEEFERDLDYYASAWL PARAVVQEAFRKRTRFDSEGRVLVFEGQSVPWKDHLYSLEEEDGKPSVLYVLYPESTA SGAKWRIQCVPESKDSFVSRKPLPEAWRGFRDEELDGITGISGCVFVHSAGFIGGNKT FDGAKQMVAKALA UV8b_04516 MGMHCHGARGGAFVLSPCGAASYTGPELISTWRPLGTNQAYDCR HVVGSFEAALVQDQYHTPNRSQHEANDHGALGYAIIRLSSLVSPYTTLGFARNASKCV KRHHMFSLSHGVHADVFSVT UV8b_04517 MMSSDSSPTTGAWPPSRRSKRSMTRNSTFVIPATGERSRRQFTL RTSSSLISSRSARLERRSSMGQATDRLRSSARRTKDKILATWRWLDSRQGHQVLKCTL AYLLGSTATFWPALSDFLGHRDGKHIVATLTVYFHPARTVGSMLEAVLIAILAVAYAE IVCVLSMVAGIASRTSTGSVVPAHAIVLIIFVGGGLGFVGWIKQRMNQPLVNVATTLA SMAIISVITKEQSVQDGYFSGDKIIQVAKMLLLGIVFSVAVNMLVWRLSARRVLRESV VTASLCLSDRLSFVTRGFLNGTEDEINSPEYATVRSRYDSAYAEMSRILREARLEHYL VGREGIYKLDERLFKSIESLDQAIGGLRSSLSTQIALLNELPPGASSQEEPIGLSPGC SGVSSKLGRVASVLLDGVGDALSVIEEGEEDSRPQSISQPEPLNMRTPLFQAPADIFS LFITMLGPSLKSLAYTLSETLRESPFGEDLVTQVKVNDQLRESLRDALNLFNRAKSSA LQELYNTIELGRFRSEAIQADIEEVAAACGHFSFSLRAVADEMDSYLDVLEELQYATE THSRSWAWLKFWNRKRSPNDGGTQDPSCETLLRGNNDTVRGLKKPTDARGLPGSMKKR RDAFHWDAAPTANSLQRKTSQRILSVMRLVAREDISFGIKVGIGAVLGAQFAFIPWTR PMYQHWRGEWGLLSYMIVVGMTTGASNTTSTSRLIGTLMGASCACTSWLASQGNAYML ALFGWIMALCSFYMILVVKNGPLGRIALLTYNVTVLYAYSISQDVDDDDDDEGGKHPL IFNITYHRVVAVVLGIIWGMVVCRLLWPISARKKFREGLSVLYLQLSLLWKREPLSVL LARSNTLDCMREGEHVALQRYAFKLEMLRTSAKSEFELRGPFPDAAYARIMHSTKRIL DSFYAMRLLTQRHETVSVSAGEDALLVFTNDERVRLCQRICHILQVLASCIMLEHPLT DAIPTISAPKDQLLGKIHQFRKEHMNQALRDAAEEPDGKRGGVVVEEKDYALLYAYTL VTAQLAEELRKVRSEIEGLFGVLDQDELLLE UV8b_04518 MDRTPASLFRKQTAVPPSSVLPSSSPAFGTPVHPPRPFNLNAPK AAVLPILLPPATLRPLAFRTFTKKHSLTLTSSALQELATFIGRHCGSGWREESLAERV LEEVARSWKSRNGGVIVDGTNKELHDILKTLEGNMSGGKVVGPGKGLPRGDSMLEVKD GDALSTRLGLRPTGPARQDSNASFGMSGLGVQEDGPGPEAEEEEEDDDEASDPRAWLN VVNAFDQPRLVYNVGKKHFERETTKPSLFPPASHKTTVFRNRYQVIHQRLLRSEAFQT SSVSSSRRRALRRSLPDQQSLRITPIANMLGRHGSNHMLLGQLIILPTGKLAISDLTG AIALDLSQAVAIPQDSAWFCPGMIVLVDGVYEEEEESVGKGLSGSSGVGGTLGGRFQG FFIGQPPCEKRRATLGISGPDGGQDHTIGGGFGWVDFLGVGSERAVGTKMRRIERRLM HQHPPDVPGRGRAVIIGELNLDQPRALQALRKILSVYAAEPEGSAPVAFVITGNFTQQ AVMARGGSGGSIEYKEYFDALASALSDFPTLLQSCSFVFVPGDNDGWVSAFTAGASAP LPRKPIPEMFTSRVRRAFAAANPDAAGPGPHGVPGCAIWASNPSRLALFGPSHELVLF RDDLSARLRRTCVELKRTAPAPPAEAAPMELDSGPDNNSTNIHTNTPLVSDDIRAAQK LVKTVLDQGFLAPFRQAMRPVHWDYSSSLHLYPLPTAVALVDPTAPPFCITYEGCHVM NPGSVLVPGRKGVGRWVEYEIGRQGRLRECTL UV8b_04519 MLPSLLAATRGFAPRIMGTRQRAVSTLSSNPSIKVFDNPSSPAS YLLTYLDSSPPSPKLAIGTSTAIPPTPQSFSENRRFMEILNEVVAEYGHRDEDVISQA RALASPGGFNLGSGGSFFNRQRPGRGSSLKQGAGGGAGGSGAGGASAQGGAGGANKGG WVHLSDRRNPPDFGRIAWPEDILASVEVNGEGRVVGKAQPSGTYRAVTKEGILGLSPF LRDKLVERLKREESAR UV8b_04520 MAPRGGFEDEELTISLSSSHVRRQQQQQQQQQQTAQEHHRYQGR DDAARPSRPNGGRLNAEASQRDKSKTEQRIGAYKVVRTLGEGSFGKVRLAIHQGTGQQ VALKIIARKKLISRDMAGRVEREIEYLQLLRHPHIIKLYTVIKTQTEIIMVLEYAAGE LFDYIVQNGRMKEPEARRFFQQMLCAVEYCHRHKIAHRDLKPENLLLDDNLNVKIADF GLSNIMTDGNFLKTSCGSPNYAAPEVIGGKLYAGPEVDVWSCGVILYVLLVGRLPFDD EHIPSLFAKIARGTYSIPQWMPSGAANLIKRMLVVNPVQRATIEEIRQDPWFLTDLPA YLQLPVEEFLNTGVDPNKAIQKRDIAPNASVQVQEKLHNEVTEKISKTMGYGKTDVEE ALQSEEPSAIKDAYMIVRENKLMQVTQGGEPLTPDVEEAASPMMSMSSARSGISAAIP SRPYVSKVGVLPTSLPTYHKDFIERQKAGLDSQPSQVATINEEPPAARTDAEKEEAAR RLKPHARAQARLEEDRKRPQGMTPMNPPKKMKPVRWQFGIRSRNAPWEALLCIHKALH KLGAKYIPDEDYAQAHGKGSETPSGDGSFADDYDPAPLRDSSTSPDPMKRYKLPADPW HIRIRWESSTFNTHIQTIEGAEKSQTGTPNSYHVLAGDHAVQRAFTALHLDIQIYEME QGVYLVDFKSSGYETPDGRLLEEKEVTSPFPFLDMAARLIMQLAEAD UV8b_04521 MAANNMVNSAVNPDLEDELFAQEVEQVKKWWQDSRWRYTKRPFT AEQIVSKRGNLKIEYPSSAQARKLWGILEARFKNKDASYTYGCLEPTMVTQMAKYLDT VYVSGWQSSSTASSSDEPGPDLADYPYTTVPNKVGHLFMAQLFHDRKQRQERLSTPRS QRGQVANIDYLRPIIADADTGHGGLTAVMKLTKLFVEKGAAGIHIEDQAPGTKKCGHM AGKVLVPISEHISRLVAIRAQADIMGSDLIAVARTDAEAATLITTTIDPRDHAYILGS TNPNLQPLNDLMVAAEKAGKVGAELQRIEDEWLAAAALMRFDDAVQKAIASSSGDQAG LRERYAALARGKSNAEARAVARSLLGADVFFDWDAPRTREGYYRLRGGCDCAADRAIA YAPYCDAVWMESKLPDYAQAKEFADRVHAVWPHQKLAYNLSPSFNWKTAMPRADQETY IRRLAALGYCWQFITLAGLHTTALISDTFARAYARHGMRAYGELVQEPEMEGGVDVVK HQKWSGAAYVDELQKMVTGGVSSTAAMGKGVTEDQFH UV8b_04522 MSGLPLVRVACRQGLSKVPDALPHRGRCCRPSVRPGWVDETKSL RQQQDDRATAGNGHVVVPSPAREDRDKDGKRKIRKTKTKTASRRARQR UV8b_04523 MAEAMNHRQRSSEDYGVYDDAKTYYMTEERHHNRFGARTRTYSQ NSLVKQFERNGLREPFRRGSHDESALPQGRRFLIQVEPTLDNLRAQEDTDGNMQITIE DEGPKVLSLPTAASAGHNRFEVRGTYMLSNLLQELTLAKEYGRKQIILDEGRLNENPV DRLSRLIRDHFWDGLTRRIDASSIELAARDPKDWTDDPRPRIYIPCGAPEQYEYYKKV AQDRPEIRLDVRMLPEKITPELIRDMNERPGLLAVETEEVVDPVSGRRTMQGLPFVVP GGRFNELYGWDSYMESLGLLVNDRVDLAKSMVMNFCFCIEHYGKILNATRSYYLGRSQ PPFLTDMALRVYEKIKHEPNAKEFLRRAILAAIKEYHSVWTCEPRLDPVTGLSRYRPE GLGVPPETEAGHFVHILEPYIKKHQMGFKEFVRAYNYGEIEEPELDKYFMHDRAVRES GHDTTYRFEGICADLATIDLNSLLFKYETDIARTIRSVFGDRLEIPAAFCDRTPYQAG EVLTSAAWDRRAKRRKLTVDKLMWDEKEGVFFDYDTVKRERCNYESSTTFWALWAGIA TPKQAAEMVQKGLPRFEAYGGLLAGTEKSRGNIGLERPNRQWDYPYGWAPQQMLAWTG LLRYSFTEEAERLAYKWLFMITKAFVDFNGVVVEKYDVTRPVDPHRVDAEYGNQGLDF KGVAKEGFGWVNASYVYGLQIVNAHMRRALGTLTPYPTFVKAIEQSMEKELADLTAT UV8b_04524 MRPIIVVKQTTGAVALSHSRSLVQTPFAAITPQRWATSHGNCGL ESHKLVPILDTRAKSRNRVGMEAEFEHLADRKTKWAAYGFLSANQGFNQLSAMWRLRA WLRSRAILWQVSSISAPIFHLGFVLLSNVGNLFVSQSSEARRSFNLCLPIETIQSNDF PRVEDGTEFEARLHHILREARPLKVMSHLIFTRCDEMIEAPETRSMLAARYGHFVKLV ASDGCLQHTIS UV8b_04525 MIPASGIFMNMYNQCHYYPRIAFDKCSSATVWQDRLTGGRLVKP SRFLRKASSICASGVGNGDDTAGIKTLPPASR UV8b_04526 MPRRRSTTAIAATRPVFPRYLLPSLGFALPHRPPSPTTPFATPS TSSAAPPPSPSRRVSRTAPDTLRCTACATDLALASQIISKGFTGRHGRAFLVAPPPAP HPQSLLNIRVGKSEDRQLVTGWHVVADICCATCARKLGWKYVDAREQSQKYKVGKYIL ETERVVTHRSWDDRAVRDDGGLGGWGELVGGAGAREGGAIQFDSEDEDECEDVFAGTW DAVAAARRRVRFCGGHLKLVIALGLLKIVRRWNLCYE UV8b_04527 MPRTVLRQALSCRIPKIAQTRPLPLKIFIPFASQEKLSCHRPYL TESIRAHQARRMAPQLDSYFKQVDDSANAFIERLRKAVAIPSISAEDARRPDVVRMGE WLAHELETLGAQVELRPLGKQPHKEHLQLPPVVLGRYGNDKNKRTILVYGHYDVQPAE KSNGWATEPFELSIDDKGRMFGRGATDDKGPVLGWLNAIEAHQKAGIEFPVNLLMCFE GMEEYGSEGLDDLIQAEAKKYFADADAVCISDNYWLGTQKPCLTYGLRGCNYYSLEVS GPGADLHSGVFGGTAQEPMTDLVRLLGSLVDTHGKIQIPGIMEQVVPVTEEERSLYDG ISFTMEDLHESLGSKTTIYEDKESTLMARWRFPSLSIHGVEGAFGGSGAKTVIPAKVV GKFSIRTVPNMDIDTTNACVYKYVEEQFAKLNSKNTMRVFAQHTGKWWAASPKHWNFS AAAKATERVWGVQPDFTREGGSIPVTLTFEEATGKNVLLLPMGSSTDGAHSVNEKLDK RNYIEGIKLLGAYLHYVAEEPQS UV8b_04528 MSNKEYNRQTPVKYVTRASSSLPNQQRQYTLCIKTPLKLPADGV LCVNMHCPLFAAGGLGRYTRAQTSFGAEETVFTDFFSVQEISDRRMTRNWTL UV8b_04529 MASSVFFKFKSQKEPTRVEFDGTGISVFELKREIIIKSGLGDGT DFDLFIYTDDNSEEYNDDTTIIPRSTTVIARRQPALKPGAGRAARYVSGKMPVSAKNA GRKEQSSKASSSKPSATAINEMNNAMTEEDRMAAMFAAQTEQWSAQQEEMSHQAFVFK PGAKRPANVPDHDPPTGYICYRCGNKGHWIQLCPTNDDPDFDNRPRVKRTTGIPRSFL QKIDKSVVLAQTDGDDSKRPSGIMVNAEGDFVIAEPDKASWEQYQAKAKSSSTTNREA QAEDKEVQERGLQCPIDKKMLIEPMKTPCCKKTFCNDCITNALIESDFVCPACQTEGV LIDDLQPDDDVSKSIQEYLKEKETAKSPPPPPPPSSPKVQDGVTNSALGTASAENKIE EQGKQEAAAKGVVKEGDGETSRTSSSPDYAAVKSPPKAADGASHPGGLEVKQPGGSSQ LQEVSSKKRPADELLENPKIPKGPRAMQNQQHVSQDSMNPMNNMMNGMPSMGMNGMMF SGMGMPNMSMGMGMGMPMMGMPMMGGMPSYGNMNGGFGGMAGSWDMNGMGGMNGVNGM SVMNGMNGMNGMNGMNGMSPMNGMSPMNGMNGMNPMNGMNGMGSMGMNGMQGNMSMAN NMGTFNGGMSGFQSGQSFIQQTHQGEEDAYFRKPVNPHRHQNKQRRIRPSDYREL UV8b_04530 MSSAALVSADGALEPSLQSLLDQRSLRWIFVGGKGGVGKTTTSC SLAIQLARVRRSVLLISTDPAHNLSDAFSQKFGKEARLVNGFDNLSAMEIDPNGSMQD LLAGQAEDEDVNAMGGGLGGMMQDLAFAIPGIDEAMSFAEVLKQVKSLSYETIIFDTA PTGHTLRFLQFPSVLEKALAKVSQLSSQYGPMLNGLLGSGGALPNGQNLGDMMQKLDS LRETIAEVNAQFKDADLTTFVCVCIAEFLSLYETERMIQELTSYEIDTHTIVVNQLLF PKRHSECDQCNARRRMQKKYLDQYEELYAEDFNVVKMPLLVDEVRGKEKLESFSELLV KPYVPPE UV8b_04531 MSAKDPITCHVLDTAAGRPARGVRVRLEGGTPTKTFESLTDDDG RIRTWLPYSSEQSAGEVPVYTLEDVLGESSGPSTWTLRFDTAGYYGADKTFFPEAVVV FRVDKGQHYHVPLLLSPHSYTTYRGS UV8b_04532 MAENQPAPREADTKSCAVPEIEIMPPPRASISKREPRDTGFPEP FHSGPNTTLPHPEADLSPNAMLSYEDVSVDKMVKRHRLSFLKKNKRTVTHGKIEPQPE TLHGAGSLSAFELDGVDASPHRLRDISTSSLRLCKDEGESIHSGSKRDDEETPPTSPD DSEHRQASRVLSRWRRN UV8b_04533 MSDSPRGVEHADSIDSHDSSPKPPEKQKSRRPPNTAFRQQRLKA WQPILTPKTVLPLFFTIGIIFAPIGGLLLYASSQVQEIQLDYTDCLSKGGDFNKTEFN VMPDGAVNAAFRNKTGSVQAKWAAEKNVQITLYNGVAVTGDRCHLQFTIPDSMSPPVL FYYHLTNFYQNHRRYAESCDLHQLKGDARSYGEIAGSKCTPLYGEDRDGVKKPYYPCG LIANSMFNDSFGNPMWMNAPGADSAKPYNMSTSGIAWDSDKDLYGPTKYNVSQIIPPP NWARAYPNGYTNENKPPNLREWEAFQVWMRTAGLPSFTKLYKRNDDKAMDAGTYQIAI DDFFPADKYDGTKSVLITTRTVMGGRNNFLGIAYIAVGGLCLVLGAVFTATHLIRPRK LGDHTYLSWNKAPAPKPSGPSTAMASGRELRPGDS UV8b_04534 MLFMLFLWPCFILPTSTRRFPARQHSQTDGQRAGQSAKAIKSLG PSRGRTVKHKAPGKCAGEHWHSRDAQSAAKAKANVRPGPSLPMPHVRNSSFVIPPYAS AVGFNPAAAKIRGNVV UV8b_04535 MADIKIDGKLFQERISHFATAWKNDLRSKDGLFGGATSILVMMG KMEEIPEFHKNNAMHFWLLGYEFPTTLMLLTVDTLYILTTAKKAKHLDQLKGGRFPIE VLVRGKDAAENEKLFVTIADKIKAAGNKVGTIAKDNSKGPFVDEWKKIFAEHCKALEE VDISAALSTHAFSVKDESELRAMRTASKACVALMTPYFLDEMSNILDSERKVTHATLA EKVDRKLDDDRFWKTVELPNKGKLPSDFDAAQLDWILGPAIQSGGKYDLRFAVEANND NLHAGIIIAGLGLRYKSYCSTIARTYLVDPNKSQESNYKLLHMIHNTILKEIRDGMSA KEVYQKAINLIKVKKPEMEKRFLKNVGWGVGLENRDPTLVLNAKNSRTLKDGMTLIIH TGFQDIDNPQPQDKFSKVYSLVLTDTIRVTTSEPVVFTAESPTSADANSFFFKDDEEA EPAPKKEKKDSRVGAVATKNITTTRLRSERTTQVDEDAESKRKEHQKELAQKKQKEGL ARFAEATGDKNGAEVKKFKRFESYKRDNQFPLKVKNLEVVVDSRNSTVILPIMGRPVP FHINTIKNASKSDENDFSFLRINFLSPGQGVGRKDDQPFEDASAHFVRSLTFRSADGE RYSEIATQISNMKRDVVKKEQEKKDMEDVVEQDKLIEIRNRRPAVLDNVYIRPALEGK RVPGKVEIHQNGIRYQSPLNAQQRVDILFSNVRHLFFQPCAHELIVIIHIHLKDPIIV GNKKKTKDVQFYREATDIQFDETGNRKRKYRYGDEDEFEAEQEERRRRAELDRLFQGF AQKIAEAGRNEGIEVDMPIRDLGFNGVPFRSNVFIQPTTDCLMQVVEPPFMVITIEDI EIAHLERVQFGLKNFDMVFVFKDFTRAPYHINTIPVEFLDQVKDFLDSSDIAYSEGPL NLNWPTIMKTVTADTHQFFVDGGWGFLQENSDDEDGEDESDEESAFEMDDDELDEVSE SSEDGSDFGSNASDDDDDDDEMDSEEEGEDWDEMEKKAKKRDRESALDDDNRGSKKQK KR UV8b_04536 MRLPYVSNPPPACSPEEADIVKRIEARRAPRPLQPLDLALLHSP PLADGWNSFLGAVRTKTSLGADLRELAISRVAVCNRAWYEWKHHAPLAAEAGVSKEAL EVVKRDGEFRQSEKPAELSEKQWAVLVYADEMTRNVQVGDETFRQLKSLFSDKEVVEI TGTVAAYNCVSRFLVALDVGESNGTGPDDVATH UV8b_04537 MSESGWLQWTVILALTALFLSLLVVGQVGATLWAAYSAAPREIA TFIDTVDFSIQENESYDRDVAKVQRLEDKIRLGQLLREIQKGGDDLREQLNGMVVSEG ASTLRTSARLLWGVKRGQLEDRVRRLDLLRMRFLVVYMGIMTSVVDRNPPPPPPLPRD PEKSTPFASPTKLSLRKSLTESAVRRPPLRRLTTQAIGHQENVIVPHRKGWAGVVEEL QTSPRMQQRHASIELAMARTTP UV8b_04538 MAAASTEHPVPETHQFPLPKVLQYPASTPPSLIAQGAEGRLYKT TYLDPHVPCALKYRPPKLWRHPKLDQTLTKHRILSEARILAKCRREGLRVPAVYAVDE SAGWLMMEWIHGAPVRASINKWLGDRTDGLEGDTRLKDLMRRMGVAVGSLHKIGIVHG DLTTSNMMLRPPTEGSDQHDDLGGEVVIIDLGLASGAVHEEERAVDLYVLERAFGSTH PRAECLFGELLDAYKGCFKQAVTVLRKLEDVRMRGRKRSMLG UV8b_04539 MTKLILSTGNVMSSGPSIIPRSGSGNRSNLELVNSLRDSFSQAK RDYAAAAAAAAAAAPPPPAQTNGSAASASANGTAAAADGPASRGGAFTPPTPPDVWTE TDGDVVYVPRINWRAAGLRDEPSQYEITVKLFFLPGSSAAQREQHIHEALALTSRELG TPAVDLLVASFPGVSFEGTCEWEADKKNARQGHLDEELATWRVLEKLHGRGVAKRLGV AEFGSEKLSAFIRRAAVPPAVDQINLHDCCNVPPPLKQLAERHGIELNVHRDCTDILP PGTLRELLGGGVRGANVLADADNGGVGLRGNLVPQWVVRYMAFVRDRGVIENKGYFAG AELIEA UV8b_04540 MAIQYLILLSRQGKVRLAKWFTTLSPKDKAKIVKDVSQLVLARR TRMCNFLEYKDTKIVYRRYASLFFIAGCSSDDNELITLEIVHRYVEQMDKYYGNVCEL DIIFSFTKAYYILDELLLAGELQESSKKNVLRCIGQQDSLEDMEVEDEVTKLM UV8b_04541 MKYLPVHDFEAVTSALNFNTPDCNVTGGCDLYTTKSAGSDKKLY KNIDKDLNSQHAALLKLGASLSPPNREQMLATSPSMQMFSRCSAFGPLSELSSRRTFA YLIATLNASHPHYDFSHVLRPEDFKRERNLRRVMVSLDSILQNVRPGFEAASLESSLG SEVDSVWGPQCWSLIDKEMRLNECTVFSYHPDPDPFEEDESAIWAVHYFFFSRALKRV AYLYVRVVPVLSSTSPTLRPMRVGQPKRHFMDADADGATKRAKYWLGERHAEIASSFD DGEAQLDDGLFWNRGEDGDLVLFSEDDYLGVDDEDDDADEDEDEDDSHALDKDRISED VAGRMEI UV8b_04542 MDRNSSIASLSTVSPRQGRSRTHSVSSDRPSTIGFGLVLPPVSV SPEPSFIAASAASQIITNGLDSHADAWYDQNGIEPPTEPAIVSDPALQLVNGFIDQLL LNFLQLSKSTALPALRPAVVEVLKPKLAKDAIGTADDELKEYLGGANEDDYTQPDASN PARNWDLELVWKRTRLRCMVYSSLGDMEEEDEDQYMQADNLEVGAHEQMSDVISPAVA IFLTSVLEYMGELTLTVAGQAAYQRVRSKIEKELKDGSRGASDPADCIVVRDADVERV ALDRTLGRLWRGWKKRLRTPITDLAGRQFPKASPSPPTQERTAPGSDAPPLPKPAAGD NNGRGRTPPKQPPVVKDVQAAYIPLPIRDNDVEEIEVPGLARYSDDEADYEREHKQRT PERPKSLVLVSSIIANGLPTPSGSQPHTPSIASRKRSMSLPTPAVPLFHLVNRKQSRS AASDTGPDEEAGKGRGVTGRTKAKMSASGTVRMAQSQSSAAVILNEASDSESAESDYE DAQEIAYEKAEIMTSSRISVPGSFQSSDSDNMGRVGSMKRSSSVHSARIIDVQGPRSP GRSPAHSRPGSLGTLDRTRSVGLSGLAVAKALARVAAAEDKPKQVTSEPMAQTAVGTQ GVGTASEKRNSSAPQTPSISELDEEQAQRQLSNVPSQLDKSPDAAAVPTAGATSTQTR KTKTGPSLTTNAKTQAGIFEQSAGNARAATLPATPPTPEIQQLQNVPDLPRKNPGHAA RSSPKADTKGLLSIEPAKAGESVELSPPLQGSSSVRQLHTSASSVSSAASRLKPLRTS EDGSSRSESVARNFEELIQSNQTITYTLTPENMRDIDAKSFIEGPVVTRRKSEDPKSS PLAAQSSAGRLPSPHSPTAPGPESSASDKPYAPVPQSPVGPGVSIGRGSGQLAKNART PATSTSDIAIFIKSTGPADDDRSRAVPRLSSPASPVNSQSDPRRASTTGSFSRSRYQP RGAAVDSSVDNSDLIDFIRQGPPIAASSHRIPRHVAPFRNTMDSDQLSGAGGKAVDAT LPDMRSSLASTNVTESSRPSIQSSVNSKSALLRSRTSSAVPSHLFDGDDMAMPKRKTR RVRDPYAIDLSDEEDADGDEACALGTPKSPARKEESLAEFLRNCEPPLEPASPHPLSG LPRKKSSAPSLVSRLTRSSRGRESPPFGKAADAKSINSCGGSNTRGYIPIQVNMPAGS DAHAPAGHSQAARPMAPPSRPKVPMRKYEPREAVVKRSQTDDLADFLRDSAPPPAGRF PAAADPAPARTEGGSGSGMSRVFGRRKKLLAA UV8b_04543 MALTATNLIPIRCLPVLFGSVNIHARALPSYLAVLRLLRIYNAQ SSAESAESRYVMEWTRRHEQGLPGDHQNQGGWGARTRYVPSSYKASTSQAITAGWLMS GPLVKKRALESNSRPQASGGWVSGFQAPMAENGRPLTRRLPRLQAALHCTALHCTARQ PAPTKPTQQPLARRARQKTAMPGKRPPCQNPPPSNDKQFCSREESKSPKGFVGQADTD RACLHCMSTMTRRQDTVSSPHISSLLPFPPDARCRLPSVPPPQGNKQG UV8b_04544 MSDNKQAPSTLQSYVDSATGAIQSAIGSLTGSTGDQAKGDAKQD AAKVEHDASKAAIKVPGATISSDGGASKDHPDRAAGSWNQTVGSAKETVGGLIGSENL KSAGRQQNLEGQQQEAKGQLSDLGSGAASRIQGTVGGAISSLTGDKDGQAHYDQMRAD GKAQQRGVEHDVEKKAEAEAKSKNE UV8b_04545 MSLKGFSKSLTRAPQQFKHKFNIGEHTKDAVYIDAERRFQELET ETKKLHDESKKYFEAINGMLNHQIEFSKAMTEIYKPISGRMSDPNSIKPEGNPEGIAA CEEYESIVKELQETLAPELEMIESRVIRPATELMDVIKVIRKTAVKREHKKLDYDRHR AALKKLQDKKERSAKDEKALWKAEGDVEQATQDFEYFNNLLKDDLPKLFALEQEFIQP LFQSFYYMQLNVFYTLHERMQRCDIGYFDLTLDIEEAFIQKRGDIQERAEALSICRFK TTGRPRPIRYGARPALEGGKQPGLLTAGPSATSSSTSPKAGAYGRTSSDAGQAAPPPY SPGNNTALSTIAAAKAKPPPPKPKPKRLTAAPAAPAAETVTALYDYSAQADGDLSFRA GDVIEIVSRTQNENEWWTGRLNGKEGQFPGNYVQV UV8b_04546 MSSLSACALRTASRRAAASSSPLRNLPRAALVATRSPAPKRGYV TETRHDQARVETAIKLDKKDFADIPPPPMGAPADVKVSPMAEVLKQATVLEEGQRPIY LDMQSTTPVDPRVLDAMMPFYVGVYGNPHSRTHAYGWESEKAVEEARQHIASLIGADP KEIIFTSGATESNNMSIKGVARFFGRSGRKKHVVTTQTEHKCVLDSCRHLQDEGFDIT YLPVQNNGLVNMADLEAAIKPETALVSIMAVNNEIGVIQPMEEIGKLCRQKKVFFHTD AAQAVGKIPLDVNAMNIDLMSISSHKIYGPKGIGACYVRRRPRVRLDPIITGGGQERG LRSGTLAPPLVVGFGEACRIAKDEMEYDSKRIKTLSDRLLKGLLSLEHTSQNGDEHAF YPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGNSDESAHSSIR FGIGRFTTEAEIDYVLKAVQERVNFLRELSPLWELVQEGVDLNTIQWSQH UV8b_04547 MIFATVRHEHPERVATSTAACGACDVDTSLGRVGSVQFFARTWR MGRLSMAFCIGRSARQGRLVIRTESTEQM UV8b_04548 MATREVLSSRTETLNKYLKLDQKGSIMAEYVWVDARGETRSKSR TLPDKKYKPEDLPVWNFDGSSTEQAPGENSDVYLRPCAVYPDPFRGSPNIIVLAECWG ADGTPNKYNYRHECAKVMEAYADHEPWFGLEQEYTLLDHDDRPFGWPIGGFPAPQGPY YCGVGSGKVVMRDIVDSHYKACLYAGIRISGTNAEVMPAQWEFQVGPCEGISMGDELW AARFILARVAEDFGVKVSFHPKPIKGDWNGAGLHSNFSTKEMRVEGGMKHIEEALKKL EPHHVACIKEYGEDNDQRLTGRHETGSIDCFSWGVANRGTSIRIPRETAAKGYGYFED RRPASNADPYRVTKILMTSIFGKL UV8b_04549 MKPIVAIPLTLVLVFRAWSKNSLTPAGLFAATLTAAAHAYHPWN LPFALLCVFFLAGTRATHIKQNVKATLTMHSKGSPGGEGPRTHVQVLANSLMASILTV AHGYQLRSRAAAYADPSSPNPENSICFSWGGDLLVVGIIANYAAVAADTFSSELGILS NSEPRLITSLTLRKVPRGTNGGVTLLGLAAGLFGSMIIVAASMVFLPTCTAASAPTLG GGAPWTLAQRRLFMGGMVLWGALGSVLDSFLGAVFQRSVRDVRSGKIVEGEGGNRVLV SAAVRPTAAPAAARTVPDAGVDGPEQKNGSAAVGQDEVVGKSNPGNKHRRPSFGDQLP SRVVESGWDLLDNNDVNFLMASIMSVGAMGVASWYWAVPLESVLKP UV8b_04550 MRFASSFLAAGLAALCLASPVTQAVRAISQLPRLIVYFQTTHDS NGNPISMLPLVNEKGIALTHLIVCSLHVNENGRIHLNDYPPSDPRFYTLWNETQVLKS AGVKVMGMVGGAARGSFGNGTLDGNPATFAKYYGQLCDVIRRFALDGLDMDVEQAMSQ AGIERLIERLRADFGAGFIVSLAPVASALSNGANLSGFNYKTLDSRMGSKIDFYNAQF YSGFGSMDSPTGYDKIVSNGFQASRVVAGQLTSPRNGRGYIPYTQLNATVVSLRKRYG QIGGIMGWEYFNSLPGGTSEPWKWAQVMTEILRPNLVPALTITEAIADRLTQAYGSSC LSRRRATELGQEAPAVDYYAMINA UV8b_04551 MVSFQLPVLGLVLVMALAPLGLAGVRPARNAHSPPDHHKSNLPS PKFVGLGTRYGAHCREEDCWQTGACSFVNYTLPATVEGSTCVSEDIWNNGGHCGGCIS VSYQGRTITVMVTNLTGGKSTHLDMAPATWAKLTNGYHGGGVDGIEWRWVRCPLDESV PLAIHMHAGSSKQWFAATVENATLRTASLDVSADGGKTWRRAVREMFNMYVLRDALPD DVDRAWVRVTSISGSQVTVPDVVVDGGHITQAAANY UV8b_04552 MRYTIVLMLTSLVAADQLVTRACRELGNSCSSDADCCVNGFRNV GCRSKQIPAPQNPRVPPVGLSRGRFRWFRKVSRDLASLPEADIAPRSDDEEDEGAGYG DYTGAL UV8b_04553 MALGACCEPFHYRCDEVNRVCVPRTAQRNPQQQSYQQQQSSQQQ QSSQQQQSNQQQQSNQQQQSNQQQQQDPSRWNRLRTSVFGTSGSGTSRLRNSRLGTSR LGTAVLRTMRRP UV8b_04554 MQFTTNVLLLASLVAADQLVTRGCVQTGGYCTMAQQCCGIAGYY VSCASSLCMATIQQGTAQQLSETDRKYIEWMLKYPPGGQQSAQQQQQQQQQPSTQQQQ QQQPSTQQQQQQQRQQQYAAQQQQQQRQQPSTQQQQQQQQYAAQQRQRLLQQQQQQQQ QQQQQQQQQQQQQQQQQYVAQQQQAAKQQQQYAAQQSAQKKSNLRKPGQQLRTKNRVT FGPNRVKYFSKRVLRAKVDVAARSDEEQGAGYDDSTGTGYGY UV8b_04555 MKYIAILWTLTSLATADQVFRRTCVDPGGPCWRDSHCCDSDKGY GCMNRRCTLRPGGVCIPAGQRCNPADQTPCCDSDHFNCSVLTRRCAAIPHDHYYQTHK KAQGLQPPTKHQQRDLASLPGVDIAARSEKEDQQGAGPKPRSEKEDSEPRPDKQDEGA GPEQLFPRYCVPYGNSCRADADCCNFHGGVGCHNLQCLWQHGIQCIPLGKSCHPLQKN TCCQPNYHYCDAKTYTCVFREYEQYHDFYQMMQPSSTRRPPIKKKQNREPRDLASLPA EADIAARSEKEDQVAGSKPRSDKEDGGAGPEQLSRRSDDCVAVGDACRLDEHCCDSDK GVGCINRRCTQRSGGCRFHPQMRSDIVEQLSSGSVAAEAEAGFGVGAF UV8b_04556 MKKFGFGKKGDDADEAGRNALFGRKKPSSSSGQSENPYAGQGAS DPYADSAKYANVSPYQQARAGLAEDRRAGSPGGDQGSRPGGHHSGVRNQAPESGYRNP PAAGYGPDRYGSGGGYGSNRYNTPAGGPGGAGGAGGARGPGGYGGFGHARSDPDENRD ALFSGAQERQVQQRQPPPPPPAAPPGHGQPESGSSNSYDGYGEQRELTAEEQEEADYQ AILAQKRQVQQESVSSVSRSVQMARQANEVGQATLARLGAQGERLHNTEKNLDLAANQ NKIAQDRAAELKTLNRSMFAIHVNNPFTSKQRQQRADDEVMSRHRAEREQREATRHEG FAANERMESTFRQINSAGRPRPQTRKKDYGKFNLDDEEGADELEDQIDDGLTELEGQV SMMNMVGRAIGKEVDAQNKQIERIMGKSDAVDDATRMNRERLARIK UV8b_04557 MAPENQVPNPPKRRKVEPGPVASSPPVKHGQRRGDQDAAALLKS RRQLPIWQYRSEIQTFLRSPETDVLVLVGETGSGKSTQVPQFLYQEPWCRPRRVKAPG RAHDEESMSVGGNVAITQPRRIAATTLAHRVAQEVGTPLDRGASRGLVGYSVRFDRRV PKGCRIKFLTEGTLLQELLGDPYLRQYSAVIVDEIHQRSVDVDLVVGFLKQILSDGGK MRGGVPLKVVIMSATAEIDAIRSFFNTGPPRPGPGSDRVQVLHVKGRQFPVEIVHEPK PVADIQDAIVKTIFRIHVQEPLPGDILAFFVGQEQIEAAQNLIEEYAATLASNVPKVQ VLPLYGQLSAEGQHKVFLPVSAKLTRKIVLATNIAETSVTVPGVRYVIDCGKAKIKQY LPTLSMETLLAKPISKSSAIQRAGRAGREGPGKCFRLYTQGSFDTLQTADLAEILRTD VVSAVLTMKARGIADVLAFPLIDPPKLEAMEKASFQLHLYRAIDDDGSITPAGRKMAR LPVPAALGAVLLAAASREYDCILEVIDIISCITCGEDVFLQVRSEEEQQEVQEARRQL QRREGDLLTYLATMQQYAAENADRIQWCKKRRMNVRNMKQAMHIRRQLRRVCLEEELL RDAPEPDPQPFTPASPERAETILKCFLRGYCYKTAFLVENGSYECVEGVGGRRAIAIH PASVLHGQKKEAIMFLDHVYTTKNYAKRVSAIRGEWIVEAAKVAEAD UV8b_04558 MRLSPPRLAVAAQRPLRPQPSPLLAPIPLYRRLLRAHRKRLPAD MRILGDEYLKAEFRLHRRVDNPAHLIGFLTEWQVYAQTLEGDAWAGDKMDEGKLAKMS DEQIHQLYELMRAIRSGGEDGHSDPDPEEKR UV8b_04559 MSFSFGFAGADIDAGPGDAAHETQQRQQQQQQQQQQQVPARRAD GQAGAFPVPRRRQLAAERHGLRAMLSGLPSRIAYDTLDVTLDDGQVVALPRRELWDVR VQLMAEDDGGRDGDGDGDGLRDGLGDRDVKTGVYEGGFKSWESSVDLVKVLATHSHPA SWACKSARIIELGCGTALPSLAMFRWIMALEPLPDRRQVSFILADYNPSVLQLVTLPN LILTWALYHRQRIPVLQDAFSLPGELELTPIVLETFETYLSTHGIQLSFLSGGWSPDF VQLLYQEPGPCNDDSSASEASPVTMLLGAETIYSPFALQAFAEMVLSVLHREKSMFSA HASAWIAAKRLYFGVGGSLDDFVDRIEARGVTVSTVREETDGVRRGVVRCVLPQPT UV8b_04560 MPRDDLSIDFVKSMPQAEPLDPGLILDDWVNRVQNLPEEIRFIH EEITDKDRQYNECIRMIEDRDGKIQKWIKSNGSHEPNPKEDALRAQIRDGFAKADRLA QDKIALTQKLQVTMDKHLRSIDIQIKLLYDRAEPGFTDPDEVPSLLRPSAANHTAPSV RAVNPSASITTAAPPAAALAAPHSTPATTRLPAHPSIRQAQAQQPGPQHAASAPATPA ASMILNRQREGSAGPATKRGPRLNTALATATTASSGLARHSSLGPGTPKSAAAPSAPA RAGSAGPRSSSIKAGGSNAGSRRGTPTAGPRKKAPNKSSLSRVKKASARNSPASTADS DLSEAESLSGDEEVGLEDRPKAIPTADGKDTNAADVAVDGDDDDEEGGDDKKYCLCHN VSYGDMVACDNDNCPYEWFHWSCVGLKSEPNGTWYCPVCTEKLQRKAK UV8b_04561 MDINPYRFRVPKPNYLPHKQDVDDDDAIIHEYGSTPLGQPSELD NAKFYNKCKRLAEESGITRPRGCNVSFHCNPDMEKHHFGMTHPMKPWRLTLSKSLIYS YGMSFAMDNYISRAATYEELASFHSTDYLDFLGTVLPEPVPRDLENQNPDLKFNLGGS DCPLFDGLYDYCSMSAGSALDAARKITSNQSDIAIAWGGGLHHAKKAEASGFCYINDI VIAILELLRFYPRVLYIDIDVHHGDGVEEAFFSTDRVMTVSFHKYDPTNFFPGTGALD DNGPKNEHNPGAHHAVNVPLNDGITDEQYEMLFNSIIGKIVEKFRPSAIALQCGADSL AGDRLGRFNLQVQGHGACVEFCKKVGLPLILFGGGGYTPRNVARAWTYETSIAIGCQD KINPVLPQHTPWRSQFRQDTLFPTLEQILGEPRQNRNPQKRLQEIVQHVSEQLRFVQA APSVQMQAIPPDLGALRQEVEERMKEQNEERSDEIRRAREAAVGTRMEL UV8b_04562 MRNIIQITIAATLAIGATANSHHHLHRHAKKEAPASPDSSHGPN VVVEYVPGPVEIVYQLDGKVLNPEEAAAGLKGGLFVVVGETTPTYTPPPPPTSSSSTA STTSEKSLGAQFLEKPTSSSSSSTPAPTTSSTTSSATPSPTSSSAAASTTSSTTSKPQ PTSSQASKPSSPSGGSAGKGLDAEFPSGKVPCSVFPSDYGAVPLDYLNFGGYSGLQFL SDFSLATSLSISNIVTGIAGNTCGPNCMCSYACPPGYQKSQWSSAQGSTKQSVGGVWC NKDGYLELTRPSVKTLCIPGAGGVTVQNDLGDVVSICRTDYPGTENMVIPAAAEPHSK IVITNPIQDKYYQWDGKPTSAQYYINKKGLSPDKCCLWTCAVDPLGCGNWAPMILGVG QASDGITYISIFQNLPTSTAKLDFNIEISGDVSSKCSYVNGAFSGGTDGCTTGVSKGG KAVIRFY UV8b_04563 MASEDGLLAAEIAPASQPDLSQPTATQPQDVARPNKRRRDEDSA SPGPRGNHVSDQCPSPSKAARPSLATAFPRPPVRLPGAATLGDEYRRRVEDRASSPSS VIPNLSHTILTSLMTGLTQAMSRPSDAPQVAPTASMEPAAKAVTALSIASANVMRSDD GNEDASSANGGVMPLAQITGSPVAMDVDSAKIENAQTQPAEDDKSHPGSMSYPGPLQA AANLAEAPARGMSFPIPGQIHHTSPTSPSGGKKHKCPYCSTEFTRHHNLKSHLLTHSQ EKPYVCTECQMRFRRLHDLKRHGKLHTGEKPHICPKCDRKFARGDALARHSKGAGGCA GRRTSMGSFADGDDVDGAMGEGDESTMSGVAYDNPDEEELRHQSLPSIGAQHSPGDNY TSLSRTYPPAGPRPAAAAAAAAASGLYPPKVHQSQVGTMSSSSMPESMTSNHTANTSA SSVPGGSGGTGMYSQAGMTESPKPLSPGISGHEGPSLTRQQRSPSITQQYQQQQQQQT GRRTSELHSPRGVQNRPKLPGLSHPGFVGPSSAAYPHDRTTSGGQSAGDSGNMFAQSD PSVWEYIRLLEDKIKTLSDRVGSLDHEVASLRKQLNVRDANAGT UV8b_04564 MTANHAARDALPHQDSVASDETNEEAIVAEKANGDLGPGASRKH EAASLTRTDATHDDIPVQPPPAGKKTYAGAVKSRKPQSSKPAASGGHIPYPPLTNDEM DRASHEREAWRAGGVRFAPLQVPFKRRMQTAAVLFHCMSIVAMVSCFWFTCANPLTWP ILVPYLVHLSFSTAATDGKLACRSEWLRSLPLWTLFSGYFPAKLHKTFDLPPNRRYIL GYHPHGIISHGAWCAFATNALGFSDKFPGITNSLLTLDSNFRLPFYRDWILAMGIRSV SKESIRNTLSKGGPDNDGQGRAVTIVIGGARESLEAQPGTLRLILKGRKGFVKMALRN RADLVPVIGFGENDLYDQLSPKTHPMVHRIQMILLKVFKFTIPALHGRGLLNYDVGLM PYRRCVNVVVGRPIEINETDGAEASQELIDKYHELYIQEVERLYSAYKDSFANGKVPE LEIS UV8b_04565 MPRSSGRKKGQAVSYKEESDGGSTDLEPGKASDAVIAKAKKGAA PKTTQTQAQTAAGKRGPPDAGAETGADGPERGARPAKKRKAKAADADQDAAPLAGRTA VSALAKPMYIGAHVSAAGGVHNAVSNAAHVGANSFALFLKPQRRWASAALTPEAREQF AARCGRLGYRAGEHALPHGSYLVNLAQADEAKAAQAYGSFVDDLRRCEQLGIGLYNLH PGAAGDQPREAAVARIAAQLNRAHAATASVVTLLENMAGGGTVVGAAWQDLRDIIALV DDKRRVGVCLDTCHAFAAGHDLRTPEAFARTMGAFDSIVGLQYLRAFHLNDSKAPFHS NRDLHANIGTGFLGLGAFHSIVNDDRFRNLPMVLETPIDRKDPSGKTVEDKQVWADEI KLLESLVGMDRDSDDFRRRQAELQDRGAAERARIQAQVDKKSAKEAGRGAAGRRRKKA PDSGDESG UV8b_04566 MAPSNDLIDFDVIEGQKENIQALPGGRSAKKLAELYSPSPLHKL ATPTPSDTQNVHDCIRAEYESEIQNISESDDPLDVFDRYVRWTLDAYPSAQATPQSQL HTLLERATKAFITSAQYKNDPRYLRLWVHYIHFFSDSPRETYMFLSRHGIGEGLALFY EEYAAWLEGAGRWNQAEEVYKLGIERESRPVQRLLRKFKEFEERLAQQPAHAIEPSSP ALPTMRPALAAKVDPFGSLHSSDPQAARPTSGVGGTGSRPAKSKLAIFSDATAKPAPL ASRGVESKGWDTIGSLSDRKKENAVEPKPWAGETLKAGGKKSAAPKMAVFRDPRLSQI NNVVVVPSQSQVTVHPQTGRKEYIFVDLEAVYPSPDEPGSELSFEEIMAAHRGWLDQS WADETMEDGPDAEPVRGFGEVDEVSHGVKSVLTIHRDPVFLDENGSRKEQAQPRATKS KKTMELNETQIIKAKLDSPSGPKLRKRQTAEPTMTLHTKAATDDIYEIFNAPLKSNDG GDSGDDDDYETDGDYASDPESTSTTRQLDAGSDNGEPDTADTRSVSGWSDFSTRKDIP NINTGESELLRSTSSELFMPEADVVDGAGAEDVDDVDGDDGDDGDDGDDGDDGDNDDE EEQEQEGQEQQSPPPRTRTVFVPIPPEDYDPPTRPYRDPVEVANNRLPFMTPITERTE CSLDVDLERRDTFKTPCRGDASTSRLQDDSDDEPLSSPLRILEDGYPLPQVPVALLHK PAPAAKKAAVAKPIPPKGPIISETQCNPVDDAIRRDILSKTQPPLSSYAGFHDRREQR FEHGGEIRKFAKAMSKLGKSGGDKPAPVPAPVTIQFPGSDRKYNMKRELGAGAFAPVY LVENTLPQEGEKDENAAAAVSMGRGTFLVHGRHELEALKMESPPTPWEFYMMRLAHGR LGPQHRAAASISYAHELQLYQDEALLLLPYHPHGTLLDVVNLFRAEPSGTMDEQLAMF FAIELLRTVEALHAKSILHGDLKPDNCLLRLDSSSSSSGDAPPTAPWRADGSGGWASR GIVLIDFGRAIDLKAFAPDVEFVADWKTSAQDCAEMREGRPWTWQIDYHGLAGIIHCL LFGKYIETARCDQGGLGRTGRKYRIRESLKRYWQADLWSECFEVLLNPGSFLDAEEGR RMPVLRSMKSVRERMEHWLAANCDRGVGLRSLMGKLEANARARK UV8b_04567 MASFINSAKTTQRSLNPQPISTKKNEKKTFSRNHARRPFEFSTQ KAAIYPRILESDLPTFYFCAKMAITKVHARSVYDSRGNPTVEVDVVTDTGLHRAIVPS GASTGQHEACELRDGDKSKWGGKGVGKAVDNVNSIIGPALIKEGIDVKDQSKVDAFLN SLDGTDNKTKLGANAILGVSLAIAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFMNV LNGGSHAGGRLAFQEFMIVPSDAPSFSEAMRQGAEVYQKLKSLAKKKYGQSAGNVGDE GGVAPDIQTADEALELITDAIEQAGYTGKMKIAMDVASSEFYKADAKKYDLDFKNPDS DPAKWITYEELAALYSDLCKKYPIVSIEDPFAEDDWEAWSYFYKSQDIQIVGDDLTVT NPLRIKKAIELKACNALLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTI ADIVVGIRSGEIKTGAPCRSERLAKLNQILRIEEELGDGAIYAGANFRKSVNL UV8b_04568 MAPERVCLAYSGGLDTSTILRYLVLQGYQVVCFLADCGQEEDFE AVKSKALKLGAERMIIQDVQQELIDELVWPAIQCNAIYEDRYLLGTSLARPVIARAMV KVAKENNCTILSHGCTGKGNDQVRFELAWKACDPTLKVLAPWRMPEFYTRFAGRADLL KFAEEQNIPVSSTPKAPWSMDDNIIHCSYEAGILEQTDKEPPKDMWKRTVDPMTAPDK PTPFTVHFAKGVPVKLEVEGKVVTGSLEIFKEANEIGRANGIGRVDIVESRFIGLKSR GCYDTPGLTILRQAHLDLEGLVMDSKVRAIRDRLSHDWSTAIYNGMYFTPEREFVEHA IKFSQRQVDGKVDLVAYKGCAYVVGRSSETSNLYSEDESSMDSLDMDWTVQDTTGFIA IQGIRVQKYGERKIKDGEPLTRA UV8b_04569 MPAPTALKKAEQTPLEVAEPSLQTQEPQDEFLLGELDAMPVDSQ APPPLDQGDDSMAIDEEGRPRFAPARDIDPVTRAETRKVPVPPHRMTPLKQAWTQIYP PLVEHLKLQCRMNIKRKTVELRTSQHTIDTGALQKGEDFVKAFTLGFEVDDAIALLRL DDLYIETFEIKDVRTMHGDSQARAIGRIAGKDGKTKFAIENASRTRIVLADSKIHILG GFKNIHMARESVVSLILGKPPGKVYGNLRTVAARMKERF UV8b_04570 MRPWNADEWGAFVSWFMLGHIVWVLVGTTTFFSLLIFSINTVFA QETLAKWVGDYLTQSAGVTVVFESAIVPKWKNGVIAFRNVFVSRRPGQVESSVSKGSS DAAAVAAAGRLAQSSEPHETEDDGNYTQFDVTIANVNVTLSFVNWWNGKGLLKDVEVN GVRGIVDRTSVHWPEEDTDPFSYRHEHQPGDFEIESFKLEDVLLTIHQPDGFRPFSVS IYSCELPQLRKQWLFYDFLSANHMSGSFDGSLFTIHPRQVHGVVPSHEQDASTELGEQ NGWKKFSRLRIDGLKIDHFNRGVEGPFGWIYEGNVDIVADVMFPAETDEGITKVMSDF YDQLEEAVILNRQRLLPHAVDDEPAVAQSGHLSDAGGELAGPAGGGAAEPDDEDRRYL IMDMRIHMHDVKAAVPLFTRDMSYVNQALVRPIVAYINAKKTYIPVSCRIVKRLSDFD GSWTVYDCGLMNDLSVETYDAFARDVEDQQSRVRRFKKVGFWTLSLAVHALFMGMAGN VV UV8b_04571 MPAKQQWDEEKSDSSTPPSSPPVTAVRRRQFEDEEDDSDVLDSW DAAEDSEVEREKQRKVAEAKAKAEAEAAANKKSKAQRIAEHQATRALQREEDEDDSED DETETQRRERLRRTEQEADLRHAEDLFGGVGISSGRKANTAGAAVVVDDKDPSKTVNI ASLPLFNPQTKKQFELLRTTLAPIISSNTKKAHYGLFLEEFAKDLAKELSSDQVKKVA SALTRLSNEKMKEEKASEKGGKKTKAAKTKTSLVTGRANTADVSTYDEDAFGDDDFM UV8b_04572 MTSRSTSSLSLSLIFSISLRPRPSLPQARRHFKLAPLFCPVPSP GISTSSVAAIRNRKRSTNISGDIGRGFRAFRPSAPTFTQAAMAQSNAIDQLAQRVDGL TLQSLAQKYPTASFESNPLDLYRAHLSNVLSEISGVDTSIIYPVVMLTQSLDKGDFVV AVPALRIKGSKPDVLAQEWAAKFPENDALFKKPIISGHFMSFFAKGEPLVGSIVPMVR QAGANYGKNTLNGLRDPKDPKSGQKRIIVEFSSPNVAKPFHAGHLRSTIIGSFIANLH EANGWDVVRMNYLGDWGKQYGLLALAYQRNGDEEALKTNPIDHLFKLYVQINAEMSAE KEMIEAQKKEGKDVSDLEAKSLDEQARQYFRRMTDRDEAVLPQWQRFRDLSIAKYKET YSRLNIQFDEFSGESQVSEESMAKIADEMQQKKISREDQGAVLVDFTQLLPGKEGKRL GTTVLRKRDGTALYLTRDVCELLSRHDKYKFDTMIYVVASQQDLHLKQLFQIIDLLGY KDIASKCQHINFGMVLGMSTRKGTVKFLDDILNDCADHMHETMKKNETKYAQVADPES TADTLGISSVMVQDMSGKRINNYTFNMDAMTSFEGDTGPYLQYAHCRLCSIRRRVELS DDELSEANLSLLTEEHAVSIVRMLSQWPSVLQNTLKTLEPATVLTYLFKMTHVISSSY DHLKVVGSEPEVMKARMALYDAARTTLANGMRILGLTPLERM UV8b_04573 MISTAVRTQVQAHPCRQAAQQLCRSARHALLGAPLACALGPAVR RRRSAAPFSTTPGNQLRDFFPAKDTPHIQTTKPAWPHHGYTEEEMLAVVPAHREPRTV SDWLAWKIVRLARYCMDKATGMERSQQVDRRHPTTSVVADKPLTEAQWLIRFVFLESI AGVPGMVGGMLRHLSSLRRMKRDNGWIETLLEESYNERMHLLTFMKMCEPGRFMKLMI IGAQGVFFNALFVAYLLHPRIVHRFVGYLEEEAVHTYTRAIHEIQNGHLPKWGDERFQ IPDIAIGYWNMPEGHRTMRDLILYIRADEASHRGVNHTLGNLDQREDPNPFVSTFKDR EVPKPALKATGYEREDVI UV8b_04574 MDQQACSGRSSSAAGQDSKQQQEDEQQHPHISRNSFSPSASGIG GSRTSSSVGLGLESGPRPQQQQQQQQQQPTLNFQNAADSGFDTFASNPDFLDSERPSA RHAFGQTTLSDSSVYDPSSSFGQQPTSGRTADVALSFDTLPPSTASFLSSDFNDQDFS LFPSSNQGDPFDAPLFEQSSLDPSDINNMTLSQSHHTCTPPNLVQPDGIQTSSAHQSP SFSQHHQFSSPQSSGRPRNVSLGPETALLASQLADWTRPQFQGHRRSPSEFSDVSSVS PSPHLASADSFDDHLGHSPLQGASDSSLYQEVLKIEAFDISDATQPGRSPSHSPAISP RLMPQQMAEMHQPTFGLVPPNGGFGDVMGYPGMQQHDDVSFPSCPPRERADMSHMAPP AINIDFAPNNAKQGPYEPTKAHLDQDSLTPPDRGRLKSRPRSVTDPFHPGGVALGHAQ DSASLSPTSDACARSDSSRSLSPLDRLATSSPSRRRQSTSAVPNNVIALRLADPEYQN SQESGSAKRVQKHPATFQCTLCPKRFTRAYNLRSHLRTHTDERPFVCTVCGKAFARQH DRKRHESLHTGEKRFVCKGDLKASSQWGCGRRFARADALGRHFRSEAGRICIKPLLDE EMIERQRQWQEQQLQQNMAQDMAGPHPLALDPSQGYPMDASGDYALPAALLQQYPALA QLNWSTSDAGGGMEDDLSGRSSFDASDYDEGDDAGYVSGPGTGYGEGGLSQNFGEMGY ASDFGGQ UV8b_04575 MAAADVLPCAESWGRSRQPSFPTLPSATAWDEPSWQTQLSCISA AWVLINTPAAPSRRSIDHRPFWIPPHYRYVGSGKHPNKTVSDDRPHDLLEPHETCRLA HRASPSSHHTHSPVQHDDAKKPSRSRDDFFPDPILHVKVDFNSQQGIIEAAKKKKQNK NAAPAKPNQNNDGNDKKNNEDESKNKDENHGGDDAGSGGGVGDGNGDGDGDKDKDKDE DKDKGKEKEKDQDQDQDQDQDKGQDKENSGGDAKEDQPWDDFMPTKSKKKKGKKGATA EPEPEAKTAPAPTIPATDSGGDGFQEIKLGDDNGGKVGMGLGPSAAKNNFGSWGATWN TGGTTWDWAGTGGNQANANGNANDESKKEELDSNPWNSKDDKAKKKTKTSFSFGATDE AEDKSADQNPGETQDDAWGFAAVGKKGEKKKATSVWATPTIQDTKASTDSISAAADSW GWASTDKRKGKKGKKGEQEQEMEPELEPEPEPEPVPPPPAPSPPAEEDWMAPVTKKDK KKKKAGNAAAVAEDAKPAPAEADPPKKEESEDIWGNWSIGKKDKKKDVEEQKSESAPT AEPESQPEPEPETESQAAKDPEPEKNQDIWGFATKTSKKDKKKKKGKGAEPDPAPEPQ PELKPEPEPEPEPEPEPEPEPEPKTAEPESKKKGDDPWAPATTSKKDKKKKKKGASEE STKETAIDKPAEDAWGSWDGDSKKDDKKAASFADTSDDKQDDKTDEAADDKLDWLSIG NKDKKKKSFTFDDPSPADGPKNESAKDDGWDLWGPSSKKDKKKKGASDLIDLNDQNEK ESLADSAKETVDATADDDFFSTFGTGKKNKKTKESDPSSAKPESPGEPVDDSGDVITE KDQAKDDPAEVKEDATGEPEADPWEKPAKSKSKSKSKDKEAEKDKAKKEKEPKLSERE LKKLEKEKKKAEKERLEQEAKEAAEREAEEQASREAEEKARLEEEERIRAEEEAAREA EEQARIEQEEKIRAEEEAAREAEEQARIKKEEKIRAEEEAAILKEERELAALEEKKLL RGKLTKKDTDKYNRLKENSEKRAKEAEAHEAGDQAAREAEEATRKAEEQAALEAEEAA RKAEEQAALEAEEAAREAEEQAALEAEEAAREAEEQAALEAEEAARKAEEQAAREAEE AAREALKRAAQEAEEAEAAKKSSKKSSKKEKEREKDKDKKKGKKTSKEPEPAPEPEPE PESIPEPAPEPVPEPEPEPEPELKPEEIEELLSPKDTSTNDAFSFWGIGGGSKKSKKA AQDATKDKEATSLPKTSEGASIMKGSLSRKAVGGKIADRLKTFEAEPEEPLADLVPPP PPPAPPTPPRDEKSSKPSSKKLLKETVEETERYISSKKSHKAAEIPGSFPADEDEDDI VEVIDLSPKDKSKKSKKGKAKQEFLPAPPPPPPVPDAPILNGPMTPPPQSKASKKVRP KINGDDASWSKVDAASAAQKEGRKEVRKASSSKTKSDKPSKRPSDKTSSKDSGSDKAE RAEKSSKMASKARTSSMFASTPPISRSMSMRDREKRAGTSGRSSSRRRSVDAHGVAPP ATEELPEMPSKAAKILGVDKASAKKKSRKAAEHDDVQMSGGLDIDSRAAKREKKRSRK PVDDDDGAMFDGGAPSDTPLKRGASTSKKSGFSGLFSGLMTPKSARADPFADVEAPVD ETDREARPSARKSSRRSDREQHDKVNSESRRGKRRQHEEESQARKVEEKEARRAERRA ARQREAEEQRAAQEREARRAERRRLRKEQEAAAQADEARKAAKQERRHPQRIDAAEED AERRRRREERRAARHAAEAANGHGRRSSRRPSGDEPVYPHKDGGEGRRALGRTTTATT GGGSGGGT UV8b_04576 MAAPSRQSKWGSFLSQAVAGVESRLDNMLAEPDDAAAAPSPAPT GTAATPPSAKPSAASSRSVSANRPGDRLQARLAQAMAGKASAGAGAGAGGGGGAAKKT ATASPRSSVDQASTTSAERQSTERRSTERRSAERRSTEKEPEAKRDGGEPGAADGAAE PVPCTDTAAAASSSSDPGLAPSEATNGLEPAQPARQDTLPSPKGSERAGEHDDDDDDD SSKPAAGAAAAAAASDNASELLTRLQELTARQQEEIHAFVERVDFLESKLQYLSRTAA DSAKASAASAAAGSLEQKLAERDEKMALLMQEGQKLSANEHKLRMTVKKLRAQAGEAG RRADELGRSRDKALSEAEALRARLAGSEQEEKRQEEARRAAAALQREADALRKEGAHR DDAHRRLEQEWERKAEQAEAARREALGRALAAEQQQKKAAEEAVSALRAERDAAAAKA RQDEVEWRERLERANRRGRKVEEELQAELRAVEGKLEAMRIAAEEASSGSGGDAQVKM FRQVETLQSQYASARENWQGIEASLLAKAANLEKERDEAQRRESEMRKKARDAAVRLR QLEEELQDIRPSLAAARQERDVCQQELAALQLSYKKSQETLKETQQELASLRQSVAEK DERAEAEQGDWADEVAGASCRGQQSIPDSPLLLSSAAAAARTLSSDLTALAGPSRTRK LHAPGSIPDSPAEMLPTLRRLSSQPLFRAREPSYAWSGGGGAPPTPSPFSPFEAPGEM GPLALPAPEQDGGAPEATPSPPRHVAQDRMSVSTVGAGPSVQLVERMSSAIRRLEAEK VTAKEEMARVCGQRDEARADLVALMKELEETKAAAAKVPRLEEEMGKMDSRYQTTLEM LGEKSELVEELRADVQDVKAMYRELVERTVR UV8b_04577 MDEQHDRFPLHAAAREGNAARAEALLKADPKLAAATDDDGRRPI HWAASSNNLEIVTLLASQKNFDPDVQDEGGWTPLMISASVPDSEAVLRLLIQSAAEIN EKNSRGQTALHFVASKKNMDTARLLLGASPPASARARDHRGQYPIHRAAAAGSLPMVM LLLKNRSPLSAADNDGYTPLHHAVAEGHGDVAIALLKEGADSTLKTADGLAPLDLALD KEVRLFILRGAEREGIDLS UV8b_04578 METPPPPPPPHDALQLGALARQNALASRSLYAGARAEPPSGRRL KPPDDDALAAPSVERRFQAEYAAVQSLPPSLAARQPPPPPPKRANGRAVAKAAARPAP AAQETKKLLEAGSVSAAAAEPAEAGAAAAAAAAKPGANGLGPQSSSGTGTGSTALTTA RDGAAALQLARPQWHPPWKLMRVVSGHFGWVRALAVEPGNRWFASGAGDRTIKIWDLA AGSLRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNKVIRHYHGHLSGVY ALSLHPTLDVLVTGGRDGVARVWDMRTRSNVHVLAGHTATVSDLKCQEADPQVITASL DSTVRLWDLAAGKTMGVLTHHKKGVRALALHPREFTFASGSTGSIKQWKCPEGAFMHN FDGQNAIINSLSVNQSDVLFSGGDNGSMSFWDWKTGHRFQSLDTTAQPGSLDAEAGIM SSTFDRSGLRLICGEADKTIKIWKPDEKATAESHPVQWKPTLGRRKF UV8b_04579 MAPQSSPAKGARPVARRKRVLPGITREDSDDELGSEDLPWEWIY NDETPDRANDDGQSDRKRRKVAGTKIVGARMGTTFQCRIGDAVLLKAEGSNEAWVAII CEFVQDDGQGEKAANFMWFSTEKEIRNKERKRTDFYWNELYISPSWDTNPLASINGKA RVMSLDRFLADHPSGKIPRSSKDYGKTFICRRGCNTRTAAYTDEFVWEDVYSGAKDLF DLIDFVEKGTKPVRSRRKVREPSPPQDTAYRPPPQTPTKTSISVATTPQSRRALAEPS SRSQKRGPGKRLEFTPLATRKLSPGAVEASPFQIARSRLHVSSVPTSLPCREGEFSLV YSHLEAAISEGTGNCIYISGTPGTGKTATVREVIARLEESVRADELDDFIFVEINGMK ITDPHQSYALLWEALRGERASPSQSLDLLEREFKNPSPRRVPCVVLMDELDQLVTKNQ AVMYNFFNWPTLRHSRLIVLAVANTMDLPERTLSNKISSRLGLTRITFPGYTHEQLMK IIQSRLEGVPGNIVDADAIQFASRKVAAVSGDARRALDICRRAVEMAEGDVQGDPATP SKNGKQESSRRGRVTIATIKKAINEATTNPVQQHLRGLPLLPKLLVAALLLRIRRTGL VETTFGETLNELQRATAHAAPPLPGMAQILHSGLQGTPGATQRHAGRPSAVHTAAMDL VAAGLVNLEAHRAERSSKMRLAIADDEVKMALWDDGDLRAMGIGV UV8b_04580 MTDMPAKVIAAWFNVTERTVYRIYGRAIERGLDPKKPQDWDSLH FDDGQRSGRPSTMKSSDFQEMVVQKMTADHCGREMSSAGLAEALRLEGYNISARTILR TLKHLGYRKTKPARKSGLTKSITGVSDGSEAWTLDE UV8b_04581 MASAKAQMDQQRQTVYLSFEEEHLGEPPEDEALVETTHVLPGNP MILPELENSPLIKKVKKKHRVWIVHEKPNVLRISSRTAKNLREGVRAINDVIHDMRLD RQRISCRFLVQKPMGGGDTDGLISVKLDSRPQLMSVGGSVKADVSETASDIMGQLQDV FLPTTDVLRALKQDLHMRVVFGHVIVHRRKKTQGDSMTYGEFADMAGKYGSRGGADLE TKLHDPGLALATIRHLLDPATEFYSGLEEHVTVNGEILFEVKGQHLVADVETAPRKPV SLANIRLWEPERWPPLRWMVFAPDRKYDWGLWVDAGQTVRPVPAPMLDLIRRTTVEVE EAHQDSAAEHLKKQLKIRVGNAAALAKTMQVDQVHLKSSVGIRFRDSCYEVEVSKNSV WQGINTQDGPQISFSIGLRGIHWAGEVNNTRSNDHKKYWGLNQRDLWRGSAPTAEGQF REFLCHVLEVLSAIEGTETA UV8b_04582 MAAETETINLDSLEPPQLAQVKKQLDEELEHLTSSYAQLHGAQN KFRECLRCVDARAGPNTAAPSAVLVPLTNSLYVKGELSSAETVLVDVGTGFLIEKKLG AAQKFYNEKVKELGSNLKELEAILQRKQANVRAVEEVLRQKLMAVQEARS UV8b_04583 MLPEHDERMPSQNAVIAAISTVSRAAIFSSSRLSTKERPRIGMR ARSTLSKLWVPTGGVSATEGETGHGKLIRAGFLRQTQPGIFQLLPLGLRVQNKIEALL DKHMEAIGASKLSLSSITSEELWRRSGRLDKVSPELFRLLDRKQVPIMLSPTHEEEIT ALVASTLKSYKDLPLRLYQTTRKYRDEMRPRQGLLRSREFVMKDLYTFDASVDSAIST YRDVSGAYRAFFADLKIPILVAEASSGDMGGDHSHEYHLANPVGEDNVITCNSCSYTA NDEVATSREACRTHQDPLGSGIGLDGVRVWRGISKDRKTLINAWYPGRNGAGLETEVN MHAVKKAVPELDTSVSDAERSWGETMSSLQHTGLHEAQIINVVDMRLMPVFQEVEEDL PVLPMAKGTPRPKMQTTTVASHTGQGLNLLRPVEGDGCPRCESGQLRVHRALELGHTF YLGARYSGPLKLSMTLPHAKDPALVEMGCFGIGVSRIFAAVAEHKADERGLNWPRAIA PFEIVVIPTSRVTEQTLEFHDELTRPCGSRRGFDAILDDRKETFGWKVQDADMIGYPV VIVLGRAWREEGVCEVQCRSLSLKDDVAADDLAAHLDKVLSQL UV8b_04584 MAKSQKKSKDPRASKQIRGLNEDSLARLTSRIDQNLSSNHHKRK NPPTDAAIKQQPKRQRNLDDGQSSKNKAQIDRDALLAEIKALGGDEDDLELINAVDSD DETYAKESTGPVDKSLQEELLALSKELGFANMEPEVASEPETESEEDVGEDEGEEDGH DDEGDEDEEVTELERSKGNKKPGAMLFEPQAEWHAYKLTKLPAPTIDQLGPFAGAVEA LKAHAKALLESDASRYKTSVFASSSHKFLSTIMSSGTLTDKISALTLAVQESPVHNIR AFDALLNLASKKSRAQAIGAIGALVDLLGPGALLPSERRLRPFHAQPGLLGTLQKHSV KSWSNGQPLPGKVTEEHLISWAYEDWLKETYFRIIQLLETWCSDEIEYSRMKAVDFVY GLLKEKPEQESNLLTLLVNKLGDRDRKIASRASYLLLQLQVSHPGMKPIIVRTIERDI LLHPSQDHRSKYYAINTLNQTILSSREPAVAENLVRIYFDLFVVMLKSGSLGIPLEKS SGAGKGASRADNKQKRKPKTKQSSVPETEAADKLVSALLTGVNRAAPFVGTDDAIMEK HLDTLFRIAHSANFNTGLQALLLIQHLAAARNLAADRFYRTLYESLLDPRLVTSSKHA LYLNLLLRALKNDVDVRRVKAFAKRMLQIASLHQPPFVCGLLYVLAHLRQTFPALATL VEEPETSVFDDDASAALPGYDGRKRDPEHCNAQRSCLWELVPFLAHFHPSVGVLATAL LDKTTKVQKPDMESHTLVRFLDKFVYRNPKTTDSARGASIMQPLRAAKDLGDIWLGSK GAGATSSPVNSAAFWNKKAEDVAAEDVFFHEYFHHAGKEPKPRTRKDKGKDAEEDDDD AAGGEEGEDEIWKALVSSQPDIEDDGSEDGFDDLDDLDMASDDGSASALSLGSELEDD EEEEDDEDGGAVLSDDDGAGSDGFVAVSGKQGDEGSKDERSKKKARRKALKELPMFAS VDDYAELLAGEEEGM UV8b_04585 MAQRTPTSCQLNAAARPARPAAWHHGGRASRAAALPPTTVPQSQ PQSRRASSRADAAAAAAAAARGSGPSGQTAASGGAATPPPGHPAGVPGEGRPRRRAGR ALGRGLLVVLFGLASCGAGAYASWRALSSRGMGFYSDAESLERFAPGEGEGEGDAQAR QVEQAINAHPLVAELRRRGERGEVVESRPHMKMPRAYRARSLTGGALAGPGRVPVPAY AWVEPGGRSLVSVVFVGDDLCGHPGLVHGGFLATMLDEGLARCCFGALPHGVGVTANL TVDYRAPVPAGSYLVLRAETTRAEGRKAWVKGRIESLPAGGEAPVLYAEATALFVSPK FAAMLPKLA UV8b_04586 MASSNITWHPSLSRRQRAQLRRQRGLTIWLTGLSASGKSTVATA LEQHLLHLGRAAYRLDGDNVRFGLNKDLGFSERDRNENIRRISEVAKLFADSATIAIT SFISPYRADRDLARRLHAASQDPQDEALPFVEVFVDVPLEVAEQRDPKGLYKKARAGE IKDFTGISAPYEEPTNPEITIKTHESSVEECVAHIVDWLVEQGHLQLP UV8b_04587 MTSRYAVVSLPLSALDSSNRDDATSALSAVVSSDNGSVSPFNVP DFKIGTLDALVQQADDLAKLESTCHAVVAKVGDSLKSVLNNDEERLASYKMVNDKPPE QYLRNFTWNKIRYRSDKSLGELIDMLKKELTTVDTDVKTKFNQYNTIKSNLAALQRRQ TGNLATKSLTPIVNPKLLIQDSEYMETHLIAVPTNSKKEFLKSYETLAPMVVPRSSVE VDHDEEFTLFAVATFKKHSAEFVQKCREQKWTPRQYTYVEGGREEEQRELDRVTNEER KVRGEALRLGRTGWSESVMVWIHVLTLRVFVEAVLRYGLPLDYYTALIKTTPKLVAKV KTALDSNYAYLGGNAFGRDKRGWVTKDDAAMSSEMAAAGLGTGEGHEYTAYVYYEMEF P UV8b_04588 MGPIATSPTVTTDGPLPNDANHQAGLAHGNDDSDAVAPCDVVIP TFRMDRDFHDYAPESSPSIDGRPRNFVPSKLQHVPDVKNGNFAVLQMGISAADSTERL EAARRTSEETAAASRLFNQAGYQSLRQLKKRWGGSPNVQKQELKAEDTAKTDQENTTL AFQPPSRRTTPLSPYETKAEQARLLTLLRTLNPNMVVDQLCRGVAYFGGVPMAAPPVQ DAAFPQSAAGNGSGAILVGWLAELFPNMAGPASPSCSPAVGSGSPSAATAANAQNEED LCVTVASHSTADSIAGMAKRGRGRPKGSKSSKVRSDKGKRHSAKMPEGSVPLVIPAMG DDTGNVEGPDVERQPAASIAGTPDESCALPASADSSSLVGPRKRGRPKGSKNRPKAKS DGKPADAKQQRRRRQQQEQEQQQEHDHDHDHEHDHEHEHDHDHDHDHDQQEQEEQEQQ EQEQHEQQVDEPAHTDSGGGVEVSDAMYQDSSLSNHEYPSHLQFVGGLSDKQSTEGVG GFGASLSNHQPSSSMGMEQNMPNRRPFMQPSQPNGAHDNGMLLNRPEHELHGIKRRRI SSSTGQKPGAVSQPDLMPGGFGSPDPVTHFGGLDRPEDNRAPQLSHFFGTTATPQQQH VQLSANPNQTLPRPQGSQHRYAPPEVSLPPHSFFGEPAALRHDPLHHHRHHHHHHHHP SPLDAASNSFGQSLPGSHMHLPQSHAKLLHSAPPSNPGLYAPRHQIPHSSQHRHHAPP PNVSPSIGPYSTFHSQGFM UV8b_04589 METTSTMSEHPTRSVAMDPPHVTEFASERYFEKLSQLSQLSASQ QAQQRPEAGEALAAPAASAASAASAASAASAALAAPAAAASSTFILPLRETKTVDPER NRQPEQRRDKSRFFSFRQKVSLLHSKSQPTEVETPARLAKASTEPALKRVPFDQSFLA LPNELQVQILSHLPLTDILGLRLASKTWHTLITLNEGPIVRHHLDTHVPAYALRLYPV ADPSELNLHHLCSLWHRLHVAAKLAFLMCEWITKEIFLRQTEAQQAAFAPQRERLRRR LTPLLFTTFHFFETYRKLHLKHISEHGGHGLKHEPYTLHPIEAEIMNTYDDQTLLRVH EVFPLVISSFCRRLRPPTYVGRVERSLRGYLRERPSDEMHSAILCIGGLRQVERLWEI KGYNNRRAAVDRWLESLTRDSAKDQQQQQHHHHHHQHQHHHHHGPEHSPVKSRLGLKA FGRKHGDRPARRRSSFNDGSSKTRCPPGPVACDAAAAAAAATTTTTTTSTTDGGHDPC WVFHTSLAADVPMPPLSREKAQTLLDDVPVLQHIWLTTAEAVILDRGIVRRPQDIKRN QQVMLDLIREDGLDQEDEWWYGRSNPASIRPPASAMHDEAD UV8b_04590 MGSLKDDVAANPPCYPRACAIQDCLTRSNYDDAKCQHVIKALYQ CCEAFYQERGDDAASPSCPKPSLVRMKMKQMNEATRRGKSDHADTTLRALPSSIPTGQ HPAKRKAGSHTLEGFWDDTAIRKKCALSRPLQLSPTNYPAQLTTSQKMEPSTRQQQLT ATVVPGPEVEEFANALDSCLAPGLSIPEKRARILDLPRTFHENAIRRLAHHRPKRAAA GTSDADMDLDEQTNSNGQAGKSDEARQLEKEAETWDLFRRLLPLRYPGSEDAEVTRFS PPASASASSSAPRHHVDDFLKTNGLALERRAVLQWLQTNAAAGPDIDELAHDLQKNAD RGDIIAHGWLHTRSKIKFRKNVTAWPHLLDRQSPSVTASHVNSEGAPLVTHLDPDAPT RQGRRLEPQDDYFERAIWLGCFEHLRRGSSSKEIREWCQERTEMWREISMSALPLCVD DTQAAEVSADPASLALWRRMCFGLARQGGSGDYERAVYGILSGDIASVEKVAKTWDDY LFAHYNALLRSQIDTHVLSRCPPETVSALTQFFSAFDAVQYHGEEQGANKRIVQAISS NPSLGADAGEPHKALQAALIAEELDRHLYEQGLVVTESANEFQKSRLVRKGLGDEPEV PRHRYFDLTQHSGLRIVAHVFVLVSLLNRFDSHGPGPLEGGTPPVWRFFQENILAGYT DYLRRARLQELIPLYCSVLSPPRRYEVLSWNVIEDANPSNRATQLKLIKRAGIDVQTF VETQAHLLFDELDDSPEPPAAVKPFKILGERPPSSRHGRPIKADFFTDFLGEVEDDED AVDLKDVHVIRALEWLLTVGETWPKVFSMGVKVYKYFLRNGRLTAARLLMHKATFADL MRDMTDVYELDFAVFDDADFWAQQLEQSGIRNIRPAQVMADARNYRELEALVKALDSL ETMASLVAISIDLDAANRDFWNGVGDVIKTTKDNMQPLLQSWLLAGIEGGDEELEQLR QAYLPETILAYVSALHFAGTGLSRDNLLECMELAAVVAERNSDLASAFVGAGRMTELL ESFTACSKALAIATGDKRAAGSGSKKLREKGWSRDLWALYAAGAAAQRPPRQQPQQPQ HPDGIVSAATPQGALASPPPPVLPAAEPVPDPGQGWLPAVLRDKSTQDLADMLGEPAL VNAVAHAPSAAHESTTASHATLSAALEHNARLADELALAAARLSRQRSATQAQLLATH ALERQWRQRQSDMDHALAPFSPASLYQQLSQGVQEQGLVCQAMEESFLDGGGGGGGDG DGDGVPTPEREVAECIRRYREAKVQFYLRQERKQRWDEGRVGGWR UV8b_04591 MNNEDGRNSQERNEAPTNSEHLNIKVTDNNNEVFFKIKRSTKLE KLMNAFCERQGKSSNSVRFLFDGTRVQPTDTPDALEMADGDTLEVHQEQVGGGC UV8b_04592 MMRRSTEAKPRKVTPPAPTYMSNDQFANYLSDLRSNRTTRPGGA RPLPSVGGRSTPTSRASLSRYSTDVLPQPESHKIQPQVSSKAQSVGAGSASSRFSVVS ARGRDYYPNTPSTPLKPSEVVPTATYIERGQRWMEKEEACSLRDAMDDMDIRGSNEHA SGEEESRIYNAALDEAAELVWQHQNGYKPPQPGGPYRYRPHLRKNSYAHARTASSGKY GDGIAPSGLRRDPASRSVSGSSTDSSGSLPGNRESCETARITTRPSRKSKPYGNVGNA PHHRLHSSLRRNISGETQRPFSADQIWEEPEAAASIESLPGGRASPDKLSSKPQNPLN QSPALPIDCNNGLPGKPLNRFEIHHNPPSQSRNPHYTTNSQHPSVRQEAELERKNGIE IRSDDIRAATSRKLKDRSCKLPEPTAVSDRPGRPIVSFDTNWKAPEETTDSSPDRPSK TTSFKTQEQSRHQPPEPVAVPCINVTEVDPPRARSTPSASVPSISVAGSDDAPSDKNI PTVSMPTISLNKGAAAPAKDTSAVSASGAKPTGSSRPLPSPASSTNQQGGVQTSRRQH WSPAAGSLGRPTATCHECGFPIEGRFVALRGTQERFHPQCFSCFSCGTSLEAMEISPE PDLMRTARLERIRRRAAGEMLEDLPGMTMEEDGDERLRFYCHLDWHELFAPRCKHCKT PIIGEHIVALGEHWHYGHFFCAECGDPFEHGTTHIEKDGYAWCIKCQTRRTERRAPKC KMCKIAVIGQYIRALGGEWHEHCFRCAECEGGFVDGQIFTKEVKGVMVVLCTDCRTRD LKA UV8b_04593 MSTFGSPGKLPSTRPTPPQRGSFPLDHDGECRHVMTKYLACLKG VRGRNDDECRNIAKAYLTCRMDRNLMARDELKNLGFAEAANPEPAGQAKPQLEGEKGV KGELRW UV8b_04594 MSIDFSLFTSLRYDANLKQVRSQGAAHAGWNYENESPLYMMDLH RDRLLRAALHWKWDAVVDKLSGERGLRQLAQAAEDAVGRSETAPRRLRIVVSRDGHFT FQKFDVPTTGIGNLFPESLPAPGTAPCQNQPLVPPRLTVIVDDEGSCRSEFTHFKTTH RVVYDNAQARAGIGSINPAESTEVLVVNEQDGSIMEGSTTTPYFWRGARWITPPVSTG FDRHGGSGGNDGTSRRWALGRGIAVEQDIRADQLVDGEECYVSNGVRGFRAGVIRLRT TMVSAMNT UV8b_04595 MSNPLPSMPPGRPTVVPVDAPSSTWDRITSWVSENKAVVYTIAG IAVVVTGAGVVYYHSESKPKLDSSPKLSKKERRKRKEAERMAAETKESSPAAPQPKTV AVDSEDEVPQIDQDNVLGLTPERREQYAAKLKQAGNRAYGDKAYNKAIGLYSQAILCK PDPVFYSNRAACYSAMSEWDKVVEDTTAAIAMDAEYVKAINRRATAYEHQKKYSEALL DFTASCIIDNFKSESTAQAVERLLRVFAEHRAKEMLASRPAKLPSPIFVGNYLQSFRQ KPRPEGLEDSAELDAGTGKGQLQLGLQSLEKKTGEGYEEARQAFDKAHELGDLGEYEA LAYNLRGTMRTLLGNHAQATEDFDRSIELDPSMIQSYIKRASISLELGEPAKADGEFA TALEQNKDDPDVYYHRAQASFIKGDLAEAQKDYQKSIDLDKDFIFSHIQLGVTQYKMG SIASSMATFRRCIKNFPKVPDVYNYYGELLLDQGNFAEAVEKFDTAMEMEKQSQPMSM NVLPLINKALTLFQWKQDFKEAEALCQKALILDPECDIAVATMAQLLLQQNKVVEALK YFEKAAELARTEGEIVNALSYAEATRTQLEVTQRYPKLATKLASGGGPPAFGMGR UV8b_04596 MSYGQQNPYSHGPAEEAARPYGQTGPPAQDQYELQSYGQPYEQQ QQQQQQQQQRQQQQAAQPAVSPRVLDQKAFLDRVKQLRQEIEGVSTSVDYIGQLHQRT LASADAQAKDQLEHYVTQTQVRITAVKDGIKGLERDLANTTDHNRNTKQTQLQSLRTI FKAQLAKYQSVEHEYQQKYNQQIRRQYEIVYPDATEQEIQQAMDADWSNEGVFQSALK DNRTGHARSLLGNVRARHNELQRIEQTLSELALMYQELATVVEQQDPVIRAAEDNAQE TTDNIRAGNAQVEKATESAKRARKLKWWCLLVVVLIIIAIALGVGLGICLTGDRCSKR KD UV8b_04597 MIRKQARQRRDYLYRRALLLRDAEISEKRAKLRASLASGKPLDP TIANDKALRRDYQYDESAPDMSINEQLDLDDEYAQLSGIVDPRVLVTTSRDPSTRLSA FAKEIRLLLPTSVRLNRGNLILPDLVKSAQTAGLSDIVLLHEHRGTPSALTISHFPHG PTVSFSLHNVVLRHDIPGSVRGTVSEAYPHIILEGFTTPLGNRIAKILKHVFPPREAI TAKSKMGNRVVTFKNIEDSIEVRHHVFVRTGYDSVELAEVGPRMTMRPFEIRGGTLEN KEGDVEWHLSQYTRTAKKKNYL UV8b_04598 MEGAFTHVGNHLISDSAAAIKADADDLSTLGPNDSLLYGKYGDG RSGRRRADDDDNQTEAIEEDENDSLNSVAVDGMRGLKLKNSEEEKELPPHACAYCGIH SPACVVKCLTCNKWFCSARGNGTSTHIVNHLVRARHKEVQLHPESTLGDTVLECYNCG TKNAFLLGFIPAKSDTVVVLLCRQPCASSTPNKDMNWDISRWEPLITERAFLDWLVSA PSDVEQLRARHLSPNTIAKLEEMWKVEPKATVADLEKASNVDDDPDPVLLQYDDPYHY QNIFGPLVKMESDYDKKLKEAQSEDGLVVRWDFGLNNKHLVSFNLHKIESGDVKLAVG DEMRLRYNGELREPWEGVGYVIKIPNSQSDEVCLELRKTGNEKHVPTDLSHNFSADYV WKATSYDRMQLGMKTFAVDDMSVSGYIFHTLLGHEVQLQPMQSKFPRKWSAPNLPELN QSQVDAIKSVLQKPLSLIQGPPGTGKTVTSATIIYHLAKMSGNQVLVCAPSNVAVDQL CERVHRTGLKVVRLTAKSREDVESSVTSLALHEQVRSAEHNSELVKLSQLKNDVGELS SQDEKKFKQLTKAAEREILNNADVVCCTCVGAGDPRLSKMKFRNVLIDESTQSAEPEC MIPLVLGCKQVVLVGDHKQLGPVIMNKKAAKAGLNQSLFERLIKLQLAPIRLTTQYRM HPCLSEFPSNMFYDGSLQNGITHEQRLRKDVDFPWPNPETPMMFWSNLGHEEISTSGT SYLNRTEASNVEKTVTRFFKAGVKPSEIGVITPYEGQRSYIVTTMQNSGTYKKEFYKE VEVASVDAFQGREKDFIVLSCVRSNENQGIGFLSDPRRLNVALTRAKYGLVILGNPKV LSKHELWHNLLVHFKDRKCFVEGPLTNLQACLLQFSRPKVSFRQKNNSSHSSSSSSSN NNNNHHHHHHNNNNNTSNYYDSHYGGGGYANGRFNGGGPSGRDFDSGSMVSYIPDDVS SIQGSAFGGTALNSAFPPMFSSFTPEQWPGLPGVSAPGRGHKGRGRATESVAGESVAN SELTDATSSVIGGKGVGQGGVSLGAGLHDAVTGMRPVSYTQSDRLKQYVESNGRMAPG SGFGRRYDDDEKSVSTAFHSQIGSGYD UV8b_04599 MTVAVAVFAHNVWALPNRTAFELDTTKIKSARSNTTAVHVEEKW AALCKQTNVCHRCFEHGLIHTSAPSPEGYYPGASLVNARSCRLAACSWAYYGVKLEQP AASISRSSEEGSALAMAAATVGSISREASPSKLLLTEVHQSPSAIPERLFHLFGFEFS SLLAPSSSRLLHILRAKHTI UV8b_04600 MVKHLCEAPVRRYMALGLFIVLAIILWHGFAPSRTPWSLSTHAL HGHVPYVPSTVDWSKAKLFYPPDRPVALPSGKPKALPRVQARPGSHGKDDASGARKEA VRKAFVKSWDAYKTFAWREDELMPLSGKGKSSFCGWSAQLVDALDSLWIMGLMDDFRR AVREVAKINWSSHDGDTINVFEVTIRHLGGLLSAYDLSQEKVLLQKAVELGDALYMAF DTPNRLPTHWLSYEKAAKGETVGDETISGAASGSLCVEFTRLSQITGNSKYYDATERV KRFYYAYQNHTKLPGLWPWNMNFRDGKIDDSFFTFGAGADSQYEYLPKMHALLGGLDP DYVEMTTTALDAGRDHLLFKPMTPRDSDVLMCGNVDASAPQRDTTAQMQHLTCFAGGM YALAGKLLERDDYVHLAARLTAGCVWGYDSFASNIMPESSVLVRCENMDAPCPYNAGL FEARSSARVPEGFVGVSDARYMLRPEGIESVFYMWRVTGDRAWRDVAWRMWQAIVAET ETDLAFASIRDTTSNGSAKLDSMETFWLAETTKYFYLVFEDEGVIDLDDWVFNTEAHP LKRPTGVLNPT UV8b_04601 MPLHAARRAIPRLHAAPIATPPASLRHARLFHPSPPTPARDVAG QTHYERLGIQHDASHGEIKKHASSPSFYSLSKAHHPDVSRDPAAAHTFALLSESYAVL SDPGRRARYDREIVVRAAPRAPAGGRSPSGLSRRRGSFRGPPPSFYRNAAWAGGKPPD GPAGRAPRAGGGRDDDDHHHHRVPHFDEAAHARTQRREDERRWRRRALGDDGVEFEPQ TTLAGHFFIVASILGATFAAPLVYLQLTRWRKHDGRA UV8b_04602 MSVPAFSDIAKAANDLLNKDFYHLSATTFEFKDTAPNGVAFKVT GKSSHEKATSAAIEGKYTDKPSGLTLTQSWNTANALDTKIEVNDTLAKGLKLEGLFSF LPATAGKGAKFNLFFKQPGFHGRAFFDLLRGPVANVDAVVGHEGFLAGASAGYDVNKA ALTAYSAAVGYAAPQYTAAVTATDNLSVFAASYYHKVNSQVEAGAKATWNSKTGNTVG LEVASKYRIDPVSFAKVKINDRGIAALAYNVLLREGVTLGLGGSFDTQKLDQATHKLG ASFTFEG UV8b_04603 MSHRAAPLALTVIARTTLIRCPPISSRKYSDASDSSKPTLGRGA TQRRADDRPWHRARSNTLPKSTSPDPTGGDATKGRLLTTPTRLLKLILPIPFHPEQDH INVDDSVRDKWKDEAVEPLALLIHPHQPLSYLERLIQAEIPPLKVNGGDKLPEIVFRA EADYTNDDEDKNDKPQSGNDANGSNVASYSGLGHEGPSRDKTRWVRWSGSTEIGDFIR DAARGREFTVSIEGHGEELRVAVPSFKDRTYYSRMRLRRMSREIDQMARIKRECDELA HKGAHALAKGGFVALAGWWGVVYYVTFHTEMGWDLVEPVTYLAGLSTIMGGYLWFLFI SRDLSYKAAMNVTVSRRQNALYQERGFDPQKWEQIVYDANELRREIKTAAHEYGVDWD EMKDLGGEAVKEVLEEEDESRPNKGEDEVDNDDDADADDDNSKTRGRKSRRQKEHG UV8b_04604 MASNHLEEESVSPLSHSPRNATPASAECAPPFSSPIKATLLPDS QPFETEEECHGRRNTLEAQDYWNYGEKHQNVCPAPDPNGITRDEDEFEQQTRPVSDKT QARKENDAVSPSDQRRGLTLPARSVQFARQDPQILAPPASGSHGRHGSWDGPETPGKH KNTSFMAKIKALAVTPAPPNTKLPSIPAGENGLQSTSTSPTTARFGSRLPDTLTEEQS DADADADIEETADESAVPGTNSSKKKKRRMKRFKKGQSSFTPTPSRFISDSEPWGAYN RLLRRRASMPDTSIPANTDHIQSDGDTREALKRRTFLRGHSFVGTTTQSHGEDLNELE SSAVVGRRAGHSRRLTVFGGGGVSDGDAMAPRRPFFNSERASNFGHQKWRQVKSTLKL LRQKKEDRFDYFKSAELMAELRAGAPAVLMLASMIQRDEHGNKRIPVLLEQLNLKVKD SSPAPDDDKHRHWVFTIQLEYGSGPSRMSWTVIRTIKDIYNLHFRYKFALNNEKYMLG RDPGARPKQPKFPYSAFPYLRRARGKEAESEEEDQPGGRVEEAAGEGTGREDLADGPA SGTDGLPQPTRKKSRSHLWGMRRRSTGYTDPGEMSNAEGPSTPVLDMATRRQRYIEKQ RRILEKYLSEMIRWLMFRADSNRLCRFLELSALGVRLAAEGSYHGKEGYLHIQSSKGL DFRRVLTPAKVIARHSRKWFLVRQSYIVCVESPENMNIYDVYLVDSKFSLISKKNPLV QIGSKDKKKEVDLTLEPPPSKHHTLTLRTSERKVRLFSRYQSVMKQFEDSINEMLKQT SWYAKKRFDSFAPVRTGVFAQWLVDGRDYMWNVSRAINMARDVIYIHDWWLSPELYMR RPAAISQKWRLDRLLQKKAREGVKIFVIIYRNVEAAVPIDSEYTKFSLLNLHPNVFVQ RSPNQFKKNQFFFAHHEKICVVDHDVAFLGGVDLCFGRWDCPQHPIVDDKPTGFETTE QPKDAEHCQLFPGKDYSNPRVQDFFRLNEPYEEMYDRSKVPRMPWHDVAMQVVGQPAR DLTRHFVQRWNYLRRGRKPTRPLPFLLPPPDAKLDELEALGLTGTCEVQMLRSASTWS LGIEETEHSIQNAYIKMIEDSDHFVYMENQFFISSTEAYNTKIVNRIGDALVERIIRA HENGEEWRCVIMIPLMPGFQNTVDEQEGTSVRLILMCQYKSICRGEQSIFGRLRAVGI EPEDYIGFYCLRQWGVMSNDALVTEQLYIHAKAIIVDDRVALIGSANINERSMLGSRD SECAALVRDTDMISSTMAGKPYQVGRFAHTLRLRLMREHLGLDVDDILEQERQHDMDQ EAFDQKMEDIYREDNATPGVGPSSGRRESYMHQRAPSFNHDLDMAKARAINDGASLPS SSLSSSSDAKLGKGKKSTGVMSPEQKHKHELDVSGYGPDQWKAAEQSGLDEGRDSVVV GGREVLVHDVDPEGKGTIAKPKPPHQTAPASDNRHLEASEASIAALPPMPPMNRRTTE ELGLLRPAQLPPLPAADDTDIGGPPAPVDPTSGCPKSGAFYPMTADIRLADITKDCMR DPLLTTFIDDTWNRVAMNNTKLYRRVFRCMPDSEVKTWQEYRDYMEYGVRFRASMEGK AVGDEVDLKPDGHNHHESTAAGAGVGAPGPEAIVSAVTEKLTEKLAIPELDLNKLDAT ASAKEDAFLDEKSEEANPPSLGSPNGPLPRQDVKLADPPPTEVKLGDGGLSIIEETRS RPLEPQNSRNADRKATFSTLDKPPSRDTTSIPPQAQSGSVKRRRRATTKGSRRGFSLD DMPSRLDAEEILKLIQGNVVQFPYDWLLTEEQNGNWGYQVDGVAPLSIYN UV8b_04605 MPPTRCLEPPVRALALRNPLYAQARRSLSTKPSPSSSSSSSSSS SQASSSKTSPHSTTNGGAKQRKPLTQEQRDFLSSALRVNQAGELAATLIYKAQTPPIV KRHPHLRTLMAHMYEQEAGHLGTFNHLIRKHRVRPTALYPLWSVLATGLGWSTALMGR EAAMACTEAVETEIGEHYNNQIRDMLAMMSQWQEEGYQVSDEIRDLVNTLRRIRDEEL EHLDHAVDNDAKKAEPHWLLTGVIRAGCRGAIWVSERV UV8b_04606 MSDLDDELLALAGGDSDDDGSVSRGRGGSDSPRPAKKESGKLAK TKRRSRADDSEEEGEASSNPSSPNSLASAAMDESDSDAEPTPRRGGTDEDDKYPVDGM FISEAEKAEIMALREVEREQILEERATEIERQRQRRLLRQMVEDVESKNAKKKRSADT AELDDSQRKPSRQRTDKAETAMDSLRRARAEKAKRREDQSHGRDYSPARRDSDHEASD GDLGRVRLRSRSRSRTPEKEPEEEAPPPELKDFERLRLGRNEFAQVCFTPGFEPAITG CYIRIALGPHPETGIEQYRMAVIKGFTTSRPYALNGPNGPFVTDQYVKASHGKATKDF PFIAASSGKFSENELNRYRVTCNNENVKLPTKAYLTDKIDEINSLISHKWTGEEIKAR LARRNELKRRFDPAERERIARLLDDAVARGEESKAQALQEELEKLGSQRLAFRTTLGA SRDAGGAARGTSEQDRLAERNRENRRMNAELVRKAQLKEKARAREIEMALKRGEQVAD DPSRRLRTKAKFVHNVNEASQKPSANGSEASTPANGTPRVAATRPEMQSHLAKLQEKN YKEVNGVPTIHKPLMDDDVIGALDLDIDVEI UV8b_04607 MPGIFPMKVIKVGNSAQSRVAQACDRCRSKKIRCDGVRPTCSQC ATVGFECRTSDKLSRRAFPRGYTESLEERVRQLETEVRELKDLLDEKDEKMDILCATL GNPQRRPSAASQPPPQPPDQSKASPAPSAKEDLFRIQGSPLLLGAENSESYFMGPSSG RSFVLSFKRKLQELGKPCNDFNPDAFLHVQGCAPLTLEDDDVPRASPGLPPRIFSDRC VNVFFQEVAPLFPVLHKPTFLRVYEEFVANPKKVRGHHKLAQLYLVFSIAGVASESPD YQQVAACERQWDQAVQSLVLENTMSTLQCLILALLYCTIRADNKRVQHFKGLAVGLSH RLGLHQSQKRFSFGVLTLETRKKVFWTLYTLDCFTAATLGLPKLLREDDVRTEYPADA DDEYITEKGFQPTLPGEFTRLSSALALFRASRILARILETNYPTLSSYELSLQRLGAF EEELDAWYDDLPAHLRLTFSQDKPSTETTGSRSPILALAYYHIRTLIHRPAVGSSLGC KAAPALLSIVSSSKRIIQVVQLLEERNMSFAFCLNKFDLLALCGLTLLYQVVDLKPDG KLTRDVARLVNITAKALGKAEAPGCVEFSRVAAALAPVDKGHGAPSSSRGPMPAPARR SSSSSRAEGREKPSHPRQPEKLRRPTASTNPPEAALSPRSQQSLDSLTSEPSSSVQHQ GQQRLSMPTAGTGTQRACPSRAIPDLNCLALNSTPYPTGPPSLSAGHDGRMQTLGGSS TSPSRHVAHGGDSASKLSAMSNAEWEALLGSMDGGLNNVYDAIYGGAILRDEAPVQAR PFSPDSWVMTRISAANFARDDDAPGPQSVLSLSDESLSPGEEAPSSDLGLSVASAELN RLHVAEAFGYEFEGFPW UV8b_04608 MEGDTPAAVLIVGSGVFGLSTAWALVNRPRFAKSSITVVDDASS GQFPPDDCASVDSSRIIRADYADPHYTALAAEAQKEWRKQGEDDLGGQGRYTESGFVL TAYNPKEPPKVGAKSGMEYTKQSWKNCVEAAKRDGQPASKIRTLEDPKALNDCLGTDA HPGDWGYLNALSGWADAGRGMKWLFERVKATGRVGFVSGKVEQLATAGDRVTGARLKD GTVLRGDVVLVMAGAWTGELVDLRGRVEATGHVLGYVDVSKEELAVLSKQPVVLNLSS GWFIIPPHRGVLKVSRHSFGYLNPVEVRNALPLSPCENQRPIVVSRPLTTRDGVLARL PHEADLDLRQALANLSPVKGLEKRPWRETRICWYSDTKDGNWLVDWHPNWKGLFIATG DSGHAYKFLPVLGEKVLDCMLGEGGVLGQKWKWKTVDQEFAGREVDGKFQGLATMDGS RGGYPGMILKDELEREPSATAQSRDIPKSHL UV8b_04609 MESIQTHPSNAAQAKAFTAPGSLSFPGASNELTPPSNNGDAMHR AAASGQQAANGNGVTPATPAATPSATQGPSGITPTLQNIVATVNLDCRLDLKTIALHA RNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQKLG FNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYRMIKPKIVLL IFVSGKIVLTGAKVREEIYQAFEMIYPVLQDFRKV UV8b_04610 MRAPVPVSRCVQRSRARATAPPCAARRQQPVRAMTSMTPQPVRG TTCRRSSAAFRPPPLAGTTARAFSAGHDGSFDPASVERESDEVDVCIVGGGPAGLSAA IRLKQLANEAGNDGFRVIVLEKAGDVGAHILSGAVIQPSSIDELLPGWLDESNPNRFR HATPATKDRMYLLTRTASVPLPAPPQMHNRGNYIVSLNQLVKWLAERAEELGVEVYPG FAAAQVLYGSDGSVKGVATNDLGIGRDGKPRDTFERGMEFHAKVTMFGEGCHGSLTKQ VIRKFDLRKDSQHQTYALGIKEVWEVDPARFDRGLAVHAMGHPLPRDVYGGSFMYHFG DNMVALGLVVSLDYKNPWLSPYQEFQRLKQHPLFRGTLENAKCISYGARALVEGGFQS VPKVAFPGGALIGDSAGFVNVPKVKGTHNAVKSGMLAAEAAWGALRQSDQGTVFLYDY EDSLRRSSIWKELKEVRNMRPSFHSPLGFIGGILYSALEGFVFKGRVPWTLKHKTPDH AATQPADKFPRIEYPKPDGKISFDILTSVSRTGTNHEEDQPVHLQVKDWDAHTESTYP AFKGLENRFCPAGVYEYVEDESKPHGVRFQINAQNCIHCKTCDIKAPRQDINWQVPQG GEGPKYYMT UV8b_04611 MKLASLHLLAAAGVAAAADVPGNCPGYDVYAAQRHPPYSPGRFK YPSQRPEVKCRSYVVPDVDKTIAQVKGRIKDPDLYSLFANTWPNTVDTTILWHGRAAD DADQELAFVTTGDIHAMWLRDSANQLQSYKPILNITSHDAAAAAANSIASLYRGTINL QARYIAKFPYCNAFQPPPEAKLPPIRHKRSSLVKRADTVTPPYDPDVVWECKYELDSL SAFLQLSWDYYDATKDARFFAKHHWADAVRTILSLADDMMRGTYADDGHVNTSPYTWL RDANSATETVSNSGSGNPVLGNIGLVRSFFRPSDDSTIYQYHIPANMMFSRFLKACAE IMQPIDENTASRMTRMAEGIERAVELYGVVKHPKFGDIYAYEVDGYGSHNLMDDANIP SLLSIPHLGFKANTDRTYQRTRDFVLSRSNPYYGFGPVLNATGGPHLGPGMAWPMGVV MQIMTSDHDDEIVHGIKQLMGATSGLGLIHESVNTWNDQKWTRPWFAWANGLFGQMIL DLLNRKPHLLARTYQ UV8b_04612 MFSTFTGNSRRPRNVNLSGQASNPFDNALWSPAAASNATKTVSD AQAEREKRHLERQRLKAAGKIQKTWRGHRTRRAMADSQRDAFDDLYQSPSSPAAALER LPLAFNLLLSFYTKRSSRDIQRTCNFAQDCASAGIQHITPRDTLISRLSSLNDILIHA LSVVVSPKAPNHDFVVLLKLISRLASYDTRTIINSIETYYTVLARLFQDEQNETVADL LFDSLTIPLQIESEAESNAIYKVFTFNFLAQGNLIFLENNPSRLSERIKASKLADAIQ LVYESCSELNSPTDRLLWLLAHFISLRRVSLDSSAELLYLNALLIQLTYLSSDISLRM SLKGRAEEDTDATEDLALQPLDTYIQQQLLSLVDHEGISKTLREFSYNLAHSATADSH GTSLLAGYILTLLHSFPANADDTRMRLFRGEIPSSSGPIPMIKFLWQIMRQTTVFSKL LNDSESPLEVIRRYLQGTPQLLEENREEQEWRVMLLFLDLYTFILRLSDDEDFFSGIT THPMDIDSPTSHLRQCSLSLRDVEMLSVFLKNTAFVLHYNARDLAQFQKALDGATKSR LDSYLGAGFRSSRDTAAPDVSLSSIASKKLDLDGLRAIVTPVLKMLYERDSRKHFLPS GHWLMNAKLEQEDFVNAVIAEEQRQSHEDEAESDDEEGATAGQYSTVAGQRMSRHARL KRLKRQQREQGLAEMKPKLEILKHMPFIVPFETRVRMFRQFIKLDRVRRGWNDSVPML PFSRHRAEIKRGQLFEDAFKQFYRLGDKLKGGIQITFVDQFGTPEAGIDGGGMTKEFL IGLTTEAFGNGDGGSGMFSSNEQGLLFPNPTAVDTVREALRQDGLSNNDTGRKEALSG LLKRFEFLGRIVGKCLYEGILVDLAFAGFFLLKWQSVGPKGETTYKGSINDLRDMDEG LYKGLLSLKNYAGDVSELGFDFTITDQVSPPGEPVRTVTRRLIPNGDQTPVTNDNRLL YISYAARHRLVIQPALQTAAFLRGLRCIICPWWLSMFNQSELQRLVGGDSSEIDIEDL RRNTVYSGLYEVGDDNEEHPTVKLFWKVLDGFTDGQRRAFLKYVSSTPRAPLLGFSQL RPNFSIRDGGTDEDRLPSTSTCVNLLKLPRYTSEATLREKLLYAVTSGAGFDLS UV8b_04613 MAVNASGAVDQLANDLNNTSLNGGVDIKPTLDTNVSAGSEDASV PTPNSAAPHPQNSASLYVGELDPSVTEAMLFELFSQIGAVASIRVCRDAVTRRSLGYA YVNYNSTADGEKALEELNYTLIKGRPCRIMWSQRDPALRKTGQGNVFIKNLDVAIDNK ALHDTFAAFGNILSCKVAQDENGNSKGYGFVHYETDEAAQQAIKHVNGMLLNEKKVYV GHHIPKKDRQSKFEEMKANFTNIYVKNISAEASDEDFRDLFEQYGEITSASLARDPDG KSRGFGFVNYTVHEHAFKAVEELNGKDFRGQDLYVGRAQKKHEREEELRKSYEAARLE KASKYQGVNLYIKNLDDDVDDEKLRQMFAEFGPITSAKVMRDSPTEGSEDEADEADEA EAEAGNEEAKDNEEETGDKKGERRGDKKFGKSKGFGFVCFSNPDDATKAVAEMNQRMI NGKPLYVALAQRKDVRKSQLEASIQARNQLRMQQAAAAAGMPQQYMQPPVFYGQQPGF IPQGGRGLPFPQPGMGMGGVQGGRPGQFPGYPQQGGRGGVPQQMPPTMYGMPGQFPPQ YGQPSTPQFMAAMQAQQQALGGGRGGPQVGRGMPPPNAGPGAMPGYPPNNRQSGAGRG GNSRNSQGSGTNSNNSGPGHAPRGESSTVSMLQGQLAAAQPAQQKQILGEIIFPKIQA INSELAGKITGMLLEMDNTELINLIEDDAALKAKVDEALAVYDDYVKSQGNDKIGGVE VKKEDDGKA UV8b_04614 MPSLVTVNNNVSLISINVAPGTWLATVTVLFVLLAAYIPSVPSI RLPRFALPQLAPLLPSFSRLSAPSSTPARRTSAARNPLAPYPRGRQLVLQDQSLVAAQ GLDASLQDFESPASPISMRHSSALPSEPATEELEDSETGSVGGYSPPAWRRLGNGDRS SGFWKHHPHPHSEHERFARGAARMSDDDGDDDDDDDDDDDDVILHQAIRTRLPQGSLS PEKGRSPSPDRGEDVTVRIGREASAAASAKEPRHSPGPDNYFRFAVRAEVQQRTKLIE AAVAFIQARYRLLTKSWRCLLSSVLVAFLSVSALRVLTKPAAPRPAGDLVKVAGIARS FEPLIYFSEPAVAHVKDLQSTSIAVWDLAESVRVSGMSDADIIVENLDAISEAMKKLV LDLTKFHTHVDGDIDSILSVMDWARMHLDRLNSRPPPSSMSHAYDNIHNFLTTTAVLE DSHGTPTRLGRLTTAVFGMSNPQREQRMVQLLFNNLLSTLEEAIQAELENSVSLFALF DDIDAHFLKLARTVAHESSTQEEFQAELLSGLWARILGPRAAQLRKFERNRALLHNVR QKTVRNKGDLVGHHGKLLTLKSSLESLRGKLASQLVRGLNSSTLTLEDQIKGVAGVRD YLADIRAQQRTKVMETLYSVDRSHKYAQRAIDPGNTIGDGSW UV8b_04615 MLAHPRRLDDSLPTPGSLQPRLVRTQDVPAPRQQGAERPRPSSS RLRFTLTVLDSLEPPLPASPLPAHGAPAAPRQSFWKAAWPACPDEREPGPGPGPRRSL IWAAREKGCFARSAQGLGRTRAPPVSVSGDCDRVRGL UV8b_04616 MADHRPMSIDAMLDMERQEVLALLENKNINNATSPTRMQSSSPY TTTPQSAVRSMLDIDEEPQSSSQAPKTQAPVRSMLDIDGPVATTQAPVRSMLDVDSPL TPRSVHGRSSSPTSPNEPLFKARSASSQGSYHLRKSSDAAFKPADFGSRSARNSDRTS EYQFSGILPQYSGTHGSKRLAQSGGSKRLPSGVFGEALRNADLSSLQLPVDSGRNSSV SGRLGHNKSKSPHERWAARSRSPATFQNALPPNKAMLDDGQILDINSAYRRLSDANLA FSRGSLSQLPMRKKSDDVGEGRLVKDYLGPDGEHLESSEDEEPHSSDDEDRGRKKAPR SLNPDAHEEGNHAASRSRSGQGTRKTLSLLAAADEERSKIASLESHTYTYRSLLEPEI MITNTSGDTVKPSKTGIHPHTSYDQNPASANQSMFDSDEEADVDDIKRAQMLSFSMTN ILTAADSHRSIRIIYRGDYHKIVQTAEEDHRRLRKYLVATDLSDESTHALEWAIGTVL RDGDTLIAIYCVDEETGIVTSEASLVPDDAKAMREQAAAINSVANAKGTPAPVTPVVE LKRASALQSRPGSAGGRTPASSPGPSQRCDLQRAAEERHRAIQEMTDKILRLLRKTRL QVRVIVEVLHCKNPRHLITEMIDLINPTLVVIGSRGRSALKGVILGSFSNYLVTKSSV PVMVARKRLRKQSKYKQKAVKQVNNLSNAAARSLANAKID UV8b_04617 MSAGVRIWSACRSLALRPRAVATRTSNPFPSPTRLFRRPSSTDS NGQAAKADGQGPNAKLTSTSPGPSSSVVDAEAPPADDSARETSEALDDSWVQVLDDAA LEQIFYGGRPATSERRGGGLSAAQEETLYREGTIPPPETASAVAASDAPTPPSNAATL AEGSAIRNPGHKFGLPKKPYPEGFNLKQRYHPVLEQLTRLLMRDGKLSVAQRNVAMVM NFLRTSPAPIYSPKFPLLPGTPPASHLPLNPILYITIAIDSVAPLLKVRNIAGAGGGG RALELPVPLALRQRRRMAIKWILDVVEKKPSKGSGKKQFPHRIAEEIIGVVEGRSSVW EKRKQMHKLGTAARANVGSRKLRAKKKK UV8b_04618 MQSAATRHSVQVPLVQLHMNPIIKGKKKVAPKDGPSGHQSSPHT FPHHPGVGLALPRLTGGRKHQIYKTHQCLPCCARRDLELDRCRDPTQTTRRILKQFPI FVAASLSLDLVCHPKFFAFVLVVSTCTESADLYHLEFPPNFAIGCGTETASMMASSPT YSCSGSSGSSNCSWQERLEDFCQKAQLTSPIFHIVSDRRGGRTAWSSRVTVYGQTLAA RYWYDGKNLNNAREDAAERAFLWLVTATGQSNSQLCGSW UV8b_04619 MASSTARPSGGSGLSRPPVYNLFSFATLFLLVSAFACVAVDGAA VSAAAAAAAAAAAGDRYLIGVGKADITGPVVEVGFAGYANTDQVGSGLRQRLHSRAFI VADKNNPADRFVYLVLDAQSGDTAVRYGVLDGLKALGDDYKVYGHNNLALTGTHSHSG PGAWFNYLLPQITTLGFDKQSYHALVDGAILSIKRAHESLQEGYLDVGSTRIKDGAIN RSLYAYLANPESERKQYQDETDTTMTLLRFKRAKDNKDIGILTWFPVHGTSLLGNNTH AAADNKGVAAWMFENSVKTSPHAADGFVAGFSQANVGDTTPNVLGAYCDDGSGKQCSL EKSTCADGKSQSCHGRGPEFRALDLGVKSCYEMGRRQYAGAQNIYTSLDNAGTPIVGS TVKAFHFFHDMRFWNFTLPNGKQAQTCPAALGYSFAAGTSDWPGAFDFTQGDSGKPDA NPLWRVVSGLIRTPSKAQVACQGSKPILLDVGEMNEPYAWTPNIVDIQALRVGQLVII VSPSEATTMSGRRWKAAVAKEAATFLDKDPIVVLGGPANSYSHYCATPEEYGIQRYEG ASTLFGPHELDAYINLTVSNMHYLHPGSAERPDQGVLAPDNRGKALSFIPGVVMDNAP LSSWFGKVLHQPDKSYAMGSVVKATFQGANPRNNLRQEQTFAAIERQGSDGSWSRVKD DTDWFLVYTWRRTNLILGYSEVDISWETYGNAEPGTYRVKYYGDAKPLIGSIAAFEGT SASFTLK UV8b_04620 MTSPTPLAAVVVGSTGLVGSSILSNLLADDTYKPTHTISRRAPQ ASSPNLNAVVTADTAAWPAALRGLSPPPATFFSALGTTRAAAGGIANQWKIDHDLNVE LARAARDAGVRTFVLVSSGGTRGLPFSLTPYARMKNGVEDAVRELGFEHAIVVRPGMI LGEREQHRLAEGVLQAVVRGLGRLSPAAQDAVGQDAGVIARAAVRAARLADEGGAPGK YWVLEAGDILRLGRTDGQGAGADSQAGAGAGAGAADVAAKR UV8b_04621 MCGIFACHNHPNVQRFKPTALQLAKRIRHRGPDWSGNHISNHTI LCHERLSIVGVESGAQPLTNDDESLVLAVNGEIYNHRLIRKHLNHVHRFKTTSDCEVI IPLYMEYGLDAPSHLDGMFSFVLYDKKQDRTIAARDPIGITTLYQGWSWKEPGTVYFA SELKCLSTVCDKIVPFPPGHVYDSKTGETTRYFKPGWWDSGKVPANPVDLKALRHSLE KAVRKRLMAEVPYGVLLSGGLDSSLVAAIAQRETVRLKKKALEADGGADHHRPGQALA GEGLVGIDDDDNLSTVTYLPQLNSFSIGLPGSPDNKAALEVAKFLGTKHHVMTFTIED GLNALSDVIYHLETYDVTTIRASTPMYLLSRKIKAMGIKMVLSGEGSDEIFGGYLYFH AAPDKKALHEETVRRVKNLHFADCLRANKSTSAWGLEARVPFLDKEFLETAMGIDPQE KMITKNRMEKYILRKAFDTSDEPETAPYLPDGILWRQKEQFSDGVGYGWIDALKDNAE LHVTDEMMKNPKTEWGTDIPDTKEAYWYRLMFDEHFPPSCASTVMRWAPTWSKQTDPS GRAIATHNAKYDNAA UV8b_04622 MALCQNRLQEERKQWRRDHPFGFYAKPARTKEGVLDLKNWECGI PGKEKTIWEGGLFKLNIAFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEAW KPAITVKQILLGIQDLLNDPNPESPAQAEAYNLFKRDRTEYEKRVKRVVRENPAP UV8b_04623 MDTKMGGAGEDTKQAVQSKQADVGVAPAPAVEAAKPAAVTEDVP DPDEDDLDDLDDMLDDFSAVKLEGSAKKPLSPTNATAPESVPKGTTSAPKDSATDEEE PFSEEEFAKQLQAGMAGLLGELDKSPEMQEQFEDMLKQMTTAAADSEAGAAGSSTKPG EQNKADASFQDTIRRTMERMQTSGDQATAAAAAGGDDDFMSELLKQMGSGDFGGEGSE EEFSKMLMGMMEQLTNKEILYEPMKELDEKFPEWLGKNKDSTPAEDLKRYEEQKVLVR EIVAKFEESSYSDGNAADREYIVDRMQKMQAAGSPPSDLVGDMATAQEAFAGADDQCN PQ UV8b_04624 MQSTNTSDSLRSAPIRTMLSFSPKPVFTTTWDHHRPNTSSPLSS SPVRASSPLSPVDRNSLPQRQVQSSPIQQPKFKYASRAAARRNPMLRQGEDARDSRRR DFLQNVRRKAEDKAWERRDIEGKFLKNSFLADVGRLAHDAPTLSETDLDDAIRFPEEH QMLPEEEDALNGYLEEEEEEVEAMLASYQEQKNSAPEAPCSPALSDEEYDQVFAELIA QEEAESQAQSPVEAMDIS UV8b_04625 MNASRLVHRLASQAGPRSWTTCCLCRGPLAPVTPPPSVVASRFS SIRGSAREGCGSGSGSGSGNGNGNARGGSRSARVLLLSSAGAAGVAGTALAFTDEIKN SYDSVERTGRVVAALAMCINDYRTTLNAMATAEDADEQKPALKACHKRCAERTLKVME KNGGIFIKLGQHLSAMNYLLPPEWTTTFVPLQDKCPVSSLHSIEEMFRKDTNEDLWDT FSDFSDEPIGAASLAQVHLATLKGSDRRVAVKVQHPDLEAFAPLDLALTKYTFSTLKR FFPEYDLEWLSSEMEVSLPKELDFEQEAANARRMKAHFAKIPELPLVIPEVVWAKKRI IVMACESGARPDDLEYLDRNGIDRDEVSATLARIFNEMIFGDGAPLHCDPHGGNIAIR KNGSRRAAGHRRPNFDVILYDHGLYRDIPLPLRRSYAKMWLAVIDGDMARMKRYAREV ADISDADFPLFASAITGRDFTVVSRQGSILRSRTADEEQSISSSLQEGLIVDLVQMLS RVPRIILLILKTNDLTRSLDENLRTRQGPVRSFLILARYCARTVFHEQLDEVGRRGSL LRPLNALRLFSAWLGYLRVGAKLEAFELWLGVKRALGWRVDFASSAPL UV8b_04626 MSLFLFEKLSLARVLAWCFAAFVLHRIYRCVYNLYFHPLSKFPG PRLAAATSLYEFWFDVIRDGLYIWEVEKMHDKYGPIVRVNPRELHIRDSSYYNMIYTL TDHEVQKDIGQVDGLAFPGSLVTTVDHHLHRVRRGYLNPYFSKRSLHALETTVRERIG RLCQRLESLAATDEVVILDRAFGAMTADIITKYLYGEHYDYLGSPGFFVALTEMFYEI TGGANLARFLPSTAILLRKLPISILRRFSPGAATLLTHQQDIHRRMAALMADENARKA NSGMLTSLLDDDIPAEEKGVTRILNEGIVILIAGTETTARSISVGLFYLLQDKEHIRK LREEIGAIRTSDETPPLSELERLPYLRAVVRESLRLSFGAVLRLPRIAPNKVLKYGDY DIPQGTPLSQSAYFVNTDPALFPDPMVFDPSRWIKAQESGVNLESYLANFTKGTRGCL GIQLAYAEMYLALASIICKFDMELYETSVEHVQCRRVRLLGYPDPDPRSVNSRGQVMV KITGTV UV8b_04627 MAVGLSVESYRKSVQRFLDAVDFDVPFESPRQAVLDESLARLRC LPGWQEKEARCMRVAYGVVRLIIPYLCDKVQVEVTVFYALGVIIDDDPLRYMDGIVFH GGRLVESDHVGYHYAKGVFDAIEALSEWYEPFIISTLWRSFFQWMVFLPHETRHAKAL ASVRSMQFIDYMRQLLVVSDATSCVVFTKDIPWSEYIHHIPGMNLLVQEANDLLSSYK ELIVGKDVCSVMIQRQKFRNKPIEEVIEESCDVCIETIKGLYSSPGSDELKMRLRQFV AGYVQFYLDVDRYRLKELLYGEARGEF UV8b_04628 MQMYSNDEPGDAQKGEPPANAPTPHLQGFEFTFESSSLRFEVDS DAMNDASSLSDISLSDSIQEYPKLFGRTYNAYRHGSYAFPNDQQEQERLALQGPVLTR LMGGKLYFAPLSNLHPPRFILDIATGIGDWAIDMADEFPETTVIGTDLSPIQPNKVPP NVHFYIEDSSEKWDFPQKFDYIHTRISAGCWSNFETQAAAQAFQALEPGGWFESQEVD CTVCCDDGTVDPDGPLVAWANELIEASAKVNRPALIGSILKETYERVGFVDVQQRVYK MPIGTWPKNPFLKQVGLLWRHNLLQGLSGFSYHLLHNAFERSMPEIEVSLIDVRRDLC DNRIHSYMPTFVVWGRKPFPREVSPPKTETKAYANVHHFAV UV8b_04629 MAASTDRDEGACPVDHKTRDAWLAQARAAQASSQAASCAADRGA APDTPGPSSSSSWTRALASRLPWSPSPPSPPPASRAALDTDRAISSIPRSPARPGDPG PPAPANHQVESGPDPSGNWVYPSEKMFFDAMRRKGHDARAADMKTVVPIHNAVNERAW RLIKEWEAPHLAGSRCGGPKLESFANRNDRMTPTARVNILLGYTAPFDRHDWVIDRCG TRVDYVIDFYAGRPGADARAGPSFYLDVRPKLNTWEGVKMRAMRWAGWA UV8b_04630 MANASASQSSSGNHSRQGIAELVKRGQDIEVIELDKSDNVQRRW LHRTADSVILLDSRDRTIGYLHRSLREWQNALYDAFLPVGYPHSVSRDYLAYQTYDSL QAFFSTITALLANRALLQGLGVGDANSSATFAMLITILKDAMSRIATIVFAHRFGLRI EPDAKRYRFLADVLNDTAFFLQLYSPYFSRPGKLVALASGEALRAACGVAAGASKAAL SVHFAKHDNLAELNAKEASQETAVGLVGLLVGTLVVKVVQDHRSVFYLMVALVLAHLW MNYLGVRSVCMATLNRQRATILFQEYVRSGTVLTPEQVAHRERIILWRPVVRNRRGEP IAKIEFAESYKQAASHGGAKDAVSIVDGPMHTSFLVAPRGNKLAKIRVLLWSGCQPRH AILAWFHAMQAAWRMGKQDHGGVVECEKPDQRRAEDEELWTSMAQVGWDLETQAFETT APIRLRVQSIKNKNK UV8b_04631 MEDQKVYRASTTAPVNIAVVKYWGKRDAKLNLPTNSSLSVTLSQ ADLRTLTTASCSPSYADGDSLILNGERSDVSGARTQACFRELRARRAALEAADCTLPK LSAMPLKLVSENNFPTAAGLASSAAGFAALVRAVADLYELPDSPSELSLVARQGSGSA CRSLFGGYVAWRMGDKDDGSDSVADLVAPASHWPDMRALILVVSAAKKGVSSTSGMQQ TVATSGLFQHRIKQIVPSNMDMMEEAIRSRDFAKFAEITMRDSNSFHACCADTYPPIF YMNDVSRAAIRAVESINEKAGKTIAAYTFDAGPNCVVYYLEVNEPAVLCAFAGVLDGA SGWNKRSASVGVDIKLEDGIASTLRDGVSRVIMTRVGQGPSRSGEYLVDGNGQPVKR UV8b_04632 MPFTEACPVYAPFFGAMGCTCAIVFTCLGASYGTAKSGVGIAAM GVLRPDLIVKNIVPVIMAGIIGIYGLVVSVLISDGLKQELPLYTGFIQFGAGLAVGLA GLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSKATQDA TC UV8b_04633 MPGIARKILIYAAIDGLIIQPTSTKGQRSCPPVKVKYEDSSISS LPRDQVPNPSVPESSFEAFGIIGLITVSRLSYLVTITRREQVAQIFGFPIYVVAGVAI TPCSSKHEANESIRRTSKLLQENASPVLHDELESSDEDPEVSLAPLEEVEDVVAQGDV APLGSPRSSVAEDVIRRRGSYGRFAKRWFSKSGWTVDQRRSLGLSISTAHSPAAPETE VVDLHATKLPLENEMRNQRRPTELLPKLLRTMQVFFGSSKSFYFSYDLDITRTTSQNA WIPDPDTPLHAHVDGQFFWNRHILEGFLSRGHDHLSLPLMQGFVGQQSFVVDSDPPQV VGVAPGSMELSAVSAPETLPASSLSGLREQKGPRPSEKRYLITLLSRRSIHRAGLRYL RRGVNEDGFTANMVETEQILSSATWDSASPVHSFVQIRGSIPLFFTQSAYSLKPVPVI QHDAESNYRACRRHFERLLSQYKSLQIINLIEKRGVEEPLGTQYEQSVRRFNEEVSEA NRVAFEWFDFHHACRGMKFENVSQLLVKMKGRLEELGSTTQVGGEVIQRQSGVFRTNC MDCLDRTNVCQSSFAKHMLDLQLQEDGIDVSAQTDQETRWFNTLWADNGDAVSKQYAS TAAMKGDYTRTRRRDYRGALNDLGLSLARFYNGMVNDYFSQASIDFLLGNVSEKVFDE FECDMMTKDPAVSIANMRQRAVELCQKRVVADAREEFHGGWALVSPSAPDLVKSWPME EVILLLTDAALYLCRFNWDVDKVSSFERVHLANVTHIKFGTYITSTVSPAHTDEMRNV GFVISYLTGKSNVRRTNTRTLSTEGDIAPNTTSPSLSDDDKALLGFPSFFSSKAKSST TRRLAFKAPYLDSSTAVAGTLGGQQTELQQVVAICADIERLALGARLGRDGDEEKRLM EKGDIISLQEAKKNTGFLEQLGHSIKKLVWA UV8b_04634 MGSRASPASKKKHRPPAKTSPTHTTTTTTTTTTTTTTPAAAALP PHAVRVQPRKHQQTLLNIFSDAFANVLSSDRFPALLQHIKQALYDRDFGEAFGHVEHL EAYAARWSPPRALCYSAVLLGIREHLDRLPARQQAHADADADAGAPQGQSQDRLGMLC VGGCAAEHVAFASYLQRTRRDGSLTLVDSGPWAHVLSGIQNQLTADPPLSKYASAAAK AANKPLVGTHQLEYTFRQADVLTLSQTELTDLVGPRPLLVTLMFTLNELYAGGGVGKT SQFLRSLGQVLPNGSLLLVVDSPGSYSEAAIGREKNKYPMQWLLNHTLLEGETPGSTW ERLESQDSIWFRLPEGLSYPIQLENMRYQMHVYRIHKG UV8b_04635 MAHSEIDQLAINTIRLLAADATFNSNSGHPGAPMGMAPIAHVLF NKFMRFNPKNPKWLNRDRFVLSNGHACMLQYAVLHLFGYDVTIQDLKDFRTVDSKTPG HPEAHDTPGIEVTTGPLGQGICNAVGLAMAQAHTAATFNKPGFDVVDNYTYCFLGDGC LMEGVSSEACSLAGHLQLGNLIAVWDDNQISIDGSTNCAFTEDVVKRYEAYGWHVEHV QDGNTDLAGMEAALKRCQQVKDKPSLIKLTTTIGFGSLQEGTHGVHGSPLKADDIKQL KEKWNFPQEPFYVPKEVYELYGKHSSEGAVLEEKWNQLVSKYAESFPKEYADLQRRLK GDLPQGWEKNLPVYTPSDSAVASRKLSETVLSKIESSIPELFGGSADLTGSNLTRWKS AVDFQPEATGLGNYAGRYVRYGVREHAMGAIMNGLAAYGTILPYGGTFLNFVSYAAGA VRLSALSGVRLIWVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSAA YYVALTSKHTPSILALSRQNLPQLEGSTVDKASKGGYVLREVPGANITLVSTGSEVSI CLEAAKYLGDNHGIKARIVSIPCFEVFDAQTKEYRLSVLPDGVPSLSVEVMSTMGWER YTHEQFGLNRFGASGAYKDVYKKFEFTPEGVAKRAVATVDFWKDVPHVRSPVNRAFQQ LI UV8b_04636 MANLPSQHPTLSIHLSDITLTPLITSSSSPSHLDSLTSLTSSAL SSQTAAQRAHLGRPQRIMVEYPDHGAVVLQTYLDPRESSAAASACACACARGKDGDTI ASVDDDDADLQQSEDAAPLLVGVIVAGSSDEAREARRAAARLERVGREFQREWMAQTE RGRSGAGD UV8b_04637 MPAPRRRRIGHRRRVEDEGDEDARTEVLDLDDDSLTEGSVSDEH GGQGSDTSDVDEVLPPSPHAAKSANGAAGKGAAARSAGSASTVLANGKVKTVSDTELM LHGLSIDDKSAASQERHLDHVVISPPKSPSAPVVVSSASAMRQQSGSHVERRRQEHED YKRRRDEDPAFVPNRGSFFMHDHRHAGPAANGFRPFGRGRGRGGRYGIGGPFAPFSHM HHPSDPTTNSPWTHDMHDIVAQPAPRRPRQMPEDEGPPNGDGFIPTCEPSATPINRTL STERHIGNAQVRVFLPDMRAASVFPGIPVKQYTKLPDHRPPLRRDKPVRISLPNHPPR YIFPASDRSFTFIPRALRPNQQRIRGKPRSTWGSVGGFSRRTSVFGGSYYGSAYSPSV ALSRRSSIVNDRDFMFSPTGSGFSRPPIPSDSMRPIVRLPPNTAPQPVMPIQVQAPPL EQVPVPMPGPASVPAAQLPQSEQPSAAVDASINELPPPQTHPLPQKPALQENRPSSIP MHQPRPQKNISVADIESPTMTQGNGPPAFQQAFHQQVPLQVANGYGQEAHSRRPSYQQ STGTPLSHIPERAIHAAPFQPTTYGQPPYYGPQVYPAPSQQGYYYPPGYSGPNMAPSA PGPLYAPSAPSGGLPNSYLSQSQSDQQQQQQQQQQQPPPPPPSQGLGHNAAGSNLVAQ EVNGMVYYYDASQIPPVSSYTPYSAPQGYQHSVMGMGGMVTPSPDGFYYPQHAAGMMY YPQ UV8b_04638 MSSVSYPGSEALVSVKVTYDGGSRRVKMPLREMVPHVLEKQIRV FLQIPADSKIMIERYSDSAAAFVMLDASNMSVYKQLYRAAKAKSKLKLRVSLLPQSHT TPAKPAAVEDAPETVSASPVDKPMPDNSSPIPSATASSSSTSISRQYDANLLQEAAKL IQGGQLEFDNRLKQMIKSTEELTSQLASCQPFTTTPAAPWLPGPPPLACPASRAMFAV CCNSCENNIPGAHYHCSTCDDGDFDLCQDCVEGGVTCRGDDHWLIKRTVNNGQIVNST TETLAPKPKVKSAAKPACSKPADAITVGPPSACLKPADDITVGLPSDTVEEVPCFPSP APAVPADDITGGLPSDTAEKVPASETCFRAPAPSVFDERANEYGTPPCTRWTVSGDVR TCNQCVRELPEHEFLHCTTCEDYDLCQPCFAKDAHGHHPKHGFAPAVPGTPLLTHVRV KMNPGRNQAHHAICDGCETYVTGIRHKCLDCPDWDYCTECAQNADFVHPGHRFAPIYE PLTDVYASAAAQPVHMGICCDGPLCSSTTHPTSYIRGIRYKCAVCHDLDFCANCEASP ANDHNKTHPLIKFKTPVRHVSVTTSGERQDGKRMPAMGDRLSTLANVAEWVAAPSENA LHAVRTVVDVQPVYPTVPPASPAKEPSTEPQTLAAPAVKPELKEEDLRAVFLRDSVAD GTLFPPNHVFEQTWVLRNEGTATWPAGCSVKFVGGDYMGHVDSAHPAGISELVSASES TICYAPLAPGQEFAFTTLLRTPTRPGKMISYWRLTTPDGLRFGHRLWCEVNVRPAVDR EAKPKTVPSAPASPAPCVIEIEDTAALTSSMMIFPKLDKESPTASMHQEAPTDATSEA TKEEVDIEGEDDDWDASEGGFMTDEEYDILDASDEEFLEEQQKRLLKN UV8b_04639 MVTFSIPGDDAADVTNGTPKSRPLFPFARRAYATASPFGNSAAT KRLGTPQTLPRARLLTTRDQVPSSSLNRNSTATARNIFRASTTAESPPTTSTFSPSIP NSTIKKVFAPGATPEPSRLYRESVAQATPRGMATKATDKELFPMRIASPPPELTGEVL TQKIPKDWNSKGSIYADQFLAHLCPPGLDEEQRRQFFCILDLRRLKYAANEIFSKKDW KLNVINFAKEFEKSRSIILLRYGLYEFQNVKPSKDVLRRWRREHGLPEPEEEEDEQST PSRPTTSKKRKATEDFSDTPALKGKRRAMETQSPQPQPASFTPATGKNKRKASISEEQ PAKLQKSTPSSAKTLFEKIATKPAATTKPAEEPAPTPKPNPFTVNKPAVSSSLARSAI NNNLKPLGSKAAPAGGNVFGYLSDASSGKNSGVDADAESDSESERDDSQEAGQSDEPV LTASGASLFGQNTVSSASGEAVGPSIAPGTRESTPGRSLFDRVTKGSDGQPVRVAEKV GEAAAEAEAEAEAEPEPESTSQLDSQVDSQAESLADPSAAEQPAVRDQTWNPSTTPIK FAPPSSSAPGASLFGNTGASSSSSIFAAKTTAPSNIFGAAKQDQPFAKESLAGASTDE SNKDGTESDKENESQPPKKALLETKTYTSQPLFGSSPFTAKPATTEALKSTSSLFGGA TAPKLDAKPEEQPADTNAASGLFGSQNKPLETAPPASSFGAKPAETNKPAASEAPKPA SIFGNASSSATPATGSAASLFGAKPASTAGSLFGGAASSSVQQPLFGAAPPTPAEPAM ARSDATKPIFSFGNSSAAVPSVTASKPLFGAPKSPPTSASSNNMFGSPMKQDSPATKK VSNGDSYGGSSTPIFSFGGGQTASSTTSLFGASSAPAQGNLSATAGPINFGGAPSKTG SNSGGFNFSFGGPSTTPSGSFNNPFASGAGGNASTSAAPSSGGTFAFGAGSSAPPGQS GTPSLFQFGGSGGGAPATTVAGAGAVAGAGLGTGGSLFGANQGSSNNANFVFGGASGT GSAPSFNFSAGGTAPQGTGSVFGSNQAAPAFNLQPPAGGSTTTGTNSPLNLGGGSSLA TTPAAGTPEPSTQADAARDGGANDEDGEKHEQINLTDSVDEEEEVLHEVRAKALKFVP SPDKTDGSEDKTKSKSPWSTVGVGQLRLLQHKETSLVRLLLRAEPRGHVVLNRAVLPN MSYKADDKYVKLTTTNEAGDGLETWMIQVKTKEVARDLAAKVEKHKEQNRK UV8b_04640 MPREIITIQAGQCGNSIGSQFWQQLCLEHGISQDGNLEDFATEG GDRKDVFYYQSDDTRYIPRAILIDLEPRVINGIQTGPYRNIYNPENFYVGKNGMGAAN NWGDGYQSGEAVCEDIMEMIDREADGSDSLEGFMMLHSIAGGTGSGLGSFLLERLNDR FPKKIMQTYSVFPDTTNSGDVVVHPYNSILSMRRLTQNADSVVVLDNGALSHIAADRL HVQEPSFQQTNQLVATVMSASTTTLRYPGYMHNDLVSILASLIPTPRCHFLMTAYTPF TGDQVEQAKTVRKTTVLDVMRRLLQPKNRMVSTVPGKKSCYISILNVIQGEVDPTDVH KSLLRIRERRLATFIPWGPASIQVALTKRSPYIPMSHRVSGLMLANHTSIATLFKRIV KQYDGMRKRNAFMEGYKKTAPFSENLHEFDEAREVVADLIAEYEAAEDADYLNPGNGD KATSAEADGRVG UV8b_04641 MPQSKADKIAEVQANLPLPEQPPTAPDWQSADARTVNVGSGRVE AHVGTGKAAEAGLREPATKDAGMDMGGIGRDGRKR UV8b_04642 MNPHLKNKVDVKSLSPEEQRLFRLYGKLPSRSDHFAKHLKERKY FDSGDYAMSKAGKGDGVDAGAVGSQHPVPENIPHLSSPVNNGTTVPKHGHHGSIAGIQ AGSPVKESSILHRETSATEEVGNHPADAVNPGADNDDSGATSPPPQSNDGIPIRR UV8b_04643 MGLLALGTALEWPEAKKRADQVREWGIKQLLEIWNKAKGKEKDA MLWGDEVEYLVVTYTKDEQKVLLSLRQAQILEALAADKELAKEGCVPALQAGPVTKSK ANPLPVFHPEFGRFMLEATPGKPWGIGFKELLDVEPNMNLRRRIAKDHMLAKEYPITL TTFPQIGVPGQFTDPYYPPSGCKLRSQFVPDEIANPHIRFPTLAANIRWRRGRKVQVN VPVFHDKNTPVPWTDPTVNYNLHNWPEDDDERNGAAPKDMIHMDAMAFGMGSCCLQIT FQAKNITEGRQMYDQLSPLGPIMLALTAATPIYKGFLANTDVRWNQISRAVDCRTREE LGERPLKKDRWRIPKSRYASNSTYISTDPRLRPEYMDPDLVIDPDIKAKLLEGGMDDR LATHFAHLFIRDPIVIFEEDLQELDLNKTDHFENIQSTNWQHMRFKPPPAENNIGWRV EFRPMEIQVTDFENAAFSVFMVLVTRAMLSFDLNFYIPIKKVDENMERAHAVDAVLRE KFYFRKNPFPPRPSRANTVLGEESRPGSATASRCGSPGLAVEEEYDEMSIDDIINGSA DGGFPGLVAIVESYLDSVNVDVGTRCRLATYLSLVSKRAGGQLDTAARWIRNFVDAHP GYKHDSEVDDAVTHDLIGAVVAIGEREGAGKSFAGLEIHGLARFLGGFRGGCGGADGV DVDAADGVDGVDGVDGVDAADAAGTDAAEGEAESENPSRKRKSEWMDGVEGAP UV8b_04644 MSRRAWRAASQRDKDRGATGEAIREIDGAQDVDGSVGPGLIDAS NAIRPGEWRANGEANGEANGEANGEANGEANGEATVGRAMAVNGRGRS UV8b_04645 MEPGDSGSRQSIHLHSATVPEQLQRQRRQKQQRAHTPPAAYQSH RENLDRRFRDQSVMATATVLAPSAHYPPPSTTYSSYPQHPSAGATPASAPPPPPPSLP PNAISNMISSEHRRPADDKEPSARQSLPSISEVISGARPSHYPPPQPSHHPGIQPGIQ PGSGLPSPFAGTPRQYAETEKHSPQPLHSASSYPPRQENLPAFADSPRPTFSSRHSLP PVTDRRPTPPIKSEFAPQHRLAETQPSDSHAANGGYGHAPPPPPPPPPPPPPQLAPPP TSHPYSTGHLPPGQVPLPNYPISPRHPGPPPAVQYDARAQSMRHDEGDYSNGRSRFQP AHNRHFETWSYQDSLSRIGSSSRTIFSFAEAYGRIAQEQHGSHPIPERLPTEREVTDV LANVELIKRCLEQVKDAVQASIQSERAREGAKAKGGFEDEHHDVTMYDGMKPQYTMTE VKKRRGRAAPPGRCHSCNRIDTPEWRRGPDGARTLCNACGLHYAKLERKRQLEARSLR PKPEERN UV8b_04646 MRLRSSPAMITTLQAVLRGLLGLAALQGSTRSLSMTAAAAADPS NDNITSDSYFYGQSPPVYPSPEMTGGGEWKAAYQKAKALVAQMSLEEKVNVTGGIRLD TSCSGNIAPNKRLNFPGMCVSDAGNGLRNTDFVNAYPAGIHVGTSWNKDLARRRGAAM GGEYRRKGVNILLGPVVGPAWRVVLGGRNWEGFSAEPYLSGSLVAQTIQGVQGEGVQT SLKHYIANEQESHRMPGGDAEAVSSNIDDKTLHEVYLWPFQDGVKAGTGNIMCSYQRI NNSYGCANSKTLNGILKTELGFQGFVMSDWDAQHAGVATALAGLDMVMPRGDGYWGHH LVEAVRNGSVPESRVTDMATRILTAWYQFGQDTKFPKPGFGMPVDVRKPHELVEGRDP NDRPVLLQGAIEGHVLVKNTKDTLPLKSPRALSLFGYSARSADSLSPGPGDIYINLWR FGWTPLYLEQAVAGWLGSASGKVAAAAALNGTMNGGGGSGGVTPAVFVAPYDALSVRA AQDDTALYHDFGMPQPAVVATTDTCIVFGNAWASEGYDRPALSDNFTDTLVNTVADQC NKTVVVLHNAGPRIVDGFVDHPNVTAIIFAHLPGRDSGTSLVKLLYGEANPSGKLSYT LARKESDYGALLGPSKPEGRYQRFPQSDFAEGVYLDYKHFDKYNITPRYEFGFGLSYT TFDMSNLSVRRIADGNQGEWPLGEIIPGGQADLFDNIAVVTVDVRNTGAMAGAEVPQL YVGFPGGGNDSPAKQLRGFDKPSLQPGESRTVELPLTRRDLSIWDTTAQKWRMQRGQY DIYVGSSSRNLPLRDTLTL UV8b_04647 MCFGSREKDSSGAARSRELDRVIRQDEKRMAKEVKLLLLGAGES GKSTILKQMKLIYSQGFNKNERLEWKPVVFNNIIQSFRTICDAMSELDYKFDGPDNEK HMAHILVELEISPEDKLPQDYLEPIKALWQDHGVKQAIAKGNEYALHDNLAYFVDGLD RIWADGYVPNDQDLLRSRLRTTGITESVFDLGQLTYRMFDVGGQRSERKKWIHCFENV HCLLFLVAISGYDQCLVEDKDGNQMNEALMLWESIANSHWFTKSAMILFLNKMDLFKE KLPRSPISKYGFTDYHGPEDDYKAASKYFLDKFYALSRNPEKEIYGHFTNATDTNLLK ITMASVQDMIIQRNLKQLIL UV8b_04648 MSEIVHPTIKDGWFREISEMWPGQAMTLRVEKVLHHEKSQYQDV LIFKSTHHGTVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVLR EVVKHDCVEEAILCDIDEAVIRLSKQYLPNMAEGFSHPKSKVHVGDGFKFLDDYKNCF DVIITDSSDPEGPAESLFKKPYFQLLHDALRDGGVITTQAENQWLHLPLIAQLKKDCK EIFPVAEYAYTSIPTYPSGQIGFMVCCKDASRNVRVPLRQWTPEEEEAKCRYYNAEIH KASFVLPTFAQKALQ UV8b_04649 MSSSSSPPPPPPPPSSPPVVLKKPVRLACVQLATGPDKRANLAR AAAQVAAAARRGCGIVVLPECFNSPYGCEHFAAHAETLQPSPPPEAQAPSFHALRAMA ARHAVYLVGGSVPERCPRTGRLFNTSLTFGPDGALLAAHRKVHLFDIDMPGRIAFRES AVLSPGNKLTLVDLPDYGTIAVAICYDLRFPELAAVAARRGAFALVYPGAFNLTTGPL HWRLLAQARALDNQIYVALCSPARDMAAAYHAWGHSMVVDPMASVLVEAGEAETIVEA ELRGDAIVEARTQIPLTSQRRFDVYPDVSAGNVQFEEPS UV8b_04650 MKLTLVSVSSLLVCVASALSIAGPRGRCIGPPVNNATLDIVKEY KGFLPLPKKDKAKRVKVGYGHRCHNETCAEIGFPFPLTHETATVVLRKDIVTAQNCVT VYLDGKRKLNMNQYGALVSWANDIGCAAARRSLLLRSLYNGRDANRTIVRELPKWTKE HGYQIPERYASRKREVMLALTPTNETALPIVCNGTLVWHGV UV8b_04651 MTRSYVYFSALVAFTAATAMIVASIFLPAWITYSVTSPQGDKVE MHVGLHRRCINFHDPPCTDYPTPDMCQGEDGRTFCSMWKTVGFLASLSAILCLASLVS FLVIIKGGKYKRETGWPFVTGMLTLVSLVEFFIVGTVSYLSRHDDQFAIPGFNLDVGW QISLASAVICLLGAAGLAASAYLLPPEDGYSFLEDADA UV8b_04652 MAAGLSAEQLASFRRNGYLVIRQALRPATVARLLAETRSLLSSV PLDDHPLTRFATGQGRAAEHVGDEYFLGSGDKVRFFFEEDAFDAAGRLARPAAAAVNK IGHGLHAACPAFRALLRPDDDDDDGDGDGEAGAEVSPAAVARALGYADPRCLQSMVIC KQPGIGGAVPAHQDSSFLFTDPPSATGFWYALEDATLENGCLSVLPGSHGWAPVRKRL VRRAGAPGTEMVDNPGARFPGGGDGQPPPPPPPPEGGEPEYVPAEVEAGDLVLIHGNL LHKSERNTSDKGRIIYTFHIIEAEGTEYDERNWLQPPEGGFTKLYT UV8b_04653 MTSPQGATPPVPAWKRLGLKLKHSGAASESAIGTLAVGHPSSNQ REAQPTKRKIEAPPTTASSVALKRPRRESQPQPSASVNPTLKRKKSVTFEDPPTRSQD TAGPRESDKSAKPAKKKGPAKKQIPPAPSDIKPALDYLRLWKTSRDSWKFSKNLQSLL IKRVFEADAIPTSDIDTFYEYIRDLKGFVRKRLRESAMEVQTKDVADGSRGFPSGTKH LESTQATYETILSDLLRAQQLGQKRKGYDEVEFIASSDAGDVIIRRVVKRMRAEAIID ELSEGEETDSSSTTQSSDKTLTTSDNNMTMTTDGDKPLRLNNEGPAKRRRKLRVNVND SSSSESDSASDSDVSSSSSDDSDDSSDDDDDDDDHDDDDDDDDDDDDDDDADAADAAG AREDDGYESSSSSSSSSSSSADDGDDDDD UV8b_04654 MSASAYDRHITIFSDNGRLYQVEYAFKAITAANIMSVGVRGKDC AVVLSQKKVPDKLIDPSSVSHIFQISPSVGCVITGSIADARAFAQRAQGEAAEFRYKF GYEMPADVLAKRLANISQVYTQRAYMRPYGVATTIISLDSELGPQLYKCDPAGYYIGY KGTAAGPKQQEALNHLEKKLRNKDCAQGSWEDVVELAITTLSTVLSMDFKKGEIEVGI CGGPRADGKEGTNPAFRMLTEDEIDDRLQAIAEKD UV8b_04655 MGMGMGMGMGITVSHVAREQQGRVKLDSPRPMPSWALTPMQWTG ILGSQAWVRKVGAHAVMPSCRHAHHGGRGAKDIGRAFAASPTGYSRAQGGLSGL UV8b_04656 MSAHVPTLGALASFQDAFFTYQGSLNAAAYDIMDGCHGSLTRKS PPPPSSVNECRTARVAEILSDFRTLQYYIISAQTDPDDARDYHTEGWATLRQCAIDGH HILECAADTRVPVAQGGEKEQTKAELKQVLLDAFSRRHEGQKIYMRQSAARRWIECRD LILRGNRPVQAARQQLRACDGRLRAELESLTDESVYAELAAADNALGRWTAEDPSLRH VVRWLRARQR UV8b_04657 MAATPPLASCLVGFLLVGLAWGLTTPFIRRAARAHRPPPRAARS RSRCVRGLLSVLALVRSPAYALPLLLNLSGSVWFFLLVGRAELSLTVPIVNTLAFLFT VLGEWYVEGKVVSRGTGAGVVISLVGIALCVQSKA UV8b_04658 MSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCISPDK RFLAAAGHHTVKLYDIKSTNPNPLLTFEGHTGNITGVAFHCEGKWMVTSSEDGTVKIW ETRTGSIQRSYNHGSPANDVVIHPNQGEIISCDRAGSVRIWDLAENTCAHELLPEEDV SVSSVTVASDGTLLCAANIAGNVFVWKLQQTFDQTQIMPVTHFSAHKEYITRVLLSPD VKKLATCSADHTAKIWEVNNTKPQAEGENDPKPFPLEATLTGHQRWVWDCAFSADSAY LVTACSDHYARLWEVHSQQIIRQYNGHHRGAVCVALNDYSETR UV8b_04659 MALQPRYNDEAQVILKVVEPDRSIRFCHRHILLTKNNPEVQIGR TTKRDARLVEGPNNGWFNSAVMSRNHAKLVLSPANNSVTLIDAKSLHGTYVNNHRIES GQPKELRSNDVLRFGIPILKGSDVFRSCEMMVTLNFGQSNPQERPAVFRVPDSTDDED EMSEMDDTVETSVAILQQAGISPAQKEFSGSVSAIDLTGDDDSNAAEIVMLMEPGENS RELAMEPGPASAEIEASEQSAPWISEVDSLDEGSEGRMSSQVSNSSLELHSEKDGLSF YYEDTENCNHCDDEEGLGPDMEVFAVEETSHVSQLPLAEQPDLVSATYAEDVNPSCLA AASVEQAASSPSFRSSFDAQVRSAGVLGAKSGKVEYFEAREHNRRVCLGQTGGKQNSN ENGADGPADMANDELELVASQRSLTEHVTARTCTTTADLLAAGDKFLHTPMTGVDTRP DTDPNELLDDTSAYTYEMSKRMAGLGTGPTLAASQNVEAEKAPGGSAKEACKDAALGD GSLKRKSDEISQLLPVEEDRDLVGKRFQPADGMTRSVDLADGEPTHAANASRQAAGSF AERPVKRMRRVAELLGFAAIGGVAVMSALIATAPDL UV8b_04660 MSHARVKALKGAGKTTSRKAIKSGRASGNITPQSSPLPSSHTSP IHSAAHSRAASDASDNEFDDEPVQFEDTMYGDGLGGTALAIHFMGPSTVIDVKALIDT MRDKKHNNSEVREKILGGYNKVLRTRFEAETRERWLTSSALELAQFFLRGADRGATAR ERLLSLQAYYLTVGTTGDLEIFETSERALKQILIDDDNDEIRVLAIYVLCLTVLYAGG LEEAALEVMQYLVDIVQTDGDSVELHDNEAVVAAAIVGWTFVASHVRDFSASADAAMN AFVEQLDSSVVDVQCNAAQAIALIFESARNYEAEMGEPFQLQCDPQRLAGRMRELIKA SSSKSVPRKQRRKMRESLASVATSLERGVGPFYSTALLFPDHIADGRATISYRNEEGE PEYGYRCHLRIGIYSTLVSTWSLLSRARVMKILFREALQRHLFINPVVMECLDDAKWT VVGGVRRPCCHCH UV8b_04661 MTAPAMRRNSLQWHESTYLSPSIAYELLKSQRRLKSVEAPCEPS SPKLCTFDGASDQPPDEPPARINTTVPTYTVKPNFAPGQYIWTPEGPDTPHGAKGRES IAGCRIGVPDGGGRAWLVVTGGFINFFIAFGLMNSFGTFQAMYESNPTPWENARPTDI SWIGSAQHFIFFVGGLVTGPIFDKWGARPPLFLGTLFCLVSFLGTSWSTRYWQFLLNQ GVFLGIADALIFYPTTSAISEWFNEKRALALGTAVSGSSIGGMVWPLLLSNLYGVVQP KHLHQIVAVITTPLLLLGCCFVRERKGVAAHDAAGNQAEQSQRSMRSAILDLRFILLS CSLLVLYAGIFIPFSFIPKYALDNGISMDFANYLLTITYGGSFIGRIGSGWLADRFGR FNVLSLIASLGSIVTFFLIWMTTVPAMIVFAVLYGILSGGLVPLGSACVAQTTADMGH IGWRIGVMMAICAVGAIGGPPLGFALKAATSSWIPVHAFAALVALLGALSIFAAKLLW QPGRLGKF UV8b_04662 MAATVVRTELLIVGAGPAGASLACFLGSHGRTGIIISAAPGTAE TPRAHITNMAALECLRDIGLDRECTTVAAHGDNMQHTRWCMSMAGEELARAYSWGHDP FRKGDYETASPCEGHIDLPQTDLEPILIRRATHDGWAVRFSTTLLKISQNDSGEVISE VRDSLTGQKYLIQSRYAFGCDGARSQVVREVGIPLIKKPTKGLALNILIRADMSHLIK DRKGNLHWVVQPQKGCPPWGWAGLFRMVKPWTEWMAILMPHPGADMTADDMEATEEEY VAHVKQMIGDESVEPEILNVSKWWINETVAEYYSVGNVFCLGDAVHRHPPFNGLGSNT CIQDAFNLAWKISHVMSGKAGPGLLDTFSIERQPAGVDVVRRANQGLRDHSSWMETVG LLEPDMTKRREILAEFDDAGPKGRLRRAEFQRAIEQTASEFHGLGVEMNQFYSSSCVY REDEAGEKPEAKNSTDHYHVTTKPGSRLPHAWLNSRVPGPRLSTIDLAGHGRFCLLTG PGGQGWKEAAQTVSGGLGVDISCYSIGWRQDYEDVYFDWARRREVEEDGCILARPDRF VAWRCPSMPKDCASKLRAVMTSILSL UV8b_04663 MVILRTQSDFGHAPPPQTPYSIVTNLPGWDLLKSIRQGDTSPLA RVVHIYPRLSPTQFVRTLSAEIAKKVGLEEKACMMYLDPVMWRYTKSHVAHELRREHA ISPDRLTLKVVDVAGHRLYCVLYDPQHSRALMLSWGTPGLGLSIRQAEYLLDRVDTMV EVSFDDENAPPRPTWAPEGPDHEPLRQRINDLVHHGAIDPEKVKSQSKDVFLYPSGMA AVFTAKIILQDYRPNRTNVELGMVFHNTHELLREESPGGWKHFGSVDSEGLEMLETWL EKEEAEGRGATFVIVEFPSNPTLESPDLPRLKRMSERFSFVLIVDDTIGAFSNVDVLA QSDMLLTSLTKSFNGRSDALGGSIVLNPLSPHYQELQKRFAEGHHNQLSAADAKVLLS NSRDFFDRAARLNANAQAMAELLHRNMTEQPGSPIVGVQYPSLLPSKANYDAFMRRRT PELPHPGYGCLLTVNLAGVEAARAFYDRCGFYPSPHIGGHVTIMFAYNMFMFGKDPQE AEALRAYGIFEDSVRISAGLERVEDLLDTLQDALDAAAKVGRGGKEG UV8b_04664 MRRGLLVVVVVAAAAAAASLHQPRPPVPPDQNRPLGAAHASDPP DNHKHHRFLNHQTKKYALNGTAIPDVDFDIGEAYAGLMSISKDPDGPDKFFFWFQPST NPAADREILIWLNGGPGCSSLEGLLQENGPFAWQYGTFRPVANPWAWHRLTHVLWVEQ PLGTGFSTGNVTARGEEDLARQFMGFFANFVDAFGLHGYKVYITGESYAGMYCPYIAD AMLAAKDATYFNVKGMLLYDPAIIDARVTEMTVAPFVEHNRNLFPLNDSFVAYMRDAD RRCGYAAARDTYLAFPPAGPLPATLPGLDPATGLPLPGCGDYELWSDVRDAATQANPC FDVYQVATTCPLLWDVLGFPGTFGYLPKGASVYFNRPDVKKAIHAPVAKDWATCAPAP VFVNSSDQADWSSLGPLPRVIDRTGNVVIAHGALDFFFLTNGTLLAIQNMTFGGRRGF QTPPTEPFYVPYHRAGPPGSLAGAGVLGTTHSERGLTYVAVALAGHMVPQYAPSAAFR HVEYLLGRVGSLSSKAPFTTDPGIPQPDGPLGKGTGPPVALIRRGWGVESSSVAEAEA HGGKGGI UV8b_04665 MIDADSLRTASAYINNQLLSRGLLRDGDSIDFAAARLGDHDQDS AAVAGRIIGILNDLILRRDRDAEQRESLSTAMRALRAENLKLASDVARLADKHAETQR KADIAAASETTLRTQLKSAEANAKALKDEVARMKSLVAQCRASCATEIRRRDRQMDTL KKQLGEAGRSRGSRGNPAITTITVAGEVGRRGDNTTTSAGVSGGGVELDGETNASLAN LARHVAEENEVLLAMLQRAMAELRDMSGWQGDDDRATTNPVRRNPTCQDVAAELDPIM DHVRLVLTNPSFVPIEEVVMREEEINRLRCGWVKMEARWKDAVHLMDSWRKRMAAGGK PVCDEELQAGLRLSPVRARAAEGTRGAGDVGLSAVKEECEEQADELLRSPCPANGEHG DGPPDGSESESEGDMTDYEDVAAADRPLSIPGGGGGGGGGGEDGQEAAKAPGGQDAEA WHLQHAPLLDAAPRPSPLEDASSAGNRGVSHDDETRSQRGRVVSTKGGTAGGDSGAKS GSMRCLPVRPRAAASQSRLSSRAPRDAGLQLPGRDAEVSAPDGEGGPTGQETQTTGPA RTAAAAATKTATTATTAAAAATTSPTTATATATATATTSQCSMNDATAAGAAQTSVSP ATRTIAAKLAASEKDADAARVRAKLKAARTSTRGVSRPPMALSTASEPAFRPGAQSPP ADGAADAKQPGDGAGRPAGKRKRDRQLGKTASRRRSTLSPRELETLISGRAEGAAAGR GGGAM UV8b_04666 MSAKTKSTREAYDGSVTGHVSDNVIDRHVTDTGHSQISSGHEVK TDENARTVVQPRKASYGLVQALISISGYSYSKPPSITVTQRKARFGTRSLVPAASSSF KLLLVAT UV8b_04667 MAAADVSHDGFTLLPIRMPPLPSFPHSIVHEVRIRRNTPKVPTP DDSRSLFLKNIPTDSTEAHFRSVFADLVGAGRFETIVFDDEPAAALPADPARATKMQG LARKRKLMDVEAEEERARDELAAQLPPIWTRSLRRSGGTAVVLLADDRSVQLVLKAAE KARKSSRYPVWGEGLADEVPQLGSRWISSHLQLCRVDQAAAQSAVHAFFNAFNRREKE AVELAKRLRNEPDEDGFVTVTRGGRAAPASRNEAEEAKQRMVDRAAKKKTELRNFYRF QLREERKREQAALLRRFEEDKRKVDGMREKRGKFKPET UV8b_04668 MRFPSFVVAALMPLGIIADGRPGNLTPQELHLDELLAKHNLALV PRSDLTEALAELNTLLKKNQALQARGALFPLLNLTQPSGGSGSGSGGSGSGSGGSGSG SGLPLGLGGLGDLGGLLDLVPIAKDIAGYLKAIEGLLSEEFLQAVHDAMVYLAATLKP PVPSQVHQLLESALPLVQLLGKLKLEKLVDEIGEIDLSSLVKSVLGLLTQKNIDNIGN LLTNGAALLTPSFVNETQSLIGEVSPLIDLVKGIDLKGLLDQLQPLLTPQSVHNIVSL LTNAGTILNNQTTTDITELLHFAHNFIPYLSALNPDDIAVAIAPLLANLPSIVNGAVT LLSNNTVSEIQTILKGASPLIESLSKADLAKLLDQLGPILKQLGDIDIAGLLKSVQPL LTEDSIKGIVGLLGNAESLLTKDFVTDTQSLVAGAGPLLGVLKDVDIKGLVSQIEPIL KEVAKLDLVGLFEALKPLLSSDSIKGIVGLLGNAESLLTSQFVNETQSLISSAGPLVG SLGKLNLQKLLDQLGPIIDELGKIDLAGLLKALEPLLSEQSIQGIVGLLGNAELLLSK TFVEQTQSLIGKAGPLIDALAQLDLQDLLKQLAPLLSALGKIDLPGLVDAIKPLLTKE SVEGIVGLLGNAELLLSKSFVEQTQSLIGKAGPLIDALAQLDLQDLLKQLAPLLSALG KIDLPGLVDAIKPLLTKESVEGIVGLLGNAELLLSKSFVEQTQSLIGKAGPLIDALAQ LDLQDLLKQLAPLLSALGKIDLPGLVDAIKPLLTKESVEGIVGLLGNAEKLLSGEFVD DTQTLIKGATPLIAELSKLNLQDLIKQLEPLLSTLSKIDLAGLLKALQPLLTEDSIKG IVGLLGNAEKLLSGEFVDETQTLIKGATPLIAELSKLNLQDLIKQLEPLLSTLSKIDL AGLLKALQPLLTEDSIKGIVGLLGNAENLLTGEFVDETKSLIKGAGPLIGELGKLDLD NLIKQLGPLLSALGKIDLAGLLEAVKPLLTKESVEGIVGLLGNAEALLTGKFVNETHT LIDGAVPLIGALGTLDLPGLISKVKPLLDALGQVDLKGIFDALAPLLTPDAIKSLLGL LTNAEDLLTPKFVNETQTIVDGAAPLISELDGADIGGLLKQAKPLLSSLSKIDLAGLV DAVRPILNALKKIDIEGIVNTVTPLITPNSIKGVFGLLGHAEQLLTETFVSQTSELIG DATPLVATISDFVKAIFQALMGQ UV8b_04669 MAATFSPGESQREPHAPTDAAASGARGDADHVQAGSRSSASSDD HVAEKAALSPLSKREKVRRHCHRFWLWYLIATIVLLAILLPILFKVIVPAIVQAIINS QALPIHGGLLDVVTSDLVKMGVDTTLHVPLPARTTNFSMSLFRRDTSPYSPYVTLNVA GQRINGDTNFDIPGQLLTVENHTEFVHWLGEVFDQEEVDISLRGVPTVYLGALRSEPT LDKTTKMPGLRKFAGLSIQKLQLMLPPDKDGNNIKGTVNIPNWSLVVLNFGNITFNMF SGAVQIGHLTVYNNLLNPGNNSLSFDGNLDLAAVVKNLRAVLDSQSEALSRGQINLNV TGISVVKNGNQISYIAEVLRTRYMTATMSVISLVGDVFAGIMDGGTAGAGVPGGGTAG IVNILGDVLGNNTFIDHVLQHFNATRLLKGSSTGGSPLLSMRAAANPKEALMWKMVQM GLRRRLNLARSGN UV8b_04670 MQSSRTAKSAGALLRTRRFATSSSSPPSRSHRVVVVGAGTAGLA IGHQLLRRGDFAASDIALVDPATWHHYQPGWTLVGGGLKNKEDLRRRLDELVDPKLRL YKSAVASVAPGDNLVRLSDGDVLSYEHLVVAPGLTVDYDSVEGLREALRSDGPVSTIY SYDSCDKAFRTIEGLAGGTAIFTQPAGVIKCAGAPQKIMWLALHRWKQAGLYDGSGSG SGPGPGSAIRISFATGLPAMFAVPKYAARLEQLRRERGVEGLFQHDLVAVRGNEATFA RPNGDAVVRKFDLLHVTPKMGAPAFIRESGLANAAGYVDVDDGTLRHARFPNVWSAGD ASSLPTSKTAAAVTAEAPVLVRNLLRAMEGKEPDASYDGYTSCPLVTEYGKVLLAEFK YGGEPKETFDDWLGVDQAEPRRAFYHLKKDFFPWVYYASMVKGTWGGPRGWIN UV8b_04671 MAMATAEEAAALARPTPLGAAAADGRHIRRVALDRTGDNNGGND GDDDAAVERRVVKKFDRHVLTLLFVLFLLSFLDRSNIGNARIAGMQRQLNLSGDQYDW LLTIFYIAYILFEPLIVLFKMVPARRWIAVLVAGWGVAATAQAAAQSWSALMACRFFL AAFEAGFGPGIVYLLSFYYLRRELGLRIAVFFSAAPLATCFAGALAYAVTSGRAALAG WRLLFLVEGAPVLAMAVVAYLAMPDAAPDAWFLTPRERRAARARQVRQVGKETRVGRL DWRDAVAASADLKPWLTALMYFSCNVSYSSLPVFLPTILHDMGFSGLDAQGLSAPPYF VAFLVTIATTIVADRTQQRGRMVAGMALVGGAGYVVLASATSTGARYAAVFLAAAGVF PTIANILPWVLNNQGSDERRGASIVILNLVGQCGPLLGTRVYPEHEGPYYVKGHAVCA AFMLLVALLAVALRARLARENRELDARYGDPASHGDEGVENYGPSYRYVL UV8b_04672 MAQDCPGGRTGEIGRHGVEELIAWPRDEHEADNAQDDESWGCSA NKYVCRRRASRLPATTCILLTRLGRSGLMHDTTCRCLEHLFSRRSCEAREAQDALHYA PLRTLWTESWRHIEVRPRIDERGFGLVSEIGAFAQVLVLAPGS UV8b_04673 MSDIPHPRHPGYETGISDEAAIEERDLIAQAEDEERRLHPDKST GSQPANTGSEHKEPTHVESSKEKKHESPLDKVKHVLHLDK UV8b_04674 MKQDNLSIVLAERPTDGIIPGQTFHQRRTPIPSPADLKDGDVLV ENLYLSLDPAMRGWLNDARSYIPPVKLGAVMRGVSAARVLASKSKRASPGDFVSASAG WTEYAILSEDQFEPASSFPGLDPRQPQDILSVLGLTGATAWWGMTQVGDPKPGDLVVV SGAAGATGSVAGQIAKIKGATVVGICGSDTKCSWLVDELGFDAALNYKAADFKERFKR ATSNYIDVYFDNVGGEVLDMCLARAKEHARFVMCGGISQYNTSNPVGPKNISKVITMR IKMQGFIVFDHKHRIPEMRKELSQWLAEGKLKKTETIVKGGLAVAEQALVDLYNGANQ GKLLVELKSPDAPALKL UV8b_04675 MTSIASISRRLYTASTALGLFFVFFSFIINYGRAEPGKGVLAKS ILRVVAILAVAATCAAEATIVVRRQYQHERDLGTLDQAISLVFYALAWSSILARRWLL VVDTRGLSTISLVFGLVQFLLGTLRSQTILRDGEIFCLQAGRWMVSAALFVDSIVAQP KGSRKRGIDSESTPLLTDASSQAASDASYHGTAQAGQFESDTDSDGEGGDEEDDGAED SQTGNLRKSGSWMLYLHNFRLFTPYLVPKNDRKVQLCILLCILTLVADRVMTIAVPNQ LGLVADKLIAGSLPYRDLAVYFLLSILAGGTGSVLPVIRDLAKIPIEQFSYRQLTNAA FNHVMALTMEFHSDRDSAEVMKAVDQGQALTNVLQTAILEMLPTILDMLLAFVTLYVK FNSSVALCMIAASLSYLATEVVTSSWNIDNRRFMTKAERKQSRAMHQAVQGWQTVSAF NMFSYEKLRFGGAVDKHLAAERSWKIRDHLTEAILNSLSPITFYLLALLVMHEIYVGR ASPGDFVFLLQYWENLVWPLKFLSHEYRYVMKDLIDAERLLDLLSTEPTIKDKHGAAN LDQVRGLVEFRNVGFSYDQKRTAIQDVSIVAQPGETIAFVGATGAGKSTLTKLLMRYY DVTQGSILVDGHDIRDVTQGSLRDAIGVVSQDPLLFNASIFENLRYAKLSASDEEIYE ACRGAAIHDKILTFTEGYDTRVGEQGVKLSGGEVQRLAIARVFLKNPPILIFDEATSS VDTETEVAIQEALGRLSHKRTTFVIAHRLSTVVRADQILVVDDGKVVERGRHEELVRM GGKYASLWQNQLGRRDDDSLEGKE UV8b_04676 MPHETLVGSSCYTAVSAQELKARANKTSHPKIKQIRGQWVYYVD LKSRDKTIVQQLKTLLQDVDSEPLLASEINSNSITVYVTPRYLSPWSSQATNIAHVCG LKDQVRRIEKGRLIVVEFEDPYETGQDETFRHVLHDRMTEVFSFEKPEPHVMFADGVS APLAVVDIFAHGQEPLQVLQAYNKEKGLNLDESEINYLVDVFRKLERPPHDVELFMFA QVNSEHCRHKVFNASWTIDGVKKDKSLFEMIRNTHKKTPDYTVSAYSDNAAVLQGEPA HFWAPDYSTGTWTLTPEVAHILAKVETHNHPTAISPFPGAATGSGGEIRDEAAVGRGS VTKGGLAGFWVSDLLIPDHKAPWENDIGRPAHYASSLDIMLEAPIGSARFNNEFGRPC LTGCFRTLLTPETCSEDAEAETSSWRGYHKPIMLAGGVGNVRPCNALKEERLVREGAH VIVLGGPAMLIGLGGGAASSNASGESNADLDFDSVQRGNPEMERRAQMVINTCTALGD QNPIAMIHDVGAGGLSNALPELVKDAGYGGNFELRQVESADRSMSPLQIWCNEAQERY VLLINPENMNRFSSICRRERCGFSDVGCVATRDTRGDAKLILTDRESREYPRPIDLPM DALFPPKKQQERDVSSKKPKLLPFDALCSLQSTLGGDMDNATLFKKAVERVFSMPAVG SKSFLITIGDRTVGGLTARDQFVGPWQIPVADVAVTAASFSIGGKQRTGEAMAMGEKP TLALINPGASARMAVAESLMNLGAADVMGDLRRVKLSANWMAAVNHPGEGAALYEAVE AIGMEMCPELAVSIPVGKDSTSMKTVWKDKGAVKSVTAPVSVAISAFAVVEDILSTWT PQLARVEDVGESILLFVDLAEGRRAMGGSALAQSLGATGDEAPDVNNFSLITDYFDAL SQLHKSGVVLAYHDRSDGGLVTTIAEMMFAGRCGVDMMMDGISKSGGIGDMLEALFNE ELGAVFQVKASDEMNFKRCFATCGPPAGLIRKFGVVKASSNQSLTIRHSAAVFATLGR AEMQQWWSKTSYQMQRLRDNPACADAEYAVVSDSSDPGLCYRLTFSPAENILPITSSI AGFFGKTPRVAVLREQGVNGYAELAFAFRAAGFEPVDVHMTDVLGGRSLADFTGLAAP GGFSYGDVLGAGQGWARSVLMHESTRREFEQFFRRPDTFALGVCNGCQFLTRIRQLIP GTEHWPTFVHNESAQFEARYSMVTVRQDESRPSVFFHGMNGSSLPVVVSHGEGRARFS SPNAMQELSDAGMVPVRYVDNRLDVTERYPYNPNGSPGGVAGVSSRDGRFVAMMPHPE RTIVAGVSSFMPRDAAEQWGEYGPWVRIFKSARRWVG UV8b_04677 MAHQTLHLPDGKKFSVAPVFGGMGFRSLEHNSPLHPYPVGWMTV LHTEEERVDLDGHGPVDGGSGGSGGSSSQDGTPDKDAVVTNQHGSAVPKPKRRTKPFR TPTLHNDSLFISSISLPSNSECKPAVSPTREIAMMLWITLYWYFHQPEPDHSLETAAS KNTPAGAKPLGEWTIRIKRDGVLRGRNLIPKLERMGLIASEDTSVGTAVDDNGDEWAT MFTSKRMFWQSPGNLFLFTLQPIGKSLAPGLHSTPASPISSRPASPREDAFRLEHRHQ LSSSGALTPSGGQLAADVPGAPMPTAVATAPSFPIGPYFSASHLPTFYPAPPLQYVFS DGIRHPLRPKPPRMGEVFYTRYIDSLGQYLSFRVASCSAQPVPYLGPVGPKPPEQAHL CNMCDKDLVQSWFENPRVKEFWGTCAPDFLESALRSKHSFPVVGLWDGVPFGYFELYW VKEDALGRHVGSEVGDWDRGIHIMVGEEWSRGRVAAWLTSLVHWCLTCDSRTMNVCLE PRIDNKRVMKHLDACGFAKEKQVSFPHKQAWYVRLKRESWNGPAL UV8b_04678 MKKFVLLALAASGLALPSSSHVVHEKRGLHTAPAWEKREPVDGA TVVPVRIALKQTNLDKGMDLILDVSQPGSPKYGKHYKADEVAALFAPAQKTIDAVKGW LVKAGVPANKIYLSKSKAWLSFATTVDKLQQLVKADYHVYENLRSRDEHVGTEQYKLP ADVAPFVDFILPGTTFIKHPKRAKKASVAAVKEPLRPLSQDQIRKLQSGINGTANCAQ YVTPQCIKAMYNIPDGTLSNNTNPMGIFESLEDIYSQEDLDAFYRLFAPNIPKGTGPQ LDLINGAVAPTSQDQAGGESDLDFQMAIPIIYPQTTTLFQVRSDNDIFLALLGAIDDD FCQNNPDLDPNEMCGTFAPTSVISVSYGGPEYLSSAAVLQRQCNEFMKLGLQGISVVF ASGDDGVANPSGYCLGPHHDIFVPDDASGCPYVTSVGSTMLPPGAKVGDPEVATTRFS SGGGFSNVFDKPSWQSNAVGNYLLRHNPNYLAYITTGGVIPENKGVYNRNGRAYPDIS AAGDNGVVVLGGKVGLIGGTSMSAPIVAAIFNRINEERLNVGKGPIGFANPALYAASD QKLNLFNDVTVGDQSLGGAFGSRYPSACGNSGFSAVKGWDPVTGLGTPKYQAMLSYFL AL UV8b_04679 MRKVLPRAGGLARTSGSLSGRLDGNKRAVLAPTPAAVAACPCKG LLVALQPAGTLVVCMKCINRGATAGSSVVPDRLVGSFVVFPRPDRHGRAPLRRAAPLL VNATVSGPVVFSPRPGPRPGPRPGPGGLPSAEAAVLSKTRGPILSIFWGPWVSLFSPP LAPTSSGNQDPDSSSTPRPFLWASRLHEGRGGESGSPDVALS UV8b_04680 MPLGTGDQKPEKSYLSSAVDSINPWSSSRIATPTQTPSPDSRKQ AERAATTEQGATPGDRTPTALYGQSFRTYPGDCPPLKVQWFHAVDVPKRKPNLSKGPP KGNQEAKPPAQPKKFSAFSESDSRRVEARYQKLLEAAEDGHGSLASADRPTKRPKPEK STSLGSSKGHGRVAVNEDYLFDVDIEERELAPVYWLGPIYEVRRGTWFFQEGSGLRPC EENLAAQLEEGYLKTKPWLAGHARSRFESKDTPEESTVVPPEATDTRRMSGADESKPT SSATEAPPIPQLPTHRLVGAYMNNLATYGDEKTAWMTTDGMLSWVAASVYERFAGGGY MSGVKLIRGYSESKKTKEKDDAKPASESQGASGLDERQQNMLERRSGSPTTRASANDS GHEQVIKKEVEQGEVKLQRQLSSLMEGGRRSAEQTEEEIRKREEQEIRDDYNAREGDY QGRGIEHLVLVTHGIGQRLGLRMDSVNFVHDVNTLRKTIKSVYSNSADLKALNSELGA SLGNCRVQVLPVCWRHLIEFPRRRQRKGEQDLGDNATDEVDQYPSLEDITVEGVAFVR SLISDLALDVLLYQSAYREEISRVVVAETNRILKLFRERNPEFKGKIHLMGHSLGSAI YFDVLCRQRESRPLDDTRHPLRIWPSSHSRPEVKPKDEELEFDFDTQDFFCLGSPVGL FQMLKGRTIAARHPLHGLPSESPLQLEDADDMFSAATEGTGLDGMSSINGSSFSVSSP KVGQLFNIFHPSDPISYRMEPLISPAMASLKPQTLPYTKKGLFGNVAPQGLTGISAKV GQSVSDFWSRASAGIASNILNRSLGISNEEVSRLTAESHAAQASSGDKKTATGAEASV RSEQVDDEQQLDERKRRIAGDAARGRRASDDVNPVTLIDDELETLYSSFQKRGRGRDS SAERADKMLRFNDESRRARKMRMEEGKVRALNRNGRVDYSIQEGALDFNPINTIASHM SYWSDEDVNHFVLSQLLSGGRPVGQSEDWKNEPSRAKGRSD UV8b_04681 MSLCRACQEPLVIQLNDDANDETVEDAKTVPDDLELRCQCHFHW ECLMEEASSVASTLKCPCCESYLATNKAGASAANQPRESSDSAVILAQYSNEGGIQRD INLMDSLTEEAYLQAHPEARPARAFHVMCSEGDIAGMIDLLRDESEQGADVGSIVRYQ DPLANMKSGLHLSVEKQQEEIVWTLLWLSSTLATESFPDLVRHAAEEFGLGRLSVQAN EDIRGLRDCHGRTAETIAKQDPGVLSVLLEGGALTP UV8b_04682 MNVLKLQKKFPQLPQNEIFSLSDAFQRLDVDDKGYLDEATTIKA TQQSENQPYDVVRQALKEVELDSSRRVELEDYVGLIARLRDSLPAQRRVSTAPAPASP GGVVAQRTGGHGSKGSVSGKIHVQGSSANITHTINEDERTEFTRHINAVLAGDADIGN RLPFPTDTFEMFDECKDGLVLAKLINDSVPDTIDERVLNVAGRKTKSLNAFQMTENNN IVIESAKGIGCSVVNIGAGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRLLD EDETLEQFLRLPPEQILLRWFNYHLKAANWPRRVSNFSGDVKDGENYAVLIAQIGPEY GCTRKPLQTHDLLQRAEEVLQEADKLGCRKFLTPKSLVAGNPKLNLAFVANLFNNHPA LDPITEEEKLQVEDFDAEGEREARVFTLWLNSLDVQPAVVSFFDDLRDGTILLQAYDK VIKGSVNWRHANKLPAHGGEMMRFKAVENTNYAIELGKQNGFSLVGIQGADITDGQRT LTLGLVWQLMRKDITVTLSSLAQKLGKREMTDLEMVRWANDMSRKGGRNSSIRSFKDP SIGSGIFLLDVLNGMKSSYVDYDIVTPGTTDEDAYMNAKLSISIARKLGATIWLVPED ICQVRSRLVTTFIGSLMATHEKM UV8b_04683 MNATLTTTDEPPLEPGRSSSVPPTDVGVLKTRDPSDGSRLDRPK SLGEALREAGASLDMAGPGSDTPSEEDYDENVRPAYTGNRQTKAQHLISGTGHQERLA RRKPYTDAEEKALSARLPASSRISLGTLEYAADDGALQNLLKRTSESAWSFEAPAKRK HRFRDHSFSRQFSAFDPHNAAAANSPFHGFYTLFWLAVAFAILKISVNNWIKYGTPLG SNEIMHTMFHRDVLVLLLSDGIMCGLTGVSWLVQRLVYHRILDWDRSGWILQSAWQTL FIAGVVVWTHVRDWPWTHTVYFVLQGIVMLMKQHSYAFYNGYLSTIYFERLSLLSTLK QLERIHPCENPSSSEPPVSALSTSHLCAPPSAEQRRHSLSHVPCHEETDIDRIAKAVK AKEPLDDEQIRTFRRIIKWEVDALADELRGTATDAAKSYPNNLTVAEHYQWIPLPTLV YELEYPRSDAISWRYVAEKLVAMVGVLFVMNQLAQYAIYPVVMRTVQMKEDGLSLGAR FQEFPLLLLDLMFPFMMEYLLVWYLIWETILNILGELTHFADRSFYDSWWNSVSWDQF ARDWNRPVHVFLLRHVYHSSISSMKVDKHTATLVTFFLSACVHELVMWCLFKKLRGYL LVMQMCQLPLVRLGRTKWLKGRKTLGNTLFWLGIFTGPSLLCSLYLIL UV8b_04684 MSRGGTTLYVTGFSHGTRARDLAYEFERYGRLVRCDIPAPRSSS SRLFAFVEYEDRRDADDAYYEMHNKRIGRDDMLKIEWARTPPSASWRFESGRDRDRRG GGSDSGRDGGRDGGRDGGRDGGRDSGRRSPRRGRSPSPRRSARDYSPRKDDRRDRDRD HDRDGRRDRDRSRSPDVRDRDRDAKDDRDDRDRRENGTNGDDRKGDDSPPPPARDEDL DIAE UV8b_04685 MSAVKGTQLQSPPAAPTNIQMPPSEPGLAQRPQSVPPQQFVELR EWISQPVVAAFCGGGVAGAVSRTVVSPLERLKILLQIQSAGRDAYKLSVGQALGKMWR EEGWRGFMRGNGTNCIRIVPYSAVQFSSYNFYKRNIFETYPGQDLAALTRLVCGGIAG ITSVVFTYPLDIVRTRLSIQTASFAELGQKPAKMPGMWATLAHMYKTEGGIPALYRGI LPTVAGVAPYVGLNFMVYESVRKYLTYEGEQNPSAGRKLLAGAISGAVAQTFTYPFDV LRRRFQINTMSGMGYQYKGIIDAIRVIVGQEGIRGLYKGIVPNLLKVAPSMASSWLSF EMTRDFLVSVKPEVSQQAL UV8b_04686 MGIDLNRHHVKGTHRQNPKSDNVYLKLLVKLYRFLSRRTDSSFN KVVLRRLFMSKINRPPVSLSRIAANINKEGEKRTVVVVGTITDDNRLLTVPKVTVAAL RFTGTARARIIKAGGEAITLDQLALRAPTGSNTLILRGPKNSREAVKHFGMGPHKNKK PYVVSKGRKFERARGRRRSRGFKV UV8b_04687 MRPVAALSSTPWCGGRPSPRLAGLLLQPRCPVRQSSSSSRWKER QGRDSFAREAKVQGLKSRAAFKLLEMDSKYRLFKPGQTVVDLGYAPGSWSQVARERTR PHGLVIGIDLIPAQPPQGVATFQGDFLSPSVRQLVKEFIHRTQSQAPLKQDAADAADE EDPLSQPLDQPSYIDKERHASEAQSPEGHAAPAASSVDVVLSDMLMNTSGVAFRDHMG SMHLCTAALQFASDTLKPGGHFVCKFYQGADDKLLEGNLKRMFAKVFREKPDSSRRES KEAYLVALKRKRGVLLEPEDAP UV8b_04688 MGSNSEQRMARIHQLEMLRQAALHKTNSITRDEQARLMQLRMLT MRDENSDLREQLGQRDYKMMALTRDTDQLRLDLDHSKQTVRAQEARLKKQDIDMASLK SEIEALNVSMQDSGKVLQEKFALARELDRLKPELEHLQSQLATFQETVAEKNNLRRQL DSVEVELENEKRSRQLLQSTNDDDAAMAELTCRLQDAEKKLAAEKKERQRAEREHERE LAATKAENERLEERISSLKEKSRSLAAELKATREQLDDDAASETAVAVKAPSAAGRSA GEKPKKAMALAAAADVGKKRRAPAMSFEEVTIQTPGNDAVARERPAKRRGIEKSAVGE KSAFSVTPFLNRNKSLSGTEESPNMVGVGAEEEPASDAAAAPEPPSPSESEEEAPKPK VSFKSSVSVKSPAKATRRRGRPPKATPLAESTPAKANRAIRSKGTTPKIKSIPEVCVD KSGHDSGAADQENAPVAAARKASALAQPKADEPEAKRKKRKLLGAANTTLFDDDDNDA EAQPKAQPPAAGKRTRARLGGGVRNAFAAPASFSPLKRDRRGVNASFLV UV8b_04689 MRFLPTLASACALVAGALAAEKSSHERFADFSRLSRTATPIQLN TASYKSLTANPRDYAVAVVLTAQEARFSCQVCREFKPEWELLAQSWAKGDRNHESRLL FAVLDFTEGRDIFVSLGLQTAPVLLLFQPTTGPHAVASVEPVRYDFTRGPASADQVHA WLARHLPGRPHPQLKRPVNYMKLGSLFTFLAGAITVAVSASPYVLPLIQSRNLWAAGS MIAILLFISGHMFNHIRKVPYVAGDGKGGINYFTGGFQNQLGLETQIVAAIYGLLSFC TIALANKVPRMTNAKSQSVAVLVWGAAMFFIYGFLLSVFRIKNPSYPFSLPPFM UV8b_04690 MAEQLILKGTLEGHNGWVTSLATSMENPNMLLSASRDKTLIIWN LTRDETQYGYPKRSLKGHSHIVSDCVISSDGAYALSASWDKTLRLWELATGTTTRRFV GHTNDILSVSFSADNRQIVSGSRDRTIKLWNTLGDCKFTISEKGHTEWVSCVRFSPNP QNPVIVSAGWDKLVKVWELSSCKLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNANDEIHALVFSPNRYWLCAATASSIIIFDLEKKSKVDELKPEFTA VGKKSREPECVSLAWSADGQTLFAGYTDNVIRAWGVMSRA UV8b_04691 MSSKRSLPPSLSESPDVSSKKPKLGPAHNDHPGPDRAISIIAEK IGSIVAAEIGDEIAARKSLAPTHHANARAGIQRSIAMVLRHDGFASSTPEAMESFTGL VETYLASFIQECKRFALSSRRDHPNPTDFEAALRRFNLPISSLKPHLKNPIHVNQLVP TYDNVHVAEEDAYTTLPLLGPELSGQADKDARKYIPPSFPDFPSRHTYKFTPQEDTSV RDSKKIREEAARTAQQGEDALRRLVRASKMRKQKEAKSLVEIDDQGKERFRLWESTMR RFTGADPRIENTNQAEIADHSMIVNGDAMFARKEVSRLGKRSAPLPHSTVK UV8b_04692 MSRHSSHSQTSGQGTPHSSNCALAAATRHASSRRFQRPTSTTRE LSAALIIMFDFDKASLPHIVTRKALILVDFQNDFLEDNGALPSIDSEGLVDRTVQLVN GFRGYGDVVWVQSQFKEPVLDTESIVISDTPRPSRHRKGSGWTPDSLRPADPDEPPDP EAFLSQAEATCVKKDSWGAKPAPAVEAVMKKGDAVITKTQYSGFNGTHLLRSLRAKMV MDVFICGSMANVGVYATAIDAAGHGLAITVVEDCCGHRSEQRQLSAVRNLIEFVGCEI ASADEVIESLQPSPIATPRTPIGEVQLNVVTSPSRASADQRQALEIPADVVRSLAGLR LGAAGTQPATGAGAGTGTGTGTGSRNSVAATQASQADSREHSQTMAKAKNETAAGLER LENQQQLQRLVAAGQDAPSRTASAANNKKSTAKQRQPPTPTTTVTTTTRTPTPHSHCL SSDTTAATAMAEASQSSEQPRAHPETDADSFLEVFLQKGLCEGDTDIIRNALPERLAK QAFDKLRNEVRWQRMLHQGGEVPRLVAVQGHVAEDGSMPVYRHPSDESPPLLPFSPTI LAIKAETEKHLGHPLNHVLIQFYRDGSDYISEHSDKTMDVVKGSFIANVSLGAERTMV FRTKRLAKDPSRAESSSPLDDAKRQIHRAQLPHNSLCRMGLRTNMKWLHSIRQDKRAE REKSPAELAFGGGRISLTFRRIGTFLDRDEAAIWGQGAVGKTRDAARPVVNGLSSEAV EMVKAFGAENNSSDFDWDTHYGRGFDVLHFSNTPRLLSSADPVTNMRLGLMLAEHGVS YAKGSLAPSPPDANNGHGSSPSTDAPAVRFVDNDEDKSAVDGDVAITLYLDAVHDRAE ASPADLAVRFSRFQQALKLADLWRQQDPAAKLGQALLRELALWDLYAAQAGEATPFLG KSGSPSMVDFTVWPVLHALAKREMKTLARHGSLRKYYESFATRESVRKVLGKNGTGSA UV8b_04693 MSLSGLRGTSRALCGFARPVVAARRAKSSMAMDGQQQLLSAHLQ QADPAVYDIVENEKRRQKHFINLIPSENFTSQAVLDALGSPMQNKYSEGYPGARYYGG NEFIDQSERLCQQRALEAFDLDPQTWGVNVQALSGAPANLYVYSALMNTHDRLMGLDL PHGGHLSHGYQTPTKKISFISKYFETVPYRLDETTGLIDYDKLEELATIYRPKIIIAG ASAYSRLIDYKRMRDICDKVNAYLLADMAHISGLVAAKVLPGPFRFADIVTTTSHKSL RGPRGALIFFRKGVRRQNPKTGADELYNLEGPINSSVFPGHQGGPHNHTITALAVALK QTQTPDFHAYQSQVLANAKAFAKRLGGEKTKGGLGYSLVSGGTDNHLVLADLKPQGID GGRVERILELVGVAANKNTVPGDRSALVPGGLRMGTPAMTTRGFGQDDFVRVADIVDR AVTIAARIDKTVKAAAKEKGDKSPGKLKLFLEHVGDGNSEPEIVQLRSEVEDWAGTFP LPWETS UV8b_04694 MTAEGDALYYTFRILAHFQRRVYQTVPSSPHAKAKQAERDACTC IYSGQSDAGHLKVLRTSPPILMCFAISTQLSRLDSYPQDAVGQIKEKTRAKGKAKRRK KKICRKDRIN UV8b_04695 MLRYSEFLKDIRGPAHVNPQIEKQDCAYPRNSGHRNPSQALYPT LTPIEDRPSHRKITKMVNLRTQKRLAASVIGCGKRKIWLDPNEQSEISNANSRQTVRK LVADGLIIRKPVTMHSRSRARELNLARREGRHRGYGKRKGTADARMPSQVLWMRRMRV LRRLLVKYRASGKIDKHLYHELYHLSKGNTFKHKRALVEHIHRAKAEKAREQAIKDEM DAKRAKTKAARERKMERVTAKRNALLSEE UV8b_04696 MSEEPDAINGHPSATSGLDLVIIKQALGSSSTSTRISQLHYLDD AIRRKVPDKQATCQLLKLFFETHAFYRDRESRLAVQKCLASIVKSGAGPETLSPLIKT MRHEVQKPGIAVSNAFVLAEWCSLLLQTAPFESWEQLDEDILLTYADAIEKSLQSGAR SGLARSAITVARRGFRKLLSSLETREARLTKSITVLASKYNQPTAQNSIILGVIAGVS ARQISLKSVLETLKPKYYDFYSRELIGSRAALPEHISSGLADFFANFATIEDVGKELV PAIEKGLLRAPEVVLGSVLKPLVTSLSTTLDLSETLDGKLLKPLLSNIKSSNPSIRLG AIAAFRAIVAKCTNLKTLEHVVDEIATPLATGKLPAAEQKILHSEMLEVIPLSSQSAA KVLTALSTISIKEGNEAALAAETSALVHAAIGELKDGRDLPKSVIDAFAKGLADKKPA SRRTWLLRTGSVLQICEEESAPSHLAAFIEAVVPKLIANLQEVTSNAASFAQNGLVVG AYILTALEPAMLQHFSTSLVDSALKKASVSTQALSLTAKSSFLLNHRVYSKISADEDL LWLSRALLATSRTMNDKTGAEVTLAWAEAYIFLITTASVAPKIQQEAIKAVSKLFAKR PQFISEAIVDGLWSILSGDVKDKDLRINKLSLIHVLRSICLDPQELSAIGASVSQEDL ESLACSILVLSRSELIPRSSWIDMCLRMGVDPGNLAKKHLDELLEEVGSRTSIEQKVN VIQQAAYNAAAELAFVAPETVIPRLMRLIHQDLDPKQLQNIGPVEAAIFRTPDGTCFV DVLAKKSQANVPNKNTKDYDILKWEEELRSQLEQKNGQKRKLTAEETSKVNAQLRKEA EIRNSIRLIEAKLHRGIGIINSLATGPPTDATLWLGSAVGLLLAVIDAGAGLIIGDKA PLTYVACSEKVSSRLGAIRQFIGVATLRMRGIAVAENYDAEKLEDLVTRVLYRLRFAA EQRPFDAVSLIYILPLVLDALRKAGIGHDADDRDAQLVLATEFLSFHTDVCADEAVPR EELLAILIQSMQQYSQHYKLVRDCFADVCRCIAPNMSNAEMVVLSKGAIVSQSSVRAS VLQSISSEVDMTELGYSDEIWLACHDDEEENRELGKEIWEESGFTVNDQTALRMIPYL ESKDSQLRRAAARSLAEATQAHRDTLGSVNSQLQSLYLELAKPKVQQLDEFGMPKKMD LSDPWEARQGIASAFKELTPVMNTQEIDELFNFLIEFGPLGDRNDNVRSEMLDAAIAA TEVHGKSMIDTLMTKFETALEQPDKNTTAADRVDEAVIITYGALARHLAPGDAKIPVV IERLLATLNTPSETVQFAIAECLPPLIRACSEKSSKYFDQVLNDLLNSRKYASQRGAA YGLAGLVLGRGIASLREYRIMSTLKSAMDNKKEAHQREAALLAFELLSTVLGRLFEPY VIQIVPQLLSGFGDSNGDVRDACLAAAKACFGRLSSYGVKQIMPTLLDGLDDQQWRSK RGACDLLGAMAYLDPNQLADSLPDIIPPLTGVLNDSHKEVRAAANRSLKRFGEVISNP EIKGLVDVLLKALSDPTKYTDEALDSLIKVQFVHYLDAPSLALVTRILQRGLDDRSNT KRKAAQVIGSLAHLTEKKDIITHLPVLVAGLKTAAVDPVPTTRATASRALGSLVEKLG EDALPDLIPGLMQTLKSDTGAGDRLGSAQALSEVLAGLGTTRLEETLPTILQNVESAK PAVREGFMSLFIFLPVCFGNSFSNYLGRIVPPILAGLADDVESIRETALRAGRLLVKN FAVRAVDLLLPELERGLADDSYRIRLSSVELVGDLLFNLTGVKANAEGDEDDEETAKE AGASLKEVLGEEKRNKILSALYVCRCDTAGAVRAAAVAVWKVLVHSPRILKELVPTLT QLLIRRLGSSNMEHKVIASNALGELIRKAGDGVLSSLLPTLEEGLQTSTDSDAKQGIC LALRELISSASPEALEDHEKTLISVVRTALTDSDEEVREAAAEAFDSLQQILGKRAVD QVLPFLLNLLRTEDDAENALSALLTLLTETTRSNIILPNLIPTLTTPPISAFDAKALA SLSKVAGAAMNRRLPGIINSLMDNEINCTDDGLRGELEGSFDTVIQSIDEYDGLNTVM NVLLKLLRHEDHRRRAATARHMGNFFAAAVIDYSRYNQDIIRSLLNSFDDGDVDVVKA SWAALSEFTSKLKKEEMESLVVSTRQTLLHVGVAGSDLRGFELPKGVNAILPIFLQGL MNGTVEQRVQAALGISDIVDRTSQASLKPFVTQITGLLIRVVSERATEIKSAILLTLN NLLDKMPIALKPFLPQLQRTFAKALADTTSEVLRARAAKALGNLIKYTPRIDPLIAEL VTGSKTADLGVKTAMLKALYEVISRAGANMGEASRGAILSLIDMETDHRDEAMTITNA KLLGALIKNVPEEAATSLLKNRVLTPTFTNSSVLALNAVLVESADTLLQSATAEELPD LLCHGIANKNTFVADNMILATGKVLLSSPPKSFDSIKRIFETLAEIIQPGKPTDSRRL ALVVVRTLSRTNMELVRPHVPLLAPPVFASVRDLVIPVKLAAEATFVELFSVVDQESK IFDKFMAGAGADLPANTKRSMADYFKRVATRLGAQARERREAEGGQGGLGLSDDEQED EREIWSVGKLEVASEIFS UV8b_04697 MAKKKKPAANPARGFATTSIASKPRPEAPEPEAKPLPRVAKTAD DAPPSHQSAPPSTDSTIGDAAGAGSCQQRTQDKTLSPEEFERQLEESELQLLVEKYSQ KTKRDAQRQRTRLETDRRILRGQADLFNAPKWLPPDMVDYILDLIKAETRFSASSTLS ENVGTGKLPSEEDMILRLWTLRQTLASVGFPDLRIEPVLKHILDIAPNVSSAARDSLW GLEEALDWLARESSADELPPYEFKGKFLSKDTPTETPNVSRPGTPKPQLISSKQKGTV RAGKATPANKKFSVTYDVDIEPEDLIPEYIAAKSKLLELSRKRKSPHINDEAEMETAK LEAKVRKIENDVLFDKFHADSIWRSEKVAIERRLAAAKKEAQNEAGEATPRAEEAPLN KSVDKDINDEAERIAAEVLSEQDDDGYSIADLFASLPQNEVDPSTGKTQTVVHNAGGS TLIIREFGEWTGVSPRQILEEACRSRDSSVKFEFAVLSETTFASRHSVEIQWKKAQEL PQAPVSSEVEVVADATNFTFAMKGVAAADKKQSEAFVCTAALFHIFSNSPKDGKVNLR LPPAWKDLWYEMAEAKKNHLDAQDRESVRSLRTMVRQRQDQELEDGVIAFRGRGAVKP SLDPAENGALDRSKLNAANAEALRAVWAEKSSTNKFQLMLKSRMQLPMWQFRPQVLKA VEENQVVIVCGETGCGKSTQVPAYLLEHELSQGKHCKIYCTEPRRISAISLARRVSEE LGESKNDLGTNRSLVGYSIRLEANTSRETRLVFATTGIVMRMLEGSSDLREVTHLVLD EVHERSIDSDFLLIVLKKLLTQRKELKVVLMSATVDAERFSNYLGGAPILNVPGRTFP VDVRYLEDAVELTGYRPSDSPEEKMVDLDDDVVEGDNNGPKSEVSSSLSTYSAQTRST LAQIDEYRIDFDLMLQLMVRIASDESLKFYSKAILVFLPGIAEIRTLNDMLLGDPRFA KDWLVYPLHSSIATEDQESAFLVPPPGMRKIVLATNIAETGITIPDVTCVIDTGKHRE MRFDEKKQLSRLIDTFISRANAKQRRGRAGRVQNGLCFHMFTKFRHDNIMSDQQTPEM LRLSLQDLAIRVKICKIGGIEETLSDALDAPSAKNIRRAIDALVDVRALTSAEDLTPL GRQLARLPLDVFLGKLILLGTVFKCLDMAITVAAILSSKSPFSAPFGQRAQADNARMA FRRGDSDLLTIYNAYSAWKRVCQSNSGVGKEFQFCRKNFLSQQTLANIEDLKGQLLVS LADSGFLSLTEEERRALSRLRFAQGRRRQQSFYEVPRRVNINSDNDLVSASVIAWSFY PKLLVRDTPGTKGLRNIGNNQSISLHPTSVNRGFLDLKWLSYYHIMQSKTVYHAHETT AVEPFAIALLCGDARCDMFSGVIVLDGNRGRFALPDWKTMLVVKVLRTRLRELLTRSF KQPGKLPTAQQQKWLDVWQRLFTHEPQPDSKTGVSLAVAKA UV8b_04698 MADPVGQDNWLAYLEEAVRNASDLEQRVHIVELFKTAVAAEPGS LRLWMAYCEYFQKLWECSFSPDDGWSEEEQLMGQELFPLEASLDLWKQGYEAIQYRLG DSHELWDRWISFEIEQFKKSRTSDQLRRITQLYEHRLSTPHITWDHTSQSFSGFLSEY NQTAWESTMKEVTAAVQVTKRAISVRDPFELKLKQAERSNDVETQKSLLRNYLDWEVR GTKRKHQDAELSVKLCSGLFDRALTGLFSTDEDTWLDYIVFLSSTIVDQSSLSELLGA CGRAVQHCPWAGKLWGRYILSAEEAHLSFSDIESIKQTANTDNQLCRDGMEGLIEMYS SWCAYLKRTASTTVEEATVADELHAAIEDVNATGRKLYGKEFEGDPKYRLERIYIEYL TEDRGATDEARALWKTLVKSRYHADNFDFWLSYYTWEMLVFLLLRDKDGRSDTPTQAT AVLHSAAKRKSVDWPERVLELYLQHCNTYETPEVARQANDFVCQTQKLVTHRREKEQA AQAAQYAAYYEAQAQAQGETRAENQAETQAETQAEVQQQSLERPDVDLTPPPGGGPKR KRDALADAQAEGREDFSKRQRNGHDTTVDTSAPGQPPQRDREHSTVIVTNLPLGATQT EVRKYFKPYGHINNITAFVREEQKQSTTALIEFSSAEEAQSALLRHAKYFGESQISVQ PGHDLTVYVANYPPAADEKFITDLFKDCGEILSIRWPSLKVNTHRRFCYISFRDRDAS AKAVAKEGTLVEGKYRLLAKYSDPSRKKRREGAIAEGREVHVSNLDQSTTEDQLREVF GKFGTVTRVNIPQSMAGHNRGFAFLDFETKEQAARAAQELNNTKFRSRILKVDVSKEN KVKPAAKSTDFQRSSASPAFSPSAQDDEGDEAMGDTSSDRHHDKPSSSEIAARTIALM GLPDTVNDARVKALVEPLGPFVKLIHQPGHGGAIIEFADAATAGKAALRLNSMEYEGR QLRTGSPDQLRHNRPEAADDGANGPRTRQAGSKPKGALLPPALRRPVLGRAAPKRGGP GLAPRKGGPASAAGASAKRTEDGGGSSGSSGPKSNAEFKALFLAGRE UV8b_04699 MASASKAQSQCLRLCSEVASSCDRVAEEMSDFIDLAKHLPHGFE PLANDVLDTCQVIFYIEAGLGNPARNDEPLPLDVVASLEKKFRAAQTDVQALQQVVAK LLNYERAGAMGRMKRGIGKMFGDNGLDRMGKILTKTREDLKVSALMFQWKCGADRMES ELGLGCIGLTAALEKSGCLRRRGASLTSEDNHSVVSSALQHQRARDNHTPSAQTPQSP MLSHLPMSSKRPDYAHDAVSIGSSIHSPVLDNYFASSTRNTPLSDYGSNHGPHLKRIT AMPNRPGTFAENDDSSSALAAIVDDVKALELQSPKKIQVNIEPFKMPRQRPRSNADAD KPSIKQALVSAVRAQEHNLITQLLDRGCSPNTGPEAHALNEAILGYDAESIRLLLLFG ADPNAADKNGACPLVAAVSKLYVDAAIALLKYGADPNVGPGLDSPLYLAAAANNIKLT HLMLMYGANANETAANGNTVLIGSINKTTPKTLIDMLLNYGACPNEKNRAGKTALFEA ISNGRVDIVESLVAHGADPNLPGPKHMLWVAIHHTSCLEVLLANNAHTKKCPGLLELA VSINSIEAVRILLKAGVDANVRKDGLYTPLCSAIRDNRKDIMELLLRSGADPNLPAAE YPCFKCVTHQREHFLPALVDAGANLSSPKGILETAVTSKNLPALKWLLEQGVDVNERG AKGDTPLTSAIRSNDVGLVDTLLAYGANPNLRGQDWPICMAVQNPEILVRILAALREP RAFKGVMETAVVANQLESVKLLLAAGVSVEDRNGGVFSPLTSAIREHRVEIFKFLINE ARADVNAPGEHLPIVKALRRCATEHTSIIDMLLDKGADPNAMYRGWNGIMQALENGDA DMLKKLAERSGVDLKAKNEFGQTVTEIAVSRGWDEAVDILLANGRT UV8b_04700 MTRFLPLALSLVAGLHILAVSGQESAASCIGRCTNTIRNNFADL KCPDANAAACFCQNPTFPSAILQCSSHCGATWDMISTYLVGDFCKNQQLASPTTGSPP STTSASPAATTVASTSPAPTTSALPTTSVEVPSTSTSSIAIAPSTSASSVASLLPSEG GAASATKSADPSTAGTVPTSSSSSTSSSTAESASPSATAAEGSASSGLSQAAVAGIGI GVGAAVIAVIGAIICMLLKRRKNKNGRNNDNMEISKPLPGSGRTYSSRQDNYRGGRDA SFEKYNDIEMTSNRYEDMIPRTQPRTMV UV8b_04701 MSLRLASRRLAIPTPQRRSKWAVNAFKGWGRSGRSDAAPAKPQD RVSPELDDPKQRIAFLGRNMQGSVADNIFQDEIDAAKPPPAAGDDDDAGRSATATPAE QKTREVVAVVADPDPRSRIRWQRRKVVQMVRGNGRVSRGQRIAMTERQLLHRSDFMPT SVKKLVMLSRQIAGKNVDDAITQMQWSKKKMAAEIKYHLEEARDLAVAQRGMGLGKVN GELLAQPKKIQARDGKWIEVTDPTGIYIAQSWVGRGPWRGKSLDYKGRGRMGIMQHPS TSFTVLLKEEKTRIREHEEREARKHAKGPWVHLPDRPVYGQRPYYLW UV8b_04702 MPAAPITGILRKRLIVDIGVGLGAGFAMANLFWYGFHMPRTNAR DDFYTKLEAERAQKQQQ UV8b_04703 MTPPCHSFPAAELPSRIQLDVHGRRRKHDPPRRGIDLSACQLLS LLQYKCPPEKAASADGPVRCFPVERLFRRCADRKGTFTVETTAWEGGDAASGGHGRGG LGGSSAGPQQWSTGWADGGGRGQVMET UV8b_04704 MEEASLDEMLAQLAASLAAASSRLRPTHLPALDALAAAHLRETQ SPAVALCGRALPLLYTVVSTLVSPPSRQAVLVVDPGGRFDPARLDCAPEDLQHVYVQR PGAADHADGDNRDDGDDDDDGDDDDDDDDDDDDGRRRAVAAEAEAFMLYGEGARLSRP RRWWGTVVLGPGPGPGPGDLTAGPRGWLRVERAPVPGFAGVRGAGDAWARSEQRRRDV DRAGWTAASPWGRFAFHEGRQHTGRQHAGRQHAGTAARPLDG UV8b_04705 MAASQQQQVNFYQSVQQAKDGLDQCSFSSSTSSSPGLASSASSR ASITGVDTPSSPYTPSSVADSFVFAFDIDGVLVRGGKAIPEAIQAMRVLNGENEYGIH VPHIFLTNGGGKTEEERCRDLSNQLLQDIKPGQFICGHTPMREMAEKYNTVLVIGGEG EKCRQVAEGYGFKDVVTPGDIIKHDAATTPFRKLTAEEHANSRVRDFDEVTIDAVFVF ADSRDWAGDIQIMLDLAMSRGGRLATRSETFDQGPPFYFSHNDVVWSAAHEHVRLGMG ALRRMFEVTFDDLTKGKGKLRTHAFGKPQVPTFEFASRLMRQWRQREHGISEAPATVY FVGDTPESDIRGTNAVDQKADNEWYSILVKTGVYQEGTEPAYKPRATVDTVLDAVNHG IRREMQLRHSWSLKQGLEQAAKEAAMLESS UV8b_04706 MTPNSTDGPIQPIQRRKAAERPHEPHTSSEKPTPLNDEHELQRF CPAGVEEIDDVSTSREGLGNGTPWASSATSFSVTLPLQASTESFVHVPASPLLMPLGR GVARSTQASHSAAGFKVHANSTAGSIAFWQRILGRKRHHVPTAVPACDETCRSRCCIL IRRHGHANDGMLNSFPAMAGRQSLEFHITARLVAAYNLASARARRAAHLGLWLVIRWF GYSMPSPKHVIVQGFHRNGTPKWMAGPTHTMYMLGFPCVPLFCSSGTSYLL UV8b_04707 MPLVGLLAGKTAIITGGTTGIGRAICLEYLRQGANVVVNHLGLD KDSHHLDSLLSEAEALSQPPPPPPSASSSQVPDAGRSSGADAQAVGRLAHQAGDVRDP STAARLVAAAVDLSPARRLDICVSNAGICTFADLLTLSHDLFEATARTNLDGAFYLTQ AAARQMALHQSPPGGSIIGVSSVSALVGGGQQAHYTPTKAGVLSLMQSSAVALGRHGI RCNALLPGTIRTQLNEEDLADDAKRNYMEKRIPLGRTGAPADVAGPAVFLACDELSGY VTGAQLLVDGGLFVNLQ UV8b_04708 MACSVRSFPTIKAIRSFVIGGVGSGGDYHNVKGGHWLIDSDIST PCSIWEQYRKSRTSWGINILGSFLVEIEASDGTVGLATGFGGPPACWLVHQHFERFLI GADPRNTNLLFEQMYRGSMFYGRKGLPVAVISVIDLAIWDLLGKLRNEPVYRLIGGAA RERLNFYCTGPEPTAARDMGFWGAKVPLPFCPEQGHVGLGKNVEFLRNHRESVGPDFP IMVDCYMSLNVSYTIELVEAAKHLNISWWEECLSPDDTDGYEQIKRAHPAVKFTTGEH EYSRYGFRKLIEARNLDIIQPDVMWLGGMTELLRVSAMAAAYDIPVVPHASGPYSYHF VISQPNTPFQEYLANSADGKSVLPVFGDLFVDEPIPTKGFLTAADLDKPGFGLTINPA ARSKLIPSAYLLSPPPRPALTLVDPVETEE UV8b_04709 MQPSTTARRRLDAHDAHDDAYDTQQSPPASALARPHTEPDSDSH SDDDQDDAQDDAATQHGKRKRPTSVSCELCKQRKVKCDRGQPSCGWCARNGANCEYKE RRRPGLRAGFGKELQERMDKLEAILQSHSEIIQSALASNSPLGGATSIRNSNPSLSSG HETPRDRSAILCRSSEHAAAPQAENSGLLLQRASSFAPASQPSDFGVPQHAAAPDGFP VPMSGLPAAPPMSPAHITAPGQGYYPRSSSSLPPKNGPLNQATAASAPQDQDMPPYDL LYALVDLFFKHINTWCPILHRKTTLDSLFGPSALEETDGILLRAIVTTTMRYSTDPRL TQDRRHHYHKACKERVLLYGMENTSVKSLQALVIVALDLVGSSNGPPGWNILALITRA VVQLGLAVESNSFLVSPSYASIYTLRAMILPEPKDFVEDESRRRLFWMVYVLDRYATI ATAFEFSLADKEIDRTLPCRDHLWMQNQRVDTKWFKARERGHEGELAAHEVCEPGNVG PLAYYIEVLGILSKIHTFLKKPVDIGALGDVEQWQLRYKELDKRLATWRLGLPAEFGN MAKLLQQAGSKKLNCALVMLHATYHTAVIRLHSSAAYPTTRSPIFTPSYSASKRCHGA VESIAALGDFVAQNGLLPKLGPPFAWTMWVSARLLLVHGSTVEHRLSPQIGRFVETLG EMGRYWPVAARYRELLQRVLDEHRDSETKGDGVAPSSVRILADMRRTAFDLELLISRQ PRQGGAATSLRRSVTPARAPAANELEYLDVFDFFNVPRLAFGGQGEGEEADAADAAAA TTTEVQSGGQSPGQSPAAASEFNITNFMVDVNSDWLYDQDGGELTATG UV8b_04710 MARYTFKWANPTADTSDVLVTGSFDGWTKSVKLDQEAGVFLKTV EIPEEHASSKIYYKFVVDNNWTIDESLPHEPDHEGNVNNFLTPDHLVLPTLSAPAELT AEAPFLNTVSPDSTTVVEMAKNKKKGKAANKQPAAVGSVAAVPETPEPMATPCDVPGG FPVTPAAEDADLQDKTVSISPLPATAGIGNPIKLAPGEKIPDSITSQDIHQHVKLDKE SYDQSDALPTAPVLSTDLPPVSGTMIPESSLPMGDQSDVNNPVISSVGPDATTIALAG QVPLEPKVPVVVRESQEKAGVPPEASAVAGTVQDKAKVEEEIKAKVPEAPAASAGTDK GENAGTILATAAAAGSAAVAAAVAAVTKLSEDATPVVNNAISATTETISKTLPESVLS SLPVSAQEALAAGKDSPLDDVSPEVPEKVKDSIAEAGGSPEAAASTSAVQEKKAVEAE LLKEVEKKPAADESSKPAGDLAPETTAATAAAAAVPSPAEAQPFEAKPFPVKIEPTGP SVEAPTVATPAVNGNGNGNGVEAKATEPAAANGTSSDSKPADSTHEKKKKNRLSVMFG KLKAKLK UV8b_04711 MGTELPLGTEYGMLYIQIACINDEKSYKPARGIAKGLRITRCLN DGVVLETETDSDKLTVQGTYMLGKKRNPVRSGTCLVHSAYHVNRSNVLCAVTPSSNVV LEPRFIAHHLHSPKVQQNKYQAAPPDGPDRLLCIAFDHSYTLAVVHRAAGAGINTKPT RRQLGPPALLLLFL UV8b_04712 MISSNAGAGKSLSTPPIHSSQTQGGPATSNLESFRRPSQSNPSS SSSPSSQPAPRKGQASRKQHKNQRRPTIPDQRVNGNPDEDDAMAELRAVRNPSSRRGQ TSITHLLNYTTSRPFQDHSYGYDPRPHRRNYATWGLGSGHHAADKSRYVHSNYRFVVS PEGLYTKHEADADTLLDWNNVLQIIASSVSQAASCPICLSEPAAPRMAKCGHIFCLPC VMRFMNSSSDDDDDNAKPGRGARWKKCPICEDTIHLHEVRPVRFYAGQESPLPRVGDD VVLRLMARKADSALALPREGGAEVLNSGDDVPWHYAANVLDYARIMKGTPQYMAVQYD EEIVSLLKQEKEDEALFGQDSEWSQKAVRAIRSAKDKTDALKCPATGDSASIMAGIKP STHSDLFFYSSHPHLYLSPLDIRILKAKYGSFAAFPSTLLPRIEHISTGHVVDEFLRK RTRYLAHLPQGCIINFLECDWTDIVPSEILATFAAEIEKRRKRNREKAAQEERERLQS ERLEALALRGAIGITTRRHVVLENLDQDDPPVLNLSEFQPLTAHSGATPPDPRLGFET LASMSTSPSTQRTVWGTRVIPPSPQLEPTEAAPIDDGWLKDEEFLGTAELALQIEAID AMEGEKPCSSTGAAVQDATTSGVGGGRKAKKKKQKITLMSTVGRRGT UV8b_04713 MAPATSPTLTSTSHTLSGQAVMAPASLADADAASAGDAAAFLAS LLPENRHEYLEPSLAMSSEALSIAKDTLEAFAAQVGETQQQRLKESRKRKRSGGKGVV AEGDVLRLRKLYVDGFETGQVWQQAKRIIGGVLGLAEGLVDELEERGEVLAEGAGEGP GVVTFGEDGFEVGEDEDEDEDEDDEIEDEGEDDEIEDEEEDEDEHVGNASADVSRGED KGEEEEEEEEEEEEEAHLENDLQGEELEEDPQPATDDDDQGQDEAGELVQDPDGLNDG FFSIDEFNKQTQWFEDQDARGDPATDQASDDEDIDWGADPLAAPKNDAKPSNKQKARG ATPADDEDEDEDEEDGPTFGDMALDAPEGASDGEDMDLDGGVDGDQESGSNANEIYYK DFFAPPPRKSKPGKPAKKSAVRFLEPEMPSKAETERAMADVKRDLFDDESDKDGSEDD ALSDASAGDPKSRRSAHERRQARLAEEIRKLEAASVAKREWTLSGEAAAADRPANSLL EQDLDFEHVGKPVPVITPEVTEGIEDLVKRRILAQQFDEVLRRRPDADALGPSARRGL APVDDAKATKGLAELYEEEHVKRADPDAAHAGQPDENLRKEEAQVEAMWKDVCGRLDA LSSWHFKPKPAAPAISIVSDVAAVSMEDAQPTTAQGVGGGGGGGGGSSRMAPQEIYQA GAEGDPVAQGEVVTRAGLPVARDEMSREDKTRRRRRHKERLRKAGGADAHNGAKLGTR AKMQRDTMAELKKGGVKVINRKGEITDMEGKKAKAAKGATGGSFKL UV8b_04714 MSEHAPETQPAGQGSPTATEGTPAASDDVATPLSRDEDPKSIRV SLADLSAKGTALYAQRQYEDATDIFSRASVLQAELNGETAPENAEILFHYGRSLFKVG QSKSDVLGGPAAADSKDKKTAGFPKSASASASANSAAAAAARAGETEAQKETQDGLAI PAQQSKDAGSKGASEGTSEGVRDEKKLLFQFTGDENFDDSSDEQEEEAAEEEQEDDLA TAFEILDLARVCYQKQLDHLHSQDDEAAKGKQVATDSPAVRHIKERLADTHDCLAEIS LENERYLNAIEDGRTSLNYKLQLYPEDSEIIAEAHYKLSLALEFASVTMSSDDGQNTK REAMDQGLRDEAIKEMELAIKSFKLKMQNKEVEVATMASPEDNELARKAIADMKEVVA DMEQRLVDLRKDPIDAAGLLGPQANVLGGIFGALGASSADTLARVEEAKKTATDLTGL VRKKKPAGGDQTPTPGLAAPAANGKRKAGDDSPDAAESPKKPKVDESEPATASDKAKE UV8b_04715 MAAVQQLLASPSPSPAAQPSGFNANTAASGVGTDSTSHSPFLLH GRSLRGAPEEGDRRIAVIVFGHGQERVLSLVAEVLGKPFKLKRSFQDVKDEDDGFVVG IPAADAAASIADRDRQQVVAVNTHCVTMGMPPHKTLSTYCDYEFLYVESPYFRRSLSR FLSFTMGQISHHEELMAKPRTLFMSTTFPDVRAALPNLDILTVGPDAIEIRVDLLREP LPDGRFSDVPSLSYVGEQVMLLRERTELPIIFTTRCTNENGRFPMDDPTLYHRYLYRA IQWGIEYIDVELWLPDHIRRDLWQRRGNSRIMSAFHDFSGNFRWPSHYAQEVFRRSCL YADIVKMIAIINDHNENFDLEYFRSRVKADYPGAPPLSAVNMGKTGQFSRTQNKVFTP ITHPLLPIIAAPGQMSASEIHGALVQLGQLPLKRIYGVGASVSRSAGPQASFYEKCFN ELGLPHRFGIIERQPSDFAGMEAWCNQRDFGGAYLDPGVSVQTLCKHNRFFASLNNGR GPTLTEAARAIGIADTIVAKSASSMTCTPPSMPSSPHDRRASQGCGDLSPDSCLVFDN AGWRGIMHTLIWDLAPSAYFGRSAVVLAASSDDAAPVFYALKALKIAKIYTVGFRTPP ALALHAPVIEQFISMESLQRARSVADDQGPFVIVSALGPDKGALVSMLLRAFAAGGRE GAPSFNKVFLNLADVAAGKSINPHETAEKSGFASYGAADVAAFTSVESLRLLIGQNVP YSFVRLASGRHYPF UV8b_04716 MNCKSCRKRKIKCSRMRPSCEACQVFQCPCIYDAVPKKRGPKTD VLEALLKRVDGLEAKLKEKNAGEHPSHTGPRASKAAGEDEGADVAEPACKKPALESRG QSDESEPRLLKSLEPCLRDYRAASVETDAFLDTYFSRFHGKPYYILDESSTRQRIQSN QLPPFLSYAIWAIASRHTPHPRGQEAAARLSDDYAERARRCINVDEPSTDCLQGLLLL SVAFIASGKDKKAYMLITSAVGMSIALELHREIDAQAQVSPVDREHRRRLFWTCYICD RFSACGSNRSPLINDHDISLRLPSWCFTSSPLAVNGEYFQPGSNLNFSPSSGKKAQGS TGILIDVTRILGKTNRYLVAGGVKGDSHFPWHSLSSLSKIRQELDLWASVAGPVFSDL HALFHRPEATIAFLSKLLYHLIHCLLYRPFLPIDLADLAGHGQHQSWQIEATKMCFWH ANAIGELADAARQAGTVEWPAIVGFCISTAATVHLHGAHYTNPSTYGGDVSVFRASSD LLFLEIQLLSELHFSWATARHQSQTLQGICNAHGELVQAMAVSSSTRHSPVFHLEDFF DRYSSIGGPGGRSYRFDPAHLSMSDVVLNLTAARSGDASAAREAAALVPERQGHKRKS SCPYQSLPDARTSGQRGQPQQESLDQGLDREDVTYPAGGSSGQGIMSLSGTGFGGGLG YAPTPRSDPNSNSGSSSSSRNKSNGRSNASEAEAGYSSMFGTTATNAFSSPGSWQADD GDQQYTAQTSISCMPSSPGAKSKSGSAGTGAREEKDPFLSLLEQVAEDEQRFSGAGTD IDFFLGAGHSLFTGARSPRS UV8b_04717 MSALNRHSSHSSHHSSHSRAEEGNQHKRCGCGTSTSTSTSTSIS TSISISTSISISTSISISTSIRRHRRVIS UV8b_04718 MINLASFQAGGFRYNSPWTQVSIVGFVAFCSVGMFSAVSNLGAG GMQDVQLSDIANSVLYAMFFFGGFFSGSINNILGPRLTMSLGTTGYALYLGSLWCFQL NGTRWFLIFAGGVLGLSAALFWAAQGAIMMAYPLEKDKGRAFTLFWSLFQTGTLIGAA IALGIQFNSTLPGVSNGVYVAFMIIMLTAIGTSWLVLPPEAVVRGDGTIVKLPESLTP RQELREFIRMFKDWRMIALFPMFFSSNYFYAYQGAITAFLFNGRTRALVALLTGLGSI IGSVLIGFVTDNLPFNRRRRALWSCAFVTFLICLVWASGLGFQTKFARGDTAVGGQDL AWDWTVGVAAGPIILFFAYYLVDAAYQGLAYYTMSSITNDPYKLARMAGYYKGVQSAG AAVSFGMDAAKTPYLGEILISWLLTLFALPLCALVLYHIRDTNYEVEEVARVEHVHAK DVDTKDVENLRLPEHHKTKEASD UV8b_04719 MLLRLLQGILALSALTYLVNHVIRRLKHGRLPPGPRGIPILGNL LHLPPAGVPEFQHWLALKEKYGPVSCLTLRGQPMVLLQDRRVVHEVLDQQSLKTASRP QTEYGAKLCGYNRSAPLMDYTPTLRAHRKLFHQQMGTEALAAKFDGTSLEAARRLLVR TLHDPHRLVEHLDRHMTGVILQATYGYSIRQSGPDPIVRLIHSVSASTNEALVPLVWA VDIFPLLRNVPSALASFKRSARKAWVEIERAVEVPYAFVMNRMDSTASRECFVSRTIA HHQGKGAVSATHADDIKWTAFSMYQAGTDTVSSTLLSLVSAMALFPQVQRKAQEEIAR VVGEAGLPAFQHREQMPYMQALIKELHRWSPVAPMGLAHLADQDVHCRGYVVPKGSVL LANVWALMHDPDAYANPDAFDPDRFLERNELDPTNMAFGFGRRTCPGRLLAKATLFIT AAHMLAMFDVRKALDENGDEVDFTHRHLPGLTGRPAPFPYRIVPRSARHAEIIRGLEG HFAMEGDDDAAAVEKLMSG UV8b_04720 MHPSSYFFNVSPNDLLLSPAPREMAYSRHRPTCHFIAPHSWSND PCGAVYVPESRQYLICYQWNPGTTEGGNCAWGMAKSDDLVTWQDCMPAIWNGSSYDCA GVFSGSIVSRLVDGRRVLYLFYTSVSAVPIHWSKPYLEGCETQSVAISTDLGQTWVRH AANPLMRGPPRAAATTGWRDPFVSPSRSLSDALGISRLTDYMLLASGERGRGPELHLY KSSDLQSWEYVSLLLDVDLHSLVSDRSSRRWGISFECASFFSVGDTDYLVVGVEETEG SKHHNGHYILWLGGKFVLDGATAAPRFRIDSHSMLDHGILYAAHVFRDEENRLLQLGW ADETAKKHVVQGQGWAGCLGLPRELVQVCRPLDARAASWPEWQVDDAAGTMTTLGVRP APQLEKLRPAAPCSLASFSRLQSQNYEVRATFSNLTGAERFTLNVLQAPDSAEVTRII FDTRRQEITVDRSKSSLKQLGTATPDSGSLRLLPGEHLDVRVFVDVSIIEVYANDRFA LTSRVYPSLDSSTGASCDFGDFPEAGVSFECWHGLQSAWPGRELGCGMLLPELLPKAG TAVDSSERVIAVTEMAVEMTAAS UV8b_04721 MPAGSDGWTLRVNEGGGGRALSASGWGMRDGLSFASIVSLSPLS AATRLFSAREFRFGVREKPAEATANERHPSQLDGLL UV8b_04722 MSLDLPPILQYFLSKPASIDVADATEKDVTDIERPPCGPEIAMQ TAWAIVLGADAKSLARDSHFFKLGGDACKVFSLCRLCGANGVQLTPRDVYTHPVLKDV SALRQNNSYRANGQTGLTKLAAKNEMRSYASRVCQVDEGSIVDILPCTPLQEGLLALT GKDPGAYVARMKFRIDKSIHLDRLRDACNQVVVMNPILRTRMVSIPGHGIVQAVLAEE AHWESEAAQAKPPMGLGTRLTSFHMLPRGADGEGVLLWEMHHAIYDGWSMDLMLREVE QVYGQSGELQHLHAMDDFLDYVSGVDERTKSEFWQSQFSEIQGAHFPAWEAGTPSPAP DCRLEITVDRLKWSGVEYTPTILLRAAWAVVAASCTGANEAILGVTVNGRQAPVGGIE VMVGPAIATFPVRIGVDADQHVDAFLQGIQRQSADLIPFEQTGLQYIRRVSEEAGLAC DFQTLLIVQSTGGAGGGRRGDQDAGSPLLPEIDDETSTNAWRDFSTNALIIECNVESR SAHIFLDFDSSLISRDEVRHVAGNLAAVLRLLSDESHYSHRVGSIAAETQTPFGLHRI WGWNQRVPPARHACIHHLVAQRVQQQPSAPAVCAWDGDLTYSQLHDLSGNLASRLVSM GAAGTFVPLFFEKSMWMPVAALSVMKAGGACVAFDMTLPEERLRSLAAQIPFRLAISS VENTGLAKKLIAEDVDMLVVGPRSRSVAPPEQVVELPSVQPSQTLYVVFTSGSTGTPK AAMISHQNFCSAIAHQQEHLLYSEKSRILDFSSYAFDVTWANLLHSFTTGGCICVPSA FERENQLAESITKYRANLVDMTTSLARMLGPDVLSKLDVLTLGGEAVQPTDALLAGNA TTIINAYGPAECTTSVTCGKVRLPTITIGRGIGVCTWVVNAHNPEMLVPLGEVGELWI EGPLVGQGYFNNPEKTAQSFVESPRWLLRGTTDQEGRRGCLYRTGDLVRYLPSGELVF IGRKDTQVKIRGQRVELGEVEYQVSESMKLYKGDDIRSVTVVVESIRPKSSSGPVLVA LVSLECQTGEMEQGELQARVQHLASASSENLSSRIPVYMVPVAYIAVEGIPLTPSGKL DRRKIVSIAESAWNGNRGQPRSVTEQSVVHETLTDTEKVLQQIWMSVLNLPAEEVSAS KAFTRIGGDSISAMQIVAQCRQRNLATTVRDVLEAGSIRSLAGRCKPICQKALLLDSA AEDQEERVDEPFDLSPIQQLFFTEFPDGLNHFNQSFVLELGKKVAGESIAAAVQDLAE RHSILRARFHPPRGETGWTQSISASGPGSFAFEEHSVSGQDQVTQLSQWRQENFDIRS GPVFACDLFNLPDGSQLVTLSAHHLVVDLVSWRVIWADLQDHIEQGKLVSAPTVSWRS WIQEQIRASRHLSPMDVLPFSVPPANVGFWGLDAADDTMANSSVAALHVFDSLVTSLL YGQANESLRTEPIDILLGAMVHSFAEAFPERDPLVPWIEGHGREQMQSHTDVSGTVGW FTTICPVPVAVTAGDSVLHAIRLAKDTRRAIPGKGLPYFACRFYSQSGREAFRHHDQC EVTFNLTGRFQQLEADDGLFRYSPQMRGDAESVSVIAGSARRPTVIEINGDVEGDMLT VSFQTNRNIRHGDRLRRWVAAFGREVESAVEELARSRPALTLGDVPLLRVPYEGFDRL MREQLPGLGIRPDNVADLLPCSPLQEGILLSLDKEDASYDTSSIWRCVGKDGATTIDG DAPVRMETAWRAVVKRHTILSTVFALHPEGKGYLQVVLREVQSQVATRSSGAEAPETV LGRAESPRFASNEPQHAFTICRSDSGDMACRLDINHALIDAVSMSAILHDLVCIYHGI TPAPAPPFSDMMRYICSVPTAQRLAPWASMLAGVKPCEFPISNTLDSDVLDGTRSNTS SDVWALDLPGDGPTSLCRAAGVTRAVFFQVVWAMTLGPARASRGILKAVNAKSIERLS MQHTSLAEVQHMLGISDKKLFNTSISVRESERSAAAVEQSLSLVPVAEADHHEFDLTL HVSFDGDLLDASIEYRQPNISREVVRQVAGVLSAAVDFLLLGNFDSASAQVNGYGEVG EETSNSFHRHLVGSDEATTTAFWKTQFEGTTGSHFPEIQPFQHGTKEIWAEAVFHPSC DIGDLEDAAAVASIRAAWALLASSLVGSTEAVFGAVVESALLPVRISVDRNDTIESFL QAIQQQERDARQFCKTGLEKIRRIDDNCALACDFQTILSVIAGGDDETTPPPVPFAIL VTYEVRPAGSRVTVRYNTALVGKSQVTRWYNQFTHILAQFLDRSFHGKKLDDMSLVNS HDLLDICRWNSGAGSVKAGQYAADQLMAQLLNVHTWIVETDNPQRLAPIGAVGELCLE ISRVGTKGLDATFHSDAASTQQQAAWLDRVAGRRGHRTGYLARYHHRGSLQVQGRKDT EVTFRGRRFNLETVEKRVRETISALKTEWTPAEVVVELVQTKDGEGKILAAFVSTDRA SEGRDETAVQEISSRVRRALARVVPGVMIPAVLIPFGRDVFVAADDGRVDRLALRQHA SELTVAEMTASSRAAEKHAPSEVVEKQMQGLWAEALSMKPDEIDLGDNFFRIGGDSIL AMKLVGLARKSGLLLTVRDVFKQPVLRELCSCLPLP UV8b_04723 MRLAWVVGCARHVQGGMFHLELISATALLCAYSLTNKCSVNWSS ADPRALLVEQTVSGVRHDHQVTTGNGIPSHSLRGKT UV8b_04724 MKVASITTPLLAAAALAEKHHRCNCCVGGKIYEDYNRVACEDWS VMFPNSHWDDSIKACVDPGTFRGIDWNRWSRQCRKIGVDKYHYPYIDIHACC UV8b_04725 MPRLLACVAFAIGALAKARLPKMPADMTTPVQQRIALNGPNSIT VSWNTYMKPKQACVKYGPADCSLTQQACSPSSAQTYPTSRTWFNSVTLTNLTPGTKYC YQVVSSNSTTATFRSPRLAGDQTPFTINAVIDLGVYGEDGYTIEMDHAKRDLIPSIPP SMEHTTISRLAKTIDDYDFVIHPGDLGYADDWILHDHNLFEGRKAYQAITEQFYDQLA PIASRKPYMASPGNHEATCQQIPGASFLCPAGQKNFTDFMTRFGSSMPSTFPSASQDA RATANADKARKLARPPFWYSFEYGMAHVVMINTETDFDRAPDGVDGATKLRMGPFGAP NQQLQFLEADLASVDRTVTPWVIVSGHRPWYSAGTETCKPCQDAFERLMYKYGVDLGV FGHVHNSQRFMPVYNGVADPAGMRNPKAPMYIVAGGAGSIEGLAAFAPVKPPFTAFAY NDNFAYASISFLDRNNLRVVFRRSSTGEVVDSSTLYKAHDKQFVVQ UV8b_04726 MAAAGALFTPACPHQYPHQYPHQYPHHYPHHYPHPGRFSPSSAA MSGPHPNPKDSLKSTWRQGDRSHWTPAHWFYEILDLHPQLENQPVPVFPKSDKVPHLP DWELYRWVLFHAALPLVLHQLYVLAAGRNLTTLQAIVLYSFFFKVAAIREIRALRATG HRYGFFDGDSHGRDGVPDVGVRKVMRSLLSTSTFRPVFTVFLAYRVAETPAHAHWWWL PLEAGLYGIVLDFYFYWYHRLMHEVPGLWKFHRTHHLTKHPNPLLSLYADTEQEIFDI AGIPLLTYFTLKLLGCPMGFYEWWVCHQHVVFAELAGHSGVRVNATPPNPFMWLLRLL NAELTIEDHDLHHRKGWKSSGNYGKQTRLWDRIFGTCKDRIEGETCNVDYANPATMPL WGEAGAGLHRAR UV8b_04727 MQVVVVGATGYVGSTVLRHCLADPRISRVHVLTRRPLGDAEAPA GSPASGKLSVIIKTDWMAYDDELLHALRHARACLWCIGGRHTQTSRWPTPEEYLRVTV DYTVAAAAAFTRMMGLAGPPSAAPFRFVYCSGDAAELVYNRSLCIMGPTRRAKGCAER NIFDMADGSHGALESIAVRPCGIYPRAQTPWTWFLTTLVLPTCEVDELAASMVGLAKN GPGAAVAAGAGDSGRIITHRRIRSMGRELLDKQEAEQAEQAAGASAM UV8b_04728 MTARQGSCRKGPKQFWWKEASVYQIYPASFCDSNGDGVGDLPGI ISKLDYLSNLGVDVVWLCPVYKSPQVDMGYDIADYRSIHPPYGTMQHVDALIRGLHDR QMKLVMDLVVNHTSDQHAWFQESRSSPQSDKRNWYIWRKPVIDGNGNRHPPNNWASVF GGSAWAFDEPSGEYYLHLFCPEQPDLNWENPSVVQEVHRVMEFWLDKGVDGFRMDVIN FISKTSGLPDAAVTRPGQAFQPAEKLYANGPHLHEYLRGLRRVLDRYDAFAVGEMPCV DDEKEVLKAVAGPRNELNMIFQFELVDIDTGPAGKFSHQDWTLQTFKGIVEKWQACMY ENDGWNALFLENHDQSRSVSRFTPHTPPHRKAAAKMLASVIGLQAGTLFVYQGQELGM ANLPASWPIEEYKDVETQNFYRLAVEQSTTDEQLEAFLREVRLKARDHGRSPVQWSAE KHGGFTTGTPWMRVSDDYTDCNAAQQETDPSSVLAYWRRVIRARRQHTNVVVYGDFCL LDREHPAVFSYQRRGAGGTMTVVANFGESQQTWELPEGCPRSWTGDQVLLANYPVSAS GGSPAAGRLSLRPFEVLVILQEDGGGTDGACAAL UV8b_04729 MPSSHDADDSEKAVAFGIESSTPDAMRRSDSHLDTTLQDARIAT EKEHSMSLWQGLRQYPKAIGWSVLFSSAIIMEGYDVVLMGSFFALPTFNKKFGSLQPD GSYSLSAGWQAGLANGMNVGQILGLFANGVCVERFGYKKTMLLSLALLLAFNFILFLA QNLATLAVGQVLLGIPLGAFQTVTVSYASEVCPVVLRAYLTTYVNLCWVMGQLLASGI LRGFVGRTDEWGFRIPLALQWAWPLPIVVGVFLAPESPWWLIRQGREADAAAALDRLS GARRGDSCSSTEETIAMMTHTNELEKETQQGTSYLDCFRGSNLRRTEVVCLTWVAQNL CGSGLMGYSTYFYVQAGLSRDSSFNMTLGQYSLGVAGTVLSWVLMTHLGRRTLYVGGL AALCLLLLVVGFTSLAGEANAAAAWATGSMLLAYTFVYDCTVGPVCYSLVSELSSTRL RTKTIVLARNAFNVFAIINGIIIPYMLNPTAWNWRGNTGFFWAGCCGLCVVWAFLRLP EPKGRTYGELEVLFKQGVPARKFKSTAVDVFDDAPLAEKHAKE UV8b_04730 MTKKLLASLLTASLAAAELCANINTSTSKPNPSAFQSKGKCTET CQGSAYGILQGGNCWCSNYGPDQDAQRTGCTSPCPGYPFDMCGAIGLYSYVLLNEGMV QGVKGSVESTSTASSVAATTTSQATSSNTSAPSTTATTASATEPQRQDVTATIFVTPT AASAAPAPDPPPPVTGPVLAGIIVGSLAGLVVLAVCAYLLYARRRDGGPQMHRKTAST STESVHPFAKPSFADTSGPLEMYSRGRLSVETVEAYMPGKSLRVMNPDPPEGT UV8b_04731 MASVQIMMSTMYYHQHMVVPASVKVSAANRQRTSGSIDAAAPSD APIEQALIAARPPCARAAAESRIPRGRQALASHIPR UV8b_04732 MRPASWAATAATAATAWSVAAAPATRCQQPSLHMLDSIIGRKQG IQDSGAASSTLESGILSQALQEAIARYPSTKDKYARYLSDVLDIASSKGLTDAAQAAK KPLDRFSLATAIQTAIKSDVAPVTEKSVEAYKAIAASLPLQKRNPDGGLWYYLYPEWS YLDGIFSLLPYMASQTNRNLRDMRLQLDLLREHCAQASTSLFVHGYDWSRNAVWANKI TGASPYVWGRALGWFLSGLVQTWDQLSCKSAERGQLLQLCGDIHNVTFQVSAALVQYA DPKTGAWWQIVTLPGREGNYLESSSTALFTFSILKALRIGLLYGDVPSYRETAIKAYS YMRDNFVTDTGHGTIGFDKTVSVCSLNSTATYEYYTKRPILPNSLLGESAFVLASLEV ERLGGH UV8b_04733 MCGRYALALRPPQVRQLLEDDGMPVDYPLEDEDGPGAPRQSYNF APGYHGVVYRADTPDWGAGPRSRSRADAGPHEEDDVVADKAAQAEQPPPSQVKYKLQS MKWGLVPFWTKRNPDYQTIMRTINCRDDSLSTAGGMWASMKNKKRCVVLAQGFFEWLK TGPKDKSPHFVKRQDGHLMCFAGLWDCVQYEGSDEKLYTYTIITTDSNKQLKFLHDRM PVIFDPGSDKIKQWLDPNRYEWSRDLQSLLKPFDGALDVYPVSKDVGKVGNNSPSFII PLDSKENKSNIANFFAKAQQKSGSKQAKAKEKPPTVTEGEDADDADDADDLKMAPQTP PSIPPSREKRKVSPAADSPPLKKTASGKPKISATKNEYKRPKRAKPPASQKITSFFGN SA UV8b_04734 MDSASAEGFSVLIVLHFLPLPPHITVNAPWDDIITHPAFNTTPF TINTTIRLFPDNHGLPHRAVSIRILISFGRAPLQAVGDVHPNGPDHGIAVRESSVVSV SSSSSSRSSQSSSPPPSDGFPTHREPLTGTFDPTDGTYIIDVASQSVEAALHAADGAS ESSIMSPSSTSANDAHPIDGDVTPIIDALQPIEDASHAVRGARESRVVSSSGTPANDS HPIGRALLRGGYHPTQGADHVTVLQSIEDGSRAVDGARAPSESSIMISSDTSANSPHY TDDDDICFVDVLPSIEGGVQVVDGAQATDGASESSAAGRSGSLPSSRSTRSSVFDNDY UV8b_04735 MAPRVVLIRHAEALHNLAYKSFPRTPSRRLPSVHPSLTDRGELQ CMPLRESLFRRFGAVPREDVAVIVSPMLRTLQTATLCLDWLVGRVPFEASADWQGARP GGGGRVRTENSACPCNTGRPCAVYAPAFPHVDFSRVDPVWPDKTSGRAARYGCTRSAV VERGRLCLEALHSRPEKLVFVVSHAGFLRTGVVGHWFMNGDYRIFDFAQGASDGRRVL RQDASTVAGGLGLSLEESVPLGDGLPEH UV8b_04736 MKCAWRAARAWYRHGPLSAGSSLPALRLASRRAFASKPSPAQLE ARIAAIPIERYRNFCIVAHIDHGKSTLSDRLLEHTGTVSASDANKQILDKLDVERERG ITVKAQTCTMIYTYRGQDYLLHLVDTPGHVDFRAEVTRSYASCGGALLLVDASQGIQA QTVSNFHLAFAQDLALVPVVNKIDMPSADVARVLDQMETSFELDPRDAVLVSAKTGKG VSDVLPAVVDKMPHPEGDEGKPLKMLLVDSWYDNFRGVVLLVRMFDGTVRAGDGVVSL GTGARYTVGQVGIQHPEATPQRVLRAGQVGYVYFNPGMKRIQDAKLGDTFTAVGSEAA VEPCPGFEEPKPMVFVAAFPTDQGDYTRLADSISQLVLNDRSVTLQKDFSEALGSGWR LGFLGSLHCSVFQDRLKQEHGRDIIITEPTVPTKVVWPDGSEEVVSNPALFPDASNHR IRQSRTFEPYVRATMTLPDEYLGRVIELCEANRGEQQSLEFFHATQVILVYSIPSAQL VEDFFGKLKGATKGYATLDYEDAGWRESNLVKIQLLVNKQPVDAICRVIHSSQAERIG RAWVSKFKEHVDRQMFEVVIQAAVGNKIVARETIKPFRKDVLAKLHASDITRRRKLLE KQKVGRKRLRAVGNVVIAQEAFQSFLSK UV8b_04737 MVRALRHQFQHGFNRRTFQHLRPVQNPAHTVNSSLFCLHTLDPS AHQVIPTTSPQRLRKPSSSSASRTRLPRSSSLLPPPGAVAVAATTSDALTPVYSPSEA PLFSTEFSKRTIVLPDTPPNELETDSGPLCDTGASRTDLPELPALSFSPALSLGSPSR NFKARPCSLEVLPGGDASDTDASAASPGKTESAGSSDQEPKMTHEPVREPYSPNRSRS KSRNGKSSADLTKPRTGKPPSQKAMLSQALQKANTAVQLDNTQNFEGARQSYVDACEL LQQVLLKTTANEDKKKLEAIRKTYAGRIDELDRMTPLQGAKNKELPAPPGSADAQVNG ESAQVDVVEVEPSNPTLHHAKATGTQYNRQRHDLQNHSDISKATRLAADQPSLHSTFS RPSQKPRSPEDRWNDSILPPQPLISRRPSTPQKPRHERVASDERGNNDSNHSGAWAGS HDGTCHFLDGSQNSWLDPIDESDGSSNTSLHSRASSVLGGAHVRCLSGDTEADFENAM DAAIEAAYDEGYEPMGSGEYDANNGSEEMVSNALRKVQEAPEGDGQTEREAYAGEDER QPGSHQESGCFYDDELSSDEEERILEEMTRDYGVEDLMSEEQLKPGVPPRPGSRAQQA HTGNDGSERRMVPDSRSLAATADRSVVKQPQQQHFIPKSLGPSVPPPKQALPDLPLTR APSAANTVRSRCLSGQNPKQLTIRTTQLEETAAASHEEPTQTKDVPNAETELDEVVGE RPQTSRSTRKLTQCSIEPPAAEEVILGSPPSRRGQPDMEDSSAARTGSPKISRLRKNF STSSLRSLKGRNMSLSNLDDASDMSPLTPSSSGHFGVARTHAVPPVPLPAVASLRDQM DAMNPASLYLLGDYIHMPTTPGSPNSAAADSPVALEPCPNDVMLRPFWLMRCLYQTLA HPRGGYLSTKLFIPREVWKVKAVKLKNVEDKVSNCDLLTAALLKLAKVDTCDADAVLE ELQALEGILEQVQITLTRKLGNEVGVHGSGVLFKEASNVVEGDGAPAVPRTASVSGKS SSFSWRRLRSKNSNLGLGGAYNSRIAGAEGSPRESATMPSLPMTPQPTTRPPKRDISH AQFVGPNAHYMSSLARLFDAVQALDQIARQVDDPGLRHADKTQVGLELCTRHVSEFFG FYICRFVLSDLSLLLDKFVKRGSEWVLT UV8b_04738 MAGVDDIFKQRSGAPGSKRKLEAVKDPSEIYKAGKLSAKEPNRR AHVADDRRDGGEAGYDNDDDDYGPALPPGDENDAGEDDEGRFFGGGISKQESQILDFV DEAGTADEAPDKIDAAWLRKTVLSFEKRITKNAELRAKHEHDPQRFIGSEADLDSDIK GLSILSEHPELYPEFVKLGSVNSLVGLLAHENTDIAIDVLEIIGELTDEDVSAQDDQW DLLVDALMEADLIGLLGSNLSRLNEDDEADRNGVYYALGIIENLSSRSATATRIAQEG TLLQWLLQRIRRSESPVSQNKQYAAEVVAILSQASLENCQQLAILDTVDTLLQLVSTY RNRDPDKGSEEEEYMENLFEALTCIADQPLGKTKFLEAEGVELCLIMLKDGKKSKFPA LRLLDHACGGASGARVCLKIVEAGGLKGVFTLFIKSKDNRLLTHLLGLFASMLRLLPG ESAERIRTLAKFVEKDYEKTAKLVRLHGEYSTRVQRAAQEYAPGDAEDDEAAEIALLS RRLDAGLFSLQQIDVALAWLVAEDVGARKKIKQLLEEKGGRLSDLAEVLSEQQKGLDV SEEDSRDLSDMLGILMTFLR UV8b_04739 MAAQNSAGIQTLLDAEREASKIVQKAREFRTKRVREARDEARKE IADYKATKEGEFKKFEAEHSKGNEAAEAEASKEADRQIKTIKEAGAKGQAGVVKNLLG AVFDVKPVAPLREGH UV8b_04740 MSRASKITLACSSLFAASTVVLVHFQQKWDQAAMHQGVVRDMEQ QRIKRERQLDFDTQRALEAEYKQHQTVRDSTLDADERAAPPT UV8b_04741 MADYDEDYDYENYGEDDEGITPEDCWTVISSFFETKGLVSQQTD SFDEFTQTTIQDLVNEYSTITLDQPNPPSAPGVKIALRRYEIKFGTVMVSRPTISETD GTVTSLLPYECRDRNLTYASPLYINITKKVSAAIEKEVPLHEMDDAQQAEYARTGENP TKLVWEQEESLDDDEAGKSQDWKNMVFVGKLPIMVKSKICHLSRETEESLFTVNECPY DQGGYFVINGSEKVLIAQERSAANIVQVFKKAQPSPYTYTAEIRSALEKGSRLISSLT LKLYGKGDSARGGFGQTIHTTLPFVKSDLPIAIVFRALGVVSDEDILNHICYDRKDSQ MLEMLRPCIEEAFCVQDREVALDFIGKRGNRDQAGLGREKRVRVAKDILQKETLPHIS QTEGSETRKAFFLGYMVHKLLQCALGRREPDDRDHFGKKRLDLAGPLLAKLFRGIVRR MNNELSNYLRRCVEGNRHFNLAVGIKPGTLSNGLKYSLATGNWGDQKKAMSSTAGVSQ VLNRYTFASTLSHLRRTNTPIGRDGKLAKPRQLHNTHWGLVCPAETPEGQACGLVKNL SLMCYVSVGSPSEPLIEFMINRGMEVVEEYEPLRYPHATKIFVNGVWVGVHQDPKHLV GQVLDTRRKSYLQYEVSLIREIRDQEFKIFSDAGRVMRPVFTVQQEDDPETGLEKGHL VLSKELVNKLAKEQAEPPEDPSEKLGWEGLIRAGAVEYLDAEEEETSMICMTPEDLEL YRLQKAGVALDDDMGDDLNRRLKTKTNPTTHMYTHCEIHPSMILGICASIIPFPDHNQ SPRNTYQSAMGKQAMGFFLTNYSRRMDTMANILYYPQKPLATTRSMEFLKFRELPAGQ NAIVAIACYSGYNQEDSVIMNQSSIDRGLFRSLFFRSYSDQEKKVGLNYTEIFEKPFH QSTLRMKHGTYDKLDDDGIVAPGVRVSGEDIIIGKTAPIDQENQDLGTRTSVHQRRDI STPLRSTENGIIDSVILTVNADNVKYVKVRVRTTKIPQIGDKFASRHGQKGTIGVTYR QEDMPFTREGVTPDIIINPHAIPSRMTIAHLIECLLSKVSTLEGMEGDATPFTDVTVD SVSELLRKHGYQSRGFEIMYNGHTGKKLRAQVFFGPTYYQRLRHMVDDKIHARARGPV QIMTRQPVEGRARDGGLRFGEMERDCMIAHGAAAFLKERLFEVSDAFRVHICEICGLM TPIANLSKQSFECRPCKNKTKIAQIHIPYAAKLLFQELQSMNIAARMFTNRSGASIR UV8b_04742 MATDGPSTLQPSQDPVPPTENALFDYLPASHEEYAVVEKKLLCR IDSTLMPVMISMIVLNYLDRNALPNARIQGIEEHLGLQGNQFNVCISVLFAGYLALQI PSNLLLTRVKPSIYLPTCMALWGVVSASTAAVHDFKGLVICRFMLGFLEAPFFPGALF LLSSWYTPRELATRTAVMYTGSLLSSAFGGLVGAGVQYGLDGSRGLHAWQWMFLIEGT ATVAVSLASIVVLPDFPATTPWLSREERAVAVHRLCVHNGSLDEVKGSVVRGLKLALL DYKVWLLTMIVILKTSAGAVTAFVPTLVATFEFGKIESLLMTAPPYVLAAIVAMGVSV SSDRQEERFWHLVGPLSFGMAGFVMAACAHSLAPRYFSLFLMLGGVYGCFDLTYAWLS STVPRPREKRSAAFAMANMIGNVAQVYSPYLYERSSAPQYLPAMIANSAFVCGSIAFA VVLLLCLKRENLRLEEAEVAVGDGQRRRQEQGLGKPGTDVSGVAPGGTRWVEQGVQI UV8b_04743 MFERTLLCHVSSHSDTRTYHNMAPTNEKPDCITLANYFRKVGDE VELFQSLPALDIGAALLERMDRLMLETASFRREVQSELTSFRREVQSEFMSFRREVQS EFTSFRREVQSESTSFRQEFDIKLRAMNKNISSRLVNQWALSPEVSLSPMYNVSTGDE IANCPKT UV8b_04744 MKVSSFVSAVTGVAVASAALLPRDMAANTTVVAQPQPSAGARCP TPGSTDSQGRYSCNPAHQYPTGQTCQVVNGCYFLMNGSGLQAVSAGAASLSAAGALAV AVCGLLLL UV8b_04745 MVTPIPVLSDYGLSAKHGFLPETPPLTRLPDPYYNKWEAVAANL QALVLTRRLRGILDQLPVLSTVGLEHDSEWRRAYSLLCFMAHAYVWGGDSPADRLPPS VSVPLLDVSQHLEVPPVATYAALCLWNFKPVFADEDVDSLENLATLNTFTGSIDESWF YLVSVAIEARGAPILDLMLTAMAAARANDANAVAARLIAFAERLTDLTNILQRMHESC DPTIFYHRIRPFLAGSKNMAEAGLPRGILYQDASGTREYRQYSGGSNAQSSLIQFFDV ALGIHHRSTGETRDPPSSESERDRETARTSPPKHNFIQDMRRYMPGPHARFLADVGKV ANIRQFVKANKRNRELCLAYDACLAMLSAFRDKHISIVTRYIINPSREVRARSRSRSR KPVNIAAASQKAKAQGQTGTGGTALIPFLKQARDETGEPAVEEWTKRFMKRKLKAEYQ ADPLFTSKSGLVAVEENVNVNGLAGSWTMDDEVGGICSF UV8b_04746 MPVQQHVLNWLYSILTSEYHHVNRTYADVAQALAQWPSLTPRTD VHTFPNGSSALLLHLAGTVPVNFRGNTYRFPVSIWVPHAYPREPPLVYVTPTETMVIR PGQHVDPQGQVYHPYLVGWAHFWDKSNIQGFLSVLSDVFAKEPPVVSRQQQQPASQTP RQQASSQTLSSSSSSPPPPLPPHPQRISSTRPSHSLHSSALDSPAPPPAPPPKEHQNR QQSHAAPFSSPTAAVNHPPTSSRYDSAPPLPPQVQASPRLDHHRQPLPGPHLQQQHSA ALQPRLPHVATSPGPQVVTIQQIPYQAQTLPPQHAPQWQLQHQPPPPGYLASGMTAPS QQHAQAQAAAPPPPNILDEPLTLGIPADTAVAPPPIPLNPEKDSLLQQLAHALFAIRQ RSRQQNDSSMAGLQAQRNAMQQAMAGIHSESSQLTQLSNVLASNTQILHDALRKADAV VEGSASHPAPDIDELLVAPTVVSNQLYSLVAEERALGDAIFMLGRAVERGRVSPAVFA KMTRSLAREWYLKKALVRKIGQGMGMGMGMGMAS UV8b_04747 MQVAVIQGARPVAIPISSIDAFNDESISVCINYAFQTGACLLLL LVVLIMTPPSKLLRSSTLLHLAGLAVCIVRMCLLMAFFLSPFNHFYQFWTGDYSAVPR RHLYASVAGNVVSLVLVVVVEAGLMHQAWTMVSLWPDRVRYSLAAVSASISLLVVAAR FAFAVIQSRANLSLAPARNLAWVVHAALVLNALAICWYCALFNVRLVMHLLVNRRILN RRRKVAPMEVLVMTNGVLMLVPVVFAALEWAKIPNFESASLTQTSVVIILPLGTLAAQ QMSHGGSLAYLTGSNKSSQKSGSGSGSGSSNAGEKSSRSPMPSLPLSASSPGLSSPHN SALSPPYEPEESPRRKLDHFDLELRQIDCTSELADHGGRVDTDLQHKETRI UV8b_04748 MPFRSMMASHANTPTPSGNLELSTTPRPNHNTRRGRGLAHLVSK FEVLELMSRQGKPAPASRGSLGGRVDSTTELNKSASLVSSESKLSCSTPPKSWKLRRA REDMETSLVGSGTVLPDREGNRDEKRPSMVAERRKLFEVELGNSKKRSECFTADIHAN GGKLSPATNQSYRADEVPANSGHEAMRVGQKPPLMTRWQTVRARSPLACMAQSQVAVL SRPAIPNVSMRLSGYLPMSSTCPLLPNTLDLTKPHSLSDCFQEAVSDDCSSKGCSSIT PRCRSSTPSSAAESQQELTARVGNASPKSQGPNEVCHTPRAAHSAVDGSPGKDDDEQN TPSRKSGKNGLLAGATNDCLHDDSGRMAGLSPYIASMSTWPSNNGLESSGPDPTLSHG QSQIRQGSCKPKEKLVMSAIASFEAVSNRSSEETTPRISSTIEHFESLISGDQTSQRH KTSLQKKKKLTASSSWQPNKADGRLKTSTMELARRKFSNSWGPARFKKIRPLCDDESA KDLCYKKPSQRRWEQSNMPTDGSVHSRDRDLSEWSERREHAGSRIKGQCMEPNDSPGS DVEPGEPSAQRCNLTLENVTGQSGKGSSRKRQPSDWRGSWRLSRRRWVSRSSIPLIAQ AECTLEQPKPVRVNEVRRLVSLCRDKMTGWKHRAQN UV8b_04749 MQIPSTRPRCLRRAPNENAPFRLEILQVFGNKAMRILYCVSLPS AINSIMKPLFCVDNLAQGGLGYIRHLSYKITRLSDARSSFRQANSALFSHCT UV8b_04750 MTHLGRHQLTHGDHRSDHHSDHHQQVNLFWRCSRFSIEYWRQSL FPPTQIPGLFFVLVFERNAFSATRLTLQVMVPRSNNISNEAAHQSIDLLMEDFEPQPG GFIPPSGLLSPAPSSTSTRSRAIELPHPRGHSLRPGSHKEDLVRRYVEDKLLNISRRY VKKFGKPNPGDAVVGFKSFSEVCREFEGIVNVLWKSGTPSLQIPFLLRLASDFTQYVR SFPPSPKSTFDLLRKLDHCFASLLCGQDMESRDSLPGFENGLGAGMTPTDMVRCRSLV EQTRLLMMEILSSGEVDEEETDGEGEESSAESTGYLLTWDADEEKLHMDAARIYENTI VQLGARLGDPLGSENKVDSSTMCPTFVVRV UV8b_04751 MAMNPNMGMANMNPMGGSVGGAPMPMMNNGVVNTQVAAAAAAAR QQQLNDNQRGVLNTYIYEYFIRYGMYDCARALLSSEQQVNVHKDGTKGANAANGDDPM ETDSKDDLDAKLPDDLPPPKLPMPASDTSFLYEWFCLFWDIYNAQRIKTGNGTVNQYV AHTQQQSRLKQTQQQELLRQMRPDLAAQQQYHMMRMSNGNMNMNMNIKQNNLARAAMA NNQNNPQMMLQQAKQNQMQRDPSVMDPNRDRPSSPASGENAPSPSKRARIDGAPFNPN QPGGMIANGRPVQGMPGQQMPNAPNVAAAHQMLTANGINPSTLNQQQLQHFINAPPAA QQKSIATYSQNLQQHHGSQMGTKQVPNPVGPQNQGSPMMPQGPDGTALNAFYNPEGQM AGPGGIRPGPGGAQAAAGSNHALQDYQMQLMLLEQQNKKRLMMARQEQDTISGMPRDG APGAPGQPGPNGQTYPEASPQAMRSGASPNPNDQMKRGTPQMNNSGIPSPVPDGGHSR DSPNPAMNFMGNQVDPSMAPHFFKAGVDGNMAAAQVQMNGMRPPSSHPGQPYNGQMNP QQMMARQMQQGQGQPGPQPGGPGQPGQWQQGPNGQAPQGLQQNQQVQGTPQQRSMPPP SAPSATVSNANSRTTASPQQASAAPPTPSQSTKPAPKKKESKAAKEKRAAAAKKAASQ NTSNTGATPAGENAGEGEAAPATPMTPVNPAFHKNAQNGAAGGNAQATSNAVAPSTTA PAPAPQAASVPPPQNPGDPNQNGLMDNNFGMIEFPGIELANPLQSGDVLNDFDFDSFL HDNDGANEPFDFNGTFPGMENGEIGAE UV8b_04752 MAASKASRVGEETRIDKVNAELVTLTYGTVVAQLCKDFDSDYAE VNKQLDKMGYNIGLRLIEDYLAKSNTMKRCANFRETADMIARVGFKIFLNTTPHVTNW TSDNNQFSLVFEENPFADFVELPDDGRAQDELWYSNILCGVLRGALEMVQMQVEAHFI SDVLRGNDTTEMRVSLVRYIDDELPPEDD UV8b_04753 MSAEKRPAEDDPSSSQMLVKRQNVSNSEGALARLNASSGALVQT STRTSGLQAPVMELSGHTGEIFTAKFDPTGNLIASGSMDRSIMLWKTYGDCENYGLLN GHKGAILDLQWSRDSEILYTAAADMHLASWDLTSGTRIRRYVGHEEVVNSVDISRRGE DLVISGSDDSTIAIWDPRSKHAVDYIQTSFPVTAVALSRAGNEIFSGGIDNDIRVWDL RKKSVVYSMLGHSDTIMSLKVSPDSQSLASYAMDSTVRTWDVRPFAPTERHIRTFDGA QVGIEKNLMGVSWDAEGRKLAAPSGDGTVLVWSSENGKLLYKLPGHRGTVNSVDFSPG KEPILLSASSDRKMLLGELK UV8b_04754 MGPISQMPKGPNPRAELLQTHAKRPGRWWRRRLRPPSGLFGLLG CCLSDTGCCSGRLGHGECKEAGNEPYGVAQLQASLARGPDGRCEERAGCQGAPVAVVD VINGAWHGMAAQPPGRGGWALEAGNDDTLGQSNCVDAR UV8b_04755 MALSARASELAKPDPRYFFWQVLQNIWHPEANPDGYVSLGVAEN VLMHHALSERIHRAISLGSDDLTYGDGKKRLRAALARFLTRHLHPAVAVQPSHLTVSN GCSSALEHMAWALGDPGDVFLLGKPYYGTFVPDLTLRMGTQLAMVDFGGVDPLSLDGV RRYDLAVRSAHAQGKRVAGLILAHPHNPLGRCYPRPVLLALMALCARYRINLVSDEIY ALSTFANTVDRGADVADSTAPFESVLSLPTDGVIDPALVHVIWGISKDFGANGLRLGA IVSQRNPALHQALVPPSLYSSSSSITDQVMTAIFHDDAWVDGYLEKNRQRLAESHAHV VRWARAHGIEYLPGVNAAFFLWVNLGAAYGGGAGAADVEDVDQVVTDALLAQKVFLAS GLHFGSEQAGWFRIVFTHARDHLDEGLRRIVAALNLTSERGVQGRR UV8b_04756 MAPRISTMDRIRAELLRRARVERAAESEHQSYQTSEQPARNANN GTLAMSGEPSPFDPSQHRSFLSRIRASMCNPLETQAPRSPEPPVRPFTLSSSRYASSG NPPSSLPSPILMSHMSTFNPLCPPQPVATPVTSAQQEPCTSGPFCSEHFLQHSSIVYD ARNFSRQPRGGQVQGIDVEGGRKSPPRNFLFCFPWVKSRKVRSQILTCFVSGMFLASL LAVYLGLALTSHIARGELTIMIILVILSATIFFGFSVIRLCTLIARGDRARRRIRAEM LGLGGYVVPQKPIPVVLAQDEEAVGVESEASKSRPPAYGLWRESIPVDPNRIFWQRNE AASTAPQRLETRTGPRPPSYASEDGVSSSTPRI UV8b_04757 MTAEVEQALDTTDEAAFIPTLPPSDSHGSGHSPQISPAKKYTNN SHPNLPSINQITPNLHDAYRTRRIPLPPGFSRPSSAGQPSTAPLAPSEEDCNRSFPLE NVQEACLLRYWIEEISHWFDLCDESRHFHLVVPTVARHHPHLLNAVFAVAARHLSRLP QYKTQHGILYHGQFLPHLDEHSAVEYMLQCMPALRQFHDVRDDDCRDSIVATAVILRQ LEEIDHEDDHIQLYGQTAGVPSGAGKKVNFLAIIDAVLRSTPSQSVFGRRSLMQAAYW MALRQEIYHSFTHMEAPKLILPAEFWHSASPANKTVMHLAEVAKWKWADSSSVEWARL VEQQDYVEKNILADIRPIYKKPADKTRGEIFPTVWYRSNIEVTTMQFSLLAKSVLVAE NPQLKLYSASRSSWRLVENEVRLLLLEQSGIALCNPASPPALVHAAFGIQVYGEFFTD QYERRAIRTVVEKYRDTHAWPVQRLLDMFQ UV8b_04758 MSSDDQSSDDDASSSIISSDASSEAGGLVDLEAQESASSGESED EDDAPEHTFAKFTQLPPELRLRVWQLFCADLVARARVLQFSLSASSAAMRRPDHHSVK DHLTLADQTEALRIVMATHRESRSFAAEKYPDELGMDAGSGDAVVRFSRRADVVILRG LTTGRRYLLPGFAERVRNLGVVPDTQLEEAAPFLAGVFRNVARLYVIAAAEAESSARL RWCVTDYVHRYVVETYEKQPGLGEDTQSVFCWPDVDRHADFANYSLPRHLPRGQCAFL PGLETWPMVEFELESGVARYAALRKMKHTPLGVEDLHHGDGSDSDDEDSSEQDGGDGD SQDGEGTDLDEYESEGIDDGEIEIYDSGDSSEVETEPGDAGRFSSPEADDGGGAAPAP VPRSRKRKVVVDSDEDEQGDEPEMKRARRTGVGVVSDDEHGDGAGAEMRRGREKQVYS GGGSEDEGGQAEQPVRPSLAERLERLRQERVLSSASEEDDGEDDEDEDEEDEGEDDEE DDEDEDEDDEDDEEDDEEDEDEDEDQDQDNDGLLNIMAEEGSDDEQDQSDEGW UV8b_04759 MVWAFLSPAWLAVAGSLMIRADAQPYSIDTPDAIRQSARTLAYD LMLFYKGNQSGEIPGILPGPPDSGLGPYYWWEGGAMMGTYIDYWKWTGDSSYNKVVME GMLHQVGEHKNYMPRNHTLSLGNDDQGFWGLSAMLAAENKFPDPPEDQPQWLALAQAV WSTQADPSRYDETCGGGLRWQIPFSNKGYGYKNTIANGIFFNMGARLARYTQNDTYAK RAEQAWDWMINVGYIDTEIWHAYDGAHVDKNCTDVNKATFSYNAAILTQGAAFMYNYT NGGGKWKTAVDGLLDTLLETFFPNNTAYEVPCEGSNGKGTCTRDMLSFKGYVHRWLAV VTQIAPHTRDKILPVLRASTAAAIKQCTGGASGRQCGFYWILGRFIDPAVDKTTGAGE AMNVLGAVSSLLIDEAVPPLTNKTGGTSKGDATAGGKDNFERPLKPITMADKAGAGVV TFLLLGGAAGMFVWMSAFD UV8b_04760 MYFAQTIVAALLAATSAVAAPVADGPVNMMATVPRVIFEHVVRN CDAGDNSCTTTFTINPQRFDKQYVSFVNTRNGDTPASRNAGAAQSFGDYTITSGWSGQ FGPGNGFTVFSVVDHKHKLITYPSYTDKQLANGQVVTPDQSYAPANLN UV8b_04761 MASKKLTRTSQRAKSNSAAVEKPVKAKPAKSSPAAKKSSGRPEV DGKSRRDEKPATTKRKRVAADDEEEDQSRRKRTIKLEGPTEQRKAKTVPKKAAPEKAA PKKAAPKKAVPKKAAPKRAAKKEEAVSEERELLNQAPTEPIAVFSFGSGECGELGLGP KRTTALVPKFNANLDPSEASKPHVVQLACGGMHTIVLTSDNKILTWGVNDEGALGRDT TWEGGMKDLDGAASDSEDEDGELNPHECEPREVTFKNVPKDTIFTQVAAGDSCSFVLS ATGHVYGWGAFRDTKGARRFCYDKNGKPVEIQMEPVLIPELDNVIQIACGANHVLALD KSGQVWGWGSYEQNQLGRQPFGRYQSETLLPRQVRVCPRPIKYIASGEYHSFAVDKKD NVWAWGLNSFGEAGYAKEAGGDEAILPYPMKIPDLCGKGVTVLAGGAHHSGAVTAEGK CYVWGRLDGGQLGIQFSQSQLDDDTIVRRDEYNKPRICLRPTEVTEIGEVAHVACGTD HTLFVNRDGVGYATGFGSVGQLGLGNEDDQDSAQRMKGKDIKGRKLIGAAAGGQFSVV TSTAEVPTGE UV8b_04762 MTGDIGNIGNIVNGAGLAMTTNEDIEFHGGGERQSRCGRQTTKD TITQALRIMTRDQRVKAILVNMEGREIANLAPGLTRCDMIAESISQATSELDTKMPMV LEEADSELAMESYFGEAARRVVELAKSA UV8b_04763 MASAEKDPQAIAHARTLSNVPWCEQYERMISGQLYDAQAKELVD GRFRARRAMHEYNTHFPHDATPESLAADRQEMLAATFGRLGKRAFVEPPLSIDYGCNI TVGDDFYSNFNLVILDCGLVRIGSRVQFGPSVSIYAATHETGVQSRRDGVEYARGVDI GDDCWIGGSTTIMPGVRIGKGCTVGSGSVVTRSIPDFCVAVGAPARVVKSVEAVADL UV8b_04764 MLDHGREQGESGPVPPLNNSTTTVGDSPLPDDTSTDGYWGDTYA DGPVSESAARQDFQHLRRELSRRSTGASPAHRTRSRTSFIGRVFSSGQAGQAGPDAEK QDAYPDSEEAAAAPTDFELEQFIRQGHLEKRNPNGASTKKVGVLFKNLTVKGVGVNAV SVRTLPQAIAGTFGPDLYNHLCHWFPALDFRSRGKPRELIRDFTGVVRPGEMMLVLGR PGSGCSTFLRVIANNRAGYESVQGDVIYGGIPSSKMDKRFRGEATYNAEDDQHMPSLT VGQTLKFALLTKTKKQERGNIPLIVDSFLKMFAMSHTKDTLVGNAFIRGVSGGERKRV SIAETLTTKSSVTCWDNSTRGLDASTAFNYAKSLRIMTDVSHRTTLTTLYQAGEGIYN LMDRVLVIDQGRMLYQGPASEAKQYFVDLGFDCPPRQTTADFLTSLCDVNARQFRPGF EHCCPKTAEELERAFRGSRAYKALLDDVDGFEKYIDDTGRSDARTFIDSVRQAKSRTV MKQSVYTVSLWKQVLACTRREFWFLWGDKASLYTKFFVIISNGLIVSSLFYNTPGDTS GAFLRDGAGFFSIVFLGWLQLSELMKAVSGRAVINRHREYAFYRPSAVSLARVLVDLP LLAVQVVVFGLIMYFMTGLDRSASKFFIYLLFVYTNTFCVTALYRAFAAVSPTMDDAV RFAGITLNLLAVFAGYVIAKPVLLHQKIWFGWIFYVNPISYAFEAILSNEFGGRIMDC AQSQLVPQGPGISRENQGCAIAGSSPGSPQVAGSAYLSSQYEYSRSHLWRNFGILIAF TAGYIAFTVLATEKISFTGSGVGAVAFKSSKKPRQAAKMRNRADEEHTPPSEDETVGH VQRQTTPDRALEEEEEEEGSRSEQIFTWEGIGYTVPTAAGPKRLLNDINGYAKPGELV ALMGASGAGKTTLLNTLSQRQTVGVVQGTMLVDGRSLEADFQRRTGFVEQMDLHEGSA TVREELEFSALLRQSRDVPRQEKLDYVETVMELLDLGDIQDAMVESLGVEPRKRLTIG VELAAKPSLLLFLDEPTSGLDSQAALSIILFLRKLCSSGQAIVCTIHQPSSELIEHFD KILALNPGGNTFYSGPVGRNGQAVVDYFAARGSRCPEGKNVAEFLIETGARVSDREHW NEQWRRSDEYKALLREIGQIKLQRRSRQQAPPTQTPEAPTTMHEFAAPVSAQVRLLTT RMLMKQWRQPSYMYGRLFTSVIVGIVNGFTFWQLGDTVNDMQSRVFTSFVAMLIPGTV LNAVLPKFYADRALWEAREHPSRIYGWVAFCTSSLLSEIPGSLVAGLVYWALWYWPTG LPTDSATSGYVLLMTLLFFVFQSSWGQWICAWAPSFTVISNVVPFFLVTFGLFNGVVV PYEQLNVFWRYWLYYVNPSTYWIGGVLAATLENQPVRCAPNEAAYFDPPAGQTCGDFA AEFVRNAGRGYLDNPGDRRNCSYCPYSSGAEFLASLSIEPSHKWRDLAIFAAFCVSNW MLVYFFIYTVRVRRWSFGFGRLGRVL UV8b_04765 MDIAAAVCLLLRHEQARSGKDAPGQATRSSSYGISLGIRPAGTA LQGPRHVCVNSRIRPPVLPRQIALVVSPEYLRRATSWGCGPSTARTWPVWT UV8b_04766 MPPDVKASLRLIRPSVRQLKKEAAKAAVQKYQEVPLNERWEILK RKSNGANAHEEIAEIHEAVETSKGAARCLFGCGTFFNTSALARHVRKLHMHIFKTRFV CPEWKRMKREEAVIEANPGAWSSHVRRVHCKVYAPNLESLPDALCLLRGQ UV8b_04767 MGLVRKASLSKPPGEAGKSWPGIVIGFFVAFGGVLFGYDTGTIS GILAMGYWQDTFSTGYVNPNGHLDVTTNQESAIVSILSAGTFFGALMSPFLGDYTGRR IGLLISCWVFNLGVALQTAATSIPLFLAGRFFAGLGVGLISALIPLYQSETAPKWIRG AIVGAYQFSITVGLLLAAIVNNATQKRDDSGSYRIPIAVQFAWSLVLFFGMLVLPETP RFLVRSGKDVKAAKALGTLRRLPADHPAIRDELSEIKAHHEHEMSLGKPSYLQCFKPP ILKRQLTGMGLQALQQLTGINFIFYYGTKYFRNSGVSSGFVISMITSAINVCSTLPGM YMTDKWGRRPLLLFGAIGMCVSQFIVAMAGTFSSGQTADGAVTVTNLAGQKAAVAFSC IYIFFFASTWGPLAWVVTGEIFPLKVRARSLSMTTATNWLLNWAIAYSTPYLVNYGDG YANLQSKIFFIWFACCFLCIAFVYFYIYETKGLTLEEIDLMYTEVSSARKSKQWKPND TWVHRQSIAQQGGAEGGDVKGDEAGHGDQSPDASHLEKRAADV UV8b_04768 MGSYQPGREYDVVLAGGGTAACVVAGRLAEADPSLAILLVERGP NNLDDAAVLTPALYRSHLLPGSKTTIFYKANREAAINGRQAVVPAGGVLGGGSSINFM MYTRAQACDYDSWNARGWGADSLLPLARKLETFHFQGPQYDASLHGYDGPIHVSRSTY GSRAFQDDIMAAAEAVGEREVPDLQDFRTASGFSRWGGYISPQGQRQDAAHRYVHPLI QSGRCPNLHVLVETTVSRVLFQGTRAVGVEALPTGAGPDAKPLVVRARRLVVVSAGAL GTPQILERSGVGSRAVLAELGIPVVSDLPGVGEDYQDHNLAGYGYKSNLSPDETLDGL ISGRLDVAAAVRERNPILGWNGLDVAAKVRPTDEEVAEMGPDFQRLWDRDFKRQTERP LMLFAVASYFLGDPALLGEATGGGGGGGDDEGPHQCVTVGCYTAYPYSRGSIHIVSRD ASAAPSFNTGFLSHPADLAVLVWAYKKQRDMMRRTNAFVAEVPLSHPSFEPGSKAALL DRHPVEGGYASLEDRRHLPPVEYDERDNAAIEEHIRSGVGTAWHSCGTCKMAPRDKGG VVDEALNVYGTEGLKLADLSICPENVGANTNNTALIVGEKAAWIIGRELGLSISPLEG E UV8b_04769 MASPLLLASHGERHGSWNPARLMEPATATYPRQDEAHPNTSAVG AQPNLQGLTTSFFIPLPTAPQLSPPDEGHGGVSIFESLKRQIAVAEARGSGGNDKDMD DCVSDILKGAKATDGAYQLMQDVQVEQAHEAEGVLEPEFSRGYTRALHSPCGKDDPI UV8b_04770 MRLHTALAAALLPAAHSAAVPAAVPAAAPAAAPAAPGSGDHQVL HPQSDWSSRNCKEGTFLPGGAEWLLASASCSGRDFPNFAAVCSSSHDFGNPSARTIIS GKCPKGQVCLQYHSYSLYSQPMYDVACGPADHMIHFPVDTYAHGPHSMCGPAWTNDKD QHMHANFVINIYNEHQTDIEPAPSIIYLVGGRVKGSYRNEAVMQQQIDVPPHGAVKVC VGGKPGQLLHVRAGMYNVFWS UV8b_04771 MSSPLGDNPTSGNRGAGPRSGRKRPRNAGDDVASPAGLASSPMP SSPPAPFDHMHGIEDDDDVEDEPEIQDDLDDVDEMAEDDVDLFREGFEADYRSREDDA YEGVGIDDEGDFDDMDLGHRRRLEAQLARRDREVARRQRIPAAFLPGDEDDADLDLTA QPRRRRRHYDEDADDAMDADIMDEDLSLEALGDVKAENLTEWVSEPLVQRTVKREFRA FLTSYTDASGSSVYGNRIRALGEMNAESLEVSYEHLSESKAILAYFVANAPAEMLKLF DQVAMDVVLLHYPDYDQIHSEIHVRIFDLPVHYTLRQLRQSHLNCLVRVSGVVTRRSG VFPQLKYVKFDCSKCGVTLGPFQQESNVEVKITYCQSCQSRGPFTLNSEKTVYRNYQK LTLQESPGTVPAGRLPRTREVILLWDLIDKAKPGEEIEVTGIYRNSYNAQLNNRNGFP VFATILEANNVIKAHDQLAGFRMTEEDEQEIRKLARDADVVDKIVNSIAPSIYGHTDI KTAVALSLFGGVSKITRGQHHVRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQ GASAVGLTASVRRDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQ TISISKGGIVTTLQARCGIIAAANPQGGRYNSALPFSANVNLTEPILSRFDILCVVRD TVEPEEDGRLARFIVSSHSRSHPVRGTAAQGSMQVERDAAALSETQDTASGGDSSARA ETWPDTAAAAAAGATKEGEIPQQLLRKYILYARERCHPKLFQMDEDKVARLFADMRRE SLAAGAYPITVRHLEAIIRISEAFCRMRLSEYCSAQDIDRAIAVTVDSFVGSQKLSCK KALSRAFAKYTLRAPRTGAGAGASRRRGAASA UV8b_04772 MSSDSLQMHEFQPHTKQQTTPSASDRGIAFKTRSPSACRECRRR KQKCSLTHPCSNCARRFPQPVCEYGASKIKRPRWASPPRREHSTQAGGGGLYSHLDMD SIHDAIIEVEGKVDLGVAVDDVSGIHTHVLPKTFRLTHRKRPVYSMEDEPWAGDKPFV MRFRDKTGLLKQQTLIELVQFILQTRLRVANRIVQDEWEIDGMQLIRALHARNQPSHD ISSFDDQIQRLPMAPTRMNKELVRTHLQLLSRFKVSLDGRPQPNNRFMKHWIPFSIQD RLVLHVILCTTASFLNETGLLPKTLLHIHRATVTKMLNEYISSPTLCTGDSAILAVTQ LILTSWYWSSTEELHAHMSGFRKMIQLRGGCRDLGMEGCTSKIALLNDAVIALCHNTD PVIFGQPGFEYDDPFHAPLQVNFNSPLLFNCPPFLIPSSSLRLHKRTAEILDGTRVLM QAVYDLPEDAGIAKVHEVSSLAASLLSFLNQLPDQVLLESNGDRDNAPVFTGQKRKRV GGEDTIVSSKKSHGASLVTTRSSTEDPPDMVYVTVRLTAVVWARAILERLPTKDVCTE AEFIRIWSMAWAAGLDKWSSLSGIYAWMTIAIGPLCHTTIHARMVKTLTVTTFTYMGT ENWHVASEIATVALKIQAWLRAGKESKPQGALSGAFGGEKGIETFGFAFKENTMDLPD HRYESQPHEEEDDDDDDEQSAWAS UV8b_04773 MDAYGVRRKDTTKGPPLRVLSLDGGGVRGYSMLIIVQELMHRTF VEVQGRAPRRHEIPKPCDHFDLIVGTGTGGLIALMLGRMRLDLETCKELYVRMTRMVF ETDKTFAGIPYRSTLFKASKLEEAIQQAVQEHTIHEGEGNDGRQEVDLMSPLNPAQYS SAAGPRRNASNASTVSFSARSPSAQLARPAFNPRHGNPHARLYDARETRTKTAVTAVY QGSPRGSPPAMLRSYDSRREPPPEFDCKIWQAGRATSAIGLAFKPIRIGQSIFHDDGS GTFNPAPEALDEAVVNEWPGREIGVFVSVGTGKRPKSSDSNQNAWYEGFLGDFAEARR RLIAKIEGCEKIHEYMLREHLIKRGVNVETYYRLNVEVGVGEFGMNEWHRLGDISTGT RRYMARETEQKMIQGISTKLAKIFKAKLRWDRAQLGIPELVKSTSATNFDMPLAVELP GDMPTSLSSPPPRSPPSRSSFDSGSDSLQVASNQLASPRSSHDRIRPNTGNSRNPGAM TPLGDDPDRLLVSAPSPNQYYISSDTDKIAVMSLDELPRPPPSQQVAPPPTRIEPPPL PPKTPLPDGNRLRQAHAPTSSRISQSSIPPYPVDDDEPPPPVNMARKPDYRAH UV8b_04774 MEPSSKKRKLAPKVNASPAPNSQPSVPQYTREPSLSQGQHYAVQ EAPLIERQDFESFARHLQDAAMLIQRQTERPPHNDVSVLLLSWEEDRSVDYDVLAFQQ VLETKYNFSTYRWQIPTVPNPSIKLGVQMASFLENARPNHLRIVYYAGHGYVGGDGHL YWACNARDDSAKLKWDGVRCLFEDAQSDILLLLDTCAIPDPPTSGSHGIKQGIAATMP EHNALEYSARSFTASMIEAFVALQKLYKGRPFGVNRLFEEIVAQKYMRADAASVQPQL PLLFTLTPGQSRNLMLAPLPPRPDPFMQNGGDVSDDQGKRDGGDDLIDPESVSNLRFD ENRILVCTTFVGDASPDMSFFQQWLQNTPPLGSRIAVEGMFLGPPTMLLISMPRSVWS IVQHDKVCCFLGYISSHNMIHLYEKMLGPGGVRPFDKKIEDGKILVQASEVGAVTPAR IHGDQDTHERVYHAPGPREENFKAEGTTLLPQTSPPLLPFGVEAPEDEGEVEDTAEMQ EAAEQLKALSHVQHRGNEAAPGERMRTILPDSVSEAARENARLSQGPGDNIGRDSATL MDMQVAPGSRGKGRRRSLTKQETRCSHCSHAPFRDSSSLRKHIAAAHTRPFPCAFSFA GCTSTFGSKNEWKRHIASQHLCLQFYRCSACPQATIESKGNEFNRKDLFTQHLRRMHA PFQIKRAISQGDEQLQSEWETHVKGMQQTCLVTRRQPPQKAACPKLDCRKEFDGQTAW DEWTEHVGRHMEKGEGPVLEVDGLLVQWALDEGIIEPKGDGEYRLCSSYYPLSYQSSS GPMLGRNDSIFTSLADSCQVDEVLAVGEAKLEVDEG UV8b_04775 MKHWKSLLLSGLASGGAVINRSDGDNALAKCPGYKASNVKTSAH GLTARLSLAGEACNVYGEDLKNLILEVTYESDNRLHVKIQDEENQVYQVPEAVFPRPT GKSSPSSSKLQFHYTASPFSFKVSRRHSHEVLFDTSAASLVFESQYLRLRTKLPKDPY LYGLGEHSDPLRLNTTKYIRTMWNQDSFALPEGANLYGTQPFYLEHRESGSHGVFLLN SNGMDVMIDKTSDGSQYLEYNTLGGVFDLWFFSGNTPTKVAQQYAEIAGNPVMQPYWG LGFHQCRYGYRDVYEVAEVVYNYSKAGIPLETMWTDIDYMDRRRVFSLDPERYPLHKM RQLVSHLHEHDQHYILMVDPAVAYQDYAPLHVGIQDDIFLKRNNGSVWIGVVWPGVTV FPDWFSKNIAKYWTGMFASFFDKKTGVDIDALWIDMNEPSSFPCNFPCDDPYATAKGY PPPAPPVRENPRELPGWPCDFQPPSTGCKRSRGALPHLARSPVAESPRDIDVLARSAG NNDGRQPEARATRNGNRKGLPGRDLLYPKYAIHNKAAYQDSWNADKGGLSNHTVNTDI MHENGLAMYDTHNMYGSMMSIASREAMLARRPGLRPMIITRSTFSGAGSKVGHWLGDN LSSWDMYRNSIREMLAFTSIYGFSMVGSDVCGFGGNTTEELCARWASLGAFSTFYRNH NGDTSIPQEFYLWDSVAESARRAIAVRYRLLDYIYTAMYRASTDGTPAVQPVFYHYPR DKATWALELQYFFGPGLLVAPVTQQGSTSVDVYLPNDLFFDWYTHQPVRGGAKVHTLR DVNTTTIPLFIRAGVILPARLNATYTTAELRKQDFELIVPVDGPRGEAKGQLYLDDGV SIDQKGRHSLINFAYKNGCLSIDGVFNYKGPARISKITFLGLQRKPSKGKGGRSLGGD EPASSTYDVNLPLDKAATFNALES UV8b_04776 MTFALPSNPGTGTTNNTVQHPQVSQVQSQAQPSSQQHHRQQPPQ PQHHQYPLSQAQQVRHQQQLQHQQQQQQQQQQQLAMNGTNGANMGGGGPPMHSGPMPA GHQAELNYIYGMVEELSRQLADNRRVTEDIVSGLGRVRNRAKTQGLSNDDLIKSAAAD LQADEQNLDTLISILSESLEKANFARDANAALLSQYANALALMLKQFHEYKTKHISDV ATWHRSYRGQLDEARQENSRLREQIWEMQTHARRANENLRQFRRKYDENENRWEQRVE NTALRQELRFWKRMAMPELQDDDPFWSDDDDVIDPAEKERLKELERRAAQEQIMGGQM EEDAEGAQAHQMPVPLSLMGGIAMQRDEPGARAMPILPPRPSSAASSTGSTGQ UV8b_04777 MATAPPAGKAASASNHAAEDSQTAADFLRHQELLEADAREALPY SIESCTKILGPLRQQVYSCLTCNPPPTDDAPDWKPAGLCYACSVQCHGQHRLVEIFQK RNFTCDCGTTRIPRESPCTLRTNGENNTRGNVHSEEPDINNRYNKNFRNVFCGCDCDY DPHSQIGTMYQCLGLGTVEQGGCGEDWYHPGCLVGLGPKWYEKMGNAKKPSTKGAGGA NGDGLATISEGNEDQLDAVAGEAAAAAAAAAAAAAAAAAAAAAAEDDDANDDPPMPFG FPDEDDFLGFLCYKCVDAHPWIKRYVGTPGFLPAVFLDPSGNEAARAAKPETPSTPPD GCSKRKAEEDAQPPTSVKRVKDESEPAGLAATAPITDQRAPSRPEAAPPLGCKLPQLP APPAARFSLFFGQAFRDQLCRCPDCYRLLHTHPQLLEEEDVYEPPLSEDGASQHGGST HGSGSLLERGESALRNVDRVRAIEGAMAYNHLKEQLKPFFQQFAESGKVVSAEDIKSY FAKLRGDEHGIEQAGQAAAGSKDDSHGDGRREQSGY UV8b_04778 MVKDASIWTIVHAAVTGFVVVPIFMVGVLTLVLARQRDDAARKS FRWLKWCHPLLLVSLTCIIAADVLNVVLFSWQNDSGYYDTAHHTHDEISSVIRSERYL SFTGNLFEHLVDLIFVTVLVELGNGLMYSLDRQPSAYQARLRYASYAATMVLATFALT YFGQPTAAWMAYWNGSETNSSYAQLIQSLKVVGKIGASFYIPSWIVSIWQVAYASFVL HKHKAGVLTRHVAILYVTTAVLDFIRWTLFVILYAQFILPASDSPWWWTLVDALGNTW LRFVQLVLLLIIGMRRKKGIWTTHQRWMATSMSNEASDMASTMAGPSPTTAPGTAYHH AYYPQSKPVSPASTEPAWYASQEMPAWQNNEQAMPQPVIIMPREFESMQYQYVPHPLL GPGYLVLKSQPQIQELQQQQEAEQEQQPVTPIDQATGQETA UV8b_04779 MRKEHGLDGQVGDSAQGSGLLRGVGEPRDEAEAFRRRQKDGPRG RQLVVRGYGPLRRGSILGDGLSRPAHAFARRGRLRHDGQGDDDGPDVSASEDTLVLVV RVVRVEMGPRPAGDLGGCFLGGSGRARVERFERQELVAQHGRLVMPVSSVPLARSKRK RERGR UV8b_04780 MPPPLADRKSRTREHYPFLLDYRTRWNDNDMYNHMNNSVYDFLF DSAVNAYLLEHCGLDPRSAPQHPVVVRTSTDYCAPIAYPAVAEVGVRVARLGRSSVAF ELGLFEQGVGGVKAVCDFVHVFVDRATGRPAAAGMAPHVRRGLEKLCRGEEAADGRSR L UV8b_04781 MADLHVEQVLQKLTLSEKCDLLAGIDFWHTKALPKHGIPSLRMS DGPNGVRGTRFFNGVPSACFPCGTALGSTFNQALLEEAGRKMGQEALAKSAHVILGPT VNMQRSPLGGRGFESLGEDPFLAGLGAAALVRGIQSTGVQAAIKHFLCNDQEDKRMGV QSIVTERALREIYALPFQLAVRDARPGAFMTAYNGVNGTMCSENKKYLDGMLRKEWGW DGLVVSDWYGTYSTTAAVVAGLDVEMPGPPRFRGEALKFNASTDKPFPHVIDERVRAV LKLVKKVSGLGIQEFGPEEEANTPETAALLRRIGNESIVLLKNERNVLPLKREGKTLV VGPNAKVATYHGGGSAALPAYYAVTPYDGISGKLGLSPEYTVGAYTHRFLPLLGPQCT DPEGGRQGMRWTVYNQPPGTPDRTPVDVLFLSKTEMHLVDYHNPDLADVWYADMEGSL VAEEDCVYELGVVVAGTAKAFVDDELVVDNATKQVAGDAFFGTATREERGCFEMSKGA TYRLRIEFASAPTYSLKAGDAQVPGHGSLRVGGCKVIDGDEEIRKAVRLAEDHDQVVI CAGLNSDWETEGADRSSMKLPGLLDQLISRVAAANPNTAVVMQTGTPEEMPWLDQTPA VIQAWYGGNETGNCIADVLFGDANPSGKLSLSFPRRLQDVPSFLNYRAEAGRTLYGED VYVGYRYYELADRQVNFAFGHGLSYTTFAFSDLSVAVAEGKLTVTLEVRNTGSVRGSQ VAQMYIQPRQAAKVNRPVKELRGFAKVELEAGETKTVTMTELEKYAAAYWDEERDQWC VEAGDYDVIVSDSSAVCDEGPSVVRASFAIGETYWWSGV UV8b_04782 MWLRTRGFAPATWPPSKPPPALRRYVPSGHRASLPCPALPCPAL PCPALQHPSVATTLALRIEHISQGCTIAMPCHGLRLSTRNPTTPPRTCTAHAQHSTCI CHQAFAASTQHPAPNTTTPR UV8b_04783 MPRSSSAEPTKPSSSKRKGTRSVSTLTPSQLARKRANDREAQRA IRARTKEHIERLERELDELRSVQNRDKTVQDLMRRNRALEDELRQLKDSMGVSITSSP YSAPTVYDDSCGTFASPRMSPLPAGGADYIPDYSPTSVTSVTSVTAAAAAAQQQYVPM PNCEAWASTLPSSVPSPASSVHTEEYGPASGYIPTSVPSNMMGAAAIELVNETYLHQN QQQQAQHQQQRLQNWNNMYTAMYYDGGSQNAACISR UV8b_04784 MEETGMNGSGAAAATETTTTASIPAPAPAPAPACSAAAIARQKE ESQEAAAQARARFAQGKAGDAGGPLITPVTSVWPPPYYFEGDLRRVRPYFWTYNTYCK ERWRGRSLIEVFESEFRDRPAEYYRNTMETGDIFVNGKRVGPDYVLRNGDLISHTMHR HEPPVTSEPVGVIHEDEEMIVINKPSGVPVHPAGRYKFNSVLEIMKAERGEQFMPYPC NRLDRLTSGIMFVAKSVKAAEALGSQIKSRTVRKEYMARVVGEFPEGEVVCDQPILSI SPKLGLNRVRANGKPARTVFKRLAYYPPPPPHPRPPRNDDRGGSGVEGGSAGEEPARR DGRPEASEGSEGRPWTNKAGYSIVRCLPVTGRTHQIRVHLQYLGHPIQNDPIYANQRV WGFKLGRQDADGTETTDEDVISRLSRMGKEEVAQAVVYYDEMVDKYEKQRAEKMTGET CRVCATPLYSDPGMHELSLWLHSLRYEDADGAWSYKSPLPTWALPPEGMAGPTAVGGM EELVDAVKDEDLVAVG UV8b_04785 MAAPPPTVPSLKASFIIAQTNLLSQPLAPSRTWVRTNDASDQPI PARLLDDALFSLNQTIQQHCRRVYPPQASYNVAEQISNSYARDAEERVSRLKESESTL GKELDFAADDAIESLPTSWPVEADVKSHPAEAQDYKAIVKRLTQLSERRRQLKLRVQQ LRRIDTAIKPLSATDQNPIQDNLVTRGGPVEKELERMRVLLARVTGRVAALSDESPNT RSAAGNTSIVDLNDLTKARKRNVDKFLSDPRVFPP UV8b_04786 MATKTSSVIRCAAHDEQSDAQQVFDDYMSAQWKKWPNEGAFDAL EEQRGPVELKVKGCIPSWAAGSLYRTGPGQSAVENTSRGTHRVSHWFDGFARTHKFDI IPPTPDSKQCSVVYSSRCQSEEFIQTIKKKGWRVDVSFGQKRDPCIGIFAKLMSLFVP RQINNNVAIIPDLPGLGNGQAAAKNSGGHRSAPSNVYASTDNSILQKLDPNTLEPLGR ATQADLHPDLKGLLSCAHAQRDPETGDVFNFNLDLGRRATYRIFRVNADSGTTDILAT ISDPDLPAAYIHSLFLTQNYVILCVPASHFAWRGIKILWERNIIDAIKPFDKSQRCKW LVVDRRRGRGIVARFSSPASFFFHSVNAFEETAKDDAGNEATSIHLDSVTYPNTDIMH MFYYDVLMNRDEAATKALVDKGIFRLANARLVRHKFTLPASPACPQSSGEDAYAQTAR EVFSIPGPHSGELPVINATRAGKPYRYVYGVSSRGRSIFMDSIVKTDVETREALVWNG PVGHTPGEPIFVPRPGGAEEDDGVILSLVLDGSAQTSYLLCLDAQTFGELGRAETEFA IPLGLHGCHVSR UV8b_04787 MPRDPPLRHFSDVDELSAPPVSEAASRAAGIASWTAFQPGRDYE PANLDDARDVAQERASLADSLGIYPENRASDAASLRRVAVGSKSRHAASSPCSSDPFS PPGSTQYLLGNPASPASPASPASPASPASPLRRISRRFKSFTWASPSSWTNETWQRQA SGEEGRARDAAPPSPETEPKPQASSSPGSPDAEPGDFDDQVFRTRFGEIPDYCWSKHD VQKKRSSFAYIAFFLLCSYSCVMSLFWLYVALRQPGWKQRLSSKGLLTPSTATLLTAL LAKTIELSFVTVVIACLGQVLTRRSFARGSRGLTLAEMTMRNWVIQPGSLFTHFETLP YAGGTLLGALTMAASLAAMFYTTASDAMVAPKLKFQGWARRELVGAFASSYANVGYVK AVCPDLLAAPASTQDNQDACLSVQFSGQSYRNLMSFMSTWAATRENRTSAATRLADRP PGTNMLYDNATMTAAWIETRHGNVTAGHALHGRIVNNVTMAMPHPGVYDAARLRDNRI MQPDELSGVGEYAIRAGVVSPAVNVLCVNMDRQELAPLVYTAWPDSDTRPTGVGNQTT GPDSWARSVPPYVTEDGEPDYLNRTAVDDIFRWGPAYNRWPPVFSLYPSDYNMVANST VHDSDSIYLLGKSSAMANYTLCQMRSWVSPLCSTWLDMSGTRGISMTAHCEDPDDPDA YHRSFPADQEWPPPSTDWKWIGDIWRLSMDLNGGFHNDNAANARILTQLALTQPRLLP YLPSMAEALAAYSGSALALGAVNTPLRHSWDFALPGNQLKTEARQRFNATLTTQEYTS GHAAPWQTLFYAVLAVVPALSLCCLYYTLRRPGLVTDLTEPQNLFALAINSPPSAQIK GSCGGGPRGRDLVVPWRVAYAPSANHYFFDEAAERPWRGKYAAEGATKARPVVDGASS YKRLSAGRGWL UV8b_04788 MPAPATCRVRGRGCGCACGCGFRSRSRSRSRSRSRSRRKRVIHV VRSRTRAGSVAEPFDLRLAETTPPGKPKNKTTPQEAIDEFWARFTSRNPGKATTVIPS DRLVKNLLQRSVTVASDAAAASCEKTPPPRAADAVARAEPVSASYDAAAAVCRAKVDK IVRECRRVNKKYRDAHFDIEADLKTGTRNCLESLRNLRGAACAPGSAFKPRGVKRVTD IFDDPTFYVDGPTANDVRQGRDSGDCWFLSALCTLSNKKGIIERLCVAHDQDVGVYGF VFHRDGEWISEIVDDFLYLTKSDYDEAAVDRILFDELERVNAEELYRKAYQSNSGALY FAQCSHQQETWLPLLEKCYAKAHGDFAAIEGGFGGEGIEDMTGGITSEIFTSDILDKE LFWKELVSANRDFLFSCGTGVWGSGWGERGGIIELHSYSVQKVVEMDGKRLVRLKNPW GKGEWKGAWSDGSKEWTPEWLEKLGHRFGDDGDFWIEYKDLLRKYQSFERTRLFEGNW RVARTWTTVHVPWLAGYHDTYFSVGVGAPGRVVVVLAQLDDRYFRGLQGQYHFRLSFR VHRAGREDYLVRSQLHQRLRRSVSVELELDEGEYDVRIRFDAGRDHGRLPVEDVVRMN AKHNPGKLASIGLAYDLAHSKGAVVETAEEEAAREAYRRRVEDARRQKVKRELQEERE KAYYMRRKELERGRARARKRRAKQRGGGDAEGNGQQEAEDVSKGGLSSEEKQQQQQQQ PLPEDQSGEGLQDNAGKNAGPVESGSPRRQRRQQRQHCAWEEAPGEDELAEDSIDSMS EYSDREMDIRASALLARLDASQAKVEGGREAGGEPDEFESDPWNAVAVVGLRVYHQSK DGEDGEDAGKDAVRVRVVRPNPYAGADEARTQGLDVDDSAKDATLTGEVKDRKESILG DKRRPDGGTVERR UV8b_04789 MLVLRSVKCLMGVGVLSPSCCHAAGLDGEAAARGKGTQRARLDV RNGGGSLARLVLSDQSPSVCLAVWVHERVFVCVSVV UV8b_04790 MSSLSRRACYKCGNVGHYAEVCSSTERLCYNCKQPGHESNGCPL PRTTEAKQCYHCQGLGHVQADCPTLRLSSNPTSGRCYNCGQPGHLARACPNPVGPMGR GAPMGRGGFAGGFAGRGGFAGGPRPATCYKCGGPNHYARDCQAQAMKCYACGKLGHIS RDCTAPNGGPLNTAGKSCYQCGEAGHISRDCPQKNANGEMAPKVDIGSVPTAAAPVAP IAPVA UV8b_04791 MERRGFSPLLSLATLPPVMGGMREERVEAVVARASLTARGFELH SCDAMRCDAVRSGTEW UV8b_04792 MSCPHLESFRLTPPTPLQSVYREDCTQCFDSIDDATGLDVCLLC FNGGCAGTRLHSNLHGSLMSHPLVLNIRRTRKAVVRDEPPAKMSKLAIAAETEEDRYD MALAARCLDCNINLDITNSKLTPVVDGIMKANTFSRKEEVKAWEQELTSCEHILLLQQ HESREIEQGQLGHCSMCDLRENLWLCLECGNLGCGRKQLGGVDGNSHALAHSKQIGHG VAVKLGSITPEGTADIYCYKCDEERIDENLGEHLAHWGIMLAERQKTEKSLTEMQIEQ NLKWDFSMTTEDGKELKPLFGPGLTGLRNLGNSCYLASILQCLFDLVAFRDRYFRPND DLPVVEEPAADLETQLRKMADGLWSGRYSKPDVDLSTDSDVRHQKGLAPAMLKHLIGR GHNEFSTMRQQDAFELLQHLFKLITRSLHPGDLKDPTSQFRFVLEQKLQCLSCNKVKY SFSEQDNLFIDVPLEKLPAEDGSETPGVGYRPVSLKECLDIITAPEKVELTCSSCGGK DGFSKQSLFKTFPEALAVNARKMTMVNWVPIKVDVPVIIPDEPFLLDDYLSKGLQPFE EQLPEEPEPQTAAFVPDATALAMLEGMGFPLNRCEKALHATGNSDANAAMEWLFAHLE DPDIDAPLDLAGEGKGAAGNTADPEKIELLGAMGFGAPQAKKALKETSGDVERAVEWL FSHPDDQGLLEDEADGTHVRPATPKEFAGSAALPAKFQLQSIVCHKGTSIHAGHYVAF IRKQLGSEATPSWVLFNDEKVVEATDVDEMRKFAYVYFFNRV UV8b_04793 MSSREQRPKEKDKDRDKSKVHKLSLKGSARLVAEFFQYSIHTIL FQRGVYPAEDFTAVKKYGLNMLVSADDQVKAYIKKIMSQLDKWMVGGKISKLVIVITD KDTGEHVERWQFDVQLSQPAKPKSKSTPQPDQENAPPGAKSAADSEKTEAEIQAEIAA IFRQITASVTFLPQLNGDCTFNVLVYADADSDVPVEWGDSDAKEIANGEHVQLRGFST ANHRVDTLVSYRLGD UV8b_04794 MCLSLLAQVMESTARSSELYIMAMLTIGGHILLVPIYAWRVITC CNSSWGALHHSQSQRIPRVLRVVDFVTVVVASSVAVWLFAVRLPEPGMFCNEYGFLFG KASMRDWSFAVSNTALYICILVVCVALVLLRWVCLLHKVNSRRYSRLKERCRRTSHAR YLASVRVLSDTVVWAMLIATIEMTIVYNDLGAAQDFGAAAQLFAFSATLVTIVRAWWL RFNADGEGSSERVAQYYRWPGTYEEWAAARENMHEYQQAMDAARDNERLLRQWRRQVR KAYRANYQGFES UV8b_04795 MAAVRHDTEVVQVQSGPEDREETMSAKMGVFLRKNLNKGEVALK HAIGLGAQPNVVPETGSVLDGPPRPVLVGWHPVGGLAGKWFSERTGLGKLITDKINKY PDPTQHWAVLVGDYAHQLWMDENFDIIYTNQRVQHEEWRTFHVGVTRFNDDATRRAGE SVIQAIREKQPGYNLITNNCQTYALQLLDAIKVGARKEFATTLAVYERLVGPGRIKDL FVESQPPEGQPGSQGSFQEGTVSLASQVMHDNTTQLDTGVANGDNESETQADDADGAA NGDRGMGEGDDGKPSKTRKFFNRLLKR UV8b_04796 MAATKHAIVEEEPTRPRKKSKLRDGDDVSDKAQSKKDRKDRKDK EDKKDKKDKTAKKRKLEEDSKADVENVDPIEPEAPSKAEKKAKKKEKKAKKLREAEES LETSNTCDDAPQQDKAPEKKRRNKDEQALLLSGNGNGSGSGSGSVLAGVYTQTMSLSE VPQADIDDFLAANQVTITDPQKKTSALRPVTEFRHLPATNLLEKTPSPFAGFKAPTPI QAASWPSTLSGRDVVGVAETGSGKTMAFALPCVEAVSAVGEKGTTKAVVVSPTRELAM QTHTQLASLAALARLKCVCLFGGSSKDEQRALVGRGADIIVATPGRLKDFMSDGTVDL GGCRFAVLDEADRMLDKGFEDDIKQILGACAPRERRQTLMFTATWPQSVQALASTFMV DPVKITIGSGGKETENGSVELQANARITQRVEVVDPKDKEFRLLQILKQHQQGKRKDD RILVFCLYKKEATRIENFLSRKGIRVGGIHGDLKQEQRTRSLEAFKSGQTPVLVATDV AARGLDIPQVKLVVNVTFPLTIEDYVHRIGRTGRAGNTGEAHTLFTIQDKAHSGSLVN ILRGANQAVPEDLLKFGTTVKKKTHDMYGSFFKDVDMTQKSTKITFD UV8b_04797 MARPRAENTPGHAQAAADAADAAAAAPLPAPSPPSMVKAASLLI LLQLASRLLTFVVNQLLLRFLTAPLLGVSTQLEVYYLSVLFFAREALRVAIQRQSPPP QRHDAAARCQAVVNLGYLAVLLGSVLSAALGKLYMSYARESRLAAETPYLTESVYLYG AAALTELLSEPCFVLMQARLQFGTRAAAESVATLARCAVVLASAVWASSRRASLGVLP FALGQLTYGAALLLVYLVSGRGLASALGFSLAPRPVGGGDGLSASYFCKPTVRLAASM TAQSVVKHVLTQGDTFLVSLLSTPAVQGTYALANNYGGLVARLVLQPVEESSRRYFSS LLAAGSAADAEPAAAAVEEASRSLRTLARLYLLLSAIVVGVGPPACPALLAIVAGRQW SQHGAGDVLGVYCYYVPFLALNGLAEAFVASVATEAQIHRQSVWMGAFSLAFAASAVL FMRVLGLGAQGLILANMVNMLCRIVWSAVFIRRFFAGNRSSFGLRGLVPAGAASLSLC TLVVVRRTGVAATAAVEPFPALAKMAASAVPLVAAMAFLERRFLVECARHVGGRTGGR VP UV8b_04798 MHSAVVLVAASVALYLVLHWRRRTPPGGGAPLPPGPKPLPVLGN VLSLPPRGAPEYAHWLQFRRYGPVSAITALGQVVVIIHGRDDARQILDKMSTRTSDRP RSAFVTMSGFDRFLPGSPYGDRWRRQRKMMHQYLGTGRLSRRFDGIQDKESRRLLFRV LTEPAGLFEHFKTEASAIILHMTYGYTIEPDSPDPLVKLIDRMMDNFTKTMVPLSWMV DVVPALKLVPGLAFKATARQFRAVNDLVSNAPYRFVRKQMAAGAHCPSFVSCLVEENT RSGDRTLDPTSEQDIKDAAGILYGGGADTTAGVLGAFALAMAMFPEVQRKAQREIDAV VGPDRLPGLQDQERLPYVSAVAKEALRWFPVAPVNTTHATTDDIHYKGYLIPKGAYLL VSLWWLTRDPETHPSPESFDPERYLAPRNEPDPADIVFGYGRRICPGRFLAEQSLFVT IARLLATFTLAGVQGEEQPRLEFTPGLVSHPVKFPLKVEPRSERHAQLVRAGADWSLD KSDAGALAAEADDIQRAL UV8b_04799 MGCVTTSSYLTRCLSTLCTHTTLGRDWELGTPRLPSLAPDGLDS KGKPGPPLCVHLVGDAAASILAKDVTGSPGRDPPRDKRPTIWSTSAIASKPCRSNSPP GTRLSQTQAELTGTQSLKRVCLHTMRSLAKTQAASPAAATSNFML UV8b_04800 MLRRVPPLNAAFRSARPIVKLIIPKSAYSCTAPLAMAQEFKLKD LTSLSLAPGSKQEVEVEGIEGGKVLLVNAGGNIQAIGARCTHYGAPLAKGVLSSDGHI KCPWHGACFKISNGDVEEAPALDALPVFKVTQRDGSVYVAGDESAIKTSKRRPNFACN AAASSPGNVVIIGGGSGALGAVEGLRENGFSGGITVISNEGYYPIDRPKLSKSLMSDL SKLQWRDKSWYDSGNVEWVDGEATSVDFAARTVTTKSGASISYNKLIIATGGTARTLP INGFRLLGNIFTLRNVHNVKAIVEAIGDKGKKVVIIGASFIGMEVANATCKDNAVTVV DASKVPLERVLGAKVGAGIQKSVEGKGVKFYMEAGVEKAEPSTSDPSKVGAVVLQDGT RLEADLVIQGVGVAPATEFLRDNSILRLEQDGSILTDDNFQVAGLKDVYAVGDIATHP YSGPGGEGKLVRIEHWNVAQNSGRHVAHHIVNPATKRSHFVPIFWSALGAQMRYCGNV STGWDDVIVDGDPAEAKFVAYYTKGETVVAMASMGRDPLMSQTSELMRLDKMPSKTHL QGGIDLMAIAV UV8b_04801 MASRSRNGTPDASLQARHRRQQNHAHLLHCCCGSDECALLRRNS SILESVEKDVHMAAQLGQALLARHEAYMADAERQRLDLNARIERLEMDNQELTLENTK KIEENRSLLEQLEALNNTLCDSDLKIKTLESSLLLSQQAVSRLETAAKRAADAERHLF ALEEEQDRLQNELIATKDDARSHAQRFKEAQRGIMGMQDQLERMEEEARQERDRHAEV VARMERQREIEKQLDTAAGRLKGAAATKSLQDQKHSSRIVGHFVKDLLQDNANLQLGM AELREMLMNSNDEIQSLRDQLLHHQPLPHQDSNAPSTLRAELEPMLDAPSLSQQLHIH HHYHLAPKHEHKRPRKKRQSLLPGVSTPAAISAPSSPRRSGQWGLVSRQTAPGLLNHT PPPSLSNPNPPPWQASSQPSSENSSSVPSSPQTRQLGVFDSNLNDSDAVTSPTTSFDP LSPTWRASHCQRQSVSSQRSFQSLAMSLLDPGPDTPPNCQTIYSNCAGKTIKEEDEEE QSLPTLKQVPERVRLDAMATEDSSVDGDSDFSRDQFMHARRLHRASSHESIMSLTGGL DIHTLKSRPSQMTLRPLGGADAVVTGVVARPTLSRASAKRSDAALRDYFAGFQTARAI PNPAAQSLSSSPASSEGASRTLGKWAGWRPWGGSATSSPGGPPPVIESAESDALKDKA KNRIVEKEWLRVPGINQPGAIPGFQQYWFSQKRKGAPAQVTSKIVDQDALAEVLK UV8b_04802 MKFLPLATAVLSATHAAALSSKDITHGIDDITALSSQAEKLLKE LSPVNLSANLPAIYGNLTDLVNVVVKDIRNVGASASVVPNKDQQDICHALAKLLQAQK SLATTVSGKESVLPASPLSGALVSIIKILQGVVDNLAASVVGTAPTCKEAVAKALQDL GSAFDQTIVKLSDVLSLSASLGLGGLANVKLDLGVKRRRI UV8b_04803 MNSSQQLLAAVNMPAPTATANVANANAIVVAISAIGTAPQAMPF SSDQSQSQYRRGDANYPAFRATSYDVLFNFVFEWPGKARLLGGESRGQKP UV8b_04804 MGSELGVTADSKSQLNLDSVGVWWISWAGFWTLLVLCGMGYLLV NRRSQILRIRGIGLSLSAVVLLHLYWFSVQLGYVVGAVSPAEAEYWIMGTYLPLGIAL FHASNSRFLYVARAQQKYLSRLLSRPGKRPSRGLFGRFNRWDFTTKMVVLVGLGMCLQ LFLAIFMYLISRKFHSGWGIPGTEVDGTEMEQRMRTGRGWEWWPSIFWQVIWAWFVAP CILWKSRNIRDTHGWRVQTIGCALAGLHATPMWLIALYVPAMEPVNRYVLPPQWIALS IWALEILTVFLPCWEVYSASNLRQETLDSIAQWESKNKAAASGTRSLRTASTVMESLM TGLKSTAGSVKSTDSQESILTMSALEYVLERNPAPLQEFSCLRDFSGENIAFLTCVAE WKSSLPASARCGVNDESARELVRERFNRALRIYAEFVSIKDAEFPLNLASAELGKLQA VFEPAARTLYGEKRDVDAAVPFGISGLPPAKSKSCAASSQGSEKEASGGGAEDRVQYW GEIPDSFDEKVFDDAEKDVKYLVLTNTWPKFVKDRRSLLDSETAETGRLILGMFRGRH K UV8b_04805 MAATRLTFRKATVSDARDVQALVKTAYRGSSSGGWTTEADLVRD DRIDEAGVVDKINRPNGVVLLAHDGLGALAGCCEIETRADGVGYFGLFAVDPLRQAGG LGSRILARAEAMAREEMGVRTMEMSVIWLRDELIEWYVRRGYGLTDRTAPFPYGSLVN GRALRDDLYFVILQKDLL UV8b_04806 MADEKPSRQPVTGSCHCRAVQYVAFLTLPPVHNESSPPARTDQR IYRCNCTICQKTGFLHVRVADRTDDFLLLAPLDPLRDLGDYRFDGKMLHWLFCKTCGV RCFTFMGAGEVVEADLAALGVPGYAGKGKTTRVWRAAREGGHPEYGTYISINGHTVDH SCRAFDARALHEQGSVQYLDYLCPGGQERGPDRYGRPHEGGAY UV8b_04807 MDPAKLPRWHLPDRVSSRFVDTSPAGLKFHVLESVPDQPPEPES GSNKSLPPLILLLHGFPNLSYDWRYVLPKLAAGGCYAVAFDLRGFGRTHNADLTPIPD CAIHPMAAVCDVVTLVHALGYTTIRTLVGHDLGAYMAAITALVRPDMVESLLLLAHTW KGIANVPLGASPAKALASRVPRPLPRRWEGDHDPHIQASLARLDPPRKHYKWDNASPG AADEWTYPAGPPLREFLRGYFHLKSGAHAQKIPPRPLKSWTAQELAILPHYYVMRADK TMRENVALDMREEPRQVAEGLSGTPWLAETDVDVYEREFTRTTFRAPLLWYRVLTDPE RSRDLLCLAGSKIRIPAKYVSGVGDWGTYQIPGGLEAMQEGVSVEPECWRGATLVPGA GHWVNVEKPDESALEILELARSVASKPLASRG UV8b_04808 MPEQQQSLSVIERSLPNGVRYDLSSPGHVTISLPPTSTWSSGLH WHETHTEYLKVVQGSIKVRVGSARRIITASATSQPEVRVDKFVWHEWQRAEPGGEEVV VVERTDPADAEKALFFWNLNGVVLSAPKLLSTHQYLSRLPETMAQLLLTLWVELNLMV IFAHLDNIPVYVNLPSYKSLRRRNLVSVQTLAMGDWVISHFVLSMAALLGWLVGVHPV DQRYTPEREYALWTTAGDDYEKDQT UV8b_04809 MLLIAARRQSFKRLAKTTLPEELRPRNQRKRRRRVQPARLPGQQ ERGQKRPIPPSRAPGTKTGSATSRRRPSSCGLLWCPLYFVLWQLLGCLGLMILAGNTA YPTLLRLIVGTGLALLKALLDRPFAARWRLAPGPGRSFTCATF UV8b_04810 MTSSPAFILTSPDDWENCGNTITCRYRYTDGTFHRWPGKRCQGS RGGGGDGIGIANGIVGCRYASGT UV8b_04811 MIFPSLLLALPALLPLAAAAPVRRDANSINAALAVVSNRLVSLD SKINRFTGGVTPGEAIAAVEIQERGVELQQDLGAAANAVDQSAPLTDGESASVARAVA SLAGNLYRVLDSLDRKRGEFNRPILDAKLASSRVKTALQGLKSVTNDLGDALALKFSA SIGRAAPLVMSAINWHLDRAVEIYG UV8b_04812 MRRVLAIAGLIPLQAAAAQERVSSNVFDRITRYTSFAAASYAYE CPSPPFGSHIIKTFDNEGTDTQATLFHDYDAAEVIIAFRGTSTPQDLDTDLDFELVPL SVVGANCPNCKVHRGFQTAYNSIADQIAPEIRAELEHSGSRLVVTGHSLGGGLAALAT ASLIGQGFKVTATYTYGEPRNGDAEWAKYISRVARDVNYFRVTHYKDGVPQIPPSELG YVHHGPEYFQSRDANNTASTTFKCPLDSLACSTGQDFGPDPINRSHLTYSNMIIGSSL FVQACGAVFP UV8b_04813 MKKLIRATASDLSARYTANPAPGTCVTMRFLLPLLALFLAVNAE GRTPTRDVMYALNADGRTTRRDIRDVFSLLDIFAADIQLVEPGSKGIAQALQLQVDGV NLHRSLIMGANSAREVHRFGWPSSLKIGIAVIMLVPKHRKTLEILCEKRDVLGDFSAL VLSSLYQLKQDTNDLSVALLDNLTIFERIIAPIFMKKFNNHFDKAIKVYGGNVS UV8b_04814 MPVRHIVLFGFKPDASPDSIKQCCDEMLRLKEQCVLASTGETYI TASSGGKDVSIEGLQNGFTHAFVVEFASAADRDFYVNEDKAHHAFVDRWIKSADGIAA KAMVVDFAPGSF UV8b_04815 MDTTLAPGTVLLVDLDHTAAAPHAGRQHDIILVPTPSSDPNDPL NWSPARKRLHLICLIVYVFFNGMALSVVYSLLVPLSPALDVTVSDLNAGTGYMFLMLG WGLLFWQPFALQYGKRLTYLVSMLGVVATSIWSPYASGNGQWIARNLVTGFVAAPIEA LPETSITDIYFTHERGTYMGWYAWVLATSNYFAPLVCGFINDSMGYKWPFYFMGIFAA AAALFLFLFMEETNYDRVTVTTADPGGRGSMATSPIDPSPEGNRSQSTTLTRNGDEKE GQSGYGGEDGDGDGDRIASARAHGKEYTFMQKLSLKDKPRRFRMHERVLQTFRFFTWP NVFFAGFSYGTYLIWFNILNATSSIILGGPPYHFKPSIVGLSYVSCLIGVIIGSVYSG IVSDWVVIRLARRNGGVFEPEQRLWLFSGMTIAAPFSLILWGVGAAHEIHWFGLLFAM VVLSATSAAGVTLSVAYLVDSFPEVSGDGLTTVIIIRNTMSFAIGYGITPWLEGLGLQ NCFISVAFVALAICSAFLPMIWFGKKFRLMKRDSYWHEVKMRASATSR UV8b_04816 MNTWRSWPACTAFRLCESEQALLGPFRRQYGLPQHRHVYVGAGD PQTIHTFKEWLRYILQGSWMEFRRDCLAKAGGVVADPDKRSTAEKAMDCVDNVGPDFG LYHPDKKNWTVQDHHVRFIMTVVLDGLLSNLWSSKDWDARGLEISKAVYEVLCFLKAS YDTVEEERD UV8b_04817 MPPNRPDARRAVTPSSHRGSKPRADLARDAAALEATGHRQELER NFSMLSMLGLAFAILNTWTALAASINLALPSGGPSSVVWGLVAAGLANLCLAASLAEF LSAYPTAGGQYHWAAIVSWRRASRSVGYITGWINVCGWVALSASGPLLGSTFVVNVVS LLRPGYEAMPWHQLLIYIAFAVVALVTNALLTRLLPYFTKASFFWSVAGLAVVFVTVL ACASPNFQPASFVYGQFSNTTGWPDGLAWLLGLLQGAFALTGFDATAHMIEEIADPQR RGPKIMLYSIAIGMLTGFVFLSALLFCVADVDQVINARWGPLLQVFMDATKSRAGSVC LLMFPLICIVFTTITLVCTSTRMSYAFARDHGMPFSSFLARVHPTLHVPLNALLWTVG WVIVFGLIFLGSSSAFNAITSASVVALGVTYAILPAIHVLRGRKMLPESRCFKLSEPW GWILNIVGILWTMLTTVLFVFPPSVPVTASSMNYCVVVLGVMLLIGGTTWILDGRTHY EAPRLCEPASTEGSTEGTETSDSSENHGQELAGADAWRTGQGQVAGGG UV8b_04818 MKFSTVFVAAFVAVVHAMPVEPLDVEDDGLAFLEARAGRGTSGR LLSSSGGSRGSSGSLFSGSTTNRIFPGGRYDPKSNNSGQVRNYAIKKCMIRNPNACEH SEDEDCTVRKWCENKIQ UV8b_04819 MSSPLEEDTVPVPSRGPAVFAVTTATLAFASVFVGARMISRCCI VRRISWDDYIMVLAWLLAFFLSFSIDYAVVVGLGRHDEDISDADWSKLRRAEYVFSIL YNPALMATKTSILIFFLRLAKNTQIVLRYASWATLLVVNLSGLVLTFMNIFQCNPIAA AWTTSDASAKCIPLLTEFICAAPVNIVTDLAILALPIPVLTGMRLPIRQKAILLVTFS LGIFVTIVDVVRIYYLQKAVSSLPTNMSSNPDSRFGGSADFAWNASLSFMWSAVEVNV GMACACIPTLKPLVLKLLPAMLHDPYGSRLSRTCKSTSAPDTPPPARRLPGAARDSTA TPKRGDLASDNRPTDDIPMSAVEFLTTPDLRHGSDSTAPGSDAARVQTHATMSTNSVE NGIYFGFVNMTKPKSMLRANAFESFKYCTIVAILFFLWGISYGLLNTLNNAVAAVNDM SDAQTLGLTSAYFGGGYFFGPLLVGEWLLRRDEHHRSKRHERSEAENVGGYKVTFIVG LCIYGIGTIMFWPSAVTNSYGGYMLSTFVVGFGLSVLEVAANSFMVLCGSSEYGECRL LLAQAVQAIGSVVSGLLAQKVFFTGISRESMSKSSTMTLINVQWTYLAITLLCVALGL FFFYMPLPEVSDGELEVAAGKLPVNPQHRSSIGGLQLRTVSLALAVFAQYMYVAGQES NSIFFRSLLVSALPDGDPVGFVAASGSSSSSGPPSYDPDRPPGLSISIPDYLLVGHAA FAASRFLAAGVTYLSVSNRRLPQPRTVLAIFVALSVLFALLPVVLRPPNADMLVVPLV LFYFSEGPIWPLHFAIGLRGQGRRTKRAAAFITMGGSGPAFFPFVMYAIIQRGGSVQT AYTVILALQAAAGVYPLWLETSRSAKLLVDQKPRAGAAPQEADEERLADRATASLGDS THGLDASTGTFSEQGGKTCVWRESSQAHAEHSEGEAQPS UV8b_04820 MVDQLDEAQLRRFASRARRNLESTLGFILSLVFVGLLFTVFHFV VGRSNHRMRTRRREKPPGSRVPFVFAMARNVRKLGLRRVRSGPSLDRASIVALYLALN LVALFTNLDNKNMALETNVAARSAWLAIANLLFVVFFALRITPLSFLAARSYERLNCL HRVAGLTATSLFIIHASLYSGYFAEAGMTDILLRRSDVFGMVAGVSWILMALAAVCLR RWRYELFYHVHVSLWVVSVVALALHQPDLGRKIPIGTVVAGSMWALDRVIRLARLAVH GMNNSATLSPLPNGGTRVTLAKPPMGAFPGKHCFLWIPRIRLLQTHPFTMASADPLEF VVASRDGFTRDLHKHAVDHPGIVLKASVEGPYGAAPDPWAFETVVLVAGGSGASFTFG LAQALLGRSTNNSNVTRRVVFVWAVKYSSHLTWFAEHLVTLGNDARFSVAVFVTRSSP PSPSSPTRTSSAAPGDSGSSEDLEKPPPPSPGGADGPISLPGLPIQYRRPDTALLIRE AVSATGACERVLISTCGPAQMTQLVRQTAAECIRADGPSVHLHSEHFA UV8b_04821 MPMVEENRRPTVLREKFPPIRAGQPQIGKHNWKRKRKRKQGAAA WQDRSKPPILVSSARFSIQFLRRMSYTAYRHRVPSAPHRSRGSPTRHCGLESKCSAAQ DAGSLQEELQKNCRRTAEELQKNCRRTADEL UV8b_04822 MSIRIALDNRPEFYTNLDYVQGRIILGLNRAEQVGSIVVKLEGE SITALQVPSPYDLNARSRPPGPMPGPPGSIVSENHKILYKVQQVFPDDYHTSTSNPYG AFPLQPGEHEFPFKFKLPINNACSDPDAMAKLGGLGGVGGFASGAGLFGMGGVRFMDG TKQLLLRHVTRTLPPSLTGFPTEAEIRYYIKVTVQRPGILKENWRHQTGYKFLPIEPP RPPRTGQEAFARRPFTFQPRTPPPRKKKSGGFFFANKADRAGKSGGPDAGSGSAPPPP PPPGGSADSVVGNSAAAAAAPSIEISARLPHPPVLTCNQPVPLRLIAKKLVGCPEQLT LTSFQMDLIGTTEVRAHNLHNKKVNRWVVVSSTDLRIPLTTGPDAAAGSEMVVPDALW RDRPLPNTVAPSFVACNLSRRYEVELRLGVCWGEEAPSSSSPGFVVGASSQTIHLPLH FAAVEVFSGIAPPPELLQAARAGTRPSPGRATLTVPPRLPPRASSAPGSPARPDSQEG QVRPSVPQRPPQDPLYPPQLQPGQTAPPYDDAPPSYDEAMAENLSGPFDGLQSRPAYS GVTNENAPSQMPAEKR UV8b_04823 MSMPRPPPRALRLLHSSPSRAAARRAPDPRVRDLATPQQDEYAV LRDHYATPKHPIVLAHGLLGFAQLRLTPGLSIPYWRGIREALAAQGAHVIAATVPPTG TIADRAAQLARAIAAGAPGVPVNIVAHSMGGLDARHMIALPPAAEGGVGVGVGVRVAS LTTVSSPHRGSALADWALRPAWRRRLLRGAAPAVAQLTPRRMEAFNARVRDDPRVRYF SYGADAGAPPLLSPFRLAAGVLARAEGPNDGLVSVASSRWGEYRGTLEGVNHLDLINW PNRVRWAVGRWTGAGGTGFNAVAFYLAVADMLAREGL UV8b_04824 MAAVNTALLARQAARAIAKRGDSWPANNVGVMVVFCIVFVVAVG VIGLYIAKCLKRRKERNQPKY UV8b_04825 MELTSADHLRELYGDLSRKYKTHSAAIARIWRSLDGPQRAKCFK AGAAGGVVLQHSADKSLGDVYKFVPEMNLRDVAKPGSDFLLHLLQHRATGTATVPLSE QYCRGVVDGELGDHGFILKMMTEKGLRHAQRFKDSYTLFYDDEEYGNSITIVSGRAES LAALAPGIRAQVVVPQSMGELIITRQTTILQLLNILIEDILEAGADGRSQKPAPKKPA GPASQALPRLTSSTRGQQPKLTVPEVSASAHEQQLCLEDHLELMLTEPRALAHVVNIR FFTQPELVADEKGRRLPVHTDRHISPVLYEAVQDAVRGVSIWSYINLLLQRLQDHPAL DKAYRMIVQQELVNAYHIEYARAQGNFKRFVQAHSGRKWFKRISNAPGGKTGAARVAL RGNVKGLFGADTQLYYMLRLCQPETGPAQAVEWISKLSDLHRAHPDEQDKLEAAETEA LGDLAVVVAFIQDVACAMPLPPLSRKTGQTLTDKLQELDALVARLQAGVDLRDFAVPI DHLLQDGMAAGALAAMDDHLRSSTLGATMRAAYQNMTSECAAELAERHQRARAQMDQS DDAPCPRFPAAAASPPGQAPPRREKEKRRGGGGGGVVVVEAAAVAANPTDASNGLPVG VGADGRAPTPRVPVDAATARVFDAIFRKSEARGPVGWDAFEAAMARLGFSVVPKFGSV VSFLPPSTMSAKRCVTTHRPHKSRIEGYGLLLLARRLGRVYGWGEGTFHEA UV8b_04826 MASWLGRIRRINAHNASTFRRAPLAEISGSLGDLGTLLPLMISL AIQRSVSLGSTLVFSGIFNILTGVVFGIPLPVQPMKAIASAALSSQQDSSIGVVMAAG QWVGAAVLLMSATGLLTWATAVVPIPVVKGIQLGAGLSLITGAGSSLLQPLDWIHPVL DNKLWSLLAFLVLIVTQRLPKFPYALLFFAVSIVFAFITIASSQRSLPGLHVWTPRFV LPEWVGLAVPGFPALSMAVGQLPLTTLNSVIAVSALASDLLPDVPTPSVTSIGFSVAL MNLTGTWFGTMPLCHGAGGLAAQYRFGARSGASVIFLGSVKVVLGLAFGETLIELLQS YPKSILGIMVLAAGLELAKVGHTLNKGASDLWEGSAGARRPRALRDDERLERWTVMLM TAAGILVFKNDAVGFSAGMLCHAAYKLADWVSRRGRDRASQGERGPLLGC UV8b_04827 MAQLWNQDPEHHASVAREIKPLQRTDSTHSRYVQMLLDVDDIPL SHSLLASFFAWLLLAGFLVLPGTFITLQRSVEGRHPDPAADGILKGVANIPLLVTAAV ACAVSFVGMGVLALRHRDNYVWLLNKLVMPGVANCLAGLISTLVGVYSQQRGDWSVMA EVTAIVEGACLALAGGLFLFFESFLLRRVRKDHGTHYGDSSREDLTRNTAAARNF UV8b_04828 MTSSSDGRPGGNGPEQQQQQQQQLAHASAGPDAPTVDLDLGLDL DLDDAAAAAAVATATAEAAAPDATAAAAASTTSTSASAAPDQARAAQLPSDPCRQQQQ QQQQQQQQQQQQQQQQQRQQRQQPSTPKRNSDAAPSASSVNSVAEASLEHAASNPSAD QPMPDAPSDANAGTVAVTDQAHILAQPAPLQQAPHPPNVASVPNVPNVPAADHFPSSS SAPFTPSKLANLEQHAYMMMALASMSAAPSVMSPPPTVTPSQVTLPPNLLDDGLNGAA PTNALRHGNADKCLESFARVEFADSVFQMTTYALIIGRDQRAMEQARRDEKRADEYKR RVEENASKGLPPPSPIRNDRSKFSKSYVSEEGGMLGPESDSEGSGRPPKRRKTSTNGS SQHENEEPQENNIISNRQYVSHTPGAAAVDLTSLRPSPWHVPFIGIHSPGPNIASKTK AISREHLKIAYNQEQGVFEAIPLHKNGFFCEDVHYKSEKVVLKCGDRLQIKDVDFQFI INGVERGRTGAEECLDEEPPKNRHTHGGKEMSFDFEQSHGNGEIQDTSDELSDVEVSP PELSDDADAEGEAGEDDEEGQDGEDGEDGEDGQDGQDCAGEDDEADVADEPELKMEPT AELDLSLPQIPKKRGPGRPPKNGIMSKREQRLLKKQQQEMAKKTLPEASATVEAPVKR KVGRPRKHPLPEEGGDRPEKRKYKPRKPREDGAEGSDAERRAREKKEKKARPKSPPLE LKIEDYTEEQLQKPNKNYGVLIDETLTAAPDGLTLKQIYKRICQRYPWFYFHTETKGW ESSVRHNLIGNEAFKKDETTNLWSRVPGVELDAGKKRKASSPDRNLAGQGYGPYPYPY NAAQHVAPGASPGYPAGQAPPGYQVRGYPPPQPSQVARPMQPYPPVPAAQPGQPGQPG QPNPPAAAAAAAAAAAAGQPPAPAHMPGYGPPPAPATARAGLPQPGTYSSPYSSRPPP PPPPPPPPVSSTGPVKSEPGASQPGTPAAQQQPHLAASAVPTGGAGGASSASGPSAAR QAPAPTSAPTSAPTSTAAPAPGATRPSATPPAAAPTRPVIEPRLLAAVVGLKNGLVDN LKKARNPKAEAIVMSALNRCVGLKKEATENDKMEAICIKGIRQVIEGFNKNKSPTPGG SSSETPPIFEAKVLASLNGFKDVSVKALKGRLGEARAEAVTLSAIDRVLGFADASIVA QGEGESSSTSTSTSTSTSNFEGVEQHLIKSIRQLLMGMNQKVRDA UV8b_04829 MTVKSFRSSSSKLQVAKVANAAKVGTDLKSQVDGASPSSGSATL VVKAHILHLQSHDSARRIVQQHPTCHALTLNTSIICDPNQPGWPKHVSRQPEAEALFR PLPLHPYPGTRINILQQHCIPHFTPSFTKRPKGRMELTIPSAPEPVPRLAVGDTLIVI HDFLARSSDELSLSKGDRVELIERDDEFGDGWFLGRHILNDNTGLFPEVYTRPAPKNV SVLAPPRLLSSTQETKNAAQDTGIASAPTASPLSPQPSSAQPIGKEGTSTSLPLQPVK PDIPAATSSTTASSSPASQLRSARNPSHDSQVLNDTLNVINEHITHLRGSPSSGGLLV PAGANDSGSEYSMPLDQRMSYIQGEETDEEEDQEHSRAEVESWDADQVAEHLFTVGVE KQHCEVFRDQEISGEVLLGMDQSSLFIKAFDLGSVGRRLKTWHKIKALQDEVDEPGPS TRRTTRTWGSDVGSSEDAKGTRARTSATTVASVSKLFAPQGSSAQTPQVSPTTTRFGL STSGSLDTPTRSNHVKRPSAASVRDLHQSRRHSSIDYRAPAPPFAGALAAATPPAAAL LPNGTATRVDSAPPSHKKQASFDRNWTLGNALGHQPPRSRPLSSAGLQDARNGSNPDL QDSAVDLDRGYFSGAETDGRRRNVLKKRDSRTSSYADEQRVRSATALSRHSRFGSMDS YRDASTSPAAQKYYGLQSTPHRRTASAKTAESARPSPPTTDSPAPIVTRIDAGLNPTR SAASPMTTTSSARQGFAPDWLAAVVNKPMSKGRPGMRAISDKLSRNSSKDMASGDGAL DSPGGATPSTASGEPSLDVVDSPATGKSFNSMTSKSNKKKGKKDTSAYTRGLLKATPL EAKQGADYYGWMKKKSSNLMTTWKPRLFVLKGRRLAYYYAEDDDQEKGLIDISFHRVL PAGNERLTGLHATLTGAAGGGPGGPGGPSHGGSDASGGAGGAGVAADKGSESVFIFKL VPPRAGLSRAVNFTKPTVHYFALPNVEQGRLWMAALMKATIDRDDTQPITTTYQQKTI SLNKAKQMRHRPPALMNLEEAAEDDQRGPAATRPVNNGLGILGESDSGVSGLGKLVGV QSGSNGVSCQSPEVGGSTAALQQSA UV8b_04830 MSTNHNPSERANPSFINIVGRDEQAQLSHANQTSLLFIGGPRVP WLTGSLEPTVANARVQKAGHVSIGLPLTTSAWVADPPVSTSPGLNPEGLVAEFQQQEQ RIDAEVISPPSNQPPPAPITPNLTPKPFNAPGNTQLSGNSVIPPQKTTTGQPRVVGQV APSENHVSSTSTVSQGQESPGPGKTHPGLSMTRCPNPDRVSIQPHSTHQSQLQQPSVQ RVAVHSTDFIFPLVLKLQLLRWRANTAILARTGDLSMWQHRLMAQIKKHREANRMHIG PVEAWRYNLLLNACSKNDIFFIAFHQTLYQLSTNQPLAPDLQSLFNSLHDMFKADAQV SPLHAVWFAAFPQDMTNANLPFPLPDSLLFDVIKFLLTFSGKWDSVISSVLSRGYPLM TFELVETLDCKSTVLQDLLFDISCGKLGLQGGPGVNRISSIRRTDVQNETAAKTHPSK AQVAQMRNDIIVKYKAVIMDNFLTPQGFVNATSRSSGSSSLLATSGSNMISPALIPIA AHGSPHWPVPLVAVHNNANVPNSGWHPTPLPHPQFNVAMQARPFISPAAPAAAAATAQ SGCDAQPRFSTAPLARVEYPQSPYDWTSVQTGLHLQNRVSTFKFVVPDEDFAKRSRLV RTEEPRVHQFGRDTLRYRLRLSHSTRDDQTKGSARWPISPSVWPTEIYIVFNDVPVFP RRRQHFQKDLPIELTDMVQQHTNTVQVSFPKKIDCADMVPPLFLAVEVIATTDHASVL DMVHKAPKISADETRREMKRRIQPQDCDDIIVQDESLSISLRDPFSSILFRTPVKGLY CRHIECFDLETWLQTRRGKPSQSRTEPSLADGWKCPVCDEDARPPNLRIDEFLSEVRE ALVKTGTDGARRIKAQLDGTWTVEEEVNENDESNAEAAAAQTDESNQSIEVIEID UV8b_04831 MSDQATARPRTQSPPRRQRACVPCSSAKSRCHFQECNIQRHVCD RCEKMGIQCVAKASKSLRKPRQIRPLNSRVSSLEKQIETLTAALEGAHQSVAKKASPS REPALAHSRSPPVALTPESTGDPVPGQDKSMRQLHESQRTPSRPARTVASRVQPGPLY GLTWTQSDRILSLFRERYIQNFPFLVLSCQITARQLYEEKPFLFRVIMLAAAPLPIPR VVKMKRNALAYLGQHMLVEEERHLDLLQGLLICISWADLRTLFDEQITNLTYLALGYA HNLGITKIPKSLLQQIGMDDAPEDVSQTKKDMFTAKMHSIEEQRTFLGCYCLLSVNST LFGRQNPLRSQYVDLCCESLKRAREHPSDIFLEHNVRLVQIGEKISEAFGAANDRERG KPYLFLLDDQSRGFREELDSLMESLDRQQQQDRALYSHQVPSEEFDNWERFFTLHYNY LLVRLYEPATFLHQIPEEGGALSTYRSQCLRNCLLAAKAYFDIIFTIPPAQYIYQSIT FTEQITFVLVITSRLLLLETKDWDVHFARMTMNFLSVVDRLVQRLDASEAERICAVRI FVAEMGVETTAAEMTAEGRLAEMSRKMRWIRTWFETRVNKAELPNQPQEWPVEELENR GARAFGMGTSGPVWFTGGCCRIRPGTLTTCRCEVDAWEVLQRFDDFRCFFSFFFFFFF FFFFFFYLLSFYAPATGCIGQEWAFSAWTAQVLFQDHGMRHW UV8b_04832 MSLLGPDDPPVDQILEAIDEMAAAPQPKVLLFDIGGVCVASPFQ AILDYEISLGIPPGWVNYSISRSAPHGSWQKLERGEIPLDETFFASFNEDLHRPDRWR AFYARAAAANPSLPGRVPPLPTVDGRRLFNDMMAAAQAPDPWMFPALRKLRRSGRYVM GALSNTVMFPPGHRLHRADVLGEPLRSQFDVVVSSAHVGLRKPDPRVYRLAVDALDKF ARENAGSERGRRGGWESGVQAGDVLFLDDIGENLRAAKEHGFATIRVRLGRAYEAVDE LEKVTGLQLQGGHPRVAVRQPEMRGLRGSKANM UV8b_04833 MAFHKLVKNSAYYSRYQTKYKRRRSGKTDYYARKRLITQAKNKY NAPKYRLVVRFTNKDIITQIVSSEINGDKVLMSAYAHELKAYGIKHGLTNWAAAYATG LLLARRALGKLGLDKDFVGVEEPDGEFTLTEAAETDDGERRPFKVFLDVGLARTSTGA RVFGAMKGASDGGILVPHSEKRFPGYDMESKELDSETLSKYIFGGHVAEYMETLADDD EERYQSQFQKYIDDDVEADGLEELYTEAHAAIRADPWKKVDSGAPKKTKEEWKAISKK YRQAKSTKAEKDARVQEKIKAILADE UV8b_04834 MTLGLSEHDKPGGKVKLADQLEEIIRTTACILHRFLFNHSFSSF VNPGFFYLANIDLARFQINPQYSIVKKFLALEPQEKPRYRAPLEQGSYNGYRPLGSVE ILPGLRDNLAFYLTLSNSSRRRSGPSPISYAATCVSEGGVAVNMGDIPQ UV8b_04835 MNSGEWHTAAPALVQVPARYSVPRAAPREPPPCRYCCRPSGQVH GLWSPRLALSSIPSRSAMPEAWRLEPTRVLSWELSTFTKKTVVALQI UV8b_04836 MEQVHGVDVSWMTKASPKDKASRTFTPLPKHTPAPAQPRIIPQS SPDPRSPQGTLDTKSAPLRQNGQSAPGPSTGPGTTKRLSRSGSTENKPLPGGTSPQNR RNSWFSNISAKFSSSASPPPNTSPNQHHYLHPLHPNETQQQRSVDQASPPAQPQAQAL SSADDAVEPLPPKLNPTRNAVLQHAATKPDGNSPYTPAPPRTGQAGFLGVFRRLSSSG GSAMTNGKLGHGLVERKVLNVDQNRERCRISELKEARLRRVSFCVDVEIAPMPKYADG EIQQQTSIAEKAQKKKFTEKGEGSALKNNPRAVETKDEAEEATLSSSNAIADPAFEPV DHSAGADGANANGPASTRVDQAKETNKKKEKKKRSEEERKARKEKRRRLAEDNGSVPM EIRYDSSDSSSNARSDNATPRSSPHPTTNPARIYRRCCQLRETPVLKKITEQLLDSSN SSISAGVVTRLDLTDYHLQLPDVITLGDYLAVVPVKEVLLENCGLGDEGLRVILASLL AAKMPLASGRKKPKKDPSETTQAGVIERLVIKNNNLGPDGWKHISLFLYKCRSLKSLD ISLIPFPRQAPAAKGGCLANGMQIPRTISDVFSTAIAERVGGSTLEMVNMGETEPSMD QLGTILEGMIKCGVRRLGLAHNSLDVEGIKHVVKYLNAGVCEGFDLGGNDLNEHVEAL AGCLNDDHPIWALSLASCNLSPSSLGKLLPALSRLKNFRFIDLSHNHDLFQCKPSALG LLRRYLPKLGQLKRFHLRDVNMSSEQAIALVEILPEVRNLAHIDLLGNAELAKLASAK TEEAQEEACALYASLMAAARISNSLICVDIEVPKEESGEIVKAMAKQVVAYCLRNMER IPDTDMGAGVAHVMVSEGRAEAPDSKAPAYPGVLAGIVGDDVLQQDGAEDVHEEAPDE DYVIGGTGVVKALACCLKNRADEDLHRQAGDSANTAEAGAEAEAEAEAEAEAEGDSAA LEKRRLHSSGKAKDMSKHLLAGARKIRRRLQPALLKARCEGGDEMNLRKLTFLDETLQ GIIKRFEDEFPDTREPGAEEKTAAGSSSDGLRKTWSASSEEAGAKTAAGEDSAVAVSD GEDESQIHPPKTLSRSNSTLSRELAEEEGRVLRAGHRFRSGLVGKEQLDMINTMDDVG ANSKHAQMLEELAEDLGGEFLDKVKEKGAARAFKEDKDALFRGMRDSDPDYWSRFLES QQKARANITAAAPDKNGAEGTGSQVVDESAIAD UV8b_04837 MRIKPTRLLSSSSVNSVNSQSAGAMRASKTENGGPGAETSCGLA LKLVILKARNLAAKDRSGTSDPYLVITCGDTRVVTHSVPKTLNPEWNVIEEFPVNSVQ NLLLDVICWDKDRFGKDYMGEFDLALEEVFQNDSTEVEPRWFPLKSKRPGKKTGVVSG EVQLQFTLFDSSNHGASSREVLDKFHALVASVSGSTPSTTPLLTPSATRSATPGAAGQ DEAAYDEDEDELTDFDEEESEDPSKPEAAEKRRRRLRIKGLKKRRRENPYAFNNGYSD VVGIIFLEISKITDLPAESNLTRTSFDMDPFVVASLGKKTYRTRRVRHNLNPVYNEKM IFHVQAHEQSYSFAFTVIDHDKYSGNDFIASCNLPVQELIEKAPQADPETGLYGMREP PEHNTPSAARSRFKKLGMSRSSSTQSLGKLIRPQLSKTSSANATTQGPSVEPSQTPSA NTLLLPLDALANTAPDASDSTSAEADDADFRENVVPLKMKNLDKWESKHSPKIYLKAK YMPYPALRQQFWRAMLRQYDTDESGEISRVELTTMLDTLGSTLKESTIDSFFQRFPHK AADNEDTWDLTMDEVVICLEDQLDAKSKPRTLAEKIKSTVPDLRHLGLHNKPALSGDV GSANASGASTPAITVESQAPVAGAADSKCSGEEESDESGDKDEEHVVEIRECPICHQP RLNKRSDSDIITHIATCASQDWRQVNTVLMGGFVTASQAQRKWYSKVITKISYGGYKL GANSANILVQDRVTGQINEEKMSVYVRLGIRLLYKGLKSRDMENKRIRKLLKNLSIKQ GKKFDDPASKEEIEKFVQFHGLDMSEVLLPTEEFKNFNEFFYRALKPGARPCSAPDNA KIIVSPADCRSVVFNQMDHATKIWVKGREFSIKRLLGDAYPDEAARYENGALGIFRLA PQDYHRFHIPVDGVLGKPVTIAGEYYTVNPMAIRSALDVYGENVRVVVPIDSVAHGKV MVICVGAMMVGSTVITREAGEEVKRAEELGYFKFGGSTVLLLFEPGKMLFDDDLVDNS SGALETLVRVGMSVGHSPDEGQHTPDMRKSEDKITEADKQEAKRRIQGNFAMEQSPSD SGEDDGSRKRRTAAVPTINTLAASAM UV8b_04838 MPRTRCSLFDPMTTLPDSIQCRGSPDARNRSRLRGQGFLFADST CCLLPAATWHSLLTQNQDPDGFPLLCSGTYQTPTLATGAQTLRFSFSYQQETGAETPA AQCTKFQKLKHASRFRRRVATFHQFTHRITWE UV8b_04839 MSSPLASSHGSKRKRNAAPNGDLSTDVDVSESALQPSSRDASGE EGDTTAAGSGRRHGRGSNGHGNGPNPKRLRSNSGRSADAATENIADPGEPSDTTEASI DIEARVGRRGRKPSVNNGDGETMAPPPIGELIHPAGGFKTNPPPVGRSVRVYADGVFD LFHLGHMRQLEQAKKAFPNTTLVVGVTGDGETHKRKGLTVMSAKERAESVRHCKWVDE VIEDCPWIVTPEFLQANHLDYVAHDDLPYGADEGDDIYQPIKAAGMFLVTQRTEGVST TGIITRIVRDYEKYIARQFKRGTSRQELNVSWLKKNELDIKRHVQDLRDNIITNWTST GQELSRELKQFWPASRPHSPARFNSANSDGVRSPTTAGPNPGNSKEFVTGYALGLVGG VRSWMTKNRRMVASRPVSDDESEDSDEQAKSPEATTTPTLPAATKT UV8b_04840 MGWESRRSAGRRGHENGRERVRVAVCEAPFNQAALPDDKFQSTR KHQTSIQTTRVFTDRFPASFWLKLTNRWPRQHSPQAYTPTLTGTNPFGEATIIHPDTL VSTVSNSLTLSALTIRPDSRQKKHTPPPPSCEEHQQNCREATLDTREILQSANVARNP L UV8b_04841 MASLRNIMNVDVDDDHVLSHGVNKAVDAGSTSSQHHDPSTTSAT YVRGSDYSFNTTSSQSRRLSPLTRSLRSFPVNQNLQSPLPYGHSARQTATDRCQSIAS TDSMDSHYGQGHSYGHGHSTSFPSAPMRPFVPPQEAPVKLTPITGRVSRAKKGVPVHT CDVCRPPKTFTRAEHLRRHKLSHKPPDLPCPVPGCDKVFHRRDLLERHQQRHEQDDRA GKPSRGTPSRSPFSPGGSGRPSYTTSSPPESLMSVQQTYGSASPTPATSALSMSSDHW ATHKSTPLPVRHVASTDIAMERLKGEYMVKSVPTIPSSTSDAAVNFAQPRSMPSMPTL GPVQAVCSSRAPAPAMSWAATAPVATSSAPGSFSPPPTVAAAPERRLDMSLSGTSWAT MPQTTLRNMPTPAVATGSGYPVSYAYGHSLANSYSSLYEDEHTTVSVPAFNSNPAPYQ LPMSTPAIRNLSPQLLLGQSSETMVTVPAPLPADRVISNAPCRGEPSPRFSSLAQDLM PVSLSREARSALPTYIDIYWERVHPFYPIIHRCTGENSNDVALEHVDVLRCAMAAVAT QFLGHREHRINGSQLHAYAWQKSKSLTEAATWTLPTMQTILLCEYYARFRGRNKDDYQ PSSRFLALYHMISSYCSASLPEISGPRPQRWASWIYIESCRRLFSACFLLSVHGMCYH EQPYSAVLGLENMAASKFPVPLTASTTGLWEARNADAWAAIDVTRVAVTTVGEVMQDA EWSSLDNPAFDISLVIAAHALQLPMRQNRQEVQLVADVSTFRSNDLPMYKHFSRRPGA AAYLALHHTPLHVLLAVSGDSWVFNKKIPDACLFAEYKRRLGEWRNSGTSAIATVFAA RAIRDFLSLSHGDGSGPTAASNASPAHGTVTCKEISDYWGLYVCALICWAFGHTGKRL TTEKRLAARNRAIGWVQTVAELEPGQLQALPGREDSQVIVGFVRDALEKDCLGGRNIL YADSVGVLRKLEEVDNWSWF UV8b_04842 MTEPENFEDDLFADLYDDNDAPNPAPPVAAAPPALEPPKAEAQS VVDQRGSHMQQDESMNESMNQNGNNDEEDDDEVDFNLGGGGSDTAAAAAAAAAAHAPS HEMDVSTPPYGTVHRASAKDDGKMFIGGLNWETTDQSLREYFEQFGEVVECTVMRDGS TGRSRGFGFLTFKDAKTVNIVMVKEHFLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQE TTDQEFRDYFAQFGRVVDATLMMDKDTGRPRGFGFVTFESEAGVEACIDVPLEIHGKP VEVKRAQPRGNLREEEEAAKRTKFKKDDQPGQGGAAGQQQGGGGGGGGGGGGSGMTPQ LMAQYFQRMQQYFAMMQSQMAMNRTMNMNPAAWQQMAQMQQMQQQMMGRGGGGGGNMM GSMNPQMMQQMQQMQQQMQQQMMQQAQQQQQAQQHQHQQAQQQQQQQQQQQQQQQQQQ QQQQQQLQQQQQLQQQQQLQQHQQLQHHPHHPHQQPDQSAFQFSQPPPAGPSAGTRRG ARGGYIAPGRGGAGAPTSWEGMYDDVPQPSDAAGGFRRGAQGSPDPQHAPPANAPTGP KNAGRPGANYRGGGRGGNRGYHPYAR UV8b_04843 MWSSPGGGEKPTASPQEMVQVDRSESASPFWRLPVREPASRRSV TTPANRDSNLVVGAAYSHADMLKLDSLSLSGNRPQTPPSTNSIKARNSEATPPPRAST GGTNSPPFKTYMNFLSNTNDDWKADEDDMLGYDDEDGDEFGLPSLSNMKRRANKMASQ NKANAGTLSPALGGSFAMGGRRPSNSADIAIERPSLSYPTPSKSEGKILRPQYKDILQ DPANALHLINYPSIPGDATSKEADVINSRITRINKFKKLLQASSIPLAELRALAWSGV PGEVRAMTWQLLLSYLPINSERRAATLERKRKEYTDGVRQAFERAGLNAASPGRARGL DEAVWHQISIDIPRTNPHIELYSYEVTQRCLERILYVWAVRHPASGYVQGINDLVTPF FQVFLGLYIADPNVEAGMDPGQLPKSVLDAVEADSFWCLTKLLDGIQDHYIVAQPGIQ RQVGALRGLTARIDSALSKHLEQQGVEFIQFSFRWMNCLLMREMNVKNIIRMWDTYLA EEQGFSEFHLYVCAALLVKWSDKLVKMDFQEIMMFLQSLPTKAWTEKDVELLLSEAFI WQSLYKGSAAHLKGGPSKPLLSNLQL UV8b_04844 MSTPGLAWNPLQWPSRLTLRYAAIIFASLFLLANYALWNDSRFW DRRTARKTLQQAAGTLKHPVRKLMLDAKAHHDDLVARQSHHLASAAARYRERRGRHPP PGFDRWFEAAQNCSAVVVEDFFDRIYKDLAPFWALDPAETSRRANAWHHVVRVRNGTA RGDGDTTDRVPWLQLWTDLVAEVAGFLPDVDMPINYMDEPRLLVPHESVAKLVDKAAT ERTMVHVEEATTRYTDRADVDAAKADPYDPKWYGPSEQYWNLFVKTCGPDTPARDVEQ VQDMSGPAEFPRDYRPKYALNGYVQNSTAAADPCWQPHLRQLHGSFIEPISLSSTEEL IPLFGGSKLLANNEILIPGAMYLDRGDFYSGGESHGPSWERKKDAVVWRGEGSGGRAK AHNWHHFQRQRLVHMLNGTVVADAERTGVRAKTFPLPSADLYPSPRLHNGNLGPWIRR FADAAFVRLCPPDECPFYFDTFAVREHLPMEKQYEYKFLPDVDGNSFSARFRGFLRST SLPLKATIYAEWHDDRLAPWVHFVPLDNTLQDLYPLLDYFSDRGGPGDAAARLIAEQG REWAERVLRREDMRLYVWRLLLEWARVCDGNRHTLAYVDDLKRA UV8b_04845 MLSSSRSAASTALRAKPAAALVPFRAAAALPALQITSRHASSSL TPHGAGSSLSPARKEVPLPSEEGTKGVVQYALTSLDIIANWARQSSLWPMTFGLACCA VEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPRWVI SMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTSEALMYGIFQLQRKMRNTKI TRMWYRR UV8b_04846 MSARTEPGLPSKPNLRVTIIAADGLYKRDVFRFPDPFAVATING EQTKTTAVSKRTLNPYWNESFDFRANEGSILAVQVFDQKKFKKKDQGFLGVINIRVGD VMDLGPESDDQMLTRDLKKSTDNIVVHGKLIINLSCNLATPARGGQASSSRPALTVAG PSSSPALSAFSTLSAPDGGPSSSVSNQNGGFSVPQVTLLHHPHPASSSSTPAPTGGAT GSTSSRPNSQLSPFEDNQGRLPPGWERREDNLGRTYYVDHNTRTTSWNRPTANGGSTE ARNDREAATQVERQRHQNRTLPEDRTGTSSPTLQQQQQHQQQPPPSSQASGSPTSASS SAHVNANATVMHTGATSPGTGELPSGWEQRWTPEGRPYFVDHNTRTTTWVDPRRQQYI RMYGGQNNSNGQIQQQPVSQLGPLPSGWEMRLTHTARVYFVDHNTKTTTWDDPRLPSS LDQNVPQYKRDFRRKLIYFRSQPAMRILSGQCHIKVRREHIFEDSFAEITRQSATDLK KRLMIKFDGEDGLDYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINP EHLNYFKFIGRVVGLAIFHRRFLDAFFIGALYKMVLGKAVALADMEGVDADFHRSLQW MLDNDISGGILEQTFSTEDERFGVMTTEDLIPNGRNIEVTNENKKEYVDLMVKWRIEK RIAEQFQAFKEGFHELIPQDLINVFDERELELLIGGIAEIDVDDWKKHTDYRGYTESD EVIQNFWQTVRSWDGEQKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKTGELTN LPKAHTCFNRIDLPPYKTLETLQQKLTIAVEETMGFGQE UV8b_04847 MSDAKQAEEMPVAQGKEQPEADMTAAEEEEITAMKRRVAEMEEE AKKLREMQASLEQQSADLADDKESVDARSIFVGNVDYSASPEEIQAHFQSCGSINRVT ILLDKFTGQPKGYAYVEFTEPSLVAQALVLNESVFKGRNIKVTPKRTNVPGMSRGRGR GGFRGGRGFHARGGFPRGGYRGGYRGRGRGVHAPY UV8b_04848 MPHPSLSVPLLRPLENQPPPSSPGPSATRRALARLVRFQRKGRV LLSSQKKHSLIMLIVALDVVALLANVFVQLVACEMHRSDEQWVKHLTGGLEVLGLVFS SLFMVELAACLFSYGLSYLTSWFHLFDAAVIVASFAIDLATRGLAESIGSLVIVLRLW RLAKISEEVVVGATERLEALEQQMEELTHENMALRQRLGIESHEPSGQE UV8b_04849 MDSSKAQVGQHTSTGRHSVPNEIPEQLLDVFKAAALSVTKLYKT SALAESRARTEGYQECLEDLLTFLDKENLGLKDGEGWSVRKWATERFDGHDRNSHNTE SDDDIERAERTLSPEVARPSAEPQTVVAKRAESPEANVVSIPEEPMPISVPSQDSFTF QSSHPYPNIATLDLSDSRGRDGQSLSTGRSSRSRLNGHNPKSGSRGAGHLGKGAGTKR RWDFDDFFGGCLGGKDPFANGSKRSRHS UV8b_04850 MAPRSRASRAGTDASISDAADHHRGESMEVDETPDYTDSDTNPN TTASSVVGDPSADGRKRRSEANQLRRSIFGKKHDRLGESKEDDTIRRFRYLLGLTDLF RHFIETNPDPKIREIMAEIDRQNSEAAHNKKGAGRQGGATSDRRRRTEAEEDAELLKD EKHGGSAETVFRESPHFVQGQMRDYQIAGLNWLISLHENGISGILADEMGLGKTLQTI SFLGYLRHIAAITGPHLITVPKSTLDNWKREFARWTPEVNVLVLQGAKEERHNLINQR LVDEKFDVCITSYEMILREKAHLKKFAWEYIIIDEAHRIKNEESSLSQIIRLFQSRNR LLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSGQDRDQDTVVQQLHRVLRPF LLRRVKSDVEKSLLPKKEINVYLGMSEMQVKWYQKILEKDIDAVNGAGGKRESKTRLL NIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLIYNAGKMAVLDKLLARLQKQGSRVLIF SQMSRLLDILEDYCVFREYNYCRIDGGTAHEDRIAAIDEYNKPGSEKFVFLLTTRAGG LGINLTSADIVVLYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTDNAIEEKVLERA AQKLRLDQLVIQQGRAQIAAKAAANKDELLSMIQHGAEKVFQSKGATGNLGKQALDDD EIDAILNQGESRTKELSAKYEKLGIDDLQKFTSESAYQWNGENFANIKKDVGISWINP AKRERKEQSYSMDKYFRQAMFPNPKGDAKPKAPRAPKQIPVQDYQFYPPRLRDLQDRE TAHYRKEIGYKVPLQDGDDENLSEREAERALDQQEIDNATPLTEEEKKEKEELSTKGF GNWSRRDFQQFVNGSGKYGRHDYEGISHEIDSKTPAEIKAYAKVFWQRYTEIADYTKS IKVIEDGEERTRKMENQRKLLRKKMSQYRVPLQQLKINYSISTTNKKVYTEEEDRFLL VLLDKYGIDSEGLYEKMRDEIRDSPLFRFDWFFLSRTTTELSRRCTTLLTTIVKEYED VPARGSNGVNGKTKRDPDDENDEDSILGAPAKKKSKNGVKNKALDNVKSSKASSKATS RASSVASTGSNTTSKGKKGKKRK UV8b_04851 MRPILLAGHERALTQIRYNLDGDLIFSVSKDQQICAWFSHNGER LGTYHGHVGAIWTVDVEPTSTMIASGSADNTIRLWEVKTGRCLKSWEFPTAVKRVEFN EDGTKLLGVTEKRMGYLSNIVVIDINPDVDAEQSDEKALTIVCDESKATVAGWSNMGK YIIAGHEDGSVSQFDGKTGELLDNIPIHELNQPIVDLQWSTDRTYFITACKDKTAKLV AARDLTVLKTYPTDTPLNSATMTPKKDFVILGGGQAAMDVTRTSARQGKFEARFYHKI FEDEVGRVRGHFGPLNTVAADPTGKGYASGGEDGYVRVHHFDKGYYDFLYEVERERIN RMQ UV8b_04852 MDSFMKAAKSGLAKTVAAGQQQLQQRLAKYGQPGSGSDGSTSNG SEHQRHGQQHHQHQCNQRPLDTDSHSHPNWHQTTSPGVSQHVPYFPPPPSAASPPQQR LHEMHQTPLPQTANQGQHMYQPPPPPPPPPPVRQSCNQSVSSDMHYPQSSQYGHPPLP PRWDNHQQPRLHVEQGGYGTNLSASPVNTYQATAQTLLPASLVPYPTPSQQRQSEVLP RAEDGVYKMSHSTLPANLAQVQPQAYEPASSQEHQSGVTAQTETVSQQHASGVNPLLV NNTINPSENTAREPQQLSQVPPPTAPGAGAPTYVPGLVGSPTPKSPEITRIQPSSTPG TDVPGAVNNDAPQHHPARLGDSSSITQQMGNLDISRQIQEQAADPNQSAHGSFTNAKN QKLPPIVANGPSRDVIRYCPEDRIVDYPLFWYQLPDAPDFLVCTKCHQDFIESTPLAG NFKRILAEPGSRSMCRFWYPRVKNILWKQALRRNSVEELCSFMKRRLQVPDCRGTAAV LGSEGIKWFSPQDQEIPGFVACQACHEDRIMGTSFESRFVPNGDQGAEDQWTCDACLP YMSRALDKLSSRNDWSGFVAGSTRRLQLPTCEGRDSSATEGVWYALRRKLDDFFVCGT CYMDQLELTAFEAEFEAVNQAVYQSLGFDRWMDLLGQKRSCKLTSSGLAMGFALDAGL RDGDFNGFWSAAQMINKLVPCTPNGIVRGRWWTLAGGCANFDICEACYVGIFKTNELD GFLQPRGTCEATLLCNFCPAAPRFLEFFKKLAEALDRSVFSYYTDYVTKFAHVAECPG LDHRENSTWWGYDQVLWCEECQLTFVAETSLGKEQLQYAGASDARAQICQMWSPRMRG MWLDVCRAGEAGPREELDHALVKLKEFGERRLQVYLQTVPRIRFIRKMKEIRMMQAVH QGHLSVMYSGMNSLAAVSGTGDGNWHGNSQLGWYETEHGATGAQMFNNMQAGFADANR TDEWMQIMQLQTMWSEVE UV8b_04853 MSSARILDGTAIARGIREKIGADIARKQQANPRYKPCLKIIQVG NRSDSSTYVRMKLKAAAEAGVSCHLIQCPVDITEVELLDQIRRLNHDPAVNGILVQLP LPAGISEYNITSAVADEKDVDGFGTNNIGELAKRGGAPIFTPCTPKGVMFMLGEAGID VAGKTAVVLGRSNIVGGPVSYLLRHADATVIVCHSKTQNLETYLKHADVVVAAIGQAR FVKAEWLKQGAVVIDVGTNFIPDASKKSGQRMVGDVDFEAASKVASAITPVPGGVGPM TVAMLLQNVVEATDLFFEKEKLRKTIPLPLRLKTPVPSDIAISRDQTPKQITRIAAEV GIAPHELEPYGAYKAKVDLDLLKRLQHRRNGRYVVVTGITPTPLGEGKSTTTMGLAQA LGAHLGRLTFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANN LLAAALETRMFHENTQKDGPLYRRLVPVKNGVRQFAPVMFRRLKRLGIDKTNPDDLTE DEIRRFARLDVDPETITWKRVLDVNDRHLRGIVVGNAATEKGQSRETGFDISVASECM AILALSTSLADMRERLGRMVVATSRSGDPVTCDDIGAGGALTALMKDAIKPNLMQTLE GTPVFVHAGPFANISIGQSSIIADKLALKLAGTEPGEKHDDSAGFVVTEAGFDFTMGG ERFFNIKCRTSGLVPDVVVVVATVRALKVHGGGPPIAPGAPLDPVVYKEENVDVLRAG CVNLKKHISNARSFGVPVVVAINKFATDTEAEIAVVRDEALSAGAEDAILADHWAQGG KGAVELAKGVVAAAAADKPKDLKLTYDLSGTIQQRIEAIGQKMYGAAKVELSELAQKK VDTYTRQGYGNLPVCIAKTQYSLSHDPDLKGAPTGFTVPIRDVRMAAGAGYLYALAAD IQTIPGLPTAPGYLNVDVDTETGEVDGLF UV8b_04854 MSGHATNAWLRSQRKSDLSDLADSVGMRNYESLKKSELEVALDD FIAERSGRLAHRPDLAPYFSSRSKALGSPIKKERDSRDEMERSLRVGRRRITRSAEDQ TSESDERAPSTAPSTAVTRTPARQASSSQAAARIALPALPATPADVAEAIDRSAVAVR QRVASMYHETGITEASHATRDTLSTVTSILFVVSAFELWFIRPAILANRYAWTVPAIP ALGTSDRPVYLPDMFLLLSPSFWSPALTWALTSAVLPTLAGYFFNLSATSRPGPPRTR ARTAAAAAARSDTVVDPLTFSIAKALATYVVYAQGVTFGGLPSETAVARLDAAVYGGW RGVLVGCAIVAVTSIYDAVLNK UV8b_04855 MDPLLPRAESPSRNLTPSVTTLLGHCLYRRLTIWLMAILVLVTT LFLLDRHKTWMPDIVQHAKGHHPFEHKVISQWSEEPEPEHDEVLDGSSSTTDTVGSIA AHNPQHWEPDFAPQWPEKPAGDVDEVSPAAEIVAPVDDAYQDEADRLSQEEDEEGRGE FEEEAESKPWLQFPHLDGYFHGLKSLVEPSDLMPEYPNTTHAAASLPAPPEAENSWPT PALYNPYPNNRAKTKTCFLDRHGKIPAPDVYAYHGVPQHMPDAALGSYEIFGIRDDVC FDRFGRLGPYGLGYPREEGGLGAGEDTESQDNEHVWAATGKINYGSVDWGMAQDRCLE ANKHLFPKPDAEPGGFSTSGNAARKGKMSRQAVVVRCYTGFRWTPLAVANFRALVTEL SLKSGGEYAVHILLHVRDDKLPVWADQAVARQVLDDNIPPEFQGLVTIWSESQMRLYY PGDFENQVSNPSQTDIGGVYRSAHFALQVFAKQHPEYEYFWNWEMDMRYLGNYFELLH RVGRWADNQPRSLMWERNERYYIPSHHGSWHNFTETVRQDCMQSGKAPIYGPVDYPDK KPLLAEEQGQSPLPDSCGTGMDPDECGVGEGADLITFNPIFDACETGWVFSDDIAGYA NPTYEQPARRASIVTAGRLSRRLLMAMHEEVWRHRRTMFSEMFPATVALHRGLKAVFA PHPVSLARAWTPAGRAIDEAFNSGDHHSTSGWASPFDFYRESVFKGSSYYYDSQFAGL LWRRWLGYAVMDGRGPSGQPDSGRLRGGYEEESRDGGGGRMCLRSMLLHPIKSEEPDG UV8b_04856 MPRPAARRRQAVEAVPASTSIADFARVAKTRAFPDASAKRSVVV NLPAPPLASRKRKASSLQQQDGLAAPSSLTRRTLSFPPSSDEEDDAQSVAAKRLRRDA PALSAADSCNAASAPKQGRRAAESAPSRAHKTRRPGKSTSVIAKPAQQQSRRTLQTRI DAVYKKSAEKAGAEALVEKKKQQPLPHHLEELVALNKAFLETVMIHIAHHGSSSPIDI RLLAPNISRTWGKRQVTVEDIRRCIAIQWHGRPREESPFFVSDHGRGRLCVQIAAHMV GSSVNEARLCRQFQENMRALCAEKAAEKAANQASGVDALVESLSLADLPQAAVKEMGK GMHANPALAKGRRALADLKSGIAAKQQDQEAASKKPFANPDGTKMSLLDRLRHKQLAR ANEPLPPSGPELQRRAALNRVADVAATISMLSLSHTMSLPRQAFTMLAISEKLQDSLR VPLSREEGMACVRLIATEVAPEWLRIVPIGGRENVVIQRHSQPIDRVIHERVQKLLAV UV8b_04857 MPHIASPLAMSSQRSNVRLFTSQDLHSSLNANLAYNKDNQRPST PVRHIQENDYAVPAPPPPSPVSFRADAWTMPGRH UV8b_04858 MASDRIISALEHLIAHIVPTDPDEDPDAAQERHDACLEYVKSVI DNPPRPSISSDVNHASDLIKRRLIKTNPSQALKFSNLYSRLLSLPVLEHKWAILYLLH QLADSPDPNEPLPLTPVRPSAPNYREATSRDAIGRDAIKRHGGDGGKAPTPVLRNEEE QFGTAFQPEGLRAASGSHRESGDRGQQPRRDVSLKSTLLASHYAQVDPPETDILRDLP FTLQGLSSTTLPFLKPDTLQLPPTLPLPLLSILHTLAEPALLYRRLDEFCKTPAEGLL GQSLRSAIGSELRSYLSLIAALEGQIRRALSSLDESAPRGGIGKAGVTLKRCVVWTRE ATMGLRLMSLMAEESRDKTGGKLISLIHSFSSSHGDPVVAAFAERLLGSFTRPFYDIL RRWIYDGELSDPYKEFFVREQGQGAKRVDASKSKVVGNVWTDKYEIDEVIPSIVTQDF AQKVFLIGKSLNFIRHSCGDSPWVEKYSKAASKQLGYGDTATLEAWIDEAYKTTMKRL MDLMSRKFHLFEHLRALKDYILLGQGDFIALLMESLAANLDRPAGAQYRHTLTAQLEH AIRGSNAQFDSPEVLRRLDARMLQLSHGDIGWDCFTLEYKIDAPVDVVVTEWGNRQYL KVFNFLWRIKRVEFALLSTWRKCMTGSRGVLQNSDAVVEQTWKTTRGFLAEMIHFVGQ LQYYILFEVVESSWDELQKRIQKEDCTLDDLIRAHERYLDDITHKGLLGAKRRHDGSE DDERASASASASYLAQLSDMLRFMLNYRDCVDGLYSWSVSDFTRRQEADVRTSTKHDL DEHPLGGGGGGGGVSSEFPVLRDRLQQLGTSFRGRVQILLGDLAYQPDVNLRFLGVAM NFNDVYQPARRKTRPATTTKG UV8b_04859 MPDIDPAALSRPTVSLSTPILSSKTLPISAPGSGKPFKTSQVIP ARIDLEPLYTALKSAIGEKWLVYKESTSEFLIGRLSQAEYSERIDPILADGTGEKDHL HNQLVAALLGNVTREMPDPGLAPWVSANDKPTASAGSKPVTGDATERKLKGEVMQLPP RDRRRIKDLIHNDYDPRDGLFNLFTDTNRKNPAATDAAPSTATGINNMNFDLEIRKRF TQPLAVESGEFPDFGVVTARMLPFCYEAGLPSGHVADAPQLITIATEIFIKEVLTQIF SRTRSNGPGDSANVCYGIGTTWIQTHKYKKQLHLEEEGSMRGEVNRDKFGLLPIEAKA ASERGPLGTADLRIALEMADSGMSIFPALMTQVLYGYREGELEGWNDYTWVNNEPPSI EQVADELDLRSRMNGHTDAMDIDEEPWWDGAGTKDMDAFDSVLDSCLAVGS UV8b_04860 MSVPFSSPFGVNANPFGDRTHNVMHSLAEEDENDNGKAPNAAGI HSRTGPSFPGTLGRDGAADAPPTARGPPHPDSYPAQYNFGRRTSVSAESLKPSADSYD NWAPPFHEKTADQIERLKKAIEGNFLFSHLDDEQTAQILGALVEKPIPAKGIKVISQG DAGDFFYVVEKGSFDVYVNPRGAIQPGPEGLGDKVLHIQAGGSFGELALMYNAPRAAT VISAEPGCTLWALDRITFRRILMESTFARRRMYETFLEEVPLLSSLTPYERSKIADAL ETQKFARGEVIIREGDPGHSFYLLENGEADVYKGDESHKVLHYKKGDFFGELALLNDQ PRAASVMASTDVKVARLGKNAFQRLLGPVEGILRRTRYEGVKTGVEEMDPLHRG UV8b_04861 MAPAFHDSLPYIDPPPSEAHLHAARLLIQHEQQQHEQQQHEQQQ HEQHQQASPPPPPRAPIPPLDTKRYEAQVLPPPGTPPADLRPVLARAFASAQYLAARG DNLALLDRHGPPAWLLANYHLESEVRALEAELADTRRRIDQVNHERATRQAGVKPELD GLEEAWRKGLGRVLETEVAVDEVKAQIRQELRRRNAGPA UV8b_04862 MVDAWFGDDDDDDDDDDDDVSGGGTCIFSMAGHEGAVNVGLSPR RGQGI UV8b_04863 MLTRSHKANDRDHKGIADGTAAPVEYLPRYFAKSGYVDADPKKM KKDGNGKGNWGTIADDVMDHDFKFTNARRRSNSSTASHHINDFKTKFEVIDAEPVFEE SVHGPAEEDELSKTDSSESGHSAA UV8b_04864 MSKNMRDLISAEAELDDEEDDESYGEEAVQGRVKRNGAHIDDSS EEEEDDDDEEEARKIREGFIVDEDEEEEEEEEEEEEEEGEGEFDTEARPVKRKREHRD REQEERLDEDDLELIGEQFGERPKQESQSKFKRLKRGHRDEDDAPTERRGLDEIFSDE DEYAAEHRPYGRPNLRAQADEFDDFIEEDFPEDDEERTRRLEDLEVARPRDRGVGAVV DTTGLDKDALDDMEAIFGNGEDYDWALQMEDDEEDREREEQGIELKDVFEPSQLKEKL LTDEDNEIRFTDEPERFQLERKAFKNLQLTAEQFKEEAKWITNQLWPKKGLAQELQAP FGKAVSKVLEFFIVDEVEVPYVFQHRKDYLLHSKKVRKSTRDDPDGPDYTIQSDKLLN QDDLWRILELDIKFRSFVDKRNSLEKTFDNLKAVEVEDAIVEEMIPEATTMEELQDLQ DYIQFQYGAKLKDLASMSGNTSQMKRPGSKSSLLDRVRNGKACHFVKAYGISADQLAK NALRQGKKITPDDDLQYPMDLADSLIDDSFNTGDQVISASRQLYSEELFASPRMRKYF RSSYYQAAELSCRRTEKGLRKIDESHPYYEIKYLQNQAIADLVHQPELFLKMMRAEEE GLVDIKLGMPPRYDFRRQLYQEFESENFSDRAEQWREERKKVLDLAYPKLEKVIVKNV KEVIRTFCQDEVLKMCRQEFYRKLDQAPYKPKGMILGTTPRVLALSNGMGDPAREPVC WTWVEEDGRVLEQGKFDNLLRDEAQREGFAELVRRRRPDVIAVSGWSAETHKLVRDLE ALVSDKDLRGAEFDDAETNDYRTEPLEVVIVDDEVARLYKDSPRATAEHPSLNPVSRY CIGLARYMQNPMKEYAALGRDISSISFHPCQHLLPQDKLTKYLESAMVDTVNMVGLNI NDAMTDAYTANLLPYVAGLGPRKATSVIKAINANGGSVNTRDELVGDPDSGKLPVVGP RVWNNCASFLYIDYDATNPSSDPLDNTRVHPEDYELGRKMAADALELDEEDVKAETDE NGPGAIVRKLFKQDEQERVNELVLDEYADQLLTNFSQRKRATLEAISAELQAPYEELR RSFAPLSPSDIFTMFTGETKSSLCEGMIVPVNVRMVRDNFAVAKLDCGIEGRVEAHEV TSRASVKDVLSTGQTVQAKILELQYKDFMAKLSMREEALRIPFKRPVNYGRDGWDYSL EAADKEELREKDQTTGRTQRVVKHPNFKPFNSIQAEEYLGSQPPGEVIIRPSSKGNDH LAITWKVADNVYQHVDVLEMQKETEFSVGKLLRIGGKYTYSDLDELIVDHVKAMARKV EELMRHDKYQSRSRSETEKWLTTYIDANPNRSAYAFCIDQKHPGYFWLCFKASRAARV IGLPVRAIPQGYELKGYQYPDMRALCNGFKLRYQNEFSKMGSR UV8b_04865 MHRLMSTMTSARRFAPLKAGAAASTAPRLQGIVFDMDGTLCEPQ NHMFVDMRAALGIPKSVDILGHIESLPATEQAAARETVRSIERRAMVSQTPQPGLAAL MDYLNSRTIRKAICTRNFDVPVRHLLDKFLAGSAFHPVVTREFTPPKPDPAGILHIAE QWGLSNASGLIMVGDSIDDMAAGRGAGAATVLLVNDANGWLASHEYTDLVIERLDELV GILDEGFRGRAVDKSAASAAETDLASETTSAGL UV8b_04866 MADPFAPRSMKRKNVKGLALTPAAPRPPPTAESWVGADGGKDDS KDEQLEIGIEYKLDLGPEDLQILKELGSGNGGTVSKVKHLSTGTIMARKIIHVEAKKE MRKRIVRELQIMHGCHSDYIVNFYGAFLNGNNDVIMCMEYMDVGSLDRVSRVFGPVRV DVLGKIAEATLGGLTYLYSKHHIMHRDIKPSNILVNSRGSIKLCDFGVSGELINSIAD TFVGTSTYMAPERIQGEKYTVKSDVWSFGLTIMELAIGKFPFSSSEQFSDEDCAPAGI LDLLQQIVHEPAPRLPKSDAFPSILEDMIQKCLYKQPDQRPTPQELFDREPFVQAAKR TPVDLREWAVGLMEKDNRKSHLASQLSPATQDLLRLSDAPTCSAQSVEDRTVHTPTSG EIIIAGAGITSPRDHHNRSPSRNGNGIGRPAVAIHHPGMGSRMATTNSIPQASYYTES SGQGPASASAVTFSLPVRLGPQGNNSLPPPLARRQTPDDFRRENRRQANFGLPANPSP SYGL UV8b_04867 MAAETLQTSESPKDAAGACEADDARSGPSEAGPGPGPGPGPSRA LGLDQTRDITEAEHQLGFWEAIKLYPQATFWAMFFCIAVVMAGFDAQIITSFYALPAF QAKYGDWLGHHYEVAAPWQTGLGMGNPIGQVLGALASSYPLHYLGRRRTLGLCCAWSI CFVFVQFFATSIEMLCVGEILGGLAYGFYVVIAPTYASEVCPLILRGFLTTCVNLAFV IGQFIAQGCAAGVETRLDEWAYRIPFAIQWVWPVVLLAGLPFAPESPYWLVRRGKRDD ARKALLQLTSKENRPDVDKILLGIEQTDLLEREYATETTYRDCFQGVSLVRTEISVMV YLIQVIAGNPLIGYANYFFERAGLQPSDAFSMGVGNTALGFTGTVLSWPLMNYFNLGR RTIYTAGMVAMTALLFAIGFLSIPSDSNGAVWAMASLMDVWTFVYQMTVGPICFVVIS EISATRLRERTIAVATAVQAAASIVFTVAMPYMLNSNEANWGGKAGFLFGAISAVCLV WCYYRLPESRGRTYEELDILFERRVPARRFGEYDLLAEAQAHP UV8b_04868 MDRLIASVFPPREASEQPEASSTPGSRVRLAKQLRRKLPQKTKA ERHQNASHPPSGPKPSSKIMAAVQITLEPKLHSVPCEPPTTQPFKSPAQASASSPSQL AQNSSANSRPRLNIVIEPSLSPAASPGANDDIPAQQVEYPFNRLSDHRWAGNSIEIQV EWENGECTWEKEAILHQDAPEALLGYWKTIGGRPTNEKDPDLFDIHAIRKHSRNGKSL LVEWVGYGPEDATWVPQAVLQETAPDAVVEYWKSVKAAKRVRKRHRRGSRN UV8b_04869 MRTCLLLVLGLSISVASADNGRPKTATWATKPVGPDGPWNAVRV SIGGDSQPLMLFPGRLWQTIVPTSSYCSKNASTGHCTSGTYLKDKAVADQLNGIQFKT DVQDVMAGVQMKGKNLDTYSDTCDVVGEAVPNCSLALVDDQMMAYPGGQWFPLFAGCL SLGAGADNQSYGLPGRDLINATIFPWYLYRNGAVPSSSFGMHIGSASAASPMQGSLSF GGFDRNRLTGDVLTLAGEPSTPVLLTDISIKVVRGPSPFDLSDKKANLLLKGNSSMPK TGIPVTLDGCSPYLTLPRTTCEGISAHLPVTYNGSLGLYLWNTKDPRYKDIVNSASVL SFSFMGSDNTKSVAVHVPFQHLNLTLEPPLVDEPVPYFPCSTGGTGSYVLGRAFLQDA FLAGNWHKETWWLGQAPGPNIPANADVVSMQPDDVSIRGSGNDWVASWDPIWSSLAAS NSGPSVPQGQGAESKSDLGLSTGGKAGISIGATAAVLVILGALLIWWCKRKHGKQATQ EPCQETYFREKEGMPGASMYEPKCVPYTPQIHETSGKPVQITQPVYELS UV8b_04870 MIRWGTPSSKRFCLAASSLITLVLLGAFYSRQARTHAPAWNIVS KDLDWSKFAYVQYVTNSDYLCNSVMLFETLDRLGSRADRVMLYPESFPSSDDDARLLI KAQVDYKVRLQPVQIQHRAGSDATWEDSFTKLLAFNQTDYSRVLSLDSDATVLKAMDE LFLLPPSPVAMPRAYWLYPDKQMLTSTVMLVQPSVFEFERVADEIAHASGSTYDMEIA NKLYGDQAMVLPHRPYVLLTAEFRSANHSRYLGPSGRHEKWDPDAVLDEARYLHFSDW PVPKPWVESRQADKVRDEMQPRCWVRDGVEDCRERELWHGFYADFAARRRRVCR UV8b_04871 MPNQNENPSAPPVNDDISAQTSDRRPDVGRNVGKEARALAPDLL RGLLMMIMALDHAALGLHTWQHGTGRVQEADGQVVRSWNFTTAYVVRTLTHLCAPGFT FLLGMGVVYLGRSRTRLGWGPKRLARYFVVRCLVLTLVTVVFGLVITRGTIWFLNIVL FALAVDYLFAGLLWLALDKTEELLSRSIARWTKGRIDDASPDSDEQGITQPLLPETEA ARDAQTASYHECMSWSLGNALLLVLALVAIFWNIWLSEHGGVCKQAPAAAAQTTSPSN PFLRVWFWVMMEPGSRVISGFPPMAWLSFALLGVLYARIMTARPWTRRVRTLGHVCAA MLFLTLFVVTRLARFGNLSEGCLQTPDQEARPALNPYLASAKSFFYLVKYPPDVAFFA LTMAGNLVLLAVFTALPPVVCGRLTMLLDFGTSALFFYMAHMVALFALGDVAVAWFGR ETGVPGPMDPEDTKGIDDLRVYFVFWAAVMLVLWPVCRAYGRFKSSKGPDSVWRFF UV8b_04872 MASIFTYDPDPPRVSSPWLAPDDPAELGKISRDSGSPASSLSQY RLTKLQAEPQEGPTEYKLHLLLRPRRAYTSMSTVEQRRASLQPATGSHNAKLPALNSP AASHQSRQERLKHLTTQLLWRLQQSSPYHATSSKESAVVKLAQDSIDPNSPVKLEPLV PGLEESRGALYEIGVSDDGTLIGLTKDEMSESLATLRAMAASLGCLVDVVRMVVVGDC EWEESADAPGDADRAVPLVPSKGELWVAEALVTPSLGIQQEPSTGEVGQRSAGAAWHT PTSAEAVALPSRGSSATPQLRITLTGPTTSGKSSLLGTLSTGTLDNGRGKSRLSLLKH RHEMVSGVTSSIAQELIGYRDDAILNFAQGNIESWVDIHDRAENGRLVFLSDSGGHPR YRRTVLRGLMNWAPHWSILCIAGDAAETVAVAGSDFTGPPGRDALGGAAAGIDLVRAH LNLVLKLEVPMAIVITKLDLASRHSLQKTMVKVLAAVKDAGRVPKILQPDQVQHADLR RIPPADLSKADSFVETLSRSGSSTSHVPIVLTSAVKGTGIGLLHALLAKLPLPPPPTS RDYVGMALNPEQPRSLFHVDDTFSLPSSYCAMATGPRGPADRGVVVSGHVRFGSLSVG DGIVVGPFQPEDDESRGARMAVADRPSPGGYGLSISHPSSSELTRMLANACVPASTVP GEWHSAQIVSIRNLRLAVRTLEAGQAGSVGIAFGASADGGAGSVSAENLRVRRGMVLA IPSSHMLDTRLSLQAASGFTAVFHDPAMQSLAAGSFVNVYVASVRASARILRILGHRP GPDATAGANDVEDVLGLGADVDNVESSGEDAGEWLGEVTLELLHNREWIEMGSKVMML EGGSQDRSGLEGYVGKVIEIVE UV8b_04873 MPSEREYQIHPLVPDSIVHNTKVLSNLQSLTASLFGVTAGTLGL ESYHGFLFYIASSILAALLFYGFKVAPGSLAEGRPLLSAGRYFTGSFELWTGGIFNGL SGFILTWTLFYGLVRA UV8b_04874 MENSFEPLKNDLLLRAARGQVVERPPMWVMRQAGRYLPEYHEAK GSRDFFECCRDPEVASALTLQPVERFDGLLDAAIIFSDILVIPQAMGMQVEMVDKKGP HFPRPLDAPTDGQYERVLERPVDVQRELAYVYDAVALTRKKLAGRVPLIGFCGAPWTL FCYMVEGGGTKLFARSKTWLYRHPRETKALLAKIADICVQHLALQVKAGAQMVMVFDS WAGELGPSSFREFSEPYLSRIAEKLPETLDAMGLDRVPMTVFPKGAWYALDSVCDLGY DVVGMDWLQDPAEAVRIRGSRKVVFQGNADPGVLYGTKEAITSAVEQMVKGFWVGKKG WIANLGHGITPGVDPDNLRHFFEEIHRLTADEK UV8b_04875 MALRNETSSPTAPAPLSSHGRGGAGNMASASQSPKLNPSDLETP VLKTPVVTTGRGGTGNMARNDDPDETRLRQDVGAVPRRYSGGVQHGGRGGAGNVFRGD EESAELAGKASREEAVADDGAGPESDPAGAAGAGAGAGAGAAAKHKSWLFGKKS UV8b_04876 MPPSSAHLSRLDEASSDSPGSLASANSGKPVGNQAQLLAPFSKI DEVSGRQYHLDVVQQPKRARMCGFGDKVRHSAPEDFQKLMLCSDIDHSMFVLNVDLWS EDGSKEVNLVRSSAGSPSASTPHPYTTLNGGDTAMVPCNQSIASPSRDSTYNPTHGVC YVQEYQMQASFAQTSPYPRNGSYGPPQQYYPQHQPYQADGAMSALPSHANATTYGRSG SSGSTGYVQDHNSLGRMSMVGGQPQGMFTRNLIGSLAASAFRLSDTEDRIGIWFVLQD LSVRTEGPFRLRFSFVNVGQPDGAPRDGSAPKVNKSRAPILACCFSEIFNVYSAKKFP GVCESTPLSKTFATQGIKIPIRKDANVKVGDDDDYGD UV8b_04877 MGWDVRLDGAGFAKGWLVWRGASGERERQHVLGMGVVVFGDDAA ADDDAAADDAAAADADAAADAAADAAAAALAVDSGGGCDCTHIASSSGVKAWLSPAVR RQPLQTGGAAEPSPRRQGTSNSWPPRWQAVQSLIKGRPRTELFSKERKH UV8b_04878 MDLVNHLEGRLLFAVPKKGRLNQAALDLLEGADIQFRRENRLDI ALVKNLPIALIFLPAADIPTFVGQGRVDLGITGWDQVREHDASARCASGLGDAAQGCD MVMSLEFGACKLQVQVPEKGDYATGRDLVGKTIGTSFVNLTAEYFARLEAGVGTTSST AATSTRDLQTKIVELSGSVEAACALGVADGIVDLVESGETMRAAGLKAIDTVVQSTAV LVQSRSSTNTDMVDLIASRIRGVITAQRYVLCQYNIERTRLPEACKITPGKRAPTITT LDSDGWVAVSSMVRKKEIALVMDELSRVGASDILVLDIHNTR UV8b_04879 MSPNPADGDRQPRQRQSIPMEYLPSAPRNDSGAQQRPNILDAMQ PDESMDKNTPESAGASRDSAYWYTSQNLSPSRSATGSPIDPTALQAALPAEFPSSFPE ELNRSSRAVGIFEESPYVEDAANTDCDDSDRMPLTAGAQSISGSLLRDGHDQGRSSFQ TVSDLGNSNTTQAGRPLSLEHNPEASFEPSRGRQHGYGQMLNPDECRASRANSTSGAL HRAGSIVRAMSQRVVNISGESESIDHRPSRQQSFASRQSFIRDRDGSPAISMLADNSY QSQTRQAGPEKREILHQTRELAGNDPPPNLLKGRSLGFFSPDSPIRLWLCEVLVNPYT EPFILLLIVLQTLLLAVESGPNVFLQGNARPDRWGRQPVDWIFLGLFIVFTLELIARI IVSGFLLNAAEYSTIDRKRGIRAALAEQYKVAFRPQRQKSVKEMRQAEPQQSAFSRSF TTIMQGQQSLPSTVEEHQRYQLARRAFLRRSFNRLDFVAVVSFWISLVLGLAGVESQH RLYIFKMLSCLRILRLLALTHGTAIILRSLKKAAPLLVRIAFLLGFFWLLFAIIGIQS FKSSLSRQCVWLDPLDPKNFSASYTNDMEFCGGYLDNQTGKILPWVKFGTVGSLDNLS NGTRDGKGFICPRGSICLEQHNPYNGTVNFDNIANSLELVFVIMSANTYSDLMYRTMA SDYLQAALFFGAGIMIMLLWLTNLLIAVITSSFQVIREESKSSAFTADIEPPVQPRQG ERVCRPSPLQRLYEKLSIFWVLVITFGLVCQSFRSSKMSPSREKFITVAEVITTIALD VEILLRIGSDWRKFHRSWRNLFDLFLAIVTGIILIPPIPGTRTYQWLTVFQILRVYRV VLAIPVTRKLILLVLGNAAGIANLMLFVFLMTFLVAIFAAQLFRGLLPTYKDGSLVRI SFFTIYNSFLGMYQILSSENWTDILYSVTSFTKVYHTAWIGAIFLIGWFILSFFILIN MFIAVIQENFDVSEDEKRLEQVKAFLQRKELGHNPSHLTLSTIFSLGRARRRRDPLDY GPAMMEMLLKDAVVRDFLDDHTSDALQDNAGNASQRSATNLFSDNAKPGIVATLWKRL VNTQDKDPNPFYANIRFDGPNDTLDPRQMARQAVSATAARRKAQREYLARHPRYNDSL YIFKPKHFLRRACQRIVGPARGHERFDGVEPNKILWHTFSAFVYAVVAAMVILACVTT PLYQKGYREQHPLNTWNWYVWTDLAFTSVFTVEALIKIIADGLFWTPNAYLRSSWGMI DGIVLVTLWINVVTLLINDGAIPRAVGAFKALRALRLLNVSDSARDTFHSLIIVGWWK LIGAALISLSLLIPFAIYGLNLFAGLMVSCNDSNNMDTLSGCFGEYNSTPFSNNWPML APRVASNPYLNFDDFGSSLFTLFQIVSQEGWTDVSFAAQAIVGRGMQPQDLFAQGNAL FFVVFNLMATVFVLTLFISVFMRNYTEQTGVAFLTAEQRSWLELRKLLRQISPSKSSY KESEKWWKKWCHKRAIEKRGKWYQAITIVLVLHLLLLMIEFRSEPFWWSKTREALFLI LISIYLSNVAIRIVGLGWARFRKSSWDLYSLVCGSGSFVATVALMMANARAEASVQFH KFFLVAMVLLLIPRNDALDQLFKTAAASLPIISNLLATWLVIFLVFGIALTQAFSLTR FGDNETADINFRSVPKALILLFRMSLGEGWNQIMEDYASIRPPLCVEEERFFDSDCGS KAWARFLFVAWNIVSMYIFVNLFVSLIYESFSYVYQRSSGMAVVDRDEIRRFKEAWRS VDPAGTGFISKEQFPRLLGELSGVFQMRIYQPEDSIAQILEDVRDGPESTRHTCFATT TNLHNNNDINIDRLNQRLAQLDVDKIRERRRRFNIFFGEVLVSADPDKGIAFTDVLFI LAHYNIINDSKSLKLEEFLRRRARLQRVDEEIRRKVVQGFFDTLYWSRQFKKHMRNKY ASRLTTIPQLQIPHILVDDEFSDQVKQRDGNPLGEEPRWTQLSAREGDGKLATCSPTD LTLGDAEHQHPLSQPQLGQSASTRQGSVSAFSFELDEPGTDPQPASPSSRNGSIVSAT DARNMLDDSVWMESIRRSATLRRPERGSYRFGDLG UV8b_04880 MPSRKRGMEDPLGTGENETSPLLQQIRNMWQFANLCQWIYIFGK AAKVDDSVDIEEIEAECLNPQSAKLSNIALALLKLVSSHRGLNHEILDQQLRKQYIAN APDQNPFGDEANPVSFANLSVITKIKILQKLTQWTMMYPERIRERMEEQKDNEQINWR IEPFGWDHEDRIYFVLDDNRIYRLTQPNINAQSNLGKRKHSRGGRRPGKRRRIVSPSE TEHAGSIDDGHVAGLESADDVVGGVWECVAVTLEETRVFLNSLNRTRDENEKILRERV EKHLLPILEKQEEAMKRRQQQRERERINLAKMANAKRSSRIALKLEKQKQDEEEREQY RQQLEAEKAQQREILSQQKASEERDFRMFSRQRRLKERELRRMRYAEELAQLSEDSKN AQETPRVSERQLVAEIEKKRQAMRAIQLEEEDWVFDCKCGLYGQIDDGTHSLACERCN IWQHSSCLGISEKEAEKPDFRFVCTSCDRRETENCKTSKTIIKLKVPPAKGSAMPAEH QYDSEISQPGELRTAENHLS UV8b_04881 MQTRTNATADSSPRSQQRRNLYVQSTSSFIDFMFDEGINFLLPA STMTNCWSHKQRDKHKLSPASRIRDAIGPVRNVKFAPRKRTAQGGVPRGRSRTPLSDL DDDKPLLLEKALSESAEQYPEDYIDPETYVKRSVEERQQKSLEEGKISRPLNSYMLYR KAYQQVARKVIRKDQQQSASQTVGTSWTKLEHDDVKSRFRALAKIDHQMHHKAFPSYK YTPTQGKNPRNSPESRKLPIPVEGQLTQQPEDQDMEFDLAISSTEFTSPTSEATKPLL SHEDSISWWQEEHQNVAAPFSRNGVSSHGYDAYLMPDSACFDSQAVDSFQGHGPVQLS VQIAQLAPGQLEGLGMENCIDPSLFSSTGSSSSPDSIRYSGWQPQESITSQGLTSFMS DFMASQPFNNGFPNQDIWPAEQVSERVIYPGWQASCRDNDV UV8b_04882 MTWFSRTVTFTGLLLLVHSCYSAQEHAAISSALKAPTSNLQNVA SSLPIDIRVESILATLIICVGIVVGSENLHPIRWQVWAGKIEREGDAGFIDGSGQVEK NFRGNPYSVLESRPGFLDIRKQRHEFTEWARNYGAK UV8b_04883 MRPDIRMPDTFGNDYGDWQQGYIEDDHESPSDVIMALNICNGMM GCAFFDAGNELLKVSDDVPEAAMSVSEQFAEYAQATTLLISNRASPELHDYIQNCLPS YKDILKHISSSEYSTESAIQKLSSAGIDWSSAFESSSKTSADSGFCSSNVLHQLRLDV HQREQLGGPTNALNIENPVSVGCIGAVLSELARKQLASRSMPFEMEPMIRVRSIERFS LKHYAFASPETLQSLQIFHPTFQPKSRPLGPDSRTHGEGENFSIYGLLHRFIHTNQGR SALSALLRKPLSNIEMIESRQQVIALFLRPQNRQTTHDMSQGLRKVKDMKSTLRVFVI QAVKLPRLLHALAASGQHHLINDIASKFDINSLTSIGELIHSTIDFSQGEPQELLTIR PGTDETLDQMKRQYDGLSSFLANAVQSFREECPTWFAKHIRSCVYLPQLGFLLAIEKD ADSAERFLEENRSDVWERIFVADDAVHYKNGSMRQLDEKFGDIYCKIAGRLDKLDGNS GADHD UV8b_04884 MIPSPSSGNATLPAFAAATPHFLLGRAQCPGGTFPCPTSLGAVF SDICCKTDQLCTLDGGNNAACCPSGAVCTGSAPSPVTSTVPPAPTSYVTNPYYSFPYA PASLANSAACASATSACSSHYDACVTNLGGSGYGVTIDVPGGGGTTVNPDNHRLGPSA TAVCSSLSSQACASLGATRCDSFSQGSAASSGRSRRKRSVAVTIAISTILPMVIASAA UV8b_04885 MSRVRRGDAMDTNNEENPGGSPPSNSSDHKGKGKAGQDEPAQGG GSKEASSMLDRVQSSGRALLRDAISDKTMPGFVSNPKGASASASASASASGTSTCSPT SGFPHADITKTQSGARVQDKLPQHAFRSRHDSLRGSAAFAAFASASSLGDAIRADRLL GSPGQSTSVSDQQSLDGMDVVKLLSEPEVCDDASSADGSELLTPLEAARLREALFEAG STWPFWDQLLNFTPSFQPRPDSSADVARSHLGTGDPEEASRIWLQQWSDVLSSYTDEV WGDLGPLALEAKREVVQRARAGDDESRSSDTKALDRLRLILAQVRGRSEQVEAEAG UV8b_04886 MVDSEANSPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKSCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHYLLSTNDKTIKLWKVFEKSLKVVAENNLSHDVTPGSVAGAGAQRPMAMNQHFRNA ADLKLPRLTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNI QDQSFNIVDIKPANMEELTEVITAAEFHPQSCNWFMYASSKGTIKLADMRESALCDQH AKLFEQEEDPSARSFFSEIISSISDVRFSYDGRYILSRDYLTVKIWDINMERQPVKTI PIHEHLRPRLCDTYENDSIFDKFEVVFSGDAKNVMTGSYNNNFMIFPSDPDKEVEVVL QADKSAFKAKKVGVPTPINFSTSPTTNGGKKNGSRAGSPAGGAQRMKKETDADQIDYN KKILHMSWHPFEDSIAIAATNNLFVFSAL UV8b_04887 MQPQYRNYAQPVPQRSPHGANQRRGGIGPMMSSGPHPSVPLTQA QIAQQHAAQARANELARRRSRKPTDKNIPDGVEDSIVDPEVVQRYKALRDVERTLDAT LTRKRLDLSETSARTVGKLPKTLRIWISNTVEDQAWQGNGLNVDAFDFSPNLEATYRV KIEGRLLDSDGLASGRPATADAQPVQVTQPGSTDASSRGAGQSRGGASEASSSSAAKH RFSHFFKAVSVDFEQARFRSAAEQQAVEWKKPEAAPKNQSAAANAAVDFDELTFKRSS DENMNITIKLYRHEVPERYHITPELSEVIDMTEATHQDAVTALWEYIRLWNLQEDEEK RNFRCDELLKKVVGRGDTGYIPMLNEYVAQHLRPLPPISLPYTIRVDEKFHRDPQPTI YDVQVLVDDPLAGALQPFVNNPQHAGILKDVALLDEQLARLIQAIGVSKAKHSFFKCL SEDPATFVTSWLSSQKRDLEVILGDLNSGGGDDWRQGGGAYGTWTTPNARESVNVLLS RQR UV8b_04888 MSFLFGRARSRATADLPRQAREHVLRLEGPNGGAKAEELARVLS QMKVVLQGTQETDSSPEQIYHLVTGLIDEDLLHLLAVNLYRLPFESRKDTQVIFSYVF RFRPASAAPKSDPIALSYVVCNRPQVLVELCRAYDHKESAIPAGSVLRELLKNEAAAA IILYDDGDEPGSSAKGLNAIDRDRPQSGRGVFWRFFDWIDKSSFEVAADAFTTFRELL TRHKDLVPRYLSVNFENFFEKYNGTLVQSTSYVTKRQSIKLLGEILLDRSNYTVMTAY VDRGDHLKICMNLLRDDRKMVQYEGFHVFKVFVANPHKSIAVQKILIMNRDKLLTFLS HFLEDRTDDEQFIDEREFLIKQIRNLPHSPVQAQR UV8b_04889 MSIDFPKEEVATIERWRAINAFRRQLELSQGRPHYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGYHVERRFGWDTHGLPIEHEIDKKLGISGKAAV TEFGIEKYNQECRAIVMRYSSEWRLTIERLGRWIDFDDDYKTMDPKFMESLWWVFKQL FDKGQVYQGHRVMPYSTVLTTALSNFEANQNYQDVTDPAVVVSFPLLDDPSVHLLAWT TTPWTLPSHTGLAVHPDFEYLKLRDEKSGKVYVILEKLLSTLYKDPKKAKYSVMGKIK GKDMLGWRYKPLFDYFYDDFKDHGFRVLNATYVTADSGTGIVHQAPAFGEEDYNVAFA ADVINEKRPPPDPLDDTGHFTAKVSDFAGMHVKEADKHIIKYLKSTDRLVVESQLRHS YPMCPRSDTPLIYRAVPSWFIRIPEIIPDMLKNIEGSHWVPSFVKERRFASWIANARD WNVGRNRYWGTPIPLWVSDDMEERICIGSVEELRQLSGYEGELHDLHRDKVDHITIPS KSGKGVLRRVDEVFDCWFESGSMPYASQHYPFENVDKFQKTFPGDFIAEGLDQTRGWF YTLLVLGTHLFGCSPFQNCVVNGIVLAEDGKKMSKRLKNYPDPSLVMDKHGSDALRLY LINSPVVRAEPLRFKESGVKEVVQKVLLPLWNSYKFFEGQTALLKKTEGVDYVWNPNM ESENENVMDRWILASCQSLLEFINEEMRAYRLYTVVPRLLELIDNTTNWYIRFNRRRL KGENGLQDTQHALNALFEVLYTLCRCLAPFTPFLSDTIYLKLLAHIPKALQAEDARSV HFLPFPEVRQELFNSEVERRVTRMQRVIDLARVSRERRSIGLKTPLKTLVVIHHDAQY LEDVKSLENYITEELNVRDLVLSGDEASYNVQLSVTADWPVLGKKLRKDMARVKKGLP DLTSEEVQKYLQTGHILVDGIRLEAGDLVVRRGLREEAASKNLEINSDNDVLTILDAE LYPELAQEGIAREIINRVQRLRKKAGLQATDDVKMEFKVLSDPDDIGLAGTLASQASA FEKTLRRPLEPMGDGDNMHNVIAEEEQEVQRATILLRLLSI UV8b_04890 MVRLAEFGRHEKVQRPKLAIPMAQIGVRVQDQSNISVHESVQPT INDSKTLKQEPYYSNKDITILHAIVSSAQEKLDQSPDPKPLPAAVLFKAYDDVLPTFG LDPDSDHHLSALVFRIGGEHGSGSLLENFQAILKRMGIVLEFRDNISISEQSRSSTPL SSPQREFMRPSPLKEQNPRNNDKSGEEPPRDYVPPETFNAPKRSSHAQTDAARKAVPN GTSTTTLPELRCRVQSTATVQLDHALADPSANELLAASPNKLSADHDAIARFSDQALA LQKHTLVSVIDRWRNAASKGSNAAGPKVAEIFNHNLFNLKRRNFTEGQTTAMDSRSHP LTVDGNSLQTRLLLEVRAASDPESPEQDSFLSRATRARQIFLASKVFNRWANQTAIRL EREYVARRHMVRFRCFNSWNHAPTSESMQVSILRATTAIRKLRRTIACHEDWLHQASA FIKRTHLHRKASYMLNYWSTVILARSLVQTSNARTKAEVVTNWLRQARTSQSLHVIAG KISQILESGCVITKWIGHVETNQARIAAARKLGFMVKASFWLHKWRDHKWVATTRLDA EQEAISSRHHKAQSAVILSGRLRSMGQGQVELDRLAERAQWYIRATGLLDAMQSYAKH RKHEAKLAVRRYLMMRYTENSSRKRRRIFYSALDDWQSHATKSAEIARLVNQHRASSV LRRNTAISTRWRRHALQMTKLHSVALDRHAQRRLHEWLVMSAADDQQQARALQSWAMV QQHQTLKRWTISTLQRGGQAHSAKVIRQRHEREYRHRSLHGWKRAVARSSSGAAPVFE PPSPALTEPASSSRKFTKSFPTRRPLLRRLEDESTLRSLMQTPSRSTGLVFASSQNLP FHIMDSVEEGERESVDSVNLDAGGPSRRNQSSRSFRAIPPNQLASTTPRAPLPVRVQS STRDGLTTPGRRGQQFFAKSTQTQSSPTKSGQAAHTPFTSRRNRIKELLQPTVTFADS G UV8b_04891 MTEATQDASLGKPTQDSLVTTDAAAVKPFKERRSNLPAASLYAS TVSPTTPRPTSHTRQYSSSMILSGSRLDHSPGPGPASSTDTTSAEPLHQIVQSFVPHI SVYASDDTESLISAKGFQHGLWELLRPFGERIPRKVTIRDSNGLSHTTDDFAVRFTRF GENPKPHDVSASSSRQSCATDDPGGVGDGSSSERSTLVEVDSLVERHLAFAETALPKT SLHLPDALMQGLDTEHPSPYYSLYLRRLLYQTTVAPQETFAHPVACVIAISSRSDNPI EELRKLYAETTQANKHFPPWVDNDYLRYYVLVHDDENDDITRSMGFFEQMKRHLGFHC HLLRLRCSKSAETDDDSVPLPDSDWISAGEELARIDKSERDEDLETPPQHIFESDATA IRTFVREMVTQSIVPTMERHVSVWNDQVASRRRGIAGRFMNLSRKWTGFGSSSRTSPG NHANTRDALDSLGHYHACSAEAIMRKLADYAFMLRDWKLAHSTYDLLRSDFSESKAWK YHAGASEMAAVSLLLASRAPASRLRAEPIDQMLEAAFYSYSSRCSAPLGAARCLLLGL ELLRLRGGPDVDDAGGWGSRLHDSRILGPVGEALLKERLCVCYLSKRGSGGWGWGGRS RKAAFWSLLAAEAWWRQAKYIPAQRCLEATRRIYENLPRSNGIVRFQVAQEHVKSLQL ELVKKLSSHHMASAKVQDDEEGAGTDVESQSFATLPSRRRSVTAGITALTTAPLGGES LGGNDASASQQALEFE UV8b_04892 MTTKKSLLDGIRYHMMKFSQPQVSDNSVDPTDQTEFARPVTLHR RDARQPHPGRAVKEEEPELPPVDEKEVERLAQIKAEREAQRAIDQAKIAPVAKEIQPK RPKKQKEEKTMFNRAPKTDAAKKESDIRYEEALPWHLEDADGKNVWVGNYVAALSEAN VAFMIDKSVFRMVPLEKWYKFTSKPPFQPFTIDQAEAFMNRKVDVGRWVMKDEEKKMG QNDLEATRKLLYGRGQMIKAESDTFKAASRSEKLDHDELDVSGDEFQDDDETPYLERM DDDDAKESKERIRREQLGANLFGDGDERGVDKELQEQLREELERQKYGKSTKKALIKR DREDIYASDDSEDKPWSSSSDEESSDDEEDDKKDGDAKEAHGDSKDKTKAAVNPKGSS TPQGKQKQSDLAKPTKSLKRAGSPTLSESSGNESSRKKMKKTPATTSMNQMGTSSSQS NSAARRSKGSHGPGSDGEATGAEMSDSSFVPRKPVKLMGGSGRGTPSASRAGSPNPTP SAASPNAQTNAGIESWEILEKIPPDGISLQDLVKQFHGRVGDRPGQMNKGDWIKLVKQ LCDYGSDKRLRRRR UV8b_04893 MPSDLAKYLASQYLVADPKPASKHRRKRKPQSSSPGLVITDDDL SGWSQPAASADGPDEELRPTVAGATAEFRKARKNNWRSLQARADNDQAAADAVLASTA AERHSANAGNDENPVVENLQDVVKMSDGTHAGLQTAAAVSAQIKKRQREERDEFRRHA RSAAEEETVYRDATGRRVDVSTRKAEAAKAAAEAEAKESAAREALKGRVQLDEARKRR ERLENAKLLPFSHTAEDEDLNREQMDRERWNDPMAKFMTDTAASTKANKASSTRPVYA GAAPPNRYGIKPGYRWDGVDRGIGFEAERFKALNRRERIRGLNYSWQMDE UV8b_04894 MECLIKFAQTHETFRLAEIQAIAVLEDVALEIVEYTNESPYCLV RVASEDQARRLIRRSVLAHSIHELWATGPTLARLHESLRGRTRDVWGKYTDASFKFAL DSYQGTRSNDERLAIINSFAYLPFQGPIRMSGPDETFTVFELWPLDSVPLGIPDPDMM HFGRLIDTSSRDRILRFDLKKRGYISTTSMDSELSLVTANIALAGPGKLFYDPFVGTG SFPIACACFGATSWGSDIDGRAIRGEGGSKSLLGNFEQYGLGMGLGDVFCADMVNTPI KRDRRIWDGIVCDPPYGVREGLKVLGVRDPEKTPWLVEQGKKRALTPDFVPPKKPYSF LAMLDDILSLAADTLVDDGRLSFWMPTANDEDQQMPIPAHPSLALVCVCVQNFNKWSR RLITYRRVPDAMVSPVATAAYKARKDVSPVGTTADELNPFRRGYFRKFGTDGQ UV8b_04895 MPTPSSNQPPDEPPQNNPQQFSTCPDELQHPPRHGSLNSLPDLG PDEPSLGSVDLEHPRTRLRNPARAADNQTSTTPSLRAATVSPVPQFDDPVVQSPEQHD SHPPSTMKSQELGPDGPSSQTATTKSVELPGKPSRMFDRGEGESEDEEVVCTPLSMGS GYSSIRAIPLAPSSYLRSGSRFQGTQQSERQVYEVQVEIKHVDMRESFLCGYLRIQGL TEDHPTLTTYFEGEIIGSKYSFFTQHEDWGANMKIDLSHWGKFSAFRPFQKHARKGPI TIPDVTQNEHIFMRWKEHFLVPDHRVRTITGASFEGFYYICFNQLKGDVSGIYFHSKS EKFQQLELKHVPNRGCFSAMEFR UV8b_04896 MSSPANGDAQKRREGDNEPLTPRILAEAAPAVTAAVVTFSLIFG GCCSNVYALEAIVKHEPGSGTLLTFVQFLFIAVTGYVAQLDRTRPPFFLSPNKVPLRR WLISIVLFFSINVLNNHVFSYDISVPVHIILRSGGSITTMIAGHLYGKKYSRLQAIAV VLLSMGVVLAAWSNAQDKAPLPGESTLSTWNAGLVILFTAQVLSAIMGLYTEATYQQY GPQWKENLFYSHILSLPLFLPFAPSMWRTFSNMARTEKLKLQVPWSANMAQIEIPSQF VYLVINVLTQYACIRGVNLLAATSSALTVTIVLNIRKLVSLLLSIWLFGNSLAGGTML GAIIVFGAGALYSFGTNAKEQTAKSQAAKPQATPKVGKNL UV8b_04897 MADQTAAHYSDTSGKKQTSPDKKETDAALTDDTGVLSLPAEESE GCHDGMLLRDVPKRTTFYDPVAERQMSQTDAKLFYQRSKIEARSGNAMTWGQSSPSNS PIIASKSRPSAEHVLDYLNAEQETENVDEAGKLPMPRTSHCNQNAAGIGLVSTPNSSY EDGIESKIASEAGLGAFQSNALLNTEPHVNAELSAISKNIQKVLDVRRKYIALSCQGL DDNPRDGDDWEIYPPPPEPAWSQTKGGRTDFPGSNPSEQGTQTKRPSLSRRRKPGLDV GEDFNMEDLLPLPGADDATFKLDDSGVYQVFGNGEEQSPLINVPNIREFYMDLDSIVT VSSDGPSKSFAFRRLQYLEAKFNLYVLLNEYQETADSKKVPHRDFYNVRKVDTHVHHS ACMNQKHLLRFIKSKMKKHPNEVVLFRDGKHLTLAEVFSSINLTAYDLSIDTLDMHAH TDSFHRFDKFNLKYNPIGESRLRTIFLKTDNFIHGRYLAEITKEVISDLESSKYQMVE WRISVYGKSIDEWDKLASWVVDNKLFSHNVRWLIQIPRLYDVYKASNLMDTFEQIVKN IFQPLFEVTKDPSSHPKLHIFLQRVIGFDSVDDESKVERRLFKKFPVPKVWDSQQNPP YSYWIYYLFANMASLNYWRRLRGFSTFVLRPHCGEAGDSEHLAVALLCCHSISHGLLL RKVPLLQYAFYLEQIGIAMSPLSNNALFLAYERNPFYQYFRRGLNVSLSTDDPLQFAF TKEPLIEEYAVAAQIYKLSPVDMCELAKNSVIQSGYEASIKKMWLGPNFNTPGTGGNM MVKTNVPDRREEFRYHTLLQEQDMLRRYAAHDASKEVNMANGPAHIPAAGAALGVPDM SSSLNHTTESGENGPDGIAECPISERKPELHGDTSLDSGRVTEAMADLHLSGSDPRLF PGIFTRDHRSDSLRNLHRNDEEWAVDSSEAPGDEDDI UV8b_04898 MTDSEAAAEAASGGSVDGEAHAQPDDSILRLLPRNSEAAALGST TGPWAMESSFIEAATLDNSTGPEQTLTEEAVREHLKDVESSFLPALSPIAARTTGQDL AGADDTTDTLTQGQPRRLRHDEAQDAAHFGNHTSSLENMDSSPTAAAAARTVSKAVST TANPTRDDAVPATAEASEYKDDNEHSFLSMTDDPFSQSQLPSDRIDAGSTPGNSLRIG KRSRYLRSRYGSQRSSISSFITNPESHEGSEATVGLGADYALQSGGAVPVLGMPRSTS SHYQRSISIGSMASGFGGEEFSDPPNHQLETLPEVSSPARPTRHNPLQTPKASKENLK AAAPTDTVLAQHVRKVQVPESLAKEYKVKNGLHTPRQPSNFTSTGLGSGTVTKLGKNL TLKEQSNTIERLSKENFDLKLKVMFLSDRLDKLSEEGIKEMISENVELKTSLAVLQRD NKILRKRVKELEKQTRPDEQRPSTASSADHTVRTYDEETYEREQELIYLRERIEEYAT EIERLRTESLNRESEKRRLTDVIKTLSERSGDNPGLQEESEVWKDLLEQETARREQAD EDNQRLRDEVFRLKKELSGQGNLHNNTTNTYSISKKPPRDGAAFGSSRPASGVSGAGG EAEHSNTNLSVASTLVDELRRESEQLRHENAELRREVGAQTSMLTSRNREKERLYQEI EDLKLAQRRGGLAPSTVDSIFERSASRAGVHERPQSRGSGRTRQTLVEDELEREQLEN KLADLRDKVSQVKLQNQELQRELESCMQDFETAVEGKRQAEESAFSLQQDIDTAMNDL AVLQAERDEAIREQGEMENEFEALRREAQHEIDALEAEADQRNEEVQRAQAELRDRSE NFDALQEEMRKMSEALVRLEDEQHSKLRRIQQLEEELDSSNKELEDLEHKLMEANGKN QRFAVQQESSQGEIAFLREEQEADKIRIGDLEAAIANAEQNLREERDRVRELDSRLQQ ERMQREIVADKEKEEVQQVVDELNRETSNAKDEVRRLRKSLSSREVEATEWKERLIEL ENNLREALGDLNGTRSSLLKSIAKMQRELENTVRELDTSKASLMEKDRIIKQRDALLE SHALESRKVTELLDKERLAHRNTKAQFDTFQRTHQHLTHTANTQDVRIAELESTRGQD RRRLAQLEQSARDQLTERNELLLKLWQKLSILCGREWLNNNALVDRQVVPSVEVIGSR LPGFAKNLLAAVKTIETMFGSLYTKIHSVERDLHKEYQTLENNLEARTKKLDRLESML RNSVAARAVGPQDMHSRMLRLEDAYRQLKVENATLRTANDVRSRAAQSSSEGPAPLSR GASSGGSPSPGIPRGPGDRDKDRGSQSGHAKASRSAAAPSRAHASSGIPRPSPGSATG MEIAVPGESGTSNNDNRWMLRLRDMEYKLKMEREGRNQDRQAARQRLGGLEMENRDLR DRMTRRANTDTE UV8b_04899 MLRLSVAAPSLCCRGLVRRASTAAPTSLGTAKKEGSIADAFVSL SGAKRAPLPDRFRKIKCDLVRGREKQIVHGWGGLLRELRRENDIIAREGSDVIPQVEF AHLEAGCRGLRDEIRKRGVIVVRGVVPEGEARAYKDEVEEYVRRNPWTRAFPPDDPQV YELYWSAPQLKARSHPSVLRVQHHLLADLWHTSSAAARISLQHPLSYADRLRIRQPGD ASFALGPHMDGGSVERWEPDGYGRGGVYDKVLEGSWGDFDPWDASGRVDAVTNLYDGL GACSVFRAWQGWLSMSRSGPREGTLLVNPLVRLATAYVLLRPFFAPLSDGGPGFLDES NWAFVGEAAMTSELHGASPGHAQELTDALHPHLELARTMVHVPLIKPGDFVAWHGDTI HSVDAVHRGSGDSSVMYIPVCPVTDTNAAYLARQRQAFRDGTPAPDFPGGEGERRHAG RPTEGALRRWADGLARQALGLERIQPRRGGLPGETEAIAGANAALGF UV8b_04900 MLDAVLKGPRSRVMPDDEMSRNVEHGKRNGMVTHLHLFSVPRRI NSQYSLRTSATSIWILNMIQTVVAMLNVRHCDSRHTKLLYTAPGL UV8b_04901 MTTINSHFRNSGSSPMDVDVIIMGAMDRAVTSLFSLPLETGEMR GTRAHVGKAPVYACEGEANRGFTAEVDDGFDTCLSSPQLPISPVTDAHALGPLRRR UV8b_04902 MKFLTILAAAFMAVVQAMPVDIEDDGAAFLEARAGHRASSPSRT SGKGLNFSGGSGGTTNRLFGPKGEREFNRGNLKQYQLQKCINKNILKCEDDELPSGLG WFFYIYHQRPGS UV8b_04903 MVRLGSALPLLAGAYSFVSATTIPGAFIFEFEDNQDKAPVLETV KKHGELRMNLDFELFKGVSVQLNDAQNSDVIVDKISSLPAVKNVWPVSLQSLPKTVVH WAANPHGEKSLVSRDNSTADTFSPHVMTQIDKLRAKGFTGKGVHVAVIDTGIDYKHSA LGGCFGKGCLVTNGHDFVGDDYTGRTTPVPDNDPMDCQGHGSHVAGIIAANDAKLGFT GGAPGVTLGAYRVFGCTGSVSSDILIAALNRAHADGANIITLSIGGPNGWAENAWAVA ASRIVAKGVIITMSAGNEGESGIFYASSGSSGRGVAAIASFQNVVSPTLVYYGNATVD EAESREFGFVYGNRQVFKTTLPVWASSLNTSVADDLCDPLPANTPNLSEYIVLARVGG CSAMFKVLNALGKGARYVMLYNNVDTFPRGAQVPTWIPATLKGVGVTEKKTGEAWIEK LKAGSKVTLTLGSVLDDKLGVQDVPNPTTGGAVSYYSTWGPTWRMEVKPQFGAPGGQI LSTYPRAKGSYAVLSGTSMSCPITAAIYALVGQARGTLDPALLQNLLAANANPQVFND AARFYDALAPVPQQGAGMVQAYDAAFATTLLEPSSLSFNETAFAPRSKSFTLTNQGGG AVTYDISYVPALTAFTMKQGGGVVNTFPGDLSKDSATIRFSKDKVTLGKGEKVTVEVE ATAPALDASRLPVWSGYVRVNGTDGTSLSLPYQGLVGSLHDHAVLTRNATWIAQAGDG MLFPVAANTVFHLPRPGSNSTSTNGTQLPTVVFDNVLGSPSMRFYVVPVSSNGTCLGP LGQMESSPHPWNPRGRDDAPWDGKLDNNKYAPEGTYKIVVKALRLYGDEKRRKDWDKS VTQPFAIKYV UV8b_04904 MLSNVGQKQRRHDRHDQIKSGKGWMPASAIWPQPTSCLVPLSTG ESRQRLDRHGPARQGHYEQGWTTRFKSKGAAITNRQGSRHATDNNDDDDDDDDASQPC KSHRTASLVTRQPTRRGCQSHGRVQLDPEQKLIDLERASPALFAIQPTTPLLSPSRS UV8b_04905 MPHFVASLLRSSSGNISYIKKTAISRSSRSRSRHDSRHNSVSPS PYASDSEDHHHHHKHESRSVKMPDLADSSKKHRVLSLPFGRSKHDGHAGASHAPASAP ASMDWSIESPPVVFYGTPEESTGALLSGLLYIHVHDDVIQVDSFTATLSMHVTQKRPF QNHCAECQTKVTELKSWQFLGQHTRLRRGTHQFPFSALLAGDLPTSASTPVVSISYHF KAEAISAPSSSPETSSFPPLKLQRTLDVKRSLPEPLYPHHSVRVFPPTNIKASAHYIP VIRPSGNNKVTMKLDGLLTPNEKVKTVDLWKLKKVAWKLEETIKTVAPACPRHASGGS QDQQPRRAAAERTETRILAEKQMHEGWKSDYSGNDGTVEFEFDYSLAQLRTARNARDA KYACDLRTDDGTEVSHALQIELIVSKEFAPEGKPHLAAQTGTGRILRMHFAVNLTEYP GMGVSWDNEAPPVYQDVPPSPPGYPCEPPIEYEDLEELDALRQGSRSEPGSRRPSASG HS UV8b_04906 MLACGASIAAQETASTASPSFLADVVSKLPACAVDCFGKLSKPT ACLPTDKACLCADKDMLASVAACVLEDCTAKESILAKKTVAEGCNEPVRDKNKTYMTI SDTFGIISGLVVIQRFAFKLWAKQDFGLDDWFALATIVTGAPSTVINTYGVGANGIGR DVWTLELDQISKFGKYFFIMEILYFVQIALVKLALLFFFLRIFPARNVRRLLWGTVVF TIAYALVFALVGIFTCSPVSYLWTRWDQEHDGTCLDINAIVLSNAVIGIAIDCWILAI PMWQLNGLNMQWRQKLSVAAMFLLGTFVTVVSMLRLQSLVRFGYDSINPTWDFFDVSV WSCIEVNVGIWCVCMPSLRLLLVRLCPSWRGSSARSYTRYGSSVNQGDKSHQNKSLGP SATATSHFDRVHGRLSGGIKGNQICYQKSYKVEVSDADEMALVSVGGNESRTGSKRR UV8b_04907 MASDLSDGVQQVAGSLSSTLVNPMTAASAEVATAAREPVSWLGF IGWLLYLVLNLISTLLYWTVRIVSISLPRLLYALFSTSWTVTMNATTLMFVVVAVVSA ASWIVRYRILNMYSRLPPEPQRKEPEIDLFPDSHDEGRKGGFASYLDEFLSAIKIFGY LERPVFHELTRSMQTRKLIAGETINLEEEKGFCIVVDGLVEIFVKSGRQGTSHRDVSP AHDLDSSTDDDPPDGQQRYQLLTEVRNGSPMSSLFSIMSLFTEHVKLSLNDDALPLAP TGDVDYRRHASVGHSGGQAFNAVPLSMPTTPRLGTKRGGPQATKDTIAEDATDHPLGD MCGPSSNIPPISLDKPSPARPRRPEPPSPTTSHSAHPDIIARATVDTTIAIIPASAFR RLIRIYPKATAHIVHVILSRFQRVTLAHAFDYLGLTGEVLQTEKSMTKYTVCQLPNLL RGDALSRLKEKFKHERARIADDVEMNGIALHNAAATRQRRSSSMTLRREAMLSSMMTK QRPASSTRESTTSHTPHPPQTPRLDIDHPGDLANVPLTRNTGRDFGDLATPLAHGSFN PFDAQRHTRISMDARESVDEDNLFRSSILECMFKSLGLDGNDSSREPASVEASPRLFG ADHRRKTLGTNNAFGFIGPFDGVADGETDSLTSSGLTVRTPPSAQALSQDMKNEVEIV FFPKGSVLVEQGERNPGLYYVIDGFLDMGTYTTEYKPQSILQTYDATPLDADLQDDRA EARADPHKRADRARRSVSLVKPGGLAGYIGSLSSYRSFIDVVAKTDVYVGFLPRTALE RIVDRYPIVLLTMAKRLTHVLPRLILHIDFALEWVQVNSGQVIFHKGDESEAIYILLN GRLRLVDDRKDGSVTALAEFGQGESVGELEVLTETVRPGTLHAIRDTELVKFPRTLFN SLALEHPNITIKISKIIASRMRAAVEDPPTAGGKESGSGSSNNQRKATMNLRTVAVLP VTAGVPIVEFAHRLMNALAQVSPPNGATSLNQAAILNHLGKHAFNKMGKLRLTQYLAD LEEKYGLVVYVADTNVNSPWTQTCITQADSILLVGLADGSPATGEYERFMLGMKSTAR KMLVLLHNERFSRSGLTRSWLRNRMWINGGHFHIQMPISTDAIPVHSPRSKRLGQALK ERVQVLQAEIQKYTSRKVHHVPYYSPETPYKGDFHRLARRLCGKSIGLVLGGGGARGM SQIGVIRAMEEAGIPIDIVGGTSIGSFVGALYARHADIVPMFGFAKKFCGRMSSLWRF ALDLTYPSASYTTGHEFNRGIFKTFGKTQIEDFWLEFYCNTTNISKSRVEFHTSGYAW RYIRASMSLAGLLPPLCDEGCMLLDGGYVDNLTVSHMKGLGVDTIFAVDVGALDDDTP QTYGDSLSGMWAFFNRWNPFSSHPNPPTLAEIQGRLAYVSSVDALERAKTMAGCIYMR PPIDDYGTLDFHKFDEVYQRGYRFAQEFLSKMKEEGGFPWMEETGAKEAMRRTKAPRR ASI UV8b_04908 MAAHKTSAVADEPIHVLFALHPKFDLLDLSGPLKVFTTALHDAK DPTSRAFEVTLAGGEPQVLSDQDVMVGSQISFKDAHERIEDFDVLAILGGNVDEILDK EQEPLGLINDFAELQKKDPARERTLFSVCTGSLLLARENILSGLSATTHPDYLTKFEN VCSDAATRNLTERTDVVEDTRYVVNNLRFDLGEEDENPYVRRKSEGGRRPSNARKGSM SLKGSNSRRESIARRAAMRLGGLRVITSGGASAGIDAALYLVSALVEDECAAEVARLM QWTWNKGVVVDGLDV UV8b_04909 MMASPISLKDLLKAINGFLGDPSLPLPDSLVEAIAAFSRRREEH DDAAADQLQESLVALFQKRIKDDSVTAGPWIAILRRLIPVLQTPQRIMPWFDAFRGLL DGLDLDQKVADETVAALMDMVTHMDVLQTTCAGGPSAASLIIDRLFEVWATRFYVALV GGDRCFENNERLIRRGLCNFGKKHPTELVGVIDSYLVKKAYRKSALRILCDFIQGQPP HLHQVLNTSFFANLLTCLQQDTSTTVISAALTALIMLLPHMPSSLVPHLPALFNIYGR LLFWDRERSRPALRPDSDAGGTEPAPGWELAIFDPDVDDLIVPRLGNYYTILYGLYPI NFMDYIRKPQRYMRHANAADADQLEVQPTEMRHRSETFGRNHLLHPNFYSLTIDSEKT DLGRWIKSEAAEVVAECMGLCLETDMRHFADPSAAPLPGTAMADHAAANLDSHVFNEP TGNDAPSSGPDGQYDSWRNLHPTASGPEPSNPTPTTMVRRRSSQSSIPSRRGSAGDGR SRVMTGIDSPTLTTSLSHPQLQDLIQSNKAIKSGLLHQSLANDSVPSLALSHQDSAAE QAAPVCASCTMPPAQLSSESPSAMSEMPSQVSNLQKQILILQNDLSFERYLKQQHMAH IGDLRRKQMAEAATEAETQNLIMMNRNLKSRFEEAKKSEMQAKKESEKSRAMAKKWEA DLANKFKALREESKRTHADLEALQKELQERKQECEKLRKLLCDAEVKELTLQQNMQSL AMDGTQVESLKAEVERLRRSERDHQARELERQSAIHSAAEVENQVAGLEMKLAALDYD AARTKKLFQSQIEALQAQLSEARDERERPGANANIAVEDALAASRAKQAELQKQYSLL MRKYTVLQSSLLDMQSEQMADSKPSRPPGSPPQQQRPSSSDGEHPSSLPSSPVVVKSQ PHKALSNAQATGHHQHHHHTACSVGSQSGGSVTSGALAQVSSKSRQGGEGPGSTPNPT APDQRHLGGFQRLRREPRDKNKDDGSGVSGKAKKEKKCSGLKGIRGFV UV8b_04910 MDSLQHGLGHATGLKPDVNTKDAGGSGGKDFYLTNGKHVVTKVK VWTDTGSGDWKDRDLVKDIEVTFDDGMTAHVTGNQTGNSSDLEFGEKEKVASMVFRTG ARVDKVYFKTDLDNELSQGTENGSPHDQNVGNGVLLGFHGRWDETNKELIALGSRFQD KNKK UV8b_04911 MLGMLGIQGQKATRMQHVQDTAVAQDIKLRALPRDGEEVGEGAP PYKAENYKQDTSSRQSMCSSGKYCFRSKCTASDMLCFLSWPQFPASVGGANTTRHDSA SQSASLAVARLEGERNRDAERSEN UV8b_04912 MPRGRLRPESPLRMPMSPEHSSNAMDNGDSRRLSPTRPSVSRTQ LLHHLRDMSDMSPAQSPARHGFDNGKQQPCKASRFNGPSSRTSGWKSPLPAAWRTNPA AKGTRQERCGMLAAITPVPASDS UV8b_04913 MPSLVALAAAISGASAAFQGFNYGSTFTDRSPKVQSDFEAEFKT AAGLEGTNGGFTSARLYTMIQAGSDSDPISAIPAAIKTKTSLLLGLWASAGQDSFNKE VAALKKTIVQYCGQLDGLVAGISVGSEDLYRISPIGMKNSPDPGASPDTLVSYIKLVR DTIKGSCLEKAPIGHVDTWTAYANATNKPVIDAIDFVGMDAYPYFENQKPNGLENAAK LFQAAIDNTKSATGDLPIFIAETGWPVSGPTENSAVPGIDAAQTYWRQVGCPMFGNVN VWWYTLQDSAPTTPSPSFGVIGSTLTTKPLYDLSCDGYKNQTSANPSKPGQSSAPAST GAQIPATTAPSNATQTTARGAASGSVAPTAPSGTTGVVTVPTNAASRLNMMAGVAAGV VLAAAAL UV8b_04914 MLRVRISAVAFLLALTASVISSTHNVAGATTFEAMSLQEVDERL QTCPIVQHLSSAKHAHHAAAPSTLTTRLFATLFPGSPAVNALLATLYISGPPNFLLAL CPTNIDPSSLSVMVAFAVGGLLGDTLFHLLPEIFLGEDEADRVKFVLVEPNRNLVLGL AILVGFMTFVAMDKGLRIATGGAGHDHAHGHAGHAADEAKAVSTGVDGNGEVRSRKRG KGKEDGHAAAEQKGKEANPSVKLGGYLNLIADFTHNITDGLAMSASFYASPTIGATTT VAVFFHEIPHEVGDFALLVQSGFSKRAAMASQFVTAIGALLGTLIGIAVQELGGASSE GGEAGMPRNGGLWGTSLSWGDMLLPFTAGTFLYVGTVAVVPELLETGPNKTAELRKTL VQFTALAVGAGVMLYISWHD UV8b_04915 MAILASPGDGRSASGLQNPCSSETRLRPDCISIDIDRTGTSAPT STPRGPRSRAVPFSRRKRTRGLMVSTSPLSGIAATRLDLVQQCPPHSFRPLMKLRMPI DRASLPENKVFAAGKTWLGLNTWVKAGAHGKATEPCAALQRIMDGHHMLKVLPSLPEE APLDNTRRDERYGMTRSKTVRRTLFSAKAGKLAVTAGQPAQWRHAVRRRSQSGQLRGA HRPRSSHERAEPVVELHCDQRPIQLQQQRDLDKVDWQMCDVSGRRDSGYSSSGMLDED EPGTSRSDDAGRKKSEKCRVRRRAIIEVLFDMPLSSRRIFLPTFDSCLCLPYQHGASQ PRCRTDQDDNIAGGSA UV8b_04916 MMWEGKIRLAGLLFLAFFSPSDAFYIPGWSVKSYKPEQHIPLMV NKIYSDNTQLQYAYYPLPFVCPPTGRHKPGTGLLSGQSISLNLGEVLRGDRIMASDIE LVMGQDKPCQTLCNKQISPSELERAKELVRDGYVAEWIVDNLPGATSFVTVDKTKKYY AAGFKLGYTEVSPRTGKMHYYLYNHHTIVIRYRSAAGRAGERGERIIVGFEVYPKSIG SANRRDSQGCPVDVQNVDGAFELHMAANKSAAAAPKHADSSEAAKDVAGGTMTIPYTY AVYFREDNSVEWSRRWDLYFVNQEESSRIHWLAIVNSLIICGLLTGIVMIIVARTVRS DIKGYMDAAAEDGGLRSKRKQPSGKRTPGEKKAAGLLEQAGAGDDATKDADASSDDEA LEDVTGWKLLHADVFRTPRRGNLLAPVVGSGMQLMFMAAGLVLLSALGVLNPSFRGGF ISVGVGLFVFAGLFSGYYSARVFKSFDGRDYRANALVTALLFPGLAFGLVFVLNLFVW AQASSTAIPFGTLVVMTLLWLCIQVPLVLAGSYYGFARAGAWEHPTRTAPAARPVPRR AWYLEPLPSVLVAGLIPFAVMFIELVFVLQSVWQDKSGYYYVFGFLAVVSAILAVTIA EVTVVTVYVQLCTENHDWWWQSFLVGGGSSLWVFAYSVWYYVFKLHIGGFVSSMLFFS YSFMACCVYGLLTGTIGFLSAYAFVRRIYGAIKVD UV8b_04917 MDVDEFKSAAKAVIDEIAEFHQNVPLQRVVADVKPGYLRPLLPP CAPMDPEPFASIRADVRDKIMPGLTHWSSPRFLAFFPCARSYPADIADMYSNAFSGAH FNWVCGPACTELEAIVMDWLAQALGLPECYTFGGSTKGGGVIHNGASEALLLVMVGAR EKYLAARTAHLPDGPAKEDEVWRLKSRLVVLGSTGAHSSTRKAAQILGLRCVSIPVFE QHGFALQAADLAETLDDLAARGLEPFYLTLTMGTTDLCAVDDFAGIAALLQPRAARGL PEIHVHVDAAHAGSALILPEYQHYAKPLASFHSFNFNPHKWLLVTFDCSATFVKSRRD LTSTLSINPPYLRNQFSDGDVVTDYRDWQITLGRRFRSLKLWFVLRSYGISGLRRHIR NGIALCEVLEAKIRGRPDLFTVFTPASFALLSLRIVGAGEQEVNTRTQQVFERVNAAG EFYLTATVVDEKFAIRVCTAVADVREEHVQRVFEVLVEEAERLHLFSFPGPACPRL UV8b_04918 MLKNLLTGLAIASCWLDTAAAKPCRRCTDISSFFSAQTDLSLRG VLANIGPDGAKAQGAASGVVVASPSRSDPDYWYTWTRDSALTFKVLVERFIAGDATMQ PKIKQYLAAQAKLQGVSNPSGGPTTGGLGEPKFHVNLTQFTGAWGRPQRDGPPLRATT LILYANRLVDQGDARGAVETAWPVISNDLAYAVRYWNRTGFDLWEEVNGSSFFTIAAT YRALVEGSTLATRLGQPCPSCAASASQVLCFLQSFWSKGYVDSNINVNDGRTGKDANS LISVIHTFDPTASCTDATFQPCSAKALANHKAVVDSFRSIYGVNKGRVAGEAAAVGRY AEDVYYKGNPWYLATLAAAEQLYDAVYQWNQLGVINVTDVSLPFFKDLLPSIATGSYA KSSSPTFRSIIKAVSAYADGFVAVVQQYTPSSGALAEQFDRNSGSPLSAADLTWSYAA FVSTADRRAGVVPPSWGEPASNSVPTSCKAAPACNSDMTFNVKAATAYGETIYLTGSI TELKNWSPADAIPLSASQYTPDNPLWSVQVQLPAGTNFDYKYVKKTTSGEFVWLSGPN LSATSSSGCGSRATLNDTWR UV8b_04919 MTKNGLDALPACAVKCLTQTCAWSDVACLCQAAVSDPLESCLLS ICSIPQGLQSRYIIGNSCQLPVRDHGIKYAVLVFTLGPLAFCSIILRVLYKQLFSARR VMEYDDYTIMCAIPVGLAIVPVVIVGLNSHGLGKDMWGVPQPDLETFGLFFLITVFLY IILMCLIKLTLCFFYLNIFSGKSIRNLLWATVAFHVLTSVIFIMLNLIAFDPISTYWK RYDWTEERSFQQRCSFIAPGYANAVTSVVSDFWLLAIPLSQVQKLKLHWKKKVGVSFM FLTGACVTVISLLRLKSVHHYENSTNPTWYEWDLAMWSTLEITIGLTCTSLPTVRLIL VHFAPRFFGSTRQLSRPSVDLPRRPTEPPLCSGHTLQTMARPPSPKPSTPRRDSQVTF GSEEKLRQPPELAV UV8b_04920 MDLPGDRASPRSPDETLRITNYVTQGLTILISTAFVATRFYAKT RVLGGTQGWDDYATYAAWLFMIGYCTCACVGKFDRREDEYPLQRLALTPDATEASTYG GGLDIDQVPQEHIQPFFKSFYAAAICYAPMALNLKLALLVIIIRVFGAVHKKTLLGVY ILIGFLTIYYVSGFFLKIFVCWPISAYWTGDASKCLNQNAIITCDSIISAISDLVILL LPTPLTWSLQLPRRKRLRVIALLCAGGIATAFSVYRLIYTLDNRKNPDVSIIFIKTIL SGNAEVGIGLICACLPTINSLYSRKTRGSEYFRHHSDGSKQSHSQRGKIIMTRSYQVD SSSVSNHEAQNLGNDELELVGKPHPYANSTSKTSHESSSAC UV8b_04921 MGWLANPGCSSKLFQPLRIANGNIELKHRVVHAPLTRNRGTPLN SASTAQEPNRIWIPNDHVVEYYKQRATPGGLMISEGLPPSVEGNAMPGVPGLFVPEQA REWKRVVQAVHAKGAYIYAQLWHSGRANIPHLTGTPILAPSSVPWEDADECFSYPPPH TATRVKLAQYPPREMTREDIARTIEDHCRSAALAVEIGFDGVELHGGNGYLAEQFLSS NSNRRTDEYGGTAEKRCKFVLDLMDALAGTVGPENLAIRLTPFGLFNQARGEQRIETW SHLCRQLKRRLPGLSYVSFVEPRYEQILCQAEKQAFLDSWGLPHVDLSLFREIWGTTP FMSAGGFDDANSWDVMESGRYDALLYGRLFISNPDLVERLRNGWPLAPYDRARFYGPF DEPAVGYTDYPSYQGDGVGQASCVLRCDSGRLRGGVCNPNCADNQVWQDGLQA UV8b_04922 MGDWAKLKVVDLKAELKRRGLPQGGLKTDLIARLQESDRLAAEP PAQEAAEEASLQTANGLVADHAQDEPPADEPPADGPNGDAVVELASAKEPTPEPVPRF EKPADAPNTPLAQAPELDVTPARESGHVDAPTQHPEPETQPDFEDSAPGRGPEPEAEA EPEAEAEAEAEPEPEPEAEPELEANQSAVAIAIEPQPSHTPDIATRETPPPTPPVEAP APAEPPAEAQKRKRHSASSPPKEEDVARKRPRADSHLFSNHPSRNDLDLRDAPLVQET EDERHVEPAVHPATSAICINNLMRPMRPAELRAHVVSLATLPGNEPRDDIVTKFHLDF IRTHAFVAFESTSAASRVRRLLHGRTWPKESNRKSLAIDFIPPEKIDSWVDMEEKSGA RRPGNRWEVVYTSSPDGSSVEASLVSSSASSSSSSSLSNSRPPPTQSSKLPPPVAADS VNSAPLGPRAHFSDAAPPTGPRGPRSRAPPPPGLPAAPCGHEKHTHARPVISYVAVAD DLARRRVDNMRSFYTRETNRDVGREINRYSFEDGDSFVDRGREVFEGIRPPHRERGGR GGGGGGRGRRGGGGRRGGGGGGGGGGAGAGAGGGFRPRSDRYIPGGDGRWDDDDRRRY UV8b_04923 MFFRLASGLAKPAAKPVAAFPGRTLAGRVRFVSQTTSGSTGRKL PFAATRATEPVNSVPATFTIRDGPVFNGKAFGAKSNISGEAVFTTSLVGYPESMTDPS YRGQILVFTQPLIGNYGVPSNQRDQYNLLKYFESPHIQCAGVVVSDVAVKYSHWTAVE SLGEWCAREGVPAISGVDTREIVTYLREQGSSLARISIGEVYDADEDESFLDPGQINL VKRVSTKAPFVVESPGANLHVALIDCGVKENILRSLVSRGASVTVFPYNYPIHKVAQY YDGVFISNGPGDPTHCQETVYNLARLMETSSIPIMGICLGHQLLALAVGARTIKLKYG NRAHNIPSLDLTTGQCHITSQNHGYAIDASTLPSDFKEYFVNLNDGSNEGMMHKTRPI FSTQFHPEAKGGPMDSAYLFEKYLDNVRLAKRTATVYKDNTPSQFILDILSKERVGVE PVPLAGAM UV8b_04924 MSALRILVPVKRVIDYAVKPRVNKAQTAVETAGVKHSMNPFDEL SVEESVRIREKKRAPGGVEDICAVSAGPAKAQDTLRTAMAMGADRAIHVEVKEGDADP EPLTVAKLLKKVAEEQKSNLVILGKQSIDDDANQTGQMLAGLLGWPQATQASRVEFGE GDSVTVTKEIDGGVETVRAKLPMIITTDLRLNEPRYASLPNIMKAKKKKLDKKTLQDY GLENERRLKVLKVTEPAPRQGGGKAEDVDGLIGKLKELGAL UV8b_04925 MASKAMWEVDPETRSKLAALQKESKNNVCCDCGAPSPQWASPKF GIFICLTCAGVHRGLGVHISFVRSISMDAFKASEIERMRLGGNEGWKRFFEEHEDTQM RGMSWDDATIAERYSGDVGEEWKERLSCQVEGREYVPGEKKPAAPTPAVRSTSSPAPA AQRMASRTGTPLSHSPSRSQSPGQMGGGGGGPGGKVRVDDRYFSRLGAENASRSEHLP PSQGGKYAGFGNTPAPAASTQGSIPNLDELQKDPMAALSKGFGWLTSTVSKTAKTVND GYIQPTAKQFAEGDFARQAHLTAAQFARQAQLAGKSAQEGFTRFVEGSDGHQRDAPLD ASRKDFWDDFSSLADQKKQGDNAIGTSAMGMGKKSASGGPASKKKQDDWDDW UV8b_04926 MSAINMEKASDATVNDVTNALSNTSITQPADKAAANEAASASAA EGRRLYIGNLAYATTEGELKDFFKSYLVESVSIPKNPRTDRPVGYAFVDLSTPSEAER AIAELSGKEILERKVSVQLARKPEPAGEKTEGTNGEGSGAEGTRRRPSGRGRGRGRGR GGRTARGGRTGETGENKKEGDVSTAATDAAAAPVEAEGTNEVQPLKDITNENQNKTDS TAKTKNQARPQRERRERGPPADGIPSKTKVMVANLPYDLTEEKLIELFKAYEPSSAKI ALRPIPRFMIKKLQARGEARKGRGFGFVTLASEELQQKAVTEMNGKEIEGREIAVKVA IDSPDKTDEEANAPQEENKEAEAATAAISA UV8b_04927 MSVPDRTVLVLYGSETGNAQDLAEELGRLCQRLHFTTRVDELDS AVLNDLLAHQIVLFVVSTTGQGDMPHNALSFWNKLLRKKLPPACLAGLEYSCVGLGDS TYLKFNWAARKLIRRLQQLGATTFMEPCEADEQFPEGIDGSFVRWAEDLRNYLLQHHP DPQGRQPIPDDEMLPPKWSLLPALDRISNTPSGGSSSSSSDAANHVPPAPPLDQLLLP NSLSATIAANERLTPPSHWQDVRLLTLAVSNPSGSPLNPNPGDCLTIYPKNFPADVQR IIGLMKWDFIADRPLDLTCCSLPPGLHTISTTCSLRDLLLHNVDIHAVPRRSFFKNIS YFSDNRQQKDRLAEFATAEFLDEYFDYATRSKRTIIEVLEEFSSVRVPAHRLLDVFPL IRGRDFSIANYGNQCACPPRPLSARAPSYPPQPAPACRVQLVAALVKYRTILRKPRTG LCSNYIAHLPLNTTLSVTVKPVLSPIHGPANAKRPLVAIATGTGIAPVRALIQERLTH PGPHAPMLLFFGNRNQAADYLFAEEWESIAAAAAAAAAAAPDAPLAVFTAFSRDQREK VYVQDLVRQQAARVADLIPSKPIFMVCGGSSKMADACKRAVLDAIPGAGEEEPRKKLS EAITWWQEIW UV8b_04928 MGSQAAANAVAASFSTTVANAAAPQPVAFNASGWLGIDGNWSTY AFLIGDNSPVNVFFSTTLSEIWVVGPDGCPKGNSLCATSRGGIYDPAKSKQWSGLGMW QLGLPDMVTAGSGQYGFDSIAASSRITNVRFSMSNVLLSAISSTDYFLGYFGVGLRSG NFGDVVASPPLRQAVASFGWIPSYSYGYTAGASYRGIVGSATLGGYDSARFAPHDTTF TMNQTEGIPRPLVRGIQVAAVNGSRPAAWKSETMTLLQYNNSFTAVIDTTTPYLWLPP TVCDRFARALNLTYNNTYSLYTLTNDQYRQYSSDDFSMAFTFSLSSEDNRDNFGLPLH VPGVVNITVPIRAFVSLLEYPFMNSTIAYGTPAVPYFTLRRAPDDTFIIGNAFMQEAY LITQYDSGIFSIHQARFPADAIGGAQLQAIRQPSNSPYPAPPNPSTGNGLSAGPIAGI VVGSVALCSIFLLAFLCYRRRRQRQQRQRSGHRLDDGKDASSTLTPSPPKSLVYRVLS RTFGMFCRHRRTGAASRSGAGGGNPFEAPDCQIYELPAPSSPAELDALDAGGDDDYSV LGDTDLGTDSTQHLSAYEVARRKLDRQLQGPVPEYLPPTQMVLLPPEKMPIPDVALED RTSATHQQPSPVSPPLLCADSTSSTFVTSEPSPISHREGDWSLAELPSPLTTNSNAPS SRSSGTRTGGGYSTAGSPSAPAPDGTSPSPAAVQRTPIDPSRVVCLGPLPGNVPLPGQ NIGTASRIVGPDGRSTPVGAMLAAGSRHSDDSLGSDFTDDEGMAGAEEARRHQAAPPG QPGNVEDGNRPVDAESSDADVVSETGRIDPGRDLIHVPQLAERRYSWEEERPEHPQQK PQ UV8b_04929 MVQLHNRAVHIILLVLVLVISTVIAITHNRIHAGCHHRFYSTSQ TTRTSVNILLAPTSQSQSQSQSQSQPQSQSQPQSQSQSQSQPQSQSQPQSQPQSQSQS QSQSQSQSQPPLLPSLLSLPPGGKGTFLAGSSSQMPASDSSSTTHEPFTNATSGINTV RTSAEPQSESTTRADSTSAATSSDSPASDQKGSGAGSIVIIRPDPTVLAMTATTATTA TTANCTTFQDPWDPLIVYSVVHTSTVTFYGNPAEYTPPFQPILTPSYCADLVSTPVAV SATTSATASAGGNAAGNGTWTVIGNATWTVIMAGSAGLTGSEIMIIPTPVQRPVVTFV TTDKNPAVVFSSNVPPNYRQTGTNPFPPPLVHKTVKILDASPAAAPDAAAPEVAAPDA ASSPAAASPAATSPAAASPAAAPDAAAPDAASSPAAASPAAAPDAAESPAAASPAAES PAAASPPAASPAAAASPAAAASPASASPAAAPDAAASPAASMLPEASAAGKSPPPIGY PLVVQTLSADGQPPSPTFSISARGNQVVINSQTFSVGPGATTVVSVDGGHFTIDPTAI VGEGGTVAKPPPGPTVFAVATPTSGAVGGLDVTLSGSQVVVGDVTMTALPPYGTTAMV SGRSVTIVPDKIVVGANVLHFNPPPTGRDWDVVVMGGEMLSAVGQSVVVVHSKTITYG PGAAALTEVVNGDAITVGPAGVVVHGSTVGGASADALATRLEIVGGATVTKIAPSIGI INGVAFTLGAGSQLTTTEIGGQLFTIGPFGIAASTVTMVFPFGASVTTTIVPTGTWLS QFPAQKTNRIDEAVDSEGSSMRRSTPTAWMALFIIATGVWVLA UV8b_04930 MQRVPSASSEQLDQKTDTIVPSSSFSSSHHGLLQHELSGNLRVQ PTEPTNRSRCASPSSARHERRESLDEVNADQLDNRLRRLSLQVEHGAVNRRPAAGQRV SDYENALTPPSTWQALGFKVVRRSGSSAGIQLTDFPNEILTLVLSHLHPDSHAAVALV SKRLYALVTTPHAWRMAFMRHFPGHTCLDSKSANRADLWAETRSDVVRSDVRHFARLT ALATWRSEYLLRTRLLRSLARGKPEANAGGIGSAGGAPAKPTKSRSAVLTYNSKLPWL VTSVHAVFSNGKKPPRAVQGAGNVGMATMSDPTTGRIEKWGLEDPFSSAQLQEVVPNL VPYGLGQGPAAAPNVMDVSQPYGIIAGEGFPGGRAYFRAVNESCGRYLGSDTGAVDGY PDVPKIPAMSESVCSVWIAKSSAVPAVTQSMFGMLTGSALGVVTAYANGGEQPNGPRY ANGDMTARWVLSPGVPIISLKVDDNYSPKRKSSSRVWAVALNALGEVYYLTSTPLVTL NRGNGDQVARNAWLAGRTAYWHLVESTRRRPRPDELDKRDVRGAYTPPRSPSNDMHLS KDQLVAEAREIEKFLLHKPCHFRKVCEGWDMRRRIEVDFASDDGQGAGESIFVIDCGL ADKSPARVLRYSRFNAAPHTQQQQQQRQTNGSPSPEADVATFAESRPSLFGPAESPLA IDCQTPQPPLPPTRLPPPTLPAGLAAGVASSPHEWGCTVFDLKGFQPAANVTASCLDC SSQSLLTLSEDALEGAKVSPPGTVAATSTPVAASPGGKSEQVAAEVPGRRARFLVIGT DTGVVTVWNAREQPRHGSVEPVRILQTVSPGISCVAASALYLVHGGSDGLAQAWDPLA STLEPIRTLNARSNGRVPRHMVAMNPTLGQGSYSAVGAIFLDPDATVLRGILSFGALM RYWTYSSAGHPTGRKRRAHHSDIHGRIASRRLGGTVSGYIAAEEAELRRENEARAREQ THLRKRFGLGALGDLSEEEALRYAQMVSEEAYLQEEQRRAASDSAADSSLDTASSLGE ETATAESESPDRSVVVNAGPSPAAANPRREKDEYGDFEQQILQAIRLSLLEAVNEVGP SPRANNAGELDYSIKVECKRGRRGKPSASPGRLPASTNRTAMDGSAAAGSMAQAPPAA ATAEDEHLALALSLSKQDQAVGAKGGAGADVTVEEDDEFPPLATEAAGKGKRAQRW UV8b_04931 MARLIPVCGAGWVDRESNNRPYVPSSNLAALCSVAKIARASAKR RASLANRSFGSSTARVLLAFPARALWAERHGGGFKAATT UV8b_04932 MTDASVSGDSVAQSTRDESAVNLRSNRDATTSTSACQSSGREEG GGADANQNDPPTESAVSKLGIFQQRAKRLGEKYGLVIEPSDSLRLTPNETAHRVDKPI RMRIRRTCHQCNTTFSARRECSKCRHVRCDKCPQFPPRKAEPDRLAGLEEPEPAVKAS REDPSVAADVCWRHQRVQRKRASKTGGQDLVHRRPRQRVRRMCHECETLFGSGSKNCA FCGHVRCTDCPRDPPKKGKYPFGYPGDAFGPATEAHFECNACETLYPLEAVDGTPCQN CGLQKSAAAPRAAPRKIQPLPDLDLAATIAATSERLRTSSS UV8b_04933 MSETKDFDIIRVARSDGADNGPGYWPATSSAPKKTGKDAAAAAP SAPEKMPRVKPQMLRLAEDDPRFIEWRIKLGILLKQELAPNPDEGNPWYVQFPRGYWL YERSKHLWVSGYPIKSKLYRSAQEFGLHLIWLLSASKDYKDCCCVHCNQPSPAKLVSS IEDTLIITPSETPVPVPGLSSVPMSKTPSSNASTPVPLPAKVTPVPLPPIPGQKPTAP ATAAVIATKPSTSTSNPTRSTSQTVVEGPAPSALEPLATSSLLTQPLQQQQQQQQLQQ LQQQQKQQQQQQQQQQQQQQQQQQQQQQQQQQHQQQQQQHQQQQQQHQQQQQQHQQQQ QQQQQAAQSQVQQPPCVRWSLQGSLLFRAGELTWYQNGTTWRLGIISAPATQSGHFTM LPIGHALVPQPMVTKAPADLRPFHAFSVPPVAIQDLKDKAFDQVPWDNLFRSTANDLA KRELLALDASKLAASRIDASYSLWCPLNEDAKADPYPYYGCFFGAERIEIGDCLRMKP VASEPSLAGDSLIMGLRYIFTRKEYPGTIFFRGNVYKPAKEDASPSSILTQDQLPIAL KDEVQWRSQVSPGRPSRWILAKENVTINEQFIRGRFYPTHRLMPILNAESFNAALAQG RVEDQVPYLNNRTNGVGGGYVGRKPNRIQSLGLAVQQGSRISLEPLIREEAA UV8b_04934 MDSILGTGYDDSHRAFLQVLLARATITFEEAQPILATILNAASA DGSEFRPEQITPDVFQQYIDAASEAASLFDYEVRSISHQVTKERLYGLVNTQSDPQTQ LATTFSAEELAFIKRVLDAMFDKYNTPRMEVLAITEMQAIKLARPPQSRYSQMGIEAP SETETPSDQAAVVDRGLKHSEVETVMGNLVEGGWFAKSREGFYSLAPRALLELRPWLL DTYNEEAFADEWQRIKLCEACKDIITIGLRCSEPLCNLRLHDVCENAFWRTRRSKNCP KCQKEWTGHHYIGEKAVTMTEAYQRGRRRSGGRRSTLADEVIQQQTAEGEQEDDEDDE R UV8b_04935 MLEYFTYKTVRKHKAERAAKEDAAKEDEASRLAAETGRIDASPS LPNHKPLHQQQDPDHDREVIRPGDESFLEDLISKDDGPAPPLPPRLYSRDLDWQSDNE DAGPAAPTGTAGNPSSSGTKQEKAKEASAKKQGRLSALFSRNKQHAPDSLMPDAAAIS PVEREQEKKDLTKVLNRLNLSVKNNKVISTDSSAALASFTNVFKDLVNGVPTAYDDLM KIVEDRDGTLAKGFDKLPKSLKKLVMQLPDKITSTLGPELLAAAAASQGIKAETSGGL KGAAKKVFVPANLTQLVTKPGAIVSMLRAIVEVLKTRWPAFIGMNVIWGVALSLLLFV LWYCHKRGREVRLESERSIDGGSRIEELPDDPALPAPEVTAKNMPTLRDS UV8b_04936 MAEPGIGLVEEPPKREPTPVASLAFIPQKRAFEDDHSPAVPSPL NPDANASELPPHDDVSLPKASKSGRIKKDSLKKREAKAGGRDSSPATADYRAPREVDK VDSPLRYKLAPPKPSDFELPRGPVLTFHHEITSCRGQAVEFFEASDHVFNKKNFRYTH CIADPLFPSTLYYRQTEPEPFGPRMSYEDAATSVFFDKSGRGVTTDKGFRMARANVAV REGRWYWECKITQGVRESREPGAKPQGGRHVRMGWARREASLDAPVGFDAYSYGIRDV AGEKVFMSRPKDFFPAGDGIREGDVVGLEIQLPSEDLQRKIMSGTYNPAIDACDQEAA VPAAPEAANIVRDRVPIRFKAHTYFEKIEYHTTKELEDLMNPSPTSSGALTSSSSSSS SSSEKPNPLHSLAALRTLPNSCIKIYKNGQLVGTAFENLLGFLPPASKPQQQVGAREG LDDGMLGYYPAVSVFRGGAAQVNFGPDFWFPPPEYEPESSLGGEADTAGSRRTRLRGL YGRYREQIAEDIVYDIIDEVDFWMQDGGGATDKTGVQQKSDAVATAPGREEIKELIQD Y UV8b_04937 MLMSEVSQSSLPPLGPQRDPGSIRTALPSRPMSSKNRDSATSKA SSDQDNHARQRPDDGVSPRSTASPVDDDGDDGDDDDDDDNDAKSSRGPSPGSTAGDVA VKPKSGASSSAQGGQVCSNCGTTRTPLWRRSPQGATICNACGLYQKARNTARPTSLKK PPNVVSTGPSRSPAPPKSVACASNPGTSADSANFVSAEQMPTGTCPGGGRCNGTGGAE GCNGCPAFNNRVSKTALLGMMQRQKAGCGGRAESGKAEPVPIDVNALQASQGQDSSMV IACQNCGTTITPLWRRDESGHTICNACGLYYKLHGVHRPVTMKKPTIKRRKRVIPATQ DEEMDDVGGSPEMQTARDLAERGTENADGSINLGVRRRPDLYPSCVEPGPPNIHATDR TCPLPPSASGLAPFLPSSGYPKVSGSAGKNYGLPPMTSMTAVSERLSSMSPASFLPPH RKRSFSATDSDAGSGMNEGTKRISSIKSILNPSCRDGANGAGCSNMDVYTLPPLRSAG ASSRSQVKPVSPGAGMARQGQDGVTRSESDRLRSERRLTLQREAERMREELAAKEREL LELGNS UV8b_04938 MDEFVNWDNAGACFDTADELPRDAMLTGINLSLDAQNLDLALAH LNEDDWSYHALENFCNPVDNLDLSIDETPPTLDFAEHMTNPCHHCALGRYSCKKIKEG RYKGYCTSCVALGVECSFGGCVADAIDLDPPNSILPANPFSFMGHDPDSLFNHELFPE AAPPASLPSSSLDLLYPSATPTGEAGFDAMQRQGPPPKIGARFSRESVRILKNWLSTH NRHPYPSDEEKEALQRQTGLNKTQITNWLANARRRGKVQLPRSTSPHVGNWAASIDVP QRRGTPALECMNPLQRWEHSPPEHEAASVAAIARAVTASSSSALSSGLNSPYSFHFTD DGSSRSLCNQSSTSSLGTSQSSNGSQASAYSHGSRNSFGSFGSTQFSARGRRRRRRKA SPKQTKDGTSSLAVSPKTFQCTFCTETFRTKHDWQRHEKSLHLSLERWVCAPEGPRVV DPATGVLCCVFCGLESPDDAHIESHNYSACQERSLGERTFYRKDHLNQHLRLVHNVKF MDWAMKAWKVTTPEIRSRCGFCGIVMDTWTLRVDHLAEHFKTGYSMADWKGDWGFDVP VLDMVENSIPPYLIHHERVSPLPYAASRPPLESPRNAYELIKLELAYFGANHWDQHHA APSDNDLRLEGCRIIFASELLSLQGVATQSSWLRDLIMSDETTAQKARFGPLRGAAEC RMATLKINGKDNLFEECPMERQLQAFVEAKKLLGLTTMDNELQEEACKIVGRVEEVCT HPSDTIANWLLRLVTSSTGWLAAFRRRAHLPRSEDVEHETVRSRDPSSIDSTIHSYSR LERELADYLRQQRCVGLEPADADLQRQARIIIYEFDDGWNQTAADNVQWLESFRNRHP EDGSPTSADTATGSATAPSTMQSTQPTSVLASPQSPQEGLGQAVRNDCPPLASVDSSK PKDAEMPFFSGTANNCYRRLARELRRWVKSAMSPNNPSQHVPTDEELQFQARWIVYDD DDPWNQTAADNAEWLQRFKRDVGMVKDAGPGLPRGRAGALDQGEAFAGDVQVHIRNRF GAGDLQKDATP UV8b_04939 MLGPPQHISYLKDIPIDSVRTKTGNHSFPVEHKHGLGQCSILPA ADLPHHKENIFAARHLSWGDSSSSEQPNGCDLDRQGPSSPSPASAANDPPEPTRLGQI TGGAFAQHLPARLPRCLAPDSPEFLQHMDQALRFNEHDMRPAPSPAPPPRPPPSPPPL LPCRAATVRDASSEYPSPEHNTSRIQSPVSEYQHGHRLSLSSLSRFGRANDCLSNLRS SSPASSKGEAVGDTSHHDLIADTAAAITEWQDAGRPLKKMSYHNYSLANSSEVFPSDS LSQREDFSLAPSSPPSTPPDQAAASPSDADAVGALGDQYDGTMEARHDASPKVRHPIS PALNNGPHSFCRSKRKASAFSLRSLTRPLTKRRRLAAFCQWASKVSRRLTETYRRFKN QHQVRKERDRDAWGANRRSEELRNPPISWSKGSNGVFEFHGGRQRNEDWWKEGVGRYR APSGMFATVNDTAGR UV8b_04940 MGDAQSDLTLGHQDFVPPQQASRITTNHDESRPPLLIRSKTGMI NAAAKSKRPNTMHITRPQDGSTVIGECPSSPNLGSDTCCSRVLLPGLHTQLLKNEASV WIFIL UV8b_04941 MHIKDMLSEADKNGQPSFSFEYFPPKTAQGVQNLYERIDRMYNL GPKFIDITWGAGGRIAELTCEMVLQAQSVYGLETCMHLTCTDMGLDKVDDALQRAYKA GCTNILALRGDPPRAQETWTAAEGGFQYARDLVRHIRATYRDHFDIGVAGYPEGCDDN KDEDQLLDHLKEKVDMGASFIVTQMFYDADNFVRWVARVRERGITVPIVPGIMPIATY ASFLRRAKHMQCRVPDAWMAALEPVKNDDAAVREVGKSLVAAMCRTLLASGIRHLHFY TMNLAQATRMVLEELSWAPCPERPLKQALPWKQSKALGRRGEDVRPIFWRNRSKSYVL RTQDWDEFPNGRWGDSRSPAFGQLDAYGIGLTGTNEANRNRWGEPTTVQDMADIFVRY LDRDIDSLPWSEAPLTSEADAIKDRLIALNRRGLLTVNSQPAVDGVKSSHPVHGWGPP NGYVYQKAYLELLVPASILDEMVARIEAEAATTTLTYYAVTKDGQLKTNAPSDGPNAV TWGVFPGKEIVQPTIVESISFLAWRDEAFRLGTDWAHCFEAGTPTRVLLDQVMNGWYL VNVVNNDFHENDTIFELFDGLEVKGLDVPVSA UV8b_04942 MLFPEDDAPLLKAWIVKRIEDTSDADSDVLADYVIALLKHDGDR ESVRRLCEQEIPDFLTEDPKQFLDDVFQAITYKSYIPGAPPPPPLPQAAAPKPQPQPA RPARPPQPPQPPPTRPPTQTPRGSILPPAPATQTAASPESLRKRRYHDQSGPASDVAA DGTRGAGAPAAGRAVKQARRGRRGRADDGHNPYGFQYPMSMMMAPFDTDNPMEFMMRM QAMGLSFPPLPPLHDWAFSERADGMGGLPKKKGRCRDFDTKGYCSRGSTCVFDHGHGG DDAMLSKDEYDPAKAMMNVLQTPDAAAPGWPARGKQRGGRKGGARAPFSAEGPVTDHS KTTIVVENIPEEHFSEDQVRGFFSQFGNICQVSMQPYKHLAIVKFETWASANNAYRSP SVIFDNRFVKVFWYKDDKEKLPPSGPVPVTPANGTKLAPGSGNEAADAEPGIDMEEFQ RRQEEAQKAYKEREDKRSALEKQRQELERKQQELLSKHQAEAERLQAKLAGKLGGGKE ASPSASGADMLRAKLAALEQEAKMLGLDPNAAADDSSRHAGQAAAYHRGRGGHRGRAS AYRGRGSFSAQAGRHAAYSQFSLDNRPKKLAVTGVDFTASDKDERLRHFLLNLGEFES VHTTPTVTHVSFRDRKTAEKFYSSLHGNELPGVEGRLELSWLSGVGAGASASVSVSVS GTGDATAGIDDDGPEEPREEQVREEERPVNMDYEVGDDYGWEG UV8b_04943 MSVIAHVDHGKSTLTDSLLAKAGIISTAKAGDARATDTRADEQE RGITIKSTAISLYGRLDDPEDIKDIVGQKTDGQDFLINLIDSPGHVDFSSEVTAALRV TDGALVVVDTVEGVCVQTETVLRQALGERIKPVVIINKVDRALLELQVSKEDLYQSFS RTIESVNVIISTYFDKTLGDVQVYPYKGTIAFGSGLHGWAFTVRQFAVRYAKKFGVDK NKMMERLWGDNYFNPHTKKWTKSGTYEGKPLERAFNQFILDPIFKIFNAVMNFKNDEV TSLLEKLQLKLSAEDRQKEGKQLLKVVMRTFLPAADSLLEMMILHLPSPVTAQKYRAE TLYEGPLDDDAAMGIRDCNPKGPLMLYVSKMVPTSDKGRFYAFGRVFSGTVRSGLKVR IQGPNYVPGKKEDLFIKAIQRTVLMMGGKVEPIDDMPAGNIVGLVGIDQFLLKSGTLT TSDTAHNLKVMKFSVSPVVQRSVQVKNAQDLPKLVEGLKRLSKSDPCVLTMTSESGEH IVAGAGELHLEICLKDLEEDHAGVPLIISDPVVQYRETVQGKSSMTALSKSPNKHNRL YMVAEPIAEELSLAIENGKVSARDDFKARARVLADDFGWDVTDARKIWTFGPDGTGAN LLVDQTKAVQYLNEIKDSVVSGFQWASREGPVAEEPMRSIRFNILDVTLHADAIHRGG GQIIPTARRVLYASTLLAEPALLEPVYLVEIQVPEQAMGGVYGVLTRRRGHVFNEEQR PGTPLFNIKAYLPVLESFGFNGDLRQATSGQAFPQSVFDHWQVLPGGSPLDATSKVGT IVTEMRKRKGIKVEVPGVENYYDKL UV8b_04944 MTSADGSYHLETETHPRRLSSRDRYSTVSRSIDDAPGNENATGA LPSMLQLFPIQVECQKPAVVIGNDNTKAILIVKANSASAIVDASETETCDLYRQSFKV EFNQPVIEMRENDEFKEDQASRATRQREETTSHEPHNQRLFFRVRRRKVLNTLRNMIP YWRRSVESFSTDSRAALGSGVPQIPGSNRWQGLSRYIDDRYQDDKARWSSVEYAAVST ILDSPSATLTIYWDVVTKISETARRRGTSNFYMSINGTEPPAWGMTLSVRGGTMNYGP WADRLRADLQRVFYPALSKDAVAFQPLPAGSWRVPAQFKLHVELEDTVTLRIPIREES KNWRWRGREPPISRHTGIKKRRNKLKKSNQGESQSVRPAGWLEIKAPADSTISYSMDM LASSTGYQNHLDIDLPSTELWSSVNHDLLWKSGAQRIQCDLSNPLTWNTLRDWSFTIS CDNMKLYLLRDHIFLFTDLVNDWASGPPPEYLVFTPYMYHLNLNLQNIQLYLNVNDEN IIDQATTLGDNTCLIISSPLLRAETSIPLDKFLPNKNAIPFEMRADSFDLSLHASQSD TRAAFLRSNELGHGRDLTVTGSYHYNATTSSANTDSLILKVHCVSPYACLHGFLIRYF ILLKDNYFGDHVHFRTLDEYQEQLQSRATAPALEPAPRFPAKKSNDLDVMLSVGVANP RIMLPINLYEADRFVQCEFASLSVDLRFTNYYMDLELSLSPLSLSLGIPALSPDSMDS SQSNTQLFVDGVRVFGHRAFGLPPSEPTYMCNWDVDVGSVDGECTTEFMASLAKGGAA FGFMFDDVENALVPYSSLIFHDVTFIRVDVASIHLWLHVDDAAFLLSSGPVEVRSNDW AGSHYSKRTDIYIPNIEVSCVNAESAARPTSRRHHSVETTAFLKTNIRLASISRKFHF HEELKTQQDFLRREDKRTERTPFLLRPYIDHESVPEPCDPPAQCVPPPATPVDYVQDD QSSDRSFATSHTSNRLRRQSSFWSFASSNSRNRASADQSRSRNRSRHTRALSAHSAGT DSGRRSSAQKRSSLSNSLRLTALKSSDQVQRSDKHVEQSSVLFSSQYLSPHFPLRNVQ PDGHEATFRERLSGDKDKFFAAHASFEDIDPYSLSEDHAQTSTMIEFPTGIGGFLSPD AVRYANALLAAFQPSEPDDILDSLQVDTIEQIFSTKKQQHITGYMQEVLVKLPHADIL FLDTLSRDQAEQDQYDIRVSETLLITRTVKDCVADIPTSRTSVHFRLKSAEISASERV SSIETPQAAVKVTIDDVRISLGAKETTYFDADIRSIVGSTASGKVDYLASLIHRTSSL ASELADLFSQTISRHENRVQYLTHKLLEEGRNTNDPPFLVRSSAVLRSAHDHIRIADS WKLIMRLRQIWATLSQDKRSTLQSQCRENVLQPPPDALRHVVAAFQAWRSWDLDDPSN SVLLQRIFGGKSGGKEDPSPGYPRSGACRLSEIQLVLDPGVKQNNIRLLDLNLRTKER PAGQVNHLPDTVNFHGSLTIVTLCCAEAAVHLNWELCELAEDVIRLYNKAQPPVPHKS RPTKQLKAETSQSSHAYHVVFELARGSIEAETINLLAKSLCHDLTISTILCNSAGELS FANAILNCNSVTSKLQSHGHLVSVFRLHRPSVFVAHELQKTAGTVAHATRISASSQDL NLEVEQDPVGLLEILDLLIRDEVARLYSLNNEWPSTPEELEKGGKTKTQLSTFVVNVI LLLDGYRLSIPLLQSLTYKISGPIARASCAANFGSKMIFDFDVAESFHEMHINVKDEP RRISLLQFPPANGRITSHVQPTGNVVDVLASMDVIHLDASSMYNLLMALNRPQMSGAI EEMQQQLMDIRRSIPETLGASQSTKVTTTPRPFAPMAPSVAYDVHLALAGVRVLAKTS LKSADEPQAQILFALEKVTLQASNQPDPNVPALKYPDLHIDLRQISLDIRRGKHDALR SCGDLTTGFNISASSRRRDDGKEDWSLYFRNDDFAMNLSPETVSTVIDVVGYMGSKIK DLDTSRELEYLRKLRQTKPKIKINEEDWDGDADLLESVLSSITYRFEIRNIRMCWGVT EQSVDQDIAKEDLVLSIKLIEFGTRTRRSARLTIKDFMLQTVPPGQDKTIRSLHSALL PEFMFNVGYVSSQNARRMAFHAVGDSLDLRLTSGFIIPAASLIQSISLSMRNVQTASA QWTTEHPGAPEDVASRKLEHSSPQTRQRSMFKNKRLESLLVDADFAGAVVYLSTKRNA TGAAADSKYCQPSLAGKYGQFSADDSGSGAVLRTPGLAWKAEYRDDGNDDPSLFAEIK IDASSNILYPSVVPLVLDMLSSVKQVVSDDAEKTLQAEQPERPKMKPTKSGEEDKFLT ADPSAVLGRLRLNLGLRICRQEFSLSCQPIARVSATACFDHMYFTMNTVTSQEQGNFF AISGVVTRPRASVQHVYSRDSTANFDLDSVTISFMNNKHFSGTSGVSAILSVSPMKIS INAKQVQDFLLFREIWYPEELRQKSAVVVPEMPVETSQTHLVQRYQEVAATAAFPWTA TISIAALDVSVDLGQAIGRSSFQINDFWISSKKTSDWEQNLCLGFQKVGVECSGRLSG FIALQDFRVRSSIHWPRREEALNETPLVQGSLAFNALRAKVAFDYQAFLVADVTSLEF LMYNVREAKDGNGDRLIAIFDGEAVQVFGTTTSAAQGVALYQALQKLVQERRENFESS LREIERFTKRRSITSRGALHRYSVAPKLPVDDTLSKSPISLDTDVVVNLRALNLGVFP STFSDHQVFKMEALNAHARFAASIEQRRLHSVLRMTLGQLRIGLAGVRDAEAPKTMSE MTVEDVVQRSTGSRGGTILKVPRVEAAMETWQKPSSNHIDYLFKSTFEGKVEVGWNYS RISYIRGMWANHSKSLEQIWGRQLPMTAVKITGVPEAEGEKQKITAEVNVPQSKFDYL ALEPPVIETPQLRDMGEATPPLEWIGLHRDRLPNLTHQIVIVSLLELAGEVEDAYSRI LGSS UV8b_04945 MISIITAMSFSSTGGGTGQKFTYATTVVAGVASLAATALSVVSI WLQTKNYRKPLLQRYVVRILLMVPIYSIASFTSMVSLSAAAFIDPIRDIYEAFTIYTF FQLLINYLGGERSLIVMTHGRAPVEHLWPLNHVLRKVDISDPHTFLSIKRGILQYAWL KPILAVATIVMKATGTYQEGYIGAKSGYLWSGIIYNVSVTLSLYSLGLFWVCMHHDLT PFRPVPKFLSIKLIIFASYWQGFFLSILVWLGAIPDNVEGYTRDNLAAAIQDALICIE MPAFAVAHWYAFSWYDFADNSILSARMPLRHALKDSFGIRDLIEDSKETFRGSKYQYR AFDSGDKVMAHEDSDSRFARLREGMRYERGGKGKYWLPKPSEINATSPSDIHVTSPLL GGPSSSRGNAIGDQTNGTFDEPELDDDEEHLYSSARELEYGDWNYPVIAASEPLAERY RPSRSSSNGGRAQAWETGPVRPRSGPESSSSQPQPSPSQDAEEPQPVVVIKKKKKAKK VVKHRQPEPADLGLGKGPPSSSAVAEGSKSVQSPETDETRQWGDSTADERQNSHPRYQ VDASEAFRNVWGGEE UV8b_04946 MGVPKFFRWLSERYPAISQVIAENRIPEFDCLYLDMNGIIHNCT HKDAGEDVSFRLSEEEMFIRIFNYIEHLFGKIKPKQLFFMAIDGVAPRAKMNQQRARR FRTALDAEKAREKAIKEGVELPKEDPFDSNCITPGTEFMAKLSQQLRYFVNKKVSEDA DWQGCEIVLSGHEVPGEGEHKIMEYIRNAKAQPNYNQNVRHCLYGLDADLIMLGLLSH DPHFCLLREEVTFGRASKTKSKELEHQNFYLLHLCIVREYLEMEFQELQREGTLDFPY DLERIIDDFILMAFFVGNDFLPNLPGLHINEGALANMFKIYKAVLPNCDGYINENGVI NMSRLDKLLSELSKLELASFENDVSDEKWFASKQMEKKLEAKHAAGNKNAKTGQLVIT SAQRDLWKQKVRPYVSKRSDEPLNLGYGLKAADRRFVQDIADAMHLDWSTQEDEEANR HLILAFPARPGGQNDDDDEEEEEGNLAAYRVIKLYDNAMVVDATPEDAQRHYEKLYQD KYAGWKTKYYLQKFPEWAPERYGKEQANLCENYVQGLQWVLFYYYKGIASWPWFYAYH YAPLISDVTKGLGANLKFTKGQPFKPYEQLMGVLPDRSKKIVPKIYHDLMTNPNSPII DFYPRDFTLDMNGKKMDWEAVVKIPFIDEKRLLDAMATKNDLLEPDEKARNGFGVPLK FTYSPEVNFTYPSPLPGIFPEIQNCRCIENIFDLPDMEGLEYVSGLTDGALIKAEALA GFPTLHTLPYTAQLVEGYGINVFQQDSRNPSIVVTLTDTRMRTSIETYKAKLGQRCYV GYPFLQEAKIVKVQDELFTYELAGNDKGINTKDHSNREAADYAKEAEFLESWHAKRLG VTIGQVECLVHVHMLKGLIKTEEGALLKEYAENPSIRSVYSSQTVVDEVVNEDERFIE KEALPVEEEFPVGTQAFFLGEYAYGRPLEVIGHVKGKTEVKLSVPKQREPEFARRIIH GAERANAYTPSFAVAKHLGVHPLVLSKITSSFQISTTAGLKLNLGLNLKFEARKLKVL GYSRKSRTGWEFSALAIKLIADYMVAFPDFFAAIQREPQKSEISETDLWNNPSVASQR VKDISAWLKKQETSKFERVPLEAEQLDSEVVVALANAGEQAFQGSQEVDIKRLKGVPR AAILKPSDADVVLGNQRFALGDRVTFAASAGKVPLATTGTVAGISRTATALLLDVVWD VSFMSGTTLGERAPMFRGQTVPSSSVLNTTNKQVISASTKSQQKRATSTMTTGFYGSV GVPQYRDAPAPAPLRGSWRGAINRGGHGTPGRNPPGRGGGRGSPELPPQRGRGGNGHV GNGNGNGNGKGTGDGPNLIHSLLVYRESPKDTSQPGNPGHGRGGGRGRGRGSLAGSPD GHAEAEPRGKYSNVPPPAGLDSPRGWRGGRGRGRRGGGGRYRGGMNRGRGGSGDGRGE NAPEVQG UV8b_04947 MHSSFTRLQNVFGFFTTVACVLAGLIAATDLLAARRPSGTITPT NIQVVRGRPHYYSAKKEEYAILKFSLDADLSSLFTWNTKQLFVYVTADWPAGPAANTT NSAVIWDSIITSPSADHLQNIGPVAMKKLKRSAQGKSIDPSRGLLKLRNQRPKYQITH PSGRIAKTDNVVLKLHYNVQPWVGLLTWNLNDKLGYWEPMAGGVSDRFELPATKVKDA SKNKAKKA UV8b_04948 MAVQTGIEAALAKIMEVVQKQNEEMSELRQECSQLRQSNTEISQ LLRETILDRRDSSGLGLFTPGADSALRSFSPHPMPKLSPAMRYIPPPSPSPQLTVPAM DREIPGFYVIIPAGGAGTRLWPLSRENHPKFLLDIDLSGRSLLQSTWNRLVPLAGCSR MTVVAGPSHSESIQKQLPQLEASNLFTEPGPKDSMAAIGLAAAILQHRDGDAVIGSFA ADHMIAGEDAFLNSVTEAVKVAKEGFLVTIGIAPSHPATGFGYVKLGDKLDVPNAPSA RLVSSFKEKPDAYTAAKYVSSGIYRWNAGMFVTKASTLMSLVKEYEPELHANLTRIAE AWEDKAQRDALLTEVWPTLEKVAIDNAIAEPAAAEGRVAVIPATFGWDDVGDFSSLAE MLPAEANSPKILGDRNLVVAQQAPGGIVVPGSGRLVACLGVDDLVIVDVPDALMVTTR ARAQEVKSLVASCRKAGFKNLM UV8b_04949 MLPLCHAILSRAYADYWAPKSPLARYFSEANSFPDYGSNDNEMS TQRLRQMNGATPGGLNTLTHPPRPDVVSSDSYSFTQSPPAKRHKPSETSPRDSVYSCY WPTDRNEATEIPISLRNDSQEDLFDLRSNSSAGKPKSVCMGIDMYRNTQPGLGSRKKR VRKSRLPSRAQQLPNPARKAEVIDVETVGQCRISVTLESPDALAHEQDPPPAKIRSDI FSSGSKRPRPAHFASSPGPFVKRPRASQPIESIESEDELSKDGGPGGQGATSIILASP RQRSSRGDIQPTQFLKPQPARNKAYGDIPRINIKKAVSGKHFRSVNDERHEELFLQQA STGSGSLLPQSTSKDDSSLDWMRIDVNNVTSVQHAGSRSLYVLLSRPRGSNYGSQLWL ELLDHKDVSSLVCAFPSDKTKELFDDDLGVRWTKALTEAVSYMGANRIKLSSRSNSPN TRQVHTEGRKETPPEADQDDRKAAPPQRPKLVDKMRNSFETAAEVAPPNHGGTREDTG SAKTPITRRTRRSSPVYVLREPTPDGWTRQNPGWRSRWHKSLVFPATGKSRATVDDDD IMRLDEGEFLNDNIISFYIRYLQFKLELEKPELLNKVYFFNTFFFEKLRSTKGKINYD GVKSWTAKIDLFSYDYIVVPVNEHAHWYLAIICNAPNAVNGVPGHDEVEDAVSPVRIA AIEREMSDVTIGDDESMHQQGDAENTATSPSTSKSLGHSSPASNEKPRTGPAMTPRPS TRSIDPRTPKIVTLDSLGKPHGPTCKALKDYLIAEASDKKGVTLAAPPSGMMAKKIPE QDNYCDCGVYVLGYMEEFLKDPAGTVRKLLQREPSGWDIRPPWLRNEVRELLFKLQQE QQSRLDKEAAEKRQASAKRGADKTPTKPETSPIPARDSVTGKPAPISQLADTGEKELL DKHGDKPNAQQRATTTPTTPPPKPSRTSKDGSGSSTSPCRDVSHSDHSAPTSQLPSEP FQPLDDDGALPRGANAKHDARSDDLVETLPSSPSEHEVEVTRSISRPMKKNLTVDKAA DVEEVMAIPPQKVTRHGPQRLEQSSTMVQVLRSSQSPQPRKTQARYDGIERSVDLT UV8b_04950 MSSFFTIPGSQKKRKRAAGPEPPKKKIAGSKASFGKPPARAGAA STKRQRAERDESISGSDSDDHDSDPDGAASDARGGDDDDDDEDDEGSGDESSDDDQHE TAAEKRLRLAERYLDNVKEHVDEAGFDAADIDRDLIAERLQEDVAEAKGRVYRQLAAQ LALSRASQTFFRTNTSSVTSVAACAPYFYTATKDLALHKWKVQELPRHQFPRTTRRKP ARPDAPPRKRPQLQAWVRGSRSKGKDGNYKRHTGQILTVAASPDGRFVVTGGEDRKLI VYDAATLQPIKVLTHHRDAVTALAFRRGTNQLYSASRDRTVKVWSLDELTYVETLFGH QDHVVDIGALAQERCVSVGARDRTARLWKVVEESQLVFRGGGSDRKNAPAGVDPRSLL HEGSMDRVAMIDDDLFVTGSDNGAISLWSVAKKKPVYVHPVAHGLDPALDPLSASAEV NPDSERVVPAPTPRWITALRTIPYSDTILSGSWDGHVRVWKLSEDKKKLEPLGVLGDF ADDYDNDSVEGGANNAHGTPASRRPLPGIVNDIAVFERGDRGQDGLCVVAAIAQEHRF GRWKVCKGGVRNGGVVFEVPRMSQLVNGTNEPSQSGEESAS UV8b_04951 MGTSQDNQGLGARNSVEAATPYAWSSNLIISPVTMEVLLGITGK DFTIIAASKAAMRGATILKASDDKTRALNKHTLMAFSGEAGDTVQFAEYIQRNAQLYS MRNETELSPSGLAHFVRGELASSLRSRHPYNVNLLMGGLDPITGKPHLYWLDYLASLA EVPYAAHGYAQYYCLSILDKHHHPDITLGQGIKLLTLCTDELKRRLPIDFKGMVVKAI KADGIVDIEFDDDKIVKSA UV8b_04952 MADELNMGGLSLADGHQGGAGPRSYIPPHMRNRQSGPPINAPAP NGAAPNGPPNGPPNGATNGLNNGSWAGNNNYGARQSWNNGPDGGASQFPNNRRGGGWN SRGGGGPSGGGHDGGHSYTSRGSGDGQWRDGKHIPGPANPRIERELFGTIDDPSKQHT GINFEKYDDIPVEASGQDVPEPVTQFSSPPLDEHLCRNIELAHYKVPTPVQKYSIPIV SSGRDLMACAQTGSGKTGGFLFPILSQAFINGPSPVPANAAGQFGRQRKAYPTSLILA PTRELVSQIYEESRKFAYRSWVRPCVVYGGADISSQLRQIERGCDLLVATPGRLVDLL ERGRISLCNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPMVANRQTLMFSATFPRDI QMLARDFLKDYVFLSVGRVGSTSENITQKVEFVEDVDKRSVLLDILHTHAGGLTLIFV ETKRMADSLSDFLINQNFPATSIHGDRTQRERERALEYFRNGRCPILVATAVAARGLD IPNVTHVINYDLPTDVDDYVHRIGRTGRAGNTGIATAFFNRGNRGIVRELMELLKEAN QEIPPFLEAIARESSFGGGGRGGRSRGGGSGRGSATRDFRKFGGGGGGGGGGGFGGGN NSNFGGPQQNSGYGGGFGGPQAGGYGGGYGGNSGGGGYGNPGGPGAQSWW UV8b_04953 MLPTACITIIPKTIAQRAKSFLFLDSSVRSGCFLSIHEAQSNQT HSQTYPDLGILRKPPVVIVVSCAIAAPLTLACCLRPAACGCPKERDPSDACRAQPKSP SMSSACRVPPRHALRMPSRSKALIEQPLFSLPSTSIFNCSQSSSKETPNSASVRAVET CRIVMLVRDLTVSLFFQIHWKPPRFRLCLQFLHVSV UV8b_04954 MLATRLAAAAAAAAAALALGQAGPAAHDAVPLATTRNYWMRRAN RALDQVRHTPCPFNAFGSVIVNHSAPGLGHLICMGANDIASGDPTLHGEIAAINNCSA LLTDPRGPYKLTGAEAQRAWADLTLYTNAEPCPMCASAIRWAGFKELVYGTSTKTLAR LGWSSITLSAEELFSYARGLRPQTALLGGVLDGETDRYFAWQFDGAAACPRGCARVDK TCVKRELGERETDAVDENAMDGNAMDEKVMDEMRGLDMPTERSW UV8b_04955 MKNLQLSLLFLLFRASPFEAKNYKKNIPTFEVGPGAKIVGNRIE YDDPDCDPGLECKTTKMCAAAGTSPTLSDDKKYFACCAAGQRLLGSPETAFDCCAAGH DLVGSPEHGWHCCPTGFEWDGNLCKQVCKNGKVLVDGKCVCPAGQEEGPDGHCKDKPK PPVCCSGLETGKCYIFKAENGNRLGLRNDNVYYAAPDSMIQRYGKFQICADEKCTPGS PVNPSTQVYIRDTYGDLATGANKGQWLNGAQNGAHIGRTPNFSLAGKFSISKWPCGKY CLGGFTQGVGPACPADIPAMTFYSLDPQMCVAFDFTEVPCDIKNDANSCIWKNGDQCC NKVDCAKKDKDSADIAAVNLPYGWKFVLVALNLALVAITARSIRGGRVGHVVPRQDDL GRWSKKAKEFVFWDSLFPQTVAE UV8b_04956 MSNVAAERDAVEVAEFILTPFHDIVHKAKEAACNAPDEASPMRK TAESLAREGQRALNRLQPLLNKILSQHGPAFVNRIKNHEDVSDFHLQLTHLLWGFDDY LGTQNFNQDKYDELQQLCRSLAPKLYNILVRMNIELLAKIPDKEEPFHILPESTPSSS MHRSSLSASAYSWPVSTPPEGRFEPDKPDLDAALAVDNPITCLHFQSRPAASHLHRIP VHAQNKTMYSIQSPSLDYVNANLRHQSYSSLQSSLSSSNQSVAPVRQNDESASSTSPS RLVEEPMSWRHAHYHIPGAGLELPKASVAHRVSEDCALANRTQRRATFGHATAPSTGS LSKQCTIDQFSSFYRYKGFCAGAQEILKGNNGVKQKQKPVHRTLSRVVAKCTGCAMEL DYDQIEMDLSNKGSGSLVKRDMHYRIRFLQKSHLSVAKATDAIYGCLFCVQNGYTFEE SDATVFFSADDLFAHLSRHPRPLPLVPGVSIVYGADVPSHLRNNYDLQLNFPPRRHPI HEERTEVDGRPTGIATKEVRKADLQRNIVDRDRPEELQLAVGARLTGIKWPPQFRGKR IFAWHDGVFASAPSDIVMLIAPGSKLLSHRGIDTLVSGKAKWKFASKHGKETPWLRFN KGETIKNIAWEHPDHWCWCGTNSKGEWGIFPQAFIDPNAVRNDALDESLSREA UV8b_04957 MGGLWILLLAFLVLLFIGPALLANRSPIPELSENVYKITEAAEL DALLSSTTRVVVDFYADWCPPCRAIAPFFSKLADSHAKHGQVAFAKVNVDRVSDLAKR YGVAAMPTFMVFDKGVPGGVAVPGLGGRPSVGLADDGRVSKVMGADRVALEAVVRTLA EGE UV8b_04958 MPPGWSQASDHSTHDTTARSRYSFESSYDNVFLASPEHCLPPYG PDHALSLMAANDVFLAHLPSHETHEQGQGQGQGQGQGQGQGHGHGHAARPAFKKQPGM HWSAHRPNSADAATPARTLAPSCPPKHKGGSGSSSSSSRSSSEAYLTPGAAAPGPQSL LWLSGGHEPFAAASSGRIGPGGRSRRSKALERNRIASFMSRAKTKESERNLELTMRQL ESRHYELKEEHSRLLQQSLQLKTDIIYHAGCHDHRVDAWIASEAQSFAQRLTCDEQRA QIDEETLLMMELGDSPSHFGPLSTTSTIHHA UV8b_04959 MGKRFWLSCFLYIESTVSRVPRNQTATSRMKSSYKRLLPGSSKG GGPQDGGANPVDGSQSPATCSVNLIACDSCRRKKAKPSFPLRLTTLLAITPECDGQRP VCDRCSRGGSQCRYDADVGESRSAAMKKKYSALNKNFRRLYWEEHQLRRFYGYIRAAP EDEAYNIFLQIRASGSSNPIDVLGSLRHETSDGEMERKDSLTSNEDSDGASYEPFATS PHTLEVPAAPWTTVAGAKIVCELISQYFTYDYLYVFPPINREVFIRDMNSGSPVAATS CSPALVNAICAQQCFLSPRDHIDGVPRTEMAKRFLDEANRLIPHDWKSVSLPTCQAAC LIYAAHAAKDQFHI UV8b_04960 MENESAKEREKRERKTPLLNSNHGPREPNGPFRYTDVADCSELF GSPLTHSLPVPALRPFLFFPFWLLL UV8b_04961 MPGPCVTMYGNTCRRHVIPNFWTVNERINLSSKWFHSADPRPLR SRPHLLLVFAPQVQLSPQSQGRGPLQLQPDPQSQAIRDISKFAWCCFAGSRSGSYIPV VNVVAAITVYCGQRLSALSSP UV8b_04962 MSAASSSSSSIRRLPPLVFPATGRHTATVIFTHGLGDTGHGWSD AVELWRRRQRLEEIKFILPHAPSIPITMNGGFQMPGWFDIRTIEKGGNEDRQGILRSR DYFHGLIQQEIKDGIPAERIILGGFSQGGAMSILAGLTAPVKIGGIVGLSSWLLLAQK FKEIVPEGDMNKATPIFMGHGDKDPLVRYDLALESEKALKDLGYSVDLRTYREMQHSA CLEELNDVEAFLVERLPRKQT UV8b_04963 MLAVRAASRRAASWQCMRAAPRASWAVARAYSTVGERVAKYEGT KDAKGNYLVSLIEGDGIGPEISESVKDIFAAAKTPISWESCDVTPILKDGKTAIPDAA IENIKKNKVALKGPLATPVGKGHVSLNLTLRRTFNLFANLRPCRSVAGFKTPYDNVDT VLIRENTEGEYSGIEHIVVDGVVQSIKLITREASERVLRFAFQQAQAVGRTKVRVVHK ATIMKMSDGLFLNVGREVAKDFPGIEFDDELLDNTCLKMVTDPLPYNDKVLVMPNLYG DILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTALLLSSIMML RHMGLAEHAARIEKAIFDTMAEGKALTGDLGGKAKTHEYAAAIIEKL UV8b_04964 MVVKPYNHDPNYNTNPGIDDPKDIVYTEGPACPGRIEVRIPAVA APPAAIIPAAATYLSLATGPDQVRPVLPARVVSDVIINKD UV8b_04965 MADYERKILDYYQLSTAYPSEWPAEKDMAEGSDDGQDSRQGRRK SRYEVLETAFGGGRSPADGQGGLGSFVQKDEPDPLGTSDSVVRSLKRLGLPVQDDSRL RNRFLLSSTAFSPALFLSQMHSTADTKTLLQGLDVLSQSIDEKSASLKVLVESNFERF VKAKATIDNVYKEMKYRGIEPVQPRAAARHSQHPSRTSFSRKSSGSHALLSNPLALLM SDNRKKNALVKESEYGVMGIKAPLLDVCAKAEDVWGPALGGREKEKHLRNVSRHLDES KDCVELSAVVADSIKRKDYESLVDGYNRARRFADEARRLPTSLDGQSLDDSQLFKLVL VARMWNDVDQQIQAFKKEVWKKLALTHSSSSRTENLGGRQQDQHMELIGLLLELGVDE NPIGVWLLSKHDHLKTKIQATADRCRVEIEVLRRRLADGEKPEPHVLASYLRTLGRQP IEGKASSSDSGQVIELWDKMHSFLSSLLSPQGILGELLEFWQTSQEFIDGNTQRSLPL GHNGESKSHHQLSSQTEQDLKRGIVGLVELLREQVHAFFVELPPEDISLLFSPLPLSP NSPAVASSVSGSLTPTALRDPRFNFDPNNPPPLSPTRGESWEKLAFWPPWSNSISGVE YLAKMLTLVGSGASEMASLGPVASNGPRVIEQLKSLVGMSRERCVVALCAAWNRDAES IKFVEDWQRPADSGDVTRMPAIFAAFEGALLSGMQKILYITHAVEKPDAANIVLPPPT KLLQMVRSQYVTTLYKALSGMVENAERSLKKNNDDWAISREVVRTFVPSSATRKSMLD AGDRNIRMLLTLSNLQALRSKVVPSLNSQFENAFSVKLTEESKTIRDVLGQIDARLFQ SYTKQSIEKLRAIIQAGLFASDWVPEAGSRPTAAKPYVYEALLALVLVHSQLTTTAPS LTPQVLSFLLEQTSLQLLDAFRHRPRYSLEALMQATLDVEFIAQTLSHYTTDRASELQ SQIYQELDGRTDNDARARLQSELPEMRSVLKKLREASKNEFACFKRPKRPGQPVPKAD TGAGSK UV8b_04966 MVPTRIIPSPDGAECVQKYTQSTGSRLLIHLPGRFGDRHYPSVA KPLCRVHWTACSDAHRTAMGRRPNPLILEYFERGPKLNDNSNRYPHTCKQCGENFPKG RIDSLTTHITKRCPAISDSERMRACLELHGITHARSAAERHHQAQVVQAAQAAQAAQA VARANGQQVSPNALPQGWSALETLAEASRQVDLNENNRGAKAQPQTTQPGSAQESDRF EVQEQFTLENPPSSYETSRAQANSKKGSSAFQTPPPTAAELSPEERLQALLPANESSD AASISVAVAAAARLNPSFLDPQLVNGDTTPTSPSVADSSGAAVESNPAATADDDVSQP WGEMTYLTATSPAPIVAGGPPLVPLPMSRGGVRMDTSAGVLNGRSRHFRSKFTAARRQ EVKEVRKLGACIRCRILRKICSKGTPCDTCRKVLAPRVWMTGCVRSRLHEQLDLYSAG VQVVLSQNRINLLKEQLKLVSNGAVVQVSHFADTDKSIVFAAAELETAEPIHQVIMID QDKDDVPGKVEAYMRDVLDLFIDREPSKFMKMTLETAKEQLAEGGNELLKMALELWGL VESIDRERQWTIVEKSSADAEHGRHVKEADNENDADLYTMMCMQLNAAAERKANSTSK SLLNLMDRQLADSKTKVGFHIYLAALIFLNCVEKSTWAFKAWEQDHLRPGWPLERDPG VFTQQGSNLAGLIKMLLAIRKILPLTCRDAQGKLAAAQDEDPHIAKYFQSLDLEYDTI EARQRGSQFSPADSRSLELMFCSHLLLPNAPA UV8b_04967 MAPAQAADPEVDIEMTQEDDDDQIERVINEEYKTWKKNSPFLYD MILGTALTWPTLTVQWFPDVKEPEGKNYCMHRLLLGTHTSDESANFLQIADVQIPKAI APNPDDYDEERGEIGGYGNSGEVAAIKCDIVQQIEHPGEVNKARYQPQNPDIIATLCV DGKILIFDRTKHPLRPASLGKVNAQIELVGHKAEGFGLSWNPHEAGCLASGSEDQTMC LWDLKKLEADTRILKPWRRYTHHTQVVNDVQYHPISKNFIGSVSDDQTLQIVDVRADS TSAATLVAKGGHLDAINALAFNPNSEVLVATASADKTVGIWDLRNFKEKVHTLEGHND AVTSLSWHPSEAGILGSGSYDRRIIFWDLSRVGEELLPDDQDDGPPELLFMHGGHTNH LADFSWNPNEPWLIASAAEDNLLQIWKVAESIVGKDDGELQIDDLDR UV8b_04968 MALPFNVDAVKRNARAYGFSTQQSATFAHLAVAKLRDLHAASNQ PVPLERIAEAIKLHACQEVERERAIRPEVRQRGISIMDDMEEELRLLDHVANIRTTIV VEERGGSRARRFQFDGDGLDAYFGKKDPYSSSHRDMQQTLSLQQQQHQRRDIITALCA RIELAVELGKWLGPRDIVNLYATSRTFHNAVNEHLLSSIRAWIAHRAPEAGRLFKFNL YRRHLVPDPAGRTWQTHYAGTETQTSMPQLMRRIRTVPGLRYLQLVLGRDRHCREILA VMARNGLLMPKTMHHTLLRLWLLMDIATSGQRQALLRNQDMWTDEHLYNAQMFLVKLS MLFNDPIYGPMTNELLRVTMGQKGLYPLWQLLLRKRFTNVAEIVELQVRYDWEPPRQL YAAAGSRPDEAVVIHGVPLQEVGRDHLEGWGLGEAHLLRPDELIPLEAVARGLELDSH LMYMMTWGYVDFETGDNVVPSEDDVHMSDEEDALGHADTSGHWKRKHALKKRFGALSP ESQRQIMQDDEDDRLKALAWTGDTTDYYCCHDGGDGADDADDADAREAYSLEDEITRG FIVNRQDGTGPAPPASSSDRVAWQGFVDQALANVPADLGDEQALGAQAWQNYHNDELY NDWDWQTWLRQEEQGQGARDSGPWTWEAPPHEEAGAQAPAHPSGDGDGDGDGDSDDTV ILDRLVDDGNDHDRDRDHDGNDHDGPHRQLCSELADYFAADADNPVPGEQLKALLAEH CPQVLSGAEYPADAGEACRPSSPGDPGWGHVLTE UV8b_04969 MSSQQGSQVNVDRYVVIHVATTCDEHGVYVTKDSAEVIELGWIL LDANSLEEITHESVLVKPVNTPITPLCTSLTTLTWEHVRNAGTFRDAISRFDAFATEY LTSKNLDFVFVTLDAWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQQHHPE SLPFGPSMLSNICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEEAITLARVLR GLVRKSQPPQEHPDVLTRPMDARSDVRAFLSERSKVLHMSGLPHDTTQSELESWFTQF GGRPIAFWTLRTPEQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAQDILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAAGSGPGAEAPGGY GYGGGYGPPAMMPPPPHGAHHGPMGLHGSRMGGGGGGGGGGGGGGGGVVPFRAGDWKC GNEVCGYHNFAKNVCCLRCGASRAGAAVVADSGYPSPMDNLAQYSMSQGSMAGTPGPP GPGPFNSGNSFGSGAGYNQHYGGPPSHFLPSGIGGGVGPYPGSMNNHGYATSHGAHSV GPFDSRAAEAAFQSASNGPVSAGPAPSNNYYGNAHANNGENDPFAFLSTGMGGLAVSG NDPRQNGGGGPPSKSPA UV8b_04970 MARRPEQDGPVSESTQDPSTTDAGKEFQIPLTRFWTLCAGVCLG LFLAMIDTSIVATSLYNIGSEFNDVGNVNWVALSYTLAYMGFAVVFARISDIVGRRDA FVVASVLFVAFSLACGWAQKMHQLIAFRTVQGIGGSGLYSLAMIMLPEMSPNHLKQYI GAMVGVVIVMAGVLGPILGGLLTNYTTWRWVFWINGPVGALSLATFLSSWPVREQRPR LQKHSWKQLDLVGSFLTIAATVLVVFAFQDAGVTSGGSSSWQGNNWQSAVFVAPLVAG TLCWVALVAWESMVERRLASRCSPVFPMRLFQHSTYTSGVVNTLLLGFPYLLLIYVVP LRIQVVGGKSALLAGVMLLPMLVTVALGSIVSGAVNSRKQLIMETLVAGSCLMLLGCG VLTTLSVEGLDAAKLMGFLTFCGLGFGLAISSSTMIASLEIAPKDYASAQGIVAQMRM FGGSLGIAASTAILRAGMDGIPSRMSKRQTQTMAEQQNARLRYAEAFRTDMIVATAMS GVAAVASAIALWQSRKRTPRQTGERRGPRFLSRCFSFFLD UV8b_04971 MAAPVAHKYPSPLAGFETAPPLPADLDAKTPVNPEGKALSEAYD RFTAPLDNGQRGGFDVHIYYFQWNAEQTKYARELWERIRREFPELRIYKFWDRPVGPH PVAMFEVNVFTPHEFGAFVAWLAVWRGPLSVLIHPNTIPGEGETPLEAGLRDHTQGAI WMGERFPLDVALFQS UV8b_04972 MPLIVHHLGISQSERIPFLCEELGIEYRLVVYKRAPKHAPPEYK VLHPQGTAPVIQDGEVTLAESGACMEYIGRKHGGGRLWVEPADEAYAHFIYWWHWANG TFQPTIGRVMMCRAAGLPAGHWLLAMADDQLRAALDELDKRLADNAWLAGRQFSAADI MLMFSLTTMRYWTPYSLAAHANVLAYVERVSRRDAYRRAMRKCDPDMEPLLGPDAPES LYFK UV8b_04973 MGKESPQFAHAARGGAVWWTSPGSTHEQSLPACFALSRLLRHGA LFQFILELIEEACPSITAGLPLFDPPP UV8b_04974 MADSLSAVPAIDKPMLQRRRSKNPLKNMMQHLTVEPPEDSDGAT RRASLIRRISWRKSRSPSAHSDASAPTSATIRNIDPALCASCSSLAVDIEHILEEIDL SFTKALHPAAEDAFGEKEYFVSRLRGLEENKWAATCPLCRLFWAVHIPGEGDGDFVLS AFSSRDTNYLIDSTRMFDMEHPARAKSKGLAPCYLAVVPKKRGDAAKAWDVLPEWFRE NGMLFRTLPSLSPEPSGRRSRSKSSERQTPDPPPALQTDSDWLQKGIWGREIQQTADL SIARSWLQFCDRHHQGRCGRRRIAKDLAGFKLVDCTQSPPRVVRRPLSENFAALSYVS GKDTAELWPKVVRDAIVVTRELGLQYLWMDKLCIDSSNLQERTSHIGRMDEIFEGSVV TIVAAHGNNAMCGLPGVGSTTRPEQPKYGFSDGNLTLVSSLRDPRLDIRNSDWFTRGW TYQEGLLARRRLIFTERQMYWECDGMSCPETLILPLATYYDRDEEKMCDFVRPGLFNS VSYIDGSWEAWKKLPQTAEEPSTLSIFRQSDQYICEYTKRKLTYDQDSLSAFMGITRR LEKTLGRGKLGSIVGIPLWCPTTPANAPAELARTKLLFALTTSFWHHSGGEEPQRRRH LPSWTWAGWRGGVELHSSIVVAAQDGTSREKKLLNHHYVSATQLTRNDATSREWAYSP EMVMVSSDGHVVYDFSSAGGPPSISPGPYLLRVTNPLVLDKVKARVHKGGWIFNDVCV DVRLSRGRGTEANTSAPLASEANRPSAIREYLEQHARGERMTVLWCIEETTILLLVLE RTASTTWERVGRARMGFGQDPKDVMRRFGSLEVLVNSLPLRRLGQDIFIG UV8b_04975 MADLAPAPSATSATSATATRVKSYTSTASHLAYPRGHLGYLSVH EEDALANFKQVLEQRGAWKRGPPASHDDQTLLRYLRARRWVVNDAYKQFKDTEVWRAA NNIETLYRTIELEAYEQSRRLYPQWTGRRDRRGNPLYVYEMRHLNSQTVSEYERSGAK STFSDAKTDGKTPPGLLRLFALYENLTRFSQPFCTQLSDRECPDVPVSMSTNIIDASG VGLKQFWNLKNHMQAASQLATAHYPETLDKIFVVGAPPFFGTVWGWIKRWFDPMTVSK IFVLSPHEVKPTLEAFIEPRNIPKKYGGELDFGFGRPCVPDPSWDGVVSWENGYTSFP SGPLSWEEVDDGQRVACVALGKEGGKPRNVIICTMPKLWPPRMDERDSHQTESEKATT NQGSEPTQAAQGAMDEGDETVGAFTNGHFEEKMRLAGETNESASLAQTATAA UV8b_04976 MSSPPSLDPALPLPKLIVFDLDYTLWPFWVDTHVTPPLRPSPSP SRSAATDKHGQDYAFYRDVPRILDALSRSPVRLGVASRTHAPGLARRLLRILHLPADE GPRSAIDVFDAGLEIYPGSKVKHFEALHKRTGIPYHDMLFFDDEARNRETERLGLTMR LVRDGVCWNEVESGLEEWRRRRRRRSEDTRPWLG UV8b_04977 MASQTPARHPKPPKQTKTDLRQQKRKRDHEHLQQLDQAVANLDP ASTEVRNFAHLPLSEPTLAGLKASHFQALTEVQQQAIPLALRGKDVLGAAKTGSGKTL AFLVPVLEKLYRAQWTEYDGLGALVVSPTRELAVQIFEVLRKIGRYHVFSAGLVIGGK SLKEEAERLARMNILVCTPGRMLQHLDQTAGFDANNLQLLVLDEADRIMDMGFQSAVD ALVEHLPTSRQTLMFSATQSNKVSDLARLSLKEPEYVSVHEAATTATPAKLQQHYIVT PLPEKLDTLYGFIKSNLKSKIIVFLSSGKQVRFVYESFRHLQPGTPLLHLHGRQKQVA RLEITSRFTAAKHSCLFATDVVARGIDFPAVDWVIQADCPEDVDTYIHRVGRTARYES HGRAVLFLDPSEEPAMVKRLEQKRIPIQKVNVRERKKKSIKNDLQNMCFQNPDLKYLG QKAFISYARAIHLQRDKDVFSLQKLDLDAFAAALGLPGTPQIKFHRGDDVKKIKNAPR DGMSSGSESDMDLEGEYDRTTKEEKEMERKMERNKKSKVRTKYDKMFERQNQDVLSKH YSKLVVVDDQANGQGDSDDDDDDFLSVKRRLNDDDLDAEVQKGHASQAKAIQGLGGDE PYVVDSKRREKALKSKKKMLKFKGNPTKLVFDDEGNAHEIYELQDLEHDFKSQGPADE QRERFVESESARVRQADVDDKQLARQKKREKRERRRALERGEVPDGGGDGDGDNDDDD DDNAAPMLVGGGGADGEDPLALLRSLPRAGARGGDSEDEPPPRKRKKKWFQDDDDKPC GGGKVLHVTEEPETLQDLETLATGLLDG UV8b_04978 MPPRPVQRRQPRFSSREPDSSVNQNTLSTFQKPNLPPLQGTPSS RRQYSYGADVEPMPSRPGHGLQRTQVRDIGSAVRKVLTRPDGEDEDHTEDHTEDHTED AMQTPQPQRRQSRPIAQAEPGDLAAGPQQSRHQPSYLSGPSAPEPSSRPLFSTRNHVA ETNDADDVRSFGMESDFYGDATIESTPRAPVPQPSPLRAVQTAESPTPNDVTRRSTRQ KRAILSSQDEAGLARQPVSNAIEGLATTAAGPSPLRSAPRRPPKPLLPPPKTQPVLEG ETEEEEEEDDDDDDDDDDEEEEEENEATEEDVQEGTEADEDDALSPPTQPRHSANTNT PAPRRPPPSRRDNVQTRTRPSGPSPALPWPRRSGTTKAPLGQMDRAASSASNSAWQEA ANPASTELRSKRQESEAADSSQDSSTSSTSSGRWLTRKAATSSFSSLFAQAKFLASSS PFAALRRRYPTDPSERRAAIQRDIDDAEAELAHARREQVLSGEGRDGGGRQRWGWFKA LMPSAGRHQVELDDAALPNGHQQPQRLGFSLARFLNPVTYIRGLGRLVEVLLDGIMRL IHYIIPTKLWDRLSSVFEFLPHMLAGLLAFALAFALATQFASSTNGDWAPSVIEATLR TIDKVKHGLQDFVPSVTWTKRDHWVDVEDLWQDDGAASDRLGQFLGRMEEEFLSLKRA GKMHEASVKRLESIVPSIVHMELKDGRPVVSQEFWHALRDLLRADGGFLTLDKVGSDC EVSSDKQWRAVASRLVRDATFTAKLNATLEEFETKLDGKRAAFWDTWVKDNDDKIARM LGSAVEQVKSAGSQREFEERVRGIVEEQLSQTQQVVSRDEFLRHLGNELASHRAEMRA ELKDLQPQLEQLVRRSVELATGDSQASRGGGVSRAEAAALVKGLVREALADVDLEALA RGTIHRHWDTELRHQINYFAVGSGAAIDAHHSSPTWDPARRGPGSGSGQVPRPVAALQ PWQDQGDCWCAARSVNHRGNPHGASLAVRLGHRVIPQHVVVEHIPPGATSEPGARPRL VQVYASIDDSALRERVLDFAAAHLPDDDSDWNYTPPEYPSRFVKISQFTYEAAHRDDG VHVHRLSSELVGLGADTDHVIIRAVSNYGAENHTCFYRVRLYGLNTEADPWP UV8b_04979 MPAGTVLVTGGTGYIGSFTTLTLLENGYDVVIVDNLYNSSQVVI DRIEKICGRRAHLYQVDVTDEKALDDVFAKHPAIDSVIHFAALKAVGESGEIPLEYYR VNVGGSIALLRSMERNNVTNIVFSSSATVYGDATRVPNMIPIPEHCPIGPTNTYGRTK SMIEDVITDWVNAQRNNLNKAGKPSEQWNGALLRYFNPCGAHPSGIMGEDPQGVPYNL LPLLGKVATGEREKLLVFGDDYKSSDGTAIRDYIHVVDLARGHLAALNYLREHKPGVK AWNLGSGRGSTVFEMIKAFSAVVGRDLKYEVVARRQGDVLDLTANPTLANKELDWKTE LTLEKACEDLWRWVKNNPQGYRQEPPSELLAAIKTPSA UV8b_04980 MAPVPSLMKSVQISKTGGVDVLELKDDVPVPTLSSGQVLVKNAY AGVNFIDTYFRTGLYPASLPLTLGREAAGEVVAADSSVAAHVPVGTRVVYMGESTYAQ YTAVEAAKVVAIPDNVTTADAAAVYLQGLTAWTFIREAANVRPGQWTLVHAAAGGVGL LLVQMLRSVGAKVIGTASTQEKCDLARKNGAEWTVNSNEDVVSKVKEITGGHGVDAIF DGIGAATFDADLDMIAMKGHLLSFGNASGAVPPLNILRLGPKNVKLLRPVVYGYVADR KDLEKYASELFELVSSEKVNIAIHKVYPLSEAARAHEDIESRKTTGKLLIRLE UV8b_04981 MDPAFAGSREHLNPRSLSGGTVRSAEYSYRPPSPPFVHIPPHRS KSPGTAMELMPSLDNVDPTQLTSQDLEIITRNATQTAAFPVAEWAYENRRHAQAVVDF LYLGPTSVVRNLDFLKREAITLILVVRHSSMAGARLLSVSKATDVLGIAAEYVHVDGP HELIRRFLETIKLINNHLISIHHSQPRGRDQQGQMLMPTQHFRRGKVLVTCDSGNDLS AVLVAAYIMAVFGQPMVPALQFVQLQRFCCVFDEDMKRVLQSWEDILGARSHVAHHSR RSQETPQMDGPAAAVDRPKRRLDDMMSAGSDQGQEGRASITDVDRDRFTDRDRFVPFA DAPANGPLSSAGANSR UV8b_04982 MAVPFFKAQEVNWISEDDDQRPLAYPDSSRPTAIQDFVAKYVHE HRVWLLYEQQVPNIPWDELNRLAAENNASVTQLIHCYRPALPTDEVSGDDIAQGARYL RCNGLERYIKDLVDWLGIGKTDFLDLGVQDALVRDALDREAILRASRRGWIQLEELSR NATKQAQRLIHHRADAACVARDVFLGLEDTSLFGHGAALWDRKPQRKDPAGMHGQGPQ SEDPVAGHDGRLPVTPAAHRLLWSGILKNVGQVAPVALIEQSALPIDMHEVEKDRKRK APTKAQMDEIRLSIVRQWPVFLPQYVPGCVVAAAARERRLANANQFRAQQRAQPQDER WSHHPPRPPWIASTATGDKVGRPGCKTPQPPVSLVTQTPESLVAHDDPRKITGSQAVK DAMKPPMSQEEKTSLPAGCENSAKVSPPEGASNERAAQADESKGRQSNHVSHHPNAEN VPTDSMPTVAGDAQATPDGSTDCDADSASQRPSSAQLREILDSRYSGYLGAEKPMAPM RKLKPPRFFVIPEAEDDLDYVPTSKQKKNKRKPDDDDDDDDDLMPKQSTKKRRDAKAG GRKATFAASPTTTRAATSKPLLKRARAQAPQRAEEQLHAAKPGELGSPAGGDQDSDDA PVGRPQRSQAAARPHARRRPPHKEDAAAALDDDGRRSALGKTRKNSDAIFGIYRHQEL AGPLQRTEQAKYAWSAETAHFAVVAERAQYARKADGIVRDAGPLDDGETTRQFATLAR LRREFDAIEAGQRSKAAQDANEARFAGCADRAVYATEAKEARFAMEVRQVQATDG UV8b_04983 MKGLRGGIWSCLLVAQTAASPTPDVSRRAAEPHLITGLYSSAQE PAQIVIHDTAGKPSWTWSVKNAKGISSALLQCMYSKCKKGLCVAPEQKWADGGNRVLA IQGTAAFLINHHPGRPTDKQVTFGICLNRDGMENSHTAELLPDGKMVLSTTNDAMTGN LRVFDTRAGQDVDAAPVQELDGIPATHSLVWDSANSLLWAASNDKSPQSPGSRSILTA YKYSGGRFDAKPQVRQVISPAKQLTEEWGQNTPWWDGAHTMAPIPGQRKLLVSTDSDV HAYDIGSGKLEHGGAVVQKYLGGFQPVGTRGSLPRSDIKSVSFLPDGKAVYVQARWGA VTGTQVNVLAGGKRQDSIFNQELYKSRWFTGTTW UV8b_04984 MISGKCQQAPDIAGPRGMRCDAASQVEKGPGSRGLAASIFNSPR AHSSNSCPLVALKESFDAVVVAASALFKACVWNQSLFPSTSIELRGLPSRMQVQDKRT WPLPPPPDTIMLSSRVAQSIFPQSVKVPSQKWRELLTDQCTTPCLCIYALPHMTVQTQ LPATKSSPTSYG UV8b_04985 MPSDVVNQAPAKEEVLPVDPSSAAVSQEPSAEPQMSMDTARLRG GEEASCNFCCCGCDEQCC UV8b_04986 MENRQSQTQVRDRQGNLVQALRIHPFKTGILDAGGRLVADSVSS MQGFINRRHQVFVVKVHFDHQRRMTVMVKDRIVAQSGRAIHAHCPVSEGSYGSAATPP RRRAIANPTLLRDWLEPQWQNSLSQWRKTAA UV8b_04987 MLSCADWSPPYSWCPLSGPGFESRRRRAKTPNETATPHPWPRPR RSTRPISRLAMDRIKDKLNALSSKADSLEKENDSLRAEVRQLNQDLAAKVALIETLHA KVQAAERALDAADDAAEEVKRLVAKLNMLEIEADNSERQIKAIEAERDSWEKKYEEQS QKHLATRKELDELALHLENL UV8b_04988 MPGFLPDELMELQLAQIDLLVAMFPGQDPTWLDESSSTWLNAFR DLHSGRIPALPPDAPSAVSLLLTCPVTCQADGRHRSPETIQLDITVPFTHDGDADEPP HPRVRLVQPGWMSKAEAAALAADVPEDEDVFATIEHIREAASRQLSWPPPRESRGLEQ REEAQTLSRIWYYFPSISTRSKRDDIVRYAPAYNLTGFLLSGKPGVLCLEGASDSVDA YIKFIKTESWSDIPASHKKVSERFRERGVTRAFGDMREITDALDKRGERANRADMKLL EAWLVERGLGEAFMKVLT UV8b_04989 MKSQIAFLAFAVAGALASPAPIKGKSNAVSEVDDLGTADSSGLD DTPPTTQFPDDGLGSTDPADSGFGSGSGDAGTGTGIDSVGDGSVADAGSSTAGSSSTG SSAAAGGTIGTCKKKKNTCVVKKTKSADTSDLVRRIIDELVSKAKGKGKGKGKCSKAA PCTRDGNSCSLSGKTAECT UV8b_04990 MSTVSTGSTVPTMSTMPTMPTMPTMPTMPTMPTLSSISAQLHST MDSDKMLLFTRTVATPKVRKTRSRAGKLFPSTRLGSPLPRPSFAYRGMSFASCPGCTY CRDKVIISWPRLRLPRVLHQLNTTQKKKCDEMRPSCTRCQQHGQQCCYEPIKPRRRRR RNTAAGPTTAVSPYQPLSIPSPSDSCTTDSDSAVGSVHASTAALFPPHISNPHISSPH AQPSLPTLMAEGSLPYSTCSTASPSLHWSLGPGASPMASQPFVQFGTTASTPYSNAAV AMMHPVLDPYPVQGVWTCCHDAPYAPDLNLCT UV8b_04991 MWLDSCGSPNLFSSALISSEYRPGQERSSTRFQNLAAKYATFGA RRTSPEQVVAAVNPVVWAARGRGDGGEKKASYSDEQGRLDPFASRA UV8b_04992 MSSHHPNPKDSVKSTWRRKDRKEWRIIHRLYEWLHILPSDLDRP VPVHAKSERVPYLDDWQQHCWILLHAFAPMLVHHLYIHLAGRNLAPWQAVLFYSLAFK LNGIREFTILRNLGHRLGFLDGDAHPRDDVPDVGVFKVLSALVATSTFRPVFTIFLSY SVSQPPSTTNWRMLPVEVGLYGIILDFWFYWYHRLMHDVSFLWKYHRTHHLTKHPNPL LTLYADSEQELFDIAGIPLLTYFTMKALGFPMGFYEWWVCHQYIVFAELAGHSGLRMV ASPPNPFNWLLRLFDAELIIEDHDLHHRKGWKSSANYGKQTRLWDRVFGTCRERSECQ VQNIDWENRVSMPIF UV8b_04993 MPLERLHPELTYLVLVRVRSPLDLLSLTQASPACRRAIVSLSAA RFGKILRTILHPAAVRHAVAAVTAPVVSTIERSAEEELRPLRAFLGDYFSRGSVGSVG SVGRGRYMQALVLCARVQWLTHEYVDTTMGEMARAARLARQARSAHCWTYVDYHDGGG ADKSGSLGGKVSPSERARLQRALFRFALYARCFRADAELRGEQYRWFASRLAQWAAEE MCCLFQYYMGKVSRAADEVEHEMADRLLAAGREVAQAQAHADPGGALLPAERLRQGRG SMHIFRREEREACAGGIESLVSLGPSFLFQLLRSSGDVRRKLIRDNCHGRATARTSLP FALESSLSCSCSCAVRHTEHGSSAEEAEEEEEEEEDDDEEEEEEEDEDEEDEEEEDDD EEDEEEEDDDEEEEEEEEEEEEEEEEEKDKDGVADADEKSSSVFGREHVHRANLGHQL YTCMLDSYRRDPEMQPRRQDRCWAVRNLGYVFWDERRILHPAIAAALQSCATGGAGRA RQTARAERAEQALSAG UV8b_04994 MQPIALIVSLFCAGALAAPAEDVPRAPQIGGYCVPNSGHGTCLV ADHGKTKHIPCSPDHICPHHVSRGHCDWKGGYAICRE UV8b_04995 MLCNVCCEGLQGIWDPLKTKRVCLVREFIDLDVPDDEENDKFVI RVDTYKTVEPYDPDLRLPQNFIFGHHLTEESFNQSVRDGCVMCHSFAAWRGMPKPEPD PKITALGYYSLFSVEFQAYPTMFLYVNDSRGGFDLTPLAAANKNINTCISPSTGDEAT WSIIRGWLDTCLQTHDDCCNNKTASLSSPFSPKRLLKLEDAGRAEPVFRLVGTAEIEP GTRYVTLSHCCDSKEGDASHDAEDYVYVDTHDVPRLTESTLTQLSNPNPLALLPLTFR DAFSVVKRLGLAHLWVDCLCVLQDDPNDRLADGNQTHNVFRNSFLSIAASGATSPSSG LFFNRDPALVAPTVFNFPIDGGGTVVPHMSSLEVPRGWSLAFNRDPLTSSTHAVPERL LAPRVVHFGSRMVFWECHGASCAEIHPLGVNEAARILSSAWSDSGETDEGTESEGESR GQSTGESEVPSEQASEAEGEAEGSSPAKNNETGACRQDRPWKTLLGASGRPMKKDPVS QLFSEWTTIVATFAHCTPTTPKDRLVGLRSVAREMKQLLRDKGCTETDYLAGMWKAAL PCGLLWNVRVPERRPAEYRAPSWSWAAVDGNLNLLNQVPADSARGERLLCELVSSAIS LSTCDEFGEVMGGSIVLKGKLVRGELHRPMPAQTPANNVLIRGFVDLDKNTVVAEPVL QAKSGCNFQWRIACDTIEDAKGGDQVFCLPVEATSWSPVGWHVSGLALDRRADGCYVR RGTWHVLVDTREEALDMFKDVPTKEVTVV UV8b_04996 MQKKGPAAKKFVADRIRGSGYGSRRSRNRLGRDETQWVATCLGI EGIMAGQPLAAIVNRQPSTTMQCNPPSPTNCGGASDAAP UV8b_04997 MVRAVVEAEEVIYLRVIAVVLAAVIHHKIMGAGEAVNTTISKMI LNKVILETQTQVPKTPSSPASTKPAAETLRQPTTPLPSYQYELLTDDKIQTWKARGRD EIVQHGIQAREDVDITELSMLFQEFIQAVLTNRLEAQDAGACVKEIMGEETLDAVEDD YDFSPHALLLDSLAIMMDIDSSMYQPKLREFLIATEVSPALMRQVLDAPILQQLGLIR DNFARLGVRQATNLLYRQANYNLLREETEGYSKLITELFSINSITPPQPELAEQTFER IKGLIGTFDLDVGRALDVTLDVAAAVLIKHYKFLVKFLRVSSWWPRSHLRYDSFYYGG LPPWANPGYMHWTTTEEDEAHIAEHKRKRDIEFWDRAREVHLAAFFELGGRQIGGSDR QQVKSTNGQQDKEDTDFEQQWVEETQTLPPPGNRVAAQLLGFKLLFYNSDLRDETDVL PANLLYLAALLIKIGFLSLTDLYPHLSPPDGGMERVREEEAEKLEIQERAARGGGQMN ALLMAGVLPQGDEDIPNAASAPRWDFVKKIELEQKQTMKDEADDKNKLPEPLEQKVSL LIQLLTIGAIPESLFILGRFPWIPEVFPDVLQRIHRILHVCLEKVYSDTKPKANTSTE CPIKQLPDIDQSGMPKGSVRLHRPMAKKQWRWPYPEIFDVNENQNYRFYWDEWTDNIP VCQSVDDVFTLCNTFLNLSGVNIGKDEALLSKLASIGAKSLAVDSSDANLTRWHDLLR RLLLPALSHTKANASVVNAIWDLLKRYPLTTRYSMYAEWFEGQISRLPAMKAAFARAI SDTRGTMKRVSLTNLGEMAKQLAKTSYSSPGIVFRVAFEQLESYPNLIEAFVECAKYF TDLSYDVLVWSLLNSLGKSRSRTQAEHALTTSKWLQALSRFSGKIFRRYQLLSPTPVL QYVDDQLFRGNSTDLIILKEFISTMGGIVDAADFTDYQILSMAGGAWLRRYTLIRAQD RRIENAKSSKRLIQALVDSKLAARLLINLAQYRQVAIFQIPEEEAHIKYLSAVVDDSH QILIQYLDFLWSNLEPGVFDAIVPSVSELIQSFGLDPSLAFLIGRTSLAHRIFPWKEG KTKRKELASRSTTDTEGDLNMSEAKAQREELLESKTQEKAGDSDEPKSNDVSLTRTTN NILEPIIDAVRATVRPEVWQKVTPESYVTFWALQLGDLCFPEEVYVRERQRIMSEWQS LSSDRSDMSRKAVDLRNEKRKELMDLQGYLLDELSEHGLRKAKWKYFLTRQFQNSFPE PSAKPESVADILLEQCFLPRVLLSPADAEFTFRYIKALHEWNAPVFRLMPFYDRLFNA NRLRSLIFTCTVREAEHFGRFLKLILEDLSRWHKNDLLTVDMDGKNAKDGDKMGAYDK EGKGTADHPHFGFALTVNDEGKPETFVEHAQFRDLLFRWHKNLNMALKNCLGGSEWMH IRNGITVLKTVLDYFPAVDFMATQFTAQLQNITRREAVTKASADDEEGGRVDLSVAAQ GAMSELQRRKSKWVMVQAFRQNAAIGSRQEPSVAMGFDLRPNAPDFKPQGDRASNGKT STAEEEDGEVQDREAAKETNASGTTKETVLVKLTAPALDPAKHAVTPEQSRPSTPLPV VAKNERIGHRLPERPSHNLPSRPDVPIPGHFTQDRFNQPRGDHNLRRDARESRGPRDS REAWEARDGRDLKEHRDFRLSDSERSNRGRDAGDRRSADSKDEGSLMEQPTWPTPQDR ERQYREPRVGRAYDRPKEASTPAYEASPLSSSNEPAMNPERAALFAQDTVERQSRGGM TAAASVAIGASLHDAGVDTAMNRPLPRQFSTTGKDGIWLKTPVTAAVTLETIPLCQVA LGVSGQWTAGRVSVLKEECRASCPDSTGPGDDRLILMIVRPPTKTRITDGSTLCRIKI SIYLLVREEEGGGNLGPISDASSGHAMNSVPASGVHPDRLAQLSGPPPSGLSHSIQRH HGQGVNSPDRQSHNSRSAPGPGPGPGPGPDPEMDTQTGPTPIDRPGRGGGRRQLAGIN NTLQQAQAHMPELNRALAPRNNQPRQMLANSDVQVLAGGSPTPTPGQERNDTVWSFSN GGENQMRRDADRPRVEHEGRLDRSNRPSRRSSGDRERDSKDHGESRDRRFIGGPEATA GREERDLTRRQPRDTTAGHVSQSGGRDAMGVRDNRHRNEGQNHGGSWGNAGTGVRGGP RDGNSLPIDRRDYREDRGRKRRSEEGVGNLASDRDKRLRR UV8b_04998 MMAQDTAAEGSLKPQYEKRQSQPENPFAALIPDQQIAVIPQFTL ESGITLSNVPVAYTTRGRLNEHGDNAMVICHALTGSADVSDWWGPLLGGPGRGFDTSR FFVVCMNSLGSPYGTASPVTAKDGDESKGRYGPEFPLTTIRDDINLHKLLLDDLGVRQ IAAVIGGSLGGMFVLEWAYFGKEYVRCIVPIATSSRHSAWGISWGEAQRQSIYADPKY DDGYYPFDDPPATGLGAARMAALLTYRSRNSFESRFGRNTPDPSKVQTIRERLRPSTP SEAHFYIHNDGHNVKRKSISRRCSGSSDKEAAPSPRADFLDPQFHGPRGEEGNSTSLA GGDALPTSNYFSAQSYLRYQGTKFVKRFDSNCYIAMTRKLDTHDVSRGRADTVADALA LIEQPTLVLGIESDGLFTFAEQEEIAKHVKNARLERIKSTEGHDAFLLQFEQVNNYTL AFLKEVLPDIMNKGAAAPEESGVYKLTKSSTFGEAEVEDITAW UV8b_04999 MAASLDEMLPPPMTYNGRDSFFRGNRAFYNFYNDYSHISDPNLR RRLALSEIDKVPFGLYHVKAILVVGVGFLLDSYDIFSINSITTLLGIVFWSGDQVING FGGNNGIIPDTTDQALRASTSAGILFGMILFGWLADALGRRRMYGIELAIIIFGTFSC ALVSSSPSIGTTAVLVFWRLLMGIGIGGDYPLSSVITSEFAPTRWRGAMMSAVFSMQG LGHLMSGVVALITTVAFKSSFIRVPNEASCDADCRIAADRAWRIIVGVGAIPACLAMY YRITIPETPRYTFDIQHDVEKADADIKAYVSSKPTGECEGRRHSRSKVSENSLNLPRA SWSDFLAYFGEWKNSRALIGTTMSWFFLDFAFYGLGSNHGIVLHAIGYGSGSSIYEKL WNNAMGTIVLTAAGSLPGYWTAVFTIDTIGRKPLQIFGFLLLTIVFSVLGFQFHHLSE RALLALYIVAQFLFNAGPNTTTFVVPGECFPTRYRSSGHGLSAAFGKIGAILAQTISI PLLKDSGAVFECAGDTCSPYLDRLLKLFALFMFLGTCVSLLIPETKGITLEELSGESR TSYNAGCNGSISMGSPQMHSWNPLHGGQAAGFAYPRVRGAAPFGKGRQSPRVSAVTTS PELLAENSRQKSSRFWRRNSRTRMKSDRSNEIALRNRGSDISLDGQSMTQEQLQLPTW GAGWGRIDRGAPTPKISSVQLNDVGMLLRPN UV8b_05000 MQPGLFRPPISPASSSGYLPQSRPFGDVPMPAATPKRRRIRDPL RRQLDRAQNQSGGGYFSPGHHDDEPAAANNDNDNDNNNGSSASVLITPAGRHAQNGRP FTLAGQLATPPCGLPGESDLLGESAHSDGDYRKALGSKRRRDQVDADEQASGAAPLFS LPSHHDDRTAGWSTLAFSTLGGVVGKVWEFCKGGAFRGFYAGGGRGFDLRSDNGLPPG DDVSGPDRSWQQGPTDGAGGGDDDRDDGDHDDGDDRGKRHRHIPGHFPQGDGCNERSV GGVGGCVGASYLASGTATPPAPAAKRRQTAATEELGRNWVMVRDQAGHSPGAAGAGAG AGAGAGTAPNRSPHRPSPRNRNQGPCPATGRRISTPNGRRGAGKPASAAAASHRASPR ALPLPSGPPPASSASFASPRSPPAARFGGAAPAAVLASTASPATPAASRRRRPTSSNP PSRPPVGHGHGHGHGHRRTHSSASAASTRGAADDLDCSPRLDAEARQLAAQRHREERD AHVRMAALNKQLQDMIRQGKEALGTTVEVHAEDGGWEDY UV8b_05001 MASQTNKNGVGKPDIANLGFTPMVKVSGEWQVEKVLDAIPNGAP ETGSQSPLAFFHMIERLKTTKREGWRRFGIERGESISDHMYRMSIISMFAPPALAKRL DLNKCMKMCLIHDMAELLVGDITPVDGVPKPEKSRRESLTMDFLTRNLFGNKDDAAVG QEIRAIWDEYEDSATLESHYVHDIDKVELLLQMMEYERRGEGTLDLGEFARVYRNMTL SETKAWAAELLKEREEFWGAREHVDGVKGVQGGVTEEKAKMQDEYYARE UV8b_05002 MAAYWYKLGSVFPALFSASAPNLPHTVPRTAGLAGLSITGLSLT TLSLTGLKLPGRILMGRILMGRILMGRIPTGLVLTGLILMGRIPTGLILTGLILAGLI LTGRIPTGLILTGLILAGLILTGLILTGLGLTALGLTALILTALVSKALGLMAPAPKA LGLTALHRTWILGNNELPKLFQETWGSGASFSEQRKRAILADGCCIRSTMALDQLGQS SNSTNDMLAASETSQKTML UV8b_05003 MASIATLRRISAKSLSEKILQEMGSPNPSYAIIDVRDDDYIGGH IKGCTNIPSIQLDAMMPTLVRKLKDTKTVVFHCALSQQRGPSAALKYAREREALLKRL GEDGRSNVDQEVVVLDKGFVGWQDVYGEDERLTEGYVKDVWGHY UV8b_05004 MGVIRKKTVTRGEGGVKYVCDVCSSDITSTVRIRCADSACSDFD LCVSCFAKGESRNTHDPATHAFRVIEQNSFPIFERDWGADEELLLLEGAEIYGLGSWA DIADHIGGFREKDEVRDHYLRTYVDSPCFPLPKRCRPRDCELANEVPREEFQAQKKRR IEERREKSKSAPALQPKTKPTASVPSCHEIQGFMPGRLEFETEYANEAEEAVQHMQFD PGDGLNPRTGELEPEMELKLTVMDIYNCRLTQRAERKKVVFEHDLLEYRENTKVEKKR SKDEKELLQKAKPFARIMNHKDFEDFNQGLIDEQNLRQAISQLQEWRSLKIGDLRSGE KYEAEKAARIQRAVPMGSMDRERLATNQRSKQQAPPDPPSGAALLVAPELALRPTAQT NGEGVNGDAKPPASGEANGVDGVNGINGHTPLRPKHMPQPISGLQPLQLNQDTAADLH LLTPEEAKLCEGIRLQPKPYLMIKEQLLREALKTNGSLKKKQAKEICRLDSQKGARIF DFFISAGWLGKA UV8b_05005 MPDKILDDISHRRYNPLTDSWLLVSPHRTKRPWQGAQEVAAANT LPEYDHKCYLCPGNARAAGDTNPEYEKTFAFVNDYSAVKEQQPDYEPSGKPNDVESML LRAQGVKGLCYVLTFSPKHHLTLADMPAPDIVPVIEHWTRIYANHLSPSNPLAKLAEN MDISPTKDAAPAPKDEYRYMQIFENKGAAMGCSNPHPHCQMWTTSSMPEEPGKELLQM AKYRANNGGRHLLGDYVELELAKEERVLWQNDGFVVVCPWWAVWPFEVLLLPKRHVRS LVDFKPEERLQFAEAIQEITRRYDNLFECSFPYSSGIHQAPLKGTAEEIENSHLHMHF YPPLLRSATVKKFLVGYELMAEPQRDITPEQAAARLRGCGGELYRNKMK UV8b_05006 MDSLKSVLPEGKGILPYYMFVLSVISIGNCLQTYSTLHFSRRLY NGRFIRNTKLPPATATFDPEDSTDKLVSAQNDPNATDQLTPLAGRIFGTWTLITSIVR CYAAYNLHLGPVYNIAYWTYVVAFGHFFSEKFVFGSMTFGLPQVFPFTLATCALIWMP LVRDYYVTVY UV8b_05007 MDRILESPPLAALKPCLDQLPSIPQFVVGALAGVGALVLALKLV SFTRLFLSNFLLSGVNLRKYGRAGSWAVVTGASDGLGKEYASQLAARGFNLVLVSRTK TKLETLAKELEQKYIGRGLQIKIHAMDFAQDDDADYEQLAELIRALDVAILINNVGQS HSMPVSFLETPKEELQNIVTVNCLGTLKVTRVVAPILKERKHGLILTMGSFGGWTPTP YLATYSGSKAFLQQWSNALSSELADDHIDVYLVLSHLVTTAMSKVRRPSLLVPNARNF VKSALGKVGLGGYQTAPNTYTPWWSHSFMLWLIENIAGVNSPITIWYNKKMHVDIRKR ALRKQAREGKKQ UV8b_05008 MCVGSGMLFALNRRIYQTSFVQTSTSFGALVLRSFRSSSPPLGQ TTRAFATREQETMATPDAKASRAPAVTLKTPKGTRDWSGQDMLLREEVFKMITDVFKK HGGTPLDTPVFELKEILSGKYGEDSKLIYDLQDQGGELCSLRYDLTVPFARWLAMNNI QSVKRYHIAKVYRRDQPAIARGRFREFHQCDFDIAGLYDPMIPDAEVLRIIVEVFEAL KLGVSIKLNHRQVLDGMFAVAGVAPDKIRTISSAVDKLDKMSWEEVKKEMVEEKGLAA EVADRIGEYVRHAGTISETLALLKSKEELVANEQVKRGLEDMTLLASYVEAFGISDKI SFDLSLARGLDYYTGLIYEVINLPPKPEEGSKTGKKSKKDDPASQVGSIAAGGRYDNL VGMYGKKQIPCVGISFGVDRIFTILEAQRQKEGLKQKRDIDVYVMAFGDKEFTGLLTE RMSVAQRLWDAGIRAEFSPKVKPKLPQQFKAAEGVPLGVILGQEELAAGQVRLKVLGQ RENKGDDDKPAEKDDGKLVALDELADEVKKLLV UV8b_05009 MSAMSFPSTTPLRPVPGAFLNTPAVASRFQSGSDPVRRQLFPTS SSAQSGGQGGGNLVSGNSIPALVPGGSVRTQESSETLTTVSDSSDSGFVAANPLPAPR VENVPPILKAAKAINSFLQMDESFPDLDSYCRQGASSDYELPGRDSPWAPFHKTQMYP IPNRVFDHYNAGELQTLMGLFAEINHAWVVIDNSLYLWDYTHPDPELIGYEEQSHTIH AVSLVPPKPGIFVKTITHILVVATSSEMIILGLSASDTAAGTKSVALYQTKMNLPLRG NDVRVISGSADGRIFFGGSSDVDINELYYQSEEKWFSNRCGRINHTNPGWSSVISLQY GFWSHKDQEHLVDIVVDDSRKLVYTLSSNSTIRTYHMDGPDRLNKVIEKDKLHCLRDI AHMITQSKLLTDKLKIVSISPISKQEASKLHLMALTDSGCRLFFSATNASSYLYGSQS NLAPQSMQVQFIKFPPSQNARRSRQSAPSDPPGESVVDLESTMLLPSRHGVRFAPGFF LDFVGSGNDANADTLFVSGPETGRIKRVSPTAPLRYFEQASWIDIGSRAEAVGLVTKP FAAASQPLGFGNELAVQFDEPLSEFAVLTNTGIHIIRRRRLVDTFAAAIRDAPGDEAL DIMTRRLIQLYGRVETVSTALAVACGRGGDSRPGAARAIDQSTQDRARALFVDFGGQP TIAETDGTSLTTDSVKLSSRHDALALYLSRLIRKLWKAPVISSSVAPAGGVVIVSAIP LGKLGGIQENLESLRRFIEANRGLIQGMSGPSDLQRVSTRQEEVALQAEHQALHALQK LMESISEGISFVLMLFDERVTDIFARLDDTSRQQLRELTYEKLFSQSDGKDLAKLLVK AIVNRNIESGSNVETVADALRRRCGSFCSPDDVVIFKAQEQLKRASEQAPNTNQFRSL LHESLKLFEKVAGSLTFANLQAAVTQYVDMKYFAGAIQLCLVVAREKDRGNMALIWVN DGKPPADPRAKAFQDRKRCYDLIHDVLRHLDAESSTEPEMIDGKLTLIATKRLEAYNV VNGSDDEVFHFGLYEWYIEQGWTDRILAIDSPHVITFLQRLAGTDVKHADLLCRFYTN RSRFFDAAEVQAQLAESDFPIGIKDRIRLLSLAKANANVTTVGISRQQQQQLNHQVTD MLDIANIQDDLLDRLKMDDRIDLERKADIEQALDGKVQTLSDLFNQYADQAGYYDLCL LIYHTANYRNPTTIATTWINLIQQAHDEVMARLENPEPGMPTPPLPYEAVSSRIQNIA HHTSLDSFVFPIQTLLPELCRYAAAYQQDATIGADPTWPVQLFLALGVSHDMIVRVLE NIFDTQDYGFSGMVRNRIIELIVYVVQDWVAEIRRRGGVGKGGSIGPSVGELLSRCEA ALPPPGQGHNNGGTDLADIRRMLRMLRREVVGLVESAPTGGSRLFV UV8b_05010 MQFGKALGTACSSFAITNIDDLFVLATFMAEASTDRAMTPAKIT VGQYLGFTVIMAVSITGFGLSLVLAPEPLGFLGFLPMLLGTWNLAGLFTPSGNGRQGG HDPAPRLKSARTVCKVAAVTVMNGGDNIGTYIPLFSQAEGAEVAVYAVVYYVLLGAWC LAAFLAMRQRRVLRAAEKYAVYVVPLLYVGLGVYIVAKSGCYPWAVERIDAARGSGAG KLVMALVTTGVLLACVGAMTLCRYRCSRTEGRATAGHELDIPLPDAVPDAVPDAVPDA VPDAVPGAVSAPPSGHRTNRRGAEEAPPEEPSRSSDASQPQR UV8b_05011 MLLLLLLLLPPLLLGALARAAAEPAAREHLDGLPAYHYRAPIKV ECMNRSSETGEHLENANHELQWVPFPVCNETGKPLEFHYGYEGEANCTLDFVSDPFFH LLEFYVHNDAPMSCRLPARPPAQVDIVGETPPPREYIPLVFALAGTLQMSHMHISTHM NVLLHSMPKHHLRPHDSGVLDSAIAYSTSPLSHMQGSQTARIIPGEPLPLTFSVRWFP TPHLPKTEGKVEWRGLGGRGFAPGALLHSLVSFAAGVLVAGLYTLGVVLPRRLRARSM GGATPLGYGLKTAAVGNGWGYSKRRD UV8b_05012 MPTILEKLYLPIVATQLVGMLLLDLVPLYPRFLWQDASSPLHGL LSLRAWWTATSGDPYFASLGHEPWFQAFLYVEAVLQLPLTAFLVYKLASSRPTSGPTE LAGLVYGCVTALSSLACCLDIWHMGPERVRADKKEGLLWGAYFPYVVIPAVMAVDMWF FRLLPRMRAAPKASKGVTGPAGFR UV8b_05013 MASLGLFVIQGLGGLIFIAALWPGLADAFDVDICASFNTASMGR NISMFQTNGLCHDFCNPEGYAYAITQSNSCWCSNYTPDKSFQVSTSRCNSGCPGYPDE KCGAAGLYGYIILNALPSGTVGGITPTSSESSSQNSPSPTPTPKPTTVATVTADGTIK TVTIIPTETGHASGDNQDVTVQESGLKTGAIVGIVVGIVSGVLALAALIMFFYFRRKK QQQQQEAFQDDPSVRGSSSGMMGSGRPEMSRAPGSPGSTGNRSSTLQIDPRMDPFQQS LYARNGSRESMNTLRDDHDYSRRIQQPKILRATNPDPVVE UV8b_05014 MLWERNLNINDKVRNRQVQPVYLSIYWQATIGKTLAHAQVAWEQ NAPPDQGIDRTGSFSGNCRLEKYAFSVLHPEFLFN UV8b_05015 MTTLLCLGLALALGPYVAGAACYKGYESAFSFDADQTRHAAWMK HLPDAANLTSLSIPGTHDTMTYGIRRADLQCQNWDLGVQLRAGLRYVDIRARMLRDEL HVFHADQPTGHSLADVLLILFAFLDRHPSEAVVMRLKKEGGPVGRNRRSFQQGFDYVR LASPATRAGAAKHLYLYDGGDGDGASGAPIPTLGELRSKVFLLQDWSDRARRRTYGLR WDGPQMVLEDQWKVPDMGHLHRKWEAVEAALGRANADALDNRHLYLAHVSASVGVLPI EAAAGGRTVAARPEGLNDMTGRWVEGRWRGGLRTGIVIFDFPGKRAIEGVLRWNHHLE GYIGAGEGEVAWDAERL UV8b_05016 MGRSSWSQQAAASALAFGLVATKQAVSVAAHVYPYVPTQILMPT ACLASSTCNGANLAYIFSQSDDGSVRFSALNYSATVGSGTQLLTVTNELPFLKEAPAT TAFNAARTSNGFVMVYSGACDDTTGSLWSFANVLQDGVSNTWSRKKTVVSSSSGQAPP RGPYFLGGTLAFSSSLAPTLDQPTIYTYGGMCDSPDTNSSGWQSSANYTTTMMSLAPN SQAQDTAYSLSVASTSGPRTPLAGFTLTQLPASITNISGAVTQQDGFVVLGGHTQQAF INMSTAAVWNLPEQSWSYINISGPDATAAKLAARAGETIPIYTRPNVQVESRSGHTAV LSEDGSSIFVLGGWVGNVNTPAQPQLAVLHLNQTYSSWKWTIPKAQPQFNAVFGHGAA TLPGNVMMVFGGWEISTTGGGSTSKRQQASSPSSVLRFFNMTSMSWSSSYTNPMPGKI SVVKGTHDAPTDNNSSQSSPPLGLGLGLGLGLALLIGVLIAFLFWRIKLRKRRQARTE AANAMNLDAQYFVHDTDEMIERDEWYTSQNGGCQSASTDQSPGYEWLRGASTSVDDSH PRPSTSRKAPAISRAMRGGYSPAETRFNAFVTPHGRIHPILEDDEEDHSHSRHQHHHS LNQPLTPTPEVQSDPFVTPTTAAAAAVIRAPSNRSSATSIPDGVQKQYDPDVQDWASD VDAADILLDRYNTSRQGRISPTRLNSSRSAGLRDDESRTESNLSESNRSAADSLRPSS SNRRSAAVSLLGGMLLGNTEHPKPGSSSSNSYNTARSGFGTLQAEAPALLEGRGREET TTTTLPSNQFGEDEEVNALPPSPSKTKPRRGWLGSLGRVFSQSGGSSSLPSPERSPTR PGPERYSSDYEFQTGLRGELLKRKQGRQDWEDDAQPAPRSGAEGSGNILAHVENEWDI EKAVERRLVQVMFTVPKEKLRVVNGDEEPDEEQEPEKMMKRAELKEADTDGLHEPQVA ELVYPSCSSLSSAELQQRGRQQQRWQREEEEHRYSQADNPDLLHVDLAEPRLSHSSDE SGRRSSLAVFTAEAITFERPRTRVLQMVDTIESRSQSNSPSRGAK UV8b_05017 MQFLDTPTPVSSLGGKLLVILWVHKFNDLLKQVIMAAVIKPIDV RSIHLIQSGQVIVDLCSVAKELVENSIDSGANVIDVRFKNQGLDLVEIQDNGCGISPA NYSFIALKHYTSKLSSYSDISSLQTFGFRGEALASLCALSTLTLTTCLESDVPKGSKL TFAASGKLINREVVAAPRGTIVTVEKLFHNLPVRRRELERNIKREWHKVIALLNQYAC IQTNVKFSVSQQPTKGKRILLFSTKGNATTRENIINVFGSKAISALLSLDLLLELRPS EAAADSQTNEKRNASLRQVHICGHVSRPINGDGRQTPDRQMFFVNGRPCGLPQFVKVF NEVYKSYNVSQSPFIFVNIKLDPNMYDVNVSPDKRSILLHDQGLLLNTLRSSLVELFD TQDHRIPTTQISAPQPLSCTQSSAPSIAHGSSLLAVEQESAAISRGGLISMPESEKED AVSCHPDEDEMPNLHLSREARASSDKKPGIANQNFLSKWLHPDSELAESSLCLNRHTN EHSQPRSMASDSQLKWKRTNSDSTPEPLADHRMHTDETTPSVHTGVMRGRRVLATQND EPLKSSSALGNSQSQSLPGKKLAEPEGLDNGIPLVSSDTLNTLFVPSLEHRMLSEYEE NTDGAKSPILTSGIQKTGQGISIEDSSTIQTPSLGENPDKIDDKGLGVRKKFATCHLM QVVRSTGKALGSISIPCRRYSQQEATSEVESTVADIHSADAESRLSLIIARDDFVKMR VVGQFNLGFIIAILPKYRDVIEHDELLIIDQHASDEKFNFEKLQKNTTVQSQRLVHPK ILALTALEEEIVLENLEALEANGFKVQVDSTGDAPVGSRCKLLALPLSRETTFSLQDL EELISLLADTPVGSAHVPRPTRVRKMFAMRACRSSIMIGKALSVDQMYVLLRHMGELD KPWNCPHGRPTMRHLCRLQAWDGARWTADLPGNYSSIASWFTYTHTTNG UV8b_05018 MGASPPFMYNAERRNNANFPAAAFDPKAVTRASWEPKPRKPPHT GPLVSFNRHPDAHGILSHQTSSYWPLGRRSKKWIKWLRTLQLSIRIMELNGALGSLVL FSLLANIDEATGWILRVLPGIAVIHCLYAIYHLSRKAGGRTPGSSAAYHVFAAGFDLI SVSAYAFGAFSVRQDAGKWTTRVTNQGLMHYFVPAVYYMIIGAGSLHLVSLPISVWLG MMFRRISMMPPDMNPLEDHLTARPFHKRSKSSLANTSSVSGSQSPSSPIIDPCAHSDL EVGVDARKSFVPFLYTRTGSNQSIGSRGFLIDSPSRQCQIVSGNSINNSVQSPASQRG STSRSSQPFLYSSVPTIEAEAPYPNPAGNTSNMNRPGKFTETWLPTNSLISRTNQRNE KLASSMPVTCQAGSDRKPYAALIQVRDDDDSSGSECYGDSIAVQNKRRSQLKTEKHPD PLGSHPLSVNKHSHFKSTRASQGVMGIVRTKDGSLVELSGNLRKVSNSRDITDEALEI HGNPFQRQKDNSLQSQYCFHSKSHSNQSNAKPPIVLGFGRKVSSGTDYGLGYRRNVSG KVMEEGGRFR UV8b_05019 MVLSRSWLPAQRLCLPLATFCARSSSVETRSSDAPFRLAIVGSG PAGFYTAYRVMTKLSQASVDMYESLPVPFGLVRYGVAPDHPEVKNCQYKFEQVASSPR FNFFGNVAVGHSSSSGKQCTVGLGSLMHHYDSVLLAYGASEDKKLGVPGESTLEGIYS ARQFVGWYNGLPSCATLEPLITKAEDAVIIGQGNVALDVARILLQDIDVLRKTDIAEH ALERLSQNRIKSVRIVGRRGPVQAAFTIKEVRELMNLPNVAFNPIHRFLIPDDLKTLP RASKRLMELILKGSVTPPNRASRMWFLDSCLSPRRFIGDIRAPSSVASSEFTEMSLLD AFDPSSRATATDKTVRLSSDVVFRSVGYKSVALPEFSGLGIQFDESRGVVNNDGFGRV TQVLSDGEATISRHIPGLYCAGWVKRGPTGVIASTMQDAFITGEAIARDWISGTKFLR SDVHSAAPGWEGVRQEECLRSDLAVSWQQWLQIDDAEKQRGKEKGKEREKYTDTEAML AVIR UV8b_05020 MTRISIGSRPPVRIHLQGEGRHYLLSKRFCRQFCISSVVNMLVF AKRVSPHATASSLRFRISTSRPLGRSRALSTLAVLEQRDGELVQGSLNAITAAKKVGG TVHGFIAGVNISAAAQQAAKVSGVDQVIQVDNKAYDKGLPEIHAPLLAETVKKGNYTH VIAGHTAFGKNLLPRVAALLDSQQVSDIIAIEDDKTFVRPIYAGNAIATVESSDAIKV ITIRGTAFAAATPESGSAVIVDGLDGVSDLDTKSDSLTQWVSEDLAKSDRPDLATARK VVSGGRGLKSKEDFDKVILPLADALGAAVGASRAAVDSGYADNSLQVGQTGKVVAPQL YMAVGISGAIQHLAGMKDSKVIAAINKDADAPIFQVADVGLVGDLFTKVPELTEKVKS K UV8b_05021 MANRPIEIIDVGEELSGSCDVADHKSRAAVPQPESQFAVSSMNR TMQHGWGSSEENSGCASCPSRPISDLPRASMVSATTMALNKPSLNSAHDSNDRRPAFV STTVLLTAAIGITLLALILKSLTGYQSEGKGCRMSYMRPSYIHFSEFDTEHTRFATKY SLYLYREQGIDDEKKLRGIPILFIPGNAGSYKQVRPIAAEAANYFHEVLQRDGRSKVS EVRNLDFFTVDFNEDITAFHGQTLLDQAEYLNEAIRYILSLYSDPHRTSRDSQLPDPS SVVILGHSMGGIVARTMLVQPNYQANSINTIITLSAPHSRPPVTFDSQIVHIYDEINA YWRKAYAQKWANNNPLWHVTLISIAGGTLDTVVPSDYASVEPLVPATHGFTVFTTGIP TVWTSMDHQAILWCDQFRKVVAKTLYDIVDVHRASQTKPRAERMRLFRKRLLSGLETT AENSIALQERTESIALDHESSRIISAGDRLVLDHLGSQHDTKVHLLPIPPADTSVSKR FSLLTDITLDNSAESGLLEVLVCTVSPTQPPSMAEQQSDNGALPRDSPGRLACKNVGP DAIPLPASTRTTRQPFYLEGEKATRPFSYLQYDLPVLVEYNFVAIVDKANSHYPGFVL AEFSDTTAVQKTNSIGLLNIMMFGLSFVLPPDRPMQVDIRLPSLTSSLFAFNLELDTQ SCRTEHTLFAPIVKQSLTRPYESKFFVNAKLVAISLHGIAPYVPPPLELGGRIDGLGL QIWTDPTCSSLVKAKLRLDVLASLGKLYMRYRTVFAAFPLLIVALVLRKQFRVYDQIG VFISFSESLDLSLKRSIPLLLLSLTLLAASREGATMATVGFIWGKRYLDEFHRNELLI GTENPAFCLLVPLLGVVCIGVCAVLHYTVLALTQILGILYGCCSSNSTTAAESRKRAA SQVLVPSTPRRRMISTAILLFLVSTFIPYQFAYLVACLVQLFTAVRAFRISSMGNSRS NLNYHRYVHSILLLMIWVLPINLPILAVWVRNLAVHWLTPFSSHHNVLSIMPFIMLVE NLTTGRMVPQVTSRLRHVTSGLLFGTAICAAVYGVSHAYMLHYLVNIVAMWLVVLHST ADSRSIAGIAAMFYGRAVESRNAGNVH UV8b_05022 MVQKHPKAIRRARLTASAAATIIALACGTNYVYSAWAPQFAEKL RLSATESNLVGQFGNLGMYSLGVPVGILVDQRGPRPFVLVGAILLVMGYFPLHLAYQS ASGPIAALCVFSFLSGLGSCMAFAAAVKTSALNWPSHRGTATAFPLAAFGLSAFFFST LGALFFPGDPSAFLKLLCWGTFGLILLGFFSLRVSPHPSYQSISSREEQMPSSPSSNL PSPPLQSRSLENGFFEDHPTSSTGSAGMRAASPPTLPRSSSRTPVVDAEDGSIDETSS LMSSTVLETVQDVVTVSVVRDRPHRIDIRGLELLRSRSFWFLFSIMAILSGIGLMTIN NIGNDVNVLWKHYDASVTEDFLVHQQQMHVSILSVGSFVGRLLSGVGSDVLVKHLHAS RLWCLFVASIIFLLAQACALRIENPQLLVLVSGMSGLGYGFLFGVFPSLVTETFGIRG LSQNWGFMTLAPVISSNIFNLFYGLVLDSRSAFDPDGQRSCHYGLECYRAAYWATFGA CTVGILITLWAVHHERVEIAKGRKAQHL UV8b_05023 MDSRKSTALGLRRDNSAHIQKPESGVDRLAVLKARVAAATGTSK AKGHPNPAIEGLSSNTSFTKAGESSDNHAQRHTQRHTQPLAPRAVRGSPIISQSFGQN RQRGEESNPYFDEALAKQTAGSKQREPRRLVFNQKGKYIQQANALRRQAALEAMKKRI AEQTRRAGINEDLDVEKNFVVDAPPDIEWWDEALVDGSSYSDIESTDKSKIHAQDSIV TEYIQHPVALEPPQDRHMPEAKAMFLTTREQAKIRRQRRMAELKEMQAKIRLGLVPAP PPKVKKANLMRVLGDVAVKDPTAVEARVNREIAERHQKHVSTNEDRKLSKEQKHEKLS KNQQKDASRGIHILVFKISSLANGQHRYKIGVNAAQLALSGTCILHPKFNLVIVEGGA WSINKFRKLMLGRIDWTENSAPRNQEDITNADKDWLRAEDEDNVLKDMSLNECKLVFE GEQKARAFRKWGSKVCETDSEAREVLARAKMENFWSLAKALP UV8b_05024 MNIAQPISSEVERVEFTFLSAKEIQTISVKRIENESTFDNLLNP ISGGLYDPALGSWADSPCSTCNLNQSNCPGHPGHIELPVPVYHPIFLDQAYRLLRATC VYCNGFRLPPKDLHKYICKLRLLQYGLINEAHLVDTVGENDLALDLDHPDTTSGSEAE EEGRTSIDKITRAREDFVHQCLQGKRQRKMETKKGKHEGASEMRRYIIKDFLAEITKK RVCSSCGGISPTYRKDRFVKIFEKSLSGKERAKMAQKSMRWSDALVRVFRQTTSKNDE DFNSDEGVADIEGSPDVPVTSSSVTSPEDVEMVDVSAPDEKPSQRYISSMEVRARLVE LFNKEQEVMSLLYNAKPPTQSSEKVTPDMFFITTILVPPNRYRPEARTGESQIAEAQQ NSLYKSILRNCSKMATVYHALNGEKAGISQLHQAWTELQEGINALIDKSMNPVQGAAA KRNEDGIKQKLEKKEGLFRKNMMGKRVNYAARSVISPDPNIETNEIGVPPVFAKKLTY PEPVTSHNFRDMQQAVINGVHKWPGAFAIENENGQVVNLRNKSVDDRISLANQLLAPT SSNSSKTKNKKVHRHLTNGDVVLMNRQPTLHKPSIMGHRVRVLPGEKTIRMHYANCNT YNADFDGDEMNMHFPQNEVARAEALQIADTDHQYLSGTAGKPLRGLIQDHISVSVALC NRDTCFSKEDYHQLVYSALRPESGHILGERLQLLPPAIMKPVRRWTGKQVISTILKNI QPSNCGGLMMRQDAQIKSEQWGRHSEEGTVFVHDGEFITGILDKSQIGSSSGGFIHAI HEIYGPAVAGKLLSSFGRLLTRYLNMRAFSCGIDDLRLTAAGESLRKDALRSAEAIGL QVAAEYVSLKEKSDASDPLLLERLEEVLRDDNKQEGLDLLMNQATRGVTDAVQKACIP NGLEKPFPKNQMQAMTTSGAKGSRVNASLISCNLGQQVLEGRRVPLMVSGKSLPCFRP FETHVRAGGFIINRFLTGIRPQEYYFHHMAGREGLIDTAVKTSRSGYLQRCVIKGMEG LTVAYDTTVRDADGSVIQFLYGEDGLDVTKQKYLSDFSFILQNVTSEAAQLRYDPKIG ERLGSKRAALLKYMKSAVKHAKVHDRNGKDPLAATLNPSTNAFVTSEAFYEAMTNYLN QNPEGLIREKNDKSSQYLPHVNLSKKNAEMLFAMKYLRSLVEPGEAVGIVAGQSVGEP STQMTLNTFHLAGHSAKNVTLGIPRLREILMTATREVSTPSMSLYPIPELGFDAAEIF AKSISVLRLGQILDTVSVEETVGRGKIYGLAKIYTIKLKFFPSDEYSTTYAIRISDVM DTIEQKLLRTLLSIVKREVKKRQAQETSATPDIGVKVGVIEMAAPQSQSEPRGEEVDD DDGDEDATNAKERGNRSELVSYGPNDEDDDAIQHQMARDELNEDSGDDDVGERTNKTT AAGEDDYGDDVNDNKAPALFAKSRSNRVRDTYAEVTDFRSDEQTGAWCNVTLEFDSGI PKVLMLNIVHEAVRKTVIQQIAGVGSCTLVDEKGERVIHTSGVNLRAMQRYSDFIDPH RIQTNDIGAVLSVYGVEACRNNIVRELSGVFGSHGIQVDNRHLNLIADYMTRNGDFTA FSRMGLKGNVSPFTKMSFETTLAFLKDAVLDGDWDDLTTPSSRLVMGRLGMVGTGCFR VLTQLPTHYIDSLA UV8b_05025 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVESYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERN UV8b_05026 MLRDNLCRHTIRYDHCDWSHVDHVTVWPCSWVYFRIRSDYPGLV QYRVTYWYCCSPICFAICLANLRHIKTPQR UV8b_05027 MSCSNQPLTDLLFGPPVLKNPPSAYPSKAPIITSQLRPTTQHHL ITLLSPISFHTHKYDWTWQGRQGFRQGRCQASPQNLTRQYPGHHQTCNSSSCSSWRGQ AYLCHDLRRNPHCT UV8b_05028 MCGFRIERGQATPRIRLHEIDGAVDSSGNSRIRLEDARYPRIRI KPGQIANQSVAVLVTI UV8b_05029 MDSAPWAAIKTQQVTLRILSVQVVYYIICSHLKWVTFALGQNRI QDPHTPYLDCKYVCLHATHIREVVESSWKNYVT UV8b_05030 MAESHRIPIQKISVIGEDSIIAQYGLWPGFVAEDLLRHVKSTTY VLITDTNLYDLYVPSFQSCFRTREDTTNARLLTYAISPGEASKSRETKAEIEDWMLSQ KCTRDIVIIALGGGVIGDMIGYVASTFMRGVRFVQVPTTLLAMVDSSIGGKTAIDTPM GKNLIGAFWQPRRIYIDLAFIQTLPAREFINGMAEVIKTAAIWSEDDFSILEKSASEI MSCVRSKEEHRLVPIKEVLEKIIICSAKVKAEVVSTDEREGGLRNLLNFGHSIGHAIE AILTPQLLHGEAVAIGMIKEAELARYLGVLRPHALSRLSKCIAAYGLPTSLSDGRVVK LTAGKKCQVDTILLKMAVDKKNDGDKKKIVLLSKIGGTFEQRASVVVDRDIRTILSAS ISVQPGVPDNLDVTVTPPGSKSISNRALILAALGSGSCRIKNLLHSDDTEYMLSAIEQ LGGASYSWHNAGEILEVEGRGGRLCASGDELYIGNAGTASRFLTTVLALCSPVKGAQS TVLTGNARMKVRPIGSLVDALRLNGVKIEYLEQEKSLPLRVHAGEGLQGGTIELAATV SSQYVSSILMAAPYARTPVTLRLIGGKPISQPYIDMTISMMRTFGISVVRSSDEADTY HIPQGVYKNPTEYVIESDASSATYPLAVAAITGTTCTVPNIGSASLQGDARFAIDVLR PMGCCVEQDQHRTTVTGPALGQLRPLTHVDMEPMTDAFLTASVLAAVANGKTRITGIA NQRVKECNRISAMREQLANFGICCVELDDGIEVIGRSLSDLQKPKAVIHCYDDHRVAM SFSVLSTVAPGFTVITEKDCVSKTWPGWWDTLALSFDVRLDGSDEAEVVTRSSSSKEE CARRSIFVIGMRGAGKTTAGRWIAKILDWKFVDLDEELERRLGMTIPDIISGPPGWDG FRREELRLLQEFMENVPEGHIFSCGGGIVETPKARELLQAYCGAGGTVISVRREIDQV IEYLSRDKTRPAYTTEIRNVYERRKPWYDLCSNHVYYSPHSAADTKLDGRQTIPEDFK RFVFSITAGNHNLSSVAAKNESFFISLTAPNIKGNLSVVRNAVVGCDAVEIRIDLLDN WALDSVTEQIAFIRYASKLPLIFTVRTVAQGGRFPDMAINERLDLCRLALKLGVEYLD VEVTSPDEMLQELSENRRNTIIISSHHDLSGSLHWRNASWVPIYNRALQYGNVIKLVG HAETIEDNFELLRFKNRMATARENPVIAINMGAAGKLSRLLNKFLTPVSHPALPFKAA PGQLSAVEIRQGRALLGEINKRNFYLFGSPILQSRSPALHNALFKSLGLPHEYHLYDT NSVAELQEMFQDEAFGGASVTIPLKRDIIPLLDELTPAAQIIGAVNTIIPQQQGKSTN KRYLVGDNTDWKGMVYTLREAGFMHTTHQPSAAVIGSGGTTRAAIYALHSLGLNPIYV VGRDANKVEILKSEFPLDFQIEAISHDEVCGISRPISVIISCIPADRPVDPVVQDTVS AILSQPSQSNGDRVLLEMAYKPHRTQIMELAEQIGGWKTIPGLDVLVSQGWYQFEAWT GIQPLYELARDAVIGKDLSGCYKPSQRW UV8b_05031 MSGDAVLVGCLVERILSRLPCRTGSLERAFEEDEILQITKATLL DLSTNIISVVIDSLLGVLEGLSRPYNCVASHPPHVLISEQYVLSLAAASCTANWRTVC TGDSGRSELLLPDKLDQALVNRWFDIFQQLLEPMPDDFVLPAQTLITQLSGRNFYVPR PVAKKNRNPSSDADCLEKCLVEFDSHIKVLVEFISASSWSSAFEYVRKTIYTIRAASF SEDAEAQTQDIEITGLVTLRLLSFFWIDSTKLGHLIQEIRSSYMHFPRSYQNTMAVVL PLLVFRWIDRHPHEFIRLHSHHKRLDGGGDTLFDMTQTGIDSGRRRADLYPMQIALLL LLPDVFEVASNLREVKSSSLIKKVLFLDNLRKLLRNANERAAYCLVSLVCAARHFIGE SDSAVVSYAVDVQDEIRESVFSLSHAGNAIPSFDQDIITGAFIGLFHLDPIDSVRTLV KTCISPTAPESFKIAVIQACSYFAEQAQDGNKFSVLFDNALPFIQSELTSECTKSRKL SGDPIPLNSRKILEILRFLDVYPGPFIHELASQTSSTAFLISFLFFVLSWDLPVRSFA TNVARKLLARDGGLVQAISDNHRHDFPADLRKTLWDQSSSIILDLCAHVESNTSMRSI SQLREFLSTRSILLKSISSLSKIPNDVTNVSEAATKLETTLLLSLCSPDISICQAATL CIGIVVDEGAQVERYSGSANLLSSTFPNNLVYLELASPAFHFTGLVAFQKRMRGLLRQ LRLPTRGVLNAWELAFDRWIHLSRDVSMASAEEVDDRVLSSWRNLSGFLASLGGICTY AQASRLDEAIGDLPWIDKLNGNGHEEPLLSQFLKLAIRLLGCENIKVRETMREVLSIE ISPTLYAALFKALESELDVLLAGLPSSVDNKQEKDTVFAGQAVSVLKSMVEKIESPSD LASVSSIHLGVLTLNFTKFLNGAPESGTTMRVKIKICHLCEAVTKRKEHLNLRDDIRI RNQLLEHIYGWIARPYAVNSDQTANTGIRQDELRRVQKDLDKACLKTLADLTFRLPLQ AADCQTDAGMSEFKSQMFHTYFNSFLSLLSYETQEPKQQEYPYGAAGREESASNSDIV ISILSNLLSANIDVGLKNSLNIGYHENVEIRAAFVQVLCNVLTQGTEFNSLTDSIVSD KYMELLNLLITDFSLPVSMSAICPASEVDELTICLLTIFEQRGLIFGLLEALIRHEID RTDNETEILRRTCVATKMLSVYAKWKGATYLKTTLQKVVERLMHTSQDLDLELDPARV SAPEELQKNATQLQIVAKVFMEDICASTTNVPSPFRRICAIISKAVHPRFPNAKYTAV GAFIFLRFFCPAIVAPEAEGLISAPPTKELRRGLLLIAKVIQSLANNVLFGTKEPYMF PLNPFLVQNIHLITGFLREISVPPPQEDAAPNSDAFDFSSSVTLHRFLYDHWDYLRQT LIAQEKGEFLRISGESSRGILPFLEPLRILITNLGPPPLAISWNRPQISRNSPILYSR FQNFMLHNAFRSAESFTSRAVYGGGASKDGLSMVCMILRHIETESIDYDTLLYCYLKI ASRLWHEPFGLFIDATCYNGRSEPSDEFFSKLDLLTTSELSHNFSRIYIYNMNNAFKR CFRRLLRNSTREETSIFHPNHVEYNLISSMQELQDYFHLGQLDLPKETIDVVKETRYV FQQVTRLSKSKGKVEVVIKVGSHFVQITTVKRQEVLAGFRLSSVINDIFRLGDVEEAI NATQIGDESSFGLRADGGKIVMFFTSVNRADILQTIRGAKSKHGKDSRMPKPIERLVR PQDVPGTMLNLALTNLSSPYHVLRLASYNLLGALCRSFEFRISGRLLCNRGLAVPLDP TRFIVSISREVAQAEPQLTSDFLTEFFVSWESIPEEQKPLNLEYMAPWLLNLRTTILV TEADSDKGRDKVSSLVRKLIDIVVLDQNLIYALEQHIWPTISADELLLEVLVDELIKT ALIYGNRPDILEILSSMVLGLGTVTLRGRIMCRLRKALNRSSLRPTRLLPDNSVWDEI CVLLQFCLALSFDSRVQSQMYLPEIFHLVTMLANTGGHDVRLLVHKILINSIHTMCAS FQLEDARSLRLRSILDVLSPPSSVAGDSASTCTALESSPALSTTENLAATLLDICSIA APSVDVANAWRARWMSLVASSAFQNNPAIQPRAFTVMGYLAKEEVDDDLLYQVLVALR NSVHQFSKDGNSDMLVSITISLSKMMAKLSSASRYRHQLFWLAISLLRLVPPNLFNCT ARFLEAILGNIGATGDIRGQKLVALLLDCRTQLEEAALPLDDAYGIHFGADTFHYAVC ASLVRGLTDNVTKSMAVRVLSTFLEMTKSPDFGPDGQDHTNLVSPYLALLLARGIKNG DSLDSPQISPANPDSISYSLNCRGIQNIKTAEDKELVLISAVELVDFQYLDDKAQACS LHWLNYLAKARPNVFSIFCGALPTLLDGVLLHGQDPIALKAAHLLLRTLSPKHKYSRE MSSKHSLTNMLNELGFDGLRILSSRPSIEDVKGERFRLTEKLIELVIM UV8b_05032 MAVGISTATHHAMDEEARAEVDVLNSRLDKTTQLTKKIQASLGR LELSGQSVHDVAGPLSGETRRLQLLGNNVDAILTAIDRLRQPADNKDNEEQIIRAGPE KAGLSSYLASLKRLNRSHADMQASNLRANQSTITELTRLINSGNSQLESYFDKILRSE TPRSIEPLHYITKDKPFPVLPQDKIARLGLVYTYLAGGHQQTGLDSPASKIFAEIRAP YVSSSLMNLAAASVNTAKKKNPGAIYRAGTNGISTYARAMEAMFLSEYDNICSVFKRD DWGPVFQATCQAALAELARTLRELNAHIKIHLSTDCYLAYEITEILSALSGNLESRTG ELRGSLAAALKPVRETAKSSLSDLLEETRRKVAGLQALPSDGAVVPLVSEIMHRLQTM VEFLHPISSIMISLGDGGWRLTAGTSGASADAIPSLASFDIGADGGEIFAHYCQDTID ALLSSLDQKAKVLLKSKAVAGVFVANCVVTIERLIKDSDLGPLLQSKVEILDQWRKKA TSAYTDVCRDLSVYLFDTIHTNRSARPTSGQADSASVIKGLSSKDKDKIKEKFAQFNA AFDDMVAKHKSYNMEPEVRLLFGKDIRQKLQPLYDRFWDRYHEIDKGKGKYVKYDKSS IAAVFLSLTS UV8b_05033 MDSFMLEDEGVRDRIRQAEDFLDPSDPHARSYRSDIILMLQRNQ RRMTVNLDHVRDHSNGLAQGILELPFEYTLAFNHALKKIVETIPQARPDQTARDTIYY CAWAGSFGLNACNPRTLSSHHLNHMVSLEGIVTRCSLIRPKVVRSVHYNEKKKKFHFR EYQDQTMTNGVTTSNVYPREDDEGNPLMTEYGFCTYRDHQTITIQEMPERAPAGQLPR GVDIILDDDLVDRVKPGDRVQIVGIFRTLGNRNTNHNSALFKTVILANNIAILSSKSG GGVATATITDTDIRNINKVAKKRNLLELLSQSLAPSIYGHDHIKKAILLMLLGGIEKN LENGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTTDK DTGERRLEAGAMVMADRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNA RCSVVAAANPIFGQYDPHKDPHKNIALPDSLLSRFDLLFVVTDDVEDSRDRQVSEHVL RMHRYRSSGTEEGAPIREQASQSLGVSADSQASTQQPTEVYEKYDAMLHAGVTRTSGR GSGRKPEILSIPFMKKYIQYAKTRIRPVLTQDASDRIAEIYVGLRNDEMEGNQRRTSP LTVRTLETLIRLATAHAKARLSSRVEDDDAVAAEAILRFALFKEVVEDESRKKRRRTN IVNFASSSEDSSDPEDDQESEEYTYHGGGTTTTSPAISQTNRRSKLSAVKEVHGRDEL SREVPNDADQGNLTVTQRSTPRRSGRSTRSRFSASQSQTSFASSMPASQLQSETQSDS QIEEDLSFGASGLAINDDPPIEPQRVAVFRSALGQLLNTDLFEDDAATLDAVITAVNR KISSTDGGVFERAEAIKALKKLEEVNHIMFADGELVYKI UV8b_05034 MKTLDSEPDFDDVEAIEIAHTREPDKIISDPSGNHQRSTRNTSH MLKLGQRPGSRIGDCATNRQNAPKKILVGKCRNKAPSERQADITHVCGDEDSHDKSLA AADQNKFERHDKELNFQQIGRLDGSQTCALLSETQKWDLTNMDGRTDFAMATTTLPQA ARHTGMEQVAQGLQQDALEVVLRRSLSEVTKKYNTLETRHNELLSIGIKAAELNFERL KRQSEEATDASNKLIMELKDEIAAQSVLILQNTQLKQQLSQSKVRIDALEKELHTLNV SFSHTKSEIQSLSAKLSASRAAGVISKAPGNTVKTGTSTCKSAPIDALLAAQAKEYLY GDLTGLIVSRMTRGDEEDVFDCIQTGRNGTLHFKLALESVHASDNYEDVQFTYKPQLD IGRDSDLMKILPDYLVEEITFTRTQASNFYARLNKSLTERKHCSAPQISS UV8b_05035 MGAILSLPLLAVPSMTTVLSFAASCCGAATCSMVCSACGKCGNS VATRIAYALMLLVNSIIAWIMLTPWAIEKLQHLAFDYIKINCPNGECYGWLAVHRINF SLGIFHIVLAALLFGVTSSKHPRAAIQNGYWGPKIIVWATLIIVAFLMPNEFFIFWGN YVSLVCAMLFLILGLVLLVDLAHTWAEYCLKQIEDTDSRLWRFVLIGSTLAMYLGSVA MTVVQYIFFAKGECHMNQAVITVNLLFWLAISLISINPTVQEHNSKAGIAQSAIVSIY CTYLTMSAVSMEPDDKHCNPLIRAQGTRTTSVVIGAIVTMLTVAYTTTRAATQSLGLG NTVGSIHLSEDDVHDLVTQQPSPRREMRAEALRRAVEEGSLPADALLSDEESDADEET PQDDERFRTQYSYTVFHVIFFLATAWVSTLLTMQYEESTKNGDFATVGRTYAASWVKI VSAWVCYGLYIWSLVAPIALPDRFEFS UV8b_05036 MGERRRLGDVAVNLLLFAGMMTAGLYIARNLLTPMLSNLADPDK EKREQTRRQAKAHLERLNRRRNEVENSSRDMDDGNRAGKVDDLVLNEYENLVALEMVP PEDIHVCFEDIGGLDDIIEELQESVIYPLTMPQLYSHTSPLLSAPPGVLLFGPPGCGK TMLAKALAHESGASFINLHISTMTEKWYGDSNKIVRAVFSLARKMQPAIIFIDEIDAV LGTRRSGENEASSMVKAEFMTLWDGLTSANSSGLPAQIVVLGATNRINDIDEAILRRL PKKFPVPLPGLEQRRKILQLVLQDTQTDEHDFDLAYVSMITAGMSGSDIKEACRDAAM EPVREYMRRHRTEGRRMAFVDPQEFRGIRTNDFFGKRARQNDTGTGNSHSQVTMHGQP SQTRTSTSEYKNWHQPVAENKD UV8b_05037 MEESTTYGSPAHDISPQDHSILAQPPLQGQGAYAEAHDMPMAEG MAGEATIKHDSATPGPGAANEVSKLPDVPGADTVNIDAEMGDALAGVSKEKAPSALGD AAVNTIDAKSKETVESAAREHLVSQTHAIVLPSYSTWFDMNGIHHIERKAMAEFFNNR NRSKTPAVYKDYRDFMINTYRLNPAEYLTVTACRRNLAGDVCAIMRVHAFLEQWGLIN YQVDAEQRPSHVGPPFTGHFKVICDTPRGLQPWQPSADPIVLEGKKNSDTDKKSGNVP TGKGDLNLEIGRNIYEANSKGTPVNKIEGNLNGEKPPTKGVTDAIDGNAATLAKVNCH QCGNDCTRIYYHSNQTDLATKSKYDLCPNCFTEGRLPANHLSNMYSKIDNPNYTSVTD REAPWSDAEILRLLEGLERFDDDWGEIADHVGTRTREECVLQFLQLDIEEKYLDCEAP INAPTGLSMLGAQHGHLPFSQVDNPVMSVIGFLASLADPASTAAAAHKSAEELKRSLR KQLNSHQGSQGVNGQENSGDKELKIHPQEYSQAREGGESMDLDPDHESTITTTEKNTA LASIPLASIGARAAGFASHEEREMTRLVSAATNVTLQKLELKLKYFNEVEANLRAERR ELERGRQQLLLDRLSFKRRVGKVMESLQAAAAIDGENGICIAQEAIQAESETLGFQRV AGESFVLPLSSNGQIKSYEA UV8b_05038 MAAFQNEQLGKGRSSRSFSLDELIMGTNNSSIVSKRSVEKLYYP GEPHYFRYFVKKFQRRAPLINRGYWLRLRAIDIIVQQFLQEHRDDKRVVINLGCGSDV LPWRLNSRYAALCEDVQFVDIDYPNLMRSKRSIVLETPILRDILEENYSTSISDDDLV LLRSDRYCQIGCDLRELGKLRESLDTFAVGSHVLFVAEVSITYMDTEYADSLIQWAGE VGNSQFCLLEQLLPCGPEHPFAVTMLEHFRRLNTPPKSVLKYPTLPAQVNRFINRGFR SAQVWDLWEAWSSDKFVSEPERAALDEIEPFDEWEEFALFCRHYFIIHASNANLDAPA SCQKRISKQPVEGNLELYASAIEKVPEGPKRRYGDALRLRNPAGGKFAINVMGIGTSG REGSCDIFSLDKQPEAPALCLTGPAPRMGHTLTDLGEFGVLLVGGRSSPANALSDCWI LKRGTTCEWKPTYHLPVPLFRHSSIRLHGTCLALVAGGKTGPSSISAEFYVFHASKGW FKCQVVGSKPPPTFGGILCNSNYRGRPNDLYTGLLAGGIGQDFRINEQTYTWQLELSD SHPVLRFELCPKDVHTDQLSVFGARTVDFRSHTLICGGTGLNSFSQGQVILSVKMNTR DSFAVSVLGNHTGKAMPLMIGSSVLEVDDGVAILGGGATCFSMGTYWEVGARIISIVD REGYRENMKSAEKKCPLLQFVGSQRFNGSTIRREECLAPNQSTSTVTPIRRILLESPE QLSDMAKAGLPVIIEKADLGACVRKWTPEYMVDRVGYNTKVIIHDCIRDTDKLDFNTK NFNYKTEKFGIVMKRAAAGERIYLRALSHDKPADIATNIDNDFPGLAADFRLPGMMQC IRNCLFSSVLRVSGKVNMWLHYDVMANMYTQIAGSRRMILFPPGDISLLSFTPGASTS SLDIFSMLNTAQMEGSHPYEAVLEPGDILFLPPLWPHTATAVTNMSIAVNVFFKNLEA GYAMGRDVYGNRDLAAYEKGRLHIARIGKSFEKLPLDIRRFYLKRLANELETIVEGT UV8b_05039 MATIALPRPIPPHSSSDIDGPITPPHSLHDVGRSVQNKHYPAAI PNRHIPICPSGLTKADDAHTPPADTPPSSPTHIDNSLQQQSLLFPPHGYVSLEAGNLR IFQIDASQTEAALEFASSQPLPVPELMFPWLHGLHPENDLQQAFFLGRRMSSRKPPVC NRGILLVKADGDLSRARLKGAVSPDEFMQFDPNPKFVEADPVDGFSVRNFQIQTAKSA LVSDVIVYGEDPTESNKVAWAIAAAQSQQRQLEQDSTGPAVEYNTFVCPSPFSDFEEH EGHIVAIDSAGCPTGNILDFAHQERSEMWDMTQASEISLNVYMGPTPEPGSSEERDFD VLIECSDLGRLNPGALRSLVGRSDGTGYHSHFDFPSSGSILPPTWSHDEADGIVETCR WIYHLSHGTTPEPTTRKCRQRKVLIHCADGYTESTMLGIAYLSYSTGQPIPHAWLHLH TSKLRNFFAYPTDVSLLTAIAPQILRESPVCAGKSLGQITTLLRDEPKWLSTLDGSFP SRILDYLYLGNLGHANNPDLLKELGIGQILSVGETASWREGELEKWGSNNVYVVQGVQ DNGIDPLMDEFLGCLDFIGRGRRRGTATLVHCRVGVSRSATICIAEVMRALNTSFPRA YCFVRARRLNVIIQPHLRFAYELLRWEEWLQQRGDIAAPLKRELEWREIAREIALMNR PYSR UV8b_05040 MKRESKLVYYLPHLVSFLLTKYCRFATFEAAKAQASPPSNFLTR CQARIIGDDLFSVSANTHFSRQGASSRSAHSDLSIATVSGKTQPLHLHTLNYRMYPQA CIHAVFARVIKMANPSLNFITFNQDHSCIAVGTSKGFRIYHTDPFSRIFSSDDGNIAI IEMLFSTSLVALILSPRHLIIQNTKRSSIICELTFPSAVLAVRLNRKRLAVVLEEEIY LYDISNMSLLYTIPTSPNPSALCALSPSSENCFIAYPLPKPREDSESRRPIHAPPQST YVAPTSGEVLVFDTLTLKAVNVIEAHRSPLSCICLNSDGTLLATASETGTIIRVFSIP RGQKLYQFRRGTYPSTIYSMSFNLSSTLLCVSSTSDTIHIFRLNIPPGHSSSAGESSD TLGSQKRDRRSRTKSYDDADSPSNSATGSPRSEAADMATETNTSSGGGPGNRRQSGSF SNMLRRSSQIMSRGMVGVVGSYLPQTVTEMWEPLRDFAFIKVPKPGTSGPLRVPGNSS SGSLKTVVAMSSSSPQIMVITSDGGFYIYNIDMENGGEGYLVKQFSVLESDDKHDIST YLS UV8b_05041 MKFFIDKLPVIFPYPKIYPEQYAYMCDLKKTLDTGGHCVLEMPS GTGKTVSLLSLIVAYQQCMPEKRKLIYCSRTMSEIEKALAELKALMKYRTEQLGYEEE FRGLGLTSRKNLCLHPSVKREKSGTIVDARCRSLTAGFVKEKKQRGENVDLCVYHDNL DLLEPHNLIPNGVWTFEDVLRYGEDHKQCPYFTARRMMQYCNVVIFSYHYLLDPKIAE RVSRDFSKDCIVVFDEAHNIDNVCIEALSTDITEDSLRRATRGAQNLEQKVTEMRDTD QEQLQNEYQKLVEGLRSADEARQEDAFMANPALPEDLLKEAVPGNIRRAEHFVAFLKR FIEYLKTRMKVRQVISETPPSFLAHLKEHTFIEKKPLRFCAERLTSLVRTLELTNIED YQSLQEVATFATLVATYEKGFLLILEPYESDTAEVPNPILHFTCLDAAIAIKPVFERF YSVIITSGTISPLEIYPKMLDFSTVIQESYSMTLARRSFLPMIVTRGSDQASVSTSFQ VRNEPSVVRNYGTILVEFAKITPDGLVVFFPSYLYMESIISMWQGMGILDEVWKYKLI LVETPDAQETSLALETYRTACCNGRGAILLCVARGKVSEGIDFDHQYGRAVLCIGVPF QYTESRILKARLEFLRETYRIKENDFLSFDAMRHAAQCLGRVLRGKDDYGIMVLADRR FQKKRSQLPKWINQALLDVDANLSTDMAVSSARRFLRTMAQPFRAKDQDGISTWGHDD LQRHKEKMDMEKIHEMEEAAKNSTNQDIAALDNYPYVVEELDQDMMELDDF UV8b_05042 MKPIYWSPLNDIAVVMRATWFYRDTMMPVAPAIANQLEAGYLEI LSISTHMGTRKSSLKYLQIHFALRGVSEEKQLHKDLNESQAFLLKPTLKPSAHYGRRP ALKIIKGFTVGIPVVRGFDRQAWDTVHGGSSSPDEGAASAKAAVEPDEESQGNREGRA CEACRATEAKGQVTDLVLVAHGIGQKTAERVESYHFTHAINSFRRLVNREMGSSTIQR ILRKGQNGVMVLPLNWRMGLSFDDTESLVNVESQEHRQDSFNLKDIEPNTIPAIRTMI SEVMFDIPFYMSHHKGKMINALVQEANRIYRLWCRNNPGFSASGRVHLIAHSLGSVMA VDVLSRQPTLVPKLDLGRTEPQTQFFEFDTTNLFLLGSPAGFFLLLESGVLIPRRGRS KPGSDSRDVNDESIVGNAGRFGCLAVDNIYNILAKEDPIAYLLNGTVDPAYATTLKPA YIPTTSTSLFKSLGDAVRKIVPGLSPSLDTLMVDPERPLTARLPSQLELEVHDFSREE IAEKKLYLLNDNGQIDWFLKSGSGPLEIQYLNMISAHTSYWINRDFVRMLCIEIGRQP GRNHSVPAMRVVKAGKRFSVEK UV8b_05043 MATRPPTLSEILLDVSPPPWTLSAFMAYLSQNHCMETLEFTLDS QRYAAFYEQLSETGSPSRENNARVSGWWDRLMQVYIVPCAPREINLPARVRDRLLSLP CGPTPPHPAGLEEAGRIIYDLMNDSLLVPFLQSVAPTPQICQNEELAQDHRRRSRHLG LQADQPRRSAASPHSDFDSLTDDSDSNSPFSSEPMTPPTTPPTSEYIFNTSPGGFQRA VAAHSKGWKKVGQKLGFSRKATSRPTPTSSSASDHDTGSGE UV8b_05044 MPVYQEGWLSFLSFLPSLDLSGFLSSIGTVPSASSDSSGDSNQS VVTDESADVLATETTDSFRDGSADDIRDDPDDDLSAFSHETSDEGIGSQPTATGLFQI SVSEAASTAENSAPLASEDLDQPILPVFSICGRQLIHANRPRNIRSPWLPQFPKSLER TISDPILDPGTRSTRFPKQKFFLDTIYPRLPRNDSRLRDIEFALGPLFYRQPQEPAPT TPTTLTPLLRPPLSEEPAFVEINGILTKKRRANDPEVDLVAAIQDCQDTTPPSFVTIS RTSFNPNSELEYLEKVEQHMFEKQALTARISGIMSALFAPFPTIRDTFRRYLCSQSYA VSESRSFVLIPETKTFHVATKRRKIVTEQFEFEWLDRNGLDLLISRFEHLRECFRRVN IVVLRPESRPKMNKILRPLLQVLADDDDDIYKLQSTEDGHDLCTLFCLLCDNMFRFLG TIYEISSFIKAKDKFPRVPRIYEYNLDPEARQTVIRTKNFMSAPNLLTVLRDILEVGG LLDDGYPAPVDIISYIILDMTAVENGIILPSYVMHNDFHQKMFKEILPNGLGREEGYE KLPGTFTDVDIDLIKEPEGKTSNNINNIDVVKVKTPTRPSSPPAQIAKAEKGKTGQLR AKFLERKMLALGSDGFRSKYYVQNEAHEAIKKTYISEFDPKDLKKGQEATTKSILKNR CGKTPKRLAMKLAPKTVRFTENTISPHQRMHIGFDVPRLSHDEEGEPKPLMIGQLKLK PREAPEPSPPADADETEYSALEQENRNGDSIFPGAKWIKSSKDHKKNVDPTVAIEKIL SLPSIGSLMISDETKAGIAVRKEKAAREAAEEARKLAEERSRKEREERLAKSGGLRVP EQPLVSPLSSDWLARVHATVHAGSTTTLATTAEGVDLRRHDFAKVVPPTTWVNDEIVN GCLNWLDQSINMAAGIKDVKKKTRKCLAMSSFFFKRLREQGVTQTQRTLRRYGVEKRN LLDVDTILLPICELSHWTLLVIRPSKKTIAHMDSLNQGGSQQYIDIGLAWLKNLLEEK FLDAEWKVMQHEAPRQTNGYDCGVHTITNGICIALGLSPIDSYTSGDMPQQRLNLACM LLNGGFKGEFDLRVY UV8b_05045 MAVDSTQGAGSEFYDIGKSLGGILRGLPEAWKMGLSRVTDNVYS VVVGAGPAGLMLSDCLARWGYHIKHIDNRPEPTPTGRADGLQPRTLDILRTMGLEADL MAHDPARVYEVAFWNLDRSSKNIVRTGTWATCPSFIDARYPFTALLHQGYVERVFIDD LRRKGVHIQRPWTIAGFESEEKNNPYYPVYVELKHVDGTAVEAVRAKYLFGGEGARSF IRQRLNICFQHIGPIEQVWGVMDGVVQTDFPDIKMKCTIHSQHGPMLVIPREKNMVRF YVQIASCEESDWKSMKRLTKEQFQELAKKILHPYTIEWERVEWYSTYPIGQGISEKYS LDQRVFLGGDACHTHSPKAGQGMNTAFLDALNLAWKIHAVEGGFAHRDLLKTYENERK TVAESLVNFDNRYSKLFSQRPPTTNEMEAASNGASQDTVTEEEDEFVKAFKESCEFTS GYGVSYGPNELNWSSSHPAKSMLMNPQGTKLRPGHIFINSDVTRVVDANVVHLEQGVP LNGSFRILIFAGNPAVTRKALVDFAAGLGCNQSFYRRYMRSDAREVSYHEKHNPHSLF FTLCVIFATKRCHIEISRDVPGLLARYRHHVYADDRWDQRVPDATASAHAKTGFDEDR GGVVVVRPDGYVGAVVGLVEGTGTANALNEYFAAFCTEKLADVNSQL UV8b_05046 MNLTEGQMNKLTDAMTQKVDSSPIKAERAEFIASNSQNSNDCYS DSYVLPQKVYDSNQLRHHQYSDSSPCSELIVEAPSAITDSLAERRKHEAPPGIKITTP KSRFVQAQRGQVLGSGPDDCPGISSPYHQCSLNSGISGSGQFPSGKVNYKIDRSSPGE RANNELVDVCTKWTDTPSPHPQRDYPKRQQSLIQKPKRSKSTTETLKRSSMLSHTSAE GLPMNTSPEIPQNLRSRVSPSSQFSPLPLYFRGQSFPSTKAGGKTMVGHNGWLECTGT ILEDGKKSQSKRMGFLHSIKRIAKDVTAEINASYRRPNQSETGLTSSQVAISLDAREQ SLLYCELEFYLTSSLNEYIVAEFDSGHLVPGHLKKISDFWLSQGRPRVISFRYDLETQ LELIALHLNEFRFYGRRQGDRTDILALLHAMKVHARAMRIRTFCQPDSVIAKQLVDSQ SLFNMLNAPSSQQLALDEIAHFFKMIVSRERANHATAISRGQSVYPNGRYSGVA UV8b_05047 MDAVMHISYSCNDFTIVGKALLSFCKGLPAKDYMLLTRILFSKN TRYIGSTHHNTRTGETRPKDLKLPVTSTPSPVVAA UV8b_05048 MASIVPLQAFRPDLHERAWASIPHPTLPLIATAHAKAVTVFSLS TFSSHSTLTGGHTRSVRSLAWKPGLPSHKLCLVSGSFDATAGLWRWDGDASAQTHADG EMLETEIMPSRCDYDSDASHSQDKNWDFTLVLEGHDSEIKSCAFAPSGAYLATCSRDK SVWIWEDIGASEADDEWETIAVLNEHEGDVKAVAWCPDVPGRKSRRQFGSDVLASASY DNSVRIWREDGDGEWACVAVLEGHEGTVWGIEWEPRPQHSRFPRLLSYSADGTIRLWA LQQEDDEDEDGGSNSSAGFAARSALGGIPNTMRRSLREEWTCTHILPKAHDRDVYSAT WSRETGLVASTGRDGKIALYRENGPSSQPSTEASHNGDEGSADQTSLNEASEPIPTSP TIPPTTWDVLAILPNAHGPFEVNHVTWCRRHDAACERRGKEEMLVTTGDDGLVRAWQV GVKGHGGAGGCF UV8b_05049 MLRNSLSRASTKALRGARCSIGLSKISIPTVSTKTSSWKLSSAR RPLSRTTARSYATDATHSSPNPGDNFLSGGAASYIDEMYIQWKQDPKSVHVSWQVYFK NMESGDMPIAQAFQPPPNLVPNMTGGVPRLAGNLTLEDGSDVTNHLKVQLLVRAYQAR GHHKANIDPLGIRNTAEGFGNIKPKELTLEHYGFTDADLDTEYTLGPGILPRFKREGR EKMTLREIIAACERIYAGSWGVEFIHIPDREKCDWLRERLEVPQPFKYSIDEKRRVLD RLIWSSSFEAFLATKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPHRGR LNVLSNVVRKPNESIFSEFAGTLGAEDEGSGDVKYHLGMNFERPTPSGKRVQLSLVAN PSHLEAEDPVVLGKTRAIQHYNNDEKTHRTAMGVLLHGDAAFAAQGVVYECLGFHSLP AFSTGGTIHLVVNNQIGFTTDPRFARSTAYCTDIAKAIDAPVFHVNADDVEAVNFVCQ LAADWRAEFQHDVVIDLICYRKYGHNETDQPSFTQPLMYKRIQQKEPQIDVYVNKLLQ EGTFTKEDIEEHKQWVWGMLEESFNKSRDYTPTSKEWTTSAWNGFKSPKELATEVLPH HATGVDKTTLDHVGEVIGSAPEDFHIHRNLKRILANRTKSVVEGKNIDFPTAEALAFG TLVTEGYHVRVSGQDVERGTFSQRHAVFHDQENEKTYTPLQNISKDQGKFVISNSSLS EFGALGFEYGYSLQSPNALVMWEAQFGDFANNAQCIIDQFIASGEVKWMQRTGLVMSL PHGYDGQGPEHSSGRLERYLQLSNEDPRIFPTEEKLARQHQDCNMQIAYMTTPANLFH VLRRQMHRQFRKPLVIFFSKSLLRHPLSRSNIEEFTGDGAGFQWIIPDPEHQAGSIKP PEEIDRVILCTGQVWASLHKHRADNKIDNVALTRIEQLNPFPWQQLRENLDMYPNAKT IVWAQEEPLNAGAWSFTQPRIETLLNQTKHHDRKHVMYAGRNPSASVATGLKSLHKKE EADLLEMAFTLKQDKLKGE UV8b_05050 MATPAGRTLFKKKDGLLTLTKDHQTVTWTPTSGGPPTVSLPVAS ITNLQQTPETAAKVMLKIFEKSVNGGDPATYLFHFHTSEAKSEAKAVKDVLSKILADM RSSDAGTSRPNAGGRMPGASSSPAGSGNVSASMAFANAANSQPASSVRWFDDNQLKLD IELQQSLMKKDRSLHQTYMEAMSTKPESISGAAFNVQFWSTRTNLLRSYAIEMNQKKG AYNVLSTVKPRTVEGELKLNISVEQVQMIFAQHPLVKRIYNENVPKLSEAEFWSRFFL SRLSKKLRGERVTESDTQDPLFDKYDASENTQGIQSKIMAQSVPHIIDLEANEENQGG FKSGNAKDVEMRPRANIPIVKTLNSLSEKIMVNVPPSDGKTDDRTGHRDAFGELALRD LHEQTADHRIVLNVKEQNKFFSKQGSGPSENAQTFVTQKPQEVLAKVRASLDSFECDP SRGTSLQDAIAFEDESDSDDEGHKPLQVGSRAAIKAAEQEVMNGVLQQRAQKYGRSSD ATTPMGLPESVAEKCALAHATSIEFLHQFWTAFLSGDPDRASELQYLAESLERSAPRI YAVAEEAEAVRDEVIRKRKQEIRDHFERTGKKIKWKSDMVGGGRDAVIKLMQPVLDAL QKGQQDYARALAVEGIQVSTEV UV8b_05051 MSTPIARALPRAALATRGSRAPSRCAFRSTTKTQDAVGAGSGGK PTEDSVTELDTDSELLASADTTGGRNRSLLSTRLRNRQARRQTASALPPVKLPNSFLD ENVSIFCAGKQPRLPDALIEDAMHEKTSRLPISQIPSSGQSRKFIESYFDTALAILFM RDCELSRDFNRSLNDDKDLRWSKPELIARVVRIWDMIIDSSWRLIDSIHPVKQAEYTF KTRPCWWWDMHKYLDPRTQKLRGHFLSLSTTLDIQLNHAEVLEYPLSHALVDLPVNTI TALQKALERELKTPAPTNFNPKASKRPITILSMSGYGGKAIAESLGQHLAFWNQADLI HLDAQDLSVLVGDYLGLNWAYTRGAVSMMGFRAAELNGKLVQEPRISFKPTDEDDAEA DAEVIGIRSSTDSFEDELQKIRQGGYECFNRWENLKIDKIFEHVIRASEIKAPSGAGR RPVLLHLHDIVEMSMTLEGSILIGRLRALVDSAWQQGMRIAIIGTSSSENPSDEFQDT TSQLAAFDWVITRHLEINQKTEAEMKPLQNVFNLQKADYFSENIRNINRMIRALTPDL LSPPVLDFASDFVKSYLRSGNHMYSILWDSILPIPEVYSLARAFKDFEETEEGTGPTG ILERCAMGPLRQKHGQRFLDEEQGNQFAETIERGKSHEEPRIESRVTMKLNEYEKKIS SGQINRENLRTTFADVYAPPDTISALKLLTSLALVRPDAFTYGVLAQDRIPGCLLYGP PGTGKTMLAKAVAKESGANMLEISGATINDKWVGESEKLIRAVFTLAKRLEPCVVFID EADSLLANRTLFSNRASHREHITQFLKEWDGLEETKAFIMVATNRPFDLDDAVLRRLP RKILVDLPLKEDRVAILRLLLRGESLDESVSLEELARRTPYYSGSDLKSMCVAAAMAA VEEENEAAKKHQGPAAYRYPERRILHGSHFESALHQIPASISEDMTSLKQIRKFDEEY GNGRKGSRAKSSMGFSVLGNKAYADSNEARIRP UV8b_05052 MKIIWHFMVNTCSSFSVQGGNSRPVDDVMRIVVMKHAFGVQFLF KSVMALSCLHAKDSIGDDLGDPRRQSYYESGTFSEYQRAIEAADPRTFGALLANSLVI TALSSRNFREKESPDLFILQWILVWRGIGVILHRIRRDALPNTGLAQLFYRPSLDLKA AFRHIPPHLWHMVESTLPGDEDFLYKATYLRCLHYLGTLYHNLRLRGFGAVMNLRIIT WFTYLPAPMIDLFRKRQERALVILAHYCVFLKLVRNVWWLRGVGDRSLRDLCGYLGPE WHGAVEIPFKALFTDDPLTLARLVLNEPLWTSRRSHNDEWDEYEERETRQLSLVDDEG RRVRYEGNIGIMVLEKPSEPNEQPIWNAMENPE UV8b_05053 MFKSGISSLARASRPTFSAAASRRAIKPAALRLPWNSRYASSAS VGAGKIHQVIGAVVDVKFDTAKLPAIFNSLETDNNGNKLVLEVAQHLGENVVRCIAMD GTEGLVRGAKATDTGAPITIPVGPATLGRIINVTGDPIDERGPIKTDKFLPIHSEPPE FIDQSTSAEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGY SVFTGVGERTREGNDLYHEMQETSVIQLDGESKVALVFGQMNEPPGARARVALTGLTI AEYFRDQEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGGMQERIT TTKKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSTS RMLDPRIVGQDHYDTATRVQQILQEYKSLQDIIAILGMDELSEADKLTVERARKIQRF LSQPFTVAQVFTGIEGKLVDLKDTIASFKAILSGEGDSLPEGAFYMVGDLNSAKEKGA KILAELEKSN UV8b_05054 MEPVLSPTEDFTLDISHILPGWHGNYLATKDSPKKARGFGKTDP ASRTCRILGGLQCATSLHKQLSSSSLDNAPTIYTFRSGRAAITHGPDWDCSTIGAI UV8b_05055 MADIAAALDAYGLTDLKAEDVPRDGTGVVKLDPWLEPFSAALRQ RFAKAQDWIDNIDKYEGGLEKFSMGFEQFGLNVNEDNSITYREWAPNAVCASIVGEFN DWNRKSHVMKKSEYGVFEIVIMAKDGLPAIPHKSKIKIALELPTGEVVYRLPAWIKYV TQDLDVSPAYESRFWNPSDTEKYIFKHPRPAKPSGIRIYEAHVGISTPEQRVATYKEF TRTMLPRIRDLGYNVIQLMAIMEHAYYASFGYQINSFFAASSRYGPPEDLKELVDTAH SMGITVLLDVVHSHASKNVLDGLNEFDGTDHHYFHGGGKGRHDQWDSRLFNYGHHEVL RFLLSNLRFWMEEYHFDGFRFDGVTSMLYTHHGVGSGFSGGYHEYFGSDVDQEAVVYL MLANKMLHSLYPDCITIAEDVSGMPALCLPLALGGMGFDYRLAMAVPDMWIKLLKEFK DEQWDIGNICFTLTNRRHREKTIAYCESHDQALVGDKTLMMHLCDAEMYTNMSVLSPL TPVIERGMSLHKMIRLITHGLGGEGYLNFEGNEFGHPEWLDFPREGNNNSFWYARRQL NLTEDNLLRYQYLNHFDRLMNLCEAKYHWLSSPQAYVSLKHEGDKVIVFERAGVVFIF NFHPSSSFTDYRIGVEIAGMYRIVLNTDSKEVGGLNRVDENTRFFTTPMEWNGRKNWT QIYIPCRTAMILALEGSVTGR UV8b_05056 MSSVPTNCTATGSDTGATRKFDLDGNDLPPSPAPSSPRNGRRRY ALATELVYTESKDQYGASSIPIYQSATFKQTSAAGGQQEFDYTRSGNPTRSHLERHLA KIMNANRALAVSSGMGALDVITRLLRPGDEVITGDDLYGGTHRLLTYMATNQGIVVHH VDTTRVDAVQSRMSDKTAMVLLETPTNPLIKIVDLTSIARLAHDANPKAMVVVDNTML SPMLCNPLDLGADIVYESGTKYLSGHHDIMAGVIACNDSAIGDKLYFTINSTGCGLSP NDSFLLMRGVKTLAIRMEKQQSSAQAIAEFLESRGFRVRYPGLKSHPQYHLHWSIARG AGAVLSFETGDAAVSQRIVEAARLWAISVSFGCVNSLISMPCQMSHASIDAKTRRERQ MPEDIIRLCVGIEDANDLIEDLSRALVQAGAVTVTLDGFHATGPAEQLGKIPLSAE UV8b_05057 MLRQSERIIRLARVSGQATWPAQEPFWSRCSWLPSTVFQRASSR SPDLNSGFTGSYDPTAESRRGPMFNKAKFGVPQFYPRDLKKRVDEYVVGQERAKRIIC STIFNHYQKLRRLHQNEHERRNFQEKLVRQRFARDREQYQKRKELYAVEEDFLRHDES ARHAYEPKNIDEDTLDHLYVPEDPLIPNHVKIDKSNLLLVGPTGVGKTYILETLSKQV RIPFAICDCNSLTQAGYIGQDVETCIERLLIEANYDIKATEYGIIVLDEFDKLSRRDS PTGRDVGGEGVQQALLKLIEGTKVTINLKDNRSSRSTPPITTVYNSAGSSSAQQSSPS TGKADQYTIDTSNILFVFCGAFVGLDKTILRRVARPSMGFGGDVSGRASSTGSEQALS PSLYTHIAHRDCMQLSSLTPLDLATPADLQSFGFIPELIGRLHNICALSPLSREDLLR VLTEPRNSLLAQYTALFETYPSGLFFTEKALYAIAGKAAASGTGARALKMEMERVLAE PMFDAPMPYVLINEACVNGSGKAAYWGKDGRFEIDRQLDEETMNPQPGLPQLTFEHLR EAGQSGG UV8b_05058 MLRTPTSILLRRSLLRSVTVATSRASSTQALSNPTLKNIEKRWE GMPLQEQADLWMALRDRMKGNWNELTLQEQKAAYWIAFGPHGPRAVDPPGTNARIAWG VAIGLAASFTIFAAIRLAAKPEPHTMSKEYQEASNELLIQQKADPITGISSPRYEGKG MVQSPPKGY UV8b_05059 MLVLFILGMDKLRNLYARLNDKNFRDRGIREDVRIIHSWVMIMR ILDLAQIPRGCFWDVARQYIGQEQDTSSSDARDHERVWEDMFTLLPLVEFNSSGVIQS GSRHDASKDGWAIPQSLLRRVFQLYEANHRQSAGFNDYCRALVGRCYYLVREWGWHKC VSVVGLMFDFFGSQQLAHLRNEEVYQSPRFLENLAGEPILDIEVGDPCFHIFLKLVAV AIRKFRDTDSVKDIRNLVARTMPNHNRQHNKDESVHPRDLAALRNHHDLLCTLFWAAP PELRPATALIQNLVLPATSHKEACLINLHAWTQLLTLLRRQESVSISKDVVNATIAHN KAVLKDIIYASATASLDAIRCAPDLFSATFAINTAQLRFIFMHFSEAQPELDWRILRR SLETLESMLSKIDDFKTNEESQRSDFQISNSAQADDALLVLDRGLSQSFFRLTRCALS GADDGLLPSFETERLHCVEEIVTLAARITMRFVDGGLMRLSGAFESCKYQLFNGLPHQ LDLHQRKYLGLFVKTLLQYDLENPEDAGFSLFEVWLLSLASPWMTLEYGIALGKQLHR RGEAFVSDMVADLTGPPDYDISRALFEHGISSMRQSLGDAGSCRKRTLTKEYSLILKR LMDQVKSDLRTTVAKPAEHSNYVVFIQGIVSLIKIHGSSICVVDDYFYQTSKEYSPST EDPKLHMANLMSYGLQLHDGDMRISYRLFYLLFNNAKSSIVEEKMRDEIELLRKGMTN RGIKCFILNSMLPAVINAAFQEHDAYMLLDIYTEVFRLYFAGEVVSRELLAEDLTSTC TVLQAIFSI UV8b_05060 MKLIPVLLMATMAACQDIRVWCSTSKQLRQDYCPGNYNSPYPVY CCRYGPGKGFPYLKNPYGRVFNKDGSDYAKCENGGTYFCILP UV8b_05061 MGSPFWLPDEALLAPMVTNFPGRETQIKSLATLIHPDAAPCRNI VLHGTEATGKSCVTETTLLQIAEQITAQPQSGGFSYAVVNASQCITTRHLFERIVASV MDALQLGGGVHASRSSLVPAAPARIQRRCETLAQLSITLSAMLKEPTRDPRWRFVLVL DAIDKQRDAPLTLLPGLARLSETIPRLTSVFIVTAPPAAFLRTPASAYIRFPPYTKPE FVRILALSPPPPFAGLTLQETADLWTKFCGAVHDAFVRSASRTLPSFRRSCRALWPRF IGPLVAGTHSAKEFSKLLVAARVHFQDESLLNPSILSVRPGASSSSRPTEMRSKTPSN ATGASLNTTTPAAADLTALLPIAARLLLLAAYLASHNATKHDLTLFSTYHHGRKRRRG GGFVASRGNPRTKHRKIARKLLGANAFVMERMMAIFQAVRADWAPEGSAVGASGLDGD TGMAIATLASLRLLVRVGAGDVMDRAGKWRINVGWEAIRAIGRSIGVEVEEWLME UV8b_05062 MEKFPDLIDVPRMANGTPRSPSPLKPASGYGLPNGIMSHSDRRS APSAKKETYYNGSNGRPQELAPWSSGGSGRGHSRQKSLSDAIRTIRTRKGSVSQNAHE IADALRAPVSPKLVVLCLMWYTSSALTNTSSKSILNAFERPATLTLIQFAFVSSLCVL MAWLASRFPWLRQNVSTLKHPIAQPSREVFAATLPLAAFQIGGHLLSSTATAKIPVSL VHTIKGLSPLFTVLAYRIFYDIRYPQATYLSLIPLTIGVMLACSGKANYGGQMLGVLQ ALLATIIFVTQNIVSKKIFNEAAKAEAEGSGSPSKRLDKFNLLCYSSGMAFVVTLPIW FWSEGISLVKDLLHDGSLDLSHKKDAMDHGRLAVEFLFNGVFHFAQNILAFVLLSMVS PVTYSVASLIKRVFVIVLAIVWFRSPTTSVQAVGIAMTFLGLYLYDRTSESNKADRRA RLMMDSRNGTPLLPLQEKPEKSPDGRTYDGGHPALNTGSASRKYDD UV8b_05063 MAAPKLTKNQMRRAKKKEQKKSNQVQAADTPEQENAQNEQSAAE ALDDSDAGKAGTDTAGPVVAADTTVLDESLQDDPAFAVYKEIFGKFGSSLKEDEIAKE ANAGNQGTVLYSDEDNIPDEDDEEKKPKLSKKKRKELNKLSVAELKALVSIPEVVDWH DVSSSDPRVLVQIKAQRNVVPVPSHWSLKREYLSSKRGVEKAAFRLPQFVADTGITEM RDAVLEKQAEQTLKQKQRERVAPKMGRLDIDYQKLYDAFFRFQTKPELTRFGEVYYEG KEAEVDFQHFRPGELSEETKEALGMPAGAPPPWLINQQRYGPPPSYPTLKIPGLNAPP PPGGSWGFHPGGWGKPPVDESNRPLYGGDVFGLAQNGAQPQGQAQLQLGEGVDRTLWG ELQPREEESEEEESEEESEEDEDADTGGLETPSGMETPSGYSSTLHEYGSGVETSMAG KLDLRKKRQGYETEELSTPRSAYTVLPERQVRAAGFFGTDRVYDIKASQRAGMPVLGS EDDSRKRKKPGDVDVALDVDSLNQHDGINKDALRKKFEETNRQEGIGAKWAHDDDLTD LIAEESRKRQRTEKELREKKREARPRF UV8b_05064 MTVHSPCKRTAPFLRRTWLRGVKALQESSVMGNIISSPMHKLPQ VPHHGEANEARPTKRRRISSPDALDIDHLIASPRMSESGSTLRIEVLKILHKDSKRVK SYQPALPCDVLAIKARCKVTILDTSSGPPQVLHCQSQMCDLTTFKNPAGPHRVARVDL PRPFFVPHYSILINRPDDGGFDLSDSYQVLIELESANSIHWPPLDSHDFGIPAETLYS PWCTTQHWTLSSRFDAVFGRLKNPLSLSTRYPSDQSSYQTNYVMDVDLRWTAGLKTPR QLDKGSMSCITAVDPDSDLFSNNVISPLANGTVVNGINGHVDAVESPKDHEDEFGGDQ TPSRSLRARAKNKVYNLKILSDQQLERDKKQRGQSPHEATSQGRVQYLLPSDQPISLD FYRCISCGAYHESMDQLRLHLQHWHPHFEYILENSNQGPLFRVSPLRELTASPQRTHC LVRTAKPFSIQTLSSGDQSWLKSRLGPDGDEPFRSPVKATLGRLSAGSPVAKVPQPAT RRLRGAQVKKALVPDISLPLFHPISKARLKAGQEVPQPIPDNTWLIQKHRESISDFSD VTPAEKEYIWEWDGYILRQNITSAAYFARAWLSFVAEKAAWLVGAEHRMLEFAKHCSV LLARDVLGDEDMQQAFRCINDARTSSAVNGRGRGYGVTSPRAKDEPTKQSPRASQIRK SANGCRVCQLPVIGPRLLLCSNTACLKRLYHADCIKKDALGPVLGPGWLCNDCTNSRA SD UV8b_05065 MAIAQTSSSRRRDLASNRRNVAGSRTRRLARPNYAEVSTDSDES FGATSRSSSPAGLEQQSTEDELETKPSQTPSFGAARRSNPKRMTGKRARASLAKAGDE HASSPPGRPERIGQKRSRCSSRRPGKRRKSPSGTGFDTPCNPNTAIPDWKDPRITFEC WTDIFLYAAGEGSRDMLSNSWLIQAATTCRAFTEPALTAIYRCPVIKTTAKAKRLALL LERPPSETLYNYRAKVDALQVNVHIVPQQSLYPIIHPLTRLKELIIYTPLDQPPYRDL DKTLRWHYPEDIFRALASSHGDAAHAAHASSKPLPTVLKSWEWSGRFVGGFVADIGAM ARLHQTAPFAHLTRLSLTNFQVPSLKILRIKSEEEELESYHQDGVVIQSLAQAISHLS ELKHLVFESSTVMNDRLFPLLPKGLTHLELINCWEVKSDDLAAFLRTHGSDLRALTLM HNQSLDLGFLTDLADTCPNLRELHMNLSYYRHHDCVNDADPMYEQALLPSQTPDWPSS LRVLDIEHIRDWSVETAEMFLQSIIDSAPNLPNLRHVAIKTMLDIPWQARATMRHKWR TRMERVFLRPFVPPHRLAATRAPPNDEPTPPSGNDPDESATASSRRGGRIASSNSQVH ASHGQPGRSTRPRRGTKLSYKEPDTDEDEFDLEEEEEEEADKSSQSSLAHARDDDDHN PSFIQGKCSTVYILFDNQKVREVQYSMEDFGSEASDSEEEWDGDDQDDDMAVVF UV8b_05066 MDRDRDSRARRYDEGEVVREQDRERERDWDRDRIRDRNRGFDRR DERRRSRSPFIRERRDRSPPGRSPLPASRVGSYRPRSRSPSRSRLGERHQPYRRASPV RESAISSAITSQPASEKASPRPGSARARSPLQSREQSPHRATASGSGSARDTPRPGPY DPCSASKSPPRGPAALRGPPAGPCAGRSLSTSVSSPLPSGSKNPQTPGRTDGQSPTNP PSGPRGYVPPPRGVYGSRLGGRASWSQAPSRQLSGLSPSPSAAAGPTAIPTGPRGTPS NTGGASSTPTQPRGFNPPTGPASQHGSGPRQTLAQSLLSTMPPIVPGGKLDPSMAPLT LGVTRELEPHYKKMRDEEEKLRDELRLKQERLRKTLYMWDRLERESRAWELRSDLSER SMKNLAGEGIGGAAF UV8b_05067 MSAEDHPMEGAELPNGTIQKASLQPEDEDTASDDVSYGDASDSD PQDLALEQLKRRGLLPTGCCYDDRMKLHMNADFSSDSHHPEDPRRIHEIFKAFKVAGL VYTGPETELPRIIKECPTRYMWRIPARPATREEICLAHSSGHLTWVEQLAGLSTAELR ELTKRYDQGRESLYVGSMSYPAALLSAGGAIDTCRNVVAGQVKNAFAVIRPPGHHAEY DAPMGFCFFNNVPVAVRVCQQDYADICRKVLILDWDVHHGNGIQNIFYEDPNVLYISI HVYQNGMFYPGKPPNPETPDGGIENCGAGIGLGKNINIGWHDQGMGDGEYMAAFQKIV MPIAKEFNPDLVVISAGFDAADGDELGGCFVTPPCYAHMTHMLMSLADGKVAVCLEGG YNLSAISNSAVAVARTLMGEPPPKMTIPKLNKEAARTLAKVQAHQAPYWECMRPGVVD VPSIRSLHASRLHDVIRNAQRQVLQEKHNMIPLYIQREHIYKSFENQVLVTPLLYSSR RILVILHDPPQLLAQTDAIDSSLEPHNAWVVDGVTQYIDWAISENFGVMDINVSAYIA KDEDMDSFNPAHREKAFQEQIQQLVCYLWDNFLQLYDTDEIFLMGIGDAYLGVKVLLI NRDCKAKISGIVNYVTGNLRPVKSDIDPDLSLWYKETSRVYVASDHACWSDKDLTKKV QKRRFGTVIRSREIGLNKMMQEHAKEAQKWILGRVSSFSRGDTTEDEDEAAKSGV UV8b_05068 MAASRKVSTCLAGIPIEVLLEIYLHLDVQAIFELSITSRDFYSF FQRRKVEILLPVLLRDFNPFDELLQVHTASVEDVSNGGQYKPRKIVLKRFAGDEGLVF SQGSCVPDSAKTPGPASSAIVLTKDDLTRLLKKCRLVREWEGLFPQMRWFHQPEDCRL LRSHELVRFRRALYRWWMYGIHFHGDAPRPRIGHPEPYVNDIRTSQMRYHSTVELLEL MDLLETVKDIILHYICPRLDPNAQEHSLHSGLVDISCRSQSLATSWTDQSHWGRIVKT YLKLGPEELLHYFNNIYSYPRKRLLAAIRVQHPNLAFDQESIQVAVRCVLDERNWLDK KINLAEHRSGGIVDFDDARDDYQSVLQTDASPNGQLPHGTQFIQSDSRYSPRGDDGSL LDDYLRPQSHNPRFILSAALEALE UV8b_05069 MASPLAMNSLIEMSSLPSAILYTPLLGFICPVSGPKVGVAEHLT GLNQHIASKLESRDIPRPPLRTERVNISHTYFDHKLTLYSFEHPEKSKLKAA UV8b_05070 MAAVYKSLSKNDTLKAVPAISGAKKNKQRVLILSSRGVTYRHRH LLNDLASMLPHGRKDVKFDSKSKLNELNELAELYNCNNVLFFEARKGKDLYVWLSKVP NGPTIKMHLQNLHTMEELHFTGNCLKGSRPILSFDAAFDEQAHLRVIKEMFLHTFGVP QGSRKSKPFIDHVMGFSIADGKIWVRNYQISEEEGALVGPGEDDNKSKGSTAKGGANT EIKLVEIGPRFVLTPIVIQEGSFGGPIIYENREFISPNQLRSDLRKAKAARHDARMEQ NVERLSRKGELGLRSGDGNRPAKDGLDNKSLFA UV8b_05071 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYENVTR WLKELRDHADANIVIMLVGNKSDLRHLRAVPTEEAKAFASENHLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDTGDGAQATIGSGTNISLSKPADDDTSKSAKCC UV8b_05072 MASGSSSHLELKKKADDDDDDDIPRSSSSSPQQSEQGAEWLVTR ILAEASIKGVTKYLLEWEGFELKEATWEPACNLSEQLLADWRAGIGRVGKTAPGFKIS HWREAVNADIRAKYAKHSARNRKLIQSGLEPQPPEGTLRDWMNDIEGPSEDGLDDTND KRDQPESFAYNDSTIGNSASPEIGSARNETPVVMDKPAKESVDKIFDTRQKEVAHVEG LNHLDTGSNDKRIDRLRISSCLPFFKTLAKSPVGAGKESRKTIQKQDGMRTPHTAQDT HIDVPNASKASSRPASGEGTADNMALTVNVFVGGKKRRASSSLVDALSDPTRHQQFLT FRKRRLVEKALRDGEGCRPPGEPSRAFATLPGSEATTTSPGTALEANRSGQPDADPSF LPLAIATQKLKKRVRWADDLYQTFDSLFVSDEKSVGHVDMPPTFGMNNADTDSCSDST IRPLAAFNSQQRTKMCYFGPGEEFCAKVEFSSLPVNESDPWVQWFKDNDRLLFSHFCS LTDLMSQLGCNTLQDASTCQGTLLSSGLDQKVMESVGERLRVGQLAVTCHQSNFYVAV FPPEASPGFDTLNGNTALRQTAAHLNYSILQPSPPFPLSMLAPVPSVVQQGKALSAFN LCLGRPFEKLFPNGQNGPPQNFFFMFTQAAYREATILAGWLREVDNNCTIRTSFSPGQ WSEFGTLDRGIVILHEDSLWLLRTVPKVSTILHGNPVNIDFYIFSTSGGPSSLDDKSA ASVCRNYQLRQAFQYGIVVFITPSFLVSQPEQAYNFAKFFWKNYTMNSSIYRPGKLAF CSDILGWLLDLAMEKAAKAPSEAVEAQQEHRRASTAMYKCWNLIRMMVDFDRDELCED NLILYAPEYLDGNDEQSLVNWFGSWTAHHHRFRKFLVLGSSNQTEARLSRDLRPVRFA ALTGTETRTSGDMGRYPAEPALPSQKELPCSVRNTAVQIRNFLTDVEQQSKNMSYCPL VLYRYPISFWDSDSGLNFGDFVSLFDDQKTWFNFFAKPLFATAKRRPSNVLLPRKKNT YIGLFYTLEKDGSQEVSKKSREPRWAPWIAGYRPVNLQRKPWKTMELLIWDPKLNVQA SDSSEIYESELTAAQRELISFVRENSGEEKSTLPLERVWLGPFTNTHEDGMSDHLDCT LSWVENISSTIRERLPVDSRWLLAQGWKEVHSGSKPQDPTNLRHVAEARMDPSEYISL PLRTVIPAPEFRSAGDGAAGKNHFQEAVLKNQGLKRIPFTFKPTLTWYAEQLKNGGGF EHIIISEWQSVFTKYKIVDPEVD UV8b_05073 MPQADAGKDSSQAVDPPPREQHLPDETAVDNNIQPSHRDDDDDD DDDDQLQVARRFLAQDAVKSASREKKIQFLTSKGIGSNDIEKLLGQSEQQHPDSAPHS PDHQSGNTQTTAAAGNPSLLVTTSDRPPIVTYPEFLVKPQRQPPLVTKDGLFSTLYAF AGLSTLLYGTSKYLAGPMVDSLTDARTEMHRVTANKLQSLIAQLEKTVSVLPSTTSPA GLGDDTYASDAEDPAELFHRDVGTQTSLIDTSSTTTSKKDEPAPKRHADNLACLSKSI SVLRDQYRAQSEGLQNIKTVLDVFQDDLDGMTYYGGAADSVGGYDIYGALKRNEPEDE IRKVRDNIRRIKGVLLSTRNFPAFTR UV8b_05074 MAPRPRRSAATKANESIYVHARWADASDRAESGIMSSSRRSGRA SGVSGTREMPSSSPDESVTVQTPKSTRGANRRFDRFEGGEIVHGTRNRGGRKNYVIDS SPDDDDDEEEEEVEDARIHHVGDEDQDAEGEEMEIDAEREDVDAEGEEEEEEEEEEEE EEEEEEDAEGDIDMDASLPPRAGHTIRVSQTAPRSAAKIAAAKMAVDDDDEEEDDDDE LSDPADSDDEVDQTLGFGDETMADEDAEGEEIEVAAEDDDEEEAEEEEAEEAEEAEEP EEPEGEEDAGDDAEIETEGGTREGTPDLTKMTKRQRARFEDGPKEYMKLSDEVQVKKH FTAEELSMRRQEMARRRRNLSEKRTEEVKMETINKLLKKQAPKINRKAAAAAARADSP DDESSKVNPTFIRWVNNKNGSKLSIPGDLVRGPAGRVFSKAGGGLAPGRMVQEVT UV8b_05075 MASNNKRGEAPSMNRFMQQQRHVGKNDMAPPRTTSGLQGQQMTG EWQGNNNFNMPVTGSLPGQFMSPHTPTNSGMDSANVPRSGQFNPLLQQRFAFQESGQG FHQLDYTGTPLGALQEADRHLGNRNVASSALDVGLTMPMQPPPSGNLMGGMNRGPEFF DGSVGNRPGLSDYRASSYTGTQPLHAGAAQSQSYDSFPNQETMAPYYRIPGSHGISQH DGVTSATDFETNVQNMQMMGVSGSSANEPQPVVNQSINQTLGPVELSDRYYANPMVGK SGQSLKVAQPVGRGMGGAGGINPVKRTFRNQEWPTESHAVVQRKPAEPSRAPQTKAAP GSAATALGIVEAGIERAFVCGSLIAHARMFAHLKHELESNGTLAGRKIALSEDGTTDA LVQGLRGRLVENHTKLRTESLGHEKLVQVHNWVKDQLLPKSQRQARAPTERGTGRPLY GGLSKPFSSNNDAKNTTAKTRPGRRTGKAAAKSGSSSMAASKGKEPMPTSTSQCTAFH HGGPEDDEAQAQSGTSRCMLTKHGEAEAQDLPHGTIVVNPVEAAGVDEAAPRVPKRKA SSAGQAGGPASKRARKAGERQAQPPPSPAAQQGGGAEQGVRGARLLSLDDFFHDAESD DRGAMQTMPAQPAGGIDGRCDAGTEPFKPAALEGNQEDAASSEARQSAQEEARKIGRG RQEYEEEELTGAASRSVAYESLGQAVAGAAGDLAPSNDHGFFMHDMSAYDVASCLDAS YGTSDRDEFDEMKWAVGYQGGGGGLGFDES UV8b_05076 MSVLLPPHPIQSPLVSLCREQANSKASPSSLPQQTEAKKLNISS VPLSSPAPVESANVPGMIFSDFCGASRSPLSKLRSHHSQFPTHLPITTPEWGANEYAD LLSRDKLKQKDALKRYLGDKIRNDWEFVWPPAAPAEVQQLSELSRDVSNTGSNSIPAG PCDEVGYQAEEGTELDEAESEADEADLDDDDDDAESVYSVVSEDATHFRPRADWTSDL SDDDRDAPTKYSPFRFHNPNSLHSAALALVEAKRARRRRALRQEMSWNEGLACFEARR NAWTGAKTVRVRSKPVSTPPASPRSPRRFFFRRSISASPPGPTLSISSHCGDSTAAAS DTSSLSKDLDKDLVKHRSRDSSASECTRGQVYSVETLLPVGQPLLPPSNPLRASISPG MYLNLYDKVILHSLQPACPINLSDMLRSCVVGWKRDGEWPPRPSAPEPIAVTRNKAAT SAVNETGGNVARRMSFGLLGRDSHDEIRAGKGIRRSLQRALGMGVMAGLEA UV8b_05077 MTVKHFIEDECDDRAGVVKRVRKLPATWQADSTEEYNSLNDETV ILRRQDLDQIWAWNRELPPLIQRCMHDIVHEVSERQPDAVAVCSWDGSLTYREIDNLS SQFASELVRQGVAVGTRIPLCFEKSMWAVVSLLGVMKAGATFSLTDPSQPEARLRTIV EQTGADFVITSVAQEAIGLKISKAGKLIAISPEYFEQVSQKPSENLPLVPASSPMYII FTSGSTGKPKGVMISHENYTSGAIPRAEAVGYKPHSRCFDFPSYAFDVSIDCMLCTLA NGGTICVPSEADRMNDLSGAIRKSSANMVHMTPSVARTLDPDIIPSLDVLGLGGEAVS AKDAAAWSQQTNLIIAYGPSECTVGCTINNTLHISTGIGKGVGGVTWITHPEDHHVLM GIGEVGELLIEGPVVGIGYVDEPEKTAEVFIEDPTWLKSGHGQTCGRRGRLYKTGDLV RYESNNLGGIEFVGRKDQQVKIRGQRVELTEVEHHLQRFLPAGVKVAAEVVKPGGAGA PTLVAFLSEPCGQDFKEAVELYFVEQPSAQLNSALSTIDKNMGDKVPRYMIPAAFVPL NAMPSLISGKTDRKRLREIAASLSRHDLFGMQNPATPESEQLPQTEMEKLLSEAWRKV TGCEATLFRHTNFFGVGGDSLRAMRLVAVLREAFGISITVADIFMNPTLANMASKSRR ICSTSASNSIPPFSLLEKDWAETNARIETASLCSIEPDTVEDVYPCTPLQEALMALSA KVKEAYVAQRVVELADVESAHRLMTAFDMAQKGCPILRTRIVQVPGRGLVQVVVKGRL EFFEGTDLEGYLSSDRDASMDLGKPLVRYALISDRKAGKTNFVLTMHHALYDGWCMPL IVDRVNKAYNRQPVARSAEFKHFIQYIREIDKEASAKYWRNQLQGASRLQFPSHPFPG YQAKADSLLEEYVALPRLPESHSTIATVIRAAWAYVASRYTNSEDVVFGETLTGRNAP IVGAEEIEGPMITTVPFRVQVNGEMSIPEYLGVIQKQVAAQIPHEHFGLQHIRRLSPD ALEACELKTGLVLHPSSEGHNVSEENLPASCLVPAGDKEAAQEALKFNTYPLMLVCSI DPKGFLVMASFDSRTISPPWMQRVLGQFRQVAEQLCDKSFSCVGDLSCLTPLDLTQLG ELPNSTREDVMPGLDGVESFYIVDSKDTNKLVPIGAIGALIVRSRSVLQLPRITTPSW VRQLVDPDSEDAMGNLYQTGVLAKYSVEGHIRLLDAVTQQNGEGRIAAPSTAKRVSAT SRRQRRLRKLWSRVLLVKEEEIGLNDNFFLLGGDSIAAMKLVSEARADGIKLTVMQMF EKRTLYDMASVVEEDSVSNNGKVEQIPEFSLLGQEDPSSFVNTVVRPQLVDPQTKILN VLPTRPLQQIAVKGTTQFPRYSARYELMYFDDSIDEEHVRYACQELVSRNEILRTVFV EHENQGYGVVLERLEAEFVTYTSESGNIDNLEEYARDLCRSDALSDMPLGSSFVKWFL VKHGEGRACLILRISHAQYDEICLPILLKQLSALYENRPVQESVPFSWYVGHVLQHNI PSSIGYWKRLLSGSSMTILKPELAVEKTNHFAVQRAVDIATRSRDVTVATLPTAAWAL CLARRLSLRDVTFGEVVSGRNIDFPNADAVTGPCWQYVPVRVEFDASWTVADLLAYVQ HQHVASSAHEGMGLYEIMDQCTDWSEKARPGWFDSVVHQDVAHVETLPFARARCRMET LYPHEEPLREWKVQAFIDGDSMTLEIVTLESWAEYARGLLADLAQVVELLVSRPRATL F UV8b_05078 MSTQAVATELHPQSQQPKQKLSLLHGPVDPPLVDLTLGELIELQ TYQHGTKECIVIPWTGARWTYNELNQQSSLLALALLDMDIGVGDRVGIMAGNCEQYAA VFFAVAKIGAILVILNNTYTPTEAIYGLNFTDCKVFFTTQQIGRLDNRRLLDALKLEL GGPRVVILRGQAADYQTYDALIKSSSRKGRGRLHNAMKRVLAHQVVNLQFTSGTTGLP KAAMLTHHNLVNNSRFIGDRMRLTSKDILCCPPPMFHCFGLVLGLLATVTHGGKIVYP AEVFDIPSTLQAISEEACTAVHGVPAMFDSLFQANFPPDFNCDNLRTGIIAGAPVPRY LMELLVDKFGMTEFTSSYGLTEASPTCFNAFTDDAMSMRLTTVGTLMPHALAKIVDRD GNIVPVGARGELCMAGYQLQAGYWNNTEKTNETMARDSAGILWLHTGDEAVFDENGYC SITGRFKDIIIRGGENIYPLEIEERLIAHPSISRAIVVGLKDEHYGEVVGSFVEKFDN QQQPEDQELREWVRRTLGKHKAPVHIFWLGQGGIPSTVPLTGSGKVRKFEMAKWGNEV LAKSLQTCKL UV8b_05079 MAPPGALQLSSMSPDETIIKLPHPYHTEYTVSKAAATSCLNGAG ANPVALYQLHQRSEPSSRPLPSSLHHPELFFFSEPAHLKSCQLPPDSDNSAWARARRS PCSVVAWAGDGAPSLAQAWLLLYVLFTIRPRAESLRLELVGADAATLAAHSKAVLLAV DHPLPPRQGLADASSPTAAEACVVVALRSTFWQGAASPFGPRPVWCPDESLLVSPADG RGTWLASYPIAPVEHTVTILPAGDPQHPERSQQAFHPIRPAKPPPGAVVYSRWIPHLK ETFSMVSLDYEDEQHLRLFHEWQNDPRVSQGWNETGTLDQHREYLRNIHKDPHQFAIL AKWDDTFFAYFEVYWAKEDRLGGYYNAGDFDRGRHSLVGDVRFRGPHRVSAWWSSLMH YLFLDDPRTMDVVGEPKSTNSTVLMYDLMHGFGVEHFVDLPHKRSACVRCPRGRFFQL CPLAENEKAVGGLGVGLVPKL UV8b_05080 MSPAPPSIDSEPTIRIFIAGGSYAGLSTAVNLLDLGQGLTPRMS QKKYEHHPELPRVKWDITIADERDGFYHLIGSPLALADEDYAKKAWVKFQDLPGLNNP QVRFIRGSVSTVDCEAKTVTTIDSTTKAAMTNKYDFFVAATGLRRVWPVVPQSLTKKQ YLLEAEEHIHAVSSARHGVVVVGGGAVGIEMAAELKLAKPDVKVTLVHSGEKLLSSEG LSDECKDKALELLQEADVQVLMSLRLCTINKVATTDSSPEYEIEFTNGHKMSASQVIM AVSKSKSTATYLPCSALDDEGYVKIQPNAVFSPATPNAESHLCAGDLAKWSGIKRCGW AMHSGHFVAQNIHKLVLKKHTGQEPKFMEFDLVPPMIGLAIGKKAVASGPEGTVFGED VMQAYFQQDLGFTICWNWMGLGGRKKDEPTC UV8b_05081 MATKHEAFNAKDTSPTKPASQRNEPTGAATVPEQPPPKSNFFSY FELLFYANPTWIDIFLLIFGTLCACGAGVPFPLMGILFGQVLDNLNQATCDLQTSKST SEIQSDVNRKVLILVYISIANFIVIYMYIVSWSIFSRRLEARIRDRYLQTLLHQDAVF YDRRQAGELTSRMNNDIQVIQAGTSEKVGICIACSSFFLSSYAVAFSRDYKLAAMLFF LIPAFLLMAAVASIFTRKYTSRMLGAIAAASSIAQEALSNIAVVQAFGAGPRLEAKFA STMMNAQKEGIKKACTVAIQAGALTFIAYAANGFAFWQGSRRIADSVEAGGDGVSVGR TYTVIFLIIDSCIILGSVAPLLPIIGAASASFQKLKADIESPSAINSQSDLGERLPLT VSGSVEFHNVCFAYVSRPDRPVLKQVSFSCPAGKHTALVGLSGSGKSTVAGLISRIYD PTEGNITLDGQNLRTINVSNLRSFIGLVQQEPSLLDRSILENIAHGVINSPWPNHQRF HSIILGSGLAEISSRLRAGGDLTLLANEHCQDMADLIQMVRDAASLADAATFIGKLED GFGTKVGPGGKLLSGGQRQRVALARALIRNPKVLVLDEATASLDSASELRIQMAIESI AKGRTVIAIAHRLSTIKNADNIIVMNNGEIVEQGSHWELMALNGSYAGMVRLQNIEHS QNDDVPSMASTARDDAKSSTDEKDSVVEKVEGTEVGTSPEECPKQSSASAQDASDPHA KKSGWQVVSNLSLMARPTLSWLLLAVATGTIVGATFTGAGLIFGNTVGRLSPCNAPAD IRWAGSFFGGMFFMLAVVELFANSACWTSFGYVSEKLLYKIRVLCFRSLYEQDMDFHQ AGGRSPASLLSVITADAAAVGGFSGSIIGNCFSIIVNFIAAITVSHILSWKIAVVCLV TVPILLGSGILQLRALTRFERKHANSFANAIGITVEAVNSYKTVASLSLEKEVLCAYR RALRAPQKAMAAGTAYANVWLAISYGTGNLVYAFAYWWGSSRITEGEATPTEFFSILV AMLVSAQLWGNMFSLAPEVSRAREAGSRILSLIDQGSCKNLAVGESELLKQPARERDV EAVADGAHHAVSTSKGATVTFKEVSFAYPARPNVPIVRNMSFTIQAGQFCGLVGPSGA GKSTVMSLVQRMYKPTAGSIEIDGFNICAREGAEFRNSIAVVPQDCALFDGTIRFNVA LGATPNHEASDEEIEEACRLANIHDTIMSLPDAYDTECGPNGSRLSGGQRQRLAIARA LVRKPKLLLLDESTSALDAESEKALQIGLERAGRGITVIAITHRLHTVRRADVIFVIE GGEVIEKGRHEELVERSETYRTNALQQMLGT UV8b_05082 MKFSHSIQFNAVPDWSSHYVAYSNLKKLIYQLEKAVHQARAGDS ESRPLISSEDPTEVFSRALGVELEKICSFYVSKEGELLEEVNQLVKDVGERPSTDGND LRRMSSEDGHRPPLRRTSFSRGSDDDIEDSASDEDVDDETTVLNKARSSGGRRRTVAI AGHHITDAAASSEYGRSARRYSTYDDYGDQSIMFSSALFSSGIMLKKRIISLYVQLCE LKSYAQLNKTGFSKVLKKFDKILDKELKGPYMKANVDTAYPFKYETKKIVEENIEKME QAYTEVVTGGDRELAQKDLRSHLREHVVWERNTVWRDLIGIERRAEAARFGQSFLGQD QTAVPKRLQGDDEERATTTQFITPLGRLSIPSWLVGSSFLTLIVTVAIFCALLAIPIM EKPEEQNCLALLVFVSLLWATETIPLFVTSLLIPFLSVVLRVVCDENSAEHERLDAKE ATNSIFAAMWSPVIMLLLGGFTLAAALSKCKIDKRLATLILSKAGTRPGTVLIANMFV AAFASMLISNVAAPVLCYSIIEPMLRTLPADSNMSKAVIIGIALASNIGGMLSPIASP QNVIAMGIMKPEPMWLQWFFIVIPVGAVSIGLIWALLSVTFQPGKGTVISPIRPLKEQ FSGVQWFVSIVTVLTIGLWCASHQMDHIFGDMGVIAIIPIVLFFGIGVLTKEDFNNFP WTIIILAAGGLSLGKAVRSSGLLHTVAKMVSSNVEGMSLYVVLVVFSSLILVIATFIS HTVAALIFLPLVFDVGMSMDQPQPNLLVMGGVLMCSAAMGLPTSGFPNMTAIMKEDAT GQRYLGVKHFISRGIPSSVLTLMVVITLGYGIMQVAGLD UV8b_05083 MLSAFTAHPIIELRQRDKSKIETILAYGDRILVGLNSGALRVYR LNDFPSEDQGDSPPPVTQDTQRATLPRNKDQSTSRASTKPTDLLREVERFSTRAIDQL AIIKEANVIVSLSNYHVSLHDLQNYDHIETLSRTKNASCFAVTSNIVKDADTGIPEII SRLAVAVKRRLLLWNWHESELSVAVTEVMLSESIRSITWANATRVVCGMNSGYVVVDV LTQEIVDVVSPGAVGVNGSGSRFGAVSAAGMGYVGLGGYTPKPLATKLSDGEILLAKD IHTMFIDDNGKPLEKRQIPWPSAPETIGYSYPYILALQSPSKGSLEVRNPDTLSLMQT ISLPGAAQLHIPPPTSSLAHAGKGFHISSDRCVWKMGATDYDAQIQELIQTSSFDEAI SVLHMLEDALLRNKKETLREVKILKAEMLFKKKKFRQAMDLMNDDDVQAPPERVLRLF PPEISGTLSQWAKPSSLDAVSPIAETGTETGTETGAETGAETGAETTVEIGAGSPNAV NGKRSGIRHGAGDVSSVTNLGGGLAKLFLGGAHKKAAPDDSLMTSRKNSTDLDDTQGA KGFQDEEDKPLEGKDLKNSVLELNSYLAGTRARLQRYIDPVTGELKDHGDKQSSIEEA AERFLRTTKTESEHKLEQELRNTFRLVDTTLFRAYMFSQPSLAGSLFRIPNFCDADVV NEALLKHNRYTELIDFFYGKKLHKQALALLHRFGSPAEPHEAAPTLHGPDRAIQYLKN LPPSEMDLILEQAGWMLRSNPAYAMEIFTGDTENAETLPRERVVGFLRDLDTKLEKQY LEHVINELDDATPEFHIRLVELYVKTLGETSKGEKWDETMAGFVSFLRNSRPVYSLTK ALGMIPKSDASFYEAQAVILSNMGQHKKALEIYVFKMKDYLKAEEYCSRVHKSRNTAS SSPLKTKDSAAGDVDDPEQSIFHILLSLYLHPPPPHLVQLEPALELLSKHGSRLPATS TLSLIPDDLPVQSLESYFCGRIRSGNSLVNQFRVAAGLRKAEQIAMAARLNIGDSAAH GQGGRNRHVTITDERHCFACHKKLGGGLRIGGSVVAVLPDNTVVHYGCLSRALSQKAD NSKVAGLARDTL UV8b_05084 MPAKTESKCFTAAYKSPDNAPFELTKSLATPSTGSVPDKTKYLE ALGKAVIEARDEINRQLSSRMEEDKARGAVAGNADGKSAVNEDEEEENYGEEAQEED UV8b_05085 MQAGQAPQPQPQPPPPPPPPPPPVPSTRQQMRASGSFSSRPGAS SPPFDPSRKPLAPARPPPLRVDSSASEGSGDAPSSVSVLRARRRPDSPATPIYNQFST AHARLSSSSMHQNTPRLAGPNRLVAAGPSSELLKNHSRKHSATPGLFDSTLPSTSTSN LSQVSMSSTGAGAGAGAGAGAAAAAAAAAAAAAASSSGTSLPASQIAAQAAAASHQNG SHARQRSQTVPFLSEGADGVRRGSGTKLPMNPPILSLTEASAPRESGFASAGGQLHDK AAGSRSSAAATAAANVVFPRSGPNSPRDKSTSPQPFPPMPPPPVTTIPEKSKAERSKH KLFSRPGKSSSSSSSKAESKDKHTQSPGKIGSALSALQRGNFSTASLVDPPAASSSSS SALYSLNNSSSATIRPAETFSEERVKEKEKKHHFLSRQKQKLKDEYHLPLSSAASNSK PTDPNAPSSLYNFNLPPSPGPGVPAFPKTKRDKKLAERSDSRLDSESTFNLTGDWSAA VALPPLAQQPTFFDPADPGRPVTQHNLSPDEAWPYLKSKLLVVFEGEDLRLPVEDFNR VMQTHIQWCIHKRSPNAMLDDLRELLATGFSVLDRTLRLASEDRFIPTLVELWLFTFT SILPYMQSVFLPLELEVSGCGVIMTADQARDFWGGVVAASGPSLSSSSSSSSSCSDKK PPAKVAPAASVLDVRRLVLTAYRDIVVLPRYEVLKTIFSRLSLEFLPWSFAGVALALP PLEHALSTSPSESQPAGARPGTAMSIDPSSVGSYNSTSTTLLGDGSERGRSRAVSNVS FGSHGSDGPGSIRPYTPSSNLPVLSRVREQNVEDSKQVTDMVGRMLQCMSVLSSIGGV GGVGDVDDEGNKVDELCKMLKLNWLGRGRTGRNRRGIVGGRVRREETREEMRVA UV8b_05086 MMATSMGPNFSGHPAGMGHPGVAGHPMGPGMPPNSGQGPTGGMP QQFAGAHMGVGPGGQVNPALMGAMPPGANPHAHALQHLNPAQQQMFQQQHQQQLQSQF NNPSAMAAMRQQQMLQHQQQQARQAFMAQQAMQANMQVGVNGAIPMGMPLSQLNPQQI QQLRQAGRIGPGQHPGAQALMAHQLALQQQQQQQQQQQQQQQQQQQQQQQQQQQQVAQ SQPMPGNPLSQGQSMGMSAPALAALQQQQAQIGQPQQIQPGQGQAQPQAQAVQLAQQQ QQQQQQQSQQPGQQAQQSAQQGSQAGTPAPSGQHTPAQTPAPPTPSQTNQQGSQNGPQ QMQSQPQQQLHPQQQQQQQQQQQQQQQQQQQQQHPQQASGATQQQMNAAAAAAAAQQF AMSNSLFQQQRREGMKGQCLLKLMQFSEHLSGYPGAKAKDDLSYWNGFVMRFFSTHGV FRHSLRISDAEDSMDKQYEIAYPAIARYFHTHFGSGVKSMQLIMDKGLTDRPLAGDCH CIENQRASLVYWFESGSHLVSTGSLRVQFDSEQRMEIFEFLTTGHEEYISRRQVIDAA KPAHMWMKEWHKVNSQDSKTSPEMSKKSKSKQLKSPQTQPPEVLVDLPDSAVNSKGVT SAVHQFLEIVEVMGQMNPLFGFCHGNPGIGPYTALEQYVSTYINGMPQVINGQQMPQG GPRTPSFGQFPIGASPAAAHRNLPASPHIGSPAPGHIQAPGMQLQASQQGTSSSGPSA NTSPASNKRRRLSTVKVEDDGSGVGTPAGGQVNGVQGRGKPPTPRMPKRVKGNPT UV8b_05087 MTMNHREADFSPSLFLQKRQFPLPPFPRRERESTNTPHAANTQH RPGRTPSSKHMTFPTMSYFLQSPIFAAPAALQPLWVSAPSYTLVPLQPAAAAPPSLVI QLRGASSTGTGASVPPNPVVQIRSVSSTGTGAGTGTGFTIRIVLYSHAGPSDEWWCRT ALASSAERPTRERLVAEIARLAARHGIATWRQATLVVMRDSSVPRITDEVGPVRDENV ARLLELGGTDGDGCDDNDDNTVEQAMACGPASYLYVLAGGQRGGRR UV8b_05088 MPSRTDNGVGIQVEDTRICVVMVGLPARGKSYIAQIAQRYLQWL SIPAQTFNVGNYRRNDAPQPTADFFDTNNAEGERKRRAAAEAAVADMLAWFRTGGVVA ILDATNSTKERRKWVNKVCVEHGIEVLFVESKCDDQDIIMANIRDVKSTSPDYRGQDP EAAAQDFLNRISNYEKIYKTIDQDGDEDEYTYLKIMNVGKQVIINRIQDYLQSRIVYY LMNLHIRPRSVWLSRHGESLYNLDGRIGGDTLLSIRGEQYARKLPDLVRESVGDDRPL TVWTSTLKRTIATARFLPLHYNQLQWKALDELDSGVCDGLTYQEIKDRFPEDFAARDE DKYNYRYRGGESYRDVVIRLEPIIMELERSEDILIVTHQAVLRCIYAYFMKKDQAKSP WMNVPLHTLIKLTPRAYGTEEIRYEANIPAVSTWRGKGSTAKHENPTPESL UV8b_05089 MLVVTALLHGLVALLASAETGTRSQTPEPPLAWDLSKPLNGFTF QRAKALSSPSNDGRTTRFSRLVGIKGQSSNALAVSGLGSMLRTPPSHGQHTYQNISTA GNFSTQYAIECGWDGVPVWLILDTGSSDTWTVEESFECHDGRGAKHGQEECGFGRPHI KSFRGGPIDGLHFHLKYGSGEKVSGPMGYSDIACGGISVSGQQAGLANYTYWHGNNMT VGILGLAYPALTSAYYGETGHEAAWNAIQYVPFLTNAIMQGSMDPVFSVALLKNSSDG IIGWGGLPPVKADTSNYAATDLIVANLIGSAETSWRYSFYTIIPDGVKWGQMSDTTRY PYIVDTGTTMNYLPPPLAEAVAAAFQPRAVYMYQWGSYFAPCNAIPPRFAMVISGVEF WINPADMMYRDLIDPATGYCAVAIASGGSGPYILGDVFLQNVVAVFDVGGAQMRFYAR V UV8b_05090 MVGALKSPPFAISASIRATSTMCRLLVFSGSFGCCESGIFVEQH EFDQECDRCTEEDEGIGDVGDETHPTPEKRGAETEEPQGQRKKQRV UV8b_05091 MAESHPDIQSILAALAAQRPPATTPSQTPPAPPGQAYPPPSSSA QPPPYQIPPAAAAPGFTASGHLPAPAASGNVDLSAIRPVNSGTMNFDDVASKARAYAA EKGASSYDRPLAYGSDSRNSDRSYRRSRSRSPSRGDYRDDRRGGHGRDHGRDRSFSPP SRGRFSPRGGGGSGGGGGGGGSGRDRSPLRGGDDSSETIQIESSLVGLIIGRLGENLR RIEADTNCRVQFLAATDGGPFRQCRITGPRPRRADVKSAINRIIEDSGMGALNRPADK SRDADKGGAAALREGEDHMQIMVPDRTVGLIIGRGGETIRDLQERSGCHINIVGESKS VNGLRPVNLIGTVEAAARAKDFIMEIVDSDTRGDGPSAKKEAPVVSRSQGLARDMGGN AGPDKVTDSIYVPSDAVGMIIGKGGETIREMQNNTGCKINVAQSSGPGEIQREIALIG TRDSIARAKQAIDEKVDAVRQKGSGGSAPPRNRGHHDQDRPSLSQAHDSSTNPPRATS GDGSDPYAQYGGYQNYVALWYQSLMYQQQQQQTGDGPPTGAPAPGSS UV8b_05092 MAKKARQRISYVLENAKSSVGGHRLGVNGLAVDVDNSILYTGGR DGIVCAWDLNLDLQRPVGVTNGLDLENVKQPRTEFRAQAHPHTHWINDITLAQNNSAL VSASSDLTVKVWRPHSEEDNARAVAIGEHADYVKCVATPPASLGANWVASGGLDRKIC LWDLNGAGKTLEVDVKGEDILEKGSVYALAVSRDIVASGGPEKTIRLYDTRTGDKVSK LVGHLDNIRSILIDDSGDVILSASADKTIKMWSVKGGRCMYTFTMHDDSIWCLYSDDP TLGVFYSSDRAGLVAKTDVRASMHDLDNGLSLAVAQEHCGVSKVVAGGGHVWTATNRS SVNRWGDVDTSSDTNLPQRFRHERAESITSTTSRQASMATEQRAGKKRIEPESILRIS NTARFPLRSASDLESNTFTEMLTRRGSEAVVEHPDPVVKPIHEAPEFTIEGQFGLLKH KMLNDRRRLLTLDTAGDVLLWDLLECKPVGSFGKQHLEDVEAAVNTREAVAPWCSVDL SSGNLTVVLEPFNCFDAEIYADELRLDEPIDFREDQRISLGRWILRYLFANLIDEEIK RDESYRQKLNEQVATSQPASQRHAPRSIDLPRASTMEWEKSDLVTTPRANGSQLQLGT PGLGIGLATPCAGASSLPGVPEEAVSSPCNPPMMAKDASADRDDYFASGNTFSPGITG VDSAEAKTLLENGTERGTEKAKDKADDTIKSPGTTFGKKFRISFGSKKLGRSLSQATQ EKPAVVDEKADESESSSTNEKEVDDSFFGVIQKIRNDYEKQFAENPDKAVETRITPSL PNETPVLKLPGGTKIIIQEETSGGSANLYQGTVANVGKDADVIEQKAPMWLGDVLLQN IVPFKEPVKISFVLHPMGGLPALSPADGNNRLNANRMLRVKKILAYVAEGIEDLGEEG EPSELAPDEYLELYCNEQLLDPLMSLATIRTHVWKSGNDVVLYYKANGRKEIKPPKPA AASMIPADTPGAPGPITNAPSSQAANTAAA UV8b_05093 MSASPPPTFQAALLAGALAGTTVDLSLFPLDTLKTRLQSSAGFF PSGGFSGIYRGIGSAVVGSAPGAAVFFSTYEAVKALLSPRVPDAVANMAAASAGEVAA CAVRVPTEVVKQRAQAGLHGGRSAAALRAILALRRAGGLRAVRSELYRGWGITVFREV PFTVVQFPLWEALKAWGARRRGADRDRDREREREHADVSGLQSALFGSVAGAAAAAAT TPLDVLKTRVMLSPVRVSVADVAARMLRDEGVRPFFAGLVPRVTWISVGGAVFLGSYQ WAINTARSIA UV8b_05094 MNAARNVVGLQGLRAARQPAVRRGFSLSTSSRLGLKESSSQTDA DYEKHKKDSLDKQKKGSGHWKPELASDSEEAVRADRSSEEDVAAMQERTKRAAEETSK SGTSMRDGM UV8b_05095 MAAYYHYNAQAAHVTSVTHNHGGHRSRRGAPRLSVSQTTQRQFR GARSMKDLIDSTAISAFRTKFEAGRSFDLEDDMEFCPCLLTESDLVSISSASERSSLA SNSPESSPTQRPQTVAPGFSLNSTSAAFIPPSFQNQQSGLKLHQPAATRGRNAIPIIN PVTGISMSSPPPSVSPAAIQQVLGRRW UV8b_05096 MAQQQRPPNPKALLRCAALRCAALHPGKFVAPGTECFGGPTRLQ ACLFAQLGRLSHPPSRELPALIGSAKASSLAGTKPKVDTDFPRLRALRFTVHSATRAR CTCGLAAHHPPLPEPTPHPPFPHYTQQLALASCQTQPSDQAGSANHPAVVEWAVAQPP FGPGLLTHPPICCFKQRFANDYPCGPPFRLSANKNAAA UV8b_05097 MSQFQFKFRWDYVLLTGAACLSACYHLRPVLLSHDRVKPRRVVA SLACEAATSTLPSSPTSSASAPPYPPDAFPGGRHVETAYGTTNVFEWGPEDGEKVLLV HGIATPCVALGDMAKRFVAKGCRVMLFDLFGRGYSDSPSDLIHDDRLYTTQILLALAS SPLAWTGSSAFHILGYSLGGALAASFAAYHANLLRSATLVCPGGLVRPSHVSFKSRLL YSNGLLPACLVNRLARWHLEPKPGSASAHVPHLDGSLDELNARDAGAGTEAEAEAEAV DFDQVPICSESALGPKVGDVVRWQLRNNAGFVPAYVSSIRHAPIYGQHGGVWEILGRQ LRLRRENGNLAGLPGGRGSC UV8b_05098 MSPNYWDSTQRRHWLFTKDQLASMRQKLDDDHADLVRMFPLPQP RHLAIYFNQQLLRLAKRLSIRQQAMATAQVYLKRFYIKVPIRSTNPYLVITTAIYLAC KMEEAPQHIRLIVTEARQLWQDFIGLDTSKIGECEFYLISEMSSQLIVHQPYRTLTLL RAELSLVDDDVQLARSVINDSFNSDLPLICAPHIIALVAILLALVLRPNSSRAGQATS GSAAAAGLAAAQAALSQAHGRNGGGGGGGGSGTPLDSAAAGPESKEKQQEARISRVQH FAAWLAESSVDIPAMVDATQEMISLYDLYEQYNEKLTREQINRFVKARGLDK UV8b_05099 MSVAKLVTDVERQLGESQNERDARIERLWSKLDPAGKGELDLKA LQKGFHRIDHPLKNADDLLKQILREVDTNQDGKIQYQEFRAFVEHADRQLFQLFQAID KDGNGKLDAAELQTAFRTAGLAVSNRRLSDFFNDLDRNNDGYVSFEEWRNFLLFMPAG SHDSRLKAVLSYYDSVVSVTPEGDSLVSDETLEGIGYFVAGAIAGGVSRTATAPLDRL KVYLLVNTSSSSETAAAAIKQGRPVAAAQNALRPITEAVKDLLRNGGLRSFFAGNGLN VIKIMPETAIKFGSYEAAKRALANFEGHGDPRHINSYSRFTAGGVAGMIAQFCVYPLD TLKFRLQCETVKGGLTGRALVRQTAIKMYADGGVRACYRGVTMGLIGMFPYSAIDMGM FELLKKSYASYYRQYTGCHEDDANPGNVATGMIGATSGAIGATVVYPLNVVRTRLQTQ GTVMHQATYTGIWDVTQKTIQREGYRGLYKGLTPNLLKVAPALSITWVVYENSKSMLG LH UV8b_05100 MVSQPDHPSPPASSSSTALDLSTSSSRRSHHHDHHDHHDQHQHH HQLKYSATVASRPSLSRSRRYNRSHLGGSSCIPQNEFPVFAHSGDVQVVIRVGAGAHA AENRYLLHRHTLTRCSGFFEASTSSEWSRARPCLPGPNEPTLVGDGASSPLDGPDHER RLAVGGGGAGAGAGGLNGSQTSIPAGKRWKYELDSGAGDGDIPMLVQKDDADTVTTPG SEPPFPSLFGAGCHHASDAKSSGSRSKHRGPPAPAPAPANRAFPFSRSVANLSVAHLP LATAGPGLSQADEDLLRDYDNLFRVFYNYAPVLDAVSVADAYVQCKSLLALADQYDAL AVVGPRVDHHLLQFQSRLWKQIAKYPISYLRLGYLARSRVIFQEALVHVVGQWQAGER SLRAALPDIVLDIVEDKVDELGETVSRVQGELFRLSLTTTKGERVTPTNSYLDWLVVS LFRQWLADNIPVPSTPGRNSGGGGGGGGSSSIIISSSTLASASYSYPNKPPPRSTGRA YRILGSRNPSAFLNHDECKKFLKLTPELYTRNKLCRFEKRMEELKAMARHLVHPLLGS RLELAMAGSGRAAEGISYLTCTTLGNRDLPWFADP UV8b_05101 MAQGFLFPLVADGAKQKRCKEKIASRHQTVQPPGLPPVKRGSNG GEAAGGGSCSLEEKGQGEGKSQIRTNEEEKEEKKEEEEAGRREKGREARRGEQHPGQG HVRHRMAASAVTPRHLTARSARSARSASPASPACQLHCSASMRDGWGGVGWWGRWAPL ALATGRSGQGTRRGGTEYGVASLKPVLESWITHEWGEAFCPAAAPGCGPGSPSPPPPP PPPPPPPPSTSPCYGYGLARRPGQLPPAGPRWHAGYMYRSAAFGSFQTRTNG UV8b_05102 MSPLRRVFHHGPKPISVTEFRDAVEAVCTPRFPSARHARPRGIA LAVSGGVDSMAMAYLFSTLLKTWRGIRIADNPAEGAFAIIIDHKLRPESTQEASSVAR ELKNMGLKAVVKPLNWGKAAGQGQDPGALPNIESVARRLRYRMLGATCRFLNTTSLFF AHHRDDQYETVLMRHLSGHSYRGHQGIREANAIPECYDMHGVYRSGLLDDQTHKTPFL SFKPPNQQLRRLRWIMKHEFDAQPWDKLRSFLGTSDMSGHFARHVLRQVDPRVPYLTP LNCEDGGIMVYRPLLRFDKDRLRATCEANGIKWFEDPTNADATLTLRNSLRHMDPNAQ APEHRKEAILAMSREAKQRIRLEEAEARRWLLREAVVQDFDPNAGTLLAELPSLFPSN VQRRRRRCTEAGREARKPRYRLMAAIAVRKLIEFVTPDTHPPSVANLENVVNRLFPQL GPEPQASSPKAFTMAGVFFDPIVTPSCTKWLLSRAPYPSMKLLPERKLLGRLSYRLPP LADQPAEQATSSNHHWRSWKTAKLWDGRYWVRVSACVPARFHVLPFLPQHAKPFRRAL APKQRDRLEQVLKHYAPGKIRYSLPALYSAEAGSSGEEGTPTLRLLALPSLGIHVPGL ERWVKYEARYRWVDASLLGLGRRGTQRPGLGYARSWTRSRRVRRRRQAAHGARKAARN SSG UV8b_05103 MRLLVLFAAALSSPSLALDTLERLDTDSWTPAARGAALSGGLGH PRVFANLTLDEFFDKLSLAREEASSQNHKRQPVTSEHDQMCQEAMQSSSWRASWGACT NSDHSRRVYCKTIIRGADGRLPRERSVQKVCPVNKKCYRVLTRNSMGNMVHLPLCLDQ LIIDKMEKGTLEEHLWYLFYKEYFAWNTEAPTLGYTTSYHVQMGEGQGSGLFTDTNGH RSRATSWSCIDCPPGRTKIETQTKSFAYAYVSS UV8b_05104 MGTAEPERPGSRRAAREDGTCRGVDENRLVRKLDAHLIPLIMSL YLFSFVDRVNVGNARLYKLEADLGLSSHQFQVVVSIFFVTYMLFEVPSNLVLKLFTPR RWIAFITLSWGLVATLSGLVDSYAGLVACRLLLGALEAGLFPGLNVYLTFFYTKHELA LRVGYLFVSAAIAGALGGLLAYGIGHLDGVRGMSGWRWIFILEGIPSVVLGVVAYFAL PNDAASAYFLAEEDKAVMEVRRRRAYGSTRSSEDVSRKDVARAFTDWKAWAFYIAQFG VDTMLYGFSTFLPTIIQALGSWTSAQVQLLTIPCYFFGAATFMGAAYLSDRLQQRGLF CVAFGTISVVGYAVLLADVAAPAHYFACFLVAGGLYVVVGLPLAWLPNNSPRYGKRTT ATGMQLTFGNAAGVMSAFIYPKSDQPRYVRGHAVCLAMVGMGTAIYAFLWYWLRRVNA ARERGLVSDKHRGLADEQLQELGDDSPGFRYTV UV8b_05105 MRLSWGRMALGLVLGAQANRLQVRQTLIASTTIALATSPSLSPA PSSAANTTPASVSEPDNTPDNTVFLTATVTKQGEGSTVTSFTTVTVSTIITVTVTTTD IATTTVTRSGQDTATKVIYVTSTQVINAKRAVIEEEVFFALGPAHVPAEPTPVRGVAE FQAHLDPDRVRQVDKRAVVTNYVTVTLGLDGGVSTAVATVTKVIRSTTSTLQRTTSYI TTTVQVNAKTTLTVTSTIILKLTMVSTGAVETSTAAPANTAGSGNNSGGGGGSSGSGS GGDGGLSTGAQAGIGVGVSVVGLAIVAGIVYLCMRRRRGPKPDPDDLLGPSSEVPVGA GTAGSRHSRPMSQGLASAPGSVPNRNPMLPNVHLEGYRGTAMGDGRAGYAKPDPYGAA YPPTRNATTNSRISALSAGDPLPRHPTPDAVTALSTTGRPDTAELGSEGAGAKWHNSE AAEMASDNPAAAKWHSDNAHEIDSQPVMSHQSGPVYEMAVESYR UV8b_05106 MASYFGSSDEPSERSRCTPLTRMLLSGEMTLEPQPELSAREKLD RWMTNEGYKRVFVLVFVVAHALIFSFACVNYALKDSLQGARDTFGFTYTVARASALVL HVDVSIILFPVCRTLISLMRQTPLNGIIPFDKNMSLHTMTAWSMVFFSWVHAMAHWNN FAQVAAKNKLGFYGWLLANFASGPGWTGYAMLIALMAMVMTSVDKPRRANYERFWYTH HMFIVFFFFWSLHGAFCMIQPDIAPFCASLGSSAVGVFWQYWMYGGFVYLAERVAREV RGRHRTYISKVIQHPSKVCEIQIKKENTKTRAGQYIFLCCPAISLWQYHPFTLTSAPE EDYLSIHMRCQGDFTMAVSQALGCEWGGPDDGSRLVGVDGDRNGASPALQRVLPRIYV DGPFGSASEDVFKYEVSILVGAGIGVTPFASILKSIWYRMSYPQKKTRLSKVYFFWIC RDFGSFEWFRSLLLAVEAQDIENRIEIHTYLTAKIRVDDATNIMINDANADTDAITGL RSPTNFGRPKWDMIFRGIRKLHSPGEAGVFFCGPKGLGSALHGHCNKYTEPGFSFVWG KENF UV8b_05107 MPGPLRDYCLGSAVDSQWDDKALFGLACCEDACADCFIFLPAVL FLACHESKSYQPGSVMLMLTPQLITDLVTSSVD UV8b_05108 MALKRINKELTDLGRDPPSSCSAGPVGEDLFHWQATIMGPGDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRPRYEATAREWTRKYAV UV8b_05109 MFRHSLRSVARCGASSLRRPTAIRRYASAPNSAFDWQDPLASKT LLTEEELAIAETAERYCQERMMPRVLQAYRDENYDPKILQEMGELGLLGANIDGYGCA GVSSVAGGLITRAVERVDSGYRSGMSVQSSLVMGGIYEFGTKEQKERFLPEMAKGKLL GAFGLTEPNHGSDPGSMESVAKPHPTKKGYYSLSGAKTWITNSPIADVLLVWARLQET GKIRGFLVERKDCPPGTLSTPAIKDKNGLRASITGMIQLDECPVPEANMFPSVEGLKG PFSCLNSARYGISMGVMGALEDCIARARSYALERKQFKGNPLARYQLVQKKLADAATD CAYGLLASIQVGRLKDEGKATPEMISMVKRQNCDAALRNSRVLQEIFGGNAVSDEYHI GRHVANLYVTQTYEGQSDIHSLILGRAITGVQAFC UV8b_05110 MPSFLHDDDNSPIFSAEADILNLDPANQFGLAASKKKTNGEPLS HTHPELVKERFDESSTSSTHGSDISDLNHMPVNGNITRPTSMNSLENGTNGAIDDDPL DQSTLKAFPPAPITLPHRTATQTPAPDVKGTFHSTTNQTDHDHAAPGSAVLDSNRCLD SSNVLPWTSSNGKTASGGLASRLDRELVELGDAAGQHPSLAQSMLASDPGLQPSSHQR FSSPPTYHDGTTLNTSPSGHLQPPTPFPTLKQRHTLEVSRPLGRGSKDGVDAAQASGR FSPTGATAGHRRASLTLARRNTRSIQSDAFRDGALPDEDAIRWTEAYRQKRANKRRRK EEEDDDRVLVGTKVDESHANWVTAYNMLTGIRVSVSRTNAKLDRELTDADFEAKQKST FDITGNELVPSAKYDFKFKDYAPWVFRRLRSLFRLDPADYLMSLTGKYILSELGSPGK SGSFFYFSRDYKYIIKTIHHAEHKFLRKILKDYYNHVQQNPNTLLSQFYGLHRVKMPY GKKIHFVVMNNLFPPHRDIHTTFDLKGSTVGRDYKEDDLERNSRATLKDLNWLRRQQH LELGVQKKRLFLEQLRKDVVLLKRLQIMDYSLLIGIHDLSRGNEENLRDKTLQVFNPG GEKSSIDEAQSVLLRTPSKLENVRKARELREKIRQERPVPMGQASDKMPDQLGETHAR PGFVFNQDDGGFQATHEDNSPAEEVYYLGVIDCLTHYGMIKKIEHFWKGLSHDRTQIS ALPPEQYGDRFYNFVQGITMSAEEARREAWKREEEATEGKASTDKSASAKRASTHGHS SAIPSMPDHLPPAAPGDVLSPEAKQTMEKALAESRRAESKGASESAVPDRVLTTTTNS PDKRESFQREAILPVVEEAAEGSRSEGSSFWGALRKPGNGKAAAHPTAGQPPPTPPKD VPPPPPLKEDGVENGHVLRRCSSKESMNKRLPPLPKEGRARDSGVRMV UV8b_05111 MGWWWPFSPSSRGDAIRSGDAIPNRQERAACWAARDAYFACLDA HDILDANKDAPAAGRACPLESRAFERDCAAAWVKYFKQWRVADMQKKQRLEELRRQGA HELDVSTTFAPDGAAGAAGQTRKAAGKDDIQSMLDRKRA UV8b_05112 MPRRRKPPRAGALTELQPLKLATQIATLQALYYVTASVLLLFTA LVAGTGFGLDMVLGWDGVRGDTTHGWLLSFIWLVDGGLFMAVAIVVLIARSKLVVDFA LTIHLLHLVATTLYTRSLPRHSLWWMTMAGSAALSVGLGVWGCQHRELQSVFFGGGRI LGAGAAAAPTNSQISAEEGQAGIAGDGDDDDDGGGGGGDDDAGYMRGRARGRGKDGAG EYEMVEMKQAP UV8b_05113 MFTFCPLQGALSESNASQSLLELDGGVKILVGLGWDESFDVGKL EELDKQVPTLSLILLTHATVSHIAAYVHCCKNFPLFTRIPAYATRPVIDLGRSLTQDM YSSTPAASTTIRQSSLSETAYVYAQTAATAQNLLLQSPTPDEIARYFSLIQPLKYSQP HQPLPSPFSPPLNGLTITAYNSGHTLGGTIWHIQHGLESIVYAVDWNQARENVFAGAA WLGGAGGGGAEVIEHLRKPTALICSSRGAQKTAQAGGKIKRDEQLLEMIKTCVTKGGT VLIPIDSSARVLELSYFLEHAWRADAASTEGIFKSTKLYLAGRNMASTMRYARSMLEW MDGSIVHEFEAFAEGQRKVNGAGERKEGGPFDFKYLRLLERKAQIARLLSQGGSGGGS AAAGGRVILASDTSLEWGFSKDALRGLAKDSNNLVILTDRPTLSNAKTPSVARTLWEW WKERKDGVSVEQATTSSGDSVELVNAGGRDLEIREASRQALEGEELAVYQQWLATHRQ LQATQQTGVSGSLEGPAEVVDDASSESSSDSEDDDGQQQGKALTVSATMGQASRKNIM LKDEDLGINVLIKRKGVYDFDSRGKKGRERCFPVAIRRRRNDDFGELIRPEDFLRAEE KDEDNVDGANMTAEDDKLGKKRKWDQVAKNVAGANKRSQAGNGNSGDDADGTTTGFNV DGFVPDDLDDVEDAEPEEPAGPSKLVYRVETVQVHLRIGFIDFSGLHDRRSLDMLIPL IQPRKLILVGGNQEETSSLAEDCRAALGLEGDKAVDVFTPAVGVRVDASVDTNAWVVK LADALVKKLKWQNVRGLSVVTVSGQLLATAPEEAGAGAAATAAASDDKDGDAAGAAHE RPAPQASAAVAVAVASSALASCRGAVPVLDVMPANLVSAARTAAQPLHVGDLRLADLR RAMQAAGHGAEFRGEGVLVIDGSVSVRKTAEGRIEVESVGLPGGGKRNTLYEVKRAIY DSLAVVAGA UV8b_05114 MSMPLEGRSQRTQMLVEEVLRHLVLSGNTKTSRPDRPNDIAAHG LPGCDCLKTATGLRSNNMETFKFPEYPDGRHCRYQSDVLMLMPPALSDRKLLLR UV8b_05115 MGCITKPVIISGAGVVGLTLAHGLKKANIPFKVYERDDNVDARG HGWAITIHWALPFLVQLVDDETVEAIEQAQVDPEVGRNDSGNFVFLNLETLDPKFRIP PSTRRRVNREKLRKALVRGVADGVHWGKRLSNVELLDVDVAQGEGEGEGEGDAGVRAT FADGSSEEGSLVVGAEGTNSQTRKLLLPDSHGNHQLPVRFIGAAVDMTPEQVQPLRDI DPLLFQGCHPVTGQYLWVSMMETPEANGTLGTGSERYRVQIIMSWMAKSDADQVPPSG AERIAEMKRRAAGFHPLLRRAVESLPDSAAVLHVTIQDWPCLEWDNRRGRVTLAGDAA HAMTMYRGEAANHGMLDAYRLVERLERVHAGQTTLKAAVDEYEAEMRRRTGGPDGAVM LSRQACLDAHDFHGLNESSAVLRRRAITGE UV8b_05116 METYHGHVRTPSDAIKLFEACRLGLLPRVQRRLSEKERQSIRSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGGFGNGRRGSGKTPDSG RGSDEDHDDGEPEGYRYKADGLMKQSFSITTSTGQHLHLISYYSRPQPGQPELPQPSS DPTLRAIVPPKGMYPESSMGDSNQTPALTRAPMQQPYLIAHHAHGHAHAHGHHHQQQQ QQQQQAAFMHYSQTGYGWPPSPAATPPYAPYNPAPYPPGHAAALPPPHVAHHHGPHHS AYSHGHYTHSPSSQPPSYDRPSLPPLQHSKPGSLPPPNQPGLSPPRLSDPPGYKERPA AHGPLPALNSVAAPPIPHQALTPPTREHSVSPPKAAHDKAVVNVGRSSLCALLHPTSS SPKIKQESTASGNGSPKANGILLDKCGVSEDARALRMLDRKFCL UV8b_05117 MLTVVFARDQKKREAKRVKEQAEGLIEANKSAGNPWVDNPRHIA SWVHPRRRLFDLACETPLPSAAPIPESLRSMTTSFGN UV8b_05118 MARPTLTSSTPSHYRACFASNWRKRCYSARVADGRSQPSIKTIA DLKRWLPETNVPNVRVCGWIRSVRKSSEVRFVDIADGTNMRPIQAVVGKALAADMRPG AAVRLTGTWCNTGDRKLSSTKTFLASEDLSRQNQATPELAGVGQDVDASQTTHELQVD EVEILGASDPQTYPIQNKYQTPESLRHISHLRCRTPLNSTLLRLRSEAASVLGNFFSG EKFQQTHPPIITSSDCEGAGEAFTVKVGSGAEFFRHAKYLTVSTQLHLEALAQSLGNV WTLSPTFRAEKSDTSRHLSEFYMLEAEMSFVKDMDEVMDLIQKMLMKLVRGLKELHAA NELERNRADSRDPAEKLAFADLVAADELQRRWRGLASARRWPRISYTEAIERLQLVSE QFEHKPLWGSSLQSEHEKYLAREVGYDAAADAHVPVFVTQYPREIKAFYMLRSATCPP QGETVDCFDLLVPDLGELAGGSMRENRLPELEKNMRLHGLEVPRNKQDRSSGMGWYLD LRRWGCPPHGGFGMGFDRLLSYLTGVANIRDVVAFPRHYQRCDC UV8b_05119 MAPGPKSSRVKPSEVAADTKRNFIPMVAANYGEMFPPYSVLYRQ PTTQLGIQRRSLSTRPPIFRVEAGDPVMIAISCAAADSQASEATGGPRVRIPFICAAN ERRPGGDWETGCSGYEEKLCRRSNLSATLSSPWPNTQVISNYPIPSTGGILSDAVVVC RGPHDEYERLEKWQDLPVVSVPPTRWPKLKENGTMYSFADERDMMKDKIRGALRICLY NNYDRVVVGDFGLGNSCRNPPREVAEIWRDIFLFDPDLRGQFAYVVFAFEDASQSTTR SIRDEMARKDKRRDGATWSLRMHHAASTTTGRGLAPTAPTDMVIFQAVLEPLEIERVL RAPDPRYGLDMITS UV8b_05120 MADYSQYHALGQGISPDPNDPPYQQQQQQQQQHHQPPPLAYGQP GLYGAPQQHHHQHHHHQHHHHQHHHQQQQPSPPPPPAGMPLPPSGPPAYHPPHGQPPH GQPPQQGDSAQPAPDASLAAQLAAVSLGDGQSTVRKKKKDRHAYHNVEPVGSSQPFNG MPPPLGSNASHFIPGAAASPGLPAAAAAPAFGGAHLASPQGTPHLMNATQFPFPAPGS ASPFAPAGFAPGNGSADASATIATSGPAKVSLEDMPSVPASRDSVQPYFLKNAYPTFE RHVPPPATVSFVAFDQGNSSPKYARLSLNNIPATTDGLQATGLPLGLLLQPLAPLQAG EADIPVLDFGEAGPPRCRRCRAYVNPFMMFRSGGNKFVCNLCTHPNDTPPEYFCATSP QGVRVDRDQRPELHRGTVEFVVPKEYWTKEPVGLRWLFVIDVTQESYNKAFLETFCDG ILAALYGRSDQEDASQDAESPRRVPEGAKVGFVTYDKDIHFYNMHPGLDQPQMLIMPD LEDPFLPLGDGLFVDPYESKAIITSLLTRLPDMFSNVKNPEPALLATLNGALAALEKT GGKIVCSCSALPTWGPGRLFMRDNGNHTGGELDKKLYTTEHPAWKKVAEKMAASGVGA DFFLAAPSGGYLDIATIGHVASTTGGETFYYPNFIAQRDGTKLSAELSHTVTRQTGFQ ALMKVRCSNGLQVAAYHGNFVQHTFGADLEIGVVDADKALAVSFSYDGKLDSKLDAHF QSALLYTTASGQRRVRCSNVIASVSETSKESGMREQGVRTCMKFVDQDAVVGLLAKEA GTKLATSSGNLKEVRNWLTERTIDVMSCYRKHSAAQHPAGQLVMPERLKEFCMYMLGL IKCRAFKGGVENSDRRVHEMRMVRSVGALELSLYLYPRIIPIHNLQPDEGFADAETGH LKMPPSIRASFSRIEPGGVYLVDNGQQCLLWFHSQTSPNLVADLFGQDKDGLKSLDAY TSSLPVLDTHLNAQVRNLIEFLKTTRGSKGLTIQLARQGIDGAEFEFARMLVEDRNNE AQNYVDWLVHVHRGVQLELSGQRKKEGDTDSSSLTNFAGLRPTMW UV8b_05121 MRSGGCSLAGCSLAGCSLAGSKPGPARPRVHDSTTPRLHPFQEI VVSMSASCAPGHIMPPEGFGNAANDSVVRSAGISPEGAAYHGISGCCGSVGGHSSSAV GRL UV8b_05122 MQARLTAGAAWASRQCQEMAYVEAIGTPTQLNPWAGRAAIQSAA TTSVDRKTHLANSAYGVRLRGRNKEGEHEGQESHESQINQAINQNSPQNQNQNQNKNN ISNQNRPIKEQESPGTK UV8b_05123 MPPKKVDGAAAPKAKASHATYQDMITDAIVNLKDRNGSSRQSLK KYVKNNNELPALSDNMFDSLFNKALKAGVDKGIFAQPKGPSGGTKLAKKKVEPKKPVA KKEGAKKTAAKKAAPKKAASPEEKTAAAPKKAAPKKAASATTKKSAAKTDSGLTKTKT GRVSKTAKPSAKKASTPKKPATPRKASTPKA UV8b_05124 MSSNPFRRGDRDIGRRSPGGRKVRVQSPPRVPSAPDAGLDPFDR ASADGSTTESHPGNGGFPANPFSRTLRDMEGEGSGKPPTRRQDEEAEEGEGEAAAAAT TAAGRKSLNVDSFKRLLMTGSAGDDAPSAPGATSRVAADDDDDDDDDDQDDQDDDEDD DDHDDVLHVNGERKPPPPPPSSRHGTSPRPRQQGAPTPAPPSPTSPSGCQDSLPGQPG LPGQHPGAALAARPEHGSRRWAPAPPPPRAPRAPPDSRSRGDQQAPAPPDASRPRGTS APAPAAAPAPPPPRRPPPAGQTPAPRPPSLTNLDAPSRRPSSESKPPPPPPPPPRNRP GAEAASPPDPGKSTALLADLHALQREVDALRGKMR UV8b_05125 MTDHLVDQLRSTQLSDAVPPQSDDWKKSLKLPAKDNRQQTEDVT KTKGLEFENFALKRDLLMGIFEAGFEKPSPIQEEAIPVALTGRDILARAKNGTGKTAA FVIPALERINPKVSKIQCLILVPTRELAMQTSQVCKTLGKHLGVNVMVTTGGTGLRDD ILRLQEPVHIVVGTPGRILDLAGKNVADLSECPMFIMDEADKLLSVEFTPVIEQLLQF HPKDRQVMLFSATFPLSVKDFSDKNMVSPYEINLMDELTLRGITQYYAFVEEKQKVHC LNTLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMQQQARNRVFHDFRN GVCRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRYGHLGLAINLINW EDRFNLYNIERDLGTEIQPIPSSIDKSLYVYENPESIPRPITTLQKNAQQPLQQRLSQ QPTSQPQPQPQQQQQQQQHHQNHQNHQNHQNHQNQQQQNQQQQQQQHGNWQNQNGQHN GFDGGRSGRGRNRGRGRSHRGGGGGGGGSRSRGQPREAQS UV8b_05126 MSKTFTTSDVASHNKGDDMYIIVDGDVYDITKFQDEHPGGKKIL ARVAGKDASKIFWKYHNEGILKKYKSKLQVGSLDTKPKAEPKPQPKPAPAPAPSKAKA PSSSAASGDEQSEPLEPFGALIPFADPSWYQGNFSPYFNETHAALRAEVREWMESEVE PNVTEWDEAKRVPEDIYKEMGRRGYLAGLLGVTYPTEYVPGGVKSVAAERWDLFHEML LTDELSRAGSGGFVWNLIGGFGIGCPPVMKFGSQALKDRILPGILAGDKRICLAITEP DAGSDVANLTCEAKLSDDGKHFIVNGEKKWITNGIWADYFTTAVRTGGPGMNGVSLLL IERGPGVSTRRMDCQGVWSSGTTYITFEDVKVPVENLLGKKDKGFGVIMTNFNHERIG IIIQCLRFSRVCYEESVKYANKRRTFGKKLIEHPVIRMKLAHMARQIEASYNWLESMI YQCQKMGEHEAMLRLGGPIAGLKAQSTVTFEFCAREASQIFGGLSYSRGGQGGKVERL YRDVRAYAIPGGSEEIMLDLSMRQSLKVAQIMGMKL UV8b_05127 MGIKQLFQIIKEEAPDAIKEGEIKNHFGRKVAIDASMSIYSFLI AVRSDGQQLMNDSGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRFQ RKQEANEGLEEAKETGTAEEVEKFSRRTVRVTREHNAECQRLLKLMGIPYIIAPTEAE AQCAELARAGKVYAAASEDMDTLCFNTPILLRHLTFSEQRKEPIQEIHLAKVLEGLNM ERPQFVDLCILLGCDYLDPIPKVGPTTALKLIRDHGSLEKVVDAIENDSKKKYTLPED WPYRDARELFFQPDVRKADDALCDFKWEKPDVEGLVKFLVTEKGFSEDRVRGAGARLE KNLKSSQQARLEGFFKPVPKTDEEKAAHKRKLDEKNEQKKKKLKQDKKDKAVQKAKPR GT UV8b_05128 MTSPTVPRVRRTGQNTQFTYNLPQRVSDVQTYPVQSPQGATIFV YGHENGVTIVWRGGRRFKPQQERHGQDKHQNGTSEDAIMLIDSDDDEQTQSNEASAAH LFQDKPQFEDEHDEGPYPEIVQTLDLTLGTAVLHVAVMPVTPSILQDTSSAAASFLSE RMVLAVSCVTSDVYMITVPLTPPSPKSKAREELRSSLLAGQAGSGAWGETLVLLGGQR RRSDRLAITLVSPKSSEPKRKLPRAVVAACSRQASGTLMLWDVALDLQPKTDRPIEPF QTEFLPHPLNSISFNPIHTTQLLAVSPHHAVRIYDYAVSALPPDSEAAGPFPTQGSWL LSLYQPYARPTASRKPVLDAAWISHGRAIFALMADGMWGVWDVDRVSPSASSSAAIAT KLKSGVKGAALTSFCISGYVEGTGSLRSVAAQQQKENHTGEFAPMTPHTRRQATASLG CANTLECLTAVQGGLRVITLPARGKALQDESVVLWVGGLEHVCVIPAMSKFWESQLRR GAGGGVNLFSGAQPTRMIKLADLSTGLLGERLCGVDLLLATGNPGSAGEEHGGLPVDV LVQGESRLVIVRYGEGDSGKNVGSFMPGRTRRLFPRGEGSSAIVVHGKSDRATSLSFN LSTAKPGTLRHKSCHDSQDGAASGRQADSSDSRPRVGFEFMDTMNAAADVSADLTARD VEAEMLDIMDIDKALESMEDSRGSGRKKVYFEQD UV8b_05129 MRPSTIIYGALVAATKVRAQAKQPPATLPSINSFTSQGCFSSSG NMTLHSPISAERMSSAACNNACKDDGFWLSGLHGGSCYCGYALPPQKDLVSDSKCNVG CTAYPLEACGGKDAYSVYNVGIELQVPTYDPSSTTSSTTAMTSSKTTSSASPTSNGAT STSDVPATVTATTTSPPEPSEPAKKSGPNVAAIVAGVVVGVVAAAAAIAGIVFFMRRK RNAEIEEEHRRNAAVNAFISGSKPPSSHGSISMTDSRLDPVMAHRRMSDGSIADNEDY SRRILRVTNA UV8b_05130 MKSALIAAAALAGSAQAGVHKLKLQKVSLEEQLAGASIEEQVQQ LGQKYLGGVRPMSRADVMFNTKAPQPQGGHPVPVTNFMNAQYFSEITIGTPPQSFKVV LDTGSSNLWVPSQSCSSIACFLHSTYDSSSSSSYKKNGSDFAIQYGSGSLSGFVSNDV MSIGDLKIKKQDFAEATKEPGLAFAFGRFDGILGLGYDTISVNRIVPPFYNMVNQKLL DEPVFSFYLGSSDEGSIAMFGGVDESYYTGKIQYIPLRRKAYWEVDIESIGFGDEVAE LENTGAILDTGTSLNVLPSALAELLNKEIGAKKGFGGQYTIDCDKIGSLPDITFNLAG FNYSLPASDYILELQGSCVSTFQGMDIPEPAGPLVILGDAFLRRYYSVYDLGKHAVGL ARAK UV8b_05131 MRCFQLFSPGPDCAGATFQSSRVYGFLSVNNNANLRGARTDAGL QSESRGALPLSLALALGSWTEPGALEPWSGSYVYLLL UV8b_05132 MAQPTTAELNSASTPVSDGNRHVALSGKRKRDSKEESLEEVTGS EEAKQTAPRRWAAGDQRDLIRNYFSVLQSIDVEPPILNRPVESSQPEDEPETKRQKSS ELASRKSVSDKVATNEYTHLQQIFTDATYAADAALSEAMSRDPDGSGSMANAKAAARI TEFREQAIALFEREKAYPGTPAAQVLDGGGEASAASSKSQAILSVVGYAPQEKRLFSS LPLSQNMELPDLTLPQGVTFSRVVPSNAQERTQTLGELFSAPRPLPPLQPPKQPKTQA KGNTLDFYHPELADAARYRGNCYFNTKLTVGHYLDYSNATPPHQTKTKQRERAQSLAG KKPSTSELEVSEMESLFRGAFSSFAPCKDDSAALISSGTAGRMWWQRSGSRTFQKMIE VEYYRDVPDVHAFNRKTNAEELDEAGVQEAIDNWDDTAVDPSLEDVMGSKRDDGEKEV DGILEEVSDMIETLASYQRIRNLTLPNSQNRQSSDPVTGDMLANSGPQPSEEEQATYE MLKSQLALIIKTLPPYAVAKLNGDQLDDLLISTKIQVRTDEYRGVMEEDDAGVQARMM AHHHHQQQQQQQQQQQQQQQQLTAAQAAQSNVARPLSQQRSASMSTPYANQFPQQAAN QYATPSRTPSHPQQYFRPMANPNFQTPRSVGSPAQQARPPQPNQYTRPNGYPNQYAAQ LAKSQTPYGHQNMPQFANQQRPQYGQLQQPGAPNATRFNYHQAYQQQPGTPATPGQPN YPAYANGGGGGGGIPPRNMSPQLPSRNAAYNHTPNMPQQAQRYGTPGQGTAQMNRQLN SPAQYSQSPGLTGYHTVIPEAQQQRILDQAKARVAAQERPSMLGDKLSQPGFAGIGMG YGANTDANRIAAARANLVNQPKPQTPIQRQGVNGTPTQASQYVPPSKVTPVPVPPIPG AGSSQQQQQTTQAQQPTVPKPES UV8b_05133 MRYPPQRTTLSVVVRRIHSSVTGRRPRRSIHLTAPGSLPRNRPF FTSNPFLSSSSNSPPDDARHAPETPPGNSATASASASAPAPAAAKRKPTRSASAKASL RRVAIIAQQPKRAAQTPVPTPDLAVDPSAATTISAACVAESFDMPSVVEVLASHGFGI DPDRTGFDANEVVHARGVNGGDIFVFPSGTVVTWSLPPDVVTKQLLRAAQNPHPPDLR EAEDLDFVADTGRAESTMKGDMVVLGTRRESAEGDTLDTTLAKIAFSSGLARSTKLAV LESALTSYFESTRNIPAILSHGSVLPLGRKFILQKTGELLSLRAKLNHYSELTDSLPD IFWDSKSELGLEGYYDQVGRALDVNVRIRILNQKMDYAQEIASVLREMSSEQHGTRLE LIIILLIAVEVVFELRRIVLEWGQEGQAAELAAEPAAEPAASARHAR UV8b_05134 MDLHPKYDNYDFPTTAAEPQDGHAGYLTPEKIAQVHQLRLMLEA EGFKDRLDTLTLLRFLRARKWDVNLAKAMFVDTEKWRKETKLDEVVPVWDYPEKTKVA KYYKQFYHKTDKDGRPIYIETLGGIDLNAMYEITTSDRMLTNLAVEYERVADPRLPAC SRKTGKLLETCCTIMDLKGVTLTKIPSVYSYVRQASVISQNYYPERLGKLFLINAPWG FSTVWSVVKGWLDPVTVKKIHILGSGYKSELLKHVDQDCLPVEFSGTCKCEGGCENAD AGPWRDAQWARPAWWEKKADDKTAENRGATATTAEGAAEGAAETAAAPAPAPAPAPAP APAPAPAPAPAPATDVTK UV8b_05135 MDSSIVSQVQRKIELQSPEDLAYLVANVRHAAAARVNEAFPQAD RHDELRNQIEAQVNQYIEQTFALALPNLSINGLPVSPAHLAGAAGGGAEAEAVAYEPF DGRKRQRVADLVAREEKLLEEVAALKRSVPAAAAAQQARLVGEGVARDEEQAERRKAL LARRAAGAGAAALSVAALDRQAAVEGAFAAAVDALQRLKRDMPAVVARMERARVAAEY VVGDGK UV8b_05136 MTRGGHRSNLDDIAEKYRKDSAEILLAKHFLSHRKTLPLHIIAM ATPDQVLSGLNFGGSLALPSNNLLTKSLPDKCDPNDPDPLLTATIAKMKASYPDKVTQ TKKRKRLQPSIETPIKQPNIDSTNLALPVPDTVLLVPPEFVTTLVNHTNAILQYAAMI PDYIAALNAHTNALKANANISTRANANTPTQATANTSIRANANTSSTPTIVLRRNKKT KNVVLSTPSTDDFWHSNAE UV8b_05137 MSRFCSASWRVSAMNGLLTTSEKRSASPISCAAASPRSRKIVVL SLAVFLLILIAGTLRQGDRLCRAHYSRVPGLCGKNKDKAQPQHHAQEEPHHQTQAQNQ NQTQPPRQGQNHTLAHDDDDDGGECAHFPDTSKVLVLLKTGASETYRRLPTHFMTMLK CLPADNVLIFSDMAETVTGHAVYDSLEFVLDGIKKTNADFEIYRRQKHCLIAHDECNK MYDVGSEGWNLDKYKNTHIAERAYQMRPHHDWYMFIDADTYVSFENLIKWLPHADPAK HHYIGSIEYSGTFPFAHGGSGYLMSQALMHSMLHGRKGFGNQYDEALTKHCCGDIMWS DIVQKEQKHPPENMWPFFNGRKPNTLSYSDKQWCQPVITLHKLVAQNINDVHALEREL VKSGKELKIKDLFHRFFEPHLRALEPNWDNGSEDVFYAGPDVDPKARQNDEWWELPNR IRKDGLSDAEQAAHRSHEDCSKACDSFGDCFQYRYLDGVCGIGRVIRLGFATKKEDDA GKRVYSGWKVDRIRQWVKEHDECQQPTEWPVKDR UV8b_05138 MLPHSACTVRARAAAPCSPQCDGRACRRVSGVGCRVSGGKSVSN VSAWGKRRRKYKSSSSISNTTKNTTKNTTDIDAGIDADAWLTVKPLSSYSWLQHVFVV ARVSRMLPPLRPALDRVGNHKSAQLAQPLPTSPQVSSVNRELTNEMLPPLEQNGPPCE MSEAHFRPCWNNGLP UV8b_05139 MSSSITIPTGRSSASPRTFHSPSKAMASSSMSPFSSFHPPSSPS PSPNTKLLHARRPSLLSSSISKQESTVINIGDPDGPPRLISYLSSSQGFVWNPEIFLP SYMDCDYVPLENQREPVHEIVLSDEESRNMLPQ UV8b_05140 MGDVAVESQANLVPPHKKTAPSTTPAIDRLEGLPTEGGDEYATL KKLQRQLEYIHLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLGADEKP DVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTASFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEFP SLRDRRERRLIFTTIAAKMSLAPEVDLDSLIIRNDPLSGAIIAAIMQEAGLRAVRKNR YNIIQSDLEDAYSSQVKGTSEDNKFDFYK UV8b_05141 MAIGIKLTFGLKLCLAHYCDIHGPTPLMVTEGLRNPCSACFQEP DALYASPCKPRTDKRTRSSGPAPAPQTQLNDALRHLSISRSGSAPASEHEANGPRAAL RHSAAAATNDNHASSTSALETPPESPRPVFQQPRRDSSFRRTYDDTVTKKQGPCDNCA MTLPRRQTPGAVADGYATGAHGPTLRTKAPFERVFGAAGVASPPNSQTSSDTDGDGES STRTTTSTSTHGLRSRRKAQRASSSRNNSCSSNSTSSADHTPYHFHYVNYTSTREPML PDSFSLIRASCLRALSFETLPRSPSTSSPASSPQLNTSPSFVTTQSPGSAATGGPIFF GDALAGYTTAYIFRIPDMHARGHKRVYAFLALSTHKERLAMKTFSMISTAFGNLASWI QQLAEVEAERTATNSSPTGSVLLQQGGGGHPQPHPHPHPQPSALDAPAAVDRSGSSFF AGGSAFTRRMNGGPGPSPLKARGLAELVGQPDFFIELHGKFVQLLLEVGVALNS UV8b_05142 MPNDVDFSHDHDDPVLSRTATPDLLDQATSELRTVIPELHLTYP RSYFQPFTPPAPLKGEAEALRLLRHAHAHAHARDLDSPASSPTAADGLEFVEMQLDDF SVYCDTRYYPCELRPLHQLDIKRELNQFYFDGILSCASTRVFVRRVPISTVPIGNYHC LDSPTVRDHVWLQSAMCKDTDTYYRLGCPAKEYRRFFDPFIWIADLAKHFVDFLFFME ARNAKVSISHFRHTFKKWLVLTHGTAPAFTQWLKKHPSNDFRTSIIANLAFLWKEYTG VLGHGRACFHSIWAEVWNMTLYPSRPCLAEAATVTTPYIFGLFSHLPFGSMLRECVFA SKSSAVRDSVMLRNHLEPASVPHDAAAVVVAASCDATTVDAQEIRKIKVGDTISTKRD DVESGTVWEREVSRTFADIDRWFALVQKINQTVSGSLEFEVLWYYRSIDTICGLMKYP WENELFLSDHCSCTERYKIQQDQVLAIHTVEFGGDSSTKSEFFCRQVYISQDRKWISL QDGHLRCPHTSCRISKPEYQLGDTLLIQIKAGNPVSEPCELIGSYVTPSGKPLFQFRR LLRRRDVDSAAATAPCNELVYSNQAVQCYSSQIIGRCSVRFFKHGKKIPAPYSRDGMG SFFFITHQEVDDSGDVFKCAPMEEFPKRLRQGLDPKDSIPQLFGLDLFCGGGNFGRGL EEGGAVQMKWANDLDATAVHTYMANCKEKTLVDPFLGSIDELNGLAMDGKFTGSVPTI GTVDFIAGGSPCPGFSRLTNDKTTIKQRKNQSLVAAFASSVDIYRPKYGILENVPGIV QNRACRDEDVFSQLMCAIVGMGYQAQFLVLDASSFGASQRRTRVFLIFAAPGCRLPKK PQHTHSHPPHTQPLRLGRLPTGESLAEREFPRATPFTFVTAAQATKDLPKIYDGLPGA CIPFPDHRVSIGISRINRSRIALIPTRPWGTNFAQARRDVLTPAEISTFHGESGSRGG AQDVFQKNTNAFGRLYPSRLLETIVTSMNLVDRKSGRWLHWDENRPISIMEARRAQGF LDDEVLLGSSAKQYKIVGNSVARQVAFALGVAVREAWAESGWGAEPFVRRAEEEDDAA CAQALPLRGRAASKSWSAPPSISGSEVVRAKGLKRRRHTSAPSTVVDTTTEGRSKRMR RRHTAQEAGL UV8b_05143 MDMDEDTKAAYENKCQEIRAELKRWENDWTQTHDGSKPGRQDIK DNPDIARRYKDYNRYRDLLSGKLPLPRSNSDAKPPKRKPDAAPASAPAETPLKRTRYA ETPSKQRAPDEELMNTPAIARKLFSPAPVTSLGPTPQRDGRVLGLFDLLVERELHTPS KHNTAKASGTPSRRSRNAPLTPRKRTSSDEPGSAAKLGQTPMSASKKQMLSAFATPSR TRRGSFGSRRTPSSVSKLQFDTPAFLKRHSLPAVDENAVLADPAPLRLPRKPLVRGLS EIVASLRRVEEDALDDDLEALREVEEDAAGQSGPAKSPAGRPSGASAPAVGPPGVAPR TRPVLLGAFDDEDMYDSPVEDSVGRDGNPLPVFKKKGQKRTTRRVNMRPTMKKRPGDA SQEPGSEDARDEDAVAETQNAAAPDGGSTAQDAGGSSDDEAAAKPAAKTKASTKAAGP VKKAVRKVNELAHANFQRLKLRNNGAKGGPGHNSRFRRRR UV8b_05144 MAPAQDQDQDQDCGDLRRTTVFGLTLDQAATTDDAQDCCVVCLD AITDPCEARPCGHRNFDYICLVSWLFENPQCPLCKAPVVKVTHGPAQAPTTTFFKQKP APKTAAPASASSAAGVRAHRVRPYGNRASLGCPRQRPGPSRHAGGSTATAASQAIATR RGVYRNRLFSKHVGSNRLSRYRELTPQMFLADADLVSRARMWTRRELRVFSFLAADSD ADGDGDADRDGASGLGSGATARRRRANNAEFLLEYVIAILKSVDIMGSRGQAEDMLSD FLGAENANIFLHELRAWLRSPYTKLEDWDRAVQYDAVSEGSTRGAEASGQGVGASTAP GLAGRGERGGRKGDFYRPRCARRPTRTRDGSTVWSVGR UV8b_05145 MSVLSDHLEQISYSCQGIDALPFPPPKIFTNALLSNYDITSLIR DTEAHERALFSVPPPPPPPPPPATQSSRSLEQETKGAKRRQTVFNVASGEVTTGAPSR GATNPRRHTAVAAVLGGEMHAQLRRGETDRKGDVDVEMLLRGAEKLCGVYELPGARER ISSLRHQHRNGKNTMAYYEAKVAEQSERLAGMNKGWMDKDGGAGMEGEEDESEPWTEE DLRREEAEAKQMEAKKRELQERLRQMDKDLGGLMNM UV8b_05146 MDNVNSERWKRQWRLFEVGIDVAADVAADVAADVADLLPPESGQ HVDNVVRATKEFRNRFSPLLILIPAESRQMHRTGLIPAGSASAVGVACWAQPNPRETL SVDVLLSNFPRLASSSFGQIVDFLQQPIVVQDSD UV8b_05147 MAKILGPGPFPRRPSGFLRYGALAFLVITAFWMFRQNSSSLVRP DPSLLLNRPVLESGNPSSSDTGKQQPTAAGTQTSHTQSPESSPDKQDTAERPGAAKAA ATTVSKGHHPIDKLIYDAQHRFAAITAGESRTVEQAAQAYRKRRGRHPPPHFDKWFEY AQSHHAVMVEEFFDQIYDDLEPFWGVDPAPMRREASQFEMTINVRNGVASAASDWMWT KIWLNMTKTIEHLLPDMDIALNAMDEPRLVVPWEEINAHMKNASKTLKLPKSKMVKNE FKPWPAPNTEHLAGDIQNKDWEDDHVFWKIVRRGCPPNSPARTTKLQTSFEEPPQISN KYAEAHLYNGYVSNYTKSVQVCHQPDLQGLEGILIHPLSTKSTKAFFPMFGGSKLTVN NEILLPAPMYWNEEERFGGGDDHGIPWALKKNKVIWRGVATGGKNYPDNWRGFQRHRF VAMNNGTKVGRVESGADRPENFALPVDEYGVSAKKEGRLGPWIDDFADVAFVDLMCTP AQGNRCNYTDFYFHPEEGLKMAQQYDNKYLPDIDGNSFSGRYLGFLRSTSLPIKATIW REWHDSRLVPWKHFVPMDSRYGDYYGIMEYFLGYKGHNAHDEAAEKIAVEGKEWAEKV LRKEDMLIYVYRLLLEYARVLDDERQHMGWVDDVIKNPSLEKSWSLWW UV8b_05148 MLIPLRNGQGSFASLSTGSALLQLRRKVTATNAKASPSSMLVVA SDVARKLDETGVWKRRAGRKKPGAFRAIEPRRVNIVSDGLCDDIIKYLGPSIERHRGC DLVDLNPGAGVWSRKLHDFLQPRKHVMMDLDAELYNPFLDELLSRDNVKMIAESGLVW KSLLEVIRAHLSGQAEVAPGEPPRRNDTLLVTANLSMFPKKSFLGFDSMSTMVLYQFM SSIRTSTLFQRYGLVRMLIWVNDEDKRRILPRSILRRKKSAFEAELSCEWVHEVAGLD TEVEDRNALRDEWINAESACQTLRRMEAAGLKMPAHRQSLAHSKLRPEEAPWETKLAG VQPPTLTRPFKQELEDLEHGSAAVSASEESSKRLKALRQRERYGQEEALLYLHLLQKR DAAIELAASAPAEFEKVNAAWNETIDGLKKNPRNEFNVIKDSYHLFRQSPPALLWDRR AFEPLAVRADDFFPNAPTALLDIQPKDMHPLFREHGVNSSRAGDMSEVMLRFWFHHTL LPVDRAMEGLWGGFGDLVTECPSVRDPARGGTPMTGHGALTARAVNGEQWAEIMQAWM SWPFRPTYTQMLGRLVEDMDSEADDEDTNSAAAGIAF UV8b_05149 MSTNFRERAIAEVQKAIAADHAKEYQKAFDLYMSSMELWVKALK WEKNKALKATMQEKMATYLDRAEKLKQFLQSEADTNANGGKSLMGANGATTGKGKGQP DDDDNKKLRNALSGAILQERPNVRWEDIAGLEGAKETLKEAVVLPIKFPSLFQGKRQA WKGILLYGPPGTGKSYLAKAVATEANSTFFSISSSDLVSKWMGESERLVKALFSMARE NKPSVIFIDEIDALCGPRGEGESEASRRIKTEILVQMDGVGNDSKGILVLGATNIPWQ LDAAIRRRFQRRVHIGLPDFNGRARMFKLAIGDTDTALRASDYNTLANKSDGFSGSDI ANVVQHALMRPVRKILQATHFKAVIKDGKRMLTPCSPGDPDRIEMTYDGVNSDELLAP DVSLKDFEMALEDSHPTVSKEDIERQIDWTNEFGSEGA UV8b_05150 MADTRAKLQALSEEYQKLQQDLQDIVDSRQKLQSQQQENAGVYK EFQNLGEDETIYKLMGPVLLKQDKVEAESTVKGRLDFIAGEITRLEGQIKEHQEKLDR KKTEIIQTQASAQAPGGGKAPQQTQ UV8b_05151 MDTKSNMRPSRPQSVKDLVAQAENFNFNANIPFKHWARASETLH QEAAFALSEGDYGRAYMMLYRHSVLVLEKLRKHPQYKDPENRPVVQELSKRMRTVLAD LENIKPIIQEEHQEWERRNPAEPGPTKPARSGPPSSYGGFASRDPTLSGNATVLDASE NQELAVELAQKELARRDTERQANRHARVYGDTILPSRFNDSQRIDLDLQSQMEAARRS AASPQQESLEPSRRRSPSSRHSVSKTYAYPSISRSKPVQSDDLHQPPPPPPPPPARSL PPPSRPPKEYSAIPSLPHADFPHGFRDVRPPLPPQKVPTMDPLLARYSSSISAHGRPP VPPPKGAAETPPLPKKERLAFKPGAYLENGDPIRSVFLPSKLRAAFLDVASGNTRRGL EMCGILCGTPVNNALFVRCLLIPDQKCTSDTCETENESAIFDYCADQDLMVLGWIHTH PTQTCFMSSRDLHTHAGYQVMMPESIAIVCAPKFTPSYGIFRLTHPPGLDHILDCRQP ETFHQHSINNLYRDTEHPHGHVYESEKMPFEIKDLRTK UV8b_05152 MDENFGLWCFGRQVPGRGEPLSVRDPATGQLISKCQTASPQDVQ HAVEFAHQVFQSGSWSKAPRHVRADVLEKAAELLGLQLPTLIELEVKQTGRAIREMKA QVPSLLRWFKYYAGVLRTEERPVLPTTGKLHNWLDRVPLGVVAQITPFNHPLLIAVKK LAPALAAGNSVILKPSELTPLTSLLLGPIFSAAGLPAGAFTVLPGLGPVTGKALVSHP TVRKVDVTGGTAAGRAIGSIVGGNLARYTAELGGKAPLVVFDTANVDAAVNGVAFGSF VAAGQTCVAATRILAHSSLMPSLVAKLERKCAFIRKNMASPLNEESTMGPLISSRQLQ NVETLVADCVSGGTGRVICGGARLTGTSELDGTDFSKGYFYPPTVIVSTSKASVTASR LWKEEAFGPVIVVVGFDAEEEAIQLANDSDFGLGAALWTQDLSQAFRVSERISSGIVW VNTHHRNDPSSPWGGASTASGVGSENGIEAYHAYTAIKSVIINYASTDETLAAEDWFQ EGPGQVRYG UV8b_05153 MSPASGSKGDNGANGANGANGANGANGANGANGAQDSIDASTAH SKGHLQIQNGFDTQAKRGSDQPPALGQHQKSQSRQRTSTLGAIMQLRQASKRPLPVER GDGRYRTIVKRPGFVQDLKSFSWRDIKTLKDIIGAKLKGETLQDDKTMIMERTIQLVN AMPNKSKRQEVLTNIFIDELWNSLDHPPRLYMGDEFKYRQPDGSNNNPLLPRLGAAGT PYSRTVKPGPANMGALPDPEAIYEAVMARDGFRKNPNNVSSILWYWATIIIHDLFWTS AADHNQNDCSSYLDLSPLYGNNAETRDSIRTFKDGRLKPDTFADKRLIGNPPGVCVLL IMFNRFHNYVATNLAAINEGNRFARPPPNLEGEALAAAWKRYDDDLFETARLVTSGLY INITLVDYVRNIINLNRVDSQWTLDPRQEMGVSKGTSKGSDSGVGNAVSAEFNLCYRW HSCISEMDERWIQDFYTQLLGGDYGEMNLGVLIGAVKKFEMSIPHDPAERTFGGFTRR PDGTFDDDDLVNAISTAIEQPGGAFGARNVPRIMKPVEMLGIIRGRKWNLAGLNEFRK HFGLKAYDTFEEINSDPGVAESLRNLYQHPDNVELYPGIVAEEGKTPMVPGVGIAPTY TISRVVLSDAVSLVRGDRHYTIDYHPGYLTQWGFNQANYEFEVNHGCVFYKLFIRAFP NHFRYNSVYAHYPMATPTENRKILASLKCAQNFDFSRPEFVAPAVKLSSYSAAKHVLA NQDKYTAAREEGLQHLMGGPSNEKLLLSGEYSARAEQRNNLQALLRNDGWKASVKTFY ASVAERLLAEKSCKVAGMTQVDVIRDVGNLAHTHYVSRLFNLSLKSSENPRGAICEQE LHQILSMISACTLLETDPVKCYPLRQQAKQLAARLAVMVETNVKLIKTLGICGLYTGA AAKNDLLSQYGVNLVKTLSKSGLDAHDITWSQILPAAGGFVPNQAQMFATSVDWYLSE EGRQYVPEIQSLAQGEPNESKDALLLGYALEGVRLAGASSFHRQASGADIITEKGRRI NIQPGERVLVSPSSAALEEASFPEASRVNPRRPLESYLRHSEGSLAALGEEVAQISLV ELFRALFRKRGLRRVPGPLGELKKVSGGYMSEDWGEVWPFPVSMKITWEAE UV8b_05154 MLSQTTITGDLGQGLPPGDYHAVSVSLPTWQDSVNWASRDVKTV SKLKVGYPRFYIHHLVETLAARILEWAASESEFSGPLGLRPENETSPASLIMLFPHTT PAWACQEFLQRSEPDKATSHPIRALRVSFTGELRVIESSRDDGQGRPFQYICAIVYPA HMSGVAKAFWQHTGFGLSSRQAEYWLNEAPFLRGAAKETVLPTTELGLDAAQTEYWLN EAKVLLGKGKETALPMELALDAAKEAADKIKQQLSGLFSSASASPSPVLPNDVFLYPS GMSAIIDSASAVEALCQTSGKPVQMAVFGFLYVDTFKVITKVKQFECKMYGHASSADL DQLERDLENGMRIQALYTEFPGNPLLKSVDLERLHQLSRKFNFYLVVDETVGTAVNLD LVPFCDLLCTSLTKMFSGSCNVMGGSMTVCPGPNKDALHQALAQQYHETYFPTNLVVM ERNSRDFPRRVEIANQNAEAVARKLRCHPAVEEVHYPWGSPTQHLYDRYKKDKAGVPV LEQGRYGPERRQKRDDAAERETTTAKRVEAGYGYLLSIRFVQPSAAIAFHDALDLPKG PSLGTNFSLCCAYTLLAHARELEWAAEYGVVEHLVRISVGIEAREFLEGRIDAALRAA ADALDGRC UV8b_05155 MYSGCSLLAAVAALSVQSSFAYVARAPRHGECKKTKVAILGAGT AGIAAARALSNSSVSDFVIIEYNDRVGGRVTQTNFGRKKDGSPYVVELGANWIQGLGS PGGPQNPVWTMAQKYGLKNTFSDYNSILTYDESGYNNYSSLLAEYQAAWDKASIKAGR MLADNIQDETARAGLSLAGWNPKHSDMHRQAVEWWSWDWDAALTPEESSLIFGAAGNN LTFNQFSDQNNMVIDPRGYRHIIEQESRTFLGPNDRRLLLKTQVTNITYSDDGVTVHT SDGSCISAAYAICTFSLGVLQNEAVGFAPPLPEWKRTAVSKFSMGTYTKLFMQFNETF WPGDTQYLLYASPTKRGYFPMWESLSGEGFLRGSNILFATVTGDESYRLEQQTDEQTK GEMMAVLRQMFPNVTVPEPTAFLYPRWTSTPWAYGSYSNWPIGTTLEMHQNLRANTGR LWFAGEATSAEYFGFVHGAWFEGVEAGAHVAALVQKRCARVHNVGQCGGRPHYDELLG TSALEEYNALNGWAVSSFYRSS UV8b_05156 MQSKGGPGRLQIPELPRRAQQLSSLLPHWFPRPQGADTVPCTLA PKGAPPPAASVRLLGLKRQADDVRPSMRAAASARTRYARPPSEVGSQALQPRRVC UV8b_05157 MIVRRPLLASSLASSLVAAASASAPPFAAFHDSVKQLFGPAPRL DLLLENSDYPFAHEAAVFMPRDGALFLTSNRFTDKQTGQPRIQITRVTLPNGTHGAAC EQVRTDHVPMANGGTNYRDGVLFCAQGTVDGSGGLAFMEASPPYRSEMLLSTFHNRPL NSPNDVVVHSDGSIWFTDPAYGYEQGFRPRPRLPPQVYRWDPRGNSIRAVADGFGHPN GIAFSPDEKTVYVSDTNWVHGDGSKDDSRAHSIYAFDIVAYCNQPFLVNKRLFAMTDE GIPDGIKCDTFGNVYSGSGDGISIWSPGGVLLGKILVDGGVSNFCFGRDGEMFLLGEH RLWRAQLAPSTKGALMRM UV8b_05158 MPSGTVPGTVIIQILPSYVTLTQVLTGSGTAPVTLTVPPSGAVP GSVIVQTPPVTTPYVTVYQILSGTNTVVSTFTVPSNGTIPGSIVIQTPPSYITTTVPY PGTITSAVTTTVPPTGTTPGTVLVQTPAFSAGANCKIKPSCAPSGVSIYSYPNPWCSG FAFGTVSPSSYLTNALQPIASNVTNVTSIPMDTTYGTLPHTNDVCSSVYYYINYTRVL GGILVNGNNFTLVMEGFYRAPVSGSYSVCLTLVDDGEAVYIGEGSAFSCVNGQAFAAA SPVLNVPWLTPSHGGCTTVSMTQGLFYPIRIVFGNAVGVGYGTLTIQPPGGVATRDFT GLLYPPSCGELL UV8b_05159 MDRVFLRLLVFLGIQVCLFTGKVAGSDDCPGNTIVNYQPLQVYC EGNTYALTGAYTSTACSNTQYATVVVPGSNLVATTFTLPPSGTQPGSVIVQTPTASSA TTQSPNSQCVLPSLISGYRLFGCAVSAAGFPGLVKIGSSSTMSLDACASSCQKSVFGV YIS UV8b_05160 MTALHLQDVDNDLRGRLALVTGASGGIGSACAKALAAEGCDVVL HYSSSKGKTESLAQQLSKTHPSQLFTVAQADLQVRESARSLVSTILDMPAVAPKHKAI SVLVANAGLGRRIRDISSIEEQDWDEMMEVNVRSQFVVTRSCVGGMRRQGWGRVVLVG SIASRGGGLNGCHYAASKGALTSLGLNLATLLAPEGVTVNVVSPAMIGSTGMIASPKG RTWDSDCDYQELMSSDPGLAVASTVPVHRLGTPEEVANVVVMFAKTGYMTGQEILLAG GLK UV8b_05161 MSGKAQKPGVLMLGIIHHAHAEFDKLSELADVTQVTSGSRDEFI KDCDSGKYNNIVAISRTYDSVKITGRFDAELISHLPPSVKFLSHNGAGYDQIDVQPCT ERKISVSNTPTAVDAATANTAIFLILGALRRAWIPQLALREGKWRGASPLGRDPHHLT LGILGMGGIGTATAKRAAALGFKLQYHNRKPVADLEKQFAGQNAPQYVSFHDLLRTSD VISVHLPLGPATQGLIGPKEFAQMKDGVVIVNTARGAIIDEQSLADNLESGKVWSVGL DVFEKEPEINPKLVSHPGAVLLPHIGTATIDTQKEMEILVIDNVKSVITEGQHLTQVP EQKPVLLAKEQGNALEEGGSRPSTVLVKDEHK UV8b_05162 MLRNQMPSSASALSKAKRVSRACLSCRSRKTRCDLDSEGRSGIP PCRRCVEHQLECVLAISRRGGRRIKGVRNSTTQPVNPDRKTGPTPFGQKPPSTAIHDD DDGDGGDGGDNSDGDESDHSRQTGQSCGADHRPIVVRDSRTWPPAPQQTGNWRAGSFE AGSDRDMEDSRTSPDGLEGHIASTDLLNPSDALGLLAQVADLDPASQKHTVKAKTGAA RGHVAGRRQDAGRTAVGQYPPLHEGAFSVSDASYLLKRYHDKFHPFFPVAHGKIFKEG SISEWAEKEPHLLTAILTVASKDDPAWSHVYEACSRHIESFMSNLIYAGSSSIGSVEA LLILSEWAPQRPQENSAIGCGQEDHGAWMIVGLAIRLGYLQRLEQAALLPDEGKLSEE MSRKRVVWAACYISDRQVSIRLGKGFWSRGPGPALNLRAADFPTLHAQGLGPDNMGLL FQAHLELTQLISNAHDILYSSTTHRKHLYVGGEYVRYIDDFATMLRKWKLLWANHSFT PPVKASLVLSFEFLRLYINAFAFEANLNRAAAQNAQESPRRSNGPLFSNVAGKPDARF IYESIDAANSLLSILNSFIDPVAGLKCMPLKYCLYVIYAAVFLFKARLAGAISSEGDR GVRRAIQGTISQLQKTSDNPQSLGRRYATSLRLLWRKSSAKQSSQDCSMRSDAVSEPS EPLVGEAEGQERPAPTAAAKPAMDMDPLSGFSWRDLDSLGQFIASNTTMTMTDGVLGG GEFDWDHGSGGLDDVAIRPQFDTMWAGHDIIF UV8b_05163 MAQGDPEAQSAPDSGPRPRSISPAAKDGVPPARLRHVDRALARI GNGEVELTEQDSRRICRKTDRVILVVLVWVYFLQILDKSVLGYGATYGLKTDAHLTGN QFSLIGSIAPVAQLAWQPFSSVLIVTVPHRILMPSLCLGWGVAQAAMAAGHSFGGLMA ARFFLGLFEAGCLPLFSVITSQWYRRAEQPVRIAAWYGTNGAATIVAAALSYGLGHIN SPLLHEWQIIFLFVGLLTIVSAPLAYWRLDNDIPSARFLTEHEKAQAIERLRANQTGT GSREFKCRHLLETALEPKTYLWIGMAFLLNAVASVTNTFGPLIINGLVSDKYLSSLLN IPFGALQVVVIFLSSFLAQRTRLKGAILVAFSLPVVAGLAVLYAVPRRDSDRAALLAG YYLLAFLYGGIPLIVMWIIGNTAGTTKKSANMSIYNAATSAGNIVGPLLFNEKDAPVY KPGLRACLGIIVAFAIVVSLQWANLIVLNKLQEKKRVRNGKPAKIIDRSMESSYHAMD GVAREETAAQDSAPAGEAEYGGQPRIGERAFLDLTDRENDEFIYIY UV8b_05164 MRCTLSALTALLGAVAAAPSSLLPRARNNGFTIARAGGVDKIII TEDNTLNGTYHDSKSKSVSVSASYSATHMPFQFVNNFGGGQVKAYVTGLDSDDKVVFI KSDGSLYYPSSGGSEVPVEISNHDIAINLPGRDRRFSLHLPIPMHSGRVYFSEGELKF FMVKVPGGDGLVQPSVTNTADPSSETNWGFIEFTYNADGSIFSNISYVDFVGIILSMS LSVKDGAGTQETKGLDGGAVSALCNGLISQSENDGRNWGALCVANGAGEPIRVLSPNV YRIVKPGDFEDYWQAYVDEVWHYYTDKALTIDTQGPAGNVKCRVSGGTMSCDGDNRPY SKPAAADIWGCDSGTFAKQNGDNGVHLAVIPRLCAAFVRSTLLIDQGNVQPRLAAKKY YKVNPTNHYSRLVHGREIDGRGYAFAYDDVNADGENASGTLSSGRPNTLTVYFGGSPS UV8b_05165 MNLSKKLDRAVQWAGEKMGSDAKTSHSEEFQRLEAEMSLRQEGM EHLQKATSVYSKWLSRRCDAFEDKGRSPPSALLGRTMTAHGNEFEPDSDFGNALVAVG RANERVANLHSNFADDVTANWLHHVDRNVTMMRDYQTARKKLESRRLAYDASVSKMQK SKRDDFRVEEEMRINKTKFDDASEDVMRRMQDVQASEADSIAALDAFLESQLNYHEKA AEELRRVRHALAEGGRPDSPSGAELRISRARSNTSRSWQDARQGESGRSSPLPERAPP RRAASSHVAPPLPTQPPSLPAPSQSSRQSLARAAISGTRTPLASAGARAPSLRATADT GGYGHQSDDVFRDDDDSTGSGDGSHGWGNRSASSATSYDSISRVGSGNELRKATTAAP PPPPPPNRAKKPPPPPVPARRAHLNR UV8b_05166 MATEDERYRQSSQFRLWSFSPTNLQELRDKTNSLAKQQITSRLD PVPDFLTADEEAQLVKFFTIELIRAAQFCELPTEIRSTAAVFLRRFYITNSVMTYPPT ELLKTCLFFGCKAEGFYIRLAKLAEKFPSTTSDQILAGEFLLCQGIRFAFDVRHPFRA LEGAILELRKRLPEEEPRVNNAHARAREILKFSSLVTDVYFHFTPSQIMMASLLMVDS GLVDVLFPRPVGSAGLRVDEAIATHTDLHEKILTTLKSCQAMLETEPPERMTEYWGTP EIVKSMKPLRRKLQKCRDPDRANLVELQRARREQAVAKPKNANPTNDGAVFGDSDSRE AKRRKVDNPDDVFGPALR UV8b_05167 MKGAARPSVPSIVIEPPAGPMPAPRPPPSPGDLLQITGPAAAGD GFMADETKCRLGQSLGASYCGDFEIRNAYYIGSVRKPRLCRTVHLGEEVALTPLYAGS SLTFLSPPGQSASATSPFSRSTVLAECPFRSAIRIAVPVAQQRYTAQGLPPARLLLFG AELHVWRRTHTAGRSTMFLRNSQPITGYQLHRVKDQPAAMLPRPSLLAQAILFIMVAL LSLGLAAPTPGAPDHDHSTRGTGISMEDRRMLYKASVASLEPEARDAFALDIRHYLLS SFLIPCGMAACPPTMEILAFEYAKRLVAYLQDHADRDASQALDKLVQVSTAKEHNIPK EVLDSYIALIMELLRAM UV8b_05168 MISSALPLAAVIGSLAVGSVHALPANANAHAAAPAKATLGKMLW IDGVPVERAPANEVVGRGLFQRASPCSASEEVLVCAKRIAQKFVNNVSADQELPRKQL VWRTNPKYHSRMGWSDMTLDYTPVFGSCKLSEPVVVSQGELFACSVQGGCSQTFIQSK TTTQTENYGYKVGTSVTTSGTIGLVSLSVGVSSEWNQGWSSTNQVQTTSQRTYNLANG EVCAPTTVQIQMDCIGDFKDAQLTFHTNKAKDPVQTQSLRSWCDSGKSNPQQMTDWQK WTGQDFNVLCNSVYNSRVPRKMFLGSGALDNSPWTVQGCSMGS UV8b_05169 MDLLLLRFLAVIGIQICLLTDRVSGLNNCPGDVAVYYQPLEVYC QGNTYTLTGAYFSPVPSTCTDAASVSGPSAYGMQTSTAGPATTSPYSGCRSPNQIFGF SFYGCVVSSAGFPSLTKVATSVKMNLEMCAASCTDMVFGVYSSGTVPGSVVVQTPQRG LYVTVTQALSGTNSVATTITIPPIGTIPGSVIVQTPQVGPYITLTQAITGTNSQPTTL TIPPSGTVPGTIIVQTPPGVYVTLTQAITGTNSLPTTVTILPSGTVPGTVIVQTPPGV YVTFTQAMTGTNSLPTTVTILPSGTVPGTVIVQTPPGIYVTFTQAITGTNSLPTTVTI PQSGTAPGTVIIQTPPGIVGVPTTVTIPPSGTVPGSIIIQTPAAYGPYITLTQPLTGA NSVLTTITIPPSGTVPGSVIIQTPLVAGSYVTLTQAVTGTNTVPITLTIPASGTVPGT IVIQTPLVGPYITLIRTITGTNILATTITVPPSGTAPGTVIIQTPPGGLYITLTEPIT AGSNSVPTTLTIPPSGTVPGTVIIQTPLVIGPYVTLTQAVSGTNSVPTTLTIPPSGTV PGTVIIQTPAVTGPYVTLTQAISGPNSVPTTITIPPSARPPP UV8b_05170 MVVIGNIYVIAAVAVVGGGLFGFDISSLSAQLGEQSYLCYFNQG PHGPPFTDEKCSGPRELVQGGITASMAAGSWLGALISGPVSDRMGRKYSIMVGCIIWV VGSTICCAAQNIGMLIVGRIINGLCVGMESAQVPVYIAEISPPSKRGRFIGMQQWAIT WGILIMYYISYGCSFIGGEHYYDWETGAFRVPWGLQMIPAVFLFFMMMILPESPRWLA RKDRWEDCRSVLALVHGKGNPDHPFVATELQDIKDMCEFERRHANATYLDLFKPNMIN RTLIGAFTQIWSQLTGMNVMMYYISNVFRMAGYSGNANLLASSISYIINVFMTIPALL WVDRWGRRPTLLVGSVLMALWMYTNSGILAVHAEIVPGGIDNIASQSMRLTGSPAKGL IACTYLFVASFAPTWGPVSWTYPPELYPLRLRGKGVALSTSANWAFNTALGLFVPPAF ANIKWKTYLIFAIFNTVAFFHVLFLFPETAGKTLEETEAMFEDPNGIKYLGTPAWKTR VVTKLTDRAEHGDVEARREAKHKLSDAHEHDLEKAATTNATTTATTATA UV8b_05171 MPFVANTPESLLDRADSKNPVSTCRGITSSGRPCRRSISSSDSP ARAAPPARSAPGSRLDLSDETLYCWQHREQAGHSAKSSPGPRPSAKPVPEERRCSLDT LADRLGIVDLQGKRHGKRHRGRAQNEPASLSRPKTPNPKPLRFCLCFSIPSEEEVHEP PRRPRPTKPRPQPQPIQKMPNLQSRPGLPPRHTGPSSRHRQITSPSASSPGKASRRSA KSALSLTARLKDLVPDSLDAPTASALMAELARPHAESEEAGYIYMFWLTPSAAKSPPP VDAARSLLAPPDPDACRRRRRRRRPSDAVPGLADARGSSAGSAGSRTMLLKIGRAANV QRRMNQWRRQCGYDIEVLRYYPHVAAGSDDDDGARGKAAAPRMTPHCKKVERLIHVEL TGMGLRADMAACEACGREHREWFAVQATREGIRAVDHVIRRWVEWDEEGVD UV8b_05172 MAEFSSASYEILSSMGQRDRLFDVDLDSGLGRNPSAVPLHLSRV LYIPRHSMLKLRVYEAAAQYRKAVDSSPFGGEQVTGKPLMGSRLFKTRLNTLELPSTE GGPAEYSVDLDVEKIRDGGDISATFRGHQRSSESAPEVSIMLPMLTDVFTLSLMLGVD STRIEMSTTYPLPGLRTNAGVIRFPYFRFSLPGCGVYEWQIHPRDHGTLRYTLMQIQL GGGDKRSEADSGDGSIKAIYHHIGHDRSLFLHQSQGILLLPELKDARDGQLEAVVVAS LVGLLWRIRGMEIRHDDTQCQEKTGKKRTLLRRVFGR UV8b_05173 MDWKCQRLFLFLQILSCLLVQGVVSSDSCSDNALVKYQPLQVLC QGSTYTLTGAYTSLGCTSATAGPGTGASGTTGTGPGVIPSNTPTCDAKCQLPSQLVGF TLYGCAVSRAGFPGFVKVATSRSMDLGTCAAVCTTRFIGVYQSDCYCGDVLDASLTVA DPSSCTCNIPCPGNSQQCCGGASPLGLKLKRQLTTLATIALTLYQRTSASSMSSVGRV IYTTTNSLGSDSYVTIYQPVGGSVTAPTTITIPPSGTNPGSVVIVTPPATGDYLTLTQ PFGGSITAPTTMTILPSGTRPGSVIILTPPGTGAYVTVTQPYSGSLTVPTTFTIPATG TIPGSVVIITPPVTSLVTVFQPFSGSLTVPTTFTIPPSGTNPGSVRITYSGYNLDYPP ERH UV8b_05174 MKWASWRLIGLWGLEACLLSGRARGEAASCSTNTIVNYQPLEVS CQGSKYTLTGAYTTTTCLPVTYVTVTTAGDGAVATTVTLQPSGTAPGTVLIQSPAASA AACSSGCSLPAQLIDFRFYGCAASSAGFPTFTIVSSSSSMDLGMCASLCTTRYMGVYN SNCYCGNALDASLTVGGSNQCACNSACPGNANQCCGGQAILNLQRRYVGRLRRQSAAL ANIAMSLYQRSGAGNNTTTGTTTTGSSQPSTYTTVTQPYSGSLTAPTNASSDPVTLHD PYPSSQATSGPVTIYLSSQASSGPITLHDPYPSSQASSDPITIHEPYPSSQASSDPVT LHDPYPSSQATSGPVTIYLSSQASSGPITLHDPYPSSQASSDPITIHEPYPSSQASSD PVTLHDPYPSSQATSGPVTIYLSSQASSGPITLHDPYPSSQASSDPITIYDPYPSSQA SSDPVTIHEPYPSSQASSDPVTLHDPYPSSQASTDSSSSFIPFATTIAVSLRSEPSVL FGPLVPSTTTTDAPSDTTSDPAPSAT UV8b_05175 MASNPPVVGLLGGGQLGRFLCEAGAPLNIPIAVLDADNCPAKQV NSNRHHVSGSFKDPAKIRELAAHCDVLTVEIEHVDTQVLEEIDTHGVQVRRADGTLST KRVAVQPSWRTLRLVQNKYEQKEYLKTQDVRVAEQIPVESGAAMLPSMEAASAKFGFP WMLKARKDSYDGRGNLKISTRADLDRAVDEFGNLSCYAEKWVPFELELAAMVVRTEDD QGNLKRVIPFPVVETVHEDNICSKVFMPPRGVPEHVCREAQRVAVSVVEKLWGRGVFA VEMFLTKDGDIIFNECAPRPHNSGHASIESVPYLSQFKAQLVAILDGPLPETLEPHVS SSIMINILGGARPDSHLALVEKAKSMYGKGKAVYLHLYGKESKPGRKIGHVSVTGVGS IKELEDYAEPLLKMADAIRQERLQASSQALRPAAAPAAPSATTGSSRSSRSSSPPLVL VTMGSDSDLPVLKAGIDTLNQFNVPWEIDITSAHRTPDKMAQVARGAADRGLKVIIAA AGGAAHLPGMLAAYTPLPVIGVPVKATHLDGYDSLLSIVQMPRGVPTATVAINNSTNA ALLAIRFLGAFMPELLQKMKEYQANMEKEVKNKANILRQGDVDEYLSNMSKK UV8b_05176 MDFWSRLLSPLASGNPRKDQAKDPAKRLHRFEKEYANLLSKWRT SSNLCRDSEAAETLEIRLQELTNILSDESRRPLPHPCIQYASIKQIYVPVGKIATTSY NEWIIKEAVLFFATLIESEEEAFVENPTFSASLTNLLVRITSVNSVRLGLDTESRVVE LAFNITTKIRLDPAILPAWFKAASPGAGGGGGGGGGGDDGDRDHRDRFAGRTQRVDFP LFYILLDYIHHEGKVGDFARTGLLYIIEAASSSSALEQWIVESDLSTLMATGLGALYS QLSRKLVIDHLPHDLPPILALSDYQHPVSSYEITSSCSPEFQLHLETFLSHLLFWQDV LNHCRSVEVKSTLLEHFQVIFLQQLLYPSLLESSDIDGGSSVAVLTYLRRILESLDHP DMINLILHYLLALPEPVPPKMKPSRSLVSDARRRKSMDLTKMLAEKAEETATPLLFSL VDLLLSCLRSQNQQTIHVSLQLVSAILKKHHRYAVFTLLRTDVLPSQADHRTVGAHEQ EIDHLVSLAGSIGGKTNFDEIYANILKDTMARVENHHCSLRLLAPRASSAGGQNLADS ADGTPGAPRDVREHTLRPDDPLLNVILDLLETFFVNPVETNLSVTETVVDLAICGYMK IEGWLARNPATYSYVREEEDPEHGCETSAASETAEQPPPLSPPPPPPPPPQTADSSSL SETPDEAGRLKSMERCRTRPKWVESRLPRVLHVLKHLVDQVATYKQSIPRFDELLEQR REAFQTAESMLDKPPAPIRKASPAGPGTPERPSLDEQLHTGSPPRPSALEGLAQRLFS ERGSPGRSASPKGRKDQARAPPGTSTPGAQVAGGLEVLTPKAIRVPPRDFAASHTDAG KSASARTFSAASVAEPDLGPPPQERDMAAQSQAAAFAAIDQSILSRRVGLKKDKPKPV PSHPTKRIGGIAIGEEAGASTTEQVDDGKGADGDDDDTSQDGSEAKEHSHSVSVSHIL TNVIIFQYFLLELAGLMQVRAGLFDEIRHV UV8b_05177 MPRASGSGKRQQGANSSHRDSRHDHGLVSPAKRTLGRKSNGQLD GSARSADHLAGHAGPSPSPSLVPPHCQSTNAAALCKDGPDAAIEVRCNGALRRASLGN YSETSSDSGHSQLGVNGAVVDAGHRQIDVNAMKNVDVHRDSGPLEFAATVVKSLPMQD TLAILIILMHVPYMTLSLIYASFALITFVPPVTTKTGMNINLAEILDGNAHTPSLVTI LCMDFFFFLVWVFLWQPIQDGILEFAKPVIAITLGGGTNARDGTSRGVTTCFTWILLH QAIRATRSYWAKLTRHIPGHWPVPALLSESLAARATSYERRSTHGWIQSTLAMHILTQ GIVRFVREWYLKREKFISASGVGDPEAGKPTSHGGSFGQNGGVSTSHLVSSGHDAGSN AAETDAGTCHPSQATTTASTNKKRRKQSAQVRLQQPLWAALASSKIVAVKEYELSKWA PDSRGSNAPDIHNLGSAPFHHQPRQIWISYVGSDEVCFNTSYFPDFVATEPVRASTNL NGHADALRRPGVDTSKPFYVRINNAFWQPTRIFAVHGTAEDDDDDDDDDDDDDDDDDG DDEVTRWTGDIYGLRPASTYVCEFVDTRTGEVILSTTIRTAKETLRESDALSPSFPPD HPPLRPDSPATILRNSIAAEEARLADERLRLKTWRKESKTKINAIKKENELVDNQLSS AGNSDEKHKQRIRQHETQKVQAERDTELLGQQLGKCDNAPELSERKRKLEKMYAAEKK VFEAAEKQFEDYKCQLDTEVNAKELDKFNLNSRRNKIGSRIDKVEKELRNIADANTRG LDEAERRRQERAAWLENNGAIESNYNDRISLASRANATRTEHIQNMHAQLQALSPFAN PTNGMSLDAAAGDAGLLPPPQPQPQPQPQPPAYRQQPSAWNPSSNPAVLSRYPAPLWA GSSGDAMPPVAAPTTQAGLTWLPPPTAAPFEPRGVKSRGRSSSMLSDVSGFTEPSDVG SFKSPMPAPQRPATGCSAGNGTSGGSSGSTRSGSVGEPASPS UV8b_05178 MATPNPELRRQVIAIYKELLHLGREYPLGYRYFHARLHHAFMSR ADERDEDKIVAAIARAEYVKKEVETLYYLKRYRTLRKRYDPEA UV8b_05179 MQTRQAPFAAIATDLGMLRMGFMESSAESLSSASRAASLSARTT TTLDNQGGENPLFSRPFVQRNGRTYLNDTSLPYPLPSDLTETHRQSLRSLLLIQLFGA PVCSSSVTKKPPQRVLEVGCGSGFWSMMCHRYFKERGHSQISFTGIDIAAITAADAPK PDRDMQWEFVHHDIRQLPWPLASEEFDLIMVKDMSLATTNAQHQGFIDEYLRILRPGG TLEFWESDHLIRMLRPHVPGSAPTVDEADEQEAASSLGAYLLSPNTPLTAPQNEYLVE YNGWLTRALESRDLSAVPCTLVGPLLLQESETLTEVRTRRLAIPLSEVRWEREGVGGV VTQDGKQTGEARGKEHKMGKTLTAGQIALRKTALLTVVQQVQALEPILREINNKSLDE WDAWLGKMMGNLMVNSDSILGECLEVGAWWAKKRKTRSQQG UV8b_05180 MYSQNHQQQGHNARLNGAGRGIPNMLYNFQQHAQHQHPSQPQHH QSLQHDHGLSSGNGLGHHTPFATNALTNSNAFNSGVLSNGHAATSRNQAPQNEMWQEQ LRMHKEAERAHSAMTDQQQPHYYARLKASENRGIGGPSPSRGRTQADGENDPEDRRRP YLLERETTRQDWHNMDMSGQGLRNLAPELFQYKFLNELYIASNKLTRLPKEIGELRQL RHLDASYNQLSELPAELGMCTYLKNLLLFNNDIRDLPFELGSLHLLEMLGIEGNPLDP AWKQEIVEKGTKRVINALREGAPMPEPPAPRKDIIIQDDVSPTLERIKVFSWNILCDK YATPQIYGYTPTNALDWQYRKGCILQELRLRDADFLNLQEVSTDAFKEDLSPELAQMD YRGVHWPKSRAKTMAERDAQTVDGCAVFYKQSKYILLDKQLIEFATIAINRPDMKNQH DVFNRVMPKDNIAVICFFESRLTGARIILVNVHLTWDSVLADVKVIQTGILMEHLTKL AEKYARWPAVKDKKLITGPLADKAEAPKPQAEPGPSQEYRSNTDIPMLVCGDFNSTEN SSVYDLMSMGRVPPNHPDLSSYQYGSFTRDGIEHPFSLRDAYAHIKNTADEMPFTNYT PGFADVIDYIWYSTNTLEVVDLLGPPDPAYLKRMPAFPHWHFPADHIQIMAEFIIKAR KEKKQNPDREQQADSSGVSASGRG UV8b_05181 MPGRNDAAPPAPPAPSAPPVPNNWTPEPSMGVLPVLANPHDYPD RAPRSNAATAASAAGVRALSAQLVAFYFRAPAKAFFRTRVDYLAYARTVHQQQLTRML DAAARDGSASGSGSRSRSRSRSRVFLRRAWIWLASTTPGVVTSAVRHQGWSVVPHQIL PPLLANVGVGAVLYTSYLHLLGHLHQGSAKAAKRVYPPPSPASTFAAGFLAGGLQSVL AAPLDALQARYDHHDLMPGDGSGRPRSMWSFGAEKLREIGLRGVFAGWGLSFAKDSLG SAVFFSTFEYVKAQGYYRFVTWYYGGLEQDTVDLLAVKRPPSQQGAAAAAAADGKASV LRPHYAIEPMFLFAAGFGASLCQQLLLHPLSHLQAKHWDRLEELDEKAAQRRRHRRDQ PWRMLRDYCRAYRATWAACKAEAAAERQRLTTWLYRGFWWNAIRQVPSTSAGLVIFEL IRRKYGYGAQEVRITKDGYDILLS UV8b_05182 MTVRKVQSAVSASTPAPAPSTSQSGSVARIADSDTTRVGTVPER LTKPWKKGPQWTWVLRNANIVDPGSGSVHRNQDVKLSGGLVEAIADEIAAGSQDFVLD LEGRYVCPGLIDCHVHLSSVAGNPSLSGALSASDPAASYFRQPFLCKQILDRGFTSVR DVGGATLALKEAIEDDVFPGPRVVIANKALSQTGGHGDIRDSHDTQRCCGGDTILSKV VDGVPGCIQAAREQFRTGADFIKIMAGGGIASPTDKLESTQFTAAEIRAIVEVADTYG SYVTAHAYTPKAIRHAVDNGVKGIEHGNFIDRDTARHLASRGVWLTPTLVTYEALGSG KYAGFLPPANRAKNEEVLKRGLESLRTAHEAGVAICHGSDLLGPLHEEQSNEFRIRAR ALGNVAVLQGATVNAARLLRQDKFLGQVREGFAADLLILGRNPLEDVTVLSEPEKTVL GVFKNGRVYKSRWSRLPEDGMLVAGRG UV8b_05183 MATATMTVPAMAAPKKKGADMAPESERFLRCCADVASALIEDHE AVKDGKPARDINLNSLRSKLAKKHKLTNIPPLTAIIAAIPEHYKKYILPKLIAKPIRT SSGIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRAR YDPFEQARGRVDQLKSLGHSVDKVEYIIMGGTFMSLAESYRDDFISQLHNALSGYQTS KVDEAVEAGEMSNVKCVGITIETRPDYCLQPHLTDMLRYGCTRLEIGVQSLYEDVARD TNRGHTVAAVAETFCLAKDAGFKVVSHMMPDLPNVGMERDMDQFREYFENPAFRTDGL KIYPTLVIRGTGLYELWRTGRYQNYTPNGLIDLVARILALIPPWTRIYRVQRDIPMPL VTSGVENGNLRELALARMKDFGTTCRDVRTREVGVNEVKNKIRPNQIELVRRDYAANG GWETFLAYEDPKQDILVALLRLRKCTEKYTYREELTGQPTSMVRELHVYGTAVPVHAR DPRKFQHQGFGTLLMEEAERIARQEHGSDKISVISGVGVRSYYKKLGYWLDGPYMSKW LDGRDERSEQ UV8b_05184 MQALPRTAVRSVALRNVASRAYSSSSSPYSKTVDNLRINSDTKV IFQGFTGKQGTFHAQQAIDYGTKVVGGTNPKKAGQTHLDLPVFKNVGDAVKETGATAT ALFVPPPLAAAGIEEALEAEIPLVVCITEGIPQHDMVRITSMLKSQSKTRLVGPNCPG IIAPGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFS GTNFIDCLKVFTEDEDTDGIIMIGEIGGSAEEEAADFLKQANTVGGGKPVVSFIAGIS APPGRRMGHAGAIVAGGKGGADSKIKALESAGVIVERSPAGLGKALYDEFVRRDLL UV8b_05185 MSRERRIAKELIDIQADRDDSGVFATSADGHSLNHLKGTVPGPP DTPYAGGSFTIDIQIPDSYPFKSPTMKFDTKIWHPNVSSQTGAICLDTLSTGWSPVQT IKTALLSIRMLLEAPNPRDPQDAEVAKMLLDEPEQFARVAHEWAIKYAGAVRQNLDLS KFRNTDAPKASSGDRYKGYNKDLVDRFVNMGFDTDAVVDAFIFVGIDRNNGRDYELEE AYMGDVTARLLGEQ UV8b_05186 MGQGQSGMGGGDGRDDKDKKKDKPKYEPPPRPTTRVGRKKRKAG GTSAAAKLPAVYPTSRCKLRLLRMQRIHDHLLLEEEYVENQERLRKAKAAKEGAATGP DADVDRLADERGRVDDMRGSPMGVGTLEELIDDDHAIVSSTTGPEYYVSIMSFVDKDL LEPGASVLLHHKSVSIVGVLTDDTDPIVSVMKLDKAPTESYADIGGLESQIQEVRESV ELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQKY LGDGPRLVRQLFQVAGENAPSIVFIDEIDAIGTKRYDSTSGGEREVQRTMLELLNQLD GFDDRGDVKVIMATNKIDTLDPALIRPGRIDRKILFENPDQNTKKKIFTLHTSKMSLN DDVDLEEFISQKDDLSGADIKAICSEAGMMALRERRMRVQMADFRSARERVLRTKQEG EPEGLYL UV8b_05187 MPAAVEHDGNGSTPGFKRASAAYSYAQRSLDRAIPPSSRQNAYD HISAFAAARPVLFSLLVAQALLSGFPVLLFASFSVSCLVFALGAAILFALFWTGVALL VLVPTLLVTASIGVLVWAWCVGGFVVARWLYHHAPFGADADVQVKAGGKQVNFVKDEN GLDGSVVDKQTAE UV8b_05188 MSMPSSRDASDPDGVADATLQEPPAREDSDLPSPSSASLLSSSS NEAPEPARQPLARQRTIRNEDDLFNVLSRRRTGGTSRSAQEKDQDDEEAAEIERLMSK MFGQKRQQQQSEQEQTRHSGVLFRNLTVKGVGLGASLQPTVGDVFTSLPRKMATLLRT FSTASESQGKSAFRAAMAKPPVRELISDFNGCVRPGELLLVLGRPGSGCSTFLKAFCN QRSGFVSVDGDVTYGGTSADEMARDFRGEIIYNPEDDLHYASLSVRRTLSFALQTRTP GKESRLQGETRKDYVKEFLRVVTKLFWIEHTLGTKVGNEFIRGVSGGERKRVSIAEAM ITRASVQGWDNSSKGLDASTAQEYVRSIRAMTNMADASTAVSLYQAGESLFELADKVL LIDSGKCLYFGPAERAKQYFQDLGFECRERWTTPDFLTSVTDEHERNVRGGWEDRIPR SPQDFAHLYKTSQVYRDTLADMEDFEASLEKRAEERRQRGSEKTRRKNYTLPFHKQVV ACTRRQFLVMTGDRASLFGKWGGVLFQGLIVGSLFFNLPQTAAGAFPRGGALFFLLLF NALLALAEQTAAFESKPILLKHKSFSFYRPAAYAIAQTVVDVPMILIQVALFNILIYF MANLSRTASQFFICTLILWLVTMTTYAFFRMISAWCKTLDLATRFTGLSVQILIVYTG YLIPPESMHPWFSWLRWINWLQYGFECLMSNEFASLDLECAPPYLVPEGPGVTPEHQS CALKGSVPGSASVRGSSYIQEAFTYSRSHLWRNFGFLWAFFLLFVIMTALGMEKMKPN AGGGAITVFKRGQVPKRLEKTIETGGQEAAAVDEESGPVAHVGPGMGNGQGNEKPESG DSSQESTKQLAKNETVFTFRDVNYTIPYENGERKLLQQVQGYVRPGKLTALMGASGAG KTTLLNALAQRLNHGTLTGEFLVDGRPLPKSFQRATGFVEQMDIHEPTATVREALQFS ALLRQPRETPRQEKLDYCETIIDLLEMRAFAGAVIGKVGEGLDSEQRKRLTIGVELAS KPELLMFLDEPTSGLDSGAAFNVVRFLRKLADAGQAILCTIHQPSAVLFENFDELLLL KSGGRVVYHGPLGSDCQTLIRYLESHGAHACPPDANPAEYMLEAIGAGDPNYRGKDWA DVWAQSDEHRQRSADIADMISRRRSVEPTRSLRDDREYATSLAFQTRTVVRRSFVSYW RSPDYVVGKFLLHILTGLFNCFTFWKLGYSQTDFQSRLFSIFMTLTISPPLIQQLQPV FLRSRSLFQSRENSAKMYSWFAWTTAAVAVEVPYALVAGAVYFCCWWWGIFGTRVSGF TSGFTLLLVLLFELYYVSFGQAIASFAPNELLASLLVPVFFLFVVSFCGVVVPPAQLP TFWRSWMYWLSPFHYLLEALLGAAIHDQPVECASSEFARFTPPTGQSCQEYTAAFVSQ AGGYVREAAGGVCEFCQYATGDEFGRSFSVYYDHIWRDFGIFCAFIAFNYGVIYLSTW LRFKGRNPLGRIAPGKKT UV8b_05189 MPSLPETEPQSSTSPRRSRVAEDLKVFATEWMRLNAIDLLVLAV VGGLSFAIYHAPMTVVRTFPISFTGSGDIIYPEWAYPYRGWILPSWLAGLISIAGPIL IYMLAQIRVKSAWDASNAIMGTVWALALGSLFQVTIKQLIGGFRPYFLDVCMPDISRA STHNKTGLNGVGFQQVMYTTEICTQTNRSMLKNAVTSFPSGHSTAAFAGFIFLFLWLN AKLKVWADHKPAFWKLALTMAPLLAAVMIACSLTIDAAHNWYDIVGGSIIGTVMAAAS YRSTYAAVLDWRFNHLPLQEKEPFEYSAEETSAIAAQTFTRSVGWGARRQWPDEQDGA SSSNAFFAHHSTTRLPRGGGKTGQAAAAADGAGSKARVLVGAEAV UV8b_05190 MGLAKLSVSLLALAGSVIAADMQAIEVKGSKFFYKNGTQFYMKG IAYQQEVGAGGGSMTRRDDSTKTYVDPLADEKACKRDVPLLQELGTNIIRTYAIDPKA DHSACMKLLQDAGIYVISDLSEPDNSINRDSPQWNTKLFARYTAVIDELAQYTNVVGF FAGNEVSNAKNNTMASAYVKAAVRDTKAYIKNNKKITRWLGVGYAANDDKDIRAEIAD YFNCQSVDESIDFWGYNIYSWCGESSMQKSGYDLQVQFFQNYSVPVFFAEYGCNNNGA ANRIWQETGALYSDAMTVVFSGGIVYMYFQEANDYGLVKVSGDKVTKLKDFDALKKQV TKASPKAVEESSYAPSNKMNQCPKLDDNWQANSILPPAPDQKLCDCMVKSRSCVPKSG LSSKKYGDIFSFICTKSPQSCVGINGNATTGIYGAYSMCDDQSKLAFVLDAYYNSQNK AKDACDFSGSAQLVSGSSDSSCSSALASASDVNKKAATATGPVGGGAAKATGTGDSFA MHGAPVARLFSVGDFAIGLYMLVALCVGAGMVVL UV8b_05191 MSSVQTRSATSAGTKVHLGKNAPVTQEAAGPVKHDSLAAESYKA NGKFAENRDAEPEGPSYTTSKSSSSHARVEGVQGAPAPTYINSQFISDPHGPHGKNLK EEGFDHQQVSDGQRKAFKAEPGSIDDPGRLAEVKFEQRDAATPLAALNKDSGELSKGT AFDGLQRDVSA UV8b_05192 MPCQVGSSENSKDKLSAIQPLSPDSGNSQEKPSAWQKTMKPVLN SKVMRPGVVRSPPSTTLASLPYPGYVEDGEESRRRRIGESQPQLVPDDFIVGKCKAEE LGGFACRGLGGHASRE UV8b_05193 MPSASKEKRLAKKAAEGKLKGGKAAKGKKEPELDANGNAVEDDA PATSGEKLDEVKRLAEQMDEYGISDRVTTGVLASTQASKDVKITSTSLVFHGRVLITD STMELSFGRRYGLLGENGCGKSTLLKAIAAREFPIPEHIDIYLLNEGAPPSDLGALDW VVTEAENEMDRLDKLAEKLLEEEGPESPVLIDLYDHMDKMDPSTFATRASLILTGLGF NKKTIHKKTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLEACVWLEEYLKKWD RTLVLVSHSEDFLNGVCNNMIDMRARSLQYYGGNYDSYHKTRAENETNQMKAYTKQQE EIAHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVVPDKIFTFRFADVEKLP PPVLSFDNVTFSYSGEKADDLYRNLDLGFDMDSRTALVGPNGVGKSTLLRLMTGKLSP TGGSVTRHTHLKLGLYSQHSAEQLDLTKSALDFVRDKYKEKSQDYQYWRQQLGRYGLT GDSQTALMGTLSEGQKSRIVFALLAIDSPNMLLLDEPTNGLDIPTIDSLADAINAFSG GVVVVSHDFRLLDKIAKQILVCENQTIQPWDGSIGEYKNYLRKKMVSAGAV UV8b_05194 MASSSSTPARAGAPPSLPAPSSSTTNNSNSNSNNSNHSNRLPAV PPPPSPSPRHAPLSTSSSSSSYFPRPDLSDSQHQSRQKVASGRVYDPTTDTTKERRTS DSWHNPPQKLTPKPRDPYPYERRPGDQLPSSSYYNNNNGIFTSPRQSYDRYRSPVSHS HPNLPVGSHSPPLSRVTHMTSPSQRHVHTPATTATPNGSSVMSSIIKIEPRAASPIKT PSLSANSHSRSADPMSFSTILSSAEPAPKSVVQHRAPTPAPEAQEQEQDPETEVEPEK DVETELEALSAHRAEPMRKKPGRRLTKGRASDIREAEAPKSKRRPSVKRESPQPRSAS KRQANGQPKPGKTWSTEMEKKIQISEALIENDTSSLDPAEFNELEYKERARKRRRLMA DFDLEQCRLRRDDYTKTASRKLLLQSELGKRRYDDVFYDQALHEVREQELFAEKERKK DMQRKRRREKSMAVTIEQKEAALARALAAEDESERQKHLRDAERASKKAQQTKLILQK GIKGPARNLELNLEGGTMSSFLASDADTPKKGRGKGNRLKKTREQKKAEKESAEAAQA ALDAGKELPPKEESKVRIKIKGRPKDKVKDKEKEKEKDKDKDKDKDKDKAKDKSKDKD KDKDKDKGKGKETEAEEKTKETKDVSKEKKDDSTDLEKKFSSKGYNQIYEQIWRDMAR KDVNKTFKLAVESYGTKGSNLKKTAILASKEAKRWQLRTNKGTKDLQARAKRVMRDMM GFWKRNEREERDLRKAAEKQEIENARREEADREAARQKRKLNFLISQTELYSHFIGKK IKTDEVERSTDNPEIEQAASEMPRNKLDVAEPTGPVAARVTDFENLDFDNEDESQLQA AAMANAQNAIAEAQKKARAFNNEGSLDMDEEGEMNFQNPTGLGEVQIEQPKLLNAQLK EYQLKGLNWLVNLYEQGINGILADEMGLGKTVQSISVMAYLAEKHDIWGPFLVVAPAS TLHNWQQEIAKFVPDFKILPYWGSAPDRKVLRKFWDRKHSTYRKDAAFHVCVTSYQLV VSDVAYFQKMRWQYMILDEAQAIKSSQSSRWKSLLGFHCRNRLLLTGTPIQNNMQELW ALLHFIMPSLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLRRLHMILKPFMLRRVKKH VQKELGDKIELDVFCNLTYRQRAYYSNLRNQINIMDLVEKATMGDDQDSGTLMNLVMQ FRKVCNHPDLFERAEVTSPFSFGYFAETASFVREGSTVTAGYSTRSLIDFELPLLIWT RGGRLDQASGDNVKAGWRSKALNHMMNIWAPEHVQKNVEGRQAFSWLRFADVSAGEAY KATHESLFTRAVTELQNKDRLGQMGVIYDDGDGRDQHFTPAHALFKIQSRHNRLPLAE VTSQGILNKLMNVAREAYRDSGLGRLEVAGRPRASAPPIEVACNSISAVKERQDVLFN AGMRRALFGPNFVEEKALVENKVPLELYPSRGVLPKPDSEKKRFTDVTVPSMRTFVTD SGKLAKLDELLFKLKNEGHRVLLYFQMTRMIDMMEEYLTYRNYKYCRLDGSTKLEDRR DTVHDFQTRPEIFIFLLSTRAGGLGINLTSADTVIFYDSDWNPTIDSQAMDRAHRLGQ TRQVTVYRLITRGTIEERIRKRALQKEEVQRVVIQGGGASVDFSGRRAPENRNRDIAM WLADDEQAEMIERREKELLESGEYEKQKKRGGKRKKAEASASLDDMYHEGEGHFDDGN KAGASGTATPAESDMKGKKGARGKGAGKRAKTAKQRLAIADGMVDA UV8b_05195 MPSVKNPNAPSGNRLAARAAAARKTRRKRSQLARNKIPPRDVAR GARPGILPTSGPRARLSAKKARKLDKKLGYALQRRAAAEQHAQGAGTGADAPDVEAQV EKQLEGDDAMEIHRPSPKTQARALARALDKVVPTRLVPRHLARALRHKANSRLRLAVE QALTVRDLRIDHDCLPAWEGLSWDPEAESLHDVIQRRFDDYQLTRQLGDLLHREIRAK SEARLREAQDKNVSIRGITIGRSLLPDWAGRDGPSTAEASSSSSSSSSSSEEEEEEEE EEEQEEEQDEGRWACADESPSCEEDPDMVICMNNRVSASPVYEDEDCYSNTVHDVEIV GVPGHLAAADLEVYLAVDDRDYEALPQVELAIDGDAFRAHFGDADGVDIEVDGADVVC LHAVEEAEAVAEAEAIEEGGAADEDMQGSATEGDEGDDDDESDEGDDDDESDEGDECD EGCGEQD UV8b_05196 MMPSQPGKEAGALSSLLLAAAALGRTVNGHEHHGETDIPQGQTV SLEPLDTTLWAHIFINMFAYGVIFPIGMVLGMTKSRWHVPTQVVGSALALVGFFLGHA HGGRKFVGNNIHSVFANILQLLLVGQVVLGLYLKGHWEKGVNGKIRKLIRPCHSLVGK AMPLLAWTQMVFGGITALGFCQGEGEHVGQCAAHFIMGGAFIAYGILLTIVVLVGQVW MQRCGRSQEFFDSAVITAWGGVNSVTEHRWGTAWIRNDWQHTTMGIVWWCAGLAGMWL SRDRDGNPKRNFVPGLVIFLTGWAMSAHPQDLMVSAMTHAAFGKTLMAAGLARIVEVA FVLRDRPSLSEDGRSWNSFQFVPIFLLYAAGFLFMGATEEQMQLVSQSGMDGMSYILI LFSLAFLLFLFVNMLIHLYDRLANPATDAKSFANGHAGANPGAAEEGQVRDAEEFELD GLMSDEEGDESRSMLRSVDGRGNHGRVI UV8b_05197 MMNPSPTVPNNAHLHAREQDLDPGARYGIMIALAISSGAFFVAC IGLAVAFCDWRMRYLHLRKELDDRGYSIADRNPAREETEGAEAARQQQGSEPMASGAL AG UV8b_05198 MPLSSDIPMGQDPMDANGQVLEPFTVEQNIQQLSDMDMSIAQLM NHTATALDALTIPTSNASPRGGDAPSLDPPAQKQAFKSATDSFLTTLHGIDVRMKRQI MALEEAGIADLSPASRQDPKGGSKAPSLKPNGLGTVGNLDVGWLNSRSTRVECDMEAE LWREASDFLQKQTNHRRDS UV8b_05199 MSGQPPTPVAPPANYASQAVTSPAGPPAAAGTAGSTGGAMSNQN LNQIVTDYLLKRGFNRTEEIFRQESKHLGPDGKPLQQLATIGPKKYQKAFKLLKEWVD NNLDLYKFELSKLLWPMFVYSFLELAGHGYTDDAKLLLKELGHHFQRVHADDLRTFST ITLPQHVSENPVAKLYQENKYRIPLNQHATGDLFNFLERESEQGGSVIRQLLVTYCQI DSTARGPITPFSFEAVFRHSKHADIEEVDAKEGVPGVSIGLSNKDILDPAQPLKLGPL PMDPELKDDVRAEIEDEEKRNPPAEGVSSMFEDFEQKIKREEDADAPSRADLPLPPSR PRDIMLEMSKVRENRDRFKIDGRTGGVGTSVSACMFTFHNTFGSVSCMDFSDDGQMVA VGTCESYIRIWSLDGKGLPTMNAHEKEAKFNSRKLIGHAAPVYDVSFSDSASGPPQKL FGDDGRPSAAIDGRPKLLLSCSADSHIRLWSLESWSCLCLYKAHDGPIYRALWGPHGH YFISGGYDKALRVWMQDHASPQRLLVGHDTAISAIAWHPNGMYVFSASDETDKSIRMW SVVTGACVRVFAGHADHVTALECAPNGKILASADLAGNIFFWDLAKGTRIKRSRGHGR GGIWSLSFSVESTVLASGGQDGTVRLWDVEEPLDPQKAAAQAGIEATAAAAAAAAAAT SAAVEGGAAATATPDAARVNAAAAGAAAGQATASAAAPGTQKKRSKEVMVTHDQINAF PTKKTPIMRVKFTRMNLVMVGGCYDPER UV8b_05200 MLTSCSALQLLDVNETRPCSVQTPGCLHTADPKTVLSHGQIDLS MEVEESPWADSGHNSQETTSQADPTISPPAAAAAASQASQVTSPTPRPSRGPRRLVAQ PTRLEAVEDPLGPLSASADDNGGVSDAPPVPPQKEQMVIRTMMPQKQQPRRPTDPRHV DDEDDDDDDDDFKTPSGPRAPPPVDAPRLSSVRSKTQPSVSVEQAAKPSFHITVGDPV KIGDLTSSHIVYSVRTRTTSRAYKQPEFEVKRRYRDFLWLYTTLHANNPGCIVPPPPE KQAVGRFDSNFIESRRTALEKMLNKTASHPTLQHDGDLKLFLESEAFNADIKHREHKE PLPSESKGVFGSLGLSVGRGDKFVEQDDWFHDRKVYLDALENQLKGLLKAMETMVGQR KMMAEAAGDFSASLHALSTVELSPSLSGPLDALSELQLTIRDVYDRQAQQDVLTFGII IEEYIRLIGSIKQAFGQRQKAFYAWHAAESELQKKKSTQDKLLRQGRSQQDRLNQMDA EVGEAEKKVHQARLLFEDMGRLMRAEIDRFEKEKVEDFKSGVETFLESAVEAQKELIE KWETFLMQLDAEDDESAFYRPPVFQQQSKPPGNTAIDRARARMDEDSD UV8b_05201 MGKLPLLPLALAPSRIIASTPPQRSKPWLSPSRLAVQVRHATFI PRPRRPYTFTQLVTLSDGSTYTMRTTSPAPIYRASKDTRNNLLWQPSEKSLRNLELDE AGKLAAFRERFGRAFDAAAQSEDGEAPAEADGRVDDFADLITGYAPQDANSMMDSGPK KSPTRRK UV8b_05202 MPLLKGARQGALGAIDTGGWIPRRPQLLPLRSTPEPPARCRHRA AGRHFQTSSSAGSAGSCKSDGAQAPTADPDVETTLPEQLRSVLRCLTNPIVVCTATHD GTPRAMTMSSFTSLTLSPTPLVSFNIATPSRTLDAIAASREFNIHVLAGDASGAAVAD HFARGNADGVFATMEGATYTAGGRGGRDAAPLIAGEGILRVLRCTLLREGAMEGLVRV RDHVVVVGEVLGMTAGAGANKFGLAYADRRYRRVGGVIEPGRW UV8b_05203 MSETFEFQAEISQLLSLIINTVYSNKEIFLRELVSNASDALDKI RYKSLSDPSQLDSGKDLRIDIIPNKEAKTLTIRDTGIGMTKADLVNNLGTIARSGTKQ FMEALTAGADVSMIGQFGVGFYSAYLVADRVTVVSKNNDDEQYIWESSAGGTFSITAD TEGEQLGRGTSIILHLKDEQAEYLNESKIKEVIKKHSEFISYPIYLHVTKETEKEVPD EDAVEEVKEEEGDDKKPRIEEVDDEDEEKEKKKKTKKVKETTIEEEELNKQKPIWTRN PQDITQEEYASFYKSLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTK NNIKLYVRRVFITDDASELIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNI VKKSLELFNEIAEDKEMFDKFYSAFSKNIKLGIHEDSQNRQQLAKLLRFNSTKSGDEQ TSLSDYVTRMPEHQKNMYYITGESIKAVSKSPFLDTLKEKGFEVLFLVDPIDEYAMTQ LKEFEEKKLVDITKDFELEETEEEKKAREEEEKQYESLAKSLKNILGEKVEKVVVSHK LGLSPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIQELKKK VEADGENDRTVKSIVQLLFETSLLVSGFTIEEPAGFAERIHKLVQLGLNIEEDETAAP TEAPAEDAAPAAETGDSAMEEVD UV8b_05204 MAAGGDALANGYDHGRVLRPRRQKSAAASTAAIAATARHGDAHP GHPGPSRPAESPPPSTPASGRSTPPENAPPSAKSLASARKHARAAEQSRRLFPTVEFA SRLSHFDPLSDHRDFHGFFNLFWVGLAVMGITTMLRNMKDTGYPLRIQIWSLFTVKLW HLAAADLAMVASSLVGLPLHRVFRRAPPGGRLTWARGGVAVQSVYQALWLALWIAVPF ALDWTWTAQVFLLLHTMVMLMKMHSYAFYNGHLSETEKRLRALDSPSAASDRRPAYAY PPANPGRRRSGGGGGGGGGDMDDDMDDGDMMNNNNNNNSNNNSTTTTTTTTATPPDDI QRLREALARELISPMGNLTYPGNLTWPNYLDYLFCPTLCYELEYPRTPRTNWAALASK IVATFGCIFLLTVVSEEFILPVLVDASRRLAALPAAGPGPGPAAEPLLILAETVSWLL FPFMLIFLLVFLVIFEYVLGAFAELTRFADRHFYADWWNSTDWMEFSREWNIPVYSFL RRHVYGASRPHTGKLLATLITFLTSAVMHEVVMACISKKIRGYGFVCQMLQLPIVMLQ RTRFIRTRNTLNNVCFWCSMILGLSMMCALYVLV UV8b_05205 MNAIYIQSLPSRAQDLDKPITPPPGDEDPSTKKRQWRVSVRVND HPATPTVIEDPFVEADYTTVFEHYLRSSNGSLWGLQSLVPAGDAFDGLSSAEDRIEAY EHHDGDQTSRAIGGIHCLAWELLESVRIRRYPKLRLRVTRVCDFPARHCVRLPTCCAP RLASVQADSRAAFRILLVIARDFSRTGFERDPEPDLAQWPLMKLQDRLGSRLTLEIVR PGSLDELERHLELRAEQKILFNLVHFDLHGRIMRDEAGTMVPWLLFARRHVPSNTGFS VPQTQLEKAEDAARVLARFQIENAVLNACLSAYNRSGPTTNLAHIFLRRGVSNVSAMW FYVHWRTAATYVDAFYDMLLVKCVEFHTAAQRAREALRQQPTAITGRIHQDFFLCVNY ARVVDNRTTTSSSSSSSTSWFRQLSPCRSARSQESAMSTSTSTSTSTSDCFPRALLDR PAAVGDSSIIARGYEPTIRLQLHLLELEYKLLTFKVIYASDLNKEDSHLDATMDMMVD MWLKTNLIGEVRYYKAKDFARRGILSRNACVSPREKRTRASNRASPQRWLSKSTAGSL RQTLHVVREVDAVVAPGFQTDELENLRHEKRRLLAQDGLQKFADKLRQEGHSCLIMLG SQNAQWWRTYLEHLDGEWWPDMPWGSCELHDRCGRTGSVGGVPATGPVGKHLTWGRDG NV UV8b_05206 MSPLLWALRLVHAFEDRIVEAILRQPGFHRAVGRIHRTIHERRH GRNPHEPLAPGEATEDPGTGSRWRVFARHFFDEMKNQSRGKPTDLAKRPPPK UV8b_05207 MSAAPSASSAPAPAPAQTPAPIPTPTSTPTSAPAPVPVPPARKV KFVASDPSRGGLPVKRRQVQQACAACRRKKRRCLHAEDAQEESASDDPRGHDSSPCQM SPSALPSVATPRMRSTSVMHENGAVHPTQTSEHSPCCDHDHQHQRQRQHREHHQHQPQ HQHQHPLTCSSSSRPANLKQQSSRFVGDLNPEGMFLEATASASARVSSQKGDVGIWLS SGAFGASGHSSQFITSCPPPIMDRFLLPFVREHCLSCLPPSQDLTQLRNAYRRKVHPI FPVLSLPDLDNNLDQPGSVVLAQLVCLAAAADPELTRHFRLQNRGPGLLSPLDFSQSL SSAVRAILETSLITDRVLHIQALIMLSLYTQPTCAEEADLPAQLGGRAIHHIQTLGLH LLRYDAPNCHDLENLFCCVWALDRINAAEYGRPCLIHERDIGADLEACIRKRPPCFRL FLSVVQWLDQVIELYRPGPSAEVSGLEKIAYIDLPVLEAMIVDADALKVPSSLIATIE TFYHGVIILSCRLPRPGTVPAASTLPPPSANARRSLAAERIACAVPRDDLSPMPFIPY SVSLALSVEYRKMRHSRLPMFRARAMSSFKRNCEMLRKYGRHFWSANVVASLGERVLK EVERAATTLTTREQSPHAPDGRSRSTSAGFQPANVRRGEMQTDNPAATTSAYGFDNAV DFSLIDAISGQDVFGHIDPSFNLDAVDDALEANLDIGLPLNWGDWGQFAAN UV8b_05208 MPPHIDVPWLSYREHADSMARHSIPLESLSPPPPEYGKMPRGGP ESVHNHYHMGGDEPSRAPWYRRKLVFWALAGILAFLVVSGLIVMGVVLKVLTDKKADS AHGSPGDSPGIASLTSTPSPTSGSETSASSAPATTTRPQTTATPFTMLEKSQLASAYV KAKDLASSRRVLVRQEDSNDLLVTEWAGGNITHYRIKDKLGSLFPNDAKPGTPLALQG DDVGTLHLFYLSRTNIISYVYEPSAGTWKAGDVSNEYGAIRTSAFSSLSAAWHNGRKA SGLLVIAFNNGAQRLQLAMAANPAERNAWYIADVVTLDTGSVPGQSNLPTYSLAGDWF VTDAKDKGRGFQQLLIAVVEAAEVAPWECAVDFWPPPDVQVRCQKGNATFHDEKDKGL TLVPPPKQFCWISQNEGQDDSVSNYDFILLSSDGSGTVHENSVGNGLTRSVGRGFKAD APIKAMSATTEGIVFVSSGKDVYAYRKQGSGWQLDVSTNGLFRRARHVEGGRSNE UV8b_05209 MADLDVPIALRRARRKTGLVKQEEPESDACHAPPPKTPRRARKA VRFSDPGVPGASASASSPPSSGLTPMVRRTSIGTPRHQRRASTPAASATQGSHHLPAG SALRRTFDGRVERRLRRDNVRHLLNKAEQEKRHRASVARSQIDHLRSEIKLRDREIHQ LQNATVAIDTERMWDLEQQVDDLKRELAKRPGTPKDDSRTFDWTLAARDPFDEYTDMM EGVDDDDDDGHGDGDDDDGGFGDATMAQLVASTPTRLTSSFPTPPATSPLLPTTPCSR RSLSFARPSHAGVQVCFPDAHKQEMQEELASLQLEVAKLTATLDSYRNLGSQLERQLA SVGTPTPAPTPAPTPLGSDTPMAHVLQAKVAALLRDVSDGTAAVARLSRQIKDLGFPG TDAGEMVTALASGFRAARLELEYLTPGEITLPLTCHGAEVLDLLLSRLRELAKKSRED DLCIDEYHDLEQSLRKQLDARVTAMDQLRAETGKAERLLHEKKLQVQQLEVGNARLKG AVDGYTRDIGELERLVERMEQEHAEATAAQAECTRQQVLEREADVAALRERLADAVRQ TGRLQEEISDVQDSSTRHVVALNKRHGSALALRDARVLELRGEMDRLNDALRSAHEVI CELRAERGGLQAQMDRDRAKAAAVVEAMRAELRRVLQMGHDFARSCRGEGEGEEEEEE ERADPCGDGIAGRRPEGDDDGGGGDGGDGDGDGDGDGDGDGDGGDGGDDDGGDGEDAG GDGGEGGTGEVQAAARDAGGDRKLRRGYDSGLGLLPEEDGAPHP UV8b_05210 MPSSPVPIPPPKPVKEVSGPGSQYCGSVYCGSPQLITRITATGQ VVETWGVPPKPGCELSIPRRFTSKKKRRAS UV8b_05211 MSPYCGEMIHGEAVCQDWISIDLCGQAKASRRQLSTRSGQDGPT SRPRHHGTWCRII UV8b_05212 MKLSTTSLGHFSHGAGLVVAFPAVVAFLLFVGFHRDKPPVSFCP TPGNMGHHRYRTVAYFVNWAIYARKHRPQDLPVEKLTHILYAFANVRPESGEVYLTDT WADTDIHWEGDSWNDTGKNLYGCLKQLNLLKKRNRNLKVLLSIGGWTYSANFKCPAST PQGRDTFAKSCVELLKNLGFDGIDIDWEYAQNREEAQNYVELLAAVREKLDEYAAASA CGHHFELTVACPAGPKHYKMMDLPAMDKYIDFWNLMAYDYAGSWDQTSGHQANLYPNG EASTPFSTSAAVDYYTSHGVAASKIVIGMPLYGRAFENTDGLGKPFQGVGEGTWENGV FDYHKLPLEGSGECYDEKAQASYCYDVCKRKLVSYDTAPMAKVKANYVKDKGLGGVMW WESSGDKSGPDSLISIVFDTLEGRKSLLKQDNCIQYPETKYDNLRNGFPCN UV8b_05213 MSHIDYALYESPVGYALFQVVHQSDAVGLKLKETQAAAQDLAKF GKMVKLINFSPFRGHVEALENINLVSEGIVSEYLKSVLELNLPKSGKKTKVVLGVSEK NLAGAIKAEFPGLSCETADTSDVVGDVIRGLRLHADKLLKDLKHGDIEKAGLGMGHAY SRAKVKFSVTKNDNHIIQASATIDFQDKGVNQFFMRVREWYGWHFPELIKIVSDNLTY AKLVLAIGDKKTLSDDKLHDLAALLGEDGEKAQAIIDAAKVSMGLDIAAADLEIISGF AEAVVKQAENRKTTAAYLEKKLGHVAPNLQTLIGTPVAARLISHAGSLTTLSKYPAST LQILGAEKALFRALKSKSNTPKYGLIYHSSFIGRAATRNKGRISRYLANKCSMASRID NFSEEPSTRFGEALKQQVEDRLEFFATGKKPAKNIDVMKSVMAVLGNAADKGRDDEMA DAPEPASAKKEKKHKKEKKDKKENKRKRDEEEAAPANDDEKKKKKKKRKSKAADEE UV8b_05214 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDKDGNIERLRRECPADTCGAGVFMASMPDRQYCGRCHLTYVFDKQ UV8b_05215 MVKKRKNNGRNKKGRGHTKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVYAEYTVPKMYLKLLYCVSCAIHGKIVRVRSRVGRRNRAPPPRVR YNKDGKKITPTAAKA UV8b_05216 MPAAAPSRPTRRAVNRRPAVIDSDDEDEVGNTTKTRYDDEAEYT PEASRTSARQTRSGRLSAPPPSAPAPAAARRSRTKKISNVAAGEPDDELDRELQQCQA LDADKTMQQHDAQTPPKKALSRGQRSTASSRPSITPAEDLAPPAPKQPESPEAVQQLH SVPLADITAPSVNATHNANEAQATVKPIRPFDTIMERPSDIVLKSRTMTAMPFVQEAA PKSRIVLTHLILNNFKSYAGRQEVGPFHASFSSVVGPNGSGKSNVIDSLLFVFGFRAS KMRQSKISALIHNSAQHPNLESCEVEVHFQEVIDKADGHDVIPDSTLIISRKAFRNNT SKYYVNGKASDFTTVTTLLKSQGVDLDHKRFLILQGEVESIAQMKPKAPNEHEDGLLE YLEDIIGTSKYKAPIEESATEVETLNDVCMEKSGRVQHVEREKQSLEDKKDKALAFVR YENELVMKQSALYQLFLHECRGNIAVTEEAISQMQAQLDEELEKHQGSEQFIKDLEKQ YAKGSKDVEAHEKHAQGLVKDLAKFEQERVKFDEKRKFLIDKKKKLQRTIDNAQHATN DAIETIEESNRDIEARTQEAVDLEKQVTAEEAELAKIRDSLKGKTQAFSDKIAAKQKS LEPWVEKINQKQSAIAVAESEMTILKEKANAGAVAIQELESKAVTIEGDKDAKMKELK ACEAERKKLEEEAEKMSSELAILAEQEPKMRAKISNTRQKADEARSNLASTQSRGNVL TALMRMKESGRIDGFHGRLGNLGTIDQKYDVAVSTACSALDNFVTETVESGQQCIEYL RKNSLGRGNFICLDKLRPRDLSPMQTPENAPRLFDLVKSNDKFRPAFYHAMQDTLVAK DLAQANRIAYGAKRWRVVTLAGELIDKSGTMSGGGSTVRKGLMSSRVVDDVTKEHVAK LEEDRDAWEAKFQEFQDYQRECEGKLKDVKEKIPQVETKMQKIRLDIESATRTLSDVK KRMKEVNQEHQPSASDSTRVSALQKETGKLNAEIEQLRSETASVEEEIKALQDKIMEA GGEKLRSQRAKVDDLKERINTNNEEMSNAEVRRVKAEKQSVKLGKDIAKASADLEAAT QDLEKLEDDINNQGERAAELRAQAKEAEAEVGSRREELGAFKKELDAKTAELNKSRAV EIEMRNKLEENHKTLVENEKRYRYWDEKLSKLVLQNIEDLIGVSGSAGQPAKTMRAKP DQGHDAESDNDGSQDAEMAEPDAEAEDVSMTEAAGERSNDPLYELPQHTPDELAGMSK ETLKGEIAALEEKTENVSVDLGVLAEYRRRVEEHAARSSDLQAAINQRDAAKRRCDEL RRLRLEGFMQGFSAISLRLKEMYQMITMGGNAELELVDSLDPFSEGILFSVMPPKKSW KNIGNLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFRNVSIVANYIKERTKN AQFIVISLRNNMFELAARLVGIYKVNQMTKSVTIENRDYINRPKPPPASSQRPQTGTG FTTTLAIR UV8b_05217 MFNYSNFHDVFPPSVPFPYDRKMQQEIESRRKQADGVLFIDRVM NALGIGKAKAYPPKTENSLRQLHQQICETGMSMHHKFSLLYYLLLDFDDLHRHDFVSE NFALLSGMPNNYQLFMKGLWYMDKQEYPKAVEYIAHPSLDPDFADDIIVTLVKHASHG DFSLALSYFYSVQPILKSSVALGLLFEAMTRTNVTEALLYSRTHPQHTREQLFRRWVS CVLDLGRGEDLPNRTSELIFMPFDAAEEAWIEEYLTVGDGRNLKRARDTLLMRKIACD RFDDVSKLRASGHWAAVVDGIRAGTEGQSE UV8b_05218 MSTYTFYDASIPIIREAVESLEAILKKGEQAPNAASFPEARIYE DMLPLSFQVHFSTDLALKFIARASGTEPLSTENNFKTFADFYKRIDQTKEALANADKD LINKRVDETITIGLGPKNGSLLVRNYLSGYVLPNIFFHITTAYNIMRKEGVPLGKMDF ITPFLTKHLTMV UV8b_05219 MAPEPDTLSHVARDLLSRINLDLLECVPLQKLWAGYGYVCAVKA RSSNSSTVTSLRGGHDGHDGHDGHDGHDGHESLILKIITPPPGRRDEGHLRKVLSYHV EQYFYQTVAPTLGGLPLPACVAATCQTDPAGHAAMMAMLLTDLRPRYPVAAGGKRAVL APRQVRSALRWLARFHSSYWAPDKPKLDLVLPPLEEYAKAAPSTSGLWLNGGYAYLAT RRAEYAALARDAASEWSPCLCEPVVGAASVAELAARVLAPTGRRSVETYLHGDVKSEN LFSNETGDQVAFCDFQYVGLGLGVCDLAKLLTCSVPADMLHGDDLPRRLAMTEGERSL LLEYRDELLAGAAAPVYGWADFQRHWETALVDWCRFQASWGFWGNTEWLAARARYVLR DEGWIEWLKRQNADYIEARETEETGN UV8b_05220 MATIYIDEDAGRDEAAQPGSEASPFKTLQFAYLQHPAQAQYLTR KSASPDEAAQQWKPAAKSAMKKAVNYFEQQRKKAAREQELAVRRKGEEEERGKVLDEA KKVVLQEDASLPAPVTIKLDEAGSHIRLRRTDDAEGSGTRGTRVRVLGRVHRERKQKD VLFVTLRDGYGFMQVVISGKLAKTYEALTLTRETSMEIFGEMREVPAGARAPLDRELH ADFYRIPKHWRAAGGDDAITNRVQASAEHATLLDLRHLTLRGETASSVLLVRDALEFA FNRAYHEARIRKVSPPALVQTQVEGGATLFKFDYYGADAFLTQSSQLYLETCLPSMGS VYCIEKSFRAEKSLTRRHLSEFTHIEAELDFIDFNDLLSHLEFLLCRVLELVLADPVI AGYIKALNPDFQVPERPFMRMKYSDAIQWLIDHEIPNEEGHPHRFGDDIAEAAERRMT DIINKPIFLTHFPAHIKAFYMKKDPEDGRVTESVDCLMPGVGEIVGGSMRIDDYDELL AACKREGLDPEPYYWYLDQRKYGSSPHGGYGIGLERLLAWLCKQHTVRDCCLYPRYMG RCKP UV8b_05221 MQLSYLLSLVSLVAAVPTKRAEPAPLIAAKDASAIVPGRYIVKL KDEVGASAFDDAVKSATGPVHHVYEDVIKGFATSVDEAGLKELQNHADVEYIEHDQVV SASAKLHQANAPWGLARISHRDPGSSEYIYDGSAAAGTCAYVLDSGVDAGHPEFQGRA SQLVSFVAGSNKDDNGHGTHVAGTIGSRTYGVAKAIKILGVKVLAANGQGQWSSILAG LEYVAKDSPGRGCPKGVVVNMSLGGPRSQTVNTAAASLVRKGYFIAAAAGNDNIDAGG VSPASEPSVCTVGGTARDDSRYDRSNWGPFVDIHGPAVDVQSTLPGGRSGFLTGTSMA TPHITGLAAYLSALTGQRPGPWACKYIQGLATSKKIRGLTVNTVNLLAFNGAT UV8b_05222 MEPHFRRKGFEGIRTGTFHRKDNHRYSRARNRCDDEITAKWSMR ICSLAFFLEISGASGTDAWPASTPPSSRCQREKIGKTKEGKKL UV8b_05223 MKTSGFLLLTGLTVARCADTRPRAAPIKEHYKEKSTFSKLWAAA PIGQQIIRAAWKVTCDSYEPGYECQKAIDGNNQTMWHTAFNNANVPHQIVIDMGVVKN INGLSALPRQDGNRNGFIAQHEVSVSTDNANWETVAAGTWYSGDTEARFANFETRAAR YVRLKATSEANGNRWTSLSELDVYESKTGPTPYSGKGKWGPTIDFPTVPVAGTVDPFT GQVLIWASYSYDNLMGSTGDRVFTSIWDPATNIVTPKVVDNTDHDMFCPGISVDGSGK MVVTGGNSAKKTSLYDYRAQTWIPGPDMNTPRGYQATAALSNGNVFVIGGCWSGGWTE KNGELYDFRAQTWTRLDGALVKPILTNDAQGIYRADNHAMLFGWKQGSVFHAGPSTAM HWYNTTGSGSITPAGQRGSDRGADPDSMTGNAVMFDATRGKLLVFGGSPSYQDSAASA HAHLVTIGEPGSQAQVQFASQGMYSARAFHTSVVLPDGTVFITGGQSYASPFSDNDAQ LTPELYDPVTDHFYQQQPNSIIRVYHSISLLLPDGRVFNAGGGLCGDCHTNHFDAQIY TPQYLLTSTGEPATRPVIRSVAQNGRRITIATDSAVTAASLIRYSTNTHTVNTDQRRI PLTLKRAGANKYTADAPNDSGILLPGHYMLFVLNSNGVPSVAKTVSFLL UV8b_05224 MASATSALPMNPVLRRTATSAATVSDYESSAGATPADSPRPSAS STSLSSLSSLDVSDDKDEYVNLVDTYGNTFTPPDFTIKDIRDAIPKHCFERSAIKGYA YILRDLACLATTFYVFHNYVTPDSIPSAALRFVLWGLYTVLQGLFGTGIWVIAHECGH GAFSPSTLVNDLTGWVLHSALLVPYFSWKFSHSAHHKATGHMERDMVFVPRTREQHAG RIGRAVHELGELCEETPAYTLLHLVGQQLIGWPKYLLTNVTGHNFHERQREGRGKGKK NGGGGGVNHFDPRSPIFDNKHAKYIVLSDIGLAYAFAALAYLGNRFGWANMAVWYFLP YLWVNHWLVAITFLQHTDPTLPHYTAEEWNYVRGAAATIDREMGFIGRHLLHGIIETH VLHHYVSSIPFYNADEATEAIKPIMGKHYRSDTKDGPKGFIRALWKSARWCQWVEPSA DAQGAGKGILFFRNQNGLGTKPMTMKSQ UV8b_05225 MTCWSSQDMPVQTISCAPSLGSAVTTAWADAAAGGTVGYRKGCP RAQEDWVTAVQHPAALWVRAGRQDEAAAEPESARVRTPARPSAWPTNTGQDHCCQAVT TLVRGGGVLAALSSESDAGLFDHGALSKVKLEQRNGAAGLPGCRAALPAGTART UV8b_05226 MIREQVVSNILPSPGSPPGMTASKSSKSSSFQSLHSDDGSVLAD VNHFEDIGLDDDNMTLKVNMRAGSSRLTSPAGRRLVGGKPAPKPQRSFPNLHSDIYSA NPRSTSLAGLTDSRPLALNRGHSATALHVSHRNRSTSPRLTLQVKDVHLPARPHPRPR SWQANGHRKSWQELEHECDEDDGDDIPDGVVLDNVPISPRPAHERPPSRAPSPSPSPD RGGPKERVRSIGNGTPPVSQAQGSLRSPARKSGAGDRTPPSASRTRAHSWQVALAELN AEAKALTEKLEEHSDEVGEPHAARRPSGSARPNTWNSSQMSYDQVYDKKSRVKSSTPE LPPLRRTDIMVDPLPISKEKEAVLSRTRPSWLPPKDPAEERRHLREYQKMMAASAKAD ERREAARRSRTVCKDINADSLMHIWDKDIIPRWNEAVRERRTRDMWWRGVAPRSRGVV WARAIGNELGLTETTFRTALARARDLEERVCAGRADSDDARRAKWFERIRKDAAEGTW RDLRIFQVAGPLNEALVDILSAYAMYRSDIGYVSGSNTIAALLLLNLPSPDTAFIALA NLLNRPLPLSFYTNDETAQMSACNLVMQTLSYKSQPLHNHLTKTLDDVAPEDYLNDMF LGLFTGHLAVDEAARLWDVYVFEGDALLVRAAVALLLAREMALLGTKNAREVKEMMSL SNSRSASVRAVGEAGAEDRFMEAVREAGKA UV8b_05227 MSFLPTQIFEEGTTEEKGENARLAAFVGAIAVGDLVKSTLGPKG MDKILQSASTGEIMVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGTTSVAVLA AELLREAEKLVDKKIHPQTIIEGYRIASRAALKALEESAVDHSKSPEAFRKDLLSIAR TTLSSKVLAQDRDHFATLACDAVLRLKKSSDLSHIQIIKKAGGKLSESYLDEGFILDK KIGVNQPKRLEKAKILVANTSMDTDKVKIFGARMKVGATSKLAELEKAEKDKMKAKVD KIKSHGINCFINRQLIYNWPEQLFTDAGIMSIEHADFDGIERLALVTGGEITSTFDHP EQVKLGHCDVIEEVIIGEDTLIKFSGVSAGEACTIVLRGATEQLLDEAERSLHDALAV LSQTVLEPRTTLGGGCAEMVMAKAVEGAGTRVEGKKQLAVASFATALRQLPTILADNA GLDSSDLVSRLRKAIYDGLTTYGLDLMTPGGGIEDMRDFGVIESYKLKRAVVSSASEA AELLLRVDDIIRAAPRRRERM UV8b_05228 MAPSFDHLRDADLDDDEFNEDDIDISDLREKFEVQLEQGYDAFV VVDGLPKVNEEQKPKLIKFLLKKLNSVGRTREDLIYMPMDENGESLRFAFVEYSSPAE AAAATRQLDLVPLDKRHTMRVNKLTDIERYGGEGRVDEKYQPPHIDEFAEKEHLRWFM KDPSGRGRDQFVMYRGDTVGVFWNNENDQPENIVDRQHWTETFLQWSPLGTYLTSIHA QGVQLWGGHSWSRQARFAHPYVNLVAFSPNEKYLVTWSNRPISIPETGHPALSVDDDG KNYVIWDIATGSPLRSFANLEAPKGEEGKPPPKLQWPAFKWSADDRYVARSTQGQSIS VYELPRMNLLDKASIKIEGVMDFDWAPATPQREGVKNYEQLFCYWTPEIGSNPAKVGL MSIPSKEIVRSLNLFSVSDAKLHWQSDGAYLCVKVDRHSKSKKSQATTLEIFRIKEKG VPVEVVDTIKDTVINFAWEPKGDRFAIITTTEPVGVTAVPPKTAVSFFCPEKVKGPIA GNFKHLRTLEKKNSNAIYWSPRGRFVVIATIHNQQSSDLDFLDLDFEGDKPESDKDMT ANLQLMNTADHYGVTDVEWDPSGRFVATWASVWKHAMENGYHIYDFKGETLREEPMDK FKQFHWRPRPPTLLTKEEQKQVRKNLREYSRVFDQEDADRGASADLAVVEARRRMLDE WYSWRAEVEEETYEERAALGLPQDPHVGLLEAKTKTLDGVGEEKEQVIEEIVEDVLEE SEEVLA UV8b_05229 MPRRTPIDRRGSSQQQSKLFLAFAFILLPWLQFADARRQQPPPP QQQVLSQHAVPRSDDSGTSNGKHINDGPVDGPPTVVRDGSSPQWDTTSSIHLGSATTE ASTSDSGRKRSTLREQHVYQQQNQQQKQQPPPQQPQQRQQQARRGSAKKPPVKPVKNN ANKKNTRTDHILIPDDASAIVTLAPDKSVRAPYPPRRQRSENSPGSGLATPQHARSLE DWEVEDFVLLATVDGDLFANNRRTGKELWHLQVDQPMVETVHHRSNTSALDDDFSPVD HYIWAIEPTRDGRVYVWVPEPDARPRFTGFTMKQLVGLSPYAEEATSIVYVGEKKTTM ITLDAATGRLMKWFGAGGSHVNDAESCANPNAMFEMDSEECSSSGIITLGRTEYVVSI NRRDGKSIATLKYSEWTPNNRDNDLFHQYRVSKDSRYITTQHDGKIYAFDLARPDNIG PFFSQKFDAPVARVFDVCRPWDAAAESNPDLILLPQPAMPSQNEDMDQKRSNSVFLNR TEEGSWYALSGRSYPLIIGAPLAEASSPSWWDDATSGDSLTEAKVSTALVGTHSLSLR RSRGHFPTLPEAAAKGDDPEEPENEPAYPDVEETAEAEETTIVDKVKSLPQSAANSVV DFISNPILILLFLTALVYNEEKLRRSYRELRSGGSLRDALLHLMSTEASAVGKPSAAR KDHPARAPSKEHLGEPAAERTLLPGKEPLPGAEQLDVEPDVEGDSSLKTNGAAEDKSA AESDSASALASPVPEKKKARRGRRGGVKHRKGRPRDTSLSRDDEMASATVEEAVNNAK RLGDRPSLEPDVMTVGNDMQAVTGPIIKMGNIEVNTDAQLGTGSNGTLVFAGKFDGRD VAVKRMLIQFYDIASQETRLLRESDDHPNVIRYYSQQIRDGFLYIALERCAASLAEIV ESPHAFRDLATAGKMDLPGVLYQITNGISHLHSLRIVHRDLKPQNILVNMGKDGRPRL LVSDFGLCKKLEGGQSSFGATTGRAAGTSGWRAPELLLDDDGREANLTDASTHSGSEL VLVPDGNLPHNRRATRAIDIFSLGLVFFYVLTNGSHPFDCGGRYMREVNIRKGSYSLQ SLDVLGDFACEAKDLIASMLEADPKRRPSALDVMSHPFFWTAKKRLAFLCDVSDHFEK EVRDPPSPALSELERHAPQVTRADFLRVLPREFVDSLGKQRKYTGGRMLDLLRALRNK RNHYEDLPDGLKRLVGPLPEGYLAFWTTRFPRLLLVCWNVVYNVRWDDTDRFKEYYEP AGL UV8b_05230 MPSPEGAAVRGGEGSSRSRARGRGRGRGRGRRGGAGGSGQDRDA SCQNPSPNTPIPAAVLKQQSQAAAAAAAAAAAAAAAATDSEDAEVCFICANPVAHYSL APCNHATCHICGLRMRALYKTKDCAHCRTPAPYVIFSDNAEKRFEEYSARDITTTDSA IGIKYAHEDIVGDTVLLLRYNCPDPSCDFAGLGWPDLHRHVKSAHRKRMCDLCTRSKK VFTHEHELFSDRELEKHMRRGDDKPGAADQTGFKGHPLCRFCGQRFYDDDKLYEHCRM KHERCFICDRRDARQPHYYQDYNALEQHFKKDHHMCSNSECLDKKFVVFESELDLQAH NLSEHAGRTVGRDARLVDMSLFDLRQPYQGQARGQRDGEGGRGRGGGNGGGSGGSGGR GWGRDPNAGVVPPAAAAAAAPAQPLRRDELALQRQLAIHSAQSVSTRTFGGQLSAPSP SNARRPATPTAAAAARSAGNQSGLVDPLASLAVADTSALSPEERARLVRHSSVVERAA NLVGNDASKVAAFRQHISAYRQGDLTAPQLVDAFFALFADASSNALGTLVREVADLFG DGAKADGMRRAWQDWRAINEDYPSLPGLGGMQGATSSSSGWAHAVAANPALPNAAAAA PAQKHSNRVLRLKNSTRLGGAAPASRTGSPAGQPAASAASSSSSSSSSAFPALGPGPS ADATPPPPPPPPTSSSSRSTWASPTSRDAGGQDAFPALPAAPKPTTTIFGYGSGRAVR RDYGHAESSFQWGRGRPAAGNAATATATATATSQQDGEDAPRGNKGRKGKKVLVQWG UV8b_05231 MSAPLDFEERQRYTAIIDDILATADLETISRKKVRQGLEARLGG KDLSEQKDAIKRLIEARFDAVSGASPDGMPPSSVQDATSAPKRSNGVSNHDETDPSAS PEPVKKKAKRSSPSEDADARLAAQLQAQENSLARGRTTRGGDRGTKKRKAPRKKSAKK IRDDDNDSEVDGSADSGVKKRKAGGGFQKPFNLSSTLSDICGETQLSRPQVVKRLWEH IKANNLQDPSDKRQIRCDAKMQAVFKQARVDMFKMNKEIGNHLYPVGEEQ UV8b_05232 MAALAGDGLWKLLESVPSRCILVCGLVVFLTAVYTQVVSREATA KRHAGTPQEKTSPAADAALEPLPDLDWKAVEPTKYMPVKPVYHITMGKTLLFLCVPNL VPWLASPLTASQALQQDAPSNLVTVDRDYLDRVTLRRRLIEQKGSTVHGCLARGREAV AEVYSYLLKDHLPVRYPTMFRLSADRSVFQNKVTGKSFPADPPADCAAGLRALGETIE EDLFLLHGTPDGHLCVAFVCCFPAGFDPSAKMGRLLMDIHAPVPSYGKIGSSVERFFA KLQVGKSVKRLNWTVQTDDELCKLGSHTHDDKAAGPDNNRSIDIEKTFVRVELQTLTR LPETRAILFSFKTYLYSLCEVKREGSGPELADAIEGLQSGNAPGMWSYKSAGRWARTV CEYLRS UV8b_05233 MKSVHMLALLASAAAAAPSRVLQPAEIPQSLAQIEAQFNPAPVP KWTVESLKRTCAADDSSCTWHFFIDNHVASKATEITYVVEGPGASRAKSGPSEFGNYT ITSSWSDQFGADKGFTALSAIDRKAGLISFPAYRDVDVKEGKVVADKDFDVYYLDSK UV8b_05234 MGVAVRPFKASLSRKIRPVLVCVSLLLLVGFVGFERWESRWQYA LPLGLEAALPQRLRVPPPCRPDLEHLRRVEYNLTRQIVYQKRCVTGLRDPAASRDVVA SEPRPLLDAAASRVLDLRSACDGSLPQDAPCDAITLQVPPPFPAGGYAEFLFGVASSS ERLSASVPQFRHWLGGTKARLLAVVTDTDFSPRRMSRLAAQFERSGIRFIGARPDDPS VGVNELHFVAVRHLLRHAAADTRWGVIIDDDTFFPSLHPVARELAKLDASTPAYVGAL SENKDAVDFHGYMAYGGGGIFLSVPLLKLLEPNVEACLNESRIREGDGMLKYCVDDKT AANFTQVQGLHQLDFSGDLAGFYESGKWPLSLHHWKSWHKAPVDKIAQVSHFCGPCLF QRWRFGPDTVLANGYSIAVYSKGTADLQLDRMEATFLDSGAGQDWEWSLGPMREKLPK SEKKSYLLIDAEVVGRHLRQVYVYRPEPSSPPSEDAPKDEVVELWWDWK UV8b_05235 MQFASPNSITPNEFVEQFSPNFLVRSRLWFTQSSYDQLMQFVSG MTSSPALQRDFRSLQEPGLHRRHCLPKKWLQWRDCQAAFDPASQRYEGATI UV8b_05236 MLGFLLLLLLPLGGAVCAAPDCLWGAVCAASDCKCFPGDACWPS QGAWRALNESVNGRLVATIPLGSVCHGATYDATKCANLKDVWHIPETHTDTSSSILAP YFANQSCDPFLPPSSPCYVGTYIQYAVDVAAASDIQQTLAFAQRHNLRLVIRNTGHDY LGKSTGAGALGVWTHHLKTFQVLDYSSPAYAGKAVKMGAGVQAGEAQAQAFQHNVTLT AGVCPTVGLAGGYTQGGGLGPLTGRYGFGADQVLEWEVVLADGTLVTATPDCHADLYW ALTGGGGGAYGVVVSMTIQAHANDMTSAASLAFSHAGDSYFDAIKAFQRVTPALADVN ATGFWTITDASFSLGPATAPGITKDALDKILAPVLQKLKALDIPYNYTSAQFGSYYEC ARAYNPPDYSPGLQIGGRLVTRSDFTRNEDGFVDAIRNVISYGAAVVGVSFRAPAAGG PSNSINRALRDAFISWQIGVLWNDTDWDLNIRNGRLITDTLVPAFAKLLPGGGSAYLN QADFREKDWQRVFYGANYQALRRLKAKFDPSGLFWGPTVVGSEQWVEARDKRLCRAA UV8b_05237 MGKGREDVPYTLGNNLASFSCHHHTTHIITAFTITTITITIMSW RSAPRVDFDHQPEYQWPAWLADHSLEDLFGELHDKFSTIPIFIQDPIAFHTDVAQLAG EASSRDDFLAALEERKNQRLEELR UV8b_05238 MHPKRRALAPGSAASESLVSFNQAGQAPSSDGTMAVDVGNPLIE LRLGTRMAIQTDAVEEVTSSFREETAENYYIANLRNIARRSNEISAVFRARHSLFGDA VVKVVTKLTEPSSIPRIARNWVNEERVIRTVDHPANHQVFTDQTPDYIPYCMDYLPYP DLAASTWIDSGSYFAGTLDHATRVFRQMASALDYLHGKKILHNDIKARKHPLQP UV8b_05239 MNPILLLLAASVPARSWQTPRGSCTGKGRCCRCTTLNRPDNGQT NCVANKIEAGIKDDNGGGLFFCEIGEPQSWIARMCAGEVYDVCSPTSHFWDRGYDCWD C UV8b_05240 MSRQRPRLRNGVDLQLQSAFQDGNWAVVVRLAQQRARTLNDQYF EIVRVCAESQLDDPNAKFSAVAAVNKFVEDGTVVKGVDAIDLLEWASVDLMPEDAFSR TLGPLRVRAVKASPKDKIAATRCLQSCLLHWDLVSAQQIAAIVDRSFSQDRDFLFWSI IITHMLAESSQCPPEKKKLYGMLALKQVERAAQLTEQAHVSNPEGGAPARGVKTEEEI LLLYKVVETHGSPEDLEKLVASPVFSPAVQLRLGRRELFLRVSQRYRKQHSWEQLCNL CHDCLSDNNDADEPSLRACDWSVWKQYIEAAAQLKSCNPDIVSKLQRLLLKLAAAKSL KPIYKRNIHLARVAAAFLLVTNDEDDVIDGRPASLRLQELLHYVDYHKLSAACFEDVK EFLELLDAEGLKHVAYVYTANLAEEAESPLGSARIKVLSLKLQLFLTTCSTARTPVAG KDAASRCLTCGAKFEAESCIACLATTSSAALKTYVSAGKEFADNGAIQNEILPELAMI VALCSAKSAFPGRPGYAAATPAKSQHLLRALLMLEHQVFLTPKHGQTCLLLVQLHLLV GSAHRCREIWHELSVKRAIVDSLAPIFYDRLSTISPVILDSSDNWGWELVETLRSHYA SNLRLKMPRRLIDAFEAGSYASIIDMSEFIQNLRSGCTRVMSLVEEARADRLLGEPSG EFLNDARYYEISDDLVLRNVVDYGSFPMWDCSQSQPLHERLRLGPGLTNTRSHLSLLA EAFQDILSYRPPTVYKATAAVMGIDYTFVTEMMGRISNSMAKFLRDAPRQCTASEILY YEAVHLLATLIPLSLGINRSSPTPSVLGQVVEAVKASMTSQLGDLPVLDGTIQQSVSG LRSFHNVTMLRDTAMASSLAATWILSFNERERERDRSGSSNLPKEVITQIKSLQGAAE AALQQGKAFIARLKSVVDAGSQFGLDLRAWVFEGDGQELSGLIEDGTVKELVESWRQN IAGWGQVKWER UV8b_05241 MPTLAQQESEKDPWDQKTKQKFESKSKSEFYDPCQEAAQRSYKC LYRNGGDKAMCGEYFQAYRECKAAWVERRRKEKGGFFG UV8b_05242 MAASTKSLDVAQHIRYWQRCFNSHLPSLYTPNDSTRLTFASFIV SALELLSSPLSAEDRTSIQSWVLSLQHPDGGFCGSPTHMLPGQDAFKGSANLAATFFA LLLLAIAADSDAEARAAFARVRRRKLLRWLRRLQRQDGSFGQVLWEGEAVGGRDMRHS YLASCIRWMLRGGLDKDQDPAGHEDDIDVGRMVHHIRHTQTYDGGVAEASEHESHAGY AYCAIGALYMLDRPPSWDAPHKSSFISQTLADKKGLVQFLVHRQFNYLAEQESRDLDG DGENFIETKLGDLGAGQGCTHVGWNGRWNKKADTCYSWWVAATLAMVDSCHAVNIPAS RRYLLEMTQQKFGGFSKCAGGHPDLYHSYLGLAALAVMVDDDLKQLDVALCCSKETVR KVQLARDGLLDACREREDFGSDGFWESQS UV8b_05243 MALITSQTLIASISLFHISLGFFFLTNPSTVSDQILVQVLGQSM GIPHARGFDAQSHALGFLALVLAFLGFGDLFSLGMPEELASLYYWGTQAPLRALFSIF LTFYIYTFGPSSPLFGSNNRRGYNPSYSKATWGGESLKNRVLFTFVFIEMIAWFWVWV TLREERQGVVDRMRRKNARASAYLHEHDE UV8b_05244 MLAAKGKADLQGRKAKLAHSYQQLLDEFSSADLKSVGNYTLGRL IGKGSFGKVYLATHKLIRGSKVVLKSAHKDDANLAREIHHHRQFVHPHIARLYEVITT ENLVWLVLEYCPGDELYNHLLQHGPLPVAKVQKIFTQLVGAVSYVHLQSCVHRDLKLE NILLDKNENVKLVDFGFTREYEGRTNYLQTFCGTICYAAPEMLKGEKYAGEKVDVWSL GIILFALLCGELPFDDDDDNVTRTRILSQEPRYPDHLTPDALSLLKSLLSKRPLPRPA LPDILAHAFLAEHAPAQQAILQVQAQSPFATALEKDCLHRMRSAGVDMDAVIESVLAH KCDALSGWWTLLLEKEERKMQRRERKQQEKELERSLRRLSTASSRLDRKPPALLQDAG QDGGGGGGGGGPPSQLIRQGDHGSRRGRSERRSAHYYSDFTVTDLPLLPESGRSRDDI PPTPIDKDSIRSVSTSRHRRPIPPPKEGVIRSARSRGSTLHLVTTSENLGLGNGARKP QNGQEKSRKKPSETIIAHWKTLSHWFLENTTKRRKGHERRTSRSTPNLRLKEANHGGG KDASPRPQTSIYPTTSAASSGQNSISLPKGVVANGRAKGQSTTQPVAVPGRTPSASSS LRAPSSSHPLRPRVVTSSSSSYKRQSSSPAPITPRSSMRRSSAGLRGRKSTSSSVSSI RSMHHHRHSHSKASSTSSAGSVAASKTPLGRGQSPHHSVKVLPATPTTAGSFPSNIRL VRGSPGPPPPPLRMYDEGMPHGGSRPPGSPNPFSSGVLFAKRKRNIFKGPSLNLASFG HHNSRNVSSGSHSRSGSGSALGRRSGEITGIQEEDEELPVAAEEVEGEAEFEEVESFQ PIVGGPGEVIEEQILEDEPGTTLGVKSNSALATDAANAEAQAQA UV8b_05245 MQVSRLTASMAARLESNCGFEDFWQRAGTIAVEEGGLFASEDGP VYTDESAVRDARIVTRIEIELEPGGILSTGRCSLFAPEGEEEPPRQWTRQDHMVKAMA NFRRLLLAEQQRHRATAKKLAEACRDEWIRRQPKSAEQMEEEAREKWISRYRLVARAV SGTWENVRAHVNRQRLERWEAEEQRRVKAALNEAVHLSEQKLQARRAGLDSEMPSDVD DDGFDNLSDDLGVEGDDAEEYNLASGASDGDDDDDGESIDSDNMSTSDEEEEDAADVE GEVRDARDEGLTQEELRAKYANLPDFIPATKPITNAGPEASDTGIEATDGGETSDESV DMDDDLGSSDMGSDNDGNGGRQSSGDGGGDEDSEEEDDAGGLLGLFFGKSELEQIKQE ATDEKDEPVAEQLEEDLGMEDAPPDPGVSASRNSFNDDDLQSPLPGSVPLTNGHNGTE DDMPERVEAEDSPPVKGPSKAEFPKKKEAAKVDAHGDAMGPKLMNGVVSMTHAQDEQT TQPSDDENRTEGDRRIESSEPRLATAPADARKSESLPASKTGPCAHETEIPFLLRGTL REYQHDGLDWLAGLYANNTNGILADEMGLGKTIQTIALLAHLACHHQVWGPHLVVVPT SVMLNWEMEFKKWCPGFKILAYYGTQEERKRKRRGWNNNDVWNVCITSYQLVLQDQQV FKRRRWHFMVLDEAHNIKNFKSQRWQTLLGFNTKARLLLTGTPLQNNLTELWSLLFFL MPAENGVGGFADLQEFQDWFHKPESQILESGRDQMDEEAKAIISKLHKVLRPYLLRRL KADVEKQMPGKYEHVEFCRLSKRQRELYDGFLARTDTRETLASGNYLSIINCLMQLRK VCNHPDLFIDRPIMTSFRMSTSVAANYRFTEQKIRALSADALMSTVSLEFLNLVPTQY ERYSSVHADRVAHLSSHRALMNLREAQRTRAEAACTILDPSTVESSIEYLESAARWGR FEELQHCVYLNALRRQQRPIYGKNVVDLVSIDAYKRPCRPRPRLPQKMMSWFEGDLAL IGALTPTVDQRAQELRMTISKFSCVTPPVVTRDLEEVLLGRRAMEAFTDEDMKISEPV RWAPFLPKEAPIDPWHEARMRHSIQFPDKRLLQYDCGKLQVLDKLLRKLQAGGHRALI FTQMTKVLDILERFLNIHGHKYLRLDGATKVEQRQILTDRFNSDARILCFILSTRSGG LGINLTGADTVIFYDQDWNPAMDKQCQDRCHRIGQTRDVHIYRLVSEHTIEANILRKA SQKQMLDDVVIQEGEFTTDYLNRVSVRDVLEDKVDLTSEAGTAADAALDRVLGGPDTT IRDQRTVGRVLEQAEDREDVAAARVAEREILADDADFTERASGPASGTSTARQGTPAG KSVLDGGLDVEVLPPIDECEVEYNAWGVRMGNIDQHMVNTMTEELKHTKLELPKDKKK GKKKGRDTRKR UV8b_05246 MGFISPNSDIVEQADGHTTLHSASKMLPQRIMRSSALRASLAST RCLPLVQRRSFLPDSLSNRKALDAHYPERQILSDTEDPEMNGGYINPPRIKRQFRDPY ADWWDPQERRNFGEPVHEDNDVLGIFSPYEYTWTTPGRGLIMIGTFVAALMGLSGLVY LNYPDRPSYPREFDGGLERELGGPGAVRARMEGDEDP UV8b_05247 MRYEDWDILLFPRDCKVPFREFKVACHVVHDPESPQLGSTFGLP ALCCFVPSLAAGSPFQVSIHSWNTPCVSQYAKSYSQFPEEVKFQARLFIDGRMVASAI LDTDSTWPHIIAHSWTFSKMTDFEPLKFPCFRQELLQQNWWLPADELGRIKIVLSEGF PRNSVTTPFERIKNIVAFSFQHAPLEILEASSIAWPNPAMWCRAPLPSCMEVPVQHPD DSDSHAHSPRRRGGGPGKGGNGFLQGYLSNPAVLADSRRLATHPIFGLIPYQNFNPTD CLGDASSYSEWLTGMGMGMAENQPQAAAAHGAFASRSARRSSTDTSMPDYVPVENGNP VAEQQQYSARLPQDDDKQGSHAKVRTNTPTTATTSSLGNCDRDAIGFPVMRYDSPFPS DLATKLTHSLLNQSTPINMLQTNFTAPSLDVKSRKETRSLAHSASSCLTPTPSQQQPH QDMRRASQQMYVPCGSSIPLGNVGCDAPVQTAGSQDVCSTGKSGAETVDDGARMALDK GNKRTRNFTPASVRAIDEEDEPRRASPRVRLTSFAEDDIIEHHATT UV8b_05248 MGAVSAPILAALAGISAHLFVFRIGEWDVASPSILTSHLAVFIA TGWASHTLYGASIASASRCIGSYIAGLYLSMALYRLCFHRLRNYPGPFWARLSNLYIT ALSAKKLRLFEVVQKLHNEYGDFVRLGPSELSITHPDAVRAIYSSQSPTVKGPFYSLL EPRTPLFTVRDKREHARRRKVWDQGFTTKALHDYNYRITKAILDVMTVLDREHDKPVD ITKWFSFFAFDIMEDLAFNQNSNLVRDGREKFIFKTMRQDSMGIALLSHLLWLLPLLK RTPILNRNYLQFWKWIQDKIDERTNNQPDQPDIFSWILADFEKGPKTRRDRWNLHGDA QLIVVAGSDTTSTTLTHLFFELAGHAELLKNLQREFDALPDLSNESLSTVVLLEAVIQ ETLRLHPAVPSGTQRLTPPEGLQIGDHHVPGNTIVQVPSYTIFRDARFFDEPNEFIPE RWTTRPELVRDSSVFIPFNIGPYSCVGKRLAMLELRRTTAEILSRYDVRMAPGQTKEA FLEEKKDTFTVILPPLNLIFTPRS UV8b_05249 MAARLDIQAAVQADLQGTPYAVTSLTPLAGGTANFIFKASLRTP LQDGTREVLVKNSQAYAAGYPALALPLLRCEIEVQSLRILADLPPVISSNYEIRTPLL LHYHAETHTQIQEHLGRATSLKEYALKHYAAVPTPSALEPQCHRLGVALGTWLRAFHA WSDHPGRRALRDALARNRDMRRLKRVVNYDHLAQRAQRYPRVLGGCEPVLRQIADMAA AELEDESKLHVIHGDFWTGNVLLPDLVPEASQRVPVPVRIVDWEMAQVGVRAEDLGQL VAELWELKLYKDADAGLWMIQGFAQGYGQVDAELGFRALLHVGAHLGKTLPLLGETCC WMLGGRKPRAFGTTLLAAFWAFEKHTWPTLTYVWAVWAVWAIFRVWNNHLPD UV8b_05250 MILSLLALLSLATCIQAKTLSSNEAIAAFIAKLNKTVTIDLANP PYMDKDKETFPVGCTDLLCIHVPLWKRTLLRTVDHVDILYTAHSEKPRLNTGPRDMTF QFTSSTAIMESTTWGWNIGVQLSYSNQVLTVGYSHENAYSRTVTTTESDTITCPSNYE CTIKTLTFYTIVHGTCVTQQYVTCAGTYNVCDHATQPCYELQPVHDNVCKTPYPMLPC SIQVPILDTDKNPVTAKVIVAEKIKFSAKRSRGQRLSR UV8b_05251 MTFRSIRPASSRPHTAVPVPKEGSQEPQGRGQAAVIQACNNCRR FKVKCDGSWPRCSTCTVKNRQCAYVGQEGQTRAAAVKSRLVSLEEIIDALRSRDPDQV TQLLDCIRTSDDPVDIIKHLPASSPAATAKVDPHCNLTLLANRPIHDVRLLFPEPSIV MRAVDSFFSCSGKLFQVFSREHISRCYNAIFNEPQAALSQKVKTMVCCVAVVAAVGAQ YMGDSCSREIEQGLYDLARHFFEVAAEQEPLHSIKVCSLLAQYNIMSKEMIALAYVET GLSWCKLHGTSGQACRPASIPRLEWSDLRKTWRTLVFFSSWLSSTLGYMSGNAWVAER SMLSDMKAEDPTDVSDVVQTEMARICILKADILRMHLVFQELSMKSIETIMQDLQRWY EELPDCVRLESSGRDGLQTETKRSIMHLHLLYLGAMMLLYRRVVTQFLQSYLIGSSPG VLQMSCNDVIVQQANETVLAASTSARIVKLLMDDQAVFKHCWLVIFQAYTSCTVLLHA VVQKQIHRFSPSTWEDDLERAGDCLSVLAFCASKDLIAAQFHHQLHGIYQTLVQLGGS SAMAPRDGRAETDDPGQASTPCGAPEAIGTAQTSQWTNSAFILDITADADALLLETTL ILFMMLSRPFGDSAAEEATQLKLGKHWISDPRRHEYPQMVERLDWSLEYKCQFKWDIS QLRFPCPSSAEASKQDTSQTTSTPSASSASPWETDMC UV8b_05252 MSFSNTSTGDKPADPYKQANKDNVDVPTKIQDLVSFITACKYGM MTTRCASSGGLVSRCMALAGTENNGVDLIFHTNTESGKTDDVAGDPAVNMSFLNSKGE WASISGRASVITDRAVVKKYYSPALKAWMGDLGDGVHDASEEDPRIGVVRLGAETATY ALSAKGQIMQAVEVAQSTLTGKPAEVNKLRHISEDEFKTWRKMAPGGS UV8b_05253 MLDLADTTKLVVKISMDVAGIVVDIYVELARVMAETAMAMAGPA VMSSMVIASPVVEPYKGLGARAIRILQESWDSVGHDFHRLIKFPFAMVDVITDKVKSI GHGIVNLMVWTPVNWLWRAVMWVLSMFGIPDIILEAAARIFGAASNGSIVDFIIRILL RIIEAFIPILTWLLKLIMETWDADRERQKTLTFIWGRLVNLKGFLLDLLNPMNVVEAF PGGTVDGINGPVDGVAAADNPYNELDLGKEENRRAIVYQAQRVALTPVALLQTASTSS GGLGSPQITFSLASDFDQDGNPPPPTYVSEMENSPPGERWLFINGIANEQVWFWRSCD KIHDAFRREVKGVYNRSDGIIWDLIECAGERTAAGLGTDNALIQRRESRKAAQRSTRA QRLDTDNALIERTESSKAAQESLHKELRDALWLPGRAPPDKGCLLLRLVLQSLVEDSQ PGFVERREDLRTKLRVFTFGNPSVDWLVKRTDQSLGDVVHTTEHFAHREDLVATLGVV RREGEAEQGYARNSVFYSNAGRGHLFGAHYPLSPEAYENGRDSRLLRALGGAAIE UV8b_05254 MPFPQTRDSTPWRLVRVVLPPRRQPKRHKAGKLDRFRAWTPEIE TERRADSRERQRVLRDLPRWMSPSRATWPTPPQALPACLPACLPACLPAQARTPSDGL FWNTNAQEMERAADCRAKTTGSEGPSWPSFGISIEFPVFNPVSRATAHDLAEQHVGVA SSRLSQGHTFHAFRRTALGSSSPE UV8b_05255 MPETAFLRTLDRIQNPAPGQLLQQPQAAQPSRLLALPNELLLQI LTHAGSVDRMLLALTCKRLLAVSFMTVTMIPSASRHHAYQLNCRAMLDLVHAVRPLDA RGRRRVSWAPCCVCYRWRPRRKAFWNFIPKQYATEIAAGIMDDYDYIIQRWGKSRSAM YQCPSCWCDERISLYGHLVDRKMKGGKRG UV8b_05256 MVRGRPRDDAKTFSRDGDDKPNISGDEPESHVSLFKYIKAFISP GFKAEPSSTIHLPIILCKPSDKTSSTMHLQTIVILLTATASAAVPAVPAGRETDAAPA GLEMDAEAQVANCYWDGTAPFCAGGCPNGYTDQGTSSCGDGACCITGYKKYCCKET UV8b_05257 MADGRRQTADGRRQTADGHSFNCLKLQLLHALLPTTLHPLVGER RLTTLPLPDPPVARPVCRWTNLSSSAWYFSSAAARRPSPAARQQIRARSNVQGCRVAG LQGSPPCPTQAQASPHYLHTRADKGLSSGKQFQLCSGMAVLQFCSGSRNGG UV8b_05258 MAAAQESPAGPLPARLFQPDDSAEDLAHKQVLHDVVTLSAPPAR AAQQLDEWVSGDANRKYAALRDRGFTLAADESAYLVAPNASRSMDMLAETVARLCSAY PPGHPAQDALVHLLQQLKDLPRHDVPDLRYDDANQLQLDQPRQIWLLGTPETRFLAQR FLRAAQDLAYPFSDVEDLGSETQLRWRNLQSFVARITTQDLIDCSDSTALLHILPRSH TYPDLEQRKMGGPRRLAADVEAAAQWLLPDPSRRWVRQQCREGNSSGGVWTEPNWRRW KEQFSLFAGDQRLPEATRSLAASLRHKMDAEDGA UV8b_05259 MKTTTAALGFVAAVAAYPAASRLDPRACTPGVLICNGASQFGLC NSNGAIAWINVADGTSCLCHGSECHIIAVSGSTESVAVSTAASATAVATTAGLAPAPA APSADGAPVSDTPDALSASAPVATGTASPPSKSSDFVNNLEVAAAVADTPESPATSAP VPAASSASPSPSPSKSPSKSNPGSGSGSGSGSTAGSTAGKAYIKTFLGTGAPSQGWPE QSQWVDFESMWSANLANVISKACSSFGQPNNSDQESADLKKAILSVAKSSNIDARFIL AVVTQESGGCVRAPTTNYGVRNPGLMQSHDGAHSCYNVNPCPSAQILGMVQDGSAGTS SGDGLQQILAKAGSGVAQYYKASRVYNSGSVAASGLLQDGIATHCYASDIANRLIGWS EGLSGCKI UV8b_05260 MSSPGILLVTMTPKPGLAPAQFHEWYNNEHGPARLRMSNIFSNG FRYRAASPGQPAFMAVYDVTAMEHLETEAYASLRARRSLREAATIGQVQVKRYLYDLL LTKQSASFTPIEHLTDRQADGIVTVAVEITPTASQGDGDHCQTWFTQEHSESLAEVPG WLRSRLLKTSTLEGTGKEVVYLCLHDYAKENGLRGPEHQASMDAPWRKKEPYHCVASK GRQVWSLFYVFGPAPRDLSSLSELPASARFASADGKTRTEAGSEAVISSCVTTQDSLA IPYRLEGNPCPRAPVVAFSNSLLTSLHMWDAFVSILKRNRPELGILRYDTRGRHAIPQ PPEAATLETLTDDLRHVLDAVRITKLHALVGVSLGGATALSFAMKYPARLDKFIACDF NTTSSPANTQAWKDRIAMAERDSGEGMGKLAGQTVARWFHPASADKKELAEEMAKMVA ANSVEGFRNSCTALWDYDLKPKMKECSVPGMFVVGQGDAIGVVEAMEGFRGLLGDKGA VLKVVRDTGHLPMFEDAEAFWAAVKEYL UV8b_05261 MADRDDEIRRLRLELEAAREHQRNTSYDEYLRICHEELFITLEV RGQLTLTGSGITNVTGKHYPLILRPWDGFLDEQRLCHDIITETISGFLLPSKLDVRAI ARTSNTRPVHSEEDLRIFEYLAVEKPVENIMSVFGRRSQENPNGREVDCKAIWFENQP SSLTEPDDEDGDEVVSENVQGQPGSRKKRVLARRGLSRKRPDRWAICTRLSGQQCITF PCEYKAAHKIPVECFQEAMGEEDLFTRVILGVLSDKVSNEDETSLQGQKELLVAKALT QTFDYMVHLGTSYGYLTAGKSLVFLHVGDDPRVLYYYLSQPEADAKGPDGSIDPFHTG VAQLAAFCLQTCRDSGKLESWKERAILQLKKWPQPYDETCGATTEEESPQSTSSGSSY TPSITAAQDLTVVLRRRTRASCKPDLEPGTNDPSDDDSVGGSSRRIRGLQPSLVVELP APKKQKDVTSESDEPGSSEVAELEARPYCTQECMLGLKRNGLLDEKCPNMTLHHRASR DMSHPIDAACLRELMQEELRRPVYRLRSIKRLDGDGKYGATGALFKLSSSDYGYTFVG KGTYAAAVERLQHEEEVYKRIEPLQGRFSPVCLGSITLDTPYMLPAADIVYMLLMSWA GDALTDDDDDDDDFLPEMPWVSELLRPYGVIHNDLRRDNCVKNHELGRVMLLDFDLAY ILPAPRHRRIDKLSHKRKRRQERETFARPSRASIS UV8b_05262 MANPTMTTDQFLLSQHRRARTRGSFDSYAVLEAGQRLGSPLLCS SHATDIGESKPIYSCLALILLFNLPRELQVMVLSHLSFGDIERLRRTCRFTRQRIPKS LVYQLFPDMAVAFYSTCYVCLDHDASGNSIVAARKDHPRRPMANRCVRCVAQSNSFMV GRQYLLASRRIAWLCRWCGYPVVGESARNQPEFHVECWLRYERSSRLYAVVGVVQWLM AIACSGICLEMFKDEARISAPAALAIIGCWLPPLCALRGRMDRSSSPANRVVDLALVL VVLNMMMRAVNVAGNVILYLEYMWWRRRKPNNHWLEKLVHYLTFFLVLWTYPKSIEIE HPLWF UV8b_05263 MLTRHSSAALAAGLMLMPVFAQPASRCPVSGQTCFQWGAAPAAA AAAAAGSNSVYFQIRAPTSYQWVGLGIGSQMAGADMFVVYADGRGNVTLSTRQGRGHV APTYAAKQGVELLEGSGVLDGTMVANVRCRNCSGLGAGGSSQWLSAWKDGRSLDSASP SAQIAYHDGHEQFSVDLAAAALPSDANPFVSGGGGIAGTGGSASRPGAVVVANGNPNE ALLHAHGVIMTLVFLLGFPVGSLLMPWLGSWILHMSWQLVVFALMWAGFGTGYVLASR TGLFFKQAHTRLGIILCCLVGLQPVLGWLHHRHYVAHQRRGAVSHAHVWYGRAIIVLG VVNGALGLRLAGSRMPFVVAYYTVLGAVAALYLATVAFRALGGRRGGAPQSPSATGSS TKGVK UV8b_05264 MWREHGPLPSYQEATSGPDWIQLVAPYCDFEDCRALCLVSRRFW NTFAPLLWRDLLRAARLSGLDPGDDLAWWRCFAFDRLDKVSHLTRAQVRVLDARHFAK TAYSFSSLQTDRTLTETLRRAVRLLPNVHAMLLDGHADVNVPLLMGHDSADSGLSSLW VLSVAGCSRQLPKSFFTLPRIQTLVYLDLSDNPGSLLPLAQPGLLPSLRVLKIKGREL DDCTLHQLMRRFGRQLWSLDVTNNNVTDEAIQHLGDRCFPDSQVRSSARSEIEGRIVT QPGGSSHYGRFLHFEESLLSPTFSHPERFFVDSPAYTARADATAQASRGRRSDGGAPV VKDDAGAAISALVDLENLELGQRRQNCAGLTHLHLSGNNGISSTGIQKLLYVSQGHIE GLSCDSAPILPRSADVSKVWPPNASLSGFIGAAHCFRPALSCNLRALRLHHSVVTNIP TLDAQGLSKMERLLIAETTIRERAEGAYPQTLVPDMNPRLSSLTLTCLPRRSSGPLIS RLIQFLKLLSLQERAIQDLSSFTASTRRGPTLLKGLRHLRLEFEPDCMDDGLSTLTDW EAEELIEPGEGMFSFFGQEWAAAGRRVLDTQASLPRRPRLRAPKSGEADDGNGAVVED HQLVAADRDTAEFLTHHGNWNGAPFVADVWTGPSSADASAVLKDYRRMVLEDNIRDGI APATPAQIQAGAPRKSFIYNVAWSAAVMPGELKPPSRAELAGMRDVVDALKTYRLQGR ARYAQQKRQSQMSGNRAPLGAPHYFWTGRLQVST UV8b_05265 MSRRTPRSVIALAEDGSAIRGEARSKTAVLSPDAESRSLSRAGV ALPGVCDAGRNAPTSLGDMSHGNHDQKGPDGPLPPASQTAFRSDTQNDQGLALLATSN SGSGAPQPRPTTILHIRRVVREVWLDLVKAIASGRSGTEKHNRSCVSLHVNGRAEYGA GSALLSRCTMQTAGMATQWKRVAMAARGAEGKTRPF UV8b_05266 MAATQIDTGYIAGHLGLDQPLVASLTTEPSLDLISVLLQAVAAK AHEFDTLYADKLQTDIELENAVRTSESKSQVSKDTADQALKDLEEARQKLKDEEIKRQ SAENELQALKAQSTYHAAELKSLNDKIETLQSSNRTNLSIIESNNKRDQTLTEELTKL HQRNVELAREVTALQQSEQNAKGQLNSAKYREESLKQQLELARRNGEWLETELKTKSE ESLKYRKEKGARIAELQRESEDARSQVGVLKRSEQQLRERLDAMQAKADDALVKLQKR EGVFATTVQGYKQELEDQRRLVDMSEQLSKKHQERVRDLEAEKERLKDSYENEIRRVR LELEQERQTTAEMEDRIRQLESEVDELQARLEQGPPLGSAPQTPRVNGSIMGRPASPF GTPASIRSKSAVTATQAIEQLYQVKGQLASEKRRSQQLSEELDNMITALEAKAPEIHE LQAETDTLRHEIARMSELSQQSFEERDAAKKAARKAENALATSQSEAKILRTQLRDLG TQIQMLVFNIYALEKGMDQLTEEDKFRLQQLERGEITEEALADLSDTHQFITQKLVVF KDIKSLQAKNEDLMRITRELAEQLESEEALAAKHQAKEDHDKVEKLQRELEQMTEESR SIKNTMESFKVERDIFRRILQQRGTSVDEASMMRSSLDASLRAPLASIEGGGDHAESL GEALRKLQSEYDNFREAQDGVRKDMRTQIDHLSSEKSSLQTERVKLQGEVRLESERRE MLQSNYLALQRENAELQRRSQALSETAAKQDIRTQQVAEELIESKGLLDSMRNETANL KAEKKLWNDIQERMSKDNEELILEKNRLSNLLATQQSLENERNMSESEGRRKAQAKID SLERELSDAQRKLANEAEENKKLQLRKEFEAKESQKRVDELMLSLSQIREEHVGVKTT RDHLQARVNELTVELRNAEERVGRLQPRPTPRPGLGGDVDQHQQELEAEIHDLNNDVS DLKRDLDMANAQLENAKAQVEQYKELSQGNEEALEELRSCHEQYREAMDSAIEEKDKH IKELRQRIEDVSEELSRSNTELSQLRDSQGEVSRKHEIEKSILEEELNRLKQDSARHV EAARYHQQDLRAQAEIASKAQQDYEKELVKHAEAAKLVQQLRAECNEIKSQTAALRAE AESAKVTLSQSESSWEDRRLQLEQEMAELTARREDVNAQNRLLHQQLETLTSQVAALQ QSRSNGQGEGEEGMSPVNVGDALEGLRELSSYLRREKEILEVQYDLKCQESRRLQQQV EYTQSQLDEARLKLDQERSQSAQSDRASLTHQNLMERLNELNLYRESSAALRSENSQL KDQMAEKNKQIEELETRVQPLQAEIDNLGTQKSYLEEEIKQIQEDRDRWQKRTEGILT KYGRVDPAEMEQLKQSVSDLEAERDALKEGEKPLRDKITELESTLESERQGWQTTRGK LVDQFKDRSRKLTGEKNEAVQRANQLQEQLDKVSGELQGAQMLAEEAGKEKSEMEEQI ESFRRQVEELRQQAQQHPQAEQQTPAVSSDVRAGEGTVSAEVFVVLEQQLTNVRKELE SVNAQKLGAEQQLERLQEELDAAVSERDAAMEKLQQQQDAEAAAPAAAAAPPTDGTAV AAVEDAASAATVNGTMPGQPDEWRKVLEDKIAAAEAKAAEFEQKANEVEGKMQQTIKE RSDRMRDTLNNKLKDSRVKMEEEFKKKEDDLALRFQQEKLIWQAENAREKKQTVAAPP QPPPPSTPTKAEGQTAPQAAGATATPNAAGATGVTGATGGGGTLAELSQLDDGSIRQF LSTNATVKSIIAANIKKKLETENARIKAEFEAKITSAREQAQLMESKKSTLRINMTEN KLRAATAKLAVVETAANETPQKPVAEVWQVAKAAQPPPSTRAAPPGTPAKLAPGSAAK QPATPTGASAAAAAAAAVPAVPRPAQAAGVPLPTAPAAVQVQKQAATPPTTAAAKPAG GGDAGPKPRENPFESAAADGSISDAPNPFSAKAGAAAATTTRPAAQQAQTQAQTQAQT QAQQIPAGLQPMQAPQGQPAQAVRSGIPMPRGGGRGRGGGAYATPGQRTGSVGHEGGA GNQAGRGRGGHRGGRGGMNPGVVDFQPGAKRPRGDSEAGGGAKRARGAH UV8b_05267 MAALANPIPVRHHSNTFFELPHKKRKQRRLMEQEQRQRALLEAQ EESRSQALHYLVEFLQASQWCDQMDHITVVYDAVPTMDELQSYGSLGEKEGTRACRKV FKAPVVWDELGHGLWKVLKALAEEKQRARPALPSVDEVARRGTVCWGNSFGSPADAPQ SIPRSRRSPSRRSSQDSCTSTASQESVSPRMSLSSSPSRHGSIPRILSIITPRRMTNT TS UV8b_05268 MAVLCSNDPTCTYGYPDGVPRTPMPCHALLGRLVVIFVIFLKPA SASKPRSNSLQPTAYSAGHDFWLLLQQQLCKRSNFYVVSAGNLVHGDSGHDGHDGHDG HDDEPFPARKAAIGHSHRLEHAAYASGEPIAGEAITSRPHRNATHRNASQRVTTPITT UV8b_05269 MPNSTGISWHPTSDTQSTCDASTFAESPNIDPANWRECASLYSS WTSENGTFNLSNVNGTGFTPILQTTDCTLGVKPADPSKGPFTIGDKDIKTLLGTSLRQ FSEGTELRVTGVVKCAAASGAKGDVIWRISKS UV8b_05270 MTVGAEDQSPSNAAASTPANEHQLPPLSDDDFRIYSRMADRMQQ FHDYFRQTWNMLYEAASTSRRPPNLTLQQFLSEGISFASHLSAHHAIEEEHIFPLLAT RMPEFNPTSGQLVKQHAQIHRGLEEFERYVQKCRRGEVDFEMATLKEKMEGWGGVLWE HLDQEVEMLGAERMRAVWTRDEMKRLPM UV8b_05271 MADAEPSASAAENTNSIDTPLPPSPPSPPVPPVPQKTPPLSTVL AAAPSRADAFLAHLARCMQTRAGAETVLLFVCYATRLAGSVLEAVGGAALRQSARRLV ALAYSLPPATTVVMASAAPQPSLAALALRLGGRFKALSAVVSETRTMGRLWGLLGLYF AAKRLVLRSRAAGPRRGKEEEEKHDGGDDDDDDDDDAAFDTLVAWAQTLSLIVYQAAE NAAYLAAKKVLPLSPATQGRLGLASVRAWGLYVAIEGARLLVERSRRRSGGAAPAKDA DWAARWNKSFYRNLAWAPLTVHWGTRQGFLPDIAVSLLAFYPSVGAMADLWREQTA UV8b_05272 MSRFFRGGEDSSSDSSSDEEELYSEEEEQQDIEQNDSDDSDDMD DSDDSDESSSDDEAGGANRFLRDAASDSDSEEEEVRAKVKSAKDKRLDELEASIKQIE NGQKNGDWTLISAEFDKLGRQVARMQDSGKTPKPYIRIIAELEDFMNETLAKQKVTPK KMNATQARGLNAVKQKMKKTSKEYQTGIEAYRADKEGFMESDDEEIVPVVKPKKVAKF VDDVAEPEDLTGEDGFATVGKGGRTLQYTPESIFKHLRGIMESRGKKNTDRIEQIKVM EKLGEIANTPYQQIRVLLAIVSARFDLSAGTSNVMPLEHWKAAEKELSTLLAVLESNK DYVVVENVEEWDDDEKPPSLQPGEKYIKVAGSVVSYVERLDDELVRSLQSIDPHTSEY IERLQDEGALYNIIFRGQLYYEHLRKDSSLEIPQDSVNRVVMRRLEHVYFKPAQVINT FEENCWKAAGDKVESETTPRSEAQDANNLVNVLCNYLFSNSDGIIRARAMLCQVYFLA LHGEYYKARDMMLMSHLQDTIPNFDVQTQILYNRTLVQVGLCAFRKGLVYDAQNTLQE ICGSGRQKELLAQGVMMQRYNQVSPEQERLEKQRQLPFHMHINLELLECVYLTCSMLL EIPLMAQTGSSPDVKKRVISKTYRRMLEYHERQIFTGPPENTRDHVMQASKALAAGEW KKSTVFIHSIKIWELMPNAEEIKVMLSKQIQEEGLRTYLFTYAPFYDTLALETLSSMF ELDGTKVAAIVSKMISHEELAASLDQVTNNVIFRKGVELSRLQSLALMLSDKASALIE TNERTLEQRTQGTSNAFERQGGRGRGGQRGGQRGGRGGGRGGGNPQRQAGGTQFTGGA LGAAVRG UV8b_05273 MADISCATGASAARLFIHLAKRGYSSDSSAAAGRSFAPLEFLLP RRRSITRRHLACLLRPSQHYPTGKASLDKASVKSTFEQPWRRTFTVTTRRPTTTCILN PRTDEDGQEMTMEITPRAAKRLSDIMNKDKNPNLALRIQVESGGCHGFQYLMSLVTIP PKDKEDWSCAVNKDDTIFQFSPDGEPASPAAEGAKVILDEPSLELLKGSKVDFTMELI GSQFKIVDNPYAKSSCGCGTSFDVKM UV8b_05274 MGATTVPTNDQILVPETLLKKRKSQEKARAERTDAIKKRKAANK EKRGVIFKRAEKYVKEYRDAEREKIRLHRLAKKNDSAYIPAEAKLIFVVRIKGINKMP PKPRKTLQLLRLLQINNGVFIKATKATTEMLKIVEPWVAYGYPNLKTVKDLVYKRGYG KVNKQRTALTDNSIIEESLGQYGIVCMEDLVHEIYTVGPNFKQASNFLWPFKLSNPTG GFRPRKFKHFIEGGDLGNREEHINALVRQMN UV8b_05275 MPPKKVAAPKENISLGPSVRDGELVFGVARIFASFNDTFVHVTD LSGRETIARVTGGMKVKADRDESSPYAAMLAAQDVATRCKELGINALHIKIRATGGNG TKTPGPGAQSALRALARAGMKIGRIEDVTPTPSDSTRRKGGRRGRRL UV8b_05276 MNSDSDQYRGATGESRTTLVSKMVAAAHEPDPADSADEHSHSNS QGAKIEAELMTEEDALKNLTETVRDQNDVERDITIQAQAALVEAEDKKDQGRITKLEA TRQRLQSQHDNEKKRLERVAGNPYQSRNVQREIAKLAEELARVSSDISDFQARIDKRH QEELLDNTSQAKSKRLPGENHRDYLVRTGKITPFARIGGPRPQGIEGQLADTLLQAEE EVAAEQLGQDDQGPKSHQLLRRPGFSDEIATSDSEIPGNSIASEFSLRPRKKQRRERR RSPSADFQPDESSDSGSANWALWQEGNEDDLLRQERRKAKSKAISSDKAGDVVDLSNF DDGNEFLYKRRLKDWVSRRARARRARRRSAGSDSALLESDDDEHEWFKPSPDHGDHHV DDHLRLPGDIHPSLFGYQKTGVQWLAELYKQHVGGIVGDEMGLGKTVQLIAFIAALHY SGKLKRPVIVVTPATLLRQWVSEFHRWWPPLRVSILHSSGSGMMNPTLEDEYDVEHYQ PVAHKSLSAARAIIKAVVDKGHVLVTTYTGLQTYADELLPVEWDYAVLDEGHKIRNPN AEITVTCKELNTPNRVILSGTPVQNNLTELWSLFDFIYPMRLGTLVNFRTQFEIPIRQ GGYANASNLQVMTAEKCAEALKETISEYLLQRLKVDVAADLPEKTEQVLFCKLTSGQR KAYETFLASDEVSAILNKRRQSLYGIDVLRKICNHPDLLDKSLSKKAGYRYGSPNLSA KLQLTKDLLQKVMIPNGHKTLVFSQGKLMLNLIEKCIRECEISYVRMDGETPVDQRQP MIDRFNTDPSIHAFLMTTRTGGLGTNLTGADRIIIFDPDWNPSTDLQARERAWRLGQN KPVKIYRLMTEGTIEEKIYHRQIFKQFMTNKVLKDPKQRSSYDLSDLYDLFTFNTDQE AAAQRSDVFKGAEVNITARRQLADAEKHHASPIGRAGLEEDAERRELQQMDLLAAVED FKEEDSAHDEKRMLEGIFSRSVNSAYDHEQIVNGPQKVKADMSILSHEANRVARQAAA HLRHAAEEARQLPIGTVTWTGEVGSGGRPGGGRRRTGPSSAAVMSNLASRQGLDSESA SSSRSRTPGAERNLKAKDFVSMIQVFINRHGGRVPSKMLVDHFNTYCPGKKQSDEFKS ALDRVAILNKAGGAGRGMWTLRPDVK UV8b_05277 MHIIKPSWLSHSGEQKDFEVYSCHVSPDGKRLATAGGDGHVRVW STDAIYHAKDASYSKPRQLCHMSHHLGTIHSVRFSSNGRYLASGADDKLICVYHLDKS TAAVTFGNNEPPPAENWKTYKRLIGHENDVQDLAWSPDSSLLVSVGLDSKVVVWSGYT FEKLKAIPAHQSHVKGITFDPANKFFATASDDRTIKIFRYTPPTPNSTQHDMVNNFVL ETTISSPFKSSPLTTYFRRCSWSPDGNHIAAANAVNGPVSSVAIIERTRWDSDINLIG HEAPTEVCTFSPRLFHTVKPNDKGAANGDAGQLVTVIASAGQDKTLSIWNTNTSRPVV ILQDLSGKSISDLSWTPDGQTIFAASLDGGVIVVKFDQGELGWVAQTEENVKALQKYG ASRKGMGIPEDVDGLLLETHSKAGESKAVESRMGALMGDLPSDTAKEATPASSGTKPE KTVATNGQPAKAEQTPEETADKSAERVKELKSRVRIGKDGKKRVAPLLVSSSGTGLSS LPQTQLVGSTTTRKTQTDVPQTTLDLSKPFDGLPKGGIASMLLGNKRRSVVADAEEEG VPTAKRAATGPTPIVTNGVDGVELAALSPVQNGVVPTPEFLRPAVLNPSISLAQVRLA VPRIRSHILRAMDRGVLQPETSSLEEACKAPENIIMEAKNDPNPRDPAHVLVTKRGEL LWQEFLPRAVILVTANKNFWAAACEDGSVHVWTPAGRRLLNAIILESQPVILECRGYW LLCITAAGLAHVWDIQSQSSPHPPASLGPILDVAVTSLNQHSATPGPGVTSAHLNSTG HIVVTLTNGDGFCYAREMYTWQRLSEAWWAVGSQYWNSNDSSISALQSTAVGPGFGDK KEKTVPATVSSGVIPFLERHTTAEFLLKGRAYALQRIIKTVMQRKEAEDLESTISIAH LENRIAGALQLGAKEEFRLYLFMYAKRLGAEGTRPKVEELLNSLLGGILRDETSEEHH GRGWYGNDDEICGWNRKELLKGVVLILGKYRELQRLTSQYARVLDLNMEDGSIDVDTM DVEP UV8b_05278 MYQLEDAFNRKYMYDWIFFSTEQLSEKFRRYTSNATLATCIYEV IRNSDVGTHCWRTTRQGPDGAPTQASQESPSPSPTRAEYLDPLQSLRQIQRWNNGPFA REKRLQSYDWFWRIEPGVQFTFDITFDVFRFMRDHNITYGSNRATVAPFHTSSLSQQV QRFMDKHPDLLHADADVSWLLGSSGSSNARQALQSDYAEDLWSSPLRSYHGKVSKD UV8b_05279 MAAGAAGLRVGKGNQLGKSLSFVLLTFQNSALILVMHYSRVMPP DGDHRYFTSTAVFLHEVIKLAVSLTLALYETSETLAPSTPATVLFEQIYNGVFSVDGW LMAGPAALYTVQNLLQYVAVGNLDPVHFQVLYQVKIIITAVFSVMLLRRQLGSKGWVS LVLLTCGVCIVSLPSSERASDKFLLHGVPDHFFPRSKHELGQTVAGASVPEPALHLSK RSATYEGIAKDMPPAEPMMNFSVGLPAVLISATVSGLAGVYFEKLLKESSTNATLWVR NVQLSFYSLIAAFLGGCIYQDGAGIWEHGFFEGYNAVVWTAVLLQAAGGLLASLVIRD ADNIVKNFATSISIVISFIVSVFIFDFPVNATFLTGTSLVLLATYIYSVPERRLHRPP PVRIVSFEKPAIEEVGTPLHTPRAADPRRLNTDPFTSQGLGKSSSRPGSPMLPRTASR SHFHGQDP UV8b_05280 MSADYNNNGGSSLDSNYDETIYSTRLNELSRLHRSDAPAPSERS TDAGPILREIRARANPNLGQQATSPASNQNGESFFNTSFQVIGKKLKACNDTLGELQQ LGVSHHVQLPQLVLVGDQSAGKSSLMSGLANLELPRSEGTCTRCPLHIRVSQNSDWSC RVSLRKEYSYQPPLDRLINESDVTADDPFFPWRKKQGTAVHEFKTMHDKSEIEEVLRW AQIAILNDDKHHGLFIPGSGSIAVNTPITKAAEETVAKFSPNIVALEIRGPELPDLSF YDMPGVFQNPADAKDDYLVNVVRNLSMEYIRQSSAIIICSMPMNSDAENSCTFGLTRR LGACSRTIGVLTKADLLPNGGNHEQWLAIMNGETHQTGLGYFITSRPQGEDLDELKRW EDRMFETHSIDTWPSAFHGFSDRCGVEKLKSFLSERLGAEFAKSLPHTKYKLKQHLDQ IKEQLAMLPELPDNVELEIQSALMLFADSARIKLDYLTRHFSNLPQNFRDCLLSIKPR FTLKDSSDLMKVVEISDDESDNASVTTTATTPTAKRRAMPANTTPSKRPRLDMYVNGG TPTNRHLKPDAQSGLPGRAGNTASSRAPSVRKGALPEPFTEFTDLGCRFRTLRQIRDE IGAKTKAGMPDRTSDEVYEDLATEAVRPWNGPMDAFLHQAMRELHSELETTLNKSLEK LKKRFIYAEAKKHLRGCLEEHRKETEKDLQLLYQDETERLLTFNNDAFVQYKKEELTE LKRFRHWLRRRASGDETRTFIPGEQLTEDDRAQEARRREAELSKMGPDQFSQELEVAA YVRGYYRLAALRFADAVSQRIICRMIPSIRRKLAHYLEDKLGIRRPDAAGIYVRLMEE DGDTAAKRVTLKSEKEKLEKALGSIEMLETGTESAVSNVSESMTQPATQTAETDVHMN EGSVEDTEV UV8b_05281 MGGCQSCFGRRDKDDYDETEESRLLYDDVNGMQYGSFNDPTLNG DDTVEAQREHEALQRVVAKTSNNMVDVFETGPHDTGGRGGASTPFAYAGQGARVARYQ HLVSKLNNDEECFAHGVKVDWLTDDDDDDDENLEMRSNRPASIKTLEDENGGPLVGTF ADAAAAMR UV8b_05282 MPEPSVRQPGNGVSVHPACSPLHFLPSHRFAGQYGVGQQMPQTL LCCPFKAPPCGPRRYIFKRRLSGWPTSSSPTKPEFPAAAARQQGRISELYLHPNTVAI NKPGPSTPDERRQID UV8b_05283 MCYFDQTRWSCGYWRWGHFRQQCNKEYRMGETCGLKLVYETKVE GDVCKLCHDMEKKQRRYDKMYRDVQRWQREGNRNATIERTCAEMQEVLGQIYRMREEH EHRLQSLGQ UV8b_05284 MADVRSLLRQQRAARRIEHPFAAYSDAGKLLCTLCRQYLKTESL WDTHLLSQGHRQSLQQRRATGALEAATPPGQGTEGGLASGLCKRKRDSVAGQQEEEDA EARDATRPKRGRTEAAAPGAESPFARDAPRSDTATPTLDRLPSVGSNDSKESTQTPPG LARRTSSATPSHGVELQIPSRPATPAHRDSVASAPGGYFAVQPPPAGGSATPASRQPT PSSSGAAPAAAARPPLADGKPAPPAGAAAAGAVDESEWAAFEADIAAATAPLDEGAVI SAPAMTKEDVAAKEAKEAEEASRGERKAQADVDIEDEREEAKRALEDEFDEMRSLQER VHRLKESRATMLKRRSQSQGQETGPARAPGCNGPRNGVSEAAIAGGKRSDDDDDDNGD DGDEDEEDDWASFRFRRG UV8b_05285 MADLEPHSLASTFPNPPPFWKNFTPDRVARMDDLRSAFAGGPVD AAATAIVRVPGLPAELANLQPPPEPADGKWRVFGDQYTLDDKLPTLEEQGIANLPSTG LSTSKDAKHYDRAFELKRLVKSLLLNFLELSGTLARNAADAEAKINDLRTLFINIHHI LNEYRPHQARESAIALMQNHLDRTRSETVAIRTQVDKAKRVLEGLGSLDLDSKALDEE PLGADEQAGRQDASLRRDKELWAFIDAEFA UV8b_05286 MPFAPPHKAHQTFLHPRNNQSISIPSIQQQSSTGTDDQPPTTDG RQPTTHPALHPFTMAVDAPSMPAANCNGPAGAAASTAGSIVKPDVKTGCCKCGDAECC CIPCVIL UV8b_05287 MSAEAEPRRSVRATKGQHTKSFDELEPAVAPKRRQAKKTKKAQE KDQSQEPEEVIRCVCGATEQDEDSGEAWISCETCYAWQHNVCVGVSSFEDEIPENYWC EQCRPENHKELLASIAKGEKPWETRRKEYEEEEVERKKKRGGRRGRGKRMSDAKDEAE KDVGKTNGKASPVPDAKDKKEPASKPGKRKLRLESQETDGKSAKLRRVSANDALSGSA SYTKPDDLAKAISELPSTRAGPAKALKRSISHVFGMMVKQGELEVPNGSSEDSVSEDY ALQIERAVFDTHPASKGHKEYSQQIKSLTFNLKNNPEIMHGVLQGVHTPATLAVMTSE QLASAEMQKQTAEMRAKAEKQSILYTQETGPRVRKTHKGEEVVEDESIINDAPIPMAG GPRKGTSHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQGPPVVKREST GGDGHDLKARSPSQPEGLKHSPSTSNFDINKVFETVRSPTVSHKRRPSAPALNTNGPG IDPDVDRMLQDETESPPYSPTQEFQEPDVVWKGSLAMSSIADFSATAKHVGGANFAAF GPWSKLIPKRMTVAGRITQQRAIEYLCSLRYSNLTDIVVVSITPASPDSQTEFNALID YFVGKNRYGVVGNKVAGNVRDTYLVPVPAGDNGHPEFMLNLVDNFIPKTRAEPMLLAV FVYRNEPDQLKPGKEEVTPAQTPQPPSVTASPTPGPGGQRNSFASGPAFSPSTPQTPF TQPPPPPPPQPSHGGGALGPSTTPVPIPQPPHMQRPPQPPAAASAPAYTAPTYTAPTP AGQMTDAQKFQAQQGQSMAREILGNLISVPTVQFILPQAHQMSRREWEVIRSIYERDP RSRDDLQHLGQILEQEGAEK UV8b_05288 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTAIGILAKDGIV LAAERKVTSKLLEQDTSAEKLYVLNDNMICAVAGMTADANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGWDPRRKFQLYLSNPSGNYGGWK ATSAGANNASAQSLLKQDYKEDCTLKEACATAVKVLSKTMDSTKLSSEKIEFATVGQT EEGKIYHRLWSADEITALLKEHDLAKSEDAEDK UV8b_05289 MASRRGVVSGGTASCLTRAWQTTHAPEYIGFLVLLGAWLALAIL TTPFHRLFFINDLRIAYPHALHERVSVFCMFMYALLLPLALLIACNLASRAPMSKHEV TYLPFLISLLLTSFLTDVVKNAVGRPRPDLLDRCRPSASTKPNVLVGIEVCQSHESFK LQDGWRSFPSGHSSFSFAGLGFLSLFLAGQLHVFDHPVGGRDLGRALICLLPLIGATL IAISRCEDYRHDVYDVCVGSALGMSVAYWSYRRHWPRLSSPKCSEPYPRPGSDLQSAA WQRVRDEETGGGMNRTGEEDYELQP UV8b_05290 MLKSTYKPSVAAAPLPPGWTEHTAPTGHKYYHNTETNVSTYQRP GAAPQAPQPARDAYSPYAHLPSLADPRVANAYLAQANAQQNRPRDPRDRGRASGGHDR RPRPQPVDKPRRVEEIPGCEPWVLVYTKYSRRFAHNPVKKASYWRIPDKLTQGILELD KARIRDKAAGQQAGVNNGQDVVSEPREQTAPQRQDESDSDEYEEVEVEVEVSDDDDDG DGDGDDDDDDEGGGGGGKGNCGDDGDAESEHPSKRRRTGHASRGDGTGGQGDGQHGPV EFTEADIAAQLQAMGEDYGLEPGDYDDGNMENWPEGTHGVDFSQDDAKALFKDLLNDL GVNPYSPWDKTLEQGGLVNDPRYTALDTTRARKECWDEWARGKIAELKEARARQETKD PRVAYMAFLQQKATPKLYWPEFRRKYRKEEPMRDPRLRDKDREKAYREHINRLKLPLA TLKADLAALLRSQPARLLHSQSSTANLPAQVLVDSRYVSLDPRIRDPLVEAYVQGLPP PPEDAAAAAAAAAAGGGDENDEARAREARARRERALRERNCAVEEQKRRRDRELAASK ARLRDEERELESAMRVGKRGLQSQLAEASHAGNQDSESLM UV8b_05291 MSNLTKQQVAVSFIFKFPANGVARPKVALFKRSGKVSTYRHKYA PISGSVEATDSNPLATAWRELGEETGLDASSLTFLRQGKPYTFADESVGREWTIHPFA FVLKPPDAETGARGEQGMRLDWEHEAYGWFDPGDVADEEAFPGVPKLLESLRRVWPAL DLGSAAAAVLDKGLQALRDDHESGARQLAGKAGAILADVVSQLDSGDGEAWWANFRRA AWHLWRNGRESMAASILSAVLRSLDVVERRMETAGCCLSGATVDDMLAEMRALRRTRE TSVSRIASEFTALAAALAAGADALVVLTLSSSSTIHACLAHALATTGTPAPLLDLRVL ESRPLFEGAGLACRLSGCAAARGTAGPPRLRTTVYTDACAAVAARDARLVLLGADLID RHGNVSNKTGSLPAVLAAKHVSRDAKVVVVSETDKVSPLDHDPRARQEEENDPGEVVG AWAEAGLRWPERQEPGRGGGGGGVRVRNVYFEWVPRALVDYYVTEEGVAKAEDVMRWA EDVGRRSEHYFGDL UV8b_05292 MLPIGLNGFPLILGVTGYPQSIGNEWQQLVEKVCVPRAEFDNLR KKIEVYEKALQDAVTDPTRRQELLHDAVSPVSYSSSSSFAPSGTGAPSTSHGQPSGDQ PSGDSFIKAETTDDGQTLSPGRLLQDSEGMGKYMGETAGATFMDHLKEFIRAALPISP QDRTSTVNQSNFLSTLGNYTVDDQRPLNQAVNPLWLPPDSTVVAVLSELRHFIQDGGG HWPSGGMYWWGDLSSVPARAPAMASSDMDLGAYRHLAFYHAALAVACQSTSTQPPPSS GARPSPSEPYYARAAMLLRNPLEIKRRTIGDVASLALMSFYLVETNQPDAAYMYVAAA MHIGVMLGAHRGWVDERGKRLFWSVYCLDRWLSCLTGRPATIADEAIQLPLPDDDASM PPAAGLRAHVELSRISGHVVGNTYHGGGTEAGVGQPGGAIRMLEQWQSTLPPSLQLTN GLSNDPAACLLHMRYNQLLVVAIRPLVLSAVKRAVVGRLMAQSPMTSSASQTGHLKRC IAAAVHNMALARHLSSLNGRRKTLHAGLHFIFHAAVCLILRPLVLKMEAFPQDELSAT EDVGNLKATKLTKLTKLTKLTKLTKLTKPIRLLGPKSE UV8b_05293 MFAQPYHAYSSHLRLFPSQPSQALIALQLPTEARCSSTGGGLEC QTVTSCPSSAPFHENRTLSFHKDRLRKTDI UV8b_05294 MSPHSEEVACVVVSSGNGATETVSRGGSSSSSSSNSSSNSSSNS ARPPADAADAAVDGFTSDSSITSRSNPATTTCIRNGHHNGHHNGHHNGHHHGHHLSGH HHNGTDLGTLESSNVAGANGNGPAAHHASGPAVQKPVAGSNGHKSRNGAIQSSQYLVP APLDSEFDLICVGFGPASLSVAVALHDALADGRRLVPDGSSPRVLFLEKQNQFAWHSG MLLPGAKMQISFIKDLATLRDPRSEFTFLNYLHRQGRLVDFTNLGTFLPARVEYEDYL RWCSSFFSRLVRYGEQAISVSPDDPSKGQVRSFTVRSEEVGSGQTHTYRARHILMATG GQPYLPQTFPLKHPRVIHSSQYVNVIHKILPKTTAACRVVVVGAGQSAAEIFNNVSSR YPNSKTYLVMRQEFLRPSDDSPFVNSVFNPEYIDSLFPKSAKYRNNLLIEARATNYGV VRLELIEALYERMYEQRRELGVDDKTWPHRIMGGKQITSLETHADGLKLKIRSVQDST PDGLVEALDDEVVEADLVIAATGYQRDAHVDMLKDTWNLLPRTTSGGFEFGKGISGWN VDTEQGQRKIAVGRDYKIKFAPGAVADNAGIWLQGCCEGTHGLSDTLLSVLATRSGEI VDNIFGDH UV8b_05295 MDHEVLSVSNPNPRRLPGPQLLHQLVASSNDGIAIDYLCEDVCS SYSYKQLHDASQQLSKLISFLHRELDSHADMIVPVMMPQCPQLYISLLAVLKAGGAFC PLNIETPPERVMFILNDVSAKVVLVTRQLAESIPSECDVAVIQVDALGSLSEYENISN RATCPDRLAYVMYTSGSTGTPKGVGLSHRAATQALLAHDRHLPQFTRFLQFAAPTFDV SVFEIFFPFFRGRTLVCVRREEMLNDLPASMRRMNVDACELTPTVAGGLLKKRQNVPS LRLLLTIGEMLKMPVIQEFGGNEEQESLLWAMYGPTEATIHCTLKPSIPSNSSPGSIG LPLDTVSCFVIKPANSSKEHHDFQLLPRGEAGELAIGGFQLARGYLNRPEQNTAAFID SPYGRIYRTGDKAVMKSDGSFECLGRLSDGQVKLRGQRIELGEIEHAALRAPGCHGAC AAVVSANLVLFCAVDHGVTEDIIEGICRSWLPRFMIPHDIVLMGEFPRLPSGKVNKKK LESEFKQQKPAAIVASEPSCGLTRLEMDMIDTISELARQNVNLQTKLATVGIDSLSAI KLVAALRHKGYDSSVADLLKLRTVGEICNHLQGRSRVCATPQNSERRLKELGSRSPVP QRQLLSTHVGGLVERVMACTELQSWILAETEQNSRTNCNEILLKAASSVKTETLFDAF SEVIQSNEILRTGFLQWEGRYISVVFPRPRIGQVEIRPELQRRLGMSTKEDFLTTFQV QLISARENEGPGIFIQAHHAIYDGWTMDMLLSDVSQLLQGISLPPRPQFKDIASFQTL ITKEEKDNSRAFWSKALLNWSKVPFPKLLARPGPDEICTKTDFVEIAPAAVEELSRMN EISIAVLFQAALAMTWRGVTGEPDVLFGSVVSGRTMPVPCIEQIMGPCIASVPLRIDT GTMKTTLDILRSIHRSNRAAMEHCNLSLSEIGKLVGAQAGESLYDVLFVYQQSLYDFE GTHGLLRHVSHLDRLETKLLVEVEPRPTGFALQITYHSTSIESGFVDEVSQQIGSFCR AILLNSTGTLEAAGKEVRVKLSAFEERVDIKNEPHDLVSLFDASAKRNPDAEAIRFVT SVEKSGFKTTTLSYSAVNQKANQIAHHLQRSGAEVGDVIAIIMPKSAIFYTSTLGVLK AGCAYLPILPTTPAERIREILQQANAKHCLVDDALTEDILLPDSVTLLNVHSMSTSDM QPASIHLPSSPDRLAYVIFTSGSTGTPKGVAVTQRSIASNVAHLSTVYPITAARPRLL QACSPTFDVSVFEIYYAWNVGMSLCGADNDVLFTDLEHAIRELRITHLSLTPTVASLI KPQNVPQVECIVTAGEPLTSPVLDNWGSLLFQGYGPSETTNICVIGKMSQGDNPQHLG WALPSTSVFVMAPNTLDVLPIGWIGELCFGGGQVARGYLNDEALTAKNFIVHPFFGRI YRSGDMGRMLPDGSLMFSGRTDDQIKLRGQLIEASEVNSTLTNNSSTEIAITILVKGG ELQSDQLATFYKPRHTVQSSKILEINAHLHHTLFSTLKSRLPAYMIPSYMIPVSDLPI TPSGKVDKRILIQWFEALPGDYLQRASETSFVTEYDAQWTKLEQQIAQVVAESCNISK TEIGRWTPFASLGIDSISAIPLSRLLRGRLEFPVPISTILRNPTVMQLAQSLSRRDDQ TPRQAYQNTRDCMKRFGTEVQEDLRHKSADIEDILPCVPLQEAMLLKGQGSYYNKILL RLQVSPSAMQSYFNEVSRRHGILRTCFVTTRNNAHPIAQVILRKWRLPWRTFEVTEPS LERASREHLDSLPEPLDSMIPPCSLAVIRYRGSNFLSFICHHALYDGIAMENIWREVE SLAHHQVLPAPVSYLPFLQQSLTLPADVESFWQKEFEGFEGLSEFARSSKLSFNQCTH TSSIDMPFEEIQRKARRLGVSLLSVCQASWAAVLASMFDKPDITFGNVVSGRTTALDG IDRLVAPCFNTIPLRMDISKSTQSIDLLERYQNLNVKLFPYQFSPLKLVQKIVGTQNR VLFNTLFLLQKPLTDMDKSIWTLEEDSGSMDVALLCEVVPCPKLNSIILNLHYDMDII TDELASSISDTFKLVMRSMLSSPFDSVPGQFLTLSSAENFRSLVIMKTKRLVTEQEGK GSQWTVLEKKVRKSIASVSKTEESVIHHHTTIFQLGLDSINAVQIASLLRSQGFPVSS SDVVECPSCERLAARIEQSLKKLGSQSPTLNFAAFRKAIAAEIIDGVPSNVNIEAVLP CTAIQNAMLTAFLQSAEGSYLNFLFYRIEDRTNIQELAGAWKLLQQHHPMLRTGFVPT SHPHSAFAMLRREATDLNPPVQLFCRESSNVFNFSSWKEETRLQLRKNMSMPPWRIAL VQDGEAILMHIAIHHALYDAISFHGLIHGLYQFLQKKPCRFGNIEPALAEIVSRTQDD LSQSRQFWQSYAERTVVNKFPVMTPLRVGLGKVIIKQKQFSMTHEEVHAATALIGTSL QVVLQATWARLLASYLGERSVVFGVCLAGRTTDETITAPFPCITTVPIVVETTKSNKS MMNRMNEFNSTLHKHQFAALSSIQKWLGYPSTPVFDTILVYQNARSSPSVMPGWDLVA DEPCVEYAVSLEYESSREGDALIRITTRSDVLPSEQAELMLRQFDAILAHFLKQPDGT EHELYTTEEGIFSISPARMPAMIAQVELVHQLVEKNAESQPDSPALEFVKSFDSQCDS ASVWSYRELDEAGNQVAHLLSDMVSVGDTVAVHFPKCPEAYISILGVLKAGCSFVALD PSLPDARKKFILEDSKAACLLTDDPAAAPSDIGVPAVLIDIGGIKELPVTRVIHEPGI MSTSTCYCLYTSGTTGTPKGCEITHENTVQAMMAFQHLFEGHWQRESRWLQFAAFHFD VSVLEQYWSWSVGITVVAAPKDLILDDLVGSISKLRITHLDLTPSLARLTHPDELPDL CKGVFITGGEQLNQDILDAWGPKAVIYNAYGPTEATIGVTMYKRVPINGRPSNIGQQF PNVGSYIFHTGTEIPVLRGGVGELCVSGKLVGKGYLQRPELSKEKFPVLSYFKERVYR TGDLVRILHDGCFEFLGRADDQVKLRGQRLEIEEINQVIRSGNSQVQNAATLVISHEK KDFLVAFVTRHDEATTHLRITGDDDEITKKARKSCLEKLPGYMVPTYFIPLSYIPLSS NNKVEAKRLRLFFDDIGQERLMQFTGQRSHSRNTHLDHTTLETVVGVLAEFCRIPRVK ILRSTSIFDLGVDSISALQLSTLLKNKGIDAATPARILRFPIVADLVHELAGKGTRKN SSQVAKEVKQMLQVWQYRYRGIACRELQVEPCKVEYITPCSPLQEGMISAALSENSTR PYFNWFDIQLRSETSMTRVQQAWELTLLNNPILRSVFLRTTDGFFQVALHGTQNFWHL ISVAADDGIEAALEDQRSSWIASNSSHIVSPFQFIQVQGPGKQALRLHMFHGLYDGNS FELMNKYALCVYESEHPPPAPSYVEALYRGPLRSFEFCKNFWISHLGRWEFCPISFAA PSLARSRFIECCRFLPIEALENLRSQANVTLQAVVLSLWTIVLQTHISRMLTVGVIVA GRSIDLPHIENTMGPLFNTIPFFNQSLVGLTWKGLVRRCHEFNTDILPFQHVPLRDIQ RWCSKSQPLFDNLFAFRVGSLESRSGEEENPWTIVEGQQVNIDYPLAFEATDTRDGQL HVRLVACEDVLNSEAMEGMINHFHQMMTTVRQDTLLAENVPEQAVATTCKLSAVPHSR TENAPEQESDWTPISLALRDELCILAGISPEHIGPDTSILDLGIDSIDSIKISARLAK RDIKVSASQIVRFQTISAITGASSAVSIPQNSTNSAILADIKRGLKSYLEARSVDLSQ LEDVLPPTPLQQSMIAGMIESDFEWYFNQDILELNEGVDIIRLKEAWETVVEASPILR TGFLEVDSCEYDISYCQVVFRQKQVYISLDQAEDISQLQCYLSRASNIARKGAATSGL FQVALVSTPHKSYMIISMAHAIYDGWSLGLTFQDVKAAYRDSFKPRNHWQILDSEPAL FMSPKADAFWEEYLADASPTIFEKKAMDRDGSQEPEGVYRSETLSAQQVSEIGEFCKR ASVSLQSLCLACWAAVSASWVQSLDTVFGVVLFGRDFEGAHELNFPTINTVAFRSLLH GSASVFLSYMESNLADVRTHQRVSLRDAQASMRLGSKRKRLFNSLFILQKSRTNQEPD AWKSIGSSSAVEYPICVEAELSEDHLVWRVACKKDHFSQSEAEEILSKLDQVLHYFLS SPESEVLSFSDDGVSICGMPSWPTKDTVLQDEEDVGADLNEGVLMDEWSETSSHIRQV LSEVSAVPLESILPASTLYHLGLDSISAIKVSLLLRRRNIRLKPRDLAEANSISEMAR RTSWDETPLNASHAQTRNWKVDHFVSEEHVLNRCGVMPRDVMAVLPATPLQVYMLSVW HGSGGSVFYPEFHYEAPSTYTHGQISKAWSKVVRMVPILRARLVSTGSRALPWVQVVL NGEPRSSERFDQPLVQFLAQQSQNEKSWIIRLCIHHALYDGFSLPRIMQLYDEALQKG DLFDQAQSSVAFAKWQEFSTAPLLPANMQTRKAFWMAYLAGCGPASSRFASTFPSLGS TAARVSYLREKALVSVTKLRKLASTNGISLQSLLLAAYAKSLCPDGTGAGVESSIVFG LYLANRTSSKEELDTTYPTLNLVPLRVNLSRGDDVVNVGGAIHEDLKLIQAEGRAQVG LWEIHAWTGMQIHTFVNILSLPDGGHGADVTAQPGVMRATKQERTLDQNIRLEPLKTP WLQGNAVQDAYPVPLDVEMSVSESGLDIGVFGSTDMLSRAEAPLLVDSILEHLQDVA UV8b_05297 MTTQHLPHGAESSYDYIVVGGGTAGCVVASRLASYLPERKVLLI EAGPSDFNLNQVLDLRQWLSLLGGELDYDYGTVEQPMGNSHIRHSRAKVLGGCSSHNT LISFLPFRHDMDRWVAQGCVGWTFEAMMRCAEKLRNTFQPVHARHRNQLCKDWVTACS NAFDIPIIPDFNEEIRSKGQLTQGAGFFSISYNPDNGYRSSASVAYIHPILRGQERRP NLTVLTKAWVHRIVVEDDVATGVSIYTAGGQKVLLKPRKEVILSAGAVDTPRLMLHSG LGPRTQLESLGLEVVKDIPGVGENLIDHPETIIMWELSKPVPANQTTMDSDAGVFLRR EPTDAAGGDGDAADIMMHCYQIPFCLNTARLGYPVIQDGYAFCMTPNIPRARSRGRVF LTSADPAVKPALDFRYFTDPEGYDAATLVAGIKAARKIAQQSPFKEWLKEEVAPGPKV QTDEEISEYARRVAHTVYHPAGTTKMGDVGKDELAVVDSQLKVRGIKNLRVADAGIFP EMTTINPMITVLCIGERAAELIAGEEGWKPDAKPARL UV8b_05298 MTFSSQIHTFHSGKPQPDSSSSSSSSASTFESIDPATGSPLATI YTTTPKQLDDAVAAAQAAFPVWSQTPAPKRAAVLLRAAAILRQRNDELALTETLDTGK AWAETSTVDVVTGADVLEYYAHFVAGGLPGQHTRLRRDAYILTTHEPLGVCAGIGAWN YPIQIALWKSAACLAAGNCMVYKPSEVTPLHANTLAQIYVEAGLPAGVFNVVYGDGPS VGAPLVAHPGIAKVSFTGQVSTGAKVASEAAKGMKSVTMELGGKSPVIVLPDAHVDEA ADIAMAANFFSTGQVCTNGTRVFVPDTMLEAVEEAVVQRCRQGVRMGLPRDERTNFGP VVSAAQRDKINMYIEHGKQVDRAKVLYDGSLDAQKDKPTPGGFWVPPVVFTNCTDGMR VVGEEIFGPVMCILPYKVRGKSQQDWLAEVIRRANGTPMGLAAGVVSSDVGLAQDVIR QLDAGITWINTWGESPAEMPVGGWKMSGIGLENGHEGIQAYMRVKSTLVQLGQGACRG LFAAKL UV8b_05299 MTAKVRPFNSPSSLAERLAEPLPVTATPRKFSASAASTSPRSPL NSSGTYTRMPSDNGGKVNQHGGITFAAQDKLPKLPIPDLASTSKKYLEALKPLQTPRE QAETEHAVRNFLATDGPDLQRKLSKYAQGKTSYIEQFWYDSYLNFDNPVVLNLNPFFL LEDDPTPARGNQVTRAASLVVSALEFIRAVRKEELPPDTIKGKPLCMYQFSRLFGTAR VPTEHGCQIVQTPEAKHIVVICHGQIYWFDVLDDNSDVIMTEKDIAVNLQTITEDAAQ TPIQEAAKGALGVLSTENRKIWSGLRDVLTKDAGSNNADCLNIVDSALFALCLDYTEP QDVAALCQNMLCGTSEVKNGVQIGTCTNRWYDKMQIIVCKNGSAGINFEHTGVDGHTV LRFASDVYTDTILRFARTINGQAPTLWTSTSPDPLKRDAESFGDVNTTPRKLEWDMIP ELSIAVRFAETRLADLIEQNEFQCLDFKSYGKNFITSMSFSPDAFVQMAFQAAYYGLY GRVECTYEPAMTKFYLHGRTEAIRTVSRESVEFVQAFWADHPVEHKIEALKRACQKHV ERTRECLKAEGCDRHLYALFCVWQKYVDDEADSSTGSIGRSSSPEFSPVSSLGTESPR PADEVPDAKSSTERGDSSNSRSRDAHPLPLIFADGGWDKLNTTVLSTSNCGNPSLRQF GFGPTSGDGFGIGYIIKDDCISICVSSKHRQTKRFVDTLESYLLEIRRILRITNRACS TVKSSRAREAESSRPKQMNRLKSRGRLITAEGAAKETVSQTEEGAAGSDDDELGGYGF FDAGMLLQTLKAHDAADDQAHGKASERAAVHARRRDVGKKLRLTTE UV8b_05300 MDENVVISPRKRRRQSNHGQPPTDAWQRQLEKQKLDHPAQPPPS FWDNLSEIPLTRSALRELDRRNHESARLAGGSSHKPGCHPVTGRPTEAKQRLHAADCL SRCTPKSLGLIKRIARQGGPDLSGLRGYPEPSTALTEGMSQSSLGRRKQGSASPLKNM SASNTEGTKSTKNTKRTKSTGPYDRAFQQHLIDHGIYPDLYQYPDGRATPQPENIEEI REVLRQPRPSLSPSRFSEDDFFHFRQAAAHVSKERQVTTTVIPIIEGNVGDRKCVAGQ IPFTNLDPLTDGTLVPGNPDLYYGARPEQLDRKIRSELGGFIVPSTQHDLPVAPNFSL AVKGPDGSSAVAERQACYDGALQARGMQRLLSYGEPSPVYDNRAHAVTCTYLDGQIKM YTSHPVPSSNPRAKSEYVMTQINAYALTGNRDSFRQGVAAYRNARDWAKQQRDEAITQ ANNEVTDSTIATSASENNPALSFETDISVAETMTGDASQGASTPRNNAPSHDPDSDTS ADDLSMDFVSKRAKIQSTTKESK UV8b_05301 MILPIYRRSTITYASLAQSSPPSYVSHRAPHNLQAKLRTLSLKI AFRELELPMGQDFQLVAPRAKLALPWRGNLGEILFDGSAARELVYLLAVPVPPQRPPE ATLSAPAQTRNEFAAQRTAIDVVQDLRETTRLKRKANDQVFVDSPSCKQAKTKDGGDG TTTMGNPVTLSDLPSEVHRLIFAQLEDVVDAISLGATNWYFWTFGRERIYQYAMSFFG RWAGHNIVCVGEDVEPDDYPPGLFSAEEQDEFRQRMGPEGDPDDSWPNDFSDQPFTLH HFTHPSVSKMEEVSNLYGKFTMLRGELSLYRDAIKDASYSAIIGSDLWAAGNEKAYLP EDQQWILRNLTTRQLVRSEAIALKPEFIRGPFVRGLGFAEVLLTRICWSTSDYVGMVD TTRISRGVWAGHCFDITTRTRHEDEVKLDGERWDDVSDEVAQEMMGIWGSQDDPNWRE NL UV8b_05302 MGALPSAPPSSEDTRLRIARSSISLLRGFDKANSDHGRGFKLNG NIELSRAAKAAYKDFVFQREQLQLQVTRFGLAPRPGCDTVRRLISCRYCHDMLQEFFC IVPGALHGEGSRSRAPVVIVRHGVHNVGSGGQPPLPDHDMTNIIRADPITGGVLAHG UV8b_05303 MSDLLTILPSFPQTPFAAMLPTLEQHRLSTTDLLALHPADIAKQ TRLPILDLKRLIAAIQACLSDDLVPCFPLERRNGTADAAQSTQAAERGEGNAQSEEQP GPEAASSIQGDDDDDDDKASGAAEAQTTPSHSHAAYAQRIGLLDPALDSALGGGIPVG SITEITGESGSGKTQFLLTLCLAVQLPPPHGLSKQALYISTEAGLATRRLSQILAAND TLLAQAAAENRPSLDGILSAATPDLESQDHILEYQVPVLLSRHSIGLVVIDSVAANYR AEFERAGSSYGSNMAARTAELVRLGALLRNLARKHRVAVVVANQVADRFASPATPRPH PSRAVPGSAGGPESPLASRSAAAPPPPPPSSSPAPYSFPDPSQPLSHPALRLEHQQRW FTGWGDDPRSSCSLKTPSLGLVWSAQISCRIALFKRPVYARRRQVVASRAADDDADTG PTLNGWRRWMKIVFASHVPASGQGLEGATEFDITMGGVKSTSKQARKQKQGEAEGQNS UV8b_05304 MPPVDDGFEALLEPFYNGKKLTDPVNTKDDKFQLLPAFLKVKGL VKQHIDSYNFFVEQEIKDIVRANRMVRSDVDSNFWLEFTDIRVDRPRRQDHNDAKSRS PVTPMECRLRDMTYAAPIVVDIQYIRDKQKIIRKNVPLGRMPVMLKSSKCRLGGANNA QMEAMNECPLDPGGYFIINGTEKVILIQEQLSKNRVIVEADEKNNVISASVTSSTHER KSKTYVTLKKDRIVMTHNVLVEGIPIVIILKALGGLSDYEIMQLVAGSDGRYQDEFVI NFDEATRAAVFTQHQALEYIGSRVKMGSRRAMFGPQLRRNNVEEGLDALANLVIAHVP IEGLDFYPKAIYVAMMTRRVLMASKNPKLVDDRDFVGNKRLELAGQLLSLLFEDLFKR FIVEVKMSIDKFLKKNNRAVPLDAVHMISNHANNIGMGINRAIQTGNWSVKRFNMNRA GVTHVLSRLSYISALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCTSDTPEGEACGL VKNLALMTHITTNVDEEPVKRWVFSLDTGVEPIRNFSGAEMHRETSYVIHVNGTPFAL TRYPKRFASRFRTMRRRGWISPFVSINLNTHFNAVHIATDEGRICRPYIIVKNGKQKL KDEHLRLLQLGKASFDDFLTRGVVEYLDVNEEQDALVTLYEKDITQSTTHLEIEPFTI LGAVAGVIPFPHHNQSPRNTYQCAMGKQAIGAIAYNQFNRIDTLLYTLVYPQRPMVIS KTIQLVHYDKLPAGHNATVVVMSYSGYDIEDALVLNKASIDRGFGRCQVFRKYTTELQ KYPNGRRERIGDPVNQVSDGTTRREEKYEALDDDGLATVGYRVKQGEVMVKKETPLDL TTTGIGLDRGPSEYRDSAVSYRIADPAYIDKVMISQTEKDTTVIKVQTRQTRRPELGD KFSSRHGQKGVVGIIVDQEDMPFADNGLCPDIIMNPHGFPSRMTVGKLLECLTGKASI IDGRPDYGFGDAFRPHPVKDMSQVLIDYGFSWQGKDYFTSGLTGEPLEAYIFNGPIYY QRLKHMVQDKMHSRSRGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGASQLLLER LMLSSDGVSLDICQQCGLFGYKGYCNTCKSTRQVTQMQMPYAAKLLVQELISMNVGVR LQLEDEFPHPR UV8b_05305 MSVSNLAWQTADCATEVICHLQFVLRYGAHTYSTEFTCRNLGSC IDAVGRPIGTDKCYNHHITTSPQSVN UV8b_05306 MDDLRYRPQQSRNETPMASFMSPPRNDGRVSQQNTHDLRTTMPR RFTTDSGRVPTLSSITTLPSPPRGLGLDSNPEYHNVNAMHKVQLIEQKKLEYERIREQ RRRFELEMQRLDQQQRREAQELAQMEEEIRIAGHQSEPTTPPEYRDNPTGFPSIFSRP NRYSTSSLASPPGFNRPARSGSQLTSPPSGLLQSRYGYDDRAVPSRSDPNTRRNSDDE DKEEAVRQDPTSHRSTNALNRYSMPVTRSRNGLYDMNLDQTNTTRFLFGDDEPNSLPR GRTPDESFPTLVRREDQMLSASSAALDLALSPSPNPEKSSGWNRINRHRHQQSLSAIN GLSSTQSTEVTNLGSSRPQSLRHSLDLKYISEGSVEPSSVTMSPQNSHMASPPRLQSS FSANDVPTVKSPSGSAILSGNANNHAQQHFHNHNAHMGRIPAGAMPTRHTRELSSDSS NMSMNAGRDQANGFQSIQSALQASAAPFGPSLTSAAQVSSAAVVSGATATAPTHSFNN FYSSNGYAPHSASANGNYGIPMLTAGLQHMSMNGANGGNMFPAQNYTGYGPAPYAQGG GQSRDSQARVMQHRRQLDNEAMSRFQNMPLESFRGQIYDLCKDQHGCRYLQKKLEERH ADQVHMIWLETNQHVIELMTDPFGNYLCQKLLEFCNDDERTVLIQNASQDMVRIALNQ HGTRALQKMIEYVGTPQQVHLIIEALRFRVVELIQDLNGNHVIQKCLNKLTPLDAQFI FDAVGNNCVEVGTHRHGCCVLQRCIDHASGEQKPWLVQRITEHARILVQDPFGNYVVQ YIIDLNEPSFTEPVVATFQGCISQLSRHKFSSNVIEKCLRCSQAQSKDMIVEELLAPQ EMERLLRDSFANYVIQTALEFATPHQKYRLVEGIRPILPQIRTTPYGRRIQAKVSAYD NRGSAASSGQATPADNTQGQIPLRQGHSRGMSGSAPVLATNGVGNGGIGVAVSSQTAR QSVPSYPSSAVLTVPPPPSGVTPVSQPQSQQHSQFSPRAPASFTS UV8b_05307 MAPLTRKRKQISHDDEIEDTAVPDRNPDGSWSQPCFRTNSHEFK KTRTRQFGDGDGTSSVRDARHASKPVTTVSYDERATPHEAKVALVGMAEGVKRALETD VFSKSSTSQALSDIETLTLLFEFPLEVHPVLPYWNMSRPDAVDALTNDVYEQAKLALL DYRRRVSDYEQLDGSSSDITFPSWIQWEKDSTDLYELNRSIMLLSIKIVEQNVIPHMA GSVPEMRDDDVDQIAWELLDACRPRKETVTWGSVAQAILQTLSGIATLLPRGKDLEAR TNFRLSLSAKMGALKYVEELQKKKQSDLMSFLLRVRCWEYRQLNVIHRASRPSRPDKA RRLGYKAKQGYVIYRVRVRRGGRKRPAPKGATYGKPTNQGINQLKYQRSLKATAEERV GRRCANLRVLNSYWINQDSTYKYYEVILVDPQHKAIRIDPRINWIVNPVHKHREARGL TATGKKSRGLNKGHRYNKTRAGRRKTWLRHNTTSLWRYR UV8b_05308 MSSNSFKSATSSKTGQGSFAPGAPTSQSLLLEKVNARTSTPDSE ALASSDDEGEHRHEASHPAPSQRHKPVRRSSWLNDTTQLSRPRKESFASSSMSPTGSH ASTPSAEVGGGPWGSHSASSAIGRSTNSSAFAWGTGIWNVERKDASSRLSEVLPSPTS TVPPSGSSNSFFGPDSLPVQAVSSSRDSLSNTQIPFPIPLHPTPKTYRSQSYSVGQMD PETAPTAGMSSSAILGRARHPGLQHRPSRPSMLSEMANDGSMLGKVKEVEDDDDESAS ESMQGSLHQTSESKTIEMLTRENAMLRQQQYNSRLRPRASTGAGYLGNGYQTVPEESD YAVDELDEANDTIDALGRRTPGRRMSEFGAGAFRTPLGLDNRKADNLNLQKAALWSSS PAFFGGDVSQSRRHSFANMPTRQGSISSMADSVSTLEATALGDIPASQVFPPGYSDSI GLANANNAMFSAGVSSTIQSQYSHLYGPRFGLQNQFTNRPPSPHRNVYSMAQPRHNQL LHVVLFKCARADVFYIQEGTGLDVKPGDLVIVEADRGTDLGTVAKDNVDWQAAKELKE HYAEEHYKWLMMYSQGAAAAQEGSGAGLMASSSGLQGSAVGGMGPANQHHLQEPNAGE LRPKLIKRLAQNHEVHALRDKEGQEAKAKRVCMQKVKEHGLNMEILDAEFQMDWKKLT FYYFADSYINFNSLVTDLFKIYKTRIWMSAINPASFASPTLGIQAPSGIGPGAVGVGR TSGGSSGSGSGSGTASAGGGNGAGGNGDRRQNQQGPEQQLQEFGRGYRPTFSPTFSGD RNVTPASTYPQANYGYGGGAFGNTRGTASAYAPHLSSCVEGFQTGFGQQGDFQPLRQP FTNAQNLPTSTPHSTGLSTIPSQNDWTAAFQGLSLNTH UV8b_05309 MSSNFTTSYAYVFTLEAHRSVASQPTLAYSLGVSLRLSTSTSTS IYLPCPMETDWPEKIPGEPSTAKFQPNHTDTLPSRMAVQEQKTPRYEYASTPFHWHPQ AASMSSVTPARQMPSRRPRLVR UV8b_05310 MTKCLPSATPRVLCRAVWPATRRALPTRQGTCQNSHSSIRNAST RSFNVFAGSSRRPVVPRRHLPNLVVGGVRNIFIQTENTPNPDALKFLPNHPVVPKSFG TPFIEYLNPRSTISPPHPSPLAAKLMNIDGITSVFYGTDFITVTKAGDANWAHIRPEV FALITEAITSGETLVSAAERKEVDEQVEQDSLAYNENDSEVVGMIKELLETRIRPAIQ EDGGDIEFRGFEDGYVKLKLRGACRTCDSSTVTLKNGIEGMLMHYIEEVKGVHQILDQ EEEIAAEEFAKFEEKLKQQRGAQ UV8b_05311 MEHQNAADAIHASLATVPQAEKSIANDASITRIPTSSPSAPETK GPTLCGVCNKLPAKYKCPRCYLPHCSVACNKTHQENHPPDVEPKPTTIRSDIRQTSNA VAPVPKPDPSNPFHALDTSEKLQLLFRRYPRLSEQLSEIYAATQPPLEAADKRLPASL MHGINKKDGWNHDVGIRNGKEALRKARRADGEAGDAVREYSELILHLINGQDTNGQLS SFIQQQSAQEDGRLIEQLMEQRIRLPNHETMWTPELLLKHHPGFLSILILLEKAHWDV MHHLHILPKRSCFWHTLMMTSRAVPFPRVGKVSCRLCHNVRNPRDDPSMSISIPVSSA RNVWKKMRWRRQQKKLFCSGGGKESAMSKGLSEGGWGGPIILPVLILLAVLPAPSLPL ACHV UV8b_05312 MESYSIAIIGADAVGKSTFVQQALGLDSPLATTPSTIRLVLDQV TYSVTLHELHLQHCELNASPPMQWPKQVDGHVAPRFDAVLLLYDVMSQASLRPLADTI ASLTDFGLPALLGACKCDCPETDWDVHADDVAKHKLFKPCIGHYKISIDRPEISRACL QGVVRAAIMNRRDETGETVPRRRAKSAIHFEAPDAAGGRPISEHSKHSRASSDFAILR SFPSQQPTEINLRTQASTNPRTGCRLDKSTNDSFFDAEPDSNDIPILQRGDEAILEKP RITGVTFEELVDRLLAPPLSRVDNNFSDIFLCLYRKFAAPGKLFSAILLRLDKVRDDK AAHYLTKTATQLRIIEVVAKWVSLYPGDFGSPATRRNLEDFIKHLSTEPIFSIAAQEM RRNLHLNVVEDDDTGWAHSDNSVDEIASRILFKEVNDLSEGIGTVQYNAEADGLPGGT ESNWADWGSRTGHHLQFNSYEEYERQALLLEPSDHLPMNKLRYHIFIDIGDDDIADEI TRIDWIMFSSIRVRDFFRHVNLSANQKVKCKGLRNVNRMVNHFNHIAKWVANMILLRD KAKHRAQMMEKFMNIALKLRQLNNYHGLAAVLAGINGTAIHRLAQTRALVSADVQKRF ARLVILMGTQKSHFAYRLAWENSPLPRIPFMPLHRRDLVSAEEGSKTFVGPDVDRVNW KKFEVLGEVLLPIMKSQGTVYPNLAKHDIARDLILGCRMPMDDEEIYQRSLQVESCSS GPIEPSKKKFPWFAK UV8b_05313 MPDCTIALPHFSIKDKAEKTTLTVTGQLVLDIPEAYGRPASVDE LDAIIRETNLWITRNGLCYFRIEPSANGTPVIDVYATRYIRDVILGCKVWDDLGLTLL ADDGPDEILANRISLSIQHPDLSSALTAPETAAVAAFRSVSYSIELSADCRAHCPKRD RARPTRKIEHLALEDRIAVEEFTQNMREQLVPADRQAVDEFMRSINFDPRSKPCCRTS NQPQRECLTTCDVLFTTSVKGLIDSCLNNILLGTRRHPQNLRVINSKHFVPLSRLAPG VFNMTYLKDISSKRSLVSIIAASLARMKDAESPSLRRKMDKFTSMNAYDETFRREGIQ TDVINRGIEGSLWDMLLSESSRSRWKKKGWL UV8b_05314 MAKFDWLTSVGATREAVAVLNDQPNLLVDLVLVLIGLGLQCLLV WYIHYATMKPEQKEKRRKPATDNPMGAQGRT UV8b_05315 MSVVRPARSLLGRCLASSRSRAAPSPASAAASSAASAAAAASAS ATAVQCRQFHSSGPRSKRRSRFRNVKAEEMGLLNPSVLEKYREEKFPSYSDRELELLK QKYTPEQLEALRAGEQAIDPDDLIMQGRLRDDPYRPNYVEDYTVLDPRYDLKPKVDVT PTEPEWLDHNDWADRYGSRMSQLTGKKTSDQLTRAMVRALRRVRESNGEDLIDLTEEE LRDLEENPELLSRYLVGEGGPEELAEAEADVNANAGAGASASASASASGSGLLTRAQA MKLDEAVDAEWKRELDRLSAVADEREVEPGNLELLADGPAGLSRAFSAEAVELGKVPG VEGLYKSAADPEDEGQDDGGHYQEIKRLTGMTLRDIQSIYRKVLVTRFVSNQTRLGKV RSASVVAIAGNGNGRLGLGIAKSTEPGLAAETAQLLAIRNMKPIRRYENRTIYGNPTG KVSGTVVELFSRPPGFGLRCPHRIFEMCRASGIHDMHARMPRSKNPMNSVKAAYEALR NQIDPEEIAVGRGKKMVDVRKVYYGGAVY UV8b_05316 MEQNILSQPEISPLEHEVLEEYERLANNMKQLAAVLDGLASSPS IEILDGLRDLERKASLAFTLLKTSVYSIVLQQEYGEG UV8b_05317 MSYSDWDSISSRDGERHLKHQHSASSDQSQNFKALIPMWDSSDP ERAPPPLPLNPNPQSPVLASRIGTSSAIQSAHAALNERARENSALVKRGGESPERALV KGGSHRRLQSLQPGSVRDLSLLIEGNWAKPDLRPSTPTTPRELPPEPRREERHSASST PVPGPSLTPILRPTARRPPQSILGENTPPQSSTMLALQAMGAKTLSREAEPPLANVTN GSTPRQSSITSGDVAAQLITLTNIATSLQKEMAALTRRSRDNATDLLSLKEATKTRDE DVRRSLRELVGNIHETSSKMASFNGPCLDTKPFGSPPTNSRPFQLPRLPSPKSFAESI DRGSISTPSLSGVDTSASLMLLEKIWNALGTKDGHESLVGLLTELSQKLSGLATSSKI EELADYVRSLSENAVIVGKSSRGESLSDENMELQRPTSGPMSQRVDQLVYDAANARSS AHPARGVDLVSEDLLKIIRSVKDSVSQSGGLTAEVKALVRELRGEVLGMGRELGKRLE RMGVKGIEEAESPSKDEVSRVIDEGLEQMKEQLNNVLREHRRQSAQSQSSQKTVIDYQ EIYKAMRAALRDNQASSPEAQELSRDDVIEAVRDAWENYKPEIEVQQLGLEREEVLAC LKEGLQEYAPRDERPEAATREEVFKAVVEGLKHFVPPQVDTPASLSRDEIIDAVRDCL EEFEFPVAASAMGNDLSRNDVVEAVREGLNGLDLPRADALVAVPNNDEIVARLQDIME YMKLEFRAVSEEAKENVAANGRDTEQVLDATKDGLENLRIAIEGYVERVSGTTDQEEF MQHLLRTMDEFKEEVSRAVTQASDTSREQLQTELEGLREVVNSSMIPAAHQPAPGANN QEVLEALHNGLTSLRQEILRPRPETSEILDAIQDGLNDLRAGIDRVTNKPADLTANDE ILDALKAGLESVRSDIETIRDSSNDRAVAVTTVTEDDDDRAMIPADSVKQDDIRNLEI LITQLRIKVEAMQPETESIHREDLIRMEEILRNVEKGVEEVRAREPPAASTSPPTEKE EAKDGSDGTANGDSATKDDVQAIETILRNTKASLDDLMEGEQAVRKEHIDVVEALILE TREAVTSMADQLTALSQKEDLSALETLVAQLSLTMEEIKEKSEKAASSEEGVATKAGV EAIEAVVLEIKTALDCLSGTDFAAISSKQDVSDLEIIIKEAKEKIESLTESTAKALDD RQAEIVGVADRVTEIKTFLEEFQDAIRGKLEDGSSGIDALGKLLEGMSEKMAKNENVG EDLKEMFETMKSQFEENKEVVAGARLESNEKLQEAADSIGSKIDDKITELIAKYDDFK AAMDEKADASEARGLETEAAVVSTKAVAEDLKLLIDTLGSTVTDSLEKMEEASQTVFS KVEDLASRTEENHAEEKTEHQQTRENIQQAVATLEGLQGEVKEFQPQILEAVRDILLL VGEHFEHSRSTSTDIRDRITDMKPFDPAMLPVPEKYDDSDVQEKLSQLVEQKYDDAEV REKLNMLVEQRYDDSAVRERLDMLVEQRYDDSSVQEKLDMLVEQRYDDSTVQEKLDML VEQRYDDSNVQDKLDMLVEQQYDDSSVQEKLDILVEQRYDDSSVQEKLDKLVDHSATS AQALSQLETLDKVHQSVVNTAAEISQFLSSQRQRIEDDHEDREKMLHDTILELERKIA ERDLVEASLLSLKDEEERMRASVLSLRLEQESLIRQKTRLAGDVGSLETALRLRKEEL SDMEHRAERLERRIVEGVVDHSRLLLMVKGTKGRDNMSRKRVKKPAAQDEQSANTKSS RPAVNMALATKRHLAAPTQNGTGRRIASLSQMNSSNSPSSLVKRSQSVRTAAGHGLRK RSWGGDHAEGFGDEDKENLGARETVEELEEPESVTLSPPASSSMSHIDEDNSIVDSTA DDDGEASDAETLRRSSAGTTVLSSQYTESEAGYSEYDETSSEWTESVVETDAGVETGM VVYGSGEV UV8b_05318 MSPPRANSGQERESNLARLLGSGSAGIAELAIFHPVDTIAKRLM SNQTRIANTSQLNQVIFKDKAAAPAGRKFISLFPGLGYAAGYKVLQRIYKYGGQPVAR DYLAKHYGRDFDSAFGKKTGKALMHSTAGSIVGIGEIVLLPLDVLKIKRQTNPEAFRG RGVLKIVADEGFGLYRGWGWTAARNAPGSFALFGGSAFAKEYMFQLQDYNKATWFQNF VASIAGASASLVVSAPLDVIKTRIQNRNFENPESGFRILTNMARQEGLSSFFKGLVPK LLMTGPKLVFSFWLAQTLIPAFDAALSKR UV8b_05319 MLVTKHAAELCALLVNDLFGELPSRILVALFTKGRSTIPQLLQH TSLSPRHLRNGLGVLVQQNLLYHNTDPDTRSTSYEANSDACYNLIRSGKILQVINSQY GAAERDLVQTLMQLGYARVADLIHAFTTRAPKTNGHTNGSHDSSTGLIETKDHLYSAL ARLIQAEVLETAQPGSFRNPAEVYREIEADVTKTAPGERASKSKIEQHMQIVEKFKSF RDQSKALKRQLDLRSGPGTKRRKLQNGSSRHDDFVLDDAPDLNPNVVVRVNHEKCLVE LRNQRLADFAADALGEITGHVYRTLLDLLTVKTSRCRADALIGDQNHGPQTSVTTMEI YEHLDDDVDVAGGIGKPPKDKIDIDSAEKIRAGPYAYDSDSDENEDEDEGSSVKPAAA PRGRLARVVAAAAAMDVDRDSENAMDSKGQQEHLNGHRLTKVKFEDGATSGDSRLNQM RSHLLLLSESKHRFVRHCGTQGRGQWAVDFDMLMDRLRESELDAFIERSFGRHGLRLT RILREKGKLDEKMLPSAALMKKSDVQGKMLAMQMAGIVDVQEVPKDNSRLANRTLFFW FFDIEQTQSQLLDDVYKGMLRCLQTLDVQRHKERNILSFVERKDVQGKEQEVMTTEHY NKYNRHLEVQKRLLGQVMRLDDMVAVFRDY UV8b_05320 MISRSSLAKSAQQAIRRSCRAQSLQRRGFAAATTTGAFETADAS GLKVASRDAHGPTTKLAVVAKAGTRYQPLPGLTVGLEEFAFKNTQRRSALRITRESEL LGGQLSASHTREALVLEASFLREDLPYFAELLAEVVSLTKYTTHEFHEDVERILHLKQ AALKTNVNALALDNAHAVAFHTGLGATIYPSDSSPVHKYLNEEYIASYADMVYSKSNI AIVADGAPIGALTNWVGQLFKDVPAKAPSGQSLKIDATKYYGGEQRNSHSDGNAMVIA FPGSDAAGSKPEIAVLAALLGGKPTIKWAPGFSLLSKATAGAPGLSISASNLAYSDAG LLTVQLSGNAASVRKAAEETAKALKSVANGAVSKEDVTKAVANAKFDILENAQLRSPS LLQAGSGIIHHGKPYDVAALAKGIEAVTTDKLKTTAKALLDGKATVSTVGDLFVLPYA EEIGLRV UV8b_05321 MGPSQHRRAGRFFGDSLFSHPASFSPSRVTETPTAILCRSSSVI DPNPHRPLQTVGVTQKCLILVTSANFLQTLRDDSVGGDL UV8b_05322 MSASKDGSAGNRSNFRTDTAISNARFGNERTLQPWVPDASDSVD GSLEAASSSGPWDQFAANEKLFGLKTDYDENMYTTAINKTHPQFRERMAAADKKAKEI ERSVPATAHVAEERVMDYIGNSGTPEENEEEKYSGVRRQDFPPLSGGANRYTPPAKRA PSAQLTVKGAPVDPAIISSQLKAPNKKLQATTTTDQFRGQDAEATKNGLTHKTDSSST VVKQQALEEKMAEIKPSPPPAESKTPEPRSAERVATVGSSSASSSRNALSKPKDVTPS ATSTVERDVLKEFKSFASQQRMNAEKARNNKARADKEVKLTELKKFAITFKLSTPVPN DLVSIIAKDPAKQKEIQAKAKKNAEEMAKSKANAVIKEKSHPVKDTLVTKTSEASAGP STEGRSSRVPAAPQAGPSGNGNRHAGARQQFPQQPYHAQQYRNTRGGPQHTPPQQQQT GNLAQRLRHVEQQRYSQPPAAPQHATGHEMRAPPTGPSGNMDSNFNRRLGGAPGQMSA KLNPNSHEFRPSPFAAAFSPNSHASAGSSPRNTVNNVADSPASMPQKGSAAPLIRRKT KAVDVSKCLILSHIKTLTPPQSRNWDDNGGLRPSYDTLPTWRQLQDDEKADSTMHLTY KEYFERQPFNGSSVATPNPPHVVPQLAHQHQLPFHLQHGAHNMGGPRQSPHMPPMQMH TQQHGPVLHPPYGTDDHRMMHSNSAQSFASPRMGQLPVAYPAQVPYNQPVFMGPSNPQ MGQYRSFSNNPHYVSPQQGQIGGPLMMQPQFIPGPQGMIAGPQMMYHGGHPQFMPPSG PPQPGPGGNGYPSPGRPAAPVMVHQGSQQGQQMYGMSPSVQYNQPYGPGQPSGPSQ UV8b_05323 MRVSSAVTAALSGLASLGHAHMEMKSPPPLRSKFNAFTTDIDYD MTSPLSAAGADFPCKGYHKLVGTPQGQPVATWSPGGSYSMTITGQTPHNGGSCQASLS FDGGRTWKVLHSYVGNCPVMGASTYPFSLPRDTPAGKALFAWTWFNKVGNREMYMNCA SVTVAAGGSDDRARGMRGASDPYDGRPAMFVANVGNGCSTVEGRDLLFPRPGPDVSVE SAGSSGAVGICGSRDEMPTEAADGSSTSALGPGASAVSTWAQLAPYPVFVTLSTRTKS VGAAETVAAKIGGGGGGSCAARY UV8b_05324 MKSAPVFSSILLASHLHLAVAGGSEPWLSYDARTIKGCSYWYDN FGKWSCEAIRDRWRIPPEVFSRWNPSISLDCKGWAEHSYCVAVRAEMTRRPPPVTTST TQAVTTTTVAPSPLWTDVGCYQDASIHPLQTQLPSPGGSQLSRQKCSNACWGAGCRFS GLKAGVECWCGQYVQGDLSSSPADCNMPCPGNSSETCGGAKVFNVVAGNEPPYSYIEP LTPTSTVVASSSSVSSSVPGASQTAFVLMSHYHGDCTGDVNNEVMIRSNSDGMCIDTN CQVASLDIASLGDCPDGQVQISYWQQPGCSGKWYGYGYTSRSTCRSLWSDGWSFKSLW LRCAKESQDCVRQGTCNPDAETTTGICNGANGNGAAAKAQNHQQAKFHG UV8b_05325 MRASFFLFLATVFLGTAEAGLRHIYENIYTYLVYQLELNLPENE RIVGVKCASADYKTQRCLDPGNGAPRHKHCKGTVSIVVKGTTIPDTCSLREFLSHISG NKGSRANQPLDGADVKNWRNVPLLGRRVGENSVNFDIDYAADQMMDKRIGNMQAPTYC KVKDGQGYMSSLDRMAKRVGEIKRGMTADEIIDKKSLFKGLDTAADAVVDSRIVDSDK YLIPQLEKAAKGYRIATKSQFDGRMFMYRETVDRIRSSAAGMGESTVNSRIEALENEI KAFRNSREAKAHAAPIEKWRQMKDLMVRVPGCELRP UV8b_05326 MFPLFLQLPSDIRRKIWLATLGPMTLTFTEGEPPVEVDEESELA EEDPHETERRLSLSELYSAIYSPSGQYFDSTDTPEDTDEVADDDAGDDDVVCPQKKLF ANYTRYFGYVALKDGSSRLTFVVESSAAYLACKESRAFLRFIFAEPVRPGGGLPSWFR FDMDTVRFKDVYLDMISEHAWFTQTENLIVAIVCGADNYLGLSDDILTGKKHSWIQDN LACLKNITFEMHPARSARTCGPKALTGDWLDPWWEAFEQWYNCKYGSEPASFYAKVIS YCDGIPEVTPENYLRAYKIVQQKHYQTCFPNENWKSNVWSKRTLDILEATDEELNNPT EFLKKHRPSWDE UV8b_05327 MLIMRVLTPFLLLLLSADVNAHDSPRGANPEAQDGIFTVIDELV EQWKLDHPSLSQEQVSNIHATAQQMKDNLINPPPPPPRVTVPVSKPTNVPLPGVRPLG RLLSNSTGGYHGANSTLDRAIQIVRQAQREADARNKERFANPRINTYYDGHGAGAKRA RAVDNASLHINETVTAAAAIVAEATEANATSIDHAKYILPPHVASKVAQLHGQSPLAK RAGPESNSGFWMENIAHEGIVPFGGSANNGYKVFRNVKDYGAVGDGKTDDTDAINKAM SDGNRCGADCGSSTIKPAIVYFPSGTYRVRQTIPLFYNTQVIGNPNDLPAIVADANFI GLGVLSSDEYTGGHGGADEWYINQNNFLRQVRNLIIDTSLAKMKSIAGLHWQVAQATS IFNVHFIGSRDRSKKHIGIFAENGSGGFMGDLVFTDVTIGIRCGNQQFTSHSLLFYNV GIAVDLLWDWGWTWKNIYMVNTDVGFYMTGDYKGGSMMVLDSHFEVVNLGISINTNKG ATDAEQFSITLENIIMNSVRTMVIHGSSNSLLKGGSSTIESWISGRVYDDDNPEGAFV NDRKSRMAEREPSLVRTNIVATDGYYIRSKPQYETKSPDFFLSARALAKGDGVSDDTF ALTVAAAIAKEKGQALYIPMGSYIVTETVVFPAGSVIVGECWAQIVAKGKYFEDASNP LPAVAVGNYGETGSMEIQDLLLTVQGPTAGAVLMEWNIAQDMQGSAAMWDVHFRIGGA AGSKLEASDCPPDTWKNIWAWVADHELDGGPSQTQIDIYAARGILIESREGPVWLYGT SSEHAVLYQYMLYGASNVVMSMIQTESPYYLPDPQAPEPFKDTARFVGDPDFNDCHSS NPHCAAAWGLSIISSTNVRIYGAGLYNWFQRYTQQCVDTQDCQQRVVKVKNSGQIWMY NIYTIGTVEMINHGDDKPVLAKDNTNTNEHPFTSVVNGWLRASTGQASSDGDDDDDKD DDEDYGTLNDCNGWYDTLEQIQSAYNSIPSECINKYLAKAFHMSLTSSMKNYDKIMQN DYHSKFKVYHDLVEVQSRFQIRKYMLDDKAEGWHCEMSQHRKCCDQCAGGGGYLDDIC FHLDCFGPNQGSPTKCSSGKSKVVPCPTSVGDLPDALTWVLDDKEKYFSNLEKNYGVL RDWVKFEDLDVYNVYGCVWFQHEDDPQGLERCQNNSDTFWRNFPDLQRNFKLEDPSEV IKTAHDKTANLLTENEMQMRMAEFALIPWADVANTLALPAMSMNAAVKNMEGIVRVAN KKIEEDRQQGIAAIITAVLFFIPFVGEVAGAIGVTVLRTIIDLAGAFADIGYSIYDSV KNPDNVLSNMFGIIFAAGGMRGAFKAASAEWRALKQDKIEQLPSSFHKDVTAMRKMQA SCKL UV8b_05328 MAGPTSPSSEGPSFAPPSLPPGWIAQWDGASKKYYYVQLATGVS QWEIPTQAAKTGNTPGQAVEHPYGTPPPELITHPDGSQTVKHPDGTLEPIMADGTRGG PEGPTGDRGLGTMAMNALLGGKKQSSSGGGLGGLASQFLGGSGGGHGGGSSGGNGIAG QLAGKLASNLFSSSDKPPAPQNYHGGQGSNKPSHQGGIAGAVFGGVAHMFGGKESHGN QNFGYSNSGAGTYSGGDAPTYNPPGKTSSASVPGPSSQNSSSPNQHQQQHQQHQPHQG SQGSQGHQSQAHQSYHPPPPPPPAQAQSSHQQPSYQSQPSHDGYHGGYQGHQQQSPPS YGQSYPSSGHHGGQQGSSHGGYTGAQHGGQHGASGGYSGAQPNYGGAPQQQSYGQPQQ GGGYNPNQYASGNPPYQSGQQYGSGPY UV8b_05329 MTAKRILAAALVYSTVCICLFARAATANVEKIIFTGPRPSDSDD VLSSLDILRLSPDDELSARADLRRVFVPEKSPSRGLSSWILITNLTENQKYELRICWS ALEPTRFNIKAHTLGAALGNVELLRSVKSHAVPQRHAHGIERKAIGSLENLSVLLFEI QAAADYFTDDKKLMTTPPPVLVDLILDPYLFNSLPESLLPTVGYLIFVGAIMWVVARR VASFLQGAASSSEEWEKKRN UV8b_05330 MREVISINVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAQD PDQGFSTFFSETGQGKYVPRAIYCDLEPNVVDEVRTGAYRNLFHPEMMITGKEDASNN YARGHYTVGKELIEGVLDKIRRVADNCVGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG LERPNYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYSPVI SAAKAAHEANSVQEITMSCFEPNNQMVKCDPRLGKYMATCLLYRGDVVPNDTHAAVAS LKTKRSIQFVDWCPTGFKLGICYQAPENVPNGDLAKVNRAVCMLSNTTAIAEAWSSLS TKFDLMHSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVAADSMGEEELEAEY UV8b_05331 MRRGLATASTPQAAPNNKVKLVEVGPRDGLQNEKKAIPLATKIE LIERLARTGVSTIEAGSFVSPKWVPQMANSSEILEHILQKKVQSPVPVTYSFLAPNTR GLHNATDILKANPKSFSPQADAETGSNGQGKPALEMAVFAAATESFSQKNLNCDIQTS LDRFKAVIQDSKALGLRVRAYISVVLGCPFEGFDVYPQKVAEIATELLEAGADEISLG DTTGMGTAPRTSALLRCMSAAGIRTEDIAMHFHDTYGQALVNTAVSLEHGIRTFDSSV GGLGGCPYSPGATGNVATENMVYFMETLGMDTGIDLDAMCDIGAWITAELGKPNDSTV GKAVLGARARAASEAGKSG UV8b_05332 MGDPREPSSYSVVPRLRYNTVGGVNGPLVILENVKFPRYNEIVS LTLPDGSVRSGQVLEARGDRAVVQVFEGTSGVDVKKTKVEFTGESLKLGVSEDMLGRI FDGSGRAIDKGPKVLAEEYLDINGSPINPYSREYPEEMISTGISAIDTMNSIARGQKI PIFSASGLPHNEIAAQICRQAGLVKQHGVTNKGVHDAHEENFSIVFGAMGVNLETARF FTRDFEENGSLERTTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLS AYCDALREVSAAREEVPGRRGFPGYMYTDLSTIYERAGRVEGRNGSITQIPILTMPND DITHPIPDLTGYITEGQIFVDRPLHNRGIYPPINVLPSLSRLMKSAIGEGMTRRDHGD VSNQLYAKYAIGRDAAAMKAVVGEEALSAEDKLSLEFLDKFERQFISQGAYESRTIFE SLDLAWSLLRIYPKDLLNRIPAKVLSEFYQRAHKDAKSKGKAQSDVAAKDQQQTEENL IDA UV8b_05333 MAAEIVIWSSLGGIGGIGGIGGIPVDPPSIPWTPPPLELAAHVS LVAAVFVRLGELLPACLSTFAVTKHKSASGIATSSLGILHENAVQPASQPAMGWSFRL AALAGLALLPLCLCATAPDSNARRRSPGPDRDGKYWIYGDGVYASFIAYGASVSNLFV KDQYGIDRDVVAGFDNASYYGLDRLHPHFGGVPGRYANRIRNSTFAIDGQTYHVTPNE NPTRDSPGGVDTLHGGPDGWDWRNFTVVSHSDSSITFSIVDPDGKEGFPGQVVSLITY VLNGRDWDLSMVAQATTKKTPIMLSSHTYWNLDGFANNQTNTVLNHTLHMPYAGTRIG VDSILVPTGDMLANKKGGVNDFWSEPKQIGASFGDEDLEGNCGLHCVGYDTCYINNRG AMGPYDWRKQGPVATLSSAWSGIRLDIYTDQDAFQLYSCNAQNGTVALKKTQGVRGQG DDKHRFPRTIPKYGCLVLEVQDYIDGINNPEWMRGRKQIYEPGGDAYVLQAKYRFSLT REHGNDEKKLSR UV8b_05334 METDRAQVDLGIAADIEEAQLAQAAEPEAASADAPPATAKQPRK RFIGRRAAAAEAAAAAAKNDQLGTQHESGAIQAAKPRRAPRLLNRVPAEILQDARLKE AIALLPANYNFEIPKTIHRIRTLGSTRVALQMPEGLLLFATTISDILTQFCPGVETLI MGDVTYGACCIDDYTARALGCDLLVHYAHSCLIPVDVTKIKTLYVFVDISIDTAHLLA SLERNFASGKTIAVVGTIQFNATIHGVRGSLEAAGFHVVVPQIAPLSKGEILGCTSPR LGDQDAVDAILYLGDGRFHLESIMIHNPRVPAYRYDPYSRRLTREAYGHDEMQSLRRG AIAAARTARRWGLILGSLGRQGNPHTMALIEKRLAEMGVPWINLLLSEIFPGKLAMMS DVECWVQVACPRLSIDWGYAFPRPLLTPYEALVALGVKEEWDKGSVYPMDYYGKDGLG RRRPEDAAGAGDV UV8b_05335 MASATLYPPPRRPHPAAPNPLPQLVHTPSGLALLELQGSINLPA DDGAAAVRVGTIEFPDCDPAAAAAAAAGSAWTKRVHMHVGRYQRLAGEVKKLPRAVAV VRRRRREAGGVLDSSPGARVGQAADDLEVVEIIKYKIVFSSRPEPVGSSSAS UV8b_05336 MEQRAYRPYENKLAIVTGGSRGIGEAVTRRLASKGANVLVGYTS ESSRAPTLQLCSDLSAAHSVRCLPVQADLGDPAAAASVILAAARELFVSYHHHHHRPS SPGAAFQVDILVNNAGVASNQALNDAALGPITARDFTRVYAVNVLAPLLLTQAVAPYL PTDGSGRVVTVSSVSSSIGYPGQSVYAGSKAAVEAMTRTWSRELADRATVNAVNPGPA WGDMYAQAGHEFWKINQPYVDAAPLAAYHGEKRVLDLAGEDAERFDKTVREGMGGRRP GFAVEIAGTIDMLCSAEAGWTTGSVVCANGGMKMSIA UV8b_05337 MKDSVPDRWSETPWQQQGQDFPVPMWHKPQVPYSSLPGRLQHVD VWVPATSTSQSDTPSPSWLPPSSSLWVIYVHGGAWRDPLITSDSLVPALKRLPRELFE SAGPKAPTAFASVSYSLSPYPEHPTLPSKPSDYSRNATHPGHILDVMAAISFLQQTAG FGSNYILAGHSCGATLAFQVAMNTARWGVGPAKAYIRPPASILGLNGIYDLPGLVDGP GEKHEHLRPIYEQFTRNAFGKDRGLWAFISPALVQDWAAEWPGGRHAILVQTREDSLI PFSQGEQLRDSLVRSGTGKLRVELLEGYGDHDETWENGTLLASMISRAIYSVIETTEK UV8b_05338 MASSSIDLSSLPRNPDSKYEARMISILSDAINNPAPTELSAETA ADEIDKLYPAGTPDAEDFLWSLWSLLVSVAKKIPAHDARQQLLVTMIEKLKSKRDDQV QMWGQTTRVWTELPMLGPVMRDSWNFSPDFDGSDGDNGTIQEWISLNSFAARLYGAGL QPWINLAIWELRSGLEETPEDRPNARDTRIATAHEWITHAGKQLYRNGRQPQKLDDVE QRALKPGRLFKSETSGLSNERWNFWRERIGVLGGGAGSGSSKEKAQEMLELMSRIEA UV8b_05339 MASQYEVEHNVRFTEPTRGSRRVNMDSFFSLLHRVDDSGTTTPH NNPNATPTFPDLANVFRLLQDQMQTLAATAPTDENRSFLLDLVSSLDDDINDPPTRLQ GVGQEFLDGLDRVNRKRLAKEDDCAICKIPYLEDQFCLVVELPCKGRHRFDLECVGPW LRSKGTCPMCREEMGKKKKKPVVVDDGDEEEDMDMIYA UV8b_05340 MPAPGSEAMPETLNRAQRRAVTSAAPTVAILAGPGSGKTHTLTS RVVWLIQQMGYSPADVIVATFTVKAAKEMKERIEKRLGKAYEQKIVLGTFHSIARRYL AAYGNRIGLNPKFAIADDGDSRAIIQRIIKRLDLRIETAHAKSWISKRKSRGTTPLPG KRQQENPSLNICFAEYQQHLARANLLDYDDLLVRCVELLQHHPACVSNVQAVLIDEYQ DTNGIQYDLMRLFAQTRQRITIVGDPDQSIYGWRSAEIKNLYRLLRDYPQTDEISLEE NYRSSQHILNTSLQVIQQDEKRYKKVLLPVHAKGTKPVLRKLRSSSAEGEWIVSELRR ITTMFGGLLKNEDVAILLRSASLSRHIESALGKSGISYRMVGGLKFYERKEIKILLDY LRVVYQPNNNDAVSRIINTPRRGVGEATVKSLLEEAEKSNLSLWAILWRHCRGGRQLT TKIRPSMEQKLNTELLRVISTLRSKAEHLDNAGESTLVGLIEHLVTLLNFKCYLETEY EEDHEQRWANVQELLNLAGDFMRDFGAGSEEALPTIEDVGQVGNDDVLGRFLANVALA SDAQSSDTQQDKSSDVTISTIHAAKGLEWPVVFIPSVYEGSIPHSRAEDMDEERRLLY VAMTRAKALLYLSCPLYGSQGLSNKVELSPFITSFAGAFDQKGPSFDSPVVETVGKML NRMVPDEKSTFDQIPPMFCIEDTQFPIDPFDPKDANGLDLGNDHCRSRVPKRQKTQNT LEGGGDAAHEEKPWKPDYSTTMEQSAAFTMAASMPGFVTAGAHQLALNAAGVPSAFKS NSADKTNLKRGGQGATASRRADQKSLLGFVTTASSARKSYPADKATSRYQAAASRASG RLAPQAIRGTDESPSIDAEFTKHKIAGAKPVFRMGASRLTDDKAGGEKNACFSSSPTR EASPEAGVGEQAPKQVLQRPAASFHSTTFMSMKPVGGVRRPVGLGPPPSMDRLRKPFK PPTINRPQGQSGKLRLCAL UV8b_05341 MLERQQRLECGRLLNALTFFSTMLQRHLGPFSSATFLVFALILS LAQSQITATENSFGSTIRANDPLHIDWSPAPEAEDGPSFSAGALRDKKYLPVEIGGIF AAYGVSLVLVAVTLLSLAKKRRQHLQAGQDDLEIDKPKYIYGVESPTSGAISPYLHCP APRASGQAFPYPPPLRTQFDDSSLPPHAPYIYPSPTSIVTAPGVDPAVDPAVVSADRE MAQDQLEAMYKHVMEHEDAKQRGVVLDAPVIPGIGERHSSSDKSVTSLSERGRAKPAN LSLGAACGDKAHSRTSSLLAALRSPRKKQPKGVNISSPIMTPQSATFPRHEPQEMSVI PPRTYAPAPPPPIPTDQVPFVAQVRNSVAPSAPNMSPQSVQTIDERINAQLGPSGNSK SASRAISDVDPISATSEHSQTPLVGLPSSPKPGATFALLPTSPKLGATFSRTNLPSAV RTGGNLPLRAYEPAPSSPSATSQATKQTVFERRGPLSPTTGRTPMTAWAVPYSPYQPF TPVVPITPSLVTKEDRKRMRRMVPKTPTDAAQNCRAQSRKRPSRHDVRGIPTHKPSST TAAFHLVFCRLSHSFSFPLSLLFIARKRDAADRPLIDAVMSTYTLSHTSFFLSYFPSH IYMPLNYDLQKARSQKLGCIK UV8b_05342 MCAMAENDAANQIRFSDATFGFMNGPSPETVAQADVGRQHLYAK STPTWDFSQLGPAYQNTHLSTITAPSATKPRDTVPVPAPAPAPAPAPAPAHAPTPAPA QENAWHMPLFDQPPNSAFNFDGFAPADVNSSVVFPAANFDSPTGSDAKQSFATAVSTS LQEKLRNIAMPPHLHYHSPNSASSPESAKSESKVHASSSSPEQVGTCPNDNRKRKVSS EPEDEDDVDDEDKPVKKTAHNMIEKRYRTNINDKIAALRDSVPSLRIMSKSARGEDTT EDREELHGLTPAHKLNKATVLSKATEYIRHLEKRNIRLLEENSAMQARIVAFEKLFMA GAMNGSLSPIQHPPTPLSYTQEAVQQFNNSPISPLQPSNASPAGMIPVSDDMKRIISA QMAGGQPYPVPQPGFRAANPSVIRQQQIQQQQQQEQNGWMQGNPYFGKLMVGSLAGLM FIEALRESETSNDETQGRGLFALPTQLLGSVASGLDVHLMGYHALSSLKALLLLGTFL WVFVPSLFVSRVREENKPRAATLRKAPSLASSIHIRRQAWLTAIQTVWVPRHNFFLEA AALSLKTMKLSLRNAIGPHGFQMLTGLTEEKETARIKAWSIALDSQLAGGDVEVCTSR LVLTLLASSTLPDTPVRLMLKALHIRVLFWHLTHSKLLQSGANAIAAKLARSSWVEAR QLSQLLTQLRRGSLVQHEDELPEHLVALVEQECDDVLKPRVIERAYNLCFNMDTTYNV SEPMDGMDNVVDDVAIRSPLDAVAAWWSAEVLHGVLTDSLDKGTQPARDSVKDIEIAI KVSPIGSYAQLRAIVARAVLVRSSRGAHIAAALQTLKSDRMSSPLSKPNLVIGHGFDD FSTDFSIALRCAMAIAHLTRLPNTPGATLQNVDSLNGIVRADNLTCMSLLGFTSVMEL MEHLLQYKKSDARIDVVLERFAAILRLWVGGPFASRCGIDSELRQDIVERCLAVTKDL VGMEIDTGYGSMSEEEEEEEEEDDDDDDDDGGEAVYE UV8b_05343 MAAAAPAPSAPPSAPPSGFRFPPEYHFPPFFSPQPNLTTRHAQL TKWSALVLAYARHHRLFKLALASASHTDLFHNARIDRRLGLADIRAVLDFLCADGRAQ YAGPRDGADVVYVYWRTLDEWASLVEAHVHDTAQRGSVLTVYELTQGEGTRGTELHGM DDHVLIKALGVLVKRGKAQIFGADDSLGVKFF UV8b_05344 MSAKHGVFDDCTLAFVPSNELAPKQISELSRIVQDNGGTICEPR RNGSIAIDRVTHIISNTIDFEQYVESQAVMIPVVSDKWISTSVLRSKVAQVRPFSPDP RMIFREVVVTCADLPPMDKESILGATMALGGQESKEAWRLTTHICALSMDAPKVKAAL DKRFKGKVVLPHWFDACFKLGKRIDEGPYLLPDPEIVKKSSEDDLEIPVNKNLINATS VSPAILPVPPGAKSARSPATVFKDRDVMFSADLSITPGLLQAVKDIVTHGGGKIVASV DECDMFICQYRDGPEYIHAAQSCKEVGSLSWLYALIVNNQWQNPLHRLLHYPIPRGGI PGFKDLKITVSNYGGEARIYLENLIKACGAEFTKTMKADNTHLITARDSSEKCKAAPE WGVAVVNHLWIEESYAKCGIKPINLSKYNHFPPRTNLGEIIGQTFIDESKVRDVYYPG GEETMSPRAKRKRRILEAAEDNAYHNGPADGVVIGRDGDADEAGDDGKSEEAQAPGKT KTSAAVQTPVRRRRAHPGKENDTPSAASTGGRSAKAKARDILQCIAPDIALYEKEKRR QSRTGAPWGGKRAADLADKARTSGAATSPKQKQEEDDGEGADADAKRPTKKQRCLLPN VEMRIVVTGFKRWVGDKNREDQDRRKLRDVGIQIVQENQPCDYLVAPQVVRTVKFLSA LARGAVVLSSEFIEKVLELGQVPEPEDFILKDEDSERRYGFKLEQSMARARANRGKLL RGIPVYCTEKIHNGPESYRSIAEANGAIFKLYRARSGTTIKPTTAEEDGFAKPEPVYL LTGSSADEQQMWERFRDMAEKGNMEPRIVAADWLLDVAMAQQVRFKDKFLIENFVRDG KK UV8b_05345 MTNFQKALFNAIVKNLLRGKSLIQAILAYWVSPLPAETGKEKAP RSLQAFLKEAEAAFLGPISGNGLQELSAGLKKQFIQRLHTDEQCMLPSYSHRLPLGSE CGEYVALDVGGSNLRVAVVALRGREAEIDKQGEIVSMQSYRIGKHVKNLQGMQFFDWM AQKITLTLSKSGNDGHGLERPLPVACAWSFPLEQTSMGGGKLLPMGKAFLADQGLLGK DLGDVIKQSCKNHGLHVELRAILNDSAACLLSRAYSHASTRFGLILGTGVNLAAYLPV PSIGKNKFGVREQVWFDEASHVIVNTELGMFGHDILPLTNWDRALARQHPRPDFQPLE HLVSGMYLGEIVRLALLEAIDTTGLLGGVAPASLQTTYSLKTETISRIQSDTSSDLGE AIKLFSKRHPSSHQPTTSDLAAIRAVASFVSVRSSALVAACVYTLWDLRLDLEKQHVG RLPAASPEGKRFEADINPEITTVAFNGSVIENYPGYLASCQRYLNELIESKGMPEPCC IGLVAAKESSLMGAAVALACVAHNE UV8b_05346 MRLIIRDDSLAASSYVASYIVERIKAFRPSAMNPFVLGLPTGSS PLGVYKMLVAKYRAGEISFEHVVTFNMDEYVGLPRDHPESYHSFMWKNFFSHVNVHPS NVHILDGNAPNLEAECVAYEEAIKSVGGIDLFLAGIGEDGHIAFNEPGSSLASRTRVK TLAYDTIIANSRFFNNDASQVPRMALTVGVQTILEAREVVVIILGQRKSLALQRCIEQ GVNHMWTLSSLQLHPHPMIVVDEDATLELQVKTVKYFKSIEKVAKEAGFEQILPSKVR TANGVIPATRVDQVDSPTILCPQPTTSRLLRAAPATEYPVRSVSPDFDLIPDRMSSRI REPPLSMQSPPTAATEHPVRPASPDFEFIPDRMASRMGDPALMYRLTPTPEQQTSRQN VIKA UV8b_05347 MTMEQHFDQDFNFDEAVLDGATLAALPFTPAYDFDNFATTFEDP FAYSARAFEPTPHIDALNEDSSPQELDNKLLGFSDPIMNATPIDANGSLGDVSMSAEL YGMFFVAEDVFGGENTGRPLELTCYRRNLWQCSGLLTLPKHLSHVMDEQGRHFPVQEW LASITALESIEGKATEIISIPWKSSNPQMGGEETKVAGAPPHIPLDLGTAQEVDAVRV SLPVSWKRLQFKHATANNGRRKGLQQHYVVQINLIAKSSSNDSIKVAEIQSGPVIVRG RSPRNFDSRKDVPLTGEKRTEKKTAAGAENATLKLERETPPSTVQKFQPMGNAPTEWS ENYQPPVPHPNSSSCHPAKRVAVSPTVTRPPVPTWSGDGKSMVVSPNHRSSASRHSTA VPISLSLSEDEKSPNRLSAELQSPQFGKSHSISGQNAGSSPADDPDPLYEYFPLTVDD WMPPIDAVYRPHVVHHTIVPPEVKALQSQSKAKRYFAAE UV8b_05348 MPIALTSSTQSPGGNGLTKFTNCLLVKDDALVAEDLWVSSITGK IINSQATFFDELFLPDETIDLGGRIISPGMMDCQLNGAFGFNFSTLLDDMSHYGERVH EVNKLLVQTGVTSYLPTITSQRPELYQKALQFLGPSGSSRDAHDGAESLGAHCEGPFL NPAKNGVHNVDVMIEAQVFADIEACYGAANLRPRCRAERIPIKMITAAPERGQMMRLI PEITSRGIIYSVGHSEATYEEASEAVGQGASMITHLFNAMRPLHHRNPGIFGVLGKAE NLARPFFGIIADGIHLHPTTVKIAFNAHPDGFILVTDAMHLVGLPDGAYPWTNGEQTC NIVKHGSKLLLENSDTIAGSSTTLLECVNNFLAWSGASIPQALRAVTATPAAMLGLRG IKGSLEAGADADLVIFSQQELATGSGSKHLILDEVWKFGTRLLNTNSAKGFVMRRKDE KREHDEH UV8b_05349 MATASSSSSITNPNASSDASDLDPLWHELDWAIGQMLIMGWDGT EVTPQIKSLIEDHHLGSIILTAKNLKSARQTAKLVQELQTLAKNAGHPQPLLIALDQE NGGVNSLFDEDYVCQFPSAMGVAATGRVELAYEISKATAVEISACGVNLMLGPVLDVL NNARYQPLGVRATGDDPQEASQYGLAALSGVRDAGMAAAGKHFPSYGNLDFQGSNLDV PIITQTLEELSLSALVPFRNAIATGKLDAMFVGGCGISNPSMNVSHACLSDQVVDDLL RNELGFKGVAISECLEMEALSHDLGVQNGVVMAVEAGCDLVLLCRAYDVQLEAIKGLK LGYDNGIITKERIFTSIRRIQHLKSTCTSWAKALNPPGISLLSQIHPTHLSLSRRAYD ESITVIRDKEKLLPLPDSMHSGEELLLLTPLVKPLPASAMTKSLLESKKTSSQAPTPH DLWAHRDRERSAIMSGEGVFREFGKNLARCRNEKLLHTSYTANGVRPVHEILINRASC IIIVTADANRNMYQAGFTKHVDMMCSMHRSRGKKKQLIVVAVSSPYDFAMDKSIGTYV CTFDFTENAMSALVRVLVGEVNPTGSMPGTLRKSKKVLKSRQHWLVEEYSKSRDQAGL DELLKGVHRASAPDLQFLKATSSFSFQLDNPKIKEMHFVVRNSSTGALYGFVATYYIG GIGIIGALLVDPSKRNVSIGRSLHRRALKSLGEQRGIKKVQVGTPFPGVFLGIPLDTE LNTVKEWFGNSGWDTQFPRRLTNMIIRDLASWVAPEGLLQSVQRANMSFDLIHGLENA ENVLQHVRASANPEVHELYVCALAENKACGVVRAKDAAGKLIGTVIICRQNSPLARCI PCLLSDGGDVGGIIAPIVPPTPQAKLVLQGLALMCVRQSKSHKASKAVLSWVVDDECE PLVAMGFDILQAFEEITNSPNVCQV UV8b_05350 MASQQILFAETIAGMKKAFKRKAYESDSDSEIMCFTNRGHKLQK RARFARKGQLAPTTGPSAYKQTVDYAGMQRNVLHRNPPLVDDEGYEILSDDDDQRVGD AELAAAELNPYSNIRLENILAPLTASTDLPSHPTLSKPFTSKTLTELIAQSCSIMHKE NRSLWQVRYLWTSLCGDGIWMPCETMIGPNDLDLYSEHHVARFAPDAPQNGHATPTPM VNGNSRPPPAKDSTAEPRGLKRGTTTDASAAAVDASGPKDDDGDDGHVEQEMASKKWK QTQDRDGDGTPSRGTGSAHANGVSGTAAQQGEKAEPAKGNTASEQLLTTSRSQDEFLI HPMFIPPAGAKPDRDMGIPENEAEDVRRLLALYVQKQEEVCRGASRLHQGLLRAQRLR SEVLHWSKAEAHCGPNRDLSDGEDWYDKEEWGLTEDLKKGQDEEEEDTTTTGKKTRAR RA UV8b_05351 MTSFLGDLLSHVDFGNKSLAVSAASIAFNPLWWNIVARQEYNNK LLTNMFGGNSKAANYGLAAAIFSLGILRDWLYKVAISEQPSYQPLLTAYSQAAAYTLL AAGNILVVSSTWRLGIRGTFLGDYFGVLLEEMVTGFPFNVTNSPMYWGSTMSFLGTAL LFGKPAGLLLTLWVYLVYVLALRFEDPFTAGIYAKRDRERAAARSGKKQE UV8b_05352 MVAPDGVESRSRQLGADTGAGAQNSPEEDAASQPIQRSIGHART PMAKVKHACWTFGKFVGPGFLVSVAYIDPGNYSTDIAAGASYRYKLLFIVLLSNLFAI LLQSLAIQLGTVTGLDLASACRLFFPRWLNYLLYALAEVAIIATDMAEVIGTAIALNL LIPQLPLVAGCALSILDVMVILFFYRPHGAMKGLRLFEVFVCLLVSGVVVCFCIQLSL IKGDVGQVFRGYLPSSELVESQALYQACGILGATVMPHSLYLGSGIVQPRLREYDSKH GLLPSEPGSSASSAANDGDHDKAKYIPSQASINHCLKYSITEVALALFTFALFVNSAI LIVAGSSLYNNPDAQEADIFGIHDLMAKSISPAVGTIFALALLLSGISAGIVCTIAGQ MVSEGAINWHLKPWLRRLLTRSISIAPSIVIAGAVGRSGLNTALNASQVILSSVLPFV TLPLIYLTSRSRYMTVLPGRARYYVESDDEGRSVSASASSSMNMSNPWYVVVLAGIVW LIITVMNVANLVLLGLGKAS UV8b_05353 MTMFQSKKPYSAVTVTIENLTSESFSEDDLSGIPDLVEVIKLQA TGPAEAARAIRKKLKYGTAHRQIRALVLLDGLIQNAGPTFQRTFADEPLLERLRVCGT SDLSDPAVRKKCTELFREWSQYRGMPGLERVSRLHKELPKRKVAMTQERSKVIQETEN PFGDDEEEEAEKVKQQSQGVAGSSRCWNDDGTGRATATDFLAVLPPDHGTKRASGNKK SKSKSKSRGRKPFNLEAEKEKMKSVIAEASISSTGLMNSLQVINREKERVSENRAAVQ HFEACKKLRRRILRYIHQVESEQWLGSLLHANDELVHALMAFEQLDQSIEADSDSDDE LAEQAHKYRMITQKAQENQVAAGFAGLSLSQERNVPAAAEPPRPVAPPRLSCTAAGDE DDVDDEDDDDPFGDKNVISTPTAERGEPRW UV8b_05354 MAPDSCLLDRACKPHRTERSVRTRAVLCRSRSRSWWKEPAWQQK KQEGAGADDSMQSSPQGSSWTRFACGEGVPTDIPQIRPGIKLHQAASSSGHCDETGSD PQVKLHAGTAQHRPSACLACLSIRGMGWTTERVQAGQTRRFEQSYLLRGREASLVRHQ HRLAGNTANALDQTPLHFGRAGWNRQQITDTTDTTDEGPWQGEPLGSSSPPRPAGWPF RPAPGLPCAKSCAAKAFDFGPWTLDHAPRTTHHACLTWKP UV8b_05355 MDVTNMLNSKGGPIIQHFHHPQFLGAPTDFHQPHLTLIKHESSM ERSVSPHMSEHSSYSTPHSIGRPYPSPSAIQAPMHMASSLTGPMPMHAFPDMPGAMGV VHNMAMHQMTQQQAQPPPQPAKAFLCSTCGKSFARRSDLARHERIHSGMRPHVCDFPG CGKQFIQRSALTVHKRVHTGEKPHHCETCAKRFSDSSSLARHRRTHTGNRPYKCPYAD CQKTFTRRTTLTRHQNHHSGTIEEAAAATAAALAASKAQKGGTRTRSDGDHLSSHGSP LTTPSPAQRAMSMSPTMDMTTASAVNRHAGEFQYLQQNASLPPHLRIGSPTSTSSAGY TSNGMRPTSHPHSYGPPPILEPNLEQHQAGPGSGGGSPHMSAMGWQSPSHVPSPSHNG AGYVYPEPDTYQQNAAMNQMYYGASTQMRRPTSTEPGLVHMA UV8b_05356 MPPVKFRGVPLLRQERLLIPIEVFSDSLCPWCWVEKQSLEEATR QFGSRHPEVEFEVVWRSFCLNPLFKTKCDKVFLYDEWSGGQGRFQAHLDRVAAAGARY GVKFSVSGVMGPSRSSQILIANVLRRRGPAAQARVVEALFRAHFLLGQDLSDEDFLVR LGSETAGLPADLVRADLRDGDNGRLVDCQAEEAVEEKGVEAVPCVTVLGKYKVGGFQD AHVFEELFDRIWEENKGLLGGIPGREWR UV8b_05357 MSSYLEKQSSAFRGTLASAAAKLSNPSSTSAGKATSLAPPSPSP SAASDSTTPTARRKRDAVPDVPFSQPQLTGYGAEVKTQMAFAVEYLKKKADAKTVADI IDHLSLRGHSEEHKKQLADGLRGHPRVDWKPDAGLSEQSWKTGLYAYRPVIRNVRDGT SLLAYLQRKTDASGVSVKDLKDGWPDCEDTLAALERQHKILVVRTKKDNFPRYVWADD SSLHYAVQPEFQVMWHRVPIPSVDDMHRKLVNVGQKPTSEDPLKIQQASSKPKVQKKR TSKRAGKATNVHMAHLMQDYSNLRR UV8b_05358 MSAALELSYQDVAEHNTKKDIYVVIHDKIYDCTQFLDEHPGGEE VLLDCAGQDATEAFEDVGHSDEARETLDKIQVGVLKRQPGDPAPKTSAHTTSTSSQGG SDNTGLGIGIYLILLIGGALGYFAFQYMAKQEQAKPAAA UV8b_05359 MSHIEIVSSFVEAAPPGELADVIADIKSLTASSPNIISELSSAF QRYNEEQLTTVTLPGSSQPVLVTEHNSLGDGRYYDVESSSSFAFDHTRQKASAVQSHV LEGALADLVKSILKSTGAYVKEHFSNASFGAYPIESDSKVAIAIVANKYSPHNFWNGK WRSLYIFDAASGSMEGSIKVDVHYYEDGNVRLLTNKPVTASISPGTGAAVAREISAAE KKYQEELNKSFVSLSEGLFKGLRRQLPVTRQKIEWDKVMSYRLGQDIGGGGSKR UV8b_05360 MRHHAVRQLRRAATPCQQPPFQIIRRRYSQHLPNAKLNKPIDYG TTYLLAHGSKGPALGNYAEIPVEVRNGATRKMNLFQAINDALGIALAQDDSVLIFGED VAFGGVFRCTMKLAETYGSDRVFNTPLTEQGIMGFGIGLAAQGMRPVAEIQFADYVFP AFDQIVNEGAKLRYREGATGVHAGSLTVRMPCGGVGHGGLYHSQSPESLFTHVPGFRV IMPRSPIQAKGLLLAAIRSNDPCIFMEPKILYRAAVEQVPEAAYELPLSKAEVVKEGK DVTVISYGQPVYTCLSAIQRAEEDLGVSCELIDLRTVYPWDKETVFASVRKTGRAIIV HEAMVNAGVGAEVAAAIQEDPETFLRLEAPVGRVAGWSIHSALMFEKFNVPDVARIYE HIRRKLNY UV8b_05361 MAPRESTRPGRHHRSRHGQTDEEPRTSQPRRKRPNQTRPGAAPN PEENRQQRRSRRSSQTLSSDALAQLDRENERRKRNADKERRRRRRGDYQQVDVTPQPT PRKQTRQPERKKRRVVSGAVMEEGRAGQSGLRGGGSWGDGDFEKEKHYRHRDKKRAIP EEEEKKKKKLWWLLGGLGAVILIIIIIAAAVVVSKKNSGRGLSDSSSLNGKDPSSVPS KWKNTYLDPWTWKSTAGFNTTFTDQMVGGLPVMGLYTDWDDSTRANDKVPALNEAWGS YGSRPARGVNLGGWLSLEPFITPSLFNYNLGLGIVDEYTLCKNLGGSTAATLESHYAS FVTEDTFKAIAAAGLDHVRIPFSYWAVEVYDGDPYLFRTSWRYLLRAIEWARKYGLRV NLDLHGLPGSQNGWNHSGRQGLIGWLNGTDGQLNAKRSLDIHDRLSRFFAQDRYQNVI SHYGLANEPRMTFLNVPDVIQWTEQAYTTVRKNGVKALVVFGDGFMGLDKWQGLMSGY DDMVLDVHQYVIFNQNQINFSHQKKVEYACAGWAQQALQSMDRKTGYGPTQFAEWSQA DTDCAQYLTNVGWGNRWEGTYNTGDKDTSVLTPRCPTLNSSCSCSKANADPSQYSDAY KKFLQMFAEAQMHSFEKGWGWWYWTWKTESAALWSYEAALTAGIMPAKAYSRSFNCDA DIPDFSASGLAEVY UV8b_05362 MLCCELMDAGRNPPSSTHQPTWRCIGCRPSRLSLETDRPDPKSG RLSLTAVGAVLARFCLPGVRPSTLPPSDESVDVVTLPLE UV8b_05363 MPAPKAEDHEADLPGHMSDIEDDNEPSIQDDDNMADFKKRYDPK DPQRPRRKKARRACFACQRAHLTCGDERPCKRCIKRGLADACQDGVRKKAKYLHDAPP EALRPVLGPNYNPKSEHTRANGQRQTPSHSDTVSTATGSNGGGGSFLPQNTGTPFVFG TSNQTQVGLSDTLPFNPQATATVQSNAATAASQMDNMIQGNHTMDFNTLFDHSNPALY NFDLEGLNFGSQYAGWEFGILNKMGLAAETPSRDNNSISATPTTEATYASLFGNGPNQ PFDAMMAGSDYSGVDSNSNIYSQDNLQHGLPHAYAIPAGPNSLASPSTDATASPQANV DASPHIALNNLPGIGGAARMKPKQDKISQILMGKRHRDSASVYANVKEPYPYTAGFHN MISVIKHRLPLNKLITIARALGEIRPSFIACTKDLTREDLLFMEKCFQRTLFEFDDFL QHSCAPTVVCRRSGEVAAVNKEFTALTGWTKNVLLGKEPNRNVSVGPTPQGSDDGDDG STRTAAAPTPRLKPVTLDLDGGRPQPVFLAELLDDDSVVQFYQDFAQLAFEDSRGKVQ RSCSLMKYRTQDMLDGKDAPSQRDRNGILSSRVTKIDSEQGISRIEKDGRVNCTYCWT IKRDVFDIPMMIIMNFLPRYYPNQEPHQLAV UV8b_05364 MAPDGRPSIVGPPDGPEPPFPYVMQGKVISGFGRGSKELGIPTA NLPVDSALTPWIASVPSGVYFGYASLLLPPEHPQRQGLSGGPASSASSSSPPFAVFPM VMSIGYNPFYKNAVRSAEVHVLHSFAADFYGAHMRLLILGYIRQEKDYESLEALIEDI RFDCEVARNSLDRGGWTPEAVRVEGYGHGADWLLREA UV8b_05365 MRLLVTRAALGRALKPSCLLPLTPLTPLTPSPRPRPSSSFASPA PPKLPPAQQAEFERLQRQAAAPLSQPDAPAEAPPTLKEADAVNPAYCRGAPPEFSGDK NPRTGEVGGPKNEPLRWGGDGDWSYNGRVTDF UV8b_05366 MPPPPTSPTQGQPAAKPQQQQQQQQQQQQQKHHHSQSQGSLSYG PPLPPRPPPPPLSVGPPSSFPSARELPALTSLARSGGAVGSSMSISSMLGGPPPASRE SQQPPQHYAPHGPPPPPTSGPGFGPSSSSLQASPLMHSASSEYPPFRRPQTPDHPPRQ PFDPRGSAAPSPRGGPYCTTPDVQRYGTPQGYHQRHPSAPPDTSRDSGRLSVGPPTAS SSHAKPPSYAAMPPRTMDVGRPEDPYPRRDDGGRPATGMGIEYNPERSGLRPAYPYDE RYRAERDRQSVTEHRGREGRERGYSGGADPRRQHMSPHELGHPDAHASQSPYGRPPDP RDPRDQWGRPHPDANVRPPTEHHQRPQQPDYAASGPYSHHGPAYQGAPPEHYPPTSSH PSRPSATAAPAPPPQAYESDRARIDQMDQHQPPQPPPPPPPPPPHHGPHRTRDETAAP PAAAYSNVHPPPSSYDSARKGNDDHHAPNGLQRNLLAVQDISRKGRMSPLPQAVQGAQ PQQPGPGAEPGIKSEFGRMFSGIGSGVSGIGMSSPITSSSAVTSLVAGPGSHLGVTKR DDADITADPGADHGGKAPKAGRRRKLKDEEGRDDDSTGRLTPAGRANKRPKAHQHQHH HHHHHHHHHHPSPQGAAPSPTAGVAALKSLKGSTLVGSPMDKSHHHHHHHHGPKAGTQ TQSGGQTKAAATSTAIPPKTKTIVSSKAVLDSVAHRPRHHLGDFIYEPQLKPERVLPN TPTHRGFSSNPKPLPWDIIKDRENCTLTVKVPRIHLSSVAREEITARAYLWGTDVYTD DSDVVAACIHGGWIKGEWTEDVDTAMLDLELGFGGKRKQKARVPELPSRESEGTITAP PSSGPMAVPANRDLHVNILILPRLTRYSSCTRFGITSREFGGQYGGRHSAHDGISYMI KSIRWVENGGQPQARLRGRARRERMRKAMREVTVSFGNIGGLELGQAKDGSAKTTTTT TTTTTTTPPTTTMATTTRGEVTGNWTRADQQSAAHVDPGEKQDQDRAVSEGNKENRSG GISGPKEISEGRKAARDEDAGMANAADAERRTAVEGECK UV8b_05367 MRFSPQAAFFLSLIAIVAAAGHSAVCGNRQYSTDAVAKASDASC SYVKNGTSVGTNMYPHQYKNLEKLNLGGLSGPFYEFPILSNGQVYFSGNPGPDRVIIT RDCKLAGVITHQGANGNGFLECNVKTSAASAVAAAYGFLFALCLPTALLVIAV UV8b_05368 MCAATHRTIWDCARESAEQTRTEPPSETLLSAVNQPDRHCVISS DTSRNRILLFPGSFNPPHRGHAALLNAILSSLSRSLNIRGAIIFPHDDEQLKRRARED ISRFSFDKAQRISLWAESSSFPKNDTWLYNDCRDSLTRLQKQMLRNLKKQRVKLCFLL LVGPDWVTRQATYDPGQWNCTEAITSDISRPVDFRFQHTLGQLPGCSPWSFHFVGETL DVATTANCQGEGFRGGSGCGNFASLMSLADSVSSLGVCEWSLVLWTCLTLRRPIRQYY FKPSDRNATITSPSSSDIRKAIQNSLTQGRLPNDSELEDALSPGLLLGYVQKITIVST DSNPYPAISNEK UV8b_05369 MCNYIYKEMSCQHHYHLVESWCSKYIETERRCPPTIVSKQYWGD DICSACRERQQPSNHPCAKLIQRPAPKTPSSYQHVVARTPGQMRRLNLNRRTGTLAET VKSLPLLQWPIVTAAQSRCP UV8b_05370 MQPTPLFSSPYHVRPHRSTTNQTYLHTPTQDYKHTPSLRSHGGL KNGNGCFLNLVTQTRYCVRPGRPWYIYHTEPHP UV8b_05371 MLAFHDGLKNGCQSGVVTGWHGLVHHAQFSNPAAAKGKSRRERD HAPAVGTRTHKNKRCEPEAAATAVGFKDVHVSDVDVWPVRPCRIFQDVLPNRLANSLT NSLTNSLTKSHSNRRLRRTVWMGWWDNDLLQPFHNRPATF UV8b_05372 MSLNPIFAFPDDMVLNMADNIIRKACDRCHSQKLSCKRVGDEAC ERCIRLQTECKSSPSLRYKKQQQQQQQQQQQPQHHHHHHHHQQQQQQHHHHHHHHQHQ YQQEQPPQPQAQQQAQQQAQHQQYPRQVQGHPNPNQQPPQAPQPQGPAAGIQPPVGRR SPKRRRTGSQLDLVVGEQDLPILQQPEDPGKEVVVAGETSLGPADLDFAQFEQLGFFS PPAPAPLPQGSLTVSVEAFQPITSFTEPWDHQLNQSNNDTFHPTRTTLPAAESNQFQT PVSSIDLRGGSIQGPSVIDKRRRSRFRSRPRQIALRHAAHAPIPKSNSPDNNNNNNNN IHWMAQLSEINSRLLDLASVLPQPQAAVCNIQVLGRAADEAYPDQGGFPIDEMFKLTR RVADVLDRLSACEQHGSGAASMDSSDPGNSMFVLSTYVRLLDMYQKVFSLVRMELSQA DSETCFRFWRLPDVQVGSFAVDPSPSLQMSLTIQLAEEFLARLRAATAALDPALNYGH SHSATGLEDCVNGKSMFSDVVGISYRAVKSKEESLGKHLAELRDEIEAFLDH UV8b_05373 MAMNPDRVRMLQENAQAPFEPPPPPPLDVTKIRITGANILALQR QGLGMDGSRDNQAVDPPGTRQAADLSLKRPLDPVSDKRVKRIRRHDGCDDAMQQPPVL APPPPSNEHTLYLTKLLRNRVLQLILEPSKRSAPTAAAAAAAAAAAAAAAITQPRRVT DAGLVLTEHIRNLSDLPILALPTLEEAHGSLYPAEPCLSRIAHGELLEDTIRPAGSVP QLGSVGSGQYSLLLDKHQNSTYWAIRETPTHETFVAFLISSFEPSVPKAVAAALAHQL ANRAPAPAILSHGEICPRNIIVDNNRLLHVTGWDCAGWYPEWWDYVKFFEARTSDKNS DWYEYAGEIFAEEFPNELAAYQGIIMAITNTAFAAENALEPETRTTTPIRRQQADPGD NVQEGFEAVM UV8b_05374 MSSALLRAPPFFRAGLRAAKPIASVASTGFVRNKATLPDLPYDY AALEPYISGQIMELHHAKHHQTYVNSYNAAIEALDKAQEKNDVTAAAAQAPLINFHGG GHVNHSLFWENLAPNGKGGGGEPEGKLLAAINEDFGSFEDLKKQTNAALAGIQGSGWA WLVKDKMSGTLSLVTRANQDPVIGNLQPLLGIDAWEHAYYLQYQNRKAEYFGAIWEVI NWATVTKRFEK UV8b_05375 MSYYDVNAILTDAEKVPCRFELDVPYLGHLDNSPALRAGTQLSL PLWLAELLALVSAGEGTRAPLTLNLPPCLSDRVLNALKADPRAVALRDQSAHFYGLAV RMLDLFEEKELGAVLRRTFVVRAGEIGLHARRADEAVGGRGQEFLRGLDEWERSLFRR GHEGVRGAREWTERVKKM UV8b_05376 MGPLAVFASGRESNAFMHPIVQAVCLVLDDWSMVEIVKSMILAD GAEPAWSPRPSRSSKFPPLLRLGLIKVLIFASRALAPPERAHFPYAAVERCARHVRVD GLSALIVWRLQRTRASERRRDEQPSPELRQGYAGRIPRVRRYQRNTLRRLGLGPDAAS DSNLPLRTARGMPDPGRTSHHLSPSSRRS UV8b_05377 MAPGAFDLFDFDSHLSDFDRASLYGGAASPFISFPYIQGALACV DQNGSVPRFGMHPSNFLSSSSWCDGQSHVRTAGSFLSPNQFRNNFVPPASVSTVSSIS AAEPDPALTGQNIFHDGSNGASKASLSFSQSCQTDDLFIPASLQPADVDDRWPINSSF ATAFLGIGWGFEESTPHDYPPSIPNTVAFLPTSISQPMEESLPGASSTSANFGGSNSD RCAKQGWDETVKNGKTNQIRAEIQGTASLEKSNKPSLPRNPNKPGKGPRLFCDCKDHP NGFRGKHELRRHIEARHKRIKKYVCRDPSAAGIALSAPVIVPFANCGPCLSKKQYAAN YNAAAHLRHAHFTPKPPRGKKKGPNDGRCGGKGGGTWLTMAELRPLIKVVKHEQGPSA DAFAHL UV8b_05378 MLTLAPCAPCEREDAKGCGKMRRTNLILLAATQMSAAKSLGFSI HDDMLAFPQFEVVFDKTHVSERDAQALVERGRQDATYAADFSDSTNGNGHATTTASTP AAASAPRSADSDEIVGFSYEILKMSPHRYLCTIPTIRPPGPVNATDNALAKAEEERER HRATVKGWELLHGLQDFCLYYVSGWWSYSFCKNREIVQYHAVAATGKSQVPKRDPNGQ EYILGRVPTLPATTGDEKSRKQRDANEPARLPAELQIKGDQRYLVQRLGGGTVCDLTG KERTIEVQYQCVPGLKHDKIGWVKEVITCSYVMMINTPRLCSDVAFQPPAGNPANPIT CQLISDISEASQPLLDQQTSAIKAAEEPKDGIGTDDGHQLTSKKHETPQITIGGVLVG GKRALSSGDEDGKPVKLDQLGNLFVPKPKILELIAEAASKAEGGKVKELTAEELKGLN VDPKTVKEMREKLKKLAGDSGWKMQLFQMHEEDEQELLGFIDEPGTAHGKIKSTKSKE KNQEGNGGRNAKDDKDPSKGRKDGASEEKKRDGEGSQERFFERDEL UV8b_05379 MEGAPSQPRQRSYPRAPSRSSSHTSASRVTSLSANSSSSHVPAS PQTSHPHSSRRFHHAQCSTGSPRPTSRSTPPLSTQDDSAEFTRQPAVSTFLQQKLSRE RKAEADKLNRSQSSLPRSNPDMSASTDLGRASGSPLKLSMSEISRPQSGAGLELGKKR GLGVKEMEQVISTLHKQNFDLKLELYHRRERQTTLEERLDDLENDRQRMEEVNDKLLV ELEKRDKAVEEAVAMIITLEMQVEQLFRERSMAERMENESFFSQGYEIGYRTPVAHGL GPDIVKMENDAKAVNRVPSFLSDHSEATENLRNVYLGTKGSSMLSLPRLLESSPEGDT LRTLGSPTLSVLSESSFASIYGRRENGAVGDAACTEVDETIVHDGDGPTLMKISTEHQ MSRGKAGSVPPDGAVVPRASSATHFPSITDLMAGSPLQRLERLDGSWTSQREEGLPQS RERDHPKTHHTASDAPSAAPGALGKDRRDTLRRVLTEGPGGVRLHDHGLPPTPDTISS STLQRLQGSDDALAHEQDVIDDSCNGVSLKSSVHDETWRDSHGEAVADQHQPELDSWA SLGKPPIESPFDHHRGPLIPRPRSADESTTSHGRGKAWDSDLDDDSDTRSLQSSLDIW MRESDQSKGRSRESPDLFSFPANVLTGNCASAASMLPIGAEAGRDQPPPGFDYMRDLF SLRQGLFGNAAPPPPSRRSSLQATTGSSEASSLNAGKHGGEKRPRSSATKRRSYHSRQ NSVDLGRRDDLRTPVQRDQFSAPPPQPSSEQRCKHYPPLSGQQNGTRVGLNRLFRRST SGTSTAPPCETSAFDINSAEPSSSKHMVGVPSWSSRASAVDDDRTGATPPPIMFNPRQ GRRNTLGAEVEAERAAAQDCSRSKTPNPAMAAAAASKEEANVESSPAAPLVAGGRRKW LSGFSRTGSSKNRSG UV8b_05380 MEEPLASLICLLLLTRISLATPTPKPLEKCSRAAVPDVCRKEDR TYSNEVPKPGNHMPWAQIPLQGIGFPAKQYITIVNLTPHRFVLDRSRTHSYQMDTFDW DDIPQGRARQNTAEYTKKLGKYPVDDAGEAYYSIAGTGKGFEIRARTHIPDQYPRRTI VDLTAMGLGQREYPDPGKETAVTLVITGSASYGFAASLRHGRGDWMKGLYGVIKDRQM QHVFMPATHDSGMSTISGRIDSIGTDVNTQTQGVGIYHQLRAGARLLDLRVGSVHRLD NPARYSFWTMHVSDEKAQVVVGNTGVSLDDVVSQVNMFTAEFPGEVIFFRVRYLVGVL ELPAGGPIYWTADMVDDFFGKLRRANNRCGNLDGRTKFNKRTASYFMDRNGGRGCVLF LLDGGNLKADVPQDSVADGIYRSSVMDLWDNWSNLPDTARMANDQVSGWEAVGRSGAL DNDQFLVSQWLVSADPVTTTLLSIQDIAILPTNPALYWMGVNHMSPERWPTALLVDYL GVVVANRWSWDQLSAEMYTLAVGMNLYMISENCDISPRRSPLLPRAGAGAGAGAGAGA APSLAASTGSLAASWNGIIYANGTVVDGPPETLHLGRVEMLKKGTRFLNGTVLRRDTR NPALDFLRGEPGGTSR UV8b_05381 MPCGRCVKNKRAPQCIYADADSSPAVEPPTSEKEQDPLSVIATS FINSQWNPLVQNGSHWHSLLQQMENYLPQWPSQRTGPSLQQEKPVSRMVPTINYPFNG DTVGRGAIVRVLAQLPPRPVQELFVSYYLNSVEKTYHLLHLEEFDRELQEFWEDPAAK AEDWLAQYFVILGLGCQAMNYCSEESGNEAHRSMPTGFLRSAELCLKRTPYMLMTSLA NVRTLIMIVMSKQMYAMSYHEADTCWPLTGLIVRLSIRMGLHRAAWKPYTQRSHEEKV KSQVWAVVLVLEMRQSLVCGMPLLLRAADISSVESNTSQTPSTGNTTLGDSRIDYGSF GNKDPIILTRLLAVSDDDLLFRAIELATSPMGGTPYSDVAAVDTSLRDKLYQSGIGLA NSHLEADKPAKDIDLEVCMVQIFFRQVFMALHARFSLQPNASTEHPVSYVSSLESALA ILAYQRGLCEGEKWAELSAWFAGFFRHEFFMAAMTVCSLLIRDLESTAVSSHTGFCET QPRKIMLDALQSCRDMWKKEKTWSVCNANAFALVDNLVCVLRHAHEQGCSIPL UV8b_05382 MPSSKAQPFLLFAKRPNAAATSSLPLTSANASRNRNNEKEGPPR AVEKMHDDAKNSTGLSSDELAEALRNHVPHSTEEKKLIRKIDTFLMPALWIMYILNYV DRTNIGNAKISGMATELDLDEGRYAWVLSIFFFGYLLCEVPSNMILSRSKPSIFLPTL MLLWGALSALMAVSKTYRALLGFRFVLGCVEAGFFPGVLYYLSCWYTKAELGKRFGIF YTAAVLSGAFGGLLAGAITGRLHDAHGVAGWRWLFIVEGSATVCVAAAAYCVLLDYPG TSKRLAPRERRLAAIRIIHDGLANDGLANDGRMGPWQAFVAAVCDPRTYMFLLLFMMD AGAGSISYFIPTITLTLGYESTTAQYMTVPIYAVAAVCVNLLAWSSDRAAERRWHIAA ALGVGFACSAGCAAVQNPLVRYMMLCLLAAGIWSALPLVLSWTSSTISLPAEKRAVAL ALVNAVGNLSSVYGSRIWPEEDRPAFRIGFGVTAAFLGTAAALAAAIPLLCRAVDRRT AAQNAAEE UV8b_05383 MPEIVHLQVGQCGNQVGTAFWQTILGEHGLENNGVYTGQDHIQL DRINVYFNEASNKKYVPRAVLVDLEPGTMDAVKAGPLGQLFRPDNFVFGQSSAANNWA KGHYTEGAELVEEVIDVVRREAENCDILQGFQITHSLGGGTGSGMGTLLLAKIREEFP DRMMATFSVVPSAQTSDTVVEPYNTTLSVHQLVENSDATFCIDNQALYDICLRTLKLA NPSTGDLNHLISTVMSGVSTSLRFPGQLNSDLRKMCVNLVPFPRLHFFMVGFAPLTSR GTNAFRSVTVADLSQQMLDPRNMMAKSDFRNGRFLTCSAMFRGKISGKEVEDQMRKTQ DKNSAYFVEWIPNNVQTSMCTVPPKGLKMASTFVGNSTAIQDIFKRVGDQFAAMFRRK AYLHWYTGEGMDEMEFTEAESNMNDLIAEYQQYQDATIDDDNDDAKEYEDEEPGAQPA EG UV8b_05384 MAKSTAEVRTESVRLSVTLSPQGTLVGEPSIVDLEDYEVSKGNT KALLRKIDRNLIPIITALYLLSWLDFSNLDNAKENGLTKDLRMTGKDDFNIAVSVFFP SYLISQIPSNLVMRAWRPSLWVPSLMLGWAMIITCMGFVRNFAGLLVARVFLGLFEGG VFPGIVYFISMWYQSHECGLRIAMMYSVAVAGDSFNDLVGNAILRLDGRAGLGGWCWL FIMEGSVTLVAALVAFRLMQDYPESATFLTPFERRLVMQRIDEEQGSMPKNFRLKYVK DALTDWKIWVHMLVTLGVTVPMFAISQFLPGIIEEMGYQGVQAKLMGIPPRLVASAFV LAGGFAADRHEQRGVFIIGFCLIGMVGFSILGTAKSLEMEYVATFLIAIGTFPIVPQD VAWNANNIGGSTKRAVGIAMQIGLGNLGEGLAGFIICKENARRFVSGHGILLGMMGLE QRERGDRASFFVLTT UV8b_05385 MHLALLAGLLVAATARAAPVATAVAGTTCGTVVYSGSAVQAAAD AACDYVLDGGEAGSSSYPHRYNDYEGFYFGGVPGPYQEFPILASGAIYSGGRPGPDRV IITDDCEISGVITHTGASGNSFVGCSGTS UV8b_05386 MVPLALASLAALILTAGAAVPDPAGARNVGNGQGRQFITGQCLS NTDCASGCCAQLNGGPGVCSGVGAQFQAGKTGCGFAGGGGNGTSTGTAGEAGKTGKTG KAGKAGKADEVKAGGKGGGSKGGKVNNVGCNAHQGGSGKGEKTRGKGKGKGKGKEKGK EKGKEKGKEKGKAEAKAKQAEQAARNATQSQLAGTGNGNGNGNGTGTGRLTGSYA UV8b_05387 MPQPAWNATQTQRNATPRLRARSLACLTFSPLPLLHAEAEPTQG PHSALDGFATDQHLRSAVGVGQKQPLSCVSQLSLARGVGKPARGGFPGMRCRETRRFR NAPGFTFLGRNNRCRMARHGRRSCRETRRKPVSPTTPGLYGAGAGAGAVAGPVASALH LDGLINGRSTEGIAQLGAPQVIAETTWRPSCFLFLLHFKFLLVKPALVPVFLIIHPHL SLC UV8b_05388 MSWVRLGARLCSPSCRPTGCCWHAPLRLALRLALRLALRLALRL ALQGETIGSQDVAGALLVGRAGQVTRGG UV8b_05389 MPSLSVVQAGIDELCRGPPLVAALAGGTTGIGSYIARALAAAFA GQGAKLRVYIIGRNVARAEALIADCQSISPGSQWRFVKATDLALIRDVDRCCAEIVRQ ETEEPFHGEPARLHLLYMTHCYPILKQRSTTAEGLDALLSTVYYSRIRFITRLLPLLA ASPGPGHVVSVYAGTFEDGTEPGESPIGCPPPAAYGIASVRKHAAFMKTFAFEHLAQE HAGKLSLTHVYPGLVDGPAFYSDDAPVWFRVVFRCVKPLLRLYMTSPRDCGRVMLYLA TSRYPAKGSIADPEKLLAGGVRAARSTQNEPGGGCYAVGQRAEATDKVSYERVRDSGT SKQVWHHTTEVLNQALAPNAGSE UV8b_05390 MLPPGLVPIGTDDDDDASKAPRSHAHTHRHTHARAAAGGGGVVA SDDALARTASPPPFDDDDDHGGDLLTAGEGRLLKRGLAERHLSMLGIAGAIGTGLFLG LGAAVATAGPLGALLGYATIGLVVCAVQFALGEVAALLPVTGAFVRHAEFLVDPAWGF AIGWNLVYGNILSIPSEITAICVLFELWTDVNPSVWIVTFIVLTFAVGISLVRVFGEV EFFFAVLKILLVVFLIVLGLVIDLGGVPGTPPVYFRHWRDPGPFVEYIAAGGWGRFLG YWSVMTGAVFSFAGVESIAMAGAETRNPRKAIPAACKNVFIRIILFYMLAIVIVGMLV RSDDERLQGGGGTTTQSPFVIAASAAGLPAVPSIVNAVVITSAWSSSNQSLLAGTRVL YGLAVKRQAPSIFLRTTSWGVPYVCVLLFIAFSFLSFMTLSSSAITVFWWLVRLTSAG VLVSWISILVNHIRLRLAMEAQAIPRCRLPWSNSWTLYSSYLALGTCIVILLTSGFAV FTKGRWDSSTFVSAYLDIPLVLTAYMTWKCVKKTKVVALADIPLHKAFERAEQKYESL ES UV8b_05391 MGAATEFYRSQIGGTSVINYSYTDFPVTLLFKDVYYFFVYIWAL PWIVLPLGPCGSGELDELYPSWNNMLCVSVHAILGILQLVFVFVLPVALVLPLWLSAV AVSAFLTLNWALCKLLNSKQVTFQSDEKYAKPRPGHAHEQWVFLNGVAVGEHWMKSNL NRLALTFGRPVLGIHNPTSGIIFDVVECLVQRNLTYATKNVRVSYRILRDILYDPSKS KVILILHSQGGIEGGLVLDWLLQETPQDLLSKLEVYTFGNAANHFNNPHRHVASQDLT DSNPLAALTTCFSETSFSSSADCPAEGTRRAVAGKHAHETLGPGASRTALAAKDRAIG HIEHYAHSTDFVALWGVLHFATNRMGSRQLPRFLGRLFSRATGAGGHQLNQHYLDGMF PLKRDAKTGELVGADEDNNAFMEEVIKFGKEGDSMAKTREAFDISYLGTDGFGSGDVS TPVEVHGVAGRRRIEAGVKVKELSRLWSYRNGRSPGEMPPLLVSEDGLVRNATW UV8b_05392 MAPSAITPETSPERHIFVKKLKVPSRSRRSFASPVPSILLNDGN SIPQVALGVYKAPNGQETEDAVTAALDAGYRHIDSAARYANEEACGRAIRRWLEKTGT PREEVFICSKLWDTDHGYEATFNALCDSLDKFGLDYLDLYLIHSPAEDEQKRLQSWRA LETAQRLGKAKSIGVSNFGAAHLKNLLQHATVVPAVNQIEVHPFCQREALVELCKKHN IKIEAYSPLARGNKLEDPTINAIAKKYNKTPAQILLNWNASRGNVVLPKSLTASRIRS NLESFDFKLHAEDVEKINALGSENYVTGSMHKSKD UV8b_05393 MSPPPGVVSRYETSAVYTHPEAKVDIVLVHGLNGDPQRTWTAGN GTFWPTDLLPASLKGAHANVLVYGYNADVYSKKNDRSASDNFIHQHAQTLVTNLTLFR KSEGTFRNPIIWVCHSLGGILVKRALLYSNDLRVTHHEDYRSIFVSTFGLIFLGTPHC GSDAATWGLVLQGMADAIMPRKFFESESVLLKTLKKDNETLSNINNHFLDIYQRFRIH MVHENHKTDIKGTKITIVDANSASPQLPGVTYYGVEATHSQMCKFASANAPGFRALST DIRQWVRDAPAFISMRWEAEEQEKASRMRNEVHERISPFMAPTRAIVGSSSSRSGSDI SNVSSLSLSTSTLSPAPGAFLTAPGPGGGAAAAQPVGARVVVLDEMHDLGKKFYMLYR UV8b_05394 MAPTDGATVAVMTKSTGPATEAHGKFQTSPVTSAADLVTEDDGL RPRAGIAATIIAVMGIMSWSWSWSWGWGGDEKTTAGPTGGRHLETVGTRIAGDMAARR ALQIKTVTESALVKVEAPPGAEGPCRPRKLHSRPNFGNSGALAAASNLVTRADGTSLV LKYHEPPEARKPPSRDQWKLFVFKGSEVVDTVELSPRSCWLIGREMAVVDLPAEHPSI SKQHAVIQFRYTEKRNEFGDKTGRVKPYLIDLESANGTTLNHDTVPGGRYLELRDKDM IQFGHSTREYVLMLAPRD UV8b_05395 MAILSSPFPRSRNIGRFGASDTGTDLPVNGLSWQSLAEYFRAKR AAAIPTRWTIPSLTLNEAIRSTQRPVDLLPRFLSHHEMQITALGAAELASKIRNFELS CIQVAEAFCHRAAVAQQLTNCLTETFFSEAMNQARKLDAILKASGEPVGPLHGVPVSV KDQIIVKGKHTASGYTLHAKGPVKEQDAPIVETLRNAGAVLYCKTNEPQCTMAMEAVN NIYGRTLNPWNSNLGPGGSSGGEGALLGMQGSPLGIGTDVNGSVRVAAAYCGLYGFKP SARRIPTAAREHCMLEQESIASVAGPLGHNVEDLELFLQVACDAKPWLREPLLRMPWR SQIRRMQSQKLAIGIMLCDDVVLPHPYITRVVTETAQKLQAAGHEVLEFKPYEHKKAW DEIQLPLYFADGGRDVKALLAGKEPILASAKRLLDDPNIRERTLHEVRKLNLARDLYR AEYLQHWADTAKATSSGQPMDVLICPAAPTQGTPHEVKTWWGYCSQWNLLDYPSGVLP AGRVRCTDAYPEGYEPANELDEENMKLYDIKLYADLPVTIQVVAPNQEDERLMGAMKV IDAVIRG UV8b_05396 MVSHRAQAQFERARWRTMILLPAWTLQLLLTMSMMGLFAWRLGD TIKHHGQRGRTAKVPPAMEFAWEATNVAMSFIAAVCTLVEIGRYVAEALTPWTMLFTH IIKLTCASAILALDVVVYSQRKEYQSLVGLGLDIALSVTAITLAVHAVLTYRRLSQLD DYIRPVSNVRGYGFNDADGAHSYPSRVSLRNSIDKRLSVGSHRLSFGSTSNEPIGLQN LQRTPAYYSHERDTQFDEYVARARRNSSNPIRPHLHPGASRDFRPVGGPDES UV8b_05397 MKLIIATLLAIAASVMAAPKDAFHDRFIRQETVVDTQIPAMTDK DGNVVPFDAARVNQPNTDPGN UV8b_05398 MATAAHCLTCFEVLDAHLEKRKPLSLSEVESSYALYVSSVSEPP AGAAPKLPALRRLAADADSSESSSAASPAWSSSTPATSTCSLPLAASSAPLFVTWNTV SGDGDASLRGCIGTFEAQPLAVGLPEYATISALHDSRFSPIARAELPRLQAAVTLLTD FEEVDDAYDWEVGTHGIRISFFDRGQHFGATYLPDVAAEQGWTKEEALQSLVRKAGWS SGRSKWKSLDLKVTRYRGSKATLNYPDFKKWKDWVASKQ UV8b_05399 MASQHDHHPAVPISITICGDGGCGKSSITLRLVRSQWTSEYDPT IEDSYSVTRRIDGTVYHLSLTDTAGQEEYRGMWASSNLGADAFLMVYDITSRDSLDAL QYFDDLIDMEAEIRRDNAQRARKAGVNPGHVNTGTGSGTKTVPPVKIVAGNKCDLQES RQVPAAIGLEWARKRGCGFMETSARLEVNIEETFALIVRRVVERRRLVEMGVWEKAEE MKMNARGMTEPLTPSPPEIESEKRDAGLRGPTLHGETRFGRGRGGFWKRLRCW UV8b_05400 MAHGPRSTLDVGHRQRGLGASGPSGTPNPNARKLLPSVSSDVAC IVACIVACIVADCIVADCIVIGAFGLTRLG UV8b_05401 MTASHDPAAHADVHAAANGHASPLRRADELDDLLLAVRDLIVPF VRAADDAAADRQTGRLPLNAAGRPHNVLVQSACTGPDKLAASLNFSLPADGRGKQGLL EAIANILRYSVNTWDQGFMDKLYASNNPVGVISDVVLSVLNTNLHVYQVSPALTVIEK ATARALAHKFGLTGPRAGGVTCQGGSSSNLTSLVVALHTLYPDRKTQGNGQHDFVVFT SAHGHYSVEKSAMICGIGSANVWKVPVDNGGSMRPDALRELIVSAKKQGKTPLYVNTT AGSTVRGSFDPFVEISSVCKEFGLWMHIDASWGGPVVFSERQKWKVQGSHLADTITIN PHKMMNAPTTCSFLLGPDMKVFNKANSTAAGYLFHESSDGDFWDLADLTLQCGRRGDS LKVALAWLYYGANGFQKQIDHAFDMARILHKLIGQTGNFAMVSPDPPPCLQVCFYHAP GGRLSDDAAANTSRTQAIVKKLMGRGFMVDYAPGDVGHFLRIVVNAQTLVGTVEGLTK ALDEVAKEVEVA UV8b_05402 MPKPRTKRGADREERKRKRREDEDGPGKRYEPKRKRTKDSSNEH HDHEQHHHDRHHDHRHHQQDGQQHGDVEFFGMLTDEEQEYFRRADEMLGLNQFPTPGE REAFLDGVFAEARGKELKLASSQSCSRLLERLVQLGGTPRRKSLFRAFGGHFASLVRH RFASHCCEALFLRSAAAVSREMTGFVVDTQGARDGAGDHDGPEASMEELFLAALDEIQ GSLGFLVTDRFASHVLRVLLLVLSGRPLEDASARTLVRSKRKEGVTVAATHDGGGGGG GGDGDDYEQTRGPRPVPASFVAAVRRTIAGCTAGLDGAALRVLARHPVGNPTLQLLLE LDLTTPGKADNHGRGGGGGDDDDDDNDDNDGGGGGSPSLLAQLLPGAPASLADASSEA SEFVHGMIYDQIGSRLVETLVQHCPGRIFKPLNRHVLLPRIAAYARNDVACYPAIRVL NRLGRDQLVEAVGQVAPTVPQLVSKARFDVLRTLFERCAARGAPDQVRTLTRALKDAC GHQPDALVASLCRLRREGHDDGDHDDGDHHHHDGDRADRADRAARADRAARAAQSHGA QLLAAMLSAGPGPAKAVHQAILATPPDRLARLAAASMPAVTLLTTALATPSANSAFQK ALVAALSPRTCHLAASRFGHNLVNAVAELPARGKDLSLPLHVKEAIAQALLSHEAELR QSWMGRSVWRTWKCDLCKSRRAEWKAWMRETDQPQPQPQPQPRPGGREAKDADAKHKR HKRKKGAIEAAAAAEEL UV8b_05403 MPRKASIDTKYMDDPLLTEKTARKTERSHEENQERAYIAASRRA DRSIEARVQSARMASEIHKKRTGKGFKITEEIVMKEEMYEEEDDDLPRSYRLLSANMQ TSSPELNSRLDAYLSNKVAMSQMLARTNEEWRENEINKLFAESFPNAVPAAQHMNQFQ HPQQQQQQQQQQQQQQQQQQQQQQQQQQHQYQYQHQHQHQQQQQHHHQQQQQQQHVPA NLPMYQPQSTEPFSPANYAPAAYSPECYSPTSFSPAPQMAVPPPTHHPILASISYHPR TNLQRRRSRASASPSGKSRRGSSKSLSGLSKVTKADVSVARANPALEHAVDSAVSPLT PDEGGLPSVSAFTTELPPEARMMLDGVGTDAVLNQTLANQDNMQAPHNWFDPSMLFDD SSAFPRTTPMDQIDPGFVPEFYGVDAEEPMAKCVMPNNDEDWRSFLNEAAWGPEQ UV8b_05404 MSERGRSPTPVPVRGTSQSGSGPPGSRPGTPAPSGPGASGWKAG PGYDPAKMTTHKMIENTRMELPPDAFVLDEQKDVFALRGNKLNSEGSKAIIEVNQYRM TKFDFNKKIYQYDVIISPDTETKSALMKKIWTNSATKAALQNYNYNSWLFDGRKLAWA PGMVNKGELRFSVNLDENRQPAGAPVRESARFLVTLRATTEVHIAALRGYLDRKIQFN TSVQVAMNFMDHLLRQWSSSRLIAIKRNFYNPSERGRPLLEGHVLEVHKGTYASIRMS QNLNFGGTGLALNADVTNTVFWTGPQSIDQLVCNFLGLCDRRWKGLNPTSVSNVLRPV QGRDGKWQSSDAFKHLRKMRRLKFTVKHPNRPETNKVYSLMDFVFHEKYGPDGATAKT VTFDYNGNQTSVADYYRLKYKANLRFANLPVIDAGKGGYVPMEFAIIEPMQRYSFKLN PDQTAAMIKIAVTRPQQRRADIEKNVADLKLSEDPYLKMYGVEFERGFTKTEARILPP PSVNFGQGNADPKFSGRWDLRGKKFWKQNVAPLQNWAFIVMDNAVNFPQLQTFAKSFR QAFLGHGGKCPSDALLLNVPGNIRNDIAQAIAWAHSEISKQRGYTQLIFVVVQHKNSP HYERLKKSADCRFGILSQVVNGSAVANNNGQYHSNVCMKVNAKLGGATSRTMPPWKSQ PTFFPKDRPTMIIGVDISHGPVGGSAPSTAAMTMAVDRDANRYAAMVESNGYRVDMLT PSNVHFMLGQLTKYWMAGHENAFPKHIIYFRDGVSEGQFAHVLDQEVREIKNYLREKA PKAALPKFTVIIATKRHHVRFFPQRGDKNGNALPGTLVESEVTHPFMWDFYLCSHVAI QGTARPVHYHVILDEMGVAVNDLQKMIYQQCYSYARSTTPVSLHPAVYYAHLAGQRAR AHENIATSEGFRVGAKGHEMIRDQIAKGHTMGGALRGTDAPPLLVLGGKPEADRPPAE GEMRQREFFRGTMWFI UV8b_05405 MAAGNSTWEGVGSAGEYDPLAPPSLGPDENAQAPAEMTDTESER VDELEENDEDQDDACEYDPETVGFANDLNIPDTSASGTPSQRPAAKPKMSGGFLVEVS DDEEEDDDSHQQPPQVRNQSIAHTSSVTNGNTSLPVADALPRAPPVMAGVDPVALLEA RIQEDPRGDMDAWLNLMANHKRRSRLDDLRRLYNRFLEVFPQAADIWVEWIEMELNLD NFVDAEQLFGRCLMTVPNVSLWTLYLNYIRRRNDLTNDPTGQARRTVTQSYEFVIDNI GCDRESGNIWQDYVQFVRNGPGQVGGTGWQDQQKMDQLRKAYHRAIAVPMSSVNSLWK DYDQFEMGLNKVTGRKFIQDRSPGYMSAKSANIALDNIARNLRRTNLPRLPPAPGFDG HDGFHAQVELWKKWIAWEKEDPLVLKDDEPKAYKQRVLYCYKQALMSLRFWPEVWVDA AAWCLENDVRENDKPLGTEMLLQGISANPESVLLAFKHADHIEANYPEKESGKPEYAK AVRKPYDVVLGTLYKMGDKVKEREKLEVNTLKQAAAQGSDVQESIEPFDDDDDDDDDD EEKPTRKSPTEERIAAIQQAYAAETQLLSKTISYVWIAMARAMRRIQGKGSQTEGGLR KVFTDARQKGRLTSDVYVAVALLESVVYKDAVGAKILERGARLFPNDENFMIEYLKYL HSKDDTTNARVVFETCVNRLTSKPETLAKARPLYAYFHKYESQYGELSQILKLEARMA ELFPDDPKLKSFTCRYSSESFDPVAAPIIISKAVQMRPKQLAAPPIEHPMPARESIPP VRPEQSPRPQYVRATASPKRPFGADDEELNPPKRLARGVSPLKGAAGRRLDQQRRNQT SALHRDITFLLGILPPANTYDAQRLLPPAMVTLLRDTPLPDYASWKAKTGGQYLFTAP TQGRQTAGDFGARPMSPYGRVVAAAATGYRMASPLRTENLVGYAAHPYAAPDGTGAAP IWQPPSGQYGGFRF UV8b_05406 MSVVSLLGVTVVNNPAKFTDKYEFEITFECLEPLEKDLEWKLTY VGSATSDQYDQELDSLLVGPVPVGVNKFIFEADSPNTSRIPDGEILGVTVILLTCTYD GREFVRVGYYVNNEYDSEELNAEPPSKPIVERVRRNILSEKPRVTRFAIKWDSEASAP PEFPPEQPEADLVADGEEYGAEEAEEEAEEETVAEASDKSNGQTGEDAEMAGVEHEAQ NENENENENGHEEDEMSEDGSVDIEGESEDELEEELEEEGGQGQAGEEEAGEEDVMEV DQGDKQTSASVSKPVAAAS UV8b_05407 MPTMLQTPSRASTASSSSFQLLSRQNTMSSYDGSRSARQSKRYS MSALYMSMSANECEMQIEDDLAKAQKVLRDLKTKISSQSKKNFVLEKDVRYLDSRIAL LIQNRMALEEQNEVASHLEDTAEVQQGVFPNDDKTQKYGNLIFLLQSEPRHIAHLCRL VSMAEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTHQFDHTPDYSSLLRANTPV SRMMTTYTRRGPGQSFLKTVLADRINSLIELKDLDLEINPLKVYERMLEQIEEDTGSV PANLPKGITGEQAAENPQVQAIIEPRLTMLTEIANGFLATIIEGLEETPYGIRWICKQ IRSLTKRKYPDANDQVICTLIGGFFFLRFINPAIVTPKSYMLIDGTPAERPRRTLTLI AKMLQNLANKPSYAKEPYMAKLQPFIHQNKDRINKFMLDLCEVSDFYESLEVDNYVAL SKKDLELDITLNEIYAMHGLIDKHYQELCKDESSHLATIVAELGSSPPQVPRKENRVI KLPLFSRWETAIDDLTAALDITQEEVYFMEAKSIFVQILRTIPQSSAVLRRPLRLERV ADAAATSRNDAVMVRKGIRAMELLSQLQELGVIDKSDQFDLLRDEVEQELQHLGSLKE GVIAETLKLEEVYKTIRDHNTYLVGQLETYKSYLHNVRSQSEGTKRKQQKQQVLGPYK FTHQQLEKEGVIQKSNVPDNRRTNIYFNFTSPLPGTFVISLHYKGRNRGLLELDLKLD DLLEMQKDNQDELDLEYVQFNVPKVLALLNKRFARKKGW UV8b_05408 MPWWKQFIGLLKHEQEAKQDISKQTSSVGWMVQLHGLTDSRPRF ILDGKFLQQQSVLPGPLADGHLIQQK UV8b_05409 MACRSSLRRVAFTPALRSFHSTPRAFVKVGDEVPNFGDLMEKSP GYNVNLADEFKSSNGYIIGVPGAFTGTCSSVHIPSYIHHPRLKSAGQVFVVSVNDPFV MKAWAEQLDPAGETGIRFLSDPSAGFTKALDLGFDGSAVFGGVRSKRYTLKIEGGKVS KTFIEPDGTGANVSMAEKVLD UV8b_05410 MDSDEEYMSPMSSDDEMMQEYSGDEMSAAEDFEDDFDEPDPDFG LSPKDLGKAKQPAHIIPFKVYEPSDIQRQQDDMINEVNMILDMQKEDAAIMLRHFRWN KERLLEDYMDHPEQVLEAAGLSRQSFSLPKLETIPGFFCDICCEDEEGLQTFALHCGH RYCVDCYRQYLTQKIKGEGEAAKIQCPSDDGCGLVLDSRSLDLLVTPELTGRYRELLN RTYVEDKDFFKWCPAPDCPNVIESSIKKKDLDRIVPSVECLCGCRFCFGCPNSDHQPA PCDLVKKWLKKCEDDSETANWISANTKECPKCSSTIEKNGGCNHMTCRKCKHEFCWMC MGLWSEHGTSWYNCNRYEEKSGSEARDAQAKSRTSLERYLHYYNRYANHEQSARLEKD TACKTEKKMIQLQTTSGMSWIEVQYLHSAAQALQTCRQTLKWTYAFAFYLARNNLTEI FEDNQKDLEMAVENLSEMFEMPIQELSDPKLKVDIMDKTSYCNKRRVILLEDTAENLA KARWTFNPDLTNASPAAARR UV8b_05411 MGVSGLLPLLKSIQRPTELKKCKGETLAVDAYGWLHRAAYSCAV ELGQGKPTRKYVNAALHRVRMLQHFGVTPYMVFDGDFLPSKAATEESRARKRAERKKL ATEFLQAGKSSQAAHEFQKCIDVTPEMASTLIQELKRMGIPYVVAPYEADAQLVYLER QGLVKGIISDDSDLLVFGAKRLLTKLDQYGNCMEIHRRDFCACKEVSLTGWSDTDFRR MAIMSGCDYLDGLPGIGLKTAYRMLRKSKTPERVVRMLQLEGKRISENYLTQFYQAEL TFLHQWVFCPRKGELVHLTELDGSRTAEEMPFIGAHVEAELAKAIATGDLNPITKTPM VIVTTPSKRRHSQTSIASQPLRNPITSYFKGHNRIPMGEMDSNCFSIDHQRVAQITDG GLVPRVFPLPRPYVDNLDGITDFNVGAQSPYRPEPPNRPKKKARLCEGDDEDVGSPKK SKFFPLSKAKRSPVRSKSDAYLFSDDSIEDSLRALPDFEGWNSASKRDQSIVIFDDGS QTSGRGTTTSQGTSQGTSQGTSQETSMSIKSPNRDDKPADAAGPGPASNGMPPPPLAK PKRQSLTTPSRPNLSRFSFTSTPASSTSLQSSVFSTAPTPSTAPSTAGLRLTPLQRLG ARANHASESPRRPPQPRTKIGKENAKGLPANPSFVPLPKVDVAEVEALNRQCGSEDHM VPDSDGEAEEEAELPARKLDLSRFAFS UV8b_05412 MPGAKLIVEARHGMQRWRQFVADEVSRGGRTLKTEVDVVVRQRT NIACLDTANNRFRTTNESRRTWRKSATERPREQQSSRN UV8b_05413 MPSSLQKTRKQIAKKRNGEVNALHAKSRDSMRLHKAGVRDQRLE KLAAARARREQPIVDRVAFFQQCLAEKDNMPFGVEAVQSSIQKFIHQYDEEYDSIKKV RRPGRPASAREDILKAKMGALEIEYQRGFVLPDFMSDQSAKLLENWKGEWAYLATLSW IKLSSAGQVRPAEFPSKGIS UV8b_05414 MFALRRFARSTPRAMTRLTSASLRSGVARPSSLVTTRVVSVGPA ARAAFSTTVGRRAADGETDEELSAKLESEIQIEEEMRAQEQQPASIQDFLENTPFKLL DTPGQEVVKLVRDFGDEKITVSFSIADITSYDPYSDEAALEEEEFEDAAQSPGKQADT SGRPEEEIEEAEDELDDEAAAPINLSIIVEKPGKTAGALNIDAIAQHGNIVVENLFFY EDAKVAKIESPEYAQKRADVYPGPPFGSLDEDLQVLMERFLEERGITQALAVFVPDYV DAKEQREYIRWLNQVKGFVDA UV8b_05415 MTQVSPSFDKQICTVHIITDRAPFCPHGAIDAGLIRLFQNDFKL RIKTSVSIPRQDSIEPCAPRSASVQMARPGKGQ UV8b_05416 MSSSTAPSKVDAPDETQNDGSKLRTFLGILKKFIGVSDLASVRF SLPSQLLEPTPNLEYWTYLDAPNAFVAIGTSDEPLDRMLEVIRFWLTKDLKYAKGKPC KPYNSCLGEFFRCNWETEDNAPRIETAELNFSASGSDKASLRSFKVPPKSDKSDKSDK SESNVSLSVPKHGTSTPDSKRIRVSYLTEQTSHHPPVSAFHIACPDRGLTARGFDQIT AKFTGTSVKVLPGEHNMGIFISLDKFGGETYQLTHPAAHLGGILRGSLSVSVSEMAYI TCPETKIKAILHYVDEGWLGRTTNKIDGVIFRYDPENDDKSRVQDVAEEDVLARLSGP WREKVVFTLGSKPLKSVPPEHQYTIIDLAPLHVASKILPPEDKQRPNESLTLWGGVTK AILAKQYSKATDIKVELEEQQRAKAREREKNNVTWQPVFFEHTVGNGGKPDLTAKGKQ VIERAQKGEWSMEGIA UV8b_05417 MSSFLRTKQSGISNDLSASIRPELFSPDDQARYGINSQISCFGY DPVQSLLAIGTNESKFGPGKIYVFGQGRVQKVLDPPRSTSLLFLQFTANKLVSLDRNN EVSVWDLDSGSRIASQVIAGQVVSLVTDPMLDWAFIGLQSGDVVSYDLDRNSLSRAFR LPNFWRERGPSSRAATLVCMAMHPRDIGKLLLGYTHGAVIYSFKQNKAVHFFEYTLPP GAPGGSSISVDTWRRPRLTHALWHPSGTFIVTAHEDGSLVLWDPKEAKLITARTLRNL SVEKPTPTTANPTSSEPFGNVEWCCKDNCDDTGLLVSGGEELGTSSRNLTFLELGLTP VYATSSWQVLAEYFRGKRQITLSLPPGAQAVDFILIPRSSPHFAGAQDPVAIITLLSS GELITMSFPSGYPISPTNQLHPSIFFVHPFVTKFNVSSLERPRWLSMMEKRSQGEPLL KGGAQGPRPRKRFEERTIIQAAHGDGTIRIWDSGHADEIENSMQLQVDVARALDRYDD IDVSAMSLAGNTGEFVVGTRTGEAIVFRWGANRFYGRDQPQRLDPNPKGLTDISSRAE STLKEGLQPFVLYEMMQGAVTAVQVSNVGFVAVGSELGFLTLIDLRGPRVFYQAPMTD FSKQDKRSSFFKRDHHHASNDAPKKEWPVVIEFGVLTLDEDKYSSICCFVGTNLGKVM TFKLLPSGDGAYSAQLAGVVAFEGPIVSLSPIEINTGKPAVATGAIVASLREGKQIHG ALVAVTQSEIRVFKPANSKGACREFDDVLCDAASVAELELQGFAVVAILGDRTARAYS LPALKEIGKANLPMIDSSRITSAVVTPTGDVFAWTGPSELAVIHVWGTGKALQQSPDT MVNPKLESPPRPTISNLQWISGTQYVSPLDLDLLVGGPDRPASKRMLEAAAAERRAAA GGTATVAAAAGSSQESWGQYLSRQLTERTEKLNIMSDGMDNLQQQSQGWADDVNKFMG KQKRNLVMGSIKRKFF UV8b_05418 MKRIGFVHLLVSWGTCLAALMKANGSDSLAHCPGYKAFNVTTSG NHIQADLRLAGARCNAYGKDLDNLKLEVAVETSNRIHVKIYDAAEQIYQVPESVFPRP KPIEHSEEKDALKFDYEGNPFSFRIVRTHDGQVLFDSSAAVLVIEDQYLRLRTHLPDE ANLYGLGEHSDSFRLSTSNYTRTLWNADVPSIPNNQNLYGSHPIYIEHRKTASHGVFM LNSNGMDVFIDKGEAGQYLEYNILGGVLDLFFMAGPTPVDVVRQYSEVAGLPVTIPYS ALGFHQCRWGYRDVFDVVEVVYNYSKAEIPLEAMWTDIDYMDGRTVFSVDPQRFPMNM MRELVAHLHASKQKYVLMVDPAVAVKNYGPYNNGVKDDIFLLNATKDIFHGVVWPGLA AYPDWFANGVQEYWTKEFSRFFSPETGIDIDFLWIDMNEPANFCDYPCLDPIAASKGY PPPAPAVRIPPRPLPGWPCDFQPPGTSCKKLNLNLGQRASLSREQKHAPNGQTSALFE AQSSGAQVSRADRRKGLPGRELVEPRYKIHNTWGPLPQKSINTSLIHHNGLCLFDTHN LYGSMMSAVSRAAMLSRRPTKRPLVITRSTFAGAGAHVSHWLGDNDSDWPHYRWSIRG MLQFASIFQVSMVGSDVCGFNGNTSEELCARWAMLGAFQPFYRNHNAEGQVDQEFYRW KSVAKAARKAMDIRYRLLDYFYTAMMRQSRDGTPAINPMFYIYPEDENTYGLELQFFW GPSLLVAPVSEQGSTSVDIYLPDDILYDFYTHEQIRGQRRAMTKANQTLTDIPLYLRG GVIVPLRVKSAMTTAELREQDFELIVPVGKDGAAEGSLYLDDGESLKPDAISSIEFRY EKGRLDMDGSFEYKTKAKLTKVTVLGAKTPNGWASTCITLDKPLEQPFSVKLW UV8b_05419 MAEKLQSIAEDGSHHVNPENRQPPQPAEHGTRFRDSDHLRRALS ARQVQMIAIGGTIGTGLFLGTGKSLATGGPASLLLAYAITGAIIFLTMLSLGEMVAFI PVAGSFCSFSGRFVDDAFGFALTWNYWFNDAISTAADLVALQLVLQYWSESFPGWAMS LVLWAALIAVNVATVKAYGELEYWLSLLKVITIIVFIVIGLVVNCGGNRSGHYIGLEN WHLPGAPFVGGLGGFASVFVTASFSYGGTESIAITAGETKNPTKTMPRVVKNVFWRIL LFYVLSVLFIGLNVPYTYPNLSTKKSSTSPFTIVFEMAGASAAGSVMNAVILTSVVSG GNHSLFAAVRLLYTLSMEGHAPKPFGKLNRNQVPWVAVLGTGFISGLFFGSSFIGAGQ LWSWLQNLVGVSNQLAWTAIGIASIRFRAGLERQGKTHLLPFKNWAYPYGPWATVVLN CFLVLVQGWSCFSPRFDAVSFLSFYIELPIMLAMYLGWKFIKGTKIVGLEEMDLVTDV YTAEETEPEKKRWQSRAERVLGWLF UV8b_05420 MPEPGTGFPQHGQPLPTADCRPPRWRRRPSKPPGKTRFAIPKSL DRETWPSLQGCDKATKCGRMVSTTADFQAHNEPFRRLFMLSFHRQCIRAGTLHGSCRL HVRNR UV8b_05421 MDSSSTRAEQGSTTSDVTNGRHDKAPLKGHESPASPQLLRWLHD EDQPVTETMQRPREGTSPAATCQTESPSRAPPDGKDRDVDLCHIGAQFYD UV8b_05422 MTESTNNATQHHRSACTECQRRKQKCNREWPCNHCQKRKVADKC SFASGAAQPGPERGSIQPATRKRPFSHEPYPETATSSPWDDFGSDFESLGYTASHLFA GLSTNQTRKSKAVPKSYNQYYLDASSCPQLKRALQLLPPRPYTDSMVQNFLDNVNFHF YILYPSSFLDEYRTWWSLRSENRPLGLQWTCLLLMVCACSTQYTDVELERKLEMDLGS PTRKLSEQYHNAARELHSVIPVGNNHLLNVQALLHCSYWCQSQGQFVEGWHVLSAAIR EAQELGIHQETVTGHMSEFDREMRRRIWCILDTWDWQLSALLSRPAIVDRTDCDVGLP VLTLEGYSPSPLLHMKLFSEAIRLVSKRFGTTKNIVQPADVQEYQRILETWMSTFPPP YRFHHFDESNDSSRPWIVLHRHCLRTMSLSMMLEPFRAYLTKPMSMQSRGEELMVRSD GIDYALMLMDALHRFFDHVFPRDAEFHFVLFCIFDTAAVLCAALMHDQDLSIPRRDDM IEAIDGAVAMLKRLNNVTRTARSSYQVLVKVAGRIARPTPASRRGSDFPRPGSKVKDL ALTPPSMSKAELAVASDADPASADSHAHYTTPPNEPFMYQAPAPQSTSSSYYYNSSDG SALYAPPTTMAPMFDRSVLSQPQPFDGMPMTGYGVEYPAMYMEYPAMYMDMTTPCADD LYSAVNLGPISEGELGDLAALWNYESLNLGFINPG UV8b_05423 MSSSKRRKLDGQGHPPSPANAVSAVSAVSAIAARRRLGASAASA ASAVQNKETPAQSLGPSTNSFSALQSPKPNRQAARCPKKGGLQASSRRNDADQPSTLT PLKSTSRAADVESPDEKSQSSASQPQIISYSSFQLIKNNHRVNADGVLELMLNEGERF LMLGSFGLRVVSGAATMAGAILRASDTIYWAQAPQCLALPVIRTIQDSTLEIHNDPNS NNLRRLGRLSPLFRRIWNEGAGKDNATAANRSFQFIGCANDVPNKSAIQELASPPAWN KMLASMAPAISAGRPWTAFVCGPKSAGKSTFARFMTNRLLTSLGDSRTTRRVAVLDLD PGQPEFAPPGTVSLVSLSRPNFGVPFTHAAFDDPGNLIVRCHSLASVTTASAPDLFLA CAIDLYETHHRSMRDCPLVINTPGWILGTGLDLLVELITKIHPAEVIYMSEDGPADVV EVLRDATRTNFTALPSQPSEFMSRTAAHFRSMQAMAYFHSQPSIGAKPTSCSSIKWSA RPLSTMRPYSVRYQGKNSGILGLLMYDFQSSPDLLAASIDGSILAVVEIEEAAAFQGL GSISGQVGDAGPSMAADITVLRTREGLPYMENPSDGTLDPRYCKTIGLVLVRGIDTEA RCLQVITPLPLAKMEQLRSDGRSVVLIHGHFDSPHWAYAEDLHDKSYADELADKEVEA ETSDGETSGEDDSSHRTTTQAHAVGAHAVSTVPWIEVLKGSEKRPVGSRVWRVRRDLG RNNTE UV8b_05424 MPPKGPFKVHSLSKHIEDTKKGLGAEAQYNKRPAARAAPVIKKE RGAINKTIFGGDSEDEDGSVSSSSSSSSSSSSDDEGGADFLRKLAPPAAAPSKAKAKP KANPKPKAKPNKAARNDEIADSDDERKSTAKSRASVSTALSVKGEQSSSDGSTSRSES ESESESDSEPGKGEEKGTANKKPLKSASNPSSTSTSTSTSTSTSASASASASASASAS DSDGGESEGGDTPDADSESSESERDSESEKSSEKKADAERKPAKRSADGDATNGASDT SSSEEKSDDDETALVARRSGKRKDSSSGDSDGNDADESMHMADRRDDGPTAPQDFIAP DFVLRKSNDGANGQDVARICSQANTQGKHVWYFTVPANLPISVVQNMEIPLDQFNQGD RIFSHAGEDYGISFDNMTPKSSIQILIPSADGVRYQSGPRPVEQVMHVKRITQLGDTV ASTSSVGSAASKQAGRPQPKGLKARYQPFGVSTSMGQIGDDSTSEREEDTEMPDAHVP AARSSSSSSSKPAQAKGVGKEEEEKTEKRKKKKKRNEESDATRKGKRKLSSEDEATAA AEQLKEENLSAESKAKKQKTLRNASPDLGSDERSCSTVKRQTPVLPPTIPCSQPAATT TPAKSCKSKSRKIATPATAPRRTAAPAPSVPGKVSPVLVPTRVVPPKPLTDKKEDGKP RKRKDRTAIAETQQSPPPSAQGAKSSKNKVTPVPAPVFKASG UV8b_05425 MARDQLHAADHGRLSSRTLPESKGKKITRLSTPLLPLLQNETNM VADFAGMTASMLTAEKLVEHANLRCRSTDDGSWRTSL UV8b_05426 MKDLAGSAPGKPVLPSLWTENLTIAFLNRLPNRYHVSRRRPRSK SKTITPGAEDITTLHTSFNFWDGLRDLQKHKWKQSDLQYVFLLALTLFSLWIAPPAPA LKFFALLAASWILLMPATRQFFLPSVTIWVWLVYFFCSRFIPYDYRPHIWVRVLPALE NVLYGANLSNILSAHKHAVLDILAWLPYGIIHFGAPAICALLLFIFAAPGTAPVFART FGWMSILGVTIQLVFPCTPPWYENQHGLVPAAYGMKGSPAGLEAIDKIFGIDLYTTNF SSAPLPFGAFPSLHGGYAVLEALFMSHCFPQFRMFFIGYVGWIWWATMYLSHHYAIDL VGGGLIAAGFFYSARARYLPQRQADKITRWEYEYVEVGDRHRVADEEYGDQYFSLGLL DHRRENSSDGWTLGSSSSCSSSSGTLSPTISDDTVPGMMIMDMSSNGHLWDGSAPPRD VELSEVVVVRQPPN UV8b_05427 MDVDSSKQPPLPQIQPCRYKVGKTLGAGSYSVVKECVHIDTGRY YAAKVINKRLMAGREHMVRNEIAVLKKVSMGHRNILTLVDYFETMNNLYLVTDLALGG ELFDRICRKGSYYESDAADLIRATLSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLL IADFGLSRIMDEHKFHVLTTTCGTPGYMAPEIFKKTGHGKPVDLWALGVITYFLLCGY TPFDRDSDFEEMQAILSAEYSFAPVEYWRGVSGHAKDFIRRCLTIDHARRITAHEALQ HAFVARQQPADGAGAGENLLPTIKKNFNARRTLHAAIDTVRAINKLREAQHLMDGARS REPDRGSAPHRKDADADADVPLCKEDSGYGTQHDSDVAMRDAHSTPLPSTTTTTTTTT TTTTTTTTVPASLQPGNQANKVIQTSRGLWSSPTSNR UV8b_05428 MAGPILAALRVEFGLAMISRSPSTPSRPVLRLAQSSRSALLSVS VPPARLIRYDAFDAQFDQQVLAEARSWFQNFDASTLPRGSTTYARSSGPGGQHVNKTE TKATTVYSVKDLLSRLPENLHRAVRSSKYYVASNDSLTFQNQSHRSRSANADENRQKL VDEIARIYRLTTPAETSGEKKNKHKMIEKRFHESRLAQKKFTSAKKQSRRGPPS UV8b_05429 MIEPEAEMQASASLSPVSPSPVHTADALIVPALQDTVDTINALV ADAAGAPEGSPDNMALDPVLANPTGNHDGGVDDDSLNDPYSDDADHVADHVASRQIHA QPEASDSPDDYAKTFDSPMEPEEEDALDNEPHVSSSDPPQESYQVANASQPLMVNHAP ETPPAVAHDPIATSSSTLAALMNQQSTPPTAAAAAAAAAAAPPTAASAAAAAAAAAAA AAAQAESVVNPPAPASAQPIEDQGQKQDHQAATHSKPIGGPESSVSESRPQVASSSSS PSSSSSSSSSSSSPLDIQKLVADLTAQTTEPMLDLDPSVITVQAEPPTGSASLPSSTA LPSPSSLPPRPPQPHSASPSYASQHPLVGAGSSTSANPAAAHPAHPNAGQAASYLVGS APGTSTTDPVASLPPPPAGLTAPPYSTQTAAAPGYSNDQGHDGDYQRQWDQFMADERQ YMSEAKWDRFPEGSRIFIGNLSSDKVSKRDVFDLFHKYGRLAQISLKSAYGFVQYHTV EEGQRAMENLQGIEIRGRRIHLEISRAQDKSKKDRNRSPDRGRGRDGGRRSDKHGHTG RDDYRPGRNHSPRRNDYHHSSRDDGFSGRDRGYGESGRGNRGRSRSPGYGRHDRDSYR RRSPSPHGRSRPEAELDLPRRYGSDVPDVQIILQPDVNRDFVNWVDGAFKAKGLKTEV MFLHPRFPKDQVIQRQAAEGVHAVVDLDIRAQSLGRIPAQVFDRSNGNSNVRFDQYVD LDPGTAAEVILRAKASAAAAAAATAVNYNQGYGTGAAYPNPYGAQHQPAGYNGAQRPG AYPPAQQTAPASAVEIASLMGQVDNATLQRLLSTMQGQGSAASGVGGFPHGATGAVPP PSNSQLDIQALIGSLGGTNAQHPAPQVPYGAASYGAQPAQHAGGHSRAPASNGDAAAQ VQNIMAQLARYRQ UV8b_05430 MGWPYEFLTRLTHQEALLRRQSIDLYACIAHYSALVPAVVFLLY RILQRVVKHERARHGSASSDQGRYTAVPGSPTAKAGQHGLLGQTARRMGMAAWWLRDD VVLAGCHWGQRDEWLLGALWTGWLLVLAISGTGKDYFHLTKRFGAIAVSQLPIQNLLG LKALNPFAWIFRSSHEHVNRYHRVLGRIIYGFLLTHLLLYNAYFIAAGVWPRRLLNPV VFFGLLASFGFHGMTATAVRAVRQYSYRLFFITHLIVALSVPILLFLHASSTRLYVVE AMVVFAIDVAVRRITAMYAPSVLETVPGTSLVKVTCSIPAHRLASFRTSPGSHVYLSI PPSSRTMAVPSSKSRVFDFLFNPFTVAAVDDDEGTISLISRTRNGPMTSALSDLSSAM SLAPTAPAAESRKIALCIDGPYGTMTSRFKDLLLWGPSRVLLVAGGVGATFIVPTYQA LQNDYPAAKTQLVWSIRGAGDATWAVSNSASGKGLLDDPDVHIFLTGDMGVDDDNDNA AGGGGGGGVGAVEMFELRRVNNNGRLSAHHNRRRPNIQKLVDDVFRHGQAESVAVLVC GPEEMVREVRLRVRPWVMRGRSVWWHSEAFGW UV8b_05431 MSAARYPSPTRKDTAITYKKQLSAYNITVRQGIELPEVLAKFVS TLQQSRQQGASPHAQAILDTRRAAALENETTARKMLDENILFRGEAHPDGIKGLTLKD QVDLVGDFPLLGRRVLQCQSDGAYSRSLNLTVASGIQNGTMSSTRPIRTSRLLTARWK AGLSGENQVHAALQAARDGALIVNYKCQFYLRAYPERCPSQLETCHFSATTETYTCIL WIHWRESGPNDDEVYLRMEEVETARMNKLHDLQELRKVLHNYLLLNMPWVKKTQSQSS MTDNICTCYAVLGQAFDAFK UV8b_05432 MSSDYALSSAHKEMLEKSLLDSDPEVASIMKDEIQRQRESIVLI ASENITSRAVFDALGSPMSNKYSEGYPGARYYGGNQHIDQIELLCQRRALEAFHLDPE KWGVNVQCLSGSPANLQVYQAIMPPHGRLMGLDLPHGGHLSHGYQTPQRKISAVSTYF ETMPYRVDLETGIIDYDQLAKNAILYRPKVLVAGTSAYCRLIDYKRMREIADSVGAYL VVDMAHISGLIAAQVIPTPFQYADIVTTTTHKSLRGPRGAMIFFRKGVRSVDAKTGKE TLYDLEGPINFSVFPGHQGGPHNHTITALAVALKQAQTPEFRAYQEKVISNAKTLEKT FKELGHKLVADGTDSHMVLLDLRQHSLDGARLEAVLEQINIACNKNSIPGDKSALTPC GIRIGTPAMTSRGFGEKDFTRVAHYIDESIRICKQVQSALPKEANKLKDFKAKVASGE VARIAELRKEISAWTSVFPLPVEGWRLDAGI UV8b_05433 MSISNGQTTWQPLAVHHSHASRSLNHVDDGSARTQTPVDNAAGM LPEAPVDIDEENRRALFADLYRKTEEKVALLFAEDGSYNCNAIAALKRRAPTPTATVT LPPTTDHEPINEPPLKRAKRAIDEDDYDDDDDDDDDEEQDDVPDAPAAAKPQGSATSA ATNPLLSPSKSGSSPVQSLHSPGRAADKPKPSQEDPQARGKLAGNDDDAIKKLEEARS ATEAAARRSFHTIIYTLENDRTAMLEQQRLEDSEKQLQAEMDNNQSSGAGGAQASYQG SLSSANLGASSLTLKHLIARIDMKRDQVRASDAELRLLMNEVRKNRSKWASEENVNQE ELYEAVEKVLTELKAHTEYSTPFLTRVNKRDAPDYLSFIKNPMDLGTMTKKLKALSYK SKTDFVTDLNLIWDNCLKYNQDMNHPFRRMANGMRKEAEKLIPLIPDLVIRSRAEVEA EERRKQNGGEDDGAEDSDDEPIMSSRGRKATTKGTKSRTAPHDLKEDTPVVDQKPILQ LNGLLGKAGREGSEVDGSGLSTPPVGGTATPLGALNGLSGMASNADAMDIDGPSLNGM ALNQAFGDAEGQAHEDEEYKIWKQTTKRDRALAAKERHLLFMDNKLNADAPALLRNRA GMRWFLKHHKEAEALGISAGSISGLAVGADQELSANKQPETLAEGIDEEAERVMPDYY SPLTSVPDIKANLQWVEDGDGQVINQHEEFLRLVPSGSFVAPKSRLTRKMDENIRQIQ ETRKLATKISVIKQMQVQSQVYTNQFPKPNTESFVEQDIEPHFVSDNGPVMAADVCQN ALKRSVAKILYHTGFEELQPSAIDTLTGIAADYFQKLVRTFNTYREADRMTVRTPGGV RTQARFTPEEVILHTLDESGHDVASLDLYVRDEVDRLSSRLGVLHERMKLHLTDLLRP AVAPDAGADGAGAFKDGSDQFMSGDFADDLGEDFFGFRALGLDREMGLDSFSVPFHLL HSRVRNQYQMQTQSGAGSESTDIFEPPPASEPVTKNSIQEQIGLVKNFFLAKLHANGD QALVEDEELPVKQRKPRPRLGASGKIISAQKRPPREQLALAKKKKKMELAAAAAEARG SNAGAGAGAGAGAGAGAGAAAGAGAAGSANTTPAKKKPMTITPVPVPNPALLALGSSM ERSESAQSHAATSQTDKDDTIVMMSPESIAQ UV8b_05434 MRIRLPFAGVFLLLLLVAGYAGLSQLQVEHYVNDKFMHASTFFL LTVAFYWVVDTSRRRTLHMTLFVCALCLGVGSEFVQSFLPNDRDFDLYDIVANVVGSL AGVGLCSWYHKRMLDRKRQRKTYNAVPGDDAEDLELGDGQEIGITSIEAAASSQARTL EQEVDNWDENAPDEWEDEDGAGSSAPPPYKSKESGAADTGEAKKRTD UV8b_05435 MASTGKAPASSAATEPTPTNPRGIPSAPFVDNVEDYVATRQDVE PTLRSFQEMISKYQFMELNLQRRMAGLKGKIPEIEKTLDTVKFLKLRKDATDAIETTF EVNDTLYSRAKIPPTDEVYIWLGANVMLSYPMEEAEELLTSKLSTAQTSLSNCEEDLD FLREQITTMEVATARVYNWEVVQKRKERSSKEYEEKSSST UV8b_05436 MLRNAARKLRPRPILPPGEPTLTVSFSPRTSVPNDAALLNTPPE IIPNRDITQHRIVLHEKTCPNAAVHQRESRPRPKAKLPCNPRQDYSKVSLDFLPASVL LQKVLGEGQDAEIWRERVARFRSVRLPIVLLQEMMRQGPLGVTSASNFDEFLPTQGEI DSVLKHPSYAKHKLNYYVYVLRGRDDDERCRRYLENPPANPLFIFHFIARPSSKISSM GILHSLIETCPLHFSEGVLTEEIPREGKKKSTKGPKVDQMNFLLIMRQLLQHCLRIEP RFVVRLAEVASRYIRHIPTYPRPQRKSYLLQCGVFNACLHIFRPQSRLQAVQKAMPSA YFWEAQRVLLSMSAGLQQPLLVGRDGFRAIRDVLSGQPKNSTEMYSSARHAPGWPPYL QPSHGMDELADPEDNWSRTVSAGMLMQEAGFAKDDFDDAVDIMQGMALDGAPTIQQRS SLPTGRNIGIWDASINATRNAQEAWERFNSPPQEGMKPGPSEYASMFRKLVLREAEPD RWLLPGDKSLNFPAQNEANLAEFERARVRPPSVSELYQRMMLDGIAPQGTCLRILVAN ADSMETAVQYLRDSPEGSRAVRALASDMPDSQSLSMVSLSLFAAYIQVCLRVHGPRGK NQLARAIRLAKTRLTKVHSRWVSFIWGLILKDLSQHHKALNMSLAEQLSMILRVSEIM EEDSGVQLATFTQFNKCIRKATRREVDRVFAGHAERPSQTDVGFWQALYYPCSGVKSE NAAQSRHGGTVDETDVGEEGKLAARSIHLLKQAGNKMKKTFQILAEREKDVQKYLETC QVTPLERLNSRRDAIRSDDAHEYMLTLGYLGEFAEMGRLLEWLMEEWALSDVVSTLNE LDEAPPYADFCETLCVFRLVAEPMLGRKTVALLTQKMADSRLGWVWPDDEAIKAYADL HGDESISMLGRLVQSAGVDAGVKQGAASQT UV8b_05437 MLFSLEVAVTTYCLLVAGFAEAGPLKRAFNVARRGDMVAFGNSS YSSSASASASASASTGTTVPTASGPSPIFETLTGTTISIPESLLPAAHGRASSETQGS YSGPLSVIPVTLPSIPPTAGQTFTSSAAALSSSSTTAAEPPSSSRLAGPGQSFTGNRL FICRSQRHSIHECICNCILSCILSRIHYTSERGYDRPVFDHVVCLRAECERFFVSGHN YQRELGGDLDTKCGKQFQQHYVRHSDCNEPGTVYRRTIKRPSDDCIDQLVRSPPGTEQ QPPTGSTTATTTSAFTTLSPSVAPGDATSQTAGVSEAPPKNVATTRSNVSTLTQPQPQ DTTALTTSSPSSSSSSSPVIDTFTPTGTPNGNVPTAITSLPTGTSTVPSEIYASNISQ ARDLNKLYSSLTPQSACAERQMACINGRMAQCSGGGFNLTDCPGTQKCYALPMMNTQG VKLGCSDPAEAQRILGNGFDLGGGSSSSSRSSASRAVPTTLRTESKRPVLTRTRYVTV TDLSSTSSSAQPADLLPSTTEHAPTSTAAAATTSTSKLPPPLPPPPPPPASSTSSTQP GRIVITQTFSTPGQARTSQLPSTTNDVLPTPNPNSLLLIPVELSNLIPKPAAPTQESN QVAGNGGGGGGGGGGSGGGSGSLNGNPSSSITGAPRTTVVSNGTPTVSVFFTVTVTEK ERITETVTATLTLPVATSG UV8b_05438 MDRLPSLRRKPKPPAIETSVHRTSSEAGESTMTADVAACLAPQP RPTTMRSPLRNLKLRVTAKRARTQSPAARPSSSPIAAVFSQDGIAPRSTTQEASASRA RSRPVLPTFLNLSPAEIESKFLELTWAERSRLAQGARPDADAPGNVRWGLFRQTDAPQ RGIMDRYINVKPWNHNRVKLNVSEDELDYVNASTVTLEPLSDKSLAPLRYIAMQGPTM PSFNYVWRMVAEQTACPAVIVQLTSMTEGGSVKCDQYFPDDAEESVWNLNEDDVWNDG WKARVTNDSRQELADGAIEKRKLVLHVEGEAEPRIVWHFLYTRWPDFGVPTLEDMDSF LALMEISREHNEPRHPRIIHCSAGVGRTGTFICLEHLMRELDAGTLETNETDAGKLPD LIYSTVDNLRQQRRYMVQSETQYRFLYDVMRKLWLGRYGAALSQGRDADGTGTGEPAA KRLEVAEGALSDERDGSPVSKNLASSKGSSRDDAAS UV8b_05439 MTSSMLPLRHAGSSTLRWASRRQRLTVCRQRARYSHGTQHDGTR GQGRQSNRLTQAAAFAGVFGIAAAATYYYPKLKDGLSSPGESSEPSKPQPQPKFEKAR KQPVSKEDNREMISSQHLQVKNSWEHPGVHAWGSNTGRVIDPDSKDKYIKLPRRIPYF DNQLLRDLKLTQNFAAAIDEQGNLVQWGLGFSQADPTPTTTLKGKDLVKIQVSADRII ALSRKGDVYSVPSSRDDLEGGVKQNQQRSYWSLWNSGSSKEAVNFRTLTPTNLNLAWG EAVTDISSGLEHCLLLTSKGRVFTAVATAAAYPSRGQMGIAGLTWQTRPKGPYDQPQE IQSLQGFEAKAIATGDYHSVILDKLGRVFTFGDNTFGQLGFESDPGVSYSATPLMVSV DRLYASSGMAPRVTSIAAGGANTFFTVDAASPTTAAESRTMVPARRMPGTVCDVWVCG QGVKGTLGTGKWTHVSSGPTKVKAFSSRFEFDEKTNKNIPIRLKALSIGSTHCAAVMD NVTKTDASAETSENDTNWGADVLFWGGNEHYQLGTGKRANLNSPTYIGPLDRGLGDAD HGRKGETHRLCLTPRQTVRIGESGKGRKATLEQKVECGKLVSAVYSAV UV8b_05440 MYEDSWYNFMPEISKKPAAPTQPQTHTHRRKESLLLQLNGDVKS DSRLERLSNVLDEPEDANSPPKPTVVRRAASYSDFYHVLPTQLSKGDRPRRRKKLGKN DRSWEALLLHGEAVLDRKPSIAEPRDDGLDKQLLDDAQHDYLLYHDQLSIIERHLDGL VGDANDALALLTSLSNQFQAVEAQTTTFQAQCEDLLQEQGRLEKLAEDVGTDLYYYVY LDTATRRLNAPGASRLIDDDGFGTMIDNIESCIEFMDEHESYRERDTYLARYNALLTK ALHLLDHGFSARLDKWSSEVARQIAAATTDSARHALAYGRFAEMITDSYALLPNIQKV VRRAYDEYGRAVPANPSAASVFATSATNMFHTYLTTRDRDLKPLTQHDLEDYQKAVKS LPVDTASRNYVKQLFERMYHEDALFFKIFGIEPVWSTAPSSAFQALKAINTTMVHPGH VAPLASSLQAVLRPAKVETVCSVVGWLANEFSLSEDDEDETYSARKYREYAARLLVDH LWPFTDTVFEAEIAKSITKAVVQDGDLKIGPVVDGAASSNAYPLVKKAIELLSMFDQA MPKERSSKNSSVVFKIVRETIQVLQKAEARIRSLKSAADPDLFMVKNLLIVKNELVSL EIGDIRSHPQSMQHFVHIWDTLSPANWLGFFGSILGGSIWSRGAPTVTAKTLTEEDMS EQLDELLRQSIYAFTKRWATLINDAQNRKPGVKPVAKVEAELEKLLDTAFSNQPEVIA KLKEAIQLNAQAQTNANDEKRGAKRY UV8b_05441 MRFSLTLLLATCALSHQAVLSKPQPAVAPALWDGSCFYPVADEG FTLDSYAGTWYQVAGTLATFTAGCRCIHAQYSVNKDGSVKVVNICERNGRLGSITGVA TLADARYGKTGVFQVHFPHQRPSTCPGPNYIIQEYKKDLAIVQSSNFSNVFILSRSPQ VDEATLSRWIERAGELGTSPDKIVKTDQTGCTYK UV8b_05442 MATVEMEVLEGGTLQTAKDLFSGAMGGIAQVLIGQPFDIVKVRL QTTNQYSGALQAATSIYKHEGALAFYKGTLTPLLGIGACVSVQFGAFHSARRWFEARN AAASPGPHETAPPLAYSQYFAAGAFAGLSNAVLSAPIEHIRIRLQSQPHGRARLYDGP LDCVRKLAAHRGVLHGLYRGTAVTLCREATAYGAWFTAFEYMMNADAARNRIDRRDVP AWKIALYGGLAGEALWLASYPFDVVKSKMQTDGFGAQQRYPTMRSCFAATWRADGFRG FWKGIWPTLFRAMPVSAGTFAVVEMTMRAIS UV8b_05443 MTASRLDAGQSLDTRVEDFLDDKLQTTADLDTLNELLASVETQR NQLQSQLDDAIKQLEKARGTANDRHVSLQRQIVEFNQLQASIDTRVKIAAASDAPDEA IARLQRPMEKLKNVELAQKYLILLRDVGKLQAQARSHLPGSPKAALEPYSKLKALATK LRTLPGNESLHLVDHVQRVTESLWDEMKRTMSEELEAVLAQRHWPRVDPQSEMDDEWI ACVEKLIDLQMPEIIHSSDVVSLLPFDVMAAIFIAEFRFHFLSDKPTSSPQSFGTHCF PWFLATIEKWQDFFRDNLGHLLGAKFSQTQVSQQRTVHLDPVSAFVTSMLPIMREKVH EVAQEAIKTPAFLSVFISQLMALDDNIRSRFNFDFSDGDKGWHGLAEDVLNCHFDAWF KVEREMALGRFEQILESQDGRKIDYDYAILGKMKPTYAAVRVTDLLRAVAGKYERLRK LKYKIRFLTDIQLDILDGYHDRLRGSLEVYQSITSTLGRTLHGATKEQMVALEGTGAL ETLCKVIGSSDHVANCLNEWSDEEFFVVLWQELQARDAQRSKRNSAASGIETSNVVGR VPSSLGEDADDSGIFDETVSAYSSRRKAAEQLLVQALADSHAKALRTYVNKVQWTTVG DAAVLDDPAQLSVTAELDEPLRILKRNLDFLQRALSTAAFRRVWHAALDKLQDLLWNG VLLKQSFTALGAAQFAHDCGAIFSLVERYISGGSGVFDLLREGVQLLNLPAATAGMAD SDGDGGAAGLTLKEASDRAFTDNDEARKVLGMLGLEALTPVNARSILQRRVENNENIG W UV8b_05444 MASGTSSGSSGPRPKFQLGWQRYVPFLGYHHVLMIFIALAIILL SLLLSGCSSSSPMIPDIFLLSLYYQKYQPTPDTAQVDYNGFNAIANIAGGASLQARVG YFGICVNPDGGSWLCSNNATALAKEVAVDQDPLNLIWLAGQFKEMIVFPYLIIVAIIF AFICLLLLATFPGWHEEEDSEGSEREVKPFPSRFTSQIAISIIFIASIFILVSVLWQH TASVAASVIAQDFANGSVKSGVGTSAMVMGWFSFTLLIVVTIGLLIMILSARLLRDMI UV8b_05445 MSQEKPLPFVYQFAAGAIAGVSEILVMYPLDVVKTRVQLQTGKG TGVESYNGMLDCFRKIIKNEGFSRLYRGISAPILMEAPKRATKFAANDEWGKVYRKMF GVNQMNQTLSVLTGATAGATESFVVVPFELVKIRLQDKASAGKYNGMVDCVVKTVKNE GLLTMYQGLESTLWRHILWNAGYFGCIFQVRQMLPKASTKQGQMTNDLISGSVGGTVG TILNTPMDVVKSRIQNTPKVVGQIPKYNWAWPSVLTVFREEGAGALYKGFLPKVLRLG PGGGILLVVFTTVMDTFRKWHS UV8b_05446 MQDALETVDLNTALSGTVASNSGNESSTIVNRQNEVGQIAGIIT GASETQSNDGCGDLMELDPPLAHSDLEDGAGQVGVESVVGMNGTEQLGGILDSSGESS PSATGIPELEPEDNSASEHIHNDSDSDSDSDQDLHTWEGACLQDIRKLQSEVAALEEE LNHRRGRGGQRTEITWPEILRCYLTQRFGELPEARFRILQNALCFDSKIHALSRLDPF QPPCLESAPAEDESDYALPRLLHRFHTRHAKVSLTNATQPNDLLAPLLGRFAGGIKAK LQRLQHIEILWLGSQYLTHKRSERGKYTSRRTQPLMWLPEAIRLKTIKIYLPESSERY RRRRHEPSGVVGFMERITQRQPNYRMYRCLRTLQGLDYVYCLRGIKKISFWDYTTKYH IRDTTFQLDVETTVKQPKTADDDQRSQVRNLAPLLANYDPPNILWQVMYTCLPKPGNR EDRAGEVGDLRGWDPSNASNSSSEEDSSSGDAEDHTGQHGAGVTRGCQSRSTSLVPSQ GNDSLSMMDRSKSGGVEHAAGAVDNVSYNESETQAIEDVNLGLVIDLTSDDDHDGSVA AECTGSTSDARSAETGPAFMPTSEHSSMSPNRETSISSEQSAIANEASPSLFVSDIEF TNDGNLFSRSPTEAREQSALKNEASPSLFVSDIGFVDLTVEDGMLFSPSPTEARGAN UV8b_05447 MSKVMRSVKNVTKGYSSTQVKVREATSNDPWGPTGTQMSEIAQM TFNTSTEFYDIMDMIDKRLNDKGKNWRHVLKALKVLDYCLHEGSELVVTWARQSIYII KTLREFQYIDEEGRDVGQNVRVAAKELTSLILDEERLRAERSDRRTWKSRVTGLEEYA PHHAEPPSPRRARERRVQVSDEDDAEYRLALEASKYQEEEDRKRRENRTDDENDDDLA KAIKLSQEEDERRRRELEESNAASSLFDVETPQPSSSKQVQYTGFNQGYQQGNQVDFF ANPIDQNHMMSQPTGFMNNAYTGFQQQPQPAAFQSGYNQSFAVQPTGLGMDSYGLQQN GTANMMGFQPQATGYNPYAQPQQIPQQQQQQPSELTPQAGNNNPWATNKAQQQSLMPT PTGSNNPFAQNRPSSTKPTIPSLGTLPEQKSLTDFSSQKQQQQQQPSVNPPQKQMSDY QSRLNNLLATGDGMDTYGNTGELRIPAQHTAPGQFANSAGSGVQRLGADVTGNPYMRQ QFTGMPSVTYGSQPTNGQGSNNPFGPRPQQANSYNQDLIQF UV8b_05448 MNSHTTPRPFPGRSIGTGPIQQHPFQQNHQSQQQQQPARQPLAP QVSNNEDYGSIADEDREHIDEVFDLMDMKKKGWLNSYEFKHSLAALGFDLAKPDYFRL LESYGSVPPEWQNQQSCPVNRYYVYLDQFRLCAAKLIASRDPREEAAKVFSMFDYDQD GFISVEDLRHLAQEIKDERTLSDEEIQSMIDHLDHDGKGGVSLDEFMQMMEEAG UV8b_05449 MSFGGFGGFGQNNNSQQQPTGFGGFGANNNNNNNNSTGFGTSSS GGFGQNAASGGGLFGNNNQASGSGFGSSTTSGGFGSNTGGGFGSKPAFGTSTSGGLFG GSSNNTTTNTSTFGGFGANNTSSNTTSSPFGGGSNTSSGIFGANKAGFGAPNNTGSSL FGGGNTNSGGFGTTSTGFGATGGAGDPPGTASTPFNAYTEKEPNSSISNSYQNILFQE PYKKWSSEELRLVDYAQGRRHGNATGGGAFGVSSGFGGFGSNTNTAQPTNLFGTSNAP AGAGLFGSNANATGNSSSGFGGGGFGATNQSNAAGSGLFGGANKPAGGGLFGNTQTQQ NSGGGVFGASGSGGFGTPTTNNTFGANNSGGGLFGSNANKQGTGFSFGNNNNTNTTNA GSGFGSTSGSAFGSTANTTGSTLFGNNANTSQSTGAGLFGGGTQQQQNTSSGFGAGFG GQPSQNQQSGGIFGNQQKPAAGGLFGGAATGTTSGAGVFGGNNAQQQNTGAGLFGSTG NTSSGESLFGNKATTGGGLFGGGSTTQSATGGASLFGGNNQPQQAAGGGIFGASNSQK PGGGLFGGSAQGSGGLFGSQPNQASGGSLFGAGANQQQNQGILGNSLLGSTQQNNNIP QGLTANLNDVSAYGSPSLFAGLGGNEVTNPGPLATPLGNNSKPRKSSILPMYKLAPAT AASRFGTPQKRGFGFSYSSYGTPGGSPASSISSTPGTMGRSLLGPSSNGSLNRSISTS NLRRSFNAEDSILAPGAFASSSNTRWYGSTGSKKLVINRDIRSDLFSTPQKDKTEGNG SVRKLSKRVSFDTSTAESQDETPVRNALPPPEDTPTSQTEEATPRQSRNTNGVNGSQI PETEHVKGNELAIVHEDDNSATPEAQTQAPLGFGNVPGKYWMRPSREELQNMNRMQRL RVDNFTVGRDHVGYIKFKVPVDMSNIELDDICGGIIQLDPRSATVYPVQAKKPPVGKG LNVPAQISLEQSWPRGDRDKRNTSDPKRVNKHVERLKRIPDTVFESYDKETGVWTFGV EHFTTYGLDDSDEESSDADDGDDEEEVPSPAPDGRARNVHDQDGAFGNAPRGEDTPSS RKNQALPGAFDDQVHPYEAEDVNENSFLGISSADSAPSNVRLSLEDEYASGMGEEYES SDDEDMARSSSGQHLAAGLDDASSENDQDSRRGTPGGILRARMRAVKDSAGPVTVEVV DGDDWMEMLRKTVSPVKRDRQLLREMNETPSKLGRLDNSGDYLEFDLGKTSIWGNNIS KDEGKRGHISTQMANDKGLGFATSIDLMNSLFEKPKPTRQNPGATVQAKGFPQWPYER QEKHLIVDNEEKAYHDAPRPTWGPDETLVLTRSLDGAQAGRGWRESSDMLQFQQKGIQ TEKQDLRLAKFTTETSKNILHSQDKVTEIKLVDGIPMAALRATSLGDLFHGHETNDAA NIYEKRVWELASILFDDMPGVNQGEAEDLARKQRLSQFWTGLVEQASTTNIGLAGSSE EKAVASLAGHRIPEACKFLADGKNFRLGTLVPLIGTSEVAKTEMREQLRAWHDSKVLS EFSEAIRTIYELLSGNVCVCEGMKNVPVEDRMESFVMSKKFGLDWRQSFGLRLWYATS RRDSAALAVLKFKDDIKQDREEHDPQPWYREQGLKPVWEDANEGSRQDLLWGLLQLYA DRTTDLEAVLRPENSRLSPLDMRLCWQLGQGLTSTGRVSFGAQGDEKADAATIAYAAQ LTAAGDWLEAIFVLLHLRNTGARAKAIQEQLCRHAGLIGPDNGTTYAVLTEKFLIPGA WLWQALALYMRSVKHDASAEVHCLLRAGEFVEAHQVLVRQVAPRAIIERDYATLSCLL SQFQGRVESISQWARGGEIYNHFLSLVAHRGRGESTPPALLEKLLAGLNVMNEMAPET EIMRYAAISDMADDTAREMLKLAQKKRDTEFRARILDLPLTQDRMLAYSVDLSLDRYR EVMSH UV8b_05450 MAKPKPKDWPDSLPYLDRPLHDRALPPAHLQRLRTKPPPSSSSS VPSIPAPATPSPYPHVRIRAIRDAAHPAHGQRGLFAARALAPGSFILAYLGRVHAGSA SSAESDYDLWLDREADVAVDAAAAGNEGRFVNDYRGVGARPNAVFGTAWCERWRELCV GVWVAGGRDGRGVGIRKGEEILVSYGKGFWGGRRCGSGGEGEDEGEAGGGASGQ UV8b_05451 MASAQAMEEELQQSVLVSEEGDYQSSEGGGQAASLLSSSHKNDN DSPMFESEDEELSDKDASGEELDQDVSGEELDQDASGEDDNDALDQQIVVGSAAAGDA GEYDEDAEGDEDLSEGAGAVKDRPVESKGDDMESVSSEASLAEDDEDNEESDERDEDE AAWKNGDAADEDEDTDAAQASTCMFCKQDEENDPSEDFEAFLVCVRCGENAHQQCARD AAAMTEENGVKRWNCPECKGGGESESEADEDADITEGVSDEEDGKPAFHTSQQEEAAG EVVGGQLSNGSLNDGSEVDDDLALDDFPALTPRPPRKRKSASGEPDDTVSATRKRRRK KSTDLTHHERLARDSRSAEPSRGAAAAAAAAAAAAAAAAAAAASRTMRLKASRQSQVT VERRSRTSLVLRMLVRPGNLKEILSRKKRTRRRAGGGSNARPQQPRATPARPRPAAVP ALISTMPTPFTSESYSQPFYSFFDKETEEMKGRPYGGILTETEADTSKTLPTSEDRKK FEEAKQKAEDEWRARVLALQAEADLPVRKPKKASDNASQIECIEFAGWEIDTWYAAPY PAEYSRNRVLYICEFCLKYMNSDYVAWRHKLKCGTKHPPGDEIYRHESISIFEVDGRK HPVYCQNLCLLAKLFLGSKTLYYDVEPFLFYVLCEFDSTGYHFVGYFSKEKRASSQNN VSCILTLPIHQRKGYGNLLIDFSYLLTRVERKTGSPEKPLSDLGLVSYRNYWRLELCR YFLDYMDDGKRRREGLSISKISEDTGMTADDVVSALEGMRALVRDAQTRLYAFRVDLD YCHSYVSKWESKGYYQLKASALAWTPYVTGRNNMVNFELGHPLNTIAPREDDEAKVQE GHSLTCGNNGGSSSTNGASHGASSKADSQPQSPRQDQGQQGSNQQGSNQQDSNQQDSN QQDSNQQDLNRQDNNQDNPQALEPVHSVEKTGLDGKENAEPAEESTEDAAGRTDAEEV GAWMMPYKNIPPSRFEIFPPIPGSRRYDRNRISINRPSAARTPSGSSTRPKSRPPAAG GGGGGGSGAGAGGASKPRQRNANTRRKTGGTGRGPGRWPKGTKKSDYGNADSGPGLPP GWKEKQARLQLLAEGGGDGGGGTRLAAVEEKPAQDEVRVLVSAVKDQDKGENGQGAGL DARSAESGSEAEAASGGGGGGGGGSNDAHVGADTGGG UV8b_05452 MPVPFETLLPYAIMIGMFGVTGTGLAVVKTWRNEGKRPRYSLDQ WDRQMMERDRRLTGTLRGQTDRPEAPPGFEFSNGWKLEKRFI UV8b_05453 MPPATCLRCGNRAVIKRSKNHHKLCKDCFLSVFEDEVHHTITSS KLFFPGERVAIGASGGKDSTVLASVLKTLNERHSYGLDLVLLSVDEGIKGYRDDSLET VKRNAVQYDMPLQIVGYDDLYGWTMDQVVETVGKKGNCTYCGVFRRQALDRGAKQLSI RHVVTGHNADDVAETVLMNLLRGDLPRLSRSTSIVTGSASSEVKRSKPLKYAYEKEIV LYAHHKKLDYFSTECIYSPEAFRGTARTLIKSLEKVRPSAILDIVRSGEDMARLTPDK GRDACGCDEGEGMGGCGSASGGTAPSDFTQLEQSLKAQREAAKLETEITSSDTAARDG SVKLSPHDWRGQKEQERTSRPLQKLGQCIKCGYMSSQDICQACTLLENLNKNRPEVSI UV8b_05454 MPPKTGKKVAPAPFPQGKAGSKKAPKNPLIEKRPRNYGIGQDIQ PKRNLSRMVKWPEYVRLQRQKKILQMRLKVPPSLAQFQHVLDRNTAAQAFKLLNKYRP ETKVEKKERLLKEATAVKEGKKKEDVSKKPYTVKYGLNHVVGLIENKKASLVLIPNDV DPIELVVFLPSLCKKMGVPYAIVKGKARLGTVVHKKTAAVLALTEVRSEDKSELAKLV SAVKDGFMDKHDQVRRQWGGGIMGPKAQMRIVKKQKALEAATKI UV8b_05455 MAVVHVLDDYYLGITALVTVGYQLFFFAIAYACKFDKLTDLAGG SNFAVLAVLTLSLSGHHHARQLVASLLLIVWAVRLSGFLFFRILRTGSDDRFDGKRDR FLPFLGFWVFQMVWVWAVSLPVTVLNSPAVTRYAQHAFGTGRDVAGVVLFALGFVMES VSDVQKYRFRRDRDASAVCDAGLFAVSRHPNYFGEILVQFAIYMIAVSPAADGYVAGQ AYKALYATILGPVFLTALLLFVSGLPLSERPKAKARYDKNNNWDEYKRWLDRTSILIP FPPRLYEKTPTVLKRTVFLEFPMYVFDPATQGGREEEEQTLSGA UV8b_05456 MATLGLDDDELKSVEHMVARLAQLSSSIQSLKLDILKSNPLPHP SSLQASAQIIQRNLQSVLDSLNANSDLFTRMAVRPSTNYPGRTQENILTQLLRKKLEP DVEELVLQGRETAKLATAEGVAELQSIWEELREWTHGRIAAYVRDEAGDVYTKEERNL GVQNVRTGLKRALDDESDDDDDDDDGDDDDDDGGDDDADGKDEVGDGDGNDDAKDGEA ANKGAEPETLLWFAARGDFEVPRNVEFERKLGIIKRGLEGVNIPPRGTEMALS UV8b_05457 MAPDIATLRTLPAPAPGQDGEKPNILYIMADQLAAPQLKMYNPE SQIKTPHLDRLAASSVQFDSAYCPSPLCAPSRMSMISGLLPMKIGAFDNASQINSEIP TYAHYLRSKGYHTALAGKMHFVGDQLHGYEQRLTSDIYPGDFGWAVNWDEPDTRLEWY HNASSILQAGPCGRSNQLDYDEEVMYRSVQYIWDHVRQGPEKRPFALTVSLTHPHDPY TITKEYWNRYEDVHIALPRVRMPKEELDTHSKRLMKVCDLWGQDFSDAQIRRARRAYY GSVSYVDDCVGKLLETLEAAGLGEDTIVIFSGDHGDMLGERGLWFKMSYFESSVRVPL LVNYPKWFPPHRVSQNVSTLDLVPTICDLVGTKPAPYLPMDGLSLMPHLQGREGEGND GNDAVYAEYTGEGTVRPLMMIKRGPWKYVTCPADEPQLFNLANDPDELDNLARLSKVA PQSAAEEAAKAVLAKFEAEAEARWDFDAITAQVLQSQRSRRLVWDALREGSFTSWDFD PVDDGRAKYIRSTIPLDALERAPRAVPFGGQPWLRVESSQLDPQLRRRRRRRRRRMMM MMMMTVRMTRERWTDRILT UV8b_05458 MTAPHLVLNDVDVKGFPNKRDLAGCQKLITVTGKYRAESTIPWG KPVIWTCNEENSVLRDKRLAKYFVDSGATVVKLGRDCKLYKEE UV8b_05459 MEQDIQQPDFSLMADNLSQVAVHLGRCAHLPAIDGGVHLAQTLQ TVLERIGALENAINRRFDEADRRMNRRFDEIDQRVTAANKNSTARIRNSVVTRRDNDL APLYNAFTSERIIRFPRKLRDLENLNEGRVDSILEELGEPVEGAVDEKKRQLKYAVGV TNQIS UV8b_05460 MSFHPYPLRPAHTAGLTSPTTATHDSSTLSISTSAINRFPSTAS SSASSGYSSTSIHSADSQFFSASSNYGLVAGSKHKRGQSDVLARARTFEAVATSNCKK APDNPPTTPPRQSFRPLPPAPVSSPRTGGHAALPQKSSRHERGKSVDIGKLSLSQYDG ATGSPTSPPRPPMMRPNSMLLTRSDSIIRSKATKSHAGGSPQATHTTHIGRPDLELLG RSSTRELRTLSRLVESDAAEDFTITSPAQEVVGLRGRRRLQRADRTNSAGGTRSGGYG WEGRNWMDKQRQFLQAYEYLCHIGEAKEWIEDVTQKSLPAIVELEEALRDGVTLANVV EALNPNKRFRIFHHPKLQFRHSDNIAIFFRYLDEVELPDLFRFELIDLYEKKNIPKVI YCIHALSWLLFRKGIVDFRIGNLVGQLEFEHHELEAMQKGLDMLGANMPSFGNMGADF GVPEPEPEETEEERIDRELAENEGCVVDLQAQVRGALLRLRLGERMQRFWDEEDWLID LQSRIRGDFSRQIMSYRLQMRRSAILVQSAVRGFLVRERLKRSESFWRTLEPDVLELQ SMVRANKARRDVRDVLCQLGGCRGLVREIQAVSRGFLLRKTLAAQHKETEMTSDNVER LQAFARGMASRVKVNENLLLLEEEAKTIAALQAAARALLTRTRIDREQQHLRGLAPQW EALQSIVRGKLFREKLEACKDEVRKHDFDIGQLQAVIRAGAVRREVKKQLDSLDANLE AILSLQSSARGMLERRKVSALGRQLNLHEARIMDLQGLCRGYISRKHGTALLDELCSH DEATATFQAILKAMIARARVDDVLTELEEEEASIAAFQAGAKASIVRARFEEKKRYFN ENMKKVIKIQSFVRAKVQGEAYKSLTTGKNPPVNAVKNFVHLLNDSDFDFNEEVEFER MRKTVVQQVRQNELLEQYIDQLDIKIALLVKNKITLDEVVRHQHNYGGSSMGLLASST ITSANQFDLKALNKSSRKKLESYQQLFFTLQTQPQYLARLFKHLRENGTSEKEGKRIE LLVMSLFGYAQKRREEYYLLKLIARAVREEIEGSRAIQEYIRGNYFWPKLLGNYTRSP RDRKYLRGVLGPLIRDNIIEDPALDLESDPMQIYRSAINNEELRTGRPDHRPLDVPRE VAIRDAETRRLFIDHLRDLREICDQFFLALEDMAPKMPYGTRFLCGQMFQALCQHFGR EPQENLLQIVANWLWKFYLQPALTMPEQVGVIERSLSPLQRRNLSEVAKVIGQIASGR PFGGENIYLQPLNNFVTDSVQRLRQILQSLISVPDAEQTFDIDEFNDLYAKNKPTLYI KMTDVFAIHHLVVSHLPFLCPSRDDVLRDIIQELGNVKSNESEMNAAGSSDIQMFLTP KIHDVQDPEEDIKALFMETKRCVLYIIRVQTGTNLLEILVKPISNEDEGKWQSLLHED FSCGKDTRGAYSDSNMVDVTRMSYYELKRTALENIMRLEQMGRISKQNYYQDVLNAIA SDIRTKSRRRVQRQRELEGVRLTLGNLYDKAKYLEQQRKTYDDYIEQAMVTLQNKKGR KKFILPFTKQYNHQRELERSGRVPKFGSYKYSARALSEKGVLVSWDALGDRDWDKINI TISCDEVGVFVIEGSRGHIQMPGASAMVPIEDMLQAQFEAHQFMNLFEGSLKLNVNLL LHLVYKKFYGT UV8b_05461 MSSGKKGPTVLVTDARERPNQRRVSRRPDTSIGAPVVRMPMRFM TVDNVLQYNSQIPSGQPRGPPTWQRPGSAPGSSQGRRMVSGGGLPNLQSRTGQTMPSR TTKISQKLVLLPETDESAEDSNEEMESEAAMSRRLQSEEDGPLRDEELDVLRQRGGVR GKSYAERLPKQQRKDRVSRLTAYCTAQAYKTTETSEFLRKKHDARTKLYDDCLYAIYA LPLLNGTEGFRVRGRPMLKTPGTGKTMLDLEIERSEQRDHYKGYFADDAYTSPGSPRR EGGESSRYGPSSPPRNEAQQDAEDGIPSQINRLAPDAKSFGEIFVFSYGVVVFWNFTE HQEKDILADLTFADAETGVSLLTRPLEQDDFETEEFHFEYSADVKLPRVFNDMITLLP RSDHMIKLTISHAIAQSTKLCFFEGRMSETMLDAQHVPKTLALTGQLNMTRVEIVTLL GKLFKSRVDINLSSNILDVPNFFWDSEPTLHPLYAAIREYLEIDLRIKVLNERCRVFL DLAEILSDSIADAKMSCITWIVIVLILISILVTVTEVILRFVMLKKSSGNSNLESPLD SVSWAGNTNPSEAPEVLTLDPKGADMALQEGGLSPGAAVAELEVVALALGLQRNATLA DVERGIRELQRAAGSIDYGYCASGEDRNCMGSSRSENHRQDFLGGSRLPCPGLDCEAE DFGDGQGADDEAWA UV8b_05462 MSAGNDQEPTHPHQTEIKVEPKTLHRDLEAMAGLSAAAKDQNLD HEYSIPSTIKLTWLGTYFFFSLLLTLYNKLVLGMFHFPWLLTFLHASFASMGTYGMMH MGYFKLSRLGRREHLALVAFSALFTANIAVSNLSLATVSVPFYQTMRMLCPMFTILIY RSWYGRIYSYMTYLSLAPLIIGAAMTTAGEMTFTDAGFLLTISGVVLAAVKTVVTNRF MTGSLALPPVEFLMRMSPLAALQALACATATGEVGAFRELVNSGQVSVPTSIASLTGN GFLAFLLNISSFNTNKLAGALTMTVCGNLKQCLTVLIGIFLFNVSVDLLNGAGMAVTM IGAGIYSKAELDNKRERLEAQYTPVEQESR UV8b_05463 MGLLLARNLSGMDRFGHVETNSFWPDSSKVHNAAAFLSAQTEQE KLGSTVKTSRFHFLTPASKSNLQFCYNLASATANRYPPPVLLGWNGQGEFDAAVTHLA KIRAMKRYLASLTPEEDDDLVLMVDGYDIIHQLPAEVMMERYFDVAAKATALLAERLG LPAHEASRRNIKQTVFFGPDKICWPPDKRAARCWAAPPSSLGHEAFGPDGGPDDFFSA DPRWLNSGTIMGPVSDVRRVVDATMEEIEATYNKTFWQSDSDQYYMANVWGRQEYWRR RSWIVGSQGGDAGDQEAAQASGWPSSARVIPEKRRGSQEIEMHMAIEYESSLFQTMFG NEPFLGHLEYSRENETANVTMDLLGQGGALGWYAMQMPQNVRAALMKLYDSVSGTHPG ASAEDWIRTVPLGTNLVTKHIYGLWHCTGGKEFLDTEYRKMWFFPLARSLAGEAVKAS RRGEALSSRLIDGRKWVARWAYPGGDQDVEDWRHGGAWSDEAPGRFVGWTELCGPHES VLFQGQRRRQGQGQEARGN UV8b_05464 MSPSHVAIPPRDKGAVSALGVSKSPHMATTTLRVGGMTCGACTS AVEAGFQGVAGVGSVSVSLVMERAVVTHSTRIISADQVREIIEDRGFDAQVLSTDLPS PCVTRFSETEGPPSSSTSVTTTIAVEGMTCGACTSALEAGFKGVPGVHTFSVSLLSER AVVEHDPSLLSPQQVVDIIEDRGFGANVVDTVQPSLPAAGRQAVDDKTESNIAITTVA IEGMTCGACTSAVEAAFKGVGGVLKFNISLLAERAVVTHDATKLSAQQIAETIEDRGF DATVLSTQLDTGDLGPLVSTAYFKLYGVPDAAVAEAVESRLAALPGVKFVSISLSTDR LTVTHQPGIVGLRGIVEAVEKEGLNALVADGQDNNAQLESLAKTREINEWRTAFLTSL TFAVPAFVMGMVLPMYLPSLDFGNLMLVPGIYLGDVACLVLTIPVQFGIGKRFYVSAY KSIKHGSPTMDVLVTLGTSSAFFFSVAGMVVSMLSPPHTRPSTIFDTSTMLITFITLG RFLENRAKGQTSKALSRLMSLAPSMATIYADPLAAEKAAETWARSAEQARTRSPSETS GKQGAEPEHGGLSAHGEEKSIPTELLQVGDIVIIRPGDKMPADGIVVRGETYVDESMV TGEAMPVQKKPGQNVIGGTVNGNGRVDFRVTRAGRDTQLSQIVKLVQDAQVNRAPIQH IADKLAGYFVPTILTLALGTFLFWMVVSHVLPDPPKIFLQAASGGRIMVCVKLCISVI VFACPCALGLATPTAVMVGTGVGAESGILIKGGGALQETARVTQVVMDKTGTITHGKM SVARTTLAPLWRGSEWRRHLWWTIVGLAEVGSEHPVGKAVLAAAKEELGVGPDGTIAG SVGEFKMTVGRGVKALVEPASSADRVRYRVLAGNARYLQENGVEIPCEATEALEKGET ESWRRGTTSASAAATSATPASAASSASAASSASSASSASSASSASSASSASSASSAAG TTDIFVAVDGKYTGHVCLADIIKDGAAAAVSVLHRMGIKTAMVTGDQQSTALSVAAAV GIPADQVFAGVSPDQKQSVIRRMQSRGEVVAMVGDGINDSPALATADVGMAMSSGTDV AMEAADVVLMRPTDLMNVPAAVHLTRAIFRRIKLNLAWACVYNLVGLPIAMGFLLPFG IQLHPMMAGLAMACSSISVVVSSLALRLWRRPRWMNDEAAAAAAAAAAAAAQGKGGGG GGGGGSVSSVVVGWVKELLMLGRRGARREEGYVALQDLDAELA UV8b_05465 MEQTKALNALEPFLALARSATHPRAAADLVTRATSAPNTYIFAE LLQQPEIQALADSPQFGSHLALLEIFSYGTFQTYLDAANPVPELNDAQTLKLRQLSLL TLARDRSNLTYDALQNALGLGSARELEDLVITVIYAGLLHATLDPARQAVHVTSVAPL RDLAPGSIPDMIAALRSWSERCTSTLSDLEAKIAAIRAESAARDKEKRAADKRIQVLV SESRDSEKKHDLPSRDALPRRGLHKRVMVDAGNPANDDTMDVDESSAAEDQKKRSSKR KM UV8b_05466 MVEHHPATTPSPQPDMARNRLPTLFEVLSRRTLPSVDLFSFYIY MRDQQRSVDYLDFWLDVAQHMSLCRHYVRELRRSVLVATPDMEKTNSKRSSQILESIG DLEPRPAGPSMYATEKERDQDAQMSAFLREDQAHHDSPHNGVGMARPSPQFGTPRDVT DSNSPSHTVARQDIRASAEKILYTFLLPGAEREIVLPGSITQDVTTAIEEYGRDDPEV FDVAKDYVFQAMERDAFPGFLRMKALGNLIPPTLVMRLIIGLVSMFGAFWTAFILIFL DEDRQTRCWLILPFTVGIYLLSSYQYSLDPIMALIGFSEYTPFNFSRIREPYVRQLLA KRAMMVLAVTILIDAALCVLFILVPGKRL UV8b_05467 MSSAKKTAGKPQRSAIADVVAREYTIHLHKRLHGVNFKKRAPRA IKEIKAFATQAMGTSDVRLDPQLNKKVWECGIKGVPYRLRVRISRRRNDEEDAKEKLY SYVQAVNVKNPKGLATVVVEE UV8b_05468 MDFAMGDNQNSAPGSVAAAKLNASRKGADSQSVTKRLQTELMQL MTSPAPGVSAFPSADGNLLSWTATIEGPDDTPYAGLTFKLSFAFPANYPYAPPTVLFK TPIYHPNVDFSGRICLDILKDKWTAAYNIQTVLLSLQSLLGEPNNSSPLNGEAAELWD TNADEFKKKVLGRHRDIDEE UV8b_05469 MSQLDPLPRDLPFRIISKTVGRGAYASIKKAIPLDAADPVFAVK FIHKGYAVKHGRISAKQLAMEVSLHSHVGQHPNIIEWFATGEDNVWRWIAMEFAEGGD LFDKIEADVGIRQDIAQLYFVQLVSGVSFMHSKGVAHRDLKPENVLLSSDGNLKLADF GMATMFEYKGQRKTSSTLCGSPPYIAPEILACGRNDRKSAAPPGKYSPDLADIWSCGV ILFVLLVGNTPWDEPSSNSWEFNEYVKTSGHSTDALWARMPPEALSLLRGMMSVDAQK RFSFRQVRQHPWYTQHNPLLTSDGKISDPISLATRLLEGLRIDFSPAQQSASQRPFSS CVDTGLNAGNFSSTQPEVPIIDRDWDWERPVLRSMAAPASSLPPRSADSRRIIMEALA DEPSMSQFSQHPGPTMSLTQHARRFRDICPAESLTRFFSHVPPLHLIQMLSDALHQLN VPLAPVTPNLSGSSVGNIKVKALDSRRQNLHGEIQVEKHLLCSGSEVLDVRFVKNKGD PLEWRRFFKKVVVLCKDGVYAPKE UV8b_05470 MKVTIKEWNAVATWRWDIPEDDVCGICQVHFDGTCPTCKYPGDD CSLLSGKCGHNFHMHCIMEWIKQDSARGQCPMCRQTFEWVDQANENTSSGEP UV8b_05471 MAFAAGARSSWIRLSSRRVMNMHATVASTRKLSSYLLTPKELHE ALKRNPPSSISTDPRVIPLCAAWFLPNDGRTGIEVFREQRIPKARFFDLDKVIDRRSP YPHMLPDGKEFAAALSELGIRKEDSVVVYDTKELGIFSAPRVAWTLKIFGHPNVHILN NFRLWVEEGLPTESGELYSVDCCPYPIPKLDDAKVASFEDVKEAAVDHNKEGAEGVQI VDARPEGRFTGASPEPREGLSSGHMPGSTSLPFSDLLDAQTKAYLSPERLRAVFREKG VDPNKPIISTCGTGVTACVIEAGLEVAGYASPESRKVYDGSWTEWAQRVRPSDNLILK EEH UV8b_05472 MADRGRGGGFGSRGGDRGRGRGRGRGRRGGKSEEKEWQPVTKLG RLVKAGKINSMEEIYLHSLPIKEFQIVDNFLPKLKDEVMKIKPVQKQTRAGQRTRFKA VVIIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVIPVRRGYWGTNLGAPHSLPSKQ SGKCGSVTVRLIPAPRGTGLVASPAVKRFLQLAGVEDAYTSSAGSTKTLENTLKAVFV AVSNTYGFLTPNLWKETKLIRSPLEEFADTLRDGKRY UV8b_05473 MAFHDAHETFFLDCDESLHARIDARTAAKMIARHRQDIISGELS RLAGEEYLEDIMQHMGQMEEETLPDASLIDMQREIQWFMRPYLIDFLVEAHAAFALLP ETLFLTVNLLDRYCSKRIVYKQHYQLVGCAALLIAAKYGDKKDRVPQIHELNNMCCGL YDAGMFTQMEMHVLNTLEWTIGHPTVDFFSKLMIAEEHDDREVEQMAGYLGEIALYHR DFVSTKPSTMARSSLALARAILGRPEAHDGDWNHLENLTLLTLSQHLNQPSPTLARKY STPNMSRVSQKLADFMAEQAAMAARHAHPPSPPTEVTSKQADIYSTPQKGHGAMIGFQ GYLTPPITPDGACFGIENHNGGRDTYAMPPRCPVTPTPQHHHTSYPQQARQYLMSFPM PYQSHGSHLQ UV8b_05474 MRAMHASSRLHGTCSFPFLPGLRRLGPVQPCANSPWPVREPRRA WASGLKRWPRLRGCVTPDKAPTTATINNCNNCNNCNSKNNNNNNSNSNEVETATLQLA TRQPPASRTTNPPNPDVAEDALPRAGRFDTKGPWQTPPLGQMDLCDGVLRASKGMMRP THAPQDIQRDAARQNSIITRETSGEVLLILTGHTG UV8b_05475 MACNMAWSKSTRIKIMIAIDTAFFLLELVCGFLAHSLALTADAF HMLNDIISLVIGLWAVVAAQKETTDEFTFGWVRAEILGAFFNAVFLIALCVSIILEAL TRFVEPPEISQPKLILAVGCAGLVSNLLGFVVLGGHGHAHGHDHGDEEDGHGHGHEHP HEHHQHHQHHQHHHQVSNDLRSNVASEEGAVGDVLPEVVLRRATESAEHARRIRFGDE ASSRDDSNNRSRLAAQPRGRARRRSSRSGHSRFQSIEDMSIHPASFRQDIIAASLAAS SGAGLVAGSDTSDDGDDDAPLGGLEPQTNEESPLLAGGVAKIRGNGTLDGHAAHSHGA PRKHARRDSSVHHGHNHTLPQKPGSKASGHSHADMGMNAMMLHVLGDALGNVGVIITA LVIWLTDWPGKLYCDPAVSLLITAIILKTSIPLTRATARVLLQATPENICIQDIRQDI EGLPGVVSCHHIHIWQLSDTKVVASMHLQVAFPINEHSGEKYMQLAKRARKCLHGFGI HSATIQPEFCLDKQHTHAGDAAALRMDGGRDGNGEAPCLLECIDDCQEQGCCPAADSS SKASSPCRGGGSGSGNGNGNGSGSGSSHGDQEHHHHQH UV8b_05476 MSFRGGRGGGFGGRGGGGFRGGRGGSFAPAGPPAEVLEMGIVQH AVEGEMFCRSSNVKIPYFNAPIYLENKTPIGKVDEVLGPINEVYFTIKPQEGIVATSF KTGDKVFIGGDKLLPLDKFLPKPKVPGEKKPKRAGGARGGRGGPRGGGRGGFSRGGRG GPRGGGGFSRGGGRGGGGRGGFGGFGGRGGGGGGRGGGFRGRGRGS UV8b_05477 MGTRETGKAGSWYVASPEALSAELDGYLSEVPDTIDGSSLPIPG ARIIIAPHAGYTYSGPCAAWAYKVLDLSKAKRVFILGPSHTYYLDGCAVTTYAKYATP FGDLTVDVDVLRRVKEAGHMGNIPRSKDSAEHSLEMHLPFLYKRCEQTFGSPEKFPAV VPILVGDNGREREKDVGSILAAYLRDEENAFIVSSDFCHWGAHFQYMVYAPQNDLSRL VHLRRSEDRPTGPPIHETIKLLDEAAMDAVKSGSHDAFVDNLGKTKNTVCGRHPIGVA MAALEILAAGQAGQAGQAGQAGQVDDEDKCRFKITQYQRSNLVDSPSDFSVSYVSAYA VL UV8b_05478 MSQYGYGGQQNPYGQRDNAAGGYGRQQQGGYGSPYGGTAGAGGG YGQNNNFGGSNVEMAPLGQAAGYGAGGGGGGGSRNILDECSGIDNGINQVEHNLEKLR MLQQRSLDDADTSSASAANRQLDSLSTDTMALYRDLTERVRTLKSKPPQQVAQYRGQV DRIDRRLKSAIQQYQQIESQFRKRTQEQMKRQYRIVRPDATEKEVQAVAEDTSNNQVF AQAMMQSDRQGRARAALSAVQDRHAALVKIEQQMVELSQLFQDMDTLVVQQEAAIVQI EQKGEEVVDNLDKGNEEIGIAVNTARKTRKKKWICLGICVAILLVIVIIVLIYVFVIR RPSDNGGNKKREVESLANTANTVLSSYLSATGPEAKGLEARAAIDQALAEEMHRLAKT RIHFPLLPNN UV8b_05479 MTSTNNNLHQVQQPTNLQPPTIPDVGRHTSSMSNDTPPESSSQP APTPTPAPAPAAAPPFDALFTLLTNTTTGSTVHPTVQYLFADDDTSVLSAPPPRALVV DLAPSPDGTRWSVAWASSLSPDFALTSCRTAVQHDDDDKSPVLRLEGVEREPVQPASL PGEASSALADEFRRRIAVLGKVVAEGGKRRQLAGRGDEQPPA UV8b_05480 MQGGRAPTFRRTRIVCLADTHNATVKLPKGDVLIHAGDLTNQGS YSELSKAVKWLEAADFEAKIVIAGNHDITLGADFYSQHGAGFHNQNRASPGDCVKLLT SSPSITYLEHESTTVQLRSPTGPHTRFKVFGSPYSPRRGHWAFCYDAPADSSDARLTR IWDGIPADADIVVTHTPPRGYGDATSQQGLRGCEALRRALRRVRPRLAVCGHIHDGRG ARRVRWDGGPEGASAAAWEDPGLGNNNNNKVSLLDLTSKTTALAPGETCVVNAAVMKS RYPHRGGKEFNKPIVVDVDLPVWAEERQERVDG UV8b_05481 MTAVSAAPPRNLASKMPSSGHVDDERDSGPRSENTTQGNNGAPR FDEAIRRPSDPESVNTSSSSYSQDTSHYEMAVRKRDSADILSNSKCGKPGEEHASRSS MVPVESRLGDSDASDSAIHPRSQTVDECQGHIQPKPDLQYSVEDSKWIHRDKLAKIES EELEAAGFILPKLRTSSKQRRTRGGQQSIDYDQCPSRCHSAVGERRDEASPTPCWDLR TAEEIAKEEASAYFAAQDTRGSTRIPVAKTSPSPIPLDYLERSSPSVRRLDPPEGDTI AHTRSRPQSASTSAKDVEAGDSGTHLANGKRPAATDTSPRKNTPRKVSAGSRSSVVMG RPKARFGPSREATVSRPVTRHGEPVAAGYRPEGDPPWIIDSYKPDPRLPPDQQLLPTV AKRLRQEQWEKEGKFGDAYDREFRPLNNHEFLQPQDREPGPREEKQEEGKGGDEEEEE QARQVQDGGEEEARKSGEWPLKSDMVKSPKPSSYSTMPKISDKPAISPKTSSKTAPSA PISSSQDTQPAAAAPAEVTKNKAGCGCCAVM UV8b_05482 MARPKGDGTIWTAGSQLQVLHLIPHRLAPIHLQPDMVTQHGDHH DIPSHHRVNKPGAWLPADRRVHRQYLNNITKHVDAHDKQKLTPALQELKKLIEGNSRV YMYFAQMFDEIPRKHPYWADPTGSSKQVRDYEHMLQVLNHIVTRAPEWTEAAESVGVV GVPFCAIFDYPMATPSGHAAFLDPDVNRALKKVLNEWGEYLKTPESAAALGDHSVGWF GKTGLSDMMEVANAPYKSSMKFEEMFVCDPTAKHMGFKSWDDFFTRRVRDSARPVACP DDDDVIANACESQVYNVEHGVRLRDRFFAKGQPYSLLDMLAHDGLAPSFAGGTVYQAF LSALSYHRWHAPVSGTVRRAFVQDGTYFSEPLSEGDVDARGIGAAQGYLSALATRAVI VVEADSPRVGLVGFVGIGMDEVSSCEMTVREGQRVSKGDEIGMFHFGGSSHCLLFRRG VELDGLPAVGRQENVPVRGKLAVVR UV8b_05483 MATETDITLYTAQTPNGIKASIALEELGLQYKTHPIKMMDNEQK QPWFLDINPNGRIPAITDTLPGGQKIRVFESGAILEYLVDRYDPDHRISYPRDSPEHW EVVSWLMWQMGGLGPMQGQANHFKRYAPEKIEYGINRYGNETRRLYRTMDDHLAKSPH GFLVGDRVTIADISCWGWIASHTWAGISLDEFPHVEKWLKMLLRRPGFEKGRHVPAQH TAFDMDGCTEEELDAKAEVGRKWVQSQSVTASGGGK UV8b_05484 MSATLKSHLSSLLQTGAGSDMTIISNDRHFKLQEAIDNTFTIQN FDTDTVNRMLQFMYGVTYDEAPSEENNQVDGETQSELSPSDQVRDHLKVNRIADFYQL PELASLASSRIKLILQEDWSLDCFMTVAAELPHLAVCRNLSDMAAEIAMDHLHEFVSD NRFEALEVIDRFAFKLLRDCGQNVKAMQEELEALRTCLAKANKTLQNAKDSLEVLQKT EKCRHCAVGKFDCYIAPDYILRCSNCNTKHRE UV8b_05485 MAVFGEHQDSITATREPYLSPDSASSTQVILLDDHDDGPLFDLW RLFAETPATPGRGGRDIVAKFIQRGRSRMLINETALIEAARRAVPHLDQQVVDFAGLA SAEQLRAGRQTDLLIGILPGDFAHLRFRNLAQRLGHRYHRAHAKRHVEASGEQQRQHD TAEMEEQQLMKLVDSVVRGL UV8b_05486 MDQGRIKAPFVMRYKYDWDVWYRCIKNKAINDGIWVFIDPATDV ILNWPQMPDYTETEPDAAGAVHAPAAESIASPLPSSSSDGTELSITTGVYEHLFMTSV SNRPSERYGPHETSHGDRKEESTWYFKKPQMPRNMPLNTSIQIMNQRLDGGFSEIAAT EGSIRGKLRKLHRLVRPDLETLAEIAEETLVRLLGADLDDELDEWLVKLDQLYSRCEA LIGSSFIARCNNAVITLLKAIGRRFPKLQSDLRV UV8b_05487 MSLCRLLKQEKLLTKPSKWTIRHVELFSLFEDPLPAPEPAPEPA PEPAPAPSLQHDQARQRSGAGFLKSFLGRINEVRWRTTMVRNLLEHPNHTFEEAARFY FGGRCVDIFECLVICNTTEPIMTAYFDGGSISPYFRRCPVDQPLELPHSRILALLVAM AHRQSERDGARRRCFYWVGIFNPVSVATWS UV8b_05488 MPKVWIMSSNAILPSAKADACDREGSGLLSPPGQHLEQGEVDAR VMSRDDEMLARMGYKQELNRGLGLFENWAATFACMNFVSGMPVLFGWVMMTGGPQAAV TSWSLVSTVSCALALALAEIGAALPTAGGIYFWTYSLGGPEWGPFLSWMTAWWNWSAW VLAVPGTQQGATNFLISALQINYPRAAYLGDGWFSLLVILAGLIIALIPNVTSQRWLR LYFRFAMAIFFVLLLLFWIWFPVAASGKFQSADFVFKKFHNGINRGPAKQASDTYCWI ISLLFGAWEFGGYDASAHLAEETKDASKTVARGMWLSTLSTTILSIPTLIMILFCVQN FEALTTAEYANNWAEFLVQVVGSRGATAILVMNWIDCTCATAAVILSAQRVTFAISRD NILPGSSLFKKVSGANSAPINSALLVVFIAAAVSCTVLGSSVAFGAITATTVICQSMS YLFVLITRHTLGTKRFQPAAWNLGRLSQPMGYFCILWLSFLSVILLLPQVFPVTLEAL NYSPICLLIVTLVSVIGWIFPKRGGRYWFKGPSKTLPDGAT UV8b_05489 MMQERLNDAAIALHSVLSREHVAFGIFGGFAVATYGGVRESKDI DCLASVSKEQIIRLLDGKAGFQVIPQSRQDYVAFLWSEHANRRNSVLVEIFCENFPGS QYSMAHVPRNVISIGGATLGQGEACFLEPFYLFKGKLRACATRFKFHDAADLRMLAGK YQASLKPRAHELNLEYVGLAMKRYPEL UV8b_05490 MDVTPLRVAALVLNHHGIPICTIGELALNYYNVPRVCHDVEICI SESTSSAAADVLCCTGLFEMHEMDPDFNNYTEYKRGVPRVRTTAWCQPQSLVIFQASV FGLDPVKDALLPPSFCGKHVYISKEMELLREDIANLHLPKLAPLLKGMARRFLDTRDD PAMMAVEQLVDGMDLDETWAVSQLGDSDPAVLDLILRQVKGKKWRIDYFSENEITCFV SSREEAGKLRLIPGYI UV8b_05491 MKAILKSILTRHYSSSQVKIQILSDLHLEVGQQYSSFTFPARAP FLLLGGDIGRLIDYDGYLKFLESQACRFQKVFLVLGNHEFYGLEYLSGLEQARRLVQE PTILDTVVLLNRSRWDDPVSGLTIIGCTLWSHISEDVRHLVESRINDFKKIQGWTSGR HNDTHAEEAAWLHDQVTHAAAAGDGARDARKILVATHHAPCVQGSSRPQDSGNPWTCA FATDLLGQRDWSRVRLWVFGHTHHSTDMVRNGVRLVANQRGYVLPGSAADEAGGKTRR GLGGFDPGKVVAL UV8b_05492 MQRLDGYIARPLTQRSRAPQACRRCRQSKSKCDASHPACERCMR YNRSCEYTRNTRDRRMDRREERRAHVALANRVRQLEVQLASATQHPNAFDDNEASPAG HGAHTTASPTGSRNFPPYYPLGHANPASQPVPEPSADAIATGVFDDHADNADNADIGY FGASSNHAFFWSLTSSLEELDKRQPDNQKLPTLKFVASSAPRRLPLPPLRTITSDTYA AMQDDAFPGRERAIELLNRFFDTIAVVHPYVGKSVLLREIDVIELRTGTWQSCSPSMQ ALLNIVFAYALATYEDGASEPFYRRALGLLDERGLYKPAVESSLLLLASFQQNSQRAM ESVTTLFRAVKAAYQIGVHSPSSYAKLGWRDQELRSALWFAVVHTDRLIGSGLGRPFL IPEQHVRIRPFDMMRLDADSLDHDYAASKSNTLFFHQVIKIDQLLGSTVDLIHESNMT LTHTLSLSELVPYIMDLLRRLEHIEEKMSPFNLLLSSSNISTWTSCDLTLRRHDIVLT LYHYRAAMLIFAPLLLAVLGYVSKPTASSESNIHVNIAMSLLRSYLQTIDNFHNLLCR VLVLQRSFLKCNAVWWLCNYMMVSINLHLFGFWVISTNSTDLVSLGVDGQDIEILMRH ALEMLKSVGGSSIMSRKAHRCLQRYLDVFTSHGRRMSTQCGHTQAVVTDGQASESWSY SFPPMGIDTSREAWESNMDLLAGLRAEDFLGTDFFAMGYNISDFDATGFI UV8b_05493 MASAAALAPAASTKDINGSQSYRDYMRRHDTASRQRAAGECETP SETEAFHSAARYRWMLRNKPDSAILIGRTYARCRDYTSLGPSRPSPTHAHRDVTPTIS PLLNYMPAWTKSSFMAAGFLGSSKFPQFASAVLAEFIGQHAAISSSPHPCHTLSRTRR HATGVTWRATSVGPSKHEQQVATGHVGRKRRQKFQRMLSPA UV8b_05494 MCLWQCPASSQAGASGLLTQPSLSPPNTRTRDATAGSIPLDTLE RPSLARGGGCGVMAWAIRAPIVHAASASLA UV8b_05495 MLLTALLAAALASAAALPSSEAPPKVKIHGISLLGSGCPEKSAD VQVDATGTLFEATFSQYEVQTGPGTKAVDWRRNCKLTINMEFDHGFQFSVLDTDMIGF AEIPSGAQGRCVNTFSFTGQGARHVDYAIQLKGHYSGNFDLQSHPGIESWSPCGGSTA ILNMNTACNISPTHLPALIAVDHISGKLTVKFAVQWRRCRK UV8b_05496 MPKPPSVARHRARGRFSLRQPGWLPPQPGDYAVILAGDTGNTGG PDPAAAADRHGGRIAPAASKPFSQPSPTCPSLARCNRSSLVPSLTSLPHNHLQGTQAM GATSRLARTSSAEPETPFDPRTCVGPRLDPCCQIHTRPVMFPGPTARQQPCIRKRATT RAAGARKQTALRGHSLARLASTTSRLLSSEANIRGGGERHVVPPSRPDDTARTDARPG LLSPQQRGIFLRGAEKSDVADTLEGCKPIIDEVDGMQRELFSSNLSSGVVAHRGETPY K UV8b_05497 MDNVALGWPYPQLFEICEHFGKIDKEAEMNPLVSQFFNASPSTL TYGLGGHSVVLQISPTIAAKVCIKPGDDRLQNEQSVFHLLRDVDCPYIIQPYYHAPDV TFVELLYRSLQYRMCKTWPGSQAPRPVLRWMFQLTSAVACLEELGIAHGDINPGNVML SHDDNLKLIDFDHAVKYGQAVDVGQEPYVRQGRGWTDGSYGGAGPKTEQFALGSMFWF MHRGTEAYAEISGHSMVNNFIDGIFPTTNPDDPIEQIIDNCWHWRYPRVADLLAEVKA LAGSEAEQVKPAESAEDKAEKRRFCEEYYNSAIRTPPTKLEKSEEAAGEKNNDEAGVA AGADAGADAGADAGADAGAGAGAGAGAGAGAGAGADAGADAGAGAGADADACVATPDE GCGQPAALALSSITPLTTLEKSEEAAGEKRRDEADVNAGADAFVATPEKPALITLSSV TPLTKLEKSEEAASEKNNNKAGVDANADANACVATPDEGRGEPAFITLSSVTPLTKLE KSEAASEKKDDKSGVDANASDFVATPDKGRGQPATIAPSSITPPTKLEKSEEATGEMN NDKAGIDTGVNTSAADFVATPNEGPEQPATIALSSITPPTKLEKSEAASEKHNDKAGI DTGVNTSATDFVATPNEGPGLPATITLPSITPPTKLEKSEASSEKHNDKAGVDAGVDA SAGDFVATPDDGPGLPATIALSSITPPTKLEKSEASSEKHNDKAGVDAGVDASADACV ATPDAFVGRPAPIPPSSVAPTKETTKCGIDETM UV8b_05498 MPGHGRCDEAIRSVVFSGPSGEHDSFRFAVARYAAHYPGRYRVN VTGPKDPWPDLTRAQGCPVPDDMICILPRSGTELVPDYPYLAGLETNPGQDPCSARYS SFDFYGHHLALSMPQRPQANQVMQSDSFLARIHPRVGWSRGGPLLHCIRVIQRKTRSL PGGEAVSLL UV8b_05499 MLSDRVVQLCTATTTVSSAWARDPSRPPGEIAQQLYGSGTRRGR ATQQQQQQRAAEASSTEAQAQAQAAARRCGSWGPSAPSPLFLQAFADALRCLEADPLA GLVSPPLMGSHGTVPLTAVAPLADVVRHCANLVARAETEVFLVTCAWSPSAAQRLISA ALGELSARAGADGRRVAVNLMYDAPGVASLAGARHAVGPAAYAGRGVRLPRPEDIPHV RLRVATLHALPLGTLHAKFCVVDRAAAVVMSNNMQDNDNLEMMVHVEGPVVAGLVDTA LNLWGRALEPPPAPSSSPHEDEDEDEDGLSKSRDGWGAEDGAPPGDAPAGLVAAAAEA RPPLPEHTPDDPHYDDDVGAETLRVQSSYAEKPGESRLQAANRKLNAAVEKPIPPTGP EIGAGREMTPYVCTATARPVPMALVSRPPYGCFGSRDGFVPQNEAWLSLVRNARRDVF IQTPDLNAPPLLRELVAALARGVEVTCYLCFGYNDLGEMIPGQGGTNDQVARRLLARL PDPEHRARLRIHNYVGKDQDHPIHHSFKSRSCHIKLLIADGAVGVQGSGNQDTQSWFH SLEVNVMVDSAEVCAKWREAIERNQNTGEFGRVAADGIWRDREGKPGKGYMGDPGRVE GLVKGVFGMAMKMEGLGGF UV8b_05500 MEEEEAQVYDVVVVGAGPAGLATAARLREHTPAALFTDEEHRRF HWINKYGRSMSLKHVRSGKVSAARRSVRPEYKMLVLDGTDDQWLGRWNELFGLYDISH LRSPMLWHVDPLDRDSLLAHAYAEERERELVEIRNCVGREMSKHGRKRSQQHCGKKQE ARVAINLRERNDYYTPSQSLFRDHCQQVARRYALVGDIVRKDVLVDLDYGVVGGVVGG VSDDQPLFTLTTASARQLHARTVVLAVGPGNKPEIPRTPSMPAAPPQACHSLQIETFP DPLVRQRMASGRPTTVLIVGGGLTSAQLADLAIRRGVGQVFHIMRGPCRVKHFDVDLE WMGKYKNAEQAKFWLADSDQERLDMIKEARGGGSFTPLFHRKLKKHAAAGRLHLHERT SLADAVFEPCEPCAPGDGAGAGTGTGGVWRVRTEPPLDVPPVDYMYFATGVQSDVATL PYLQTMRAKYPVDSVGGFPCITEDLRWRADVPLFVVGRLSAMQLGPAAPNIGGAKVGA ERVAWAIEDAIGPGGGPADGQGQAAMAGYLSGHGNMYSALAA UV8b_05501 MADQIQELVEAPSQFVKDGVQFMNKCQKPDQKEFVKICQAVGTG FVIMGVVGYVVKLIHIPINQILVGGA UV8b_05502 MASDAPRQYVPLTCHGHSRPVPHMSFSPLEKEETYYMISACKDG NPMLRDGVTGDWIGTFIGHKGAVWQARLSPDASNAATASADFTAKIWDTHTGELLYSI QHDHIVRAVAYPPDNSDLIATGGMEKKLRVFDLAELTNGNPGTPATIPAAAGFEIGKG VHTSSIKFICWTRDPNIIVTASDKSIRWLDLPSRACIKHEMLDREIKSCEVVSVAPEC ASPTDIGGGKPVLAVSAGKTVYFWGGDQAMDEMKRIVLPYSIASVGLDVKGRKLVVGE EPGTWAKVIRYDDEVELDTHKGHHGPIWSIAFSPDGKLYATASEDGTIKMWKNCEGDY GLWRGGAERSAE UV8b_05503 MSWGGRCGRQVITVNERNSVKSQALQANDGYSVGMKGLVEQGVC MRGCRSREQLAKPGSDLPVSLVGTEESGR UV8b_05504 MSADFTLSAQLVGHDADVRAVAFASPQVVLSASRDCTVRKWTRG PHSPPAFEATLVSRSPEYVNSVAFSPASANHPNGLVISGGKDTVIEVKSLSAASTDHA ERLLVGHAHNVCALDVAPDGSYLVSGGWDGQARVWNLQKWETVLMLAGHEDKAVWSVV ALNDKTVVTGCADKAIRVYDLTQSVAGEAQPRSTIYTPDVVRALCRVPESHPSGADIA SASNDGIIRLWKLNGQQMGELHGHDSFVYSLASLPTGELLSAGEDRTVRVWKGSECVQ TITHPAISVWTVAANQVTGDIVTGSSDGVARVFTRRPDQVADKETLAEFESSVKSSAI PQQQLGGINKEKLPGPEFLTKKSGTKEGQVQMIREENGNVTAHTWSTSQQQWINVGTV VDAAGSSGRKVEYGGESYDYVFDVDIEDGKPPLKLPYNLSENPYERATKFLNDNELPL SYLDSVANFITKNTKGATLGQADSSGPDPYGTESRYRPGQSESSQPKVLPQKDYLSIS AAKYEAIFTKIMSVNKTMTSSGRKDAALNPGEEAMLQLLREALESGRPIPVQSIELVV RIVTQWPYGDRLAALDLLRCVAKFPSVAQLSDAQHGSVLDMATGYSIPADSPANENAA MMGARTIANLFSTADGRSLVNSQADKAIAFLERIVGAKGGEAIGKFNRNVLIAVTTAA VNLSVLANKEKLLSAEQRRRLMVVLGATLDGQSDSEVLYRGLIALGTLLATSRGEASG LDLDGWIRGAQDRGAEERVKSVANECLASLS UV8b_05505 MLAAVLLAPAFSALASAHTVFTYPGARGNNLIQNDTFPYGMQWM YPCGGVGTTKNRTYWPTTGGAVAFQPGWFRGHSSAVIYVNLGFGTDGPDNGPQNMSNP MVHPFTIQGPTNNPYPGTVCLPQVPLPPNTTVQPGDLATIQLVELAQHGAALYSCVDI IFAEPGDARIGLVNETNCFNSSDMGFADMYTVAIGSGSPAVASTASIGLASWAGYLAV CVAALAAAL UV8b_05506 MAAEYQRIRADPSSRPWCSAIRAVVARHAPALAPVTAAVCLGIG SFDPADGAWEAKRRSYVQLLGLAVMLDELEKTHGRRIRCTFQEPVFTAADVEFLTSLG HVVVEAPAACEALTPDSLLYGIHLYRSLYAEALQVALPAVYVGTGWDVWDQMLPGVDD GLQGIETMHKTYHKAPFPQEGTAFSSTCLYWRRD UV8b_05507 MQHFSNVDTYERQSLDVSHGYDQVPQRASPNSYDYGHVRGESPY YDEASSRRPPDSYAAGRKHERHPYQPYNHYVEHDSSAGNVGYGRDEMAPPPPPHHPNN LPAYNQSPPRGAQGQLYAHHVAQSNITPGADNLSDFAAGGVTGIAYNVTDRNARESGV DGMGATGQLPPPPSRAPNSTSSNIGYRNSQPGGHALETIYKHAPGQHQLPDENSGSST NPFGTPSANQSPARSMRSFGADSYVDDPYQGMNASHRYHESSLGMFNPNEIADDGDDG LRYGRTSQRNSMLSLPQSERKKSVVGAAAAAAGGPGTVGAWSRGGQNRNESEFAHETA ANFEGDDGEKKGRGKKWKWLVIIVVFLIVAAAIIGGVVGSMIAGAKKSDGNGNGQSAE DDTKQNGDIDINSSEIKALLNNKDLHRVFPGMDYTPLNTQYPDCLHNPPSQNNVTRDV AVLSQLTNKIRLYGTDCNQTQMVIHAINNLKMTKDMKIWLGVWLDGNATTNARQLAQM WDIVDRYGADPFEGVIVANEVLFRKEMTVTQLGTILDDLRANFSGKGIKLPVASSDLG NDWTAGLAAKSDYIMANIHPFFAGVAPERAAAWTLNFWEVNNKPFWKSDQSRNIISET GWPSDGGKDCGGSPVCDSSTPGAVASIDGMNRFMSDWVCQALANGTQYFWFEAFDEPW KIRYNEKNKEWEDKWGLMDVNRKLKPGVKIPDCNGKTV UV8b_05508 MEIQFSLPLHPARRGAPAESSASPKAAAAHGCIHKFALESRTRH TRRSSTRARTGCATCKKRHVKCDETKPACLKCLKWRGYCDAYEEPSFPNPSSVSSSFS SSSKVPRSGTRSKNSHLVHAKNVPQIFTEPDIVTVRFVSSEQKAYFDEWTGLSMNFLS GSLDQSRLWTVTMPQVTMQETTLRFGAMAVGALWKAYETGSSPTAVTNENKHYLNAVI FYCEALRLQSKARPNRDGLRTALLSSLLFICFEIQRGNIPAALKHVFHGFSMLNELAA STDLAPRLVSIAQAPPSLVQDILECYKPLELQSRSFMGSYKKFFFPPTPAKLAGDVRP GSHSELATNPTKPPRHPAMTPSSSSQTTPASSSDALMVPEPAQIPPSQPAAPWQRPSP PSQAAASSAHSDMPSPQSQSSSHGTPPQQPSRPSPRPFAGIAPFTKHSPYFRPRRSNV STLDDLPLVFQDLEQAQGYWSLVQKAMVSHIPMLTMISSKLGLTRVTSEAELDMKLSS VKENPQIGRFVAEARYWLSRWVDALEPVYQVICRNSANDPQTYLHALNLRVEYLVLYI YTTLPRFSGLVTAKELTPHYREINRLTETLLQARRNCGFAMDSGWTWPLFISSFGCRD RAVREDAIRILGQYPIRNALRDSRVFRAIAIRNQQVEEQVMAEGTEQEQWLRLRRREL VFEDFGTSVIYRSAQKSHGAGKWELVEEVAEFTISQDGALEWHRQPITLSASILSGVC UV8b_05509 MDTSLDFFTRGEASSPPPDWRTLPEIPLPDELMAIDCPILPLND FEERHQLQDQYLETQFRLHRYEATEPLRRAIKAYRMSFKTSADTSDLELESANLYSSV FVTGYALSHEGAAQRLVLGKSTLPMPEIDQEKESEEPEDVKILIPGTLVAASTDHFQT TCYIGTVAGNNVLEDPPFVDVFWARQSDMVTDPTVELVMLEPTSGYFESLKYTMTGLQ SNSNISFLLRAYLFEPKPASVPPPQYLNETPEGQPVIPSVFNSLDESQRNAFAEATCR EVAVIQGPPGTGKTFTSNAVLQSLVETQRLCNAAQPDPGPKIPIIVVAQTNNALDQLL RKFVHSTGTKSIARLGGRGSADMKEYTLMVSLKDMLTQDSADISAEILKEHGLLSESQ YASITDDDWEDSAGAGDSASDLYDIRPEDRLCVYKHLQARLYAKQNLQESIAYELASY ASTCNSIRMARTEARIASMQDRGIEVIGCTTTGLLKFRDIISGLRPRILLMEEAAEVR EADTIAAALCLPSLEHFILIGDHQQLQPHANMDELSRAPYRINISMFERLIKLGIPHK TLLQQRRMIPRLRKIVQIFYPELTDYSPVVSKLGQNVAGMTKPLWWFRHDWHESPAGG ARGVSVANYKEARMIVSFVQYLIGQGIQPSRITMLTYYKGQVAVLERELENCPSLAAL KSKEAEGGGNDLSVRTVDGFQGEENDIIILSLVRGPNGKPGFLTSENRAIVALSRARL GLYIFGNQEVLLESPRGEETWSTVLREMAGNTGKTMPLKLKDQTFEVGSPEDLQKMMS KDKRASQHSKTSPRKSRPGRESAERNRSENKPCTEEGQGKLGSWAANVPAVQLEEPPA DVPEENPELISFADSITISVPEKLREEYNSKTMPLLGSRHDWLIDFSDDDDDYYYYED YDDGADEGPNLSTPLADLLG UV8b_05510 MDDEDHFSASSPESERAAEPDEMMDDAEDGDNDMEQDEDNNDDD DENNENDNDDEGHGDGHDDGHDDAHHDAHHETQKTDEVGPGSQSLKPSSPARPGTTTI SKLHLRPSIRPEAITARLYDIVPTMAAPQSTSINAMAITPDLRYWITGGSDGYIRKYD GPGTINGKLALTVAQRHPFVDSVTKAGILMSYWENEEPAAPGKGEQDHILSPVYSLAV HSEALWLLSGLESGGINLQSVRHDEGKKIACLQQHSNAVSSLTLAPDEKSVLSGGWDK NIFDWDLNTGQTIRSFDGSGGQISSIELRPASGDPVPAEADEPIRSTTLSTNSGAPTA NAVLGVGGESGGGPGLGLGLGRGGAGAGSGEGPGPGAGAGADADADADADAGSGAAEG LAGSSRDGEASPAHESLFGGSDAGSLFGETAGEQPFGHDDDGFGASMGMMPGSHEGPM DYSADFAMADAGKGGDSTENATSAPNTQPPPPQQEQQQQEQQQQEQQQQQQQEEALVP QEPLPDAMDIDGKAAAAQVLAANEAATSPTTQDAQTAHGQSPRGEDDKKTTAQTDQAE QSPEQWHSPSASTFAQAPPQQHEQSQTSATTFLSSAIDGTIRIWDRRAPRAVARIGTR PGVPPWCMSACWSPDGNMIYTGRRNGTVEEFDVRRAKRGWAPERTLKFPAGSGAVSAV RSMPNGRHLVCASHDILRLYDLSDTRAFKHSTVPFLIIPGPPRAGVISSLYIDPTCRF MLSAAGTRGWEGSSTEVLIGYEINVVGE UV8b_05511 MLLTSVLTLALAALGTASEAPAQNENEARAPYLAILWSQPNFRG ASLNIYNRDAGRCINLYRPLREDVQSIKIDRHDRDSCVLYDYANCRGASRKYTRDDRN IRNKKVSSVRCSRGR UV8b_05512 MRHVAFGGGRVRAREHPAQLKGQLRGAHRRRRRRRSVVVVVPSS SSCHHRRRRRRRVIIIIIIIVVVVVVVAVVRMNQDPARAEDPVSAAGPQPPPPHHGPT LFRPAHPPTHQLLRPAQPGTSAPPMQPAPDGSANHPRPPEKLQLKLGKNKAKQNKTNR GEQLGEEQPDAALPSQYSAWLARPRRSNLDDYVPNAVCSTGLASGWGQVLLAGACAGA CAGACAGGG UV8b_05513 MDKNPNHQLQQQLSLQSTASSPSADASPQNVLKEARKHLQHMID AGISRETLHQWVDDSLSLQLLKQASTLASSQQPSSLAPQHAPPPPPPQCPPPPPPPSS AVSPNHPVRAGTVVSCSSSPVVGPHVDVKTESFSDGLSRNWPPAPNFAPNRSSMMACM DRYAAATAPTTASDMNGMDASMFLPPSAAFAHRPRISISSVSSGSSGHASIWSTNSAQ SSFSYQSAASARSMAPLPMVPHGPQNVAVVNGATQVGAAVSGGASRQNIYWCTSCETS FKRKYDWKRHEDEFHERWRKYPCPEPGCNRSFWGSNSFNQHHKQCHGCKTCPHAEKVV KYLRKRKYWACGFCSALHPARERHVEHVARHFESGLSKTEWMHSRVIYGLLHQPLVHE AWENLVNSKQPDFPTRRPQFSWHPNKTGRAQGFLEHEAPGQLQDHLEFFLGQEEDAHN VAKMAYELADIIMTPPSPPFVDGSAAAAMAPPPHHGPFATLVSQAHPHAHQQRQQGGS MMPPPTSPSSQNGFAANFGGPMFVPSQSFGGQGGLSPDSSSQSPLTVSQSPLAGASSP LDKLDMQQQQQQPGSSSGHSAGDSMMDYDMNSANDTAFGEWPDMVSSMSGSSDPHQPH GTTPVEWGATMFAYPFDPRVRSA UV8b_05514 MAHRGASPAKNEDWKPLWLAWLGSGRNFWRVPNAANRVRSNHVE SQLTSHSFNSSGHVLVYSERTNVDGWHVGSTLEASSVKGEGSLPAAAGQSHGPFLPWQ THAVAVAVAVAVASDLPGGGAPGQCMAA UV8b_05515 MSVAPPGKPRGWSPPRWLALYDDFITRNASQVGQLESALRSLTY MIPGRFRDAEIASESVHSGVQLLSLYHDALLARPAARQPPGQPMPGPVTGTGAARSAH ARYTRFWAQRSRLYRRVAMVLQMVVYTELVCEMVAKRRGGERARWRAVVAIEAVKAAC RVLLLLVTRSRPLVTPALPEREAVPEAEEQQQDRDGDGDGDDDDDDDEEKKQEEEEEE EETRGQGNGMPRLLCAPQPHQREWAMPRTGSRLPCLPDPGDISSYLLGRVLTADDIRP AGRLLNRLRGGSRAAEVLHILAPLVYAVALARSRGKTSWTPWLAGLAAECAARQLRDA SLTTTALERDEWNRRGWGMAWWAMRGAFYHGLTRGVVRGVRRRMPAFVAGIVEDYEYL WENYYFATSA UV8b_05516 MRYRIEAMERDSSSQTPSGPSQPSTGNTPQGTGTGTGTGTGTQL ALKKNESTPATTSSASSKQTTSNAQNSKRRRGLGVVTPNACTECRKKRAKCDGLQPCG RCKTQREVECVYEIPVRQSKENLRTEIESLRQHQRSSDQVFSALIRPDLWEQVLRRLR RGQSVESISEWLTVCMPAQGAGAAQLSQLGLGVEPAAAQQHSLPGDMQQNRSWNLGQA ESARSDSFPDLMAWTSDLPGPPQSRVAVWAESMTADKASDGADGPKYRGLEQVLSHMH EPAMKMPPRTWTGITGDIHLVQHLLALYFCWEYPTFASLSKEHFLQDFRDGRQRYCSP ILVNALLALGCRFSTQPTTRENPNDPYTSGDHFFKESQRLFNQEESHHNLTTIQALGI MSIREASCGRDSESWYYAGQSIRLAVEMGLHHIGEGLNENELAVQSATFWGAFALDHA WSLATGSLPQCSCFPRLPPKPGIIGNIEASLWVPYTDDGAPLQRSCEQLSNVRSVYKC FCELSELVHQSLYMLHSPGKPLTSKELLKVYTQYLNWYDRIPEALRLGHNFTPAVLFA HMYYHFAILLLFRPLIKLRIIGSKIVPKDVCSQAADAIQGLLTSYSQLYTLRRTPSFV PYFVLTSSIMHLAIGASTSDLVGSGDAQPADIEQVVKTNPRVMAALKRGIADLAEMAP CHHFAEQALHILGHLARKWNIDVDFEGHDLAPEDYERLVRPYTSSLNFFAPNVGVQDF ICDWGSGNRIAVKGEARLVEKTAETMENPLFWPFPMQGRPMLPTGTQLADAGFALLGP GEASLAMGAFGALDDGGMG UV8b_05517 MDARTYEGPMDWEYQDKGPLDPTSPFAQAARNAPRNSHASPFTS AARSPNPFARFQSTPLSQPPQTARFTPQLSSKNTAPPFRNPAFTTPRKPVDEVLLSEA SGAEDSPAATEASDYPNDTPDLDRKADIAMGATITPLKIDKSSRYAKTGGIGKKQASG KGEIRGSRDLNVTMRKRKRHNLDKDVGSVVRNSGYEADSWDSDLDGSDGGQGAGRSKD KGRQKGPFESFFHALNKYPDTPDHMQRWMQLGANVFLVSVLTYVGWSVVSTIRSDIYN ANLVAQQKLVGQMEACRKHYTDNNCAGNSLPALKEVCAEWYECMMQDPEAIMRIKVTA KQVAEIINEFTETMHLKAWGVILTIILICTTVNFSAFGRHSVGHKEVPPTPSQPAASL HDAARSPPSITPGYMLVPVHTPRNQRQALLDEGTDTDNSPMNMSYYTPSRRQSPSKMD RQPSPIKYGRTPSKGL UV8b_05518 MSDRDSPVGSPAAGPVDDGQEKEFQNDLAGEGSDRDSDALSEID ENEFEDYDPETANIEDRPVNIDEDVARTLKASKRKRVAGDAPKKPREGRREKKKRDGN DQVAVEDGGVDSDAKSQRRARRAGDGGERPRAKPDAPEPEGEENLSPEERRKRAIDRA LDAAMKKGGTTKRRRKDEIDLEDEIDEQLASLKVQMERACRADNEAREKNQPALHKLK LLPEVNAILNRNNVQHAVLDPDTNFLQHVKFFLEPLNDGSLPAYNIQRDLFTAMTKLN VEKEALLSSGIGKVVLFYTRSKKPEPSIKRMAERLLGEWSRPILKRTDDYKKRQIESR EYDYQAARLAQRQKIGAQLNLTQRPALSARDAERERVLARVGSSNRARMTSLPESYTI APKSTFEGARGSEHRPLGAGGMEAFRKMTQKSKKRG UV8b_05519 MSPAGSVAAGSDVAFVSDAVFRDDEAGAPGGKERHAQPHGLFLP RQALFIQLVALASSIHTTCMLPRLFSEKYRVLTLSCRGSDWNHHDSDAHQNTAARRNG APLCPVMGGQVGTYR UV8b_05520 MNGDQAGEAEKPPGFDATADEISNGADGSAKKRKKDCLKPIVTS EGTGLDASQNTNKNKNKQQDCKLESHQLSSTIPGSPSSSSVEDAAENTADEEDSEDYC KGGYHPVQVGEKFKDGKYTVVRKLGWGHFSTVWLSRDNSNGKHVALKVVRSAAHYTET AIDEIKLLNRIVQAKPDHPGRKHVVSLLDSFEHKGPHGTHVCMVFEVLGENLLGLIKR WNHRGIPMPLVKQITKQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKKVVKN EPAEKENNRNGRRRRRTLITGSQPLPSPLNTTFTQANLFPGTATPSVASVLDQGASKT GESSPKSTDEPQKQREKTADILTREVSGISLDKSSESSASTGEKRKAEDAHASDIISV KIADLGNACWVNHHFTNDIQTRQYRSPEVILGAKWGASTDIWSMAAMVFELITGDYLF DPQSGTKYGKDDDHIAQIIELLGPFPRSLCLSGKWSQEIFNRKGELRNIHRLRHWALP DVLREKYHFKEDEAKRISAFLVPMLELIPEKRANAGGMAGHSWLEDTPGMKGLKIEGL EVGARGDGIEGWATEVRKR UV8b_05521 MVSFWPWKGDASSPASFEKTLSTLSAKITDTQARLDRVRSSSRR VKVLWTLYLGFAYLVYAIVLLLVVGYKNLGAYDWTGMAGGPVSIYAIRTLIGSYFAYR IDTISARLKSQQDERAKTIQKLKDATKYDSTMQLIQKYGGEGKKKAADETSSPTPKKG ARKSGPASTTTTTTTTGRTNLPPPPTANIVGREAAPQQPSAEFAPNAFNDASAPPPAP RIHQQQYMTPVSHWYDRIFDVLLGEDETAASNRFALICRDCRLVNGQAPPGTKSLAEV GLWRCSSCGSTNGEVDEGKRIMQEVLASKQDQAEADASGSEHAQGPAGSVKARQGGAS RKGGGRENTRELENGND UV8b_05522 MFQRIRGAIDRTIAEEQARQKSSADSATPSRSGSTSSRRSDANA SGRRHRANKPSADAADAGAGATNPDPAVFEAAFVIDDSDVPSRSETPAPPPPPPEKDG PQSNGSSAQREPAGQENAQLGNSPAKDKEDGDDKATSAGGRAPAAAPSPPELSPEIRQ RLRKLEKLEATYPELLRSYRVAHKRATAIEPFEKALREHTPLTSISDPDALVEYLNQL NLRGDMVMDELKKVSADKADLKRKHAEAEEKWKGAKEELDALRAARPPESTAMAEPAS GRTSIEPAVRASTSTAPEKAKSPVAAVIGMFSPRLKAQNEDIKGQPASEDFFSYDQEV PQLQAELSLKAQEIQQLKSRIEDLQQELSVAKENSAGLAENLERTSRELSESRDAAGS QDSLQAQLDERNNRIKSLTGELSAAQSQLQSMQDRMERDGENHASRVEEMEASLAKSD KKALELDAELAKANNAKAASKKIADNLVTQIAVLEKEKSESLARIGELANKIDSAPSA AAAAATTTTTSGLAAAATTGSSKKKNNKKKKGKGGSTAAATPAAAKEEDASKEGSAEA ETVAKLRAEVEAKDREIERLSKKRKTEEDLREEVENLQENLLSIGQDHVEAKDRIKEL EAKKTQLQERIAELQGEIGASASNATENTRAKDEFDALQRDHDDLRAKFAALQHDLGA AQQLAHTRFKDLSELREYLQKVQPELQRLLQESAELEKTKEELATKVKELRGVEKRES DLRRDVGKAQQLASEREREMKKLQEKLKSEGISRQKAEEGRRTAERDLRRVEADKIEL SAKAEKGERELARTQDELHKLRGRVQELEEQAHRLRREKAAAAEEAEFKTQQYATAQG LLSSMRDQATEMAVQLKEAQSQAESLEEELSEVQQLLQERTREGETMRRLLADVDERA DSKVREMRGRMEAAVEERERAEDESSALARRKARESEELKRRIRELEREAQALGRDRD ELEQGQREWKRRREELEAAEAKAWAETEELRTAVSQLRAALDTSEAQVRDGEKQRAHM RAMLDDARARHDKLAGELKSAQERLGRAGSSSRSSGESARSGGGGGGDTAYLKTILLQ FLEQRDGRLRAQLVPVLGKLLKFDKTDEQKWAQAVQHI UV8b_05523 MKFLLPLALVAGALAASIPAPVCPATGCYRDDDSPTCRKPGQVC EMYIKRATSCPYRCDGPVPPGCKSRCKPCPEEQKKTTGCPLICECEIHCTGGPCLDAY RSQQPQQQQ UV8b_05524 MKLALELVLLASAAMAASLPRAHCPAKGCYSDPIHPSCPPDRAC PQYIISATACPWPCGKAKDAPPVPPGCSQRCKPCKQEVCPLVCQCEIICTSGPCHSSQ AVQ UV8b_05525 MADKPSAPGHLRTSTAFDQNKQSAAEQSRRLAPTTFTCPLSSSS SSPSLLFRSLVGRQVSNPSMGPSSESDHRKLSVPMAPPPPPPPPPMECNKFNLLSLMS PPEVVLDSFQGNYSIMKRSGSIYGKPSSSQQPLPMSPPVSPCSKPISTANGQPATPPG RILKDPVLYPVDEHSPASLQQQQQQQPLFAPAELEHHQRIVDEHVLARSHTIFGRVAP PRREDYELALTFRSQVMKHFTTNRKGWLRKERALLEADRRASVQRYQVIMPAKPIAAK PPRQRVDRVTKPGAGGPRPMRANAHAAHAAHAAATPATMNGASAAVLPAAGRASATPE PSRRIVAPNREDKDFNSLPNYCPPLDSLPHRANSLKVDWKGQPIDLSSDPQANLLHPD ELLLAGNLRLDCATYLTSKRRIFDRRLQCLRTGKEFRKTDAQQACKIDVNKASKLWTA FEKVGWLDARWVRGFL UV8b_05526 MATSTKRKTVQCQDDGDCDHGKSRSHSHDARRCHPHHHHHHHSL TPPVAKRARTTDAAAPGPAIFSQLSDELLIRIFSFLDERMLLGISVVSRRFNRITCDS QLWRPHYYRRFILPRAHGIPGFGAGPARSLSLGKLRLSSARRLLSAGAGCGRRRGAPG SRRSADADAHGFVDWKKQYKLRHNWSRGRCAVEEVRVNDLERDAAGAEWQTLVRVVDG LAVTADDVSGLRAWHLKTRQLISQADLTTGRKTAQPSCLAVDDLLLSTGTLDMAVGFQ DGTFGIWRLDIKTATISLVYEHSASHLGQLVAITYANPYILTASRLGFISLFSFDHAE TDAPAAAAAAAQQDSRAAASEDERLHARIPSPSKTHHGKAVAAATLPPPRMLTSLKSH STRPPLALSIRRVAASVIASIVYTFDAVGGWSIGIQDLDIKPSGASEPDVVTSRVAYT LPLQTRRSAAPSPPLRCPPRASLARPVAEPDGDDDDDDDDDDDDDDYDDDDDDDDDEG EDGPTRLCYSHPYLLATLPDNTLLLHLCTSTASSLSMSPGIRLWGHTSGISDAEITPR GKAVSVSTRGDEIRVWELEGRVGGSSVELRPRQPRQPSPDAGARERASGPEAPFRAGD PDKRKNRVGFDEETVVVLKEACDGRESLLVYDFT UV8b_05527 MDSQSMRRPCRRDSDPPPSLLLQLDPSPIDSPSSAADEKTMVFA SDEADSGTDAGPRAPGTAGAPGLHGGRRAVFYLSRLQRYSSYAMGVFTTLHLASVSLI PLVTRSVPGSETYLLMTREIYQTTPVAEGLLVALPVAAHVASGVALRLLRRWQNMRRY GGGAPGAHALGRLRDALSGTPAASVRLWPRMSYVSLSGYALTLFYGAHVLVNRVLPLL VEGGSSDIGLGFVAHGFARRPVLACVAYGGLLAAASGHMVWGMAKWSGVAPGTPEGWR AKDGAAADGKARRLRRRKWLGVQAVAAGVAMLWAAGGLGVVARGGLANGWVRKVYDEL LGRVGM UV8b_05528 MPPRHQTLPPAQTSSAREAQKSFYCTLCSKGYSRMNDYEAHLSS YDHSHKQRLKDMKAMVRDPNAGARARKAEAKADALVSIKLPGQEAAPSTGAGFKKGGF KKSGFKSAFGPPAAEKDASPKPAPGKPALKDALLVESDTEDEQYDVYDPLYPTD UV8b_05529 MQNRAQGLSMGVAPAAADDAAGRRACDQCRLRKIRCDKDWPCAN CRTAKRTCTSTGAGQRPKEPRQRVLISSQYERKIDQIESRLGNIEVLLKNIASPAASV QLHLDPAALGATPPTGGSSSVPTAGSTCDYASSEDESAYGGDTGLVAHTAFASEFLES AVSRASLRDVNPKMAAGLTNLRQLVEMQKRRSISHGPRFPLQQPVPAGGISKLPMPPM QAVVTLLKHAKAAPPTLFTIMCSLVGLPDFTGLCRRVYFATEEFSDATFIIVNAMLYN LFMEQGSLATDPSARDEYHAYMRQSQANLETALANTQLFLATRVENVQALLLGALYAV DVSRPSVAWQLACMAAQLCQTGGYHRTEPSESDPPETARLKRILFWHVYTLDKSLGLR LGRAPVIHECDIDIPRIFEFDGLGHPEASALATLWIEMSYLQSRIYEELYSPSALKRP QAEIVERARALASACREFEVEADECRASTYQYLKAVNSSDLVDLFLLGDEVQYQVTLT LVYRVIPAPEGSVTRFCDECLQTARKAMKVHQECTKRSTIGGFFRSIYIHWNLLLTPF APFFVLFCYVIETASLDDLQLLQEFVHSLDEARVASETIEKLYRLCQVMCDVAGLYVE AKSQQRQDQAMVPIGDEFEMYLSQLGFMPTEDQSLANASAATGPPTLTGQAAQLADWF SGNRNMMGLLEEDLSQIDPYRWMQQHQQQPPQQNGPCQMQQQHTPMT UV8b_05530 MNSIFFTPCTSTAFAYTYAYASTSTSTSTSTSIYTFEGGAPSPA HATPWTLQYQYPHTMVPATHCPGVYKLYSIQTRGRSALTFLARRSSLDAKPPIPCQNL IVG UV8b_05531 MATDQHRASSTESSTLDETHSSIASSYDSPGQHGDNGDDKGPRG SSFGSLLRVFQYNDMVGWALNIIACMAMLGAGVAFPLMNVVFGNFIDTFNDFTNGSLS PDGYMEQVNHFALYFVYLFIGKLCLTYVWTVLINITAIRTTRNLRVDFLRQTLRQEIS FFDSPSSSVSSQITTNVNLVNNGISEKLGLIIQALSMFVSAFIVAFIVQWKLTLITLA IVPFNAVITLGCIYLDAKYEYKMFNIYAESGSLAEEAFSTIRTAHAFSAFAQLTMRFD GILERARRLGKKKSLIYAILFPTEFFSIVAGYALAFWQGMRMYSTGEIQSPGTVVTVI FAVLVASQALTMIAPQTVAISKAMAAARDMFSTIDRKSAIDSLAEEGTKITGFKGDIK LRGVHFSYPSRPSVPILHGLDLDIPSNRTTALVGASGSGKSTIFGLLERWYAYSGGSI TLDGHKIENVNLSWLRSNLRLVQQEPTLFSGTIFQNVVDGLTGTEQDGLPDEQKAFLV TEACKAAFAHDFIQELQNGYQTRIGERGASLSGGQKQRIVIARSIISNPKVLLLDEAT SALDPNAEKVVQAALNNVAKGRTMVVIAHRLSTIRNADNIVVMAKGETVETGTHSELI SMGGAYSRLVKAQDLGKKSGVIEEDPGEEKDEVFADLNKTLTHASNSGTAAEEFTSGG VKKYGLVQGLCLIMREQPSLWWPSFSVFLTSVCGGITYPILAILFSKTLEAFETIDVS KANFFALMFLVVALGNLVSYAVCGWLTNSIAQAVMKHYRGEIFDNTLRQDMSFFDKPE NATGALVARLASEPTSLQELLSINIALIAVAIINLVCSCILAIAYGWKLGLVLTLGAL PFVVGSGYIRIRLESRFEEDTVERFAKSSAMAAEAVMGIRTVASLALEKAVIDRYSEK LRGITRHSIRSLGFKMFFYSISQSTSLLAMGLGFWYGSKLVSTGEYSSGQFYIVFLAV IFSGEAAATFFQYSTSITKAGTAMNYIFRLRRDRISLDGEEDDGGNDNARNAGLAIVS DTEPPQGEKASGKGSEIAFDKVCFSYPLRPKQTILRGVDMAIQASKMVAFVGASGCGK STMISLLERFYDPTSGQLRSNGRDVRTIDRRKYRRDIALVQQEPVLYQGSIRDNVSLG IESGNPSEAQIIEACQSANVWDFVSSLPEGLDTPCGNQGLSLSGGQRQRIAIARALIR KPRLLLLDEATSALDTESEKVVKEALDRAAAGRTTIAVAHRLSTIRDADTIVVFARGT IVEAGTHDELLTRKGLYYEMVLGQSLDREA UV8b_05532 MSVPQQTHPGQPAGAHPELAIYAFEPPAAPRTLVDIFNASALAH PTAPAIDNGTQSLSYDQLARQIAVLSCNLRANGVGRGDRIGIRVTSGSLELYVSILAV LAAGAAYVPVDVDDPDERADLVWTEAGVCAVLTDDYALCQRQARPAPITQDTTPTLED DAWIIFTSGSTGKPKGVAVSHRSAAAFVDAEAKLFFNGKPLGPGDRVLAGLSVAFDAS CEEMWLAWRYGACLVPTPRSLVKAGADLGQFLVRQRISVVSTVPTLAALWPAEALRSL DLLILGGEACPPELAARLSRAVNGPVWNTYGPTEATVVSCAAPLLAGELVRIGLPLAG WRLAVVGPDGAAVPWGRVGELVIGGVGMARYLDQEKDMAKFAPAGVFSGQRAYRSGDL VRAERDGLVFVGRDDEQIKLGGRRVELGEIDAALMSLPGVSAAASAIRRSEMGTQLLV GYVVRDRSSGADDAADRALLRQVLPATLVPMLVTVADLPIRTSGKVDRKALPWPPPTP PPPSPPAPADASSPVDGTTAWLADQWRCLLGMPAASPDSNFFHLGGTSLAAAQLVSQL RRRCPTLSVADVYECPTLAAMASRVDQLSGTEVTARSVAPTTRWILLAQAPFLLALLA YEALRWLAALALVNKAAASASLGSFGVAWAGAHPLPWWLVVLGWVALNTTPGRLVVAA AVARILTAGVKPGRYQRGGSLHLRLWAAERFVAQSRIAAIAGTQWCGYYARLLGCQVG RDAQLHSLPPVTGLGSFGTSCAVEPEADVAGWWLDGDELHVGSVTVGPGARVGARSIL LPGAVVEPFATVRPGVSVQGTAGKTDNHDRDGDGDHFAFEDEKFDAVSTSATFWTAAR YTASLLLLDFLPFLLVAPSWGLVLVLGGDYRTTYRKFCVGLLKTTLPGTVLSTLIYAA VVVALVRLAGLAIRPGVYSWHGTAAWAAWLTHFLVMDARTALFPIYASLLTPTWLRLL GARVGGCVESSTVVPIPSLLDVDDGAFLADDVLLSPLELSAGRVRLGASAVGAKTFVG NSAIVHPGVRVPDGALIGVLGTAEDRKMEPGSSWLGRPPMRLPRRVEAEALADEALTF KPPVRLVLARALIESCRLCPLLISSLLTTLVGVAMLYVLIHHGAVYTLLSGVAVLSAA GLTACAITTAAKWLLTPVVRAGKQHPLWSSFVWRNELADTFVQSLAMPWLVRMCYGTP LLALFMRSLGAKIGRGVWLESHLLPEAELVQLDDGATVNRGCVLQTHLFHDRLMRLDR VRLEKGACLGPYAIALPGTSIGSGTTVAPTSLVMRGEHLPAGTRWSGNPIRPWDEEKD LGLLETRYECSEG UV8b_05533 MPPSSSFHCLGLMQLARLSIDFSHETAPAWRPQLACLCGLVAMG DGRAAQVLRDAQWNTDVRRAVAVSSVSVTQHAVLKAPASRPPSTASPIPVNYPRRPHH LLDRRAGRRTRCQEDRVGRKFASSLAPPTRHALSRRIRDFPAPRPAPGCRAPARCRGP WSERPGQRESKPRQGFTRAQITGFVNNGAWPRVGLRGRSESRSPSAMSQQKSLQHQAA CKLPGREGQKTHSPRRYTTAAAAAAAAKSRPALKQDMEGYEYEGSSVGVGRRRRDGQQ QRLAVLYEASDCYRLLADMLRLEPHRLMAFRGDGDYGHGQLEYLMLSFNIWAAYTGAL ARPGVLSMSDRLDGSPGQERAMVTALQMFLRGVERFMCLQEDRQPPRATRDVKLALQG LHSMADVPIRDCLKFDRDPCADIRCEEDYEALLEPHIARVIMNTFPRAQSTLCHRIAE SIVLNRKRALESSPAAREIAMPPLTEIGEKLSRLRLSLEPNNAPWPFCAQKMRKLEMK DFQGLWHGYVESHIQPWVCLSEYCPPTCSFPDPISWMNHMVSAHSAYWPSEIHMRAWW CDTNHGILNFYDEESFREHLEDPELHPRREPLREDQLAVLLARQRGASQRYDPYTCPL CEDVPRIVDNNRSCWTEERLQQQLYWHIEGHLMDLAVLSFPVLGGHVGGRQWEPAGLD ADFIDQYQRQHLG UV8b_05534 MSFTNSSSNFWLEDNHILHASCVDNDGNSHDSQLDLDHYIGNSD GWFAWDGVNFSKTASNVQLQGSQLTAELATLSGEPRERQVINLDERIGNNNGQLAYS UV8b_05535 MNSQTVGHGFISFGQPIPVSQLAEGSRTTAAQLDSATTTISEPV TLLNATSGLIATVQHVQRKISLHSALLQRTGASPALLGDRLHQFKKSIASLRDHLELA AGSEISTASQLDLDTLIVSLTACILTLSSLDDQVEQACRSVQSSKAAAAPASQCMQRS THRISILNDQIARLASALRLRRREAMAEYILAKDFAAHMLQTDSLLCTRMRCLEDHFS TVPAELVRAGRSPPGYSVPKTAEQPPAYGSDSKGSVQVRAQFWSVFSGCRLGDIGGVT RVCLPVNCDELSTGELYSGRRNRGVGQGSGSLRRLWHKSGARAWGQKNNSW UV8b_05536 MHHARLLTCKYSVQCDGFPALSVQPALFRRQNLPENANGVLECG NLHLARNRGTMAPRTNPEAKFVVSYAVSKTCDKQSRPDEGLESRLRSISAGELWTASL HQHEDRLDARPTEAAGPAVQVGSAAEQFDEFRKQNKRDPYLDAGKLYAVDGALESGNV EKQAAFGKVAYAGELALLLLAVGSPPVPLTDDEHLPVDALRAWVIGAVLSWAALPPGS CPPGDWGTLDGPCRSNPGPFNVKEHANVTMMTAAGSTVNYAVDILLA UV8b_05537 MRAASTLAVLTLGSLGIAAPKLGGIGSGLGALGELGLGILSGQA DANDCRTWKTGCAQPSCSLYQIFVGGYCQDTNTPNIVCFRGQMWDSSRRTCVTIPAGQ PGSTINPCQAGNSWVDLCNHCGTYGPNDGYCLSDQCPPECNGSCNNQGYCT UV8b_05538 MKATILAILTLSSFGLAAPPKLDDGGKPGPPGGGPAASPSPPAP SPSPPAPGGPQPSGPQPGDPQPGPPTPPNPNSRHGLGAIGAVGIGILSGQADANDCRT WKNGCAQPNCPLNQSFIGGYCQDTNSPRISCFRGQMWDSLKRECVIIPAGQPGTTIRI EDEKVSSAHILSQCFAFLDYFVSSYG UV8b_05539 MKAAILAILPLSSLGLAAVLSRRRIGGGIGAIGAIGAGLSGQSG SDCRTWTNGCAEPTCPQHQVYVRGYCVDSSAPQISCVIGQMYDTVNRKCVPIPAGRPG STIDPCQDGFTWIRTCKGCGTYGPDDGYCLSDECPSYCNGECDERGMCAWWWG UV8b_05540 MKVAPMLAILTLGSLGLAASNSRRSDPISCPKGMKWNAKMIMCV VIPAGQPGSTINPCQSGYTWVDECGGCGTYGPGDGHCQADRPCPPFCCAPGLC UV8b_05541 MAYELALRHQQSKTRGRKQVYGYPTLVYIYHLRSRPKFSLISNM KVASMLAILTLSSLGLADSVICPPGQRRENSQCVTIPAGEPGSTIARRQCPPDCCIPP C UV8b_05542 MPSVIIMLVTRADVSAPGASASFMMILVAATKERLEQAMMGADK REEIEADDVGHVWGGPTYELVGPIRAATSGAIRAQIQGLGPRAPEARR UV8b_05543 MKAILAVLTLGSLGLATVGRRSPVPTCPKGMQWSTQKRDCIVIP AGQPGTTINPCTSGQKWLDECNGCGTYGPNDGVCVPDCFPTCCIPPC UV8b_05544 MGTKAKDTRAWLWPPSMTVPTLFAATAVLQAANYEWLRRWLISG APALSFLILVGEARGQRIAPFAHVWTLFATVNLAYAVASTSWLLYWAFAVLCYPTIYL TCLTQFDIVGDVTRRVMRTLIRQLHFIDDKISLFDIPALEIDTDVDGLMVFRGITFCL SSLSFVIHGVEVGIKLPDDLELAVQTETVSVSLFRSIQVGDCFANLKNANVGKGDGAA SDCGPSLRSTSESVQMKYDLTDGSPPVDSSHRTATKDMKQFTLRDDAANEQYRRILKH INDTNTVHEAREYIKQLDKNADAALDDESALRAAICSELHSKPSVPHPPTRSIKVTTL QQLLPPRIRGFLHRLPMLLRLVLNPISYFHPVSISSITATASGRWIEELVVQSIFKGY DESDSELRRLKDRVSAWVADANFAVELGTVLGVAQVPIISSYSINCQLAVDDVRAYRA LPDEVSLVQVVRLGGADATFVLPSYLLPHHEHLLPTERDSEGRLDAEEADVAHVKASV HAKLPAILDQELLDFVALLVKASKLVEIEEATAGDVPTLKEIPGALNQKVKEKFKKVI ISTDQRLAKLVGRVMRKLEQVNGDLGYTGNIAVQLKEYRMTGWLEAEGEKLLP UV8b_05545 MAQGAHLQARPLETSRPMLAPYWGVPTSYLNFCEEDYFVTKYIA EFINTLSSLTYVVYGLYGLSHARRSGASGPRLISYCGLIGVGVCSAGYHMTLKYYTQM SDELSMHLLTAPLLYRVLTFQTNPRCAKWTGIGLFALFTLIMVTHMVMDEFLLHAATF AVSVYVIATRMLKLITAQVPDAHIEDRFRKTAALGLASFGLGYLFWLVDSWTCWLLTD MRHAVGLPWAFLLELHGWWHVFTCIGGYIAVALVDMLTSGRVAEDPTASLAWPVSVVG RYIDEAGTGHVKQ UV8b_05546 MKLDCTLLLYLSVMWFLFGINRASYSTAYISGMKEAVGFAGKEF NYMETIFLVFYVVFQVPCMVLLTLVRPRYVFVTANVLWSILTLATYRVDHVWQVFMLN GVEGACMALAYVGAHFTYGAWYTESELGTRAAIFCGFGNLGNMAGGWIQAGLLASLSG GPLPPWRLIFIVVAAMAFPLALIGWFFIPDLPHHRSAWFLSAEEQEYAIRRMGKAQEH TWDRTVFRRVLLSWQFWLLPTIFMLFALCIQCLGNNVIPLWMASRGYSVIQQNNYPTA RYAVAIVATLVYTIVSDRLKSRWGCSLCIGFTFVVGSAILVAHPASDAAYFFAFFLLG TTYAPQALWYSWAADLTAHDVQLRALTTGFMNSFDFVFVSWWAIVFYPVTDAPEYPRG FVASLVTGALTIPIIALIAYLERRGRQIGTIGRMLDDAEGPGKAEGQCGDAKETKAAA CPPSN UV8b_05547 MAALRNEQEKPSLAIWVKDALVELHNSGEIVLPADIDALEASAV KLGFQWAPHAEQGEGATVINDAF UV8b_05548 MLRWDRQPRPGILFVDDGPIHQPKGKAGTANITKRDGGRCLITG LGNSLWYPPIVAPLLAARKIHVSEVLNLPQPFILAGLRKSLPRASMAFSALPSDLTCG TGCSRNQSFQIPIRVTGLFANLQQPRYRKDSFESSLAKDPEYVVVAIRNGGPSWPSIV EKAPRVRRDRFAERTISCVDAPAASALEILSRVAKPIRKVRGIPPGLCIDVLCDDEEA ALIRDLQRGIAELRATPKEVAPGFAITNSLGTTCFDYRINAGLHCDADRGSFVGPFVD EDESNKTLMARYVTKPNLRWLKFMDKVFNRHGEYDASLLPRLDRLSSGIVSSRDASPD QQITWHISDQLCLGCRHAVHHERLNHLVLLPAPIRSRFISPSLIPRLHVRHHAGIAWP SHLAHFTASTGSASTCRAPPATQEEAANEAKAEHGKHSSPHCTPGLKSMTCPQVTSIP UV8b_05549 MFASVVTEEARERETYRYDPSLINQVFPDADDAPVFPPRLTTDP VLSAFAELGVLRLKGSRAIISLFDRDYQYIVAEATPTTHLSPAANASQQQQSDRPGAQ LLLWNRSLPRSLSICEEVLSSSKADTAFAERQSHERDAPYELPLTVFSDLANPHIAPR RPPGASWPQYGFYAGVPLRTPRGIDIGVFCLFDTKPRLEKDGSFRSMMQDLSRTIMGY LETRRFRDSQRRADRMVRGVGSFIQGISTTSGWEAAPYTKDALIWEDDTHVQAAPDKR KGIETGSGNKSHTAGHSQPASATKDNSVYVKDDPVESAKHMFSKAAKIIHKSIEADGV LFLDADISSFGGRATVGGISGNSTTAKRRLSTTTCTVYGPGDSKGGPCCKPLGFSPSS TSSNTEHADERAYSQLPEQVLREFLTRFPRGAILTLKHAHDAKPTAETLRLEELPPAK LRTSRNNLESALVNPPASAQESTSMKSDLEAFLKALLPGARNVAFFPLWDSRKRRWYA GGFAYTKRSSRLLSVEGELSYLLAFGTVIMAEVFRADAKMVERSKMDVLSSISHELRS PLHGIILGAELLHDTALDAFQGDLLHSMETCSRTLLDTVDHLLDWSGVNNFLSGAPVP QNNGDVEERGLRTEKAATIESGMMNIVTRVDVDLVVEEVVESVYAGRIFQLKTLCPGQ HGNRRGMDNAQSTDSVEGIVPHKEADIATICVDIQPNASWAFYTQPGALRRIVMNLVG NSLKFTSKGFVKVSLDQPAMPEADKSECGTLVRLTVTDSGCGIGKSYLENDVFTPFTQ EDELKPGMGLGLSFVERIVTALKGSISINSIVDTGTMVSVTLPLPAAVPGSATLKPSL PANDFEAERNNLRGLRVLLLGFPDTPCVDDEEGVFGTNVVERDGIANICQNWLQMQVV DPLESTCLVPDLLLCNETYLQLGRHQQTQQNAASPPAVVICSNAVSARRLAENGAYKR RPNEQLWEFSAQPVGPRKLAKVLALTFKRWTAYQATLHPIVSPVQTPEDEDGPRAGPS INDAVSVGRGDASLSATMASHASLTELTLPERIRRSTPLHAASRLPDQPCAGDCPAGQ MGISFLLVDDNPINLKILTTYMKKLKLPHRTATNGQEAVDVFRQGEGAYRCVFMDISM PVMDGFEATRHIRAMETEGNLHRCTIFALTGLASAEAQQEAFTSGIDLFLTKPVKLAE LSQILSARNLTN UV8b_05550 MSVAASENEGGGQALLHPGSRLLGQEVAHHGCSKSAGVRLSLNA LRLGESFDTIKLDVGLLAWHVGHFPAENDTSGDKVCEDDLYAILELSQNIGTNVSKIF ALRNQHVVATEFDSIPEGAGSPPESFRNTRKTPEAKRRKSYEIRHGPRFPAAKRMRSV SCRTCLTDHTPKWRNGPAGPGTLCNVCGLIYAKRRGRVRERVVKQPSSC UV8b_05551 MATVTQVAELPLPILPEGWSAEKDFKAIGQLSDAVLRSIEPVGP HFLAHARRARHKRTFSEDDRIQAQESAKKVEDGDESDESEDEDPMMLQREAKDWKTQD HYKVLGISKYRWKATEEQIKKAHRKKVLKHHPDKKAAQGHAEDDQFFKCIQKATEVLL DPIKRRQYDSVDEEADVEPPTKKQLQKGDYYKLWTKVFKSEGRFSKVHPVPTFGSADA TKEQVEEFYNFWYNFDSWRTFEYLDEDVPDDNENRDQKRHVERKNANARKKKKAEDNA RLRKLLDDASAGDERIKRFRQEANAAKNKKKLEKEAAEKKAAEDAKAKKEADEKAKAD AEAAAKADRESAKKAKEAAKTAVKKNKRVLKGSVKDANYFSTDGDASAAQIDAVLGDV ELIQAKIDPDEIAALADKLSGLAIGEKIKAIWSDEVKRLVEAGKLKDGEVKCLA UV8b_05552 MALVAYRNLMRAARVAFQGDAQVLAAARQKIRQEFRRKSGMEPS DSTTKEALFHAQEVAKFLRANVVQGKRLEGEDNMYQLRIHKDTERGDNESIKAGGSGA IGGGGCCGGSGR UV8b_05553 MPPQIKQDLNRSGWESTDFPSVCENCLPENPYVKMLKEDYGAEC KLCTRPFTVFSWNGADAAHGRKNKTNICLTCTRLKNCCQSCMLDLSFGLPIAVRDAAL KMVAAGPQSDVNREYFAQNNEQLIEEGKVGTEEYEKTDDKARELLRRLATSKPYFRKG RAVTEAELAGASAGGSAAVGSGEAGPGPVRTRDRKAAAGARSRGGRARPTFPSVAQLP PSPKDWLPPDNTSIMSLFVTGIEDDLPEYKLRDFFKAHGKIKSLVCSHMSHCAFVNYE TREAAEKAAAACQGRAVIAGCPLRVRWGQPKAVGNMNKEERTQMLRDARMGVPRNPRY PRAIEGDSQGSASNITGPASVVAAPPGSEDTPNYASLLGD UV8b_05554 MVASGSGLEREDHARDAAFNKALHGKSAQARGGIAAMFSKGHDA KKAAVDEYFKHWDSKPAENETAEERAARTAEYATLTRHYYNLATDLYEYGWGQSFHFC RFSHGEPFYQAIARHEHYLAHSMGIKEGMKVLDVGCGVGGPAREIAKFTGAHITGLNN NDYQIDRATHYAAKEGLSNQLDFVKGDFMQMSFDENSFDAVYAIEATVHAPTLEGIYS QIFRVLKPGGIFGVYEWLMTDEYDNENLHHRKIRLGIEQGDGISNMCKISEALDAMKA AGFELLRAEDLADRPDPLPWYWPLSGELRYIQSIGDIFTIVRMTTWGRTIAHNLAGLL ETLRLAPAGTKKTADSLALAADCLVAGGREKLFTPMYLMVGRKPSE UV8b_05555 MRERRPGKRHEHVDATANEDSLDQPSGFHPRNRETAITAPSNAK LAEHLRVITQICGRA UV8b_05556 MEIIPAPPTDQIKPVDNIRTCTFFIVRELLDQGRLKNALDDLIR HHWRKLGGRLVSRPRDKRLEYQVPDKFDDHHVLFNWSSATYGHSIDKVPAVVCSPPQD RGVLLLPPVEEVDSRFRPAEWPLHRRDEPPDAPLLYVHLSLFEDATIICISAPHALGD QMGLGNMMRAWLGLVDGKTPPPMVGYRGDLMPGADRPYADWPRHEVCRKGKQRILGMV EYFLVLIIVILDLAVNPREKRHVMFIPLPLIRSLRERYSKTLAEKHSDFTRISDGDVV TAIAFKLSRINKKTPWRHTLSQTVNLRGRIPLLQGDAPEAFIHNAICSSATQIQLDQS VPASEIAWANRKAIQEALRPEEIEVQMTVYREMVRVGQGTLICEPLQRFLHVTNWCAA WKDLDFSPAVDARRREKGEGKGKGEKPKVVVLGHAVEPGTPLRYLLIVFSRSEEGYYM QFSAAKPTMRTVAEFLAENPDLEGF UV8b_05557 MAAQAAGAPHRKHRERPVDLGLGGWLLTLGQALWITCILLGEAV KGLLPRNRQGGASLYEHLAYSGVRSYQGRLSPARIQNLLPSTATTCRRFARRHGIRHS SIKLDDGTVAHQLGPSDATHRVVFFHGGGYMAPALSTHIDFAFGFHPPRKHVAVYVLQ YSLVTETANQYPLQLQQAVSLLAHLIHAEGVAPSAMTLVGDSAGGHLLLGLALHLRHP NPRVPPLSFKGRFAGAAVVSPWLNLHADPEDMAVCERQDVIERRAVKRWAANWLCGAP SDCWNDPLTAPADWWADVPIDGVFLAYGEDELLRDGCAALAEVLKTAHRSVAAYGVQG ELHVQMIMNRFLRLNKPCASEDIYARWMQDRLAAKPAQRDART UV8b_05558 MLTNALVSLCLAALSATGASAAVAYCPRESTELNCYGGPLARSG PIDPKDLASIADYLRDYSKQVKGGRLYSMTSRDAPCGAEWTVYSQGTASLLARHVDPT KNSIYAYEDIADTVDGGLAATGMHSDTLMYCMHGGGSVGIHVNQKNPIYWSDEYRATG GTPEGILLKVIPNV UV8b_05559 MPSHHRRLIHRHAERILSQIPRDLAPGEARFYSFYSPSLIGGVH TVSVSQDISAPPEPYNPDRSRRTTKLDELSQSFIVVAPKFTLPPNAVDSVFPGPGTSA EHTVLPHIVLKDPHLPWMRSPTHIPAGEDDNNTRSQTTWLALLIFSVEELQIPPETIQ SVLRQVPPDVKREQSETFALRMRAQDTAKLQDVVNTTGFSAQLNARDATEPTEVILLP GQLFSSLFVDPGTDKTKLNTAGYKYMAHVRQVATDGMAQAGSETDEAMFSVVVSRRTG PIDAEHSSMMIAHLVSLDWDGGDGKESQVRLPIPNDARVAMTSLYSWTYTCLPSLNQS SPLDLLTNLGEHLTVLRAGEVAAPSSQNHDLANANDDEALSAMIAKRQADGYALARCR TVTGEVTASIIRGPLVPNQVARPLREKFVMQSNFGSDLAIFDQDFGLLDQTYSTAWQL GKTLAMADDAFCAALARLRAGGHERGLERAKQEVHVLMGQDGYLRRQKAADGMVDLVR GLNALNTRLHAHGRAATAVVENRWSGDESAKAAMMSEIDLLSQNSPHLASRLGAHTDE AVLGFAMAEAGEDGNEVLYNEYNVATNPDYAYVYSWVLDKAHLANIPAHYLLPDRAFL PQETLRFFYVDANWTDALIDGALSLANHWGATPDKDSPRTAIKKAINERLRTPDDALG GWHVPMPRFGFLMRSQLLVQFPDLAVDVKFSATRTRPVSSEGVGVSPPANTPARQPIL VQKRIAPDTMYCLFDAEPPDLRRITFTMPPHQQCFTVGQTLNKSTLSVIFKKLYTTDQ RPPDHQPGQNLGRVDFPNDGKTPVFDWQSRTLDPAAFSTYLVARLGRNRESEFSDTMP TSAVSGLQLNNPILQLDVGDVSAQTSGSASPVFQLSTPTADAPAAPPVRARTPLRVAS SATRHPGRQRPASPPRDAELLARHRREHPQAALRAPPGFGADTFDRPRYSVGVYAVSS RDRRFIPSDSPVPYVDLVVSIRWFGERPQSYLLPLTRMLVGIPYGDMPPDVDKNPNAK IPLLARTADPPVPAMLRNQRLNVLKRWGSKDEKELKGHVVFELVPRTQPGVQLSMIRD ASFRLPRAQITSYAGTRPRFAQVKLFYEFLEMRGKPKVADFSDRAEVQIRAGVDG UV8b_05560 MAEKQGTESSSTDPNQCVLIPLKLDAFVFNKPVCDGIPPPIPTS GTASAFDAVGAKIAPIEQPNYTFLRLNSSLVQPDIQNPIDLYNSWPAEFNSRFTNLGS EKAIQRRVGVYLHWTLPRLFRSGVAAAGHDAVKSQSFSQARASRGLSNEQKAVDFAPP VFPSVPNRWLVIRHIADETSIFPESARSQVPKFAAWVVESDRMWDLKDLDVDVDLQTD VSPFIAAGDGSNDEDTVSKQAEVFIGKKTPLQEWDELYEPPSAVKEGYVIEFDCVKDK PPQPQAARFLPHFSLLSSSNPLFADYQPHNSNVFSMCDNFEYAKGQFLSRASAHYYVV GWNAKPADDLFTGPLLGNQPQSRKERLNALKLRIKLEDSDGKQMNDDSVGKIFETWLK DEGKTRAVCHGAMYQVDWNSEKKPDIVPADGFCTQLNSTIPLAVGTTPLDALTTYARA HTCLAPPSGLGNGGNGMEDKDPVTVPKLERWITELERHLLSRDDGVESQNQASDFLYN WNFVRADGGQRWNAAGMEKGSDRSGGTPIELIETLRGLNLEQGLLDASLRAMVRLRKD MFSLWWQCVTDPLSGEKENTYKGLVSELEKRFNKLEATMKACDTTIQDKLAVVGQKVK PGAAATFYQQRDPTLLVGGVNSGWQPDYLDKLLVRLDEQTLGSDSDRTIWGDFDKMLA SKLPSGAVQSSIKALVSEFLFLGRPDVKPKMDAGEIPTTKTPPLFHDQLSVRSHAETS SAAVLEGPIPPPAPPSAWRDRWNRSQPWFPLFFEWEVEYYHIPFEDWRLSAQGSRSST LAQLRYGIPGSTKLGKKNDQDLDKNAIQGRALLLPQPSFSLAAKIEQLFAGTPKPILD HKDPGGNYDYLSPEDRESLQKELHQLAFLSAPLAGLTAQLTTVFQGNHIKPNLRDART GGVEPMVAALRPGAGFNKPQLRIIDIQTDDTPFCNSVKSSTTAYSLFKPATHGQFRFT KINIIDKFGQVIHAIDPTPDEKSRQPVWPCISEWYAPQLKDGPDKHPNVIDTSESKEE ISGRNEEKQGIREKPPQCPPGPAPEACEFMQIPPMINQLSRLNSSFVVRSGSEKETKD GEPKTIASTGLGAAIKTPFWRPANEWENPIWGWVLINYANQGIQLFTQDGTFYREVRF GGPKGAQSTPAWLPFRPPSDKALDALAAQQGPSGLKPEVMQLQRLATRLGGDVEFMRA FWKMIVHATENMQPAPEAYAGFTNAALVGRPLALANAGWSLEMAADELVTQATGDQPV KRKLLGPKKKKGEKNGHDCDSDTEEHDPDPSRYAFRVKIGDKQRGFDGLVGVFKCSKS LDPETSPDLGVNMDKLYSDYLSKEEMEEQNSIVPTRPLVLRSHFVDPLSASDSLDFYN RRNEKLSIRSLLLDPFSPIHAYSGILPVRELSLPPWTWQGALSKMKAFFHLGPLVLTK DVPGRFERDRELTSDSPVVKVPDVRKGEGYVNVPALAEGAWVWLQPYYVDKDSGNPTP AEGEVCSVDDGEKEALERYMVLPTAAEDERARFEEGPATTVEGYLMKVGDGKKSSGGG E UV8b_05561 MPEQKKPSEPKKMAAVTVIANPLAKANDKVLIFQSGDVNLALRV SSRSLIDFNARASMGPKSTEVVGHKLTVPSQLVSMVYQDTVTVYGITGQEVADLKVEM LSPVQACVGENGLKPITGVLAGCAQPDGERGWLYFITKDDDGNTKIGEWVLSEQGPKM NYLDLADFSPDTNLYAVYDEAQKKRWLILQQSDDTVIIYDPANNKKFDVSESDTRVMS NTPLAVTIIPGNEEKKRECRIVIYFVSVLDKKLKKKRLLSANAVLGGKTITFEEPEEQ PRVVKSSDDSETVVKDWAGLSAYLDTPRERVVVFGLTTKEEGREATFQAIQHPWKRLT DSK UV8b_05562 MDYQTRLGVSSYFVGLGIGDAAIHILYSTTGPAADKTVERAILM DGGGGASPFTMRPHNNINNTIKDIEDAFFDAFVITHWDHDHYEGILWFLLCDLIEQQQ RNPPQDLLTRARYGGPQGNDPLSTFYAPYWAGCYQGENHQYPDLKARITRRFQTRQIQ PVLPPVLYATATLDIQYGNQWFYNLLRVRAGKEQLLGRNLFERGDRPQGAVPRAGCAT LTDLMNLYPAPLYGNDFPAVPQGSEQPGMFVVACNMEVVGGEIYNNVPADGHLTATNM SSICCLLVWRPSNYVSMYTAGDVHWQLEQRIASWMQYERNQPLGDKAVTVMKLSHLHL TCWISYMQSWQKNVRDDHQGANISSAREIFDRIREQYQDVCDTLESVGFSSEDVPLPY FVELISGDLQNHGNTEEHYWRNEGVRIRRHIFNSLRAEILPVLAFEFHELYLWTQSLK DYVTFTIVGAERNADYNRVQFRYSGQSVCTMEKKAWERQVIDFYHPEFVADSVPGQSH SRNDSTFSWDSMAEDIALLNLRSRDEEGIMKPQSSKPHYLVAEGVKGGGSLDPNDTTA VPRSNPLHTFIRQLPRHRIALVSRPGSDPPSSSLAGPIKVALDETDEWQLWMRTVMFA RPEPRTRSEKPLIFFRASEWPLSATTPINFSMTTNIDIGDGLLVTLTCDTSTASKALG VEQSIINAMVAGVGIMPMGIDVTLTPASSWTLGRVAELMRFSHSKTITFLQNLPLEPV NASSSGQNAVWHAAGAMHQTMIDLEFKIPKEAHDKFSEWINATGLELTIVSVTVRARR SGYYRWARPQKKPQSEANKDPDGDRIENQSTMLTKGSISFTVAVTLSGYAFTMRIDIA NDYVQFSLQRDVADPGESELGKLLRWAARALRNTNDQASSLGLNAKGDYEGSSWASCE HWIEAAADFLGAVRPRLIQFTIAYDSNGKMQGLSDASIILQVDLKTGVPDDKNTVVFF LTFGWNTHGASMGGRLWCAPDPDSMWDNFETALPGYEKRWHLEPVNPEKAMDHVDLKK LLGITDLPAGVPTEVTEAEIRLDGKTMTFKGALSCSYTGKEPAQVPMLKLDRLELYAE RTWADKKTGTPATWQVSLGINMLLYPLAKDAAALDDGKKPASGGDDAPCQLTGEISYS SGTGKDDSTWTLTAEMVGLKVSHLAQFWPEGTVRDSAMGMLSHITVNSARLTYHFDAG DGAGGKDFAFDGAVTLGGLRLRLDFHCNSAAGWEFGAYLNRDTEDSASVGDGAATVGS ILGEIAGKDSLPLIPGEVLSIPVTRPGSDEETLSFRCVFVKDAVESLDDKKPGGSIVF TASIHINAISLTMIQWRDVSWDRNVPSKRVIKVSLSELGTIKAPLVGELKQPFEQLAY MWVSDNASAKVQPKPKTGDAGAELSTHFSNLAEKSKLVAGIRKREVLLLNKVLKNPSD TLFFKSNAADPDKIKPQDFVVEAGSHFMVIAKNDKEEVVAVLDYVFARPRPPSPPKQL EYGAFADAETPSKEPAKAPLKKSAGPLSIENIGLTFDLDNNRLGIVLDATFLLGPIGL ALLGFGVSAKIEAPKKTSRLGHGVSTADEVTSSGFGSVPLSSPQVTLAGLMVSFDRPP VTVAGGFVRTKMDDATYYAGGLIVGFVPWRIQAMGVYGEVPKKLGKQQVGQARKHGRS LTFGVTELSSDCEGEDAVEELNSEKPVETFTMFFVILKIEGPLFSVGFADISGLTAGV GVNTSMRLPTAETVLDFPFTKPSGTPAPSEGPLATLKSLIRPPEGVEPWFSPREGSFW IAAGLKATAFSLMAVDAVLVVTMNPSIQLGIFGVATIDVPSLVAPVKFAHAELGIACV FDPAAGAFRFDAQLSPRSYVLHESCHLTGGMAFYAWAKTGDFVLTLGGYHQAFVPPAA YPNPPRLGIDWSLSDHLRISGEAYFAVTPRMCMGGGKLNAALSLGPLTAWFDAFLDFL INFRPFKFAADGGISVGVRFSLDLWLVTIRISAEIGATLSVLGPPMAGRVHVDFWVFG FDIDFGDRDRALREGAVGMLTLDAFKELALNDTGAAKTGVPMMGDWVDVKRHGHGDDG QVGSDEDDGKKNKTSERFLFNCLAGLLPDAAPDGKGQGSNAWMATMFDDGSAVPESAW AVKAGELEFGITLAFAASAATIYDQRPTARTKELKVDIPAEQQKIFALPMKLTEKIES TVKVRICRSAKCTAFRPLNDQQRRDDRWLVKPVIKNVPKNLWGEYDPRTDPALQGNAV ASLLNAPKAAGCVALVMGLTFQPPLPFISRDRVPKFNIVRDMLLRVKNEGYAWKDLDG KADKAWSPMARGEEAEQEWERVRKVWDTQETEARASSVVRLWSQRMGWKSGGDAGSQL AGCRPRGLLARFDDVVPAAPMLAVGAA UV8b_05563 MSNRPSTFRYLVPKLDQAESRPTDPPPLQPTPSSCLKVPHTLVA CDACRKRKVKCDGAKPKCSACVSRRQQCLYRTDLRVEMTELRNIAQDHNRVLELLRTA PPETVVALLRHLRGTESASATDDVIQPTLVPAHPHLHLDLHFRYPNAFPSLHPLEIEK FDLRLIDGSQQSSGFSRPRKRLRGADDFQGACSREEQLRLGNAGSASTRGEPHPASRP IASGPAHYVDSRLQSLNIRRWTSVLISNSFAARAISFYLSNEHPVLAVFEADLFLRDL VLGKGRFCSPLLVSALLAWSCATYGQFNPDAKAMSVLFLEEAKLRWIANQNQPPDVTA VSAAILLVLACNHHGMDRVGLLYLDSSAEMGLRLGLFDREGGEPPLDRFEDEEMRAAA AFAAWGAFGWHTLHCIYFRRKHRLKHAPSLPIPGEGDGLTLAHYMGTTFTWLSKFWRI VHDAFRDGYESYVQSPLHNAEAAYMNLLEWSRDLPESVARGPSCAHHVLIMHIWYQTA IMDIWRPFLSAGRQAGLRNFLLSEDNSPAAAYHASVRQLKRLVYQYRTRFETTNLTML VTPGFLYLVNEVFRDSSCPDAQIYFILSVRGCLSIQPWCPGLSGIAKAFMSFAWRIDL FCKPGWTSGLIENIRQTIEEQDEHATYSSLYPIDLRVGHDGVEHGNMEVLANEFRRVA TELNHRHKDAVQGVESEAAWKGDPRDLSLTLSVSYEEEATAFTDMTQG UV8b_05564 MASDAVSTGSAKVDAIVQNVKAAEPQKLSGLALYSRFALAGAVC CSVTHGGLTPVDVVKTRIQLDPKTYNRGLLGGFRQVVQNEGAGALLTGVGPTFAGYFL QGALKFGGYEFFKQQWINILGYETASQNRTAVYLASSATAEFFADIALCPLEATRIRL VSEPTYANGLIGGFSKMLKNEGVGAFYAGFGPILFKQIPYTMAKFVVYEKVAEAVFRA YPKESLSDGMQTVANLGSGLIAGFAAAIVSQPADTMLSKINKTKGLPGEGTTSRLIKI AKELGIRGSYTGIGARLFMVGTLTAGQFAIYGDLKKALGATGGVEIAK UV8b_05565 MATEYKFEGWMGLDADSANGNMVWQEYEPKPWEETDIDIKVTNS AVCGSDVCTLSSAWGPTPYPCVVGHEIVGVAVRVGSKAEGGIKVGDIVGVGAQSDSCL GRDGPCQECSNNCESYCAKVVGTYASVHRNGAKSYGGHGSYHRCPSHFVIRIPEGLAP EHAAPLLCGGITVYSPLKHFGAGPGTKVAVAGASKRQEALELGCDEYIATDDDDGWVT HNTRRFHLIICTVSSDKMPLQDYVDALRFDGTLVQVGVFKKPMQVGSLNLAMGRRRIA GSIIGSPSEIREMLQLVVDKNIKPWVEKRPMKDANQVMMDMQAGKARFRYVLQN UV8b_05566 MKGAVVLGALAAGASAWKYPDCDADNCYRALTKDGLKKEAESFC FGWLAGTTTASSAIPPDFQNCGVTEASSACSCITYTATHVTGPSTTVTLAPPTTTSTF VKPTSSAPATTQQPPTSAVASQTTGQPTAPPTTAISTASSQQPQVSSTSCGTGPVSSP ARSSVSSSPGTSSQAVGSSSPAASSSPAISSPSSPAISSSSPAVGSSSSPAISSSSPA VGSSSSPAISSSSPAVGSSSSPAAGSSSSRAVSTSGNTSSASITQATSQKPSTTANTE ATQITKTPTQWTTSTICITKTHTITSCPSSVTDCPGRGRTTVITETIPVSTTVCPVTE ATPITKTPTKWTTSTVCITTTHTITSCPSSLTDCPGRGRTTVITETIPVSTTVCPVTE TAATALPTGPVSVPIPTSALPPPPPRNVTTSTRFITQTYTITSCPPTVPNCPVGSVVT TVYPTETTVIPGWTTKLNPTTPNAPSGPTANTPSPAHDTSDVPTGGKPTGGNPAGPSP TESNPTGGNPTGGNPTGGSASVPNTTGPSPTGGNPTEPIPAGPSPTESNPTGGNASEP APTGTSPTESNPTGGNPAEPIPTGPNPTGPSRPSGTPTSGSPTGTNPPIVTAAAGKMV GSSELVAAAAAAAGFAAFFL UV8b_05567 MKPSPPTLAMPAAGTAVSPPPSSAAAQIGRPSMWTKSAQRKMTR LYVYTTLPLSKIVELIHSHSPEGAPGHDSAHKKLNILLDKEPRWLHPRNDSDMSRRVA ELANSPTRLSSAAAEAAAEAALDGQPSSASSHARTCRSPGLVWFQDGVSPASASLPQQ LPALHRSAVAFSSPPPPSGSPTLTLQNPSLASTAGSPLSHDQAECPGEPKLFSNFLRR TTCLSNSTNNTTGSFRRVLSDYSEPYVQTVKRLVKRFTAPLSAAQSSVSPSPSLETAA VAQTWVDEDDCPPKLQHRPFPIPGDALKLDECAYGEHCQATRESHTRQRCLCFDADLD ACVLPWVNRTGLTRTGQRLVTSGPTRHDLDVVDPFGNTVLHFLAARGSLDVLKQALKT DYCLTLIGARNSAGQNFLHVLDRRILENSDHVASLLATVPESASDLIHSRDDYGRSFV HILQAEQPPGGELVRRVAGLCHRPGFSVARDAFGFTATSGSDPTSTEGGQPRLALQQS LGPISATGPGLAGESRLLRHIRLAEQNPLLQDEHGRNGLHCLAMATLSSAAAAHKYQR PSPARDKITKRQRNPGAALDSSRNRLALRLGLLQGLLEAGVDPNQHDEDGNTPLMVFV ANLPEDDDYKMPPKILEALVQGGANVGARNRRGETALHIAVRCGRKLAMRTLCRHGAN VHARDSAGRSLLDVADAKMLGCERGGDTKRYAHFEACRAWLSGRGMALQRPSVTDEWG RR UV8b_05568 MLALISKLPFSGHPNSKPATEHSTTARPFQCERLPSQLLGNPLG QNRSRFDSTVSGLNPGSKSPESARDSYPPHGDDEKSHVAISHPVTVDDSLTILEGRER DAATPAVSLLVKQ UV8b_05569 MVKRKVAALEKLDADLASLQYKIRRDPKSYKDDFLKQWEQYESQ REIFLASPTAGTADSIESFHNMIDLIAHVADCYPDETRTYPDDLKLILTEHHATLNHD LRDKMVGSLVLLRRKDVIDSASLLTTLFPILVSSPSKSLRELLFHKILSDLRNSNSKS INHPLNRTVQTVLYNLVTADRASPRAIWAVKLTRELWKRQIWTDAKPVDVMKEACLSD NEKVVIGATRFFLGGDKEREELEDDSSDEEIDLTKVRHQFGINKKTKKMKKVYEKAVN KVRRDERKKSKPHPLNFSALHLLHDPQGFVEQLFSKHLQNTRSKLSLDSRILALQLVT RLVGLHKLTVVSMYSWFIKYLSPRQQSVTSILACLAQGAHNLVPPDVLEPLIQKIANE FVSEAAASEVAAAGLNAIREICARQPLAMTDTLLQDLVQYRKSKDKGVMMSAKGLLSL YREVGAELLQKKDRGKNATIGLKSGLQQQKKFGEEAVGGIEGLDLLASWKEEEKKRKR LARGLPETPGSDEEKDQEDDGFDSDEWEVGSEDSSDSGEWINVHHSDDEAPAPKKQRN RGNDGSSGSGEDEDEDEDDREKSEDPESSADQHQRMQLLATTTILTPADLQKLQELRA AAAIESAAGGNRRKGPNAPEARHTDDGLTAENIEAPAKLRRLTKEEKVALAKEGKPDR EEHKSTQAIRKSKKEAAGKSTSNREKQRKKNFLMTLGKAKSKQKRSLVETRKVLRGHV ERSTRGGRRRNFA UV8b_05570 MADSTALTPEEAHVQSLFAEHDLRPNTWMQIGTPPRPIFVDQDM FDASYALMVLQRTARVRTGMVTRRQSGRLPSPVERYEAPVADKVSKKKPRGSKQTQQG KGKGKGKGKKQAAKKAPARVAGGKRKRKEEEAETGTGGGEAKDVLAA UV8b_05571 MSFTEYMKRRSLKADDSRRTRAAELTLRQSIFPICLVTVLFFLW GFSYGLLDTLNKHFQNVLGINQSRSAGLQAAYFGAYPLASIGHAAWILRHYGYKATFI WGLVLYAIGGLLAILALKEESFGGFCACIFIIGNGLGSLETAANPYITVCGPPKYSEM RINFSQAFNGVGTVIAPVLGSYVFFNFSDQHALANVQWVYLSIAVFVLLLATVFFFAD IPEITDADMEFQAQETHAGGEAEKPFWKQWKLFHAAFAQFCYTGAQVAVASFFINYTV GTRPGTSDSAAAKFFAGAQAAFAVGRFAGLGLMNYMRPRWVFLTFILGCVVFLIPSIT ERGNTGMSMLYVVLFFESICFPTIVALGMRGLGRHTKRGSGYIVAGVVGGACVPPATG AAGDYFKSQGHADGTGYAMFVPLIFMAVASIYAFCVNFVPAYKDVVDALGDADIGIVN AAGDEENGGSSPNSEKTHPQHRNKAHDADAIVVPASRDS UV8b_05572 MDSLDLLAAISLQVDATFSARRTSNRWVAENKSQDLPTTGEVQQ VGTVETTLGPKMNDDDDDDDDNTMVIIIIIIIIIIYAGLRLRPGAAKRCKAKRGHPTC GILEKMLS UV8b_05573 MVRNLVQPNGWVVLRLPNENLRLLQITPNTTISLGKYGSFPSNL LIERPFHLTYEVEEKRRHESFSRLRIVPPTELNADTLAETNVNEQPAPGGEEAAIVAA EGEELTLVDEAGKVVARSNREIIDDTARQTLTAHEIEELKRKGASAGKELIAKLMLSH TAIDQKTLYSLAKYKLLKEKKFLRRFTVLPLDVTLLNKWLLEDRDAGKVLEMRPEMMG LLGCWADVHFGGEPVQGMNEPHGGRWLVVDDTGGLLVAALAERMGILHQEEHDDEQQQ QQQQQQRQQRQQGAALRPLRGGLPAPAPKSEEPDATGPDSERQTTPPQGPQPSSSGRR RRRDDLDEHYALTNNMTLIHANSQPNLSVLRYFGFDANDLNPGYPYHPLFTNLLPISW LQLVSPQDDVFYSEQLCDVPAEELASWKPNRRGNYHRKRRRWARTHEIVDATRAGGFS GLAVASTMDPISVLRHTLPLLAGGSPIAIYSPTVEPLAHLVDCFSIGRRAAWVQSPPA EAQGKSQAELDRWQGSREFPLNPTLVLGAAVQTSRARRWQVLPGRTHPFMTGRGGADG FLFTGWRTNPAEGRVSARGKFQKRKQ UV8b_05574 MPAYNSIFNDDPNPPRLVGNFPLLPLRTKTRGPAYTLPIPSPPL PADQSPDPDSESYDILDEVLSLFRANTFFRNFEIQGPADRLLVYGIWFLSDCLQKIKP HATARDAQKEVMNLALDLNFSIPGDPGFPLNQMYEPPRDRQDAEQLRQYMSQVRQELA ARLLARVYADDETKPSKWWLSFTKRKFMGKAL UV8b_05575 MDQCLLSQAAYSTTASPSHHRAAADRAALAASPPNRLSPTLTSR RAVNTPTSSPTGSLPPSTTPVPETGAMSQKTSRPLISGRRGRLRISRPENDSLLHLLH QHAGVSLFVRPICWTDVHSQLLGASFSELPPCDRPLPEKMPGSPPSKGHLRPSKAIMT LSDALTEILLPDALHPVLNSHAVNTVLSTLWPAAFCQPQLLPDLHIFFGDRVYRDSVR TQVMWKYPGDTAPSTQSSFVSISTRHADSYNSSASSASGPRNPANLPMMCYIGRNRLA AMRKNLFRIVSGPGRNWNGPVARLQQLRAKALLPTNPDHDAHFVAIFLAMAQRHFYGA PAPSSRRDSQWSPSKGAPQRPSFRDVKLRILTHNNDRAEFMVYTGHVTAQFLDRFHEP WKAPQLDGDGVPPGIRIEYTRVPIWPILGLRERLGRALGEEIVGQFDPTRMETWDVDA ESGATKDQKRKRQVPSKVCSGSSLEEGTESEKQHSPVKVKRRRLPEGSAIGVAV UV8b_05576 MLAAAHVRDSTDGCESTSGHHLLTTAAGAERATTTIRANHQANP MDRQHPPSLPPKKRCSTPPHPPGAADAAQPTDPTRLSKRAAITATNRRLNTALSVCHC QTRCWLPVAAASDSFVQRQKARHSSK UV8b_05577 MASLLNKTDSGPPPRQIRFVNNQGQPPSKRRRINAACLTCRRRK TRCAGERPVCSTCTKNGHKCQGYPEDLRKDAAVDFLEIKLAPEEEDDEGEGEEGEEEE EDTVVDEGRSENDRRRFRLKKSVSLPTSTTASAATKNHADSAGEHDSTTPSFVRPPLI RHHAPPAEHGKTDLDSHSRRHRSPIHHHQSSGHTDDAPSSPTVRRASSHRVPYFRYFG PTAIVPGFKQMVVSVRDRRRSTGGSQSAASPLSNQSGGIRASSITAASDVAVEDLPVY DPTSTVPVHPLILNLVKTFFLHLGCNYPFLKQPKFLRMVTEKRVESILVDAICAIAAR FSDAQQLTGANDKLPRTERGQVFAQRAKQATVETFPCPSVGAVQALLLMAYEGFGANQ DSALWMYLGLAIRMTVDLGLQKRVGIQYQGEKDPWYTRNRSRGNGGESESPDDKKTAD PDALSPEEQREVEQERIDTFWAVFFLDRVISSGTGRPVTFRDDDFELPFPVARIDATT GWPMLFPVLLGIIHLYGRVSDLLNNVHDARDLTEEKWSKLAEMEHQLTKMYKGWDSRL QFNVSNFKAYLSFGQGTTFILLHFWFHALFIVLHQPTLLTPFGELRSEHQLLPDSREL SMSSAKTICDILSFADLIDPISFIGNPFTSQPIYIAACAFLMESSASASEGPSRESSP AAMLRRPVTQPKAPMSKHSRHSLLASAANQNYQRCYNSLQQMHTYWGGVKYILTALDQ KSKGIWDCETYTSEEYESTKMPAMQPGVAGDFGHLAQSSPKTGAAPPIAWSLAGTANS PSSNLTLMYQNSNGHSVSHGPHHHHHHQQQQQQQQQQQQQQQQQQQQQQGQGSRRQSS TPPGNMLYDPIRQSMPSEPGGMYPPAVPSSSIAGAPNASPRWARTRRPSALTNTTGQS HSASMSEGFPDTGMDGSKVYMATGFTSASQNSAGYEGYSVSPTSNVADGKAHSRGILT PNHVYYAHGMPYSTSWTFGNGTMDGITFDSQDIDIGALGLQQPELMSGWLDYIPSDVL GLFENHEMNNGQGGQSRQHS UV8b_05578 MDPRSCTAGILLLLRRRALASAPPQTRRFSADAAAAAGHRANKT QVHVSRSTDPLLNLSVEHRLLQVTPPDSTVLVLYVNAPCVVLGRNQNPWLEVDLDRLA RIAKSPGEIGWTDAPVGLVRRRSGGGTVFHDLGNVNYSVICPPRTFDRDRHAQMVVRA LRALGRPGVRVNGRHDIVIHDDLSGGVAEAGAGRATSGGSTFKISGSAYKLTRLRSLH HGTCLLRSPNLASIAGLLRSPAEPFVKARGVGSVPSPVRNVDLPNDVFQDAVVKEFSS MYGPLHLQTEFTDDALMDAQIRSGYQELASRDWTYGQTPRFAFCTHPTEQDPRPRPQL TFDQKLHFEARNGRVDEFQIDGYRDVDVARLGKTPLQDVHDWRLHLARAGLASGDAGQ LGIWLNQVLGTEFTQMPFPANH UV8b_05579 MPRSFSSGWNVNPTPTKDQVGKYGASLPNCAPVNCALLGIGNFP HGVGALPRSQTSFTSAETSRTPKAETP UV8b_05580 MEFPHRTQQQQSPTLPEPLSLHTPLPQPMAIPLRTANSTPVSSP GLFSPTASRYPYTSISERNSPAPINDSPFLLHPLQNQHKVRETHKALIDSDNLNGRKT INQYEVIEEIGRGMHGKVKLARNLETGENVAIKIIPRFSKKRRLGKVMALSPQDKTKK EIAILKKIRHSNVVALLEVIDDPELKKIYMVLEHVELGEIVWRKKGLPHICLYERRRT EREMGGVAPTAEEEQYNQLLERRQAIKELKRARMAQKFPGTSDYWSMEHGAAEEGGSV GHWSRVSSRDDFAITDGPSSPSGSRRSSAAPSRSLSLTSAGLIPSDLAEDLSWVDEVE TPGPLRSGPGSTAALDGTVDGTALNVHAENGSGEKFRERSSSVADSIISHMSSVDYNP YAQDPFAEDYSFVPCFTFEQARSTFRDTVLGLEYLHYQGVVHRDIKPANLLWTRDHRV KISDFGVSYFGRPIRDGELDETVSESEAKDFDDDLELAKTVGTPAFFAPELCYTDLES ATQPRVSEQIDVWSLGVTLYCLVFARIPFLAEDEFQMFRRIATEEVHIPRRRLKPVDP STSPVEHSLYKRQNAHPYRHDNDLEYEDVDNLLYDLLRQMLTKNPEKRIRLRDIKRHP WVVQGISNVAGWLDDTDPARPSQGRKIQVDEKDMSYAVVPLTFLERARSVMKKAVGKV MHPLGERSDSRSRRRATSSVASSAGDSVLKNAVPNTQPSLERRLSLRAEDYFAGATRD TPLGGPSQPATAATSTTAHADDASSYDPLATVLRPVEGSRGLWLSHGSNHLSSSSHSD FSAATACSRRFQRHLNHGRMTSQFLQLVPALSETQSTPVTPHVEAHSHPPRDGSRMSR ALQSQSEDNSRSASIDRGLFLSLDKRSHGSSSVSAAVATGIMYDHHHRHSGPVKSLDL GRATRGYNSPSPPSSSPPQAGPAAYQHGQPLSDSNLQLRQACSSGPSTNPPATVGSLD SLKRPALYATPSVPTDQFIQSVDAAVESLTHSQVLPADGIEPAKIPCPPSPNYAAARA WPEHAVLPSGDAINTAKSSSVESMDSTGTPLTSPGETASPSSTERNKSVPEQLLIFQS DPSLPALLSGASSVSADMETELLGSPRFANGHGLRHEGSSEAVTPPALVEEPTVSPLF EHPPAMESGPIRLRLGHDGESSPEDMDPSTPHADEEEDSDDGIFLMASSKKKASPTDA SPTGRSRSRPGETRRRDTGASTASNETAKKVAVCSDDLRAPGRDVTG UV8b_05581 MAPRTIVFFHPDLGIGGAERLVVDAAVGLQARGHRVVIFTNHCD PKHCFDECRNGTLNVRVRGNSLVPMSVLSRLTILCAILRHIHLLLTVFLSGELAALQP HAFVVDQLSAGLPLLRCLFPAVPVLFYCHFPDLLLVRGRQSLPKRLYRLPFDWIEEWS MGFAHAVAVNSSFTKRIVARTWPRLAKSVVTKVVYPCVDTKPRADGVQPHEGLSCHAD KLILSINRFERKKDVGLAIKAFARVPQSQRKRVCLVVAGGYDPRVSENVQYHAELQAL AGSLSLSHHTMTPSNLSAAAASLAAAPPETNVLFLLSISNTLKAALLRSSRLLVYTPT NEHFGIAPLEAMLSRLPVLAANTGGPVETVADSKTGWLRDPADVQAWSDVMRQALAMS DEDVLNMGVAGEARVRELFARDKMAESLDQSLDEIVKMSATSPRPLGMLGGLVVRGTF FALAAAVSAVLVVRFFGLQSR UV8b_05582 MSGALFTVPILPCGPHPGGSITCAEPQPATYVLTFASPPDNRLT TAFCRALLQALDVIEFGGYKPGVVITTSGIPKFYSNGLDLEHAINTDGFWQLFYDVWV RLLTYPMPTVALLNGHTFAGGLMLAMAHDYRLAPSPKGFLCLNEVLFGAPLKPPMAAI FRHRLTPQTFRTLALEGCRFTGQQAVEHGIADATATCLQDALAFVGDRQLADKPKAGV FGVIKTELHKDLLSELRREGVDRQEGRFNDDQRLEAERKEFGKVWYAQWAKDNKPKL UV8b_05583 MDSASEAPSSSSCPLQRSLSQHSATSTRSHKSTRSSRSAALRAG RSRRLLSQPSSSASSVAASDKSLTSFPSFSPDPSCADRCAEDEEPLKNAAQEPENSSG ARHVGSKSFSVVDTPMGKPSPRDALFGDAPLSQTIPGALHQADDEHIERLIGRHGAVS LVRQIAGDLAQRDAQLAAIRRRADQRERALRKIIRECGLSNMDLENRLKIVELEFRSG KRQSSHGDHGLSGLMSDAMQDSMTMRADGEGEIWTSTLRLGGNTDATIAEAGKSTLRG WKDYLWGVGTVKRATRANGDDANPTAFIRARSNMGRRPAVQEDLFTPPAEASITTNMS SSSRASSVHSAAAPERKSSTSLASLALRLVAGAAATPGAAESRGRAASASARAVPTRA GSSTSTRTAQSARSASMAAGNNPKALMAMRKTSQTPQALSSATSLGQDAWASMASSPP NHSSSLRQESYGPVELDAIVPPESQPPTLNYTYNNNRLAAGEFLTDRFGFIYDQRRKK KQQEAAAAAAAAAAAHHEGSKGSSRSELLASVRNRISPILAEDLPSPKDSVSSGARPG SPNSHEERAEEDGKPKRWQDYLKIATFPTELLSHTPRTKASTLQVLEGTGSVTPELPG AEPAKGLVITATNAGLMPPQGSATTAVDNSGTPQPSETDSTAEPPCREETEPVKILLE QLSEVHDSLQRDKTVKWNDFLRKVRAERRREGQAAVAAAAAAAEARHETPAMILPETR VANGEMIGIAGLGNKGKVGRAKWNEFKALVLGGIPVAYRAKVWSECSGAAALRVPGYF EDLVARDGHDDDPAVVGQIQMDIDRTLTDNVFFRKGPGVPKLRQLLLAYSRRNKDVGY CQGMNLIAANLLLIMPSVEDAFWVLASVIESILPHGYYDHSLMASRADQQVLRQFVST VLPKLSGHLDSLSVELEALTFQWFLSVFTDCLCAEALFRVWDVVLCTNDGSTFLFQIA LALLKLNERNLLRCDTPAGVYTYINHHMTEHAISIDGLIQAGDGLRRVVRREDVELRR SKAIQAERAMVAARDEARSSRAAAAADAGAGQGAAAAGPDEELALR UV8b_05584 MAPAWLDSLSEDWVSQNGSDASEGQLPPLPPQDEVETPQIRQFA SRIPRRAGNRSPAAPRSENSSNILSERSANEINISMKRLPSKLSQEVKPDVSRSASNG SVVHKSLPGRRSPDKESSTPEWRKRLIHGDMPYGEQRDLFCSPAVGLQDMFKPPQESG RESRTGHDGPHYHDTTLPSSPPTFPQPITEADLGDYEDFDEDDEYAVDVTPSPSPRPL QKGIKYRPNQSSPIPDDRNSNFPKGSSPPDPPTQDEIYRDESCLSALAHDDVMRKPSG QSVTRNEDFSPILIGKHSGGNGNVEFAPIEVPVDQLWAKLERLRINQMLLSSQADFQA GFDTSPTKMPADAENTDEYIGNGGFVNVRRGGRSGDGSFYNRGLSSEMVADTSGMLPE ESLQASTPKQFLSGRGTSSYPTVQVLRSPSLPRAPFPSPDKKRRLSGSTSQPENSPLR LFGPYDTFTNQTLLRRISQFEEESKSPSQRSELSRETEASLVPESPAETSTAQSRSLS RFGKNDLEGYEFRGDLSDAIYEDSDVVGKENIAPNHTSLPPYPLQGSATREGSPDGVS HLVIDRKRSKNQTPPQTKLNEFPLSPTDIPSSAATPKRDPASDSKRPITSPSKDPTPK RRRTLHRSDIAFGGEAKGGAADATITQTQSPMSNKKRKDALPGSFEVADPGVLAQRPI LYPQGTASSRNRMVSQDQTKRSAIRAPQMEGEAASSDAVTETDRKPSIRTQDFVDQAA QIMAMIRNQVRPELASLEESEEHGEEKGEEQKPVSDTGSNQDSTNEPFSRPPSREGKP VLWVQQRQADPELLSRLKKYQEFSDLGDLITSSTKYPGLANEEPAGQRDRTASYAGPM PVLGEVISDLPNVRITSNASRDVQQRSPSRDYPSISSNQSTSRNFPSASSRGSDSRRT LLPETVSHLIPDRVGSMCLDKDNNVWVKRREPPPAQGRHSLLSEDSEEDPFASIPDLS VDMNKEMQNLRLASAAKEALTVHHLEQRDSSNSPSRRTENRGHVTVPLSQSSASRAAV FKAGGISKPAAPGEGQQGEETGLNNHDDEVNKSRGYSSTKRRNLTISFSSPVASVIRD IMPNNSPGDEDEMAHPWPNRSAEETSCQKVTQPTMTTPDLRDSHKQASVRQASLRGPA FIPRPVSRIDEQDEESTVELGHADRQLSIVGEQSMMSQKTPDGRRASLSFVFNHTPGC GPLALTADDSALIGRNVGKLSLSPLSEFTLNNPDQSFGFEVSYVMGPRHLATGNGSRK VLSMTIRELVDRLGEAEPKESFWEDMTSLDLHDKRLASLHMLDEFCGKLVSLDASANK LNHLEGVPSTVRELKASHNCLTELTSWDHLMNLQYVDISNNEVTSLSALKNLVHLRSI RADNNQLTSLDGLNSHDGLLSLRARNNMIRAVDFSVSMFRRLQDLDLSQNQISSIKNL DLLPALTHLRLSKNRVTELPEVACMSPLRHLDVSDNELIALDVGHFRNLHSLHADRNR IHHASGFGSTRRLDSLSLREQRGDKGLDLGFLAMASEVRKLFLSGNHMLDFDPQVDFL NLQLLELANCGLQQLPEKMGQLMPNLRTLNINFNAVSDLTPLRFIPRLKKILAAGNRL SDCAAVTELLTEFPHLTQLDVRDNPVTLGFYAPLLQVMVPAAGSGSVDPFILPNADAA RDGTFASRLDEATKLRRRLHQVALVASCGRLRTLDGLPVCREKMLARDELLQTLMQDG VVPDAEQLEAGNNSLQPGRKPTEDDNGADEETVADEQARAQLEDEAEAAWQHMSTLKS SRWSREGSFA UV8b_05585 MAAGKGGSTKLVDVWGEDTIYSECLCAPEDVLYEGSEDDGYESS SIRKRRYEAAGQRFLAGTVPFLLSASMKGPFEGSSGWKNPWLSKRHNASIEQTTDSSL LENGRSAEQRGPRQIHDRQDVAIQLPPAINCHLPSPESLKQAPYTQKHPHLGKDEFEM VCRWREDVKQPPLKKDECWAAVSMNNAPPAKKRSASDSEWLKKTPAKKPKSKSDRKDE HSGRIEEDVDELMADEPSSNFGDTPPFNSPSKRPSPKPAMRRSRGKRICESDDELSPS KAAAAAALSSPVSLRKASVTSTPTKKEQSLNHITSSASTPTTPSRLRHMRVNVVESRN DTTQSEDVFIDQQSSVSIIRARENEQNTAKGACQFERIGALSNGNDGVFAGEQLGRVN DKPGNPTLGIEPKRDISILQQTISKGQSFDGQNIAPVLNSDETRIDSNDENPIAPEQL NKTKRGTRRIMSDDAISTPKEYEKGGSKASEDVETISSQSKLPNLGPLRNPRNECQAL AGIADCSKGLSQVESSPPRNRLTPPTTKSSSSSSQIPEHLPVSGDDFSKGTTAAAVRE DSANLATEYPEETLPMQYGILNDQGKHNDMAIDMGYNPINSRLDSRSKVAETEPIAFA SQQSPWAKTDEMMMPRKPLESVSPNLSKARLLTTLQTELEASSVLVPDVQSPWFRVEN CLASSFNSKRVTDEGVTKPSAPQQRPRTPEPQFCFKPFSSFLSPSPKRPRNSQKWSSG APGSRSSILKTRWSQPRKAGRVSWATPLRQAQGISVTGQGDEEMPASCPRERNRSPPP ETSSISDLATGVGNKFSKHFEAMTKGTNGFCAKLLPSASQSTTTSPGHGAMAETFLAA EAGAIAQDQAEDEEERATGEEGLDDGASEEPMDIVEDMFREMGDFWDTWDIDTEMEEA RKAGNKDGRHIHHTM UV8b_05586 MATPTYDAASLFSVHGLVAVISGGGSGLGAVTAHALAANGARAV YILGRREESLLSAKQKSPKPDVIHPIVCDVTSKDSLAAAADRVRTEMGYVNVVFANSG ISKAITGPLDHFADIPSLQQKLWSPDMAEFTETMHVNVTGAFFTAIAFLDLLDEANKR SDVSEASQIVITSSAASFARQLFSGFSYSASKAAVTHMTKQLATTLAKYRIRVNAIAP GFFPSEMTQNLPFMNSDKGDPRKAGALDGDLVPLTRVGSEEDYAGVVLFLTSKAGGYV DGAIILIDGGRMGLIPNSY UV8b_05587 MAQLSRPGNLEKGASKDEVKPRGPSQHNQRQPGPSLLTQALTSA RGIPQNQKENSNRAVNGSPACSDNNPGNVGVNSSSSGGGGGGGDIRTSKRQLNSLFRY TDRDNEHAQPSYSASRSNHVKDGGQQASEARHHTLNTSTMAAPATIPIASVAMPSRQP HIVPHPYSSSNLAQAREVLLEHRDFLDKNRGRALNSLDLDRSTSEVLKSRVLSISASS GEPTSLSKSSLLSSESALSNNKYLHDPLLDRRLPPSQQPHVAHKSTMSPEKTEKIWSI GSGDVEEEDGLVEKSVAEAMAGVEPNGRSRKASYCLRFFKEGLPQEEKQRRRDNKTVV PRDKILPTSEEGNESDMTIPAQNPVGDDQQATSHISRHSDPTGPAADYLTPSPASAGP RGDTTPVALGTYPRAQGHESRTEPIPPPSKVGPARLAVTDSVEEGQRTADGNHIGERP PTSDVLVDSGARTIRGHEDDDNRVDVDAEADAEAGNSLDTEEADESGEEKISSAVFLP HQEMPAARALQVDAASSSQLQRPRCILHSMSHPWLVKADEPEPEVHGGEANDTPHHDP SHFQSREHAIPCEPELSEETPGEAAVVDEPDVMKYPAVVKSSAIMTPYEDHVHQHQHS PRQPLEAIELIPYKHQVGGHTTLWRFSRRAVCKQLNNRENEFYETIERYHRDLLPFLP RYIGVLNVTFRKQPRRKSTFKKDETTPAERRKELDDINGAESVTNGAEPDTTETSVNE ATQPRIVSQSLANTVLRIPTVTFDDNRHILPRNLLQPTPPPECFRRRSTSAAKLYESS PKPQMTRPHLDERPNSWGATTINKRLRNEVFNDAFLKEPVEVQKHRRPHQRAVPRLTL QRLLRPTSSDPNLAGSDMQDAGNGDVCATTEPRPPQIRHHGQSRSDVTMAATNSPSTT QYLDEPTAPMKDITGTSAPEVETLKATLNAGRKRRRYSAGGLRRRPEDVQNSRGNLKY FEEADDAEYKNDGEPHAEKAGENGKNATRVDQATQSMAPNQQSSTTATLDMTSSTAEF GKIPRPVNPKEAKTQRDRVEYFLLLEDLTAGMKRPCMMDLKMGTRQYGVDATPKKQKS QQEKCRTTTSAELGVRICGLQVWNVKTRSYEFQDKYFGRKLKAGAEFRDALQMFLYNG VDLNSILRHIPLILKKLAQLETIIHGLRGYRFYAASLLMFYDGDDSEEGGYETVYDST TDVATDTEETVKRRKSPREIDFKMADFANSLTPLDNISEKTCPPQHPGEPDSGFLKGL KTLRLYFLQIQADVRAELDVGPRHAHANGQWTASTHTEDYPADFENDMDNRSFSL UV8b_05588 MSLLFSIFPSRHPQDRPKEKAQKTTDFSLSLLTPINMPYMVRHS DSVTETPQTTNQTVVFAHITEPTISRQSINRDALRGRDCAHQKAPAKQIFVSAQVVKT RTKSSNLDSSYTHASLSIVAQQNNKAKLQSKLGHAQDKRGILKSTKVF UV8b_05589 MQQQTGIPTRHIMSNNSRIDSWRHSIPSRFEREDPFANDGFEPR CSTRLTFREDSAPTRDSAPSSRLGQLLPRFARPVLGSGSTPVSPSTADDRPTAEATRG KKRKRDRFRSLLRRERGPPPPPAIHAPLKLRFLFVGDRGSGQTALLYHAKYGHFPDTS AIPRTPFETYMLEKDGTPVVTEL UV8b_05590 MSSITPIVTIGAAIAMLLCAATIAAAHFTNSRANAFLTLLTGLV SVSICITTRHIQVARARRARTWPIPPFAPPKDAAPSQGEYFLFVLGSGGHTKEMLMMM DDGTPHQGSCHRRYLISSGDSMSESHLDEYEAAMRRGASAPGTYDKRVVTRARRVHQP LWSTPWTSLLSIVDIFPALLSPPRNGAAKEGPKYPGLIFSNGPATGLPVALAVHLLKV VGVVPHEAMKFVYIESWARISTLSLTGKLLYYTGIADAFYVQHEEVAVKYGVMNAGQM VFNAQRPPPVTSS UV8b_05591 MGGRQIRPARVFQAVSQELNQPMLSGHPVAQPPWFQAMSSIPPA ESLVRTVTPRHRMPSSKAKKPKKLYRPQRISYPEDALRTTFYKDHPWELARPRVILES DGKDYQHCDWSKGLRQPGLALTGECVIQRQLHLMENEKMSKRKAYDVARKEFYNLRQQ EEVERRVALEEAKYVGAYFGKTRLDVGMQLEDQEFEHWKVWAGKETASREARSNSEIN TFNAEDEVEELPVAAAAAAAAAV UV8b_05592 MKRSRLDGSVCGAVLNRQATNLTSVHRARRSFCTTSAAEALGQA AAPPTSCAKSSLWISSTFMLATETRRVSHALQPGFRRQRYSSAAVSTARKSSLPYSLL QPSGEHDKMHLMSFVDARGEGTVQEHLDFHRDPYRRGYAQPEPPKLQVSEKRHNIEYP KREETLKTCPESQDVIARLCSAIAQRLRHPNRASLDAIYRLYTRLPEPRMLFLPWAWR NRLLKVMGTPFRRDTESMLRYFALVADVKNAGLTLRRTQWNFALAFATKYTARATNQE MKSALRLWREMEKEANVMGNDVTFNVLFDVAAKSGHFTLAEMIYKEMETRGIGFTRFH HVSLIHYFGLKLDSGGIRAAYKEMVDAGEMIDPVVLNCVISGLLRCGEEDAAEYTYQK MRNSHASVPDMPRRDYMMKKVVTKVLMFFAKVGKQHPQLKDSLQMSVQRAPDLHTYTL LVQHYAIRAGNLAKVAQYLDEMKHLKISVHPTLFLALFKGFHLHGGYAGSEWSEKRLR GVLAALYQAKDGNGKAFRIETWVVIWALRAVKKCSSPDAVLETFDELSQRWEIKGERL QFIHAILDKIVQSKDMKWSRRRQAR UV8b_05593 MQMLSRAAARTTTSLVSRRGFHATRARLSSPYHYPEGPYSNIPF NPRSKWFGVGFWTFAATGFFAPFGIAVYQTYKEH UV8b_05594 MDELFNVFEGKPEPQSASDSEREPQKTRTRDKTKKRKADEAMNG VAEADTSKGGAEVEMGNAAEAEAPKAEASDDEDSDTPSQQDKKRRKKGDKADPVMTDT FQTAESREVAGAATLIPQESSLVLSHNIQHQVALPPDLDYEYVPLSEHKSPENPARTW SFKLDPFQSLSVASIERDESVLVSAHTSAGKTVVAEYAIAQCLQRNQRVIYTSPIKAL SNQKYRDFEAIFGDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEIMREVAWVVFD EIHYMRDKTRGVVWEETIIMLPDKVRYVFLSATIPNAFQFAEWIAKIHHQACHVVYTD FRPTPLQNYFFPAGGNGARIVVDEKGNFNEQNFNYVMKAVEEKQGTESNDVNAKLKGK GKDKKINKGGPDEGSDMQKIVRMTIRKNFNPVIVFNFSKRECENMAMNVGNFSLNDDS EKAMVNKVFQSAIESLSEQDRDLPQIQHLLPLLRRGIGVHHSGLLPILKETIEILFQE SLIKVLFATETFSIGLNMPAKTVVFTQVTKWDGVKRRPLTSSEYIQMAGRAGRRGLDA RGIVIMMIDDKLEPDTAKEIVTGAQDKLNSAFYLGYNMILNLLRIEAISPEYMLERCF HQFQNAASVPALEKELIALQQERDALSIPDEATIKDYYQIRQQLNMYTKDMRCVIQHP SHCLSFLQPGRLVQIHNPKEAQDNVAGGLDFGWGVVVNQTARRGPRLGEPGFEPQESY IIDVLLTISASSSDFTPGQPAGDMPPGLHPAGVDGDKMAAVVPCLLTCVKAISQLRIF MPKDGLKAEADREQARKSLAEVKRRFPDGLPILDPLENMDITDDSFKKLLRKIEVLES RLLANPLHLSPMLPSLWDQYSEKVRLADKVKEKKKAIAKAHSIAQMDELKSRKRVLRR LGFINDGEVVQLKARVACEISSTEGHELLLSELLLDRFFNELNPETCAAVLSCFIFDE KVETQALKENLQKPYREIQAKARIIAKVSQECKLNVNEEEYVTSLKWQLMETVYAWAQ GKPFSEICKMTNVFEGSLIRLFRRLEELLRQMAQAAKVMGNEDLTKKFEECLQKVRRD IVAAQSLYL UV8b_05595 MGSHPLLVTVRFSTSIPDIDLDIPHPHTTTVLALKHLLRTRLAT RSRLRLIHQGRILPDPAALSAVLKLPAAPPPPPSPPPPPSAKGKGKAVAGRPPPARTY LNCSIGDDLSPRELIDEQASAARPPSAAPAEPAAAAANRRRPRARSRPRGFDRLLQAG FSASEIATLRAQFASIQTERFAPDAAPSPDALRGLEDEWIDTNAGELPSAPANPLDDV GNMSSVLDVLIRGMMVGFFFPLGSLTWLLRQGVWSDKWQIFVSSGIVLSITVGVVMGM SGER UV8b_05596 MARFRRPKYLTCFYCGKRSGLKFDASIRDFQCLHCDATNHLDQN GEITDPPVPTDREPAPAAVQYAAPQPSAPTSQPPRAIFCATCLKNQHLFTTCLAQYLP DDPSDPDYPELERNYYRYRRDLERRYPQVCRECAARVEDGIRRAGYTAKTDHLRRMMD RSRGKKTRALTGLDCASALGETLWRAGFVLQMLWHSAVVSEALRQALRQALRQGDGGM RDPDGGDGQAALSTLVSTLARAASCLPAGGALIRWSLKAGILSAWWNPHFVQANRGFT RHLLGFAQWYAFQGLAIVLRVVSRGLDAHAQTAGSASARLGAHAAMAAVTAMVFILAG RSIRVDTTPLFATYDRPLMPDQGVASASRGTSRGTRDDVKTFSDLVNDALDSADVTPQ RPASAAVAPSPQSPFGARPPVTTFRPTSGDTHQPGTPSKHAQQQPQYDQEMDWSPITP QHQHRAFNDDPTSTPGRFGQIGSHQGSGPPGSEPRGPFWYRVPAAPVEPARRLRNPGW SPAPAPAPAPAKEQPAESGKAMFTRRGHKPTASQTSVFSDASGAVEFKQPRFFAPQKD QDASSLADLLSQSFSLGQDRDTDQQDDGSASTPGRRRPEPASRDRNPHRHQLQATIVT VLLSLWLLAMFVAIPSLREVQLATLFAAAGCIAFGGTGDARQLLVRSRPRPAAYVLSG ICVAELAVVCWAGSKVWSGQSKEVEWHCVGVLTTMLVHRAASARLRGHHGG UV8b_05597 MASKSTKSISSLDPENGSVKDSTTRRPPDVQHNNDPAQQATNPM LTRWVYETRLEELPLVDRMLQKNAGTQKKKESRGESRS UV8b_05598 MGRVRAPKYSSQPRGIQKPRRPQTARHQNRSRCTRNKSSCRQYL ETDSDCVADRLIDTLEKEPQSTSQYPLAQQRQDTPADSQDDELSNPIEYWAREGKWPR HYFEPKMEHLIARKKLTLSLSRKRSSSGTSVTPSDQRPREEKSAPYIDPRYVTLLEAN GSFLDESDLGVADESKRKYLSLLSTEQTIPSDTLFRDDLFKETFRSVQKRNEARIIRD ITPLIVPSAEILRIRGANHLKILIESLNEGWNNSVPLTGTRPQPDYSLGFKREAFSDS QLAKLSPYIGNYIGGDKSYFMATYSMYFPFLTCEVKCGAAGLDIADRQNAHSMTLAVR AVAELFRGVKREDEVNRQILGFSISHDHMSARIYGHYAVIQDKDMKFYCHPILNFSFT ISDGKERWTAYRFIQNIYDVWMPEHFARICSAIDEIPSDQDLDDPSLPSTGL UV8b_05599 MSRSALILGSGYVATPTVEVLSEAGIQVTVACRTLASAQKLVGS FANTKAISLDVNDASALETAVAQHDVTVSLIPYTYHAAVIKAAIKTKKHVVTTSYVSP SMLELHDEAKAAGITVMNEIGLDPGIDHLYAVDLIDRVHKEGGLIRSFKSFCGGLTAP ENSDNPLGYKFSWSSRGVLLALKNNAKYLEDGKVMDINGVDLMETAKPYHTGFIGFNF VAYGNRDSTGYSERYNIPEAHTIIRGTLRYAGFPRFIKVLVDMGFLRDDEQDYLKQPI AWKEATKQLLGAASSRENDLLSAIASKTSMFRDEDQKNQLIGGLKWLGILSDAKITPR GNPLDTLCATLEEKMSFEEGERDLVFLQHKFEVENKDGSKNTITSTLCEYGAPIGSGG YSAMARLVGLPAGVAVKQVLDGTISERGVIAPMTTKINTPLMKELKEKYGIECKEKIL D UV8b_05600 MISVTEELDDGARNETTAWDSQIISCRPQNLASGGKETTQFGTS TSRFSEKSFNSLSSSSSNSDSVDYALPNPNEGPLNFGLVVPGVYRSSYPKPQDYEFLE GLKLKTVITLVKKDKVDHDLDSFIAANGIRQIIFNMKGTKKEAIPISTMRHILQLALN RENHPLLVHCNHGKHRTGCVVAAIRKLSGWQLRHIVNEYKTYAEPKIRECDVDYISSF QCAPLQNLYDLYYEPTRFNAVQRRVFVRILLFSTFVMVLWLVSGSKMLVLRDNTALR UV8b_05601 MPGDTASPPPRSKSALAHLHDWGSSSLPPALLATLVTALHARPL QAFPLLVFVPPLLFSSYLNLSGYPTGSAGLSAAWSGLYALLALRRRQPLRSKVSARGL VRGSAVALGGGNAVAGGWVYFMGDFRRDEEERLRRNRWGGGG UV8b_05602 MAAFTTFRFSPETGEAHILKESDDPRIFNQNTHQACNRCHDKKL RCSGNKDGCDRCAASGFHCEYSRPGSRNSRKSRQPERYPCSSRTAEAKSSPKNSSSAQ APRPSRRVNGQTSRGNCGPSFSSAADVKGSGGSPYSIAGKSKSGQAVYGMDVPAALAP STHSSYHAFAPIADYASHSQDFSQHWMVPGATASASMSCPYMLSSGQAYGHDGSVYVD FANHPAYDAYHNDPRLWDLSHQ UV8b_05603 MSVYDLEDRESRFLVSFRHSRHRDQGDSHLLRRFTRHLDDMVQH HHYYCCQEGPAAATPAPAPAPAPAPATNTFQIDIVDQTPNRIPARNGKEWRAEFPLSF KAEDIIKCLTSNPGKYKIMVYWVGQGGVTEELAFPIRGRELQERGRMLQIMERQAVNK ERLIAFAPGDLS UV8b_05604 MAGLSPQITNLLIMLGMMQVSKRIPFDEPGVLTTVRAVYLGSNV LIALLYLYIHAQINKKKDLTTLKYVEPPPMGSTEEGKLVTTTIQAYDKDQLKALVRGQ MMGMAIMAFMHLYMKYTNPLLIQSILPVKSALESNLTKIHVYGQPAIGDLKRPFKAAA GFMSAMQQGAAQSDKKAVEAAEKSGRGGAKEE UV8b_05605 MQRAATPPPSQPPPVASPPTPEVTRRIEQNRLRAKAIRDQREAQ HRAAGTAPAGPKSASGHVPTKDVHVSKSVTGKRPYDSTSLAAPSSTQMGTNRDGRHQG DPEALRPARKFAKFVDFNMSSMTDTKGGFLSTEDDPHNYALGAKKAGRPDDEQRPKDM TVQEWERLQLIRKLQRQKAGPFEPGLSVLDDAEKRKKCRECGSLEIDFVWNEVFHTSV CNKCKEAHPEKYSLLTKTECKQDYLLTDPELRDPELLPHLSRPNPHKSHWHDMMLFLR FQVEEYALRTKWGSAEALDAEYERRESHKKARKEAKFKEKLLDLKRKTRTDAFRRQAG TLDKSGASKFGDSIRDARHVHQWGRTVQNEEGMTIKTCVDCGMEVEELEL UV8b_05606 MMGPNPGNGVGGMEAGVGSHPNGPNQPQATEYTLQGVMRFLQTE WHRHERERNSWEIEKQEMRGRIAHLEGQARRADATQKALKKYVAILERKVKDQAGQLK GTPAKEDKDAAKNKRAAKIQEKLQSSLGGKHHVPGVQDIEMEKPDDDTQRNELKTFLD QCQSEFMYLMITPANPPPPRDSPLLPFMEDMREAEAFGMPPSQHHLERQYPVQRPTAN HVHDFNAMGTRQPPAQNAPPQMQQAPSNFGTRQSDLQPQPMIRSAGDNQQAMYGSSSD CWSAPSVTTHAIDDNSLAASQAAEALGGMRDNPEPREKPVPGSDPDGWEFPEGAFPEP GAAQQTFQSASNRPDTDAFPNADYLPKSPSRVPSGAHRRKGSMSRRRSSEHEPSLGAA QKSDSGSFKLRFGLRGHLDTVRTVIFSGGGSPGEPEICTAGDDGMIKRFHIPRLDGHA GSFGGAASDLDVIANFTHRGHSGSVLSLTSWSPAPNFSTGGRALGDGWILSGGQDATI RVWERGRVDPKATLEGHTDAVWALCVLPATLGAIFGTSSRYGSADRILVVSGAADGTV RLWSVSAPPQLSSPQPGSNRSMTGRGGRVRGNSMSSGSGFSTSPQATVASSSPFHHTL VHVISRADGSKASPTSIASLGTSGETFVVSYSDAAVIVYDTKAGEQVGSMDSMETYDG SMKTGVNAIVATTSGLDQSNQHHGGSMGGDDENAGGGGPTGGRSIADSGVEGTIISGH EDRYVRFFDANSGQCTYNMLAHPDAISSLSLSPDGRELVSAGHDASLRFWSLEKRSCT QEITSHRVMRGEGICSCVWSQDGRWVVSGGGDGVVKVFAR UV8b_05607 MSIRPLPQGAVDKIQSSAAITSLNDVVCGLVKNSLDASSSKLNI HLNFVLGNCTVDDDGTGIDASEFEETGGLAKLHHSSKMPPNATTHGRHGNFIASVAAF SLLTVVSRRYRHETQAAVSLHNGIVLSRRVPPPPDERFETFAAGTRVSVRDLFGSMPV RAKQRANLFSERARIDKEWDALIKEVASLLLAWPSEVSILLRESNTQREVRLRSGSTD IVSRTSRLFTQTGLAGIEDAPSWVPVSASSRHVRIKGAISQTPVATRRCQIMSLGIRP IPNNFGTNVLYDVANKIFKASSFGLVQDEDLSPGPGRQHGKAKKGIERWPMFYFEIHL LGRDGCSALEITLGDSQHTLQAIIDLLRVTCHGFLKKHCLQPKRIRHMAADSNQPSTR FGWRRGYNAAKSLATAVTRPSSDAAPNPELTLPYSPFDGWNHIKVGTAVGVKEGAQVV VRQDDTKPAISAATGSCRRLAGESGAMLRRPFDKVDEAKELVDTQPSAQPEIGNVLSG APVTIGTGQAESAGQPKPAMGEATGRRSQALEGFPEPSAQEWLQDVLRCWKNPVFELT EIPIPTLHQGGPDVQRVVEMRREGPTSKYYSKQNDVQFEAVAMGLNGRLSRKTLSDAE VLGQVDRKFILLQLPLHSPSGNPKADPSSALVMLDQHAADERCRLERLMSDYFPPQSG PLRAFVEILDQPITLEVSARERELLDRFHTHFDAWGIAYTAKPAEEAKPCTVKVTALP PSILRRCRSDPGLVMNVLRKEIWKLEERGMPPPLPVHKAGNSMVSSFHNCPHGILELL HSRACRSAIMFYDELTMSECEGLLRRLSECAYPFQCAHGRPSMVPLVDIGSGSGRLGR WSEARSEIGRGRWRKWLHGGG UV8b_05608 MLNEPHDQQAHRRRPFSTWVKKLTNFKSSSDGDHPKRRMRVRRG RKLNNPYPQSGHVSDTHGNGKVNGSSHRRSDANLQSSYSLTTARTASATSLDRPTHSS VDGLAPATAGARSMAGTMSTENEASQSLAPSHGGASSLGGTSRTVGGGLDGRRGGDST FSSPAPSVRSLTTTLTTIQSLGPNGHAPYAQSSQNHSDNTNNTNTIISTINTNANTNT QSIQFSQPFPTASPASAIPPHLAAFTAPTTYTTATANNLLTDNASILTLASSSKRRRR RSMDTDASVRALAPSSLWGGSRESLPLSVLSSNIEQGGYPTTPGLASSGSRLGAERNS IYSTTGIAPALASERNSTLAKQGDGSSVRGGPMAHGRPDSISGGIGGVANPLASTREV KDEQEAERAAVNKE UV8b_05609 MAISWKSFDFFQVSQVSLPDDDARQLFEGNEISSVCAGSESLFL GGNDGSVSIVGKSWKVVRKFQAHETGRVTHMRQVEGTSLLVTVAEDLSSEPVLKAWAL DKLVKKTNMPTCLSTLTINNGRRQFPISAFAALHDLSQIAVGFGNGAVTVIRGDLVHD LGTKQRIVYESQEPVTGVQLATDEKLTTLFISTTTRILKLGLSRKGQGLPPKIMENIG CAWGCMALDKETGDVVVARDDAIYTYTMDGRGPPKAYEAQKTMIAMYENYVALKCLPS GASGRDPDSMRRRFGSNGHEDLFTATTFVLLEPDLRIIAHSETMISPVRFVFDVWDDL YTMSEEGKVYRYHEKPLQQRLEMLFQRNMFPLAIELAQNSGLDGQQQSAIYRKFGDHL YQKADYDGAMVQYIRAIDTTEPSQVIRKFLDTQRIHNLIQYLEQLHEHRKATSDHTTL LLNCYAKLKDIAKLEKFIKSPGDLKFDLDTAIAMCRQGGYYEQAAYLAKRHGETELVV DILIEDSKSYAEALDYIWRQDPEVAYPCMQKYARVLIENCPEEATKLFVLYYTGKFRP RKTPVPIVGEPTSTGGFTSGAASAVQNLSSYLPFPYIGASSAASPGTPSYNKQLPQNG VEASEEEDAPPKYKVPAPRTAFSSFIDHADEFITFLEACLKEEDLKAADKSDLYTTLF EMYLYKAGEKKGHHREEWEAKAKKLIEGEHVPMESSSVLLLSDLSNFRDGTVLVKEQA GLFFDIFRSYTSAKDTRGAIKSLRKYGPDEPQLYPAALAYLTSDPKVLDEAGPDELAN VLSKIDRDGLMAPLQVVQTLVGQSSGGGVATIGMIKPYLQETILRERKEIDDNRRQIE ALRSDTEKRRAEIADLGSKPAVFQATRCSDCGQGLDLPAVHFLCKHSFHQRCLRGGGG GGEGEEGEDVECPQCAGGNELIRKMREEQKKAAEKHDLFKAELEGSDDRFGTVAKWFG RGVMG UV8b_05610 MQPAEGDSAAMRRKQTLEYFTRALQEVQASNEAFRVLKTVSAQP TRGSGSNSNIDSNGSGSGSVTSNRSSNPLVILDSSFNPPTVAHAQMATSALNAEPPDS RLMLLLAVNNADKKPVPAAFPHRLGMMEGFARDILSSGDVRDRGGGVQIDLAVTTEAF FHAKASSIAGSGRYGGPSRFLFLCGFDTVVRIFDPAYYAAAEEAGRSGQRAAMRAALG PFFDRARLRVTMRPGDDDDDGWGGAQDQVAYVEGLRAGGLEAMGGDAAWGRRLELVEG VGGAVSSSSVRASRRRGGEGAGELDGVGDEVRAWIEGEGLYRDG UV8b_05611 MSMPSTGLQALILCGPGSSFPTFTSNPDESPKALLPIANRPMVW YPIDFCHRMGITDITLICPPSASNAMNSAFSINPSLTSLPLPKPDIVSPKDLDFNTGT AKILCLAEVRDLVKLDFVVLPCDLVCELGGDKLLQAWMIKAASLAHLVGHGGFADGHG TRHSGGLGVWYNTKTAVPVKGEETDFIATAPLPPSQPDALKGPVLSNLSRLVYSMPTD SLNDLMEEKKSLPIRHGLLRAHPRLRMYTAHRDAHIYIFPRWIMDFINVNQRLDSIGE DVVGWWAKAGWQAGLADKLHITSLCSTRNPDDDQDSIPDSVSSHASCAEHSAAGPSDE APAGPEPFGQGDGRRQNDSGHSWHATDVPPILAYLHSSEGQANAPILRRVDNAQLLLA TSLQLAKLESVEEVGAEAASPFAHARKVAYPEGVKPRTTITKPDSLIAENVTVEEKTA IKESVVGANCQIKEGAKLSQCLLMDGVVVGKGCKLTKCILGRRCVIGDYSVLTDCEVQ ENLLVEPRTEDKDQKLMSSEGLEATEAEMDRVLQDVDREVTAMTDEAAEK UV8b_05612 MLPPSSKRKVPPTPGPAPKRNKNESGTGPRSKAAAAGGKPKSKP RASSSSIGRKVLDASSLGWEAVGQDDFGALQVISGVDVVRNGGNVQFIVKDEEKELSR APSEAGDEEDSFEGFSDGPVEGQDVHPRKAGDAGADLEGDKSPPESGEVCGLAKAEEE EQAKTANNRGKIQAARKREAVPPRDAGKTTPRPAPDGSGNEFLALAEMTDQDAEADNL DMAQWMALNLSPALVSAIAKLGFTAPTAIQKQAIPEIIAGEDVIGKAQTGSGKTLAFG IPIVDKWLEQNERTHAESDDDDDDGDDRKPQASKPPMALVLSPTRELAKQIGSHIKAV CDGLPASPHVCVVTGGLSVQKQQRQLAKADIVVGTPGRLWEVLDGDAALQQQFTKIQF LVIDEADRLFKAGQFKEAESIIGALDRRRPAADGYSDGSDPDDAADDAARDTAHRQTL VFSATFDKDLQTQLAGKGRGPKPGSQEEKMAYLTKCLRFRGEPKFIDVNPASRMADNL CEGLIECGAMEKDLYLYTVLLLNPGRRTLVFTNSISAVRRITPLLQNLNQAAVPLHSQ MMQKARLRSLERFAAARHAVLVATDVAARGLDIQQVDQVIHYHVPRAADTYIHRSGRT ARADRSGASILLCSPDEVLPTRRLAGKVHAERAPAGRKQHVIESLPVDRKVASRLKPR VDLAKKITDAMLAKEKAHSNDAWLRNAAEELGVDYDSDEFEATAASGWAGASRGGGRR RKEKEARALSKAEMGALKAQLRHELSQRVNLGVSERYITGGRVDVAQLLKERDNSVAG LFLGGGNLGLGL UV8b_05613 MSTFNGIVSEFPEIRIDFFRRNPDQLPPLACFLSHVHSDHLAGL DALRSPFVYCSLATREILLRLERFPCRINHARGIVEARQQTYKHLRKVLKALPLEAPT RLQLRPGYEIQVTLFDANHCPGSTMFLIEGQGKAVLYTGDVRAEQWFVSSIARNPAIL EYSSGLKRLDKMYLDTSCLEDVPFQTKSEGIVDLLTKVIKYPPNTVFHFSFWTFGYEE VWVALSKALDSPIHVDQYKLRIYQSLKSRTSDDPYSPDFHHTVGIAALNGHMCGNTQH QGCLTSEQNVRIHSCEKTNMCPVAMGPDVVSIRPVVCRLPSGQTVAEVGVGGGGTDLS REAALNWIPSNDIEELLASLPASGGINGQMKQELAVVLKNMAASGRDLSLNMGIEEFN DELSADMTAALESLLERLRHEPTGTEATHASPRADGLPSVILFPFARHSSYRELCHLT QTFQPKDVWPCTVDQWWIRNRASVGSLFGRYCSEAIFEFDQQRAALFSQEQGVSFRQQ QIPDFDTQTSVGHETISLVSSHETANAEKGLNEPVSEKAAGCEAGLPAPKQLSPNAFP ARLSISTTNLLSESELPTSGNQDGLDSPAQWGDCSVSVSQTLSHNEPDDPSQALASHL EADSQTTNVSESSTISPGHSLLRREAYSVMVRNSSNGDTWEPISLLSTDGNHQSADVE L UV8b_05614 MSVAVSEFPSRAADHLGTFSRHNDPALLDISALGTAAQILGVIN RYRLQPSHDNPAKSDNGTFKFLATIYSHVRAGQAIPMCLPAFPFKSPNRSTKVLGKLP DKAEELALSHLHGLCMAIRDVYAPGATLTIISDGLVYNDLLGVPDRDVWAYGEALRQV AEAKRFTSIAFSRLRDLVSVPLPEQLDEMTYVANASNFRLALLNTFADPNWEWKEVSK VEDVCLTYRGYIKFLETDLADVYPLREGRTKSKYKRGVEYIAKHMMARGNAFATAVRQ RYKDHVRLSIHQSTGATKIPISLLPTETTFTTPWHCSVAYKVDGTVVSGMRADFDNDA TYELVTENGIPSYYREKSPLFSWDLAQGAVSFEPIYPCGWMVRPAGGPEPLSTLSIND VDAKKVRSLAEVNSPVVLRGFFESPKKEAFIEKAKEVGEPQPWSFGLLLEVKDRGSDS RGLNNTLSAELMPFHYDGLFKTAKRVDDNGEEILASLPPKFQFFAGVTPSPPDSGYTL FSSSTAVFKHIPKWMTVEDLSSKTWTAATPCFGSAVLRGLPLVVPHPTTGRPCLRYHE PWPQSKTKFDPTRVSIDHEDEATSQAICEAINSTLRDRRVAYYHAWKEGDLLLSDNTL ALHTRSAFLSGSDRELWRIHLD UV8b_05615 MHADKDVAVGIFTTDVCIIGGGPTGLFTGLLLHRLGVSICVIDA KPGPLELGRADALNARTQQYLEVADLLDELLPVGLKCNTSSTFAEGEFKSRQNAWWVG LEHALHKNFLMVGQPVIEKMLASRLAGLVRFGEEVVSITEDESSVEVVTDCGLKVRSK YAVGSDGARSLVRKQIGAAFTGTKPEMLWAVLDTFIDTDFPACPEIITFEHNGQSRVS WIPRERGMCRFYVLLEGRVSQALAEESIKKHLAPHSVEFKKTEWYSTFEVKERIASTF VSRDGAGRILLAGDAAHVHSVNGGQGLNTGMSDAFGLAWRLATAVSDAPQLQRGAAET ILRSYDTERRSIARDVIDVAARLVRHTVHTAEQYVGTIEKNAGYITGMGVTYSESASP LVKASELGPWKAGNRCPDMIVAHADGGETRLYTEASYGKYIVLQVGKGEDASADFGFR HVAEIYRVAPSTAARADSGSATASAATASATGRDRRYIADWVAGDQAYFVVVRPDMYI GFVGRDKLEAQQYLADLYLT UV8b_05616 MRLEAILALQLGAGGILAAPSPAPRLAERAIQGGYRHGYYYSFW RDYQDVVYKNGDSGSYEVSWSSGGNFVAGVGRNPGTSGAISYSANFTSDGTSYLSLYG WTKGEHVREYYILENFGKYNPAKGAKKMGEFESDGSVYDVYQALRVNAPSIKGTRTFP QYWSVRREKSSSGKVTIGNHFNAWKSMGMPLGTHDYQIVATEGLYSQGHAAVQIQLEE DGEAGGDEGGEKPPPCHDGDDGDDGNGDCCQ UV8b_05617 MGRPGHASNIETFTSVPHDLLDLLTAHLPYSLTLLRRLQSAAYK NLTKSDARVILSPVRAAVMHGSSRLQHFCVAYAEFSAGPETQMFMYSSMEPESADVKD PAHEENIMSVVGGLVRLRKEYDGRLVYGHGLLLGSLHSRVCKILIKHRRVEMRPTGNY EKFLFRMENVPEPREIPKEMHWGKASLEDCGLVASRAAVPRLPQSLVKLPGLMLKLQD ETPICWAFIDLDGSLISVHCEEGYRRRGLAKTLAAKLLRQAVQAYSDDMFAGSDWFSA DVSPDNRQSHALCLSLNGTPRWSVSWVFLDVTEPV UV8b_05618 MSLNLDVSNAAVMKDEQGRPFIVVRDQGKKKRQFGNEAVKSHIL AARTVANIVKSSLGPRGLDKILISSDGDITVTNDGATILQQMEISNHVAKLLVELSKS QDDEIGDGTTGVVVLAGALLEQAADLIDKGIHPIRIADGYDQACDIAVAELDRISDTI EFTKKDTANLVKVARTSLGSKIVSKAHDQFANIAVDAVLSVADLERKDVDFELIKVDG KVGGSLEDTLLVKGVIVDKDFSHPQMPSEVRDAKIAILTCAFEPPKPKTKHKLEITSV EEFKKLQNYEREKFIEMIQQVKDTGANLAICQWGFDDEANHLLLQNGLPAVRWVGGPE IELIAIATNGRIVPRFEDLKPEKLGSAGVVREMSFGTTREKMLVIEECANTRAVTVFC RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSLAVEDAAVRTPGLEQ YAMRAFSEALDTIPMTLAENSGLNPISTLAEVKSQQVKAGFENRGMLGVDCMGRGDNN MKEAFVIDPLIGKKQQLQLATQLCRMVLKVNNVIVSGADDNDF UV8b_05619 MLKTLISHQHIPAIKYFNPTRDSQSRAFSFFFQQKVFEIFKQLI MAPADLYDNGDYLYDGNDYDEGQEEQLSPEDKAAMEQGTADVKKSLGANANKVTIQQI EEALWHYYYDVDKSVAYLNKTFIAPPPKVSQKTPPDTGKKTGPSAKKKASPAAKKPQK SDATIKEADVEQDLSNLKVGDVPLPKSKGLDVVKEYKSSNSKKSISFVVVGHVDAGKS TLMGRLLLELKYVQERTVNNYRRKAEKSGKQSFALAWVLDQRTEERERGVTIDIATNH FETPNTRFTILDAPGHRDFVPNMIAGASQADFAILVIDANTGAYEKGLKGQTREHVLL LRSLGVQRLIVAVNKLDMVGWSKERFDEISQQVTGFLAGLGFQHKLISFVPISGLNGD NIATKTTEAAAAWYQGSTLIEALEATEPSSSRAISKPFRMTISEAFRSQQGTTTLAGR IDAGNIQIGDRVLVQPSGESAYIKSIMTDAESQEWAVAGQSISIALTDIDPIHIRVGD ILCGVASPISVGDTFTMKAMAFEHLMPMPVDLHRGRLHAAGQIQSIPATLNKATGEIV KKKPKVVQPGEVARITVKLASKVPLEKGQRVVVRSGGETIAAGLLE UV8b_05620 MARSRSTLALGLGLLCWITLLFSPLAFVKTVQADDADNYGTVIG IDLGTTYSCVGVMQKGKVEILVNDQGNRITPSYVAFTDEERLVGDSAKNQAAANPERT IFDIKRLIGRKFNEKDVQNDVKNFPYTVASKDGKPVVRVNVNGSDKTFTPEEVSAMVL GKMKEVAESYLGKKVTHAVVTVPAYFNDNQRQATKDAGVIAGLNVLRIVNEPTAAAIA YGLDKTEGERQIIVYDLGGGTFDVSLLSIDQGVFEVLATAGDTHLGGEDFDQRIINHF AKSYNKKHNVDITKDLKAMGKLKREAEKAKRTLSSQMSTRIEIEAFFEGKDFSETLTR AKFEELNMDLFKKTLKPVEQVLKDANVKKTEVDDIVLVGGSTRIPKVQALIEEYFGGK KASKGINPDEAVAFGAAVQAGVLSGEEGTEEIVLMDVAALTLGIETTGGVMTKLIPRN TPIPTRKSQIFSTAADNQPVVLIQVYEGERSLTKDNNLLGKFELTGIPPAPRGVPQIE VSFELDANGILKVSAHDKGTGKQESITITNDKGRLTQEEIDRMVAEAEKYAEEDKATR ERIEARNGLENYAFSLKNQVNDSEGLGGKISEEDKETILEAVKEATEWLDENGAEAST EDFEEQKEKLSNVAYPITSKMYGSGEAGGEKAEEAEFHDEL UV8b_05621 MHGEASPARARSRKAHKRKRNAERHRGPVEPSPHVAKRARQGQP SSGECEGGREVVDDPSRSNDVPGPSAPARIRLKVASNRSQAVPAGGNASGAVQTLDST GKQGGTAGGGSSDASARRRTPPGGSGRRSSSGGPPDRRQETTPPKDDPPASKDSQDGA DGSSDDDASSGASPSAVVDPAAGGARPSQTADLERDIAKVMRLVARTADSYWRHKGAL AGWLAREHQRATMRRTAQGASSSSSSSSYSRLAPARRLESILPHIQERAAAAVPANWP KYLAELRRFCESPRIRGMDYEQRLMRVVDIVLLPEEADAVAGGEWSRLLRVVGLCSVI ADAVGPDDEVLDDEAKNRWIAGKKTDIACLRRLMGSMARVADLGL UV8b_05622 MASAGSRSRDAVLAPDAVDEMIADGDIIVIFQDFVLRLNSWLDR HPGGSLAILHMVGRDATDEITAYHSEKTLRTMKAYRIGRKPTGPWTNRTPPIRGGVFL VKPQPDNSLDDSDAETGKEKDFVDEGYGSAASQRSGSLSPEPESATGRHLSPIPSPAS RANAQSEQAVPRARVGYSSSSSRSNDPGDGVGKPGQAQIALNPDEWTEWAIQQGQSLD IREYPSLDTQTQQEIAHKYRLLHRRVQEGGFYSCPYIEYGKEMVRYTIIFASFIVALR AEWYMTSAACLGLFWHQIMFTAHDAGHRAITSIFAVDTLIGLFIADFCCGLSMGWWKS SHNVHHLITNQPQHDPDIQNLPLFATCPSFFKSLRSSYYNFTFVWDKAAEFLVPYQKY TYYPVMGIARFNLYLLSWLHVLSSKSSALGSSKAWWIRPTEVAFMCCYWFLFGYVLLW RTLPSWTVRVAFVLVSHMVTMPLHVQITLSHWGMSTSDLGEEESFPQRQLRTTMDVDC PAWLDFIHGGLQFQAIHHLFPRVPRHNLRKVQALVKEFCADTGIPYSILGFMDGNKKV LGRLEEVSDQVRILVSCQKYMAATGESGLH UV8b_05623 MPSRKRSTPHASAGRRRSGRLSSVEQKSSYFEPSEDESEDREAP VKRHKVSPKIKKDVHEGHDDPDDNDNADIADDDDDDDDEAPRRVEIIPLEKLRDTGGV EYANRKVHKNTLLFLAELKANNKRSWLKSHDGEFRRALKDWQSFVEAATETVIDVDGT VPELPVKDVVFRIYRDVRFSKVKTPYKPHFSAAWSRTGKKGPYACYYIHCEPGSSFIG GGLWHPEASLVAKLRRSIDRQPWRWRQTLNEPLLKKTCFPGLRGVDNAEAAVKTFVDR NQENALKKRPMGYEATHKDIGLLKLRNYTIVVQIDAEALTKDDAQSQLRQVIQALSGF ITFLNGIIMPDPALDGDEDEEDGDDGDDGDDGDGNDVNDDDE UV8b_05624 MFRSVAVAAAAAVGSVAAISIAEINGNRFLSPWQDKQVVGVEGL VTAVADNGIYLRSLTPDGDPATSEGLFVYDGAVGKQVRTGDVVTLDGLVKEYRSNNDH LYRTQLTSPARVAVVSSGRAVKPLVVGVDTPQPPGRDFSSLDKGGIFGVPNAAATVSA ANPALEPAAYGLDFWESLAGELVTVRDAVLLGRPNRYGDVWVRGNYTVSGVNAHGGLT MLTGDANPEAIVVGSPLDGSRNPTDSKMGDHVGDVTGVVAYSFGTYRILPLTALKMVA PAASAHPAASFASTRHCGGLTVADYNAANLAPGSPHLPGVVDHIVNKLRLPDLVFLQE VQDDSGPADDGVTSANLTLSALTRGIAQASGVRYDFADVDPADNLDGGQPGGNIRCAY LYRPDSVRLHRPNPGVGGQANRVVDGPAIKFNPGLIGQADASFAATRKPLVAMWKPVT GSDKPFFTVNVHFSSKGGSTGMHGDARPPVNKGVEKRTAQMELTANFIAQILAKDARA QIITAGDFNEFTQVQPLKVFASKSGLVDLDEAAGLPEVERYTYLYDQNSEALDHIYIS RSLAKGAKVEHLHLNTWQDNKGQTSDHDPSVAQLNLCGR UV8b_05625 MPWAALNAPTSIPDFWDDRDADHILGNLARKCGVEARHEYRLFI TRTTSFITFTNTALHQGRLQVSSPATSPAAASHVQSRDGWELVILIGSGQGYLCLRVP AAPSAVA UV8b_05626 MGCSSNRKKQTREFADQKWDYINLEDFRSKGCGPGFAYGYLWFM LFISMAVYTVDSFTAVNLLIFNRWSSKIKPAIPFDVSKWVFSLCILLSFVNLAFEGFR ALRVMKRGNVAECFLDSLAVRWESIRFGSGQGWRRFLVFAELAKSKKGSEYVALFTYF SFKSWIRVIFCSGPRQVVNALTLKSVYQTKLAPTATSVDGAILSFFDKIKVFASEDYQ QAVIVSGMCFTFVVWVFSALFLLMAVLFYVFFLFHWIPRSDGGLAGYCERKVNKKLLR IVTTKVNTALAKGQANRLKAELEYAKRCGEKTPQLERAATLPTFPNVQGGGLGHSLAQ TPAPGRDDGASVLPTYIPRPGSPASIDLNPMSGRRRPMQRTGTSSSMTSFSSRAPLVP SAADMGYAGSHSPASSLADVELPSGMAPSTRPGTCCSTQRSFGSLRSGFGPGGNASAG TSLRTITASPVVMENGLPPYPGLARSFTETLNGNAAAPAAMGRSDAGSLAYGSYQTYG SAGRASPAPPTASYRHMGAHPPPTRSLTGPIPTPRGGTRHYPPQRTMTAPVPQHGPAE NSYDQSGMPRDVYGGVSSRYETGSRGYDVESQRSHGYY UV8b_05627 MDHNMALQNQTPPLLLTKRLAAFLAANQTPQLPTLLLTTYHGKL LAHASPHPVSVLRTHATVAASLLAIHTSSSVDVASALPGSRSPDPISSSPVLGPDDAD HLDAAASKNAARPRRLAQSVKPVTITVQLSGGTVIIRRLKCGLLFVSIGPSAQDSQPD AHAHENGQGATGSPSEVESLVSAGGRTASSLDSVGAASVVAMRRHAGDLAQWLDDKLG SLRVPEEGVGSSE UV8b_05628 MPGYALKDSAVADADPTVPVNERIGGDSESGTVSERSPLGNKAR SLSDGGRTPSKPSSSPTISQETRKISKDDFDLRDSQPPSTPRRPEIKGLSMQMPSRQH TPPPAGPNPYIKPPPLSPKLDHSQIYASPTNILPRRSRGLDFSRAATSLHHSTLAESS PDSSPTIGGRAMNISGPRNEYGGPEQTSSSLWSIMGNHEKMNVSSSLGSTTQPTIGSD SSSSSDDDDFMDEDMDEAYVTTPQVSRLNLNVGNPGPFGSPAMSSLMSFQQRQRHRKQ PKKKTRGPLGLGFNISKSPPSNSSKARRESISWQADQLHISAGDGDESTKSQSDVDAN AGDGPQRGVIRRTVTRRGNLLPKTKTFARIRAALFEEGAPAEAETRREAEVVKQVRES DVALEPRVSLPANESGAAPGTAAGTAASSMSSPNLATNDFIEDIQEDDISMMGELSSG LSSSFKQQAIKNSKGKTFWDTFSESSSMGGAQTTPPPPSFLPRGSTSGISEDAAMDSS LPYHLPENPASQSSSAQAPSAAEITRRINNKRRRDDDFDPTSFKRRAVSPGMSAHNSP ILQSPMQRESIWGSRPGSTGGDRCGSSAQSEAGSVGGGTPAHPAAGTTGRLSSKGRVG FQGMNDTHDGIMRMSIE UV8b_05629 MAATTNTNTYKLNHSMIRVKDPKASIKFYEFLGMSVIKKLEYPE NKFDLYFMAYNSPKAVSHGNSQTNREGIIELTHNYGTENDPTYVVNTGNAEPHRGFGH TCISVDNIQAACQRLEDAGYKFQKKLTDGRMKHIAFVLDPDGYWVEIIGQKPLEATES VLETDVSTYRMNHTMIRVKDAEKSLKFYQEVLGMTLIRTSENQAAGFNLYFLGYPGEQ GIPKDGKTNELEGLLELTWNYGTEKDASFKYHNGNDEPQGFGHICVSVDDIDAACQRF EDLKCSWKKRLTEGRMKNIAFLLDPDGYWIEVIPNDKYSDKHPI UV8b_05630 MMQPAIPEIASGSVTPTARILVPEKVSADGLALLTPHYDVDVRL GLSAEELISIIPSYDGLIVRSETDVSADLLHAGKRLRVVARAGVGVDNINVPAATAQG IIVINSPGGNIRAAAEHTIALLLATARNIGRGDATVKQGKWERSNLVGVEVGQKTLGI VGLGKVGLNVARMAKGLGMQVKAVDPFASADMARQVGVELVSSLKDLLPRVDFLSIHT PLLATTMDLVGEEELKTMKRTARVLNVARGGVNSEAALLKALDQGWIAGAGLDVFTTE PIAPESIAAQLAKHPKTVSTPHLGASTVEAQANVSMDVCTQMLDILRGGLPTSAVNAP IILPEEHRKLQPAVQLIEKMGRLYTQHFAKAKGGMIGDRKFEVIYHGDLAGMPNTKPL FAALVKGLVASFSDSHVNIVNATLIAKEKGIVIDETHAHQSKDLTYANLVTLKSIADG PAGAKAEQTIEGYASDKRVYISKLDRFNATFRPQGTLIILHNYDEPGKIGGVGTVLGS HGINIRFMQVAGLDAEARQGHNTHPTSQKDNEALMILGVDGDVDGKVLEDLRQSEGVL DVSLVQL UV8b_05631 MVLVLLGGAFAGLTIALMGQDSIYLQVVSGDPCEPQSKNAERVL NLLNRGKHWVLVTLLLANVIVNESLPVVLDRTLGGGIAAVVGSTILIVIFGEIVPQSI CVRYGLPIGGYMSKPVLILMYLMSPVAWPTAKLLDWVLGEDHGTVYKKSGLKTLVTLH KSLGELSERLNQDEVTIITAVLDLKDKPVSEVMTPMDDVYTLAEDHILDEKTMDNILS SGYSRIPIYRAGNPTDFVGMLLVKTLITYDPEDRIPVREVPLGAIVETRPETSCLDII NFFQEGKSHMVLVSNHPGSDHGALGVVTLEDVIEELIGEEIVDESDVYVDVHKAIRRL TPAPRARRIHAEAGAAAVGRKAGDGAALVDIAENLEGVGGPLVGSLEAISDGVSERHA KTAIFMRRHGSAGPDGKPDDRPIPFKASLDEMKQQLRHLAPSNRAANPRNTRTNVFKI KQGLSVTTFVAGDSSNKMTDEAADKPTESMESTESTEEDESTPLLKTPSDNGYQIENG YGSSNGKQDKARSKSQDRQ UV8b_05632 MPIHHLMVGTWTPPGAIFTFAFDDEELTLRLVKRTEIPPNEPIS WMAFDHAKKNIYGAATKKWSSFAVESPTSIVHKASHPMLHEARASASDSNTRAIFLLA ARHPPHAIYCNPFYDHAGHGTIFTPSPTGTLDTAIQHYPYQPTSGVHGMVFDPTETYL YSADLRANKLWLHRRRSRESAPQNASLELVGSVDCPDERDHPRWVAMHPSGHYLYALM ERGNRICEYLVDPATHMPVYTHKSYPLIPPGLPDRWTMYRADVCALSHSGTYLFASSR ANSFDLTGYVSAFKLDANGAIVRQICLNPTPTSGGHSNAVVPSDWCDDWVALTDDQEG WLEIYRWQDEYLARVARTRVPEPGFGMNAIWYD UV8b_05633 MATDSNGSAAGTTSRFDPNFTSHVVDAMGPGMTPRNRLVLSSLV RHMHDFAREVELTNEEWMAGVHFVNSIGRASTKTRDEAHRVCNVLGLESLVDEIANKF VAEGDVDPTSSAILGPFWSPDAPFRENGGSIIQSSHPQGRVCKMHGTITDLLTGKPIP GAVFDIWQASANGKYDFQDPENQTPNNLRGKFRTDENGKYWLYCYRPSAYSLPTDGPA YRLIKLMDRHTMRPAHIHIKVTHPDFKGCTTQLYPKNDPWLETDAVFAVKNDLIVDFK PLDGDDKAVLELEYNVVLAPKGYKGKVF UV8b_05634 MAANNHGAALNVQNSPHTNASSYPSLANHGRQRSQGCQGPLFVS IPPQKNHCTQDAMVGQFVKNNPGALGELQQNRQESAPRSPAKSRDEGLADTCSRPSSP TKSALGKLAFRSHAEKGATPSAKHRDASPHKSPNKTKSGGTNLAGFLSRPKSLKNLYK MAIDESSQVKDKENLTPKSTPNTEPPPIFAQFTSGRNVLDQDCNVQHPSMKATRERPR SVHVSRPESDQSQPSSSSSHSTSRAHGHASKPASAHPHQTSHSKFFGGYSQGRSKSTA STPPNGLSELQIDPGSLDKQLEALLDRRNIPENQRYKMRNLNDTIKLEFIRQDHAEMQ AAKLDQSASVGTATDGRATPAKAPVSGRANIPFDHEEQPKASRGRSFTFSRGKKDPSS PTKKSKAEGTLGRHFRSRSTDSIASESRPSSCSASVGTSFLSKIKAQQGPSDYVAYLR KVQQPQLVEVGKLHKLRLLLRNETVAWIEDFIQQGGMHEIVGLLNRIMEVEWREEHED ALLHENLLCLKGLSTTAKAMEYLDSVQAELFPKLLHLLFDPEKKGPSEFTTRNIITSV LLTYIESASPVERMIRAKRVLGHLRDKDPDERERPLPFVLDMRLERPYRVWCKEVVSV TKEVFWIFLHNLNVVALADDRKGQVNVPGGRPSSRDNVGGAFGYMFRNFPQERPPVPA APYVGGVEWDATNYLASHLDLMNAVIACTPTSAERNNLRAEFRISGWEKCLGGSLRLC KEKFYGSVHVALRTWVAAASEDGWDVRPVRFGPAPESRSPRKAGAQKPTSEEAPKLDI PRLDFGLDRPDVAKDMWL UV8b_05635 MSTTPTPTPTPTPTPTPTATATEAAIIASLAPYSACDVCDALLK LRVPGAGYLANLLPYTHAAADAAAAPTIAPVSTVLFAPRHDPPAHPPPNLPGDAHWAD TVPPGTVVVLKQPPGQTNAVCGGIMALRMKVRQVKGIVVAGRVRDVGELRSTNLPILA YGVSAVGAGGGSVPWALQVPLDIDGVVVSPGDIAFHDPVNGLVVIPQDKLHQVLELLP RLTAADEKVKQDVLNGMSVYDAFKLHR UV8b_05636 MSINWVMLSEGGFVKLGNERILVKLDSRIACELSVPPEHKSRCT PFQCKSDKGALFLTNKRIVYLPAKPSQEPKFESFSAPILKFQDSSTSSSMWWGWVWKS DCIPVSGGGIPPDLPRIEVKFTFSDGGMMDFNEAYIRLRERLFQYQEMRRDLGPGADI PDEPLPAYEASGSQHPEASTSSVPRTNPSENACHRRAPAEPPPNYEEAQAQQLSIRLE DHIRDEADRATAED UV8b_05637 MGIWEAFSDIVEAVTPWSVVEAEAPAEEPKEEEAAAETESKDGE ANDESSEEAEADAEAEKEEGEKGEEKEEDEDEDEDDEEEEEEEEEEEEEEEEIVDPKE TLEEECKNSPRCAPAKHHFDECVERVQQQQSEGDAKEDCVEEFFHLAHCATACAAPKL WSKLR UV8b_05638 MKQGSGGLHPLGDKAGGRTWKSTRIGSQDCSLEERNLDQNGTTD AQGEGSITLGSSVEYRTYKRRWFGLVTLALMNIVVSWDWLTFAPVADLSAQYYGVSKS AINWVSTAFFLAFVFIFPVTIAMLHRGPKPALMGSAVLLIIGNWIRYGGSYSSSGGRY GAVMAGEIIIGFAQPFILAAPTRYSDMWFTSRGRVAATALASLANPLGGALGQLINPL WAKETSDISSMVLYVSIISTVCAIPAFFVPAAPLTPVGPSSATPKLHLKESFKVLSHS LELWLVLIPFFVYVGLFNCISSLLNQMMVPYGISDDEAGIGGAILIVAGLVFSAITSP ILDKTKRFSFALKAFIPIIAVCYLAFVWMPETRGVAGPYAVLGLIGAASFALVPVALE FLAELGHPLSPEVTSTTAWAGGQLLGAIFTIVGDALKGGEEADPPGNMKKTMLFQAVV GLVVCPLPLFLGRFGRQDKVVLKRLCSDQRGGGAWSNLEASAQGREGERRWPET UV8b_05639 MAAQTPAPAPWEAVPAHHQLFVLITGANSGIGLGTAQVLIDDFL RSRPPASHLIVLPTTRSSRKSLETVRELRAYAVAAAKTWAQGRGPGPGCAWQDAVARV HILSLALDLCDLRAVRRLARTLRCGTVSNPDGLDGEYLRNVRIPRLDSVVCNAAYGGW SGMDYPRAARSLLARGLVQIATWPDFKLALPTCILNERPVYGYPDKPLLGEVFCACVF GHYMLARNLLPLLSRPGGAGLPPARIVWSSSVEAVQRVFDIDDMQCFERPEAYESAKR LTDLVCLTASLPATRPYTSRFFALDNDDGKDNGDNDDDSGAAVPPNMYLTHPGVVAST LFPLPWFLFGLYRLALEVCRWLGSPWHNVTGYRGAKAAAWVALQPQEALDHLAAQRVK WGSSTDARLRVHVKKTEVEGWGWDGSPETAQALQADCAVGVLRKAVGRKLGAAFTTAD DLVEFEALGARCWNQLEELRAQWDEILDRDGP UV8b_05640 MSSEQSIAQQLLQKHAEDPHHVTVEDVPDEELTSNLAKDGSASW VASATSNSAGKKKETLDTQSHEVFPELGSSKGKSANVAPIWGAKSGVNGKNHGAAATT NGTSHSSPSASGTTTPSKAATPSMIIPGRNVETVTLEPQFILPRGQLKRPIPDILKDI NRKSRANITMATAPNGRLRFDATGPQEVAQQALKDLVQQIGTRTSIKVAIPFSARAQV IGKGGSMIRALQDKTGAKIQLPKIEDNALLADDDEATIDVTVEGNALSAASARNELLK IASERPATVQTKVRGVPAAFYPFIAGPANSLAQALEENHGIQVRVPAHQAISGAPIPA APLAGQRPVFVAAGPEEEHIQLAGDREAVQQVRAEIERQVAELRKQLELEQLSIQRGR HQFIIGGRGVASEEFFAETGCAILLPSEEDDDMVTIIGPASRVHSGVEKAMDLAMGMQ MSNMDVARFHRGAPGGAAAHAGHVTRYLRHRKEIDRLEKLYNTHINTPFSQDGALPWE LYSREGKNAIRAQSEITSIISGHPPARMLPVSIDPFFHQHLRTDVTPKVKKDFGVHIV VPEASETDAQVLLVFEGPDPDEGAYQLPKTKPSNAELLAFKKSLQDAEKHILDLINKQ ESLSSASLEVPAKYHERLRRFIKKEQENRALDQIPIRVTKVGTTVTLRGPKSLVDALV TKAEAFIEQEKQDEKERGFTLTFEFPQKFANHLIGKGGSNIKELRDRFDVEIQVQEGQ VELKGPKAKAEEARRYIQNLGRALADEITHVLKIDPKYHRELIGAQGSQISRLQTRYK VLIFFPRSAKATTDDQSAAVDAAGEAAKPRRQQAPDEVIIRGPKRGADEARDEIYSLH KYLEEHSVTDTVSVQQKQVGSLIGQGGAALDELRQTTGARIDVPADRDADVVEISIKG TAAQVAKARKILEEKRAVFDDTVVKTIEVDKKHHKALIGSGGSSLREIVVGAGGSDDR RELARTIQFPKQDADANVIKVEGRTDVVQKIMARIQEIVAERDSQVTEMVEVPIEHHR SLIGRGGETKRQMETKFGVSIDVPRQGDGKTGVKVTGRPEKVALAREHMLSLIQQQQG EVVQIPRNAHHAVSSNGQLFRQLRNNHQVTVDHAGHAVPQKPDGSARAHAGPLPLITD DAGAAADAHSWKVVKTVSTEEGDIPWVLRGSPENVQKAKEMIQKALEQSKKTDATGYL VLPDPKTYRHVIGPNGSKVNAIRQQSNCRIQVPRDQARDEAIEIVGTQEGVEKAKDLI LAAVREGQRPRE UV8b_05641 MDGPRSSLNGSNQRRKLVKKHPSHSHNRSSSGFDDSQSLGSRHS SQSLCRTPSAPPPQSSFTSPSVNSSPRQLAASQWPSNVSHPVAQGEFAATSSIINTAA PSSGSYPLQAHRPTNRLSDSHTRRQSREQQCDHLLGAPFDGAAILSRIESTKRISPPI STTPSKPVSQSILVSPALRSSRSFGNMEPAIAEKIHGGRIVDAHAATPKRYSDEGKES KPPMLRKKSGFSGFVTSLVGSQKKPVISAPENPVHVTHVGYDSTTGQFTGLPKEWQRL INESGIPEKERRENPQTMVDILQFYKETTERPPEDQILEKFHHAGPAENRQYSAPTSP NMYPANYMGSFENPRAPPPVPASKGPGRDMMPSRPAPKPPVSMTNRPVQQSGPYQPKD SGIGLPQQPDDMVPTKARESAPMLPEEHRSRSNSRANGQSPFSSPTPQSSQAPGQGPA YHQQLMQQQHEQALAQAQAAMSGHIGRAPSKRQAPQPQGAVPGPHHNAAYARPGEVNG HGHASRQHAGPGPIVPGARPRQRTRQSNGIDVVASLRRICNDGDPRETFRGFTKIGQG ASGGVFTGYERVTNRLVAIKQMNLEQQPKKDLIINEILVMKDSSHPNIVNFIDSYLCG GELWVVMEFMEGGSLTDVVTYNIMTEGQIASVCKETLKGLQHLHSKGVIHRDIKSDNI LLSNEGNIKLTDFGFCATINEAQNKRTTMVGTPYWMAPEVVTRKEYGRKVDIWSLGIL AIEMIEGEPPYLTESPLRALWLIATNGTPQIKNEDDLSPVFRDFLYFALKVDPEKRAS AHDLLRHEFMKFCVDLGQLAPLVRAAREQRAQEKARKQ UV8b_05642 MSVVARNVTRLSRAAAPRVAALARPSSRPLSATAVRAVSDTAQK RSVVREKEVPVTVYGAGHGPGDKHTVRVTEEAAHVPLDSPAPRPEYDLVQPLGKKVYE AMPHTLRSMSVYGKVVVVTGGARGLGNHMARACAEAGAKAVVIFDANQDLGDEAAAEL HDKSGLPVSFFKVDVRDGAAINAAVDSVVELYGAPDVLVNSAGIADSNIKAETYDPAM FRRLIDINLTGSFLMSQAVGRAMMAAGKPGSIVLVASMSGSVVNYPQEQSCYNASKAG VIQFAKSIAAEWAKYKIRVNCISPGYMDTALNRVPALEAQKKIWKSLTPQDRLGGVDE LNGLCVFLASDSSSFMTGANVIIDGGYSCY UV8b_05643 MTTTPQEVPLHTIDRTPEYDEFMTKLKDFHEKRGTVLDPEPKVG LIFLDLYKVFNHIVANGGYDKVSEEKLAWRRMASELGLHSNNEASTAFSLKEKFYKNL AAYEIRTVHNKEPPPKEILEDITAKGAGLLTRTLENFRPKRDSNVNAGDSAASGDDAT PTRERSAPEVPSSSRASRGLREAPPQRVIFQPDTASSRATRQTSQQNAAQTASPAQSN PQPSSHHATMPPMPPGGHHGRGPSIMHHPSNPDNTSSLVLSYQPKHLKPLQLRAVATP SSAPGEFQRQRLAHRPAHADPASRQPMQPGTGFDGPNIYTRCLNALRSGVPAEQSFAL NHLVKISFERGDKYRFDAFPGLAEGLVDKALQVGSLFHHVSWFVSWDPYARSGQLGQL DGNNGTWDILEQIGSLVEKEQPHAVETEAFADEMILIAEALLTLRNMVTLPENALNMS DFYPIKDLVCIVMHLPAHESLTELKHMALDIAEQITPYMVLGSHDPLYKTLLAQLASD DRGIILTALRALGRISMNLEATNTLGNMPAESLQRITNWLLLNDDELMDACLDLLYQY TAVVANVGNLVRSTSPENLVDHLVRLLAHGAKRIPRDLILIPEQKIPAREEIAPMPED LLQQMLKLEEPDRVHRWVKCFFEEDGDSFVTQLAAWQAYQSAFVTPLKAIAQPMITPA DFIRNSTSVYKDSNAQVLREPGEPQQKFIIHGIRPRPRPLNFDGEEYGRCLWATHAGK QNEKCGHFFIRPEQMWSHILTMHLGEQRNEGGQFGNSCKEYVCTWGQCSKYSRPTKLR LHDLARHINTHIMSSLSNNSSSSSSGGGSRGSSKPWVIPATAMTVMLEETATARDERN PNAPPQAAGIPLSAVLILRNIARNVAKTEAEEELVKSQEKSGSEKGGWNERLFRAVLP RLFEILSENRTLSPYIASLLDLIRPKVDAEDSENGDYY UV8b_05644 MIHLSSPAAGCQLRLIKVQVEDAVEQYDAEQLDAPMQLSLEGQR SMGSDCGVLSLTIAIGTDKKLSIRLNDLLNRPGGTAGVISIDRTSLKLSLRLPDLHYT LQTWFRQSRDFLVSACILRKAGFAIDDDLPREESAKRTSDEPAGQLDQMGTMTQPSQV LSLTPSTALGLPSQTLPDSTAGPNIFDEVLGRFQRSSTGASHVLDEGVISSQPALSSA KSCRISSVGKGTSIPPVGSPPKIVVSQQQPDSRGQDAASPSSLGSGPPPRRHGHFTRS FSAAAHRKNRGSESIQPAESSAIRGDAGNTGPVTEVKNVAPGMSSSFGWTTQTEFRNL MPRRRKLPFLEEENGPPKRLKQGLGYPPTAQRPVLDEPPSTRSTLTSHGLLSTVPSVA IILGFSSISDKLLLQEKKILCRYEKAIAKGDDADAGADFFFSLLHEARMQFWLGELTK SSAPEDQPVIFV UV8b_05645 MSRSTTLLALAAIGAGALKLQTPLYSSYSFNPLEHLAGIAPYYE SSDPPRDPVPPQGCSVTRAAYLVRHAAINANDYDYQEYLEPFLHKLGNATADWTKIPQ LAFLATWEAPSFGEQELLTRTGKVEAAQLGLSISYRYPKLRLPQRVWTSTAERTVQSA RGLVRGLEMDDNTINVVEVPEGKKDGADSLTPYKSCKGYAADAGSKQQSRFIDTYTAP IIARLNAQVPDFNFTSRDVTAMQAMCGYDTVIRGSSPFCSTDLFSPDEWLQFEYGQDI QYHYNTGYGSPYSGAIGFPWVNATLSLLSADRANQDLYISFTHRELPPTVLVAMGLFN NSQFSGGNDVNATMPLDQVNFQRAWISSRILPFLANIAIERMNCTSSYAGSNQTSSNG PMASTPSTYYRVLLNQSPQVLPGCFDGPGQSCSADGLQKYLRDRADLFQGYSKLCGNT YENSTDFITFYTNANNGTVVGKKRGFAVY UV8b_05646 MILQIIYTISAAVLLIPLTFHVREWWSRCRFSKRHGCQPLSRLK TLDPVFGLDHLLEIKEAAANHRVLECWHKRHFVEYGHTFQMNLVGNDIIMTREPENIQ AILATKFHDFEIGERRRIISKKFAGVGFLNADGHVWQLARALARPGFMKSQINLDMFE KHLNVWFCSLPNSSSPVDFQQWAFRYTLDVASDFLFGSSPGILSAGATETARKFAWAF DVGTEGIAQRIRLGKLAWLYRNSEENEACQIVHDYIDAMVSVAVAARSTCPQEHEGDG GSFLHALVNTGMTQEEIRFQMLSLLLGGRDTTACLMSAAMWEISRRPNVQARLRQEMS KISGMRPSIKDLKEMTYLTWVLRETLRLYPPVPMNSRRAARDTCLPRGGGPDGSHPIF VRKGQEVMYQVWSMHRRTDLWGEDADDFNPDRWATARQHFNFLPFNAGPRICLGQQFA YAEAGYVLVRFLQEYSRIEGVDTQQPWTEKLGLTCCILQGVQVKLLRA UV8b_05647 MGMGINNPLPASLKSECKKCGKILTSFVNPRQAFGPDKVIPPSI LSQAKGFAILTILKAGFLGSGRVGSGLVVARLPDGSWSAPSAIAAAGGGFGGQIGLEL TDFVFVLNDANAVKTFAQMGSITLGGNVSLAAGPVGRNAEAAGAASLRSVSGIFSYSK TKGLFAGVSLEGMAIIERRDANEKLYGTRYTAQQLLGGAVSPPPAADSLMVVLNSRVF SGMRAGPSNGDSMYNDMPTYDDRHDDVVWEGRRGDAFGENAQRDRAGGFVSDEVARPA RSSTWKDDVYDRQVSSPALRSSTFSRGPGPGPGPGPGFDRDAPPGERRVGPGRPTAPK PKFGDKEASLKQNEAIALFNFEPDQPGDLGFKKGDVITVLKKTDSDNDWWTGMIGTRH GIFPSNYVKMK UV8b_05648 MSEAYERERQNNARLNELSAKVSALRGVTIDIYDNARAQDVIDD TSDTFSSMTSQIKGSATRLTRMAASGNRIAILKLSAIIIGVFLVLYYGLKLFL UV8b_05649 MSRRAPNPAADRAAQNQATIKSLLKLESNKVCADCKRNKHPRWA SWNLGIFVCIRCSGIHRGMGTHISRVKSVDLDAWTDEQVQSVLVWGNARANKYWEAKL APGHAPSEAKIENFIRTKYELKRWVMDGPKPDPATLDVDGDDDVPLSLVKEKQVIERK ESIRKASAGQAQVPPRNLSAPEGDLLGSSEQSSSRSNAAGPAAGNVTSKSQPVAPKPT STRDSLLGLDFLGGAAAPARPASTTGVASPGSQSRPDLKQSILSLYASAPRPQPQQSS QQQGFGGTASPPLTHQRQGSAGGGFHDALGSLTLSSPTSPQKPAAFADPFANLGSASS SSRAVAPQPANASAFGGLSGGSFFENKPAQPAAASRPDKPSNLGLGAFSSLGSAAPTP VAAAPASKLSQSSSAMGDLFDLSAPVAQGKPAPPPQPQVTSPPSASANSVFNLSAPPS KPVVPTTSTATTTTAFGSPGIPGVDVWGTNEWSSAAAPAPSAPPAPAAMPKSSGQPKS PATEFGWGIPSSSFANTPIVPGTGGGFNSAPKVTADEEFGSWASSTGASHANTAAATT GKAGGGFGGDEDLFSNVWQ UV8b_05650 MVTLPAARFSPLLAAVFYAPSAVARFRLRPSPPSASVRRPLPPQ SMSSLSFLSALLGSPSSTSSKMSYPDQRSDDEWRAVLNKEQFRILREKGTEPPGSGKY DKHYPEEGVYACAACAAPLYRASQKFKSGCGWPAYFDSIPGAVTRHEDRSLGMARTEI VCSSCGGHLGHVFKGEGFPTPTDERHCVNSVSLNFTSGGKVGGDGSKESKA UV8b_05651 MGALDRLSQIGGQLTGNPTAGGRDKILEKRPDDVVVTACCRSAF TKGGKGGFKDTQAADIMAGVLTAIIDRSKINPALVEDICVGTVLAPGGGATEMRAASL FAGFPESTAVRTLNRQCSSGLQACIDVANQIKAGMIDVGIGAGVESMSLQYGPSAVTE FSETLESNQESANCKVPMGVLSEDMAKDLKISRADQDAFAASSYQKAVKAQKEGLFKD EIVPLKVKFEDPKSGETKEITVARDDGIREGITAESLGKIKPAFAADGSIHAGNASQI SDGAAAVLLMRRSTAEKLGQTILGKYVAGAVVGVKPLLMGQGPWKAIPKALDLAGISR DDVDIYEINEAFASQCLWSANQLGIPHDKINPKGGAIAFGHPLGCTGARQVSTLLYEL KRTGKKVGSTSMCVGTGMGMASVWVAE UV8b_05652 MTFTVLTDHQVGEILENLTVDELDEFRHVLASALHEFSNSTDAG DGDVYQQPHRITTFHSASSATTLYMPSCGPEGMGCKVVSLTSSVAAQDANVKPISPTG VVNLFRPDGSPLGLVHASTLTAFRTALASACLLHRRNHVKTLTVFGSGSQAYWHVRLA LLMRGSTIRHVHIINRRFSDRAGSILKKFTIVPASVKEREGWPHAKFSILTPTFYDFD RLQKEQIRSADVIYCCTPSRQELFDASILTSHEGRKKGRLIVAVGSYTPEMRELPEGL LQLVTKPHDRLHRHFHKHADEGGVIIVDTLDGAMKEAGEIISAKISPHQMVELGELVM LHRLAVEESESETAGSQTPLSSDYEPTIDLDDKTPSMSSVWNNSSSRPASPSGSTSSQ HNHSRVPSIPLPFRTRSGASSESEGKKEDGLARWLRDGTVVYKSVGLGLMDLVVGMHL VKLANEKNVGTQIEGF UV8b_05653 MSRAEHSRNVRASVEERRNQALANWSQYQPQVHAQIQRLFSDYE PPWYARLRGFDRSKTLALAEATVVSLAAGKSRSLSDSETQALTEHLLSTVHNIQAWKW AMTGLAAYMTYKGRRTMRFPFFNVVTNGGKYDAFTRGPRIRFVWHSARFAAYYGVIWA FGQPLFQAANFFRQKYAMAQDDRLNSLFGHGREQAGRVLGAVQASNSQHSGNDWSSDT QHEYSIQSEEDNYQAPSQAAGADKPRPWSGYRHPASSRQATTRSRGVDDDFDDASPVA PSSLPQDDGGSAWDRIRQQAQYPPKQARSRDERQTWEAPQRSTAGWGSREDASPQNRA SFVNRYDASSVDEENNTPKSQAQREFDELLERERRGGGQETGTWVKR UV8b_05654 MAQSPIVPPRPARSSDKDSTSGLMPKVPPRPINRHINRSVSPGR DRFAQSPLMQGIPCGPSDKETSKQYTQKQHSDDPVERACSVDMPSVGEEDAGLMLHAP KPSLPAASAKQQVMAVTRTDSDRAASFGIGQASNSEERTVSRSSNRKRPESAYSTYSD LGNHTDEEHGIPEIGQRVPMNKHLGDVQAPSPGPGSDASKRHHSRKPSSRSLPPGSYG LHGHGVAPQDKLDKAYYQKHPEALQRDQHTPHHDRQNNYAMSSTDLNKLVRDTAGRHA ATSSTEIRGTPTDDVAFEASEKYASRISASRPMSVEPNVEATQQQANEDKCIHVDDDK NPEFYHYGETKDNEDTEDYHAPILASDEVNKDPSPHVHHPAIRPHLERRGSSFDAEDS SSRPTSRPRAQRHHSNQKEVKHTPLEDVEEYDPLFPEDGKEANVKIHEPVEEHYERHH FPSKDVWEDAPNSVHYTAEVSTPEVSEPEPRRPSTHHADRPITPAQAFALYQEELAEK DAKSRTSSFLPLQDPKPTWVSRQPHLQSERPASSSNSKRFPSRDIWEDTPESHIHEAE ISSSPVEQAKPEIPARPAKKPSLSPERSTARRDSAEDGARMRPPVSDKPKPSIQTRSS NNSPGEANEGLQTKPKPPVPNRPVGGKIAVLQAGFMNDLNKRLQLGPQAVKKDDSPKD EESAEGKEKTPLSDARKSRARGPQRRAPVKGPVAAAPSEHASTAQILSFAMPKTIWSV DPELGDLRFSSHDEQTAKEDVDSHEPCSDLKPAASHVLGGETSSLEEAVSGEATPLTS KPAVRPQELTSSPAGQEGVVSDAEPHRKEELSLVSNTAGESILEATVQENDERVEPVE IHEHVKA UV8b_05655 MTLKEEFQTRNFSIYGQWFGILSMIICFATGISAIFTFRPLIIV FAAIAIASSFLIIFIEVPLLLRICPTSGKFDDFVRKISTNYMRAAAYCTMAVIQFLSL LRGPTSLVAAAVFLSITAICYVLAALKGQDFIGSKTLGGAGVAQMIV UV8b_05656 MTLQKSYSERIGNSTNRSPWQRSHSHPAKLNGQETRNLPPVSEV TKTKLNQFQYKDGGNEASNLAERRAEDTPIETETGLDRLTPAEGDAVTPVTRLDWRDL LEPNAAPVENLSDASPSDKLLWNNQQDVLYAAALSPMLLRKGKKRARSSSPTSSPLTD KAHAPAVNVKNLAKALRSPHADPALELWDRYSMTGLENTKTPTGAVNPALAQLMISSS PKHVKDMSAPRNDASLRRAVSCGLNWPKRRKVERPKSASQNSSQQREMEAASKSSLVT ALLDTVTSSFHESSPGHTIENPVLSPSPKKRRKSPKIESPCRTTATATATAPAPALAP PPSSDYGDDDFDDHAFMELEASLQLTGPSKTPKPEIDEARMLDFTKGRKTMNVAEDMN NLKETVLNNAALPWETSPVTATPGKPTKSREGELDAVPDDEFGDDFDGDIDFDAVELA ATQSARRVEPPPVISKQRTKVIQRYLVTSVLEGDFIDKHGVSRPEKILILQLDRSKIT RTVHLRGAWFETPARTDSYVHVIGTFSSRGQCIIDDSKNLLILHPDQLISATVVADSF GCLRRAVLQDRVKATSEASPPLVYGTMLHEIFQEALLANSWDLPFLARIIDQIVQKHV EDLYTIKVGLPTAREHLQSKMTELSSWAKRFVSSKPQADAVVEDRNGKKANLAITKLL DVEEHVWSPMYGLKGNIDATVEVAMTDGKQTRTLAVPFEVKTGKNANSSHMAQTALYT LLLSDRYDIDIAYGVLYYMETSKTMRIPAVQHELRHMIMQRNELACHVRERSVQLPPM LQNKHICGKCYAKTSCFTYHRLADNGDGETSGMRDKFDELVGHLTQAHRDFFIKWENL LTKEEKESQKTKRELWTMTSTEREKRSRCFSDVIIEQGSVSVDTNNPRINRFHYTFIK RNHQAGFSFMESELHAGEPVVVSDEDGHYALAIGYVTAARKQSISVAVDRRLHNSRVR QAGFHEVDNQVFASIMDVAPEGATQDEGRGKIKEPPIRFRLDQDEFSNGMATVRNNLI QMMANDVATAPQLRRLVIDLAPPRFKTASTQYAVAGGESLNIDQVRAIEKVMSTRDYS LVLGMPGTGKTTTIAHIIRALASQGKTVLLTSHTHTAVDNILLKLKSDKLAILRLGAP AKVHPDVQSFVHLAGQPKNTFEEIKEAWHNTPIVATTCLGINHPVFLERSFDYCIVDE ASQITLPICAGPIRMARSFVLVGDHNQLPPVVRNEEAREGGLDVSLFKLLSDSHPEAV VNLEHQYRMCEDIMALSNTLIYDGKLRCGTEALKKKKLHIPDMAALSGIHYGASWPSL GVTPRSFCIGPGPSRCWLYDLLESEARVRFVNTDTIRPAVQEEAQGKRIVNPAEVRVV SQLVDSLVTVGIAASEIGVMTHYRAQLFLLKDKLKNHPGVEMHTTDRFQGRDKEVVVL SLVRSNEACNIGDLLKDWRRINVAFTRAKTKLLVVGSMKTLKHSGKENMLSRFITLME ERDWIYDLPGDAMESHRFEELRTPTTTAPTTAAPTPKPKSPGKAWRRGPTSPVDKENV RPAARKTARIGQRALLKGRLVTRDILNEMTNGAYMDV UV8b_05657 MAARRANLPRASRGPRLQQDTCARRAADDDDHDPTADVASSSDG TRLRQPTKRGQASRRSSITRIAQNDRALADVELDQDTYGVSELRDGLFEAIFLQPLRP PSMQRLSGQAAAALPWELGKSSPLVGKDRVRRQIRELGSLLRRVATTRAGVGLLKASL AYVSAYALCLAPAASTWLGRHHYVVAVSVVLNHPARTLGAQLEGAVFTVAGTAVGLGW GALCLLLSTSATLAAGAGYGGVLAVLLASFMLAMAWARAFFARSHQAVLCAGIAVLFV TLAAANGQQPVAWSKLRGYGVCWLLGQAVSLVVNVVVFPDSGARALATTLHRSLSILQ ETLEATPSPQRKQRQRLAAAFVDLSEAHRDMRNAVTVSRFRPEQVERVRNTMQAVVRA FFSLESKSHLFQHLYADGPTCAAAVETEAAHALVDEHKSARLGEPACGNGQTRCRHAA GLIRTLRAAAEELLRCVKDGLQRCDAALMDASGYREALGPPQAVSPDLGPWQVRTRLA KAAYDVEESNVLGSRRPAAAASLIHDANAVQLFLLARHMREAVAKVEALADQVAALQA ESDQRPRLHLPSYPIRKAVHRNNAQVRHDGGGAAVASCARTFADIARSFGSIEALEHE TGSRDATEPSRDAPQATSPGPNTSPRSESTPQRIRHRMWKGLHALQGTEGRYAFRVCL ATCLLSVPSFLPRSRAWWTEYEAWWAVAMSWAAMHPRAGGSLRSLATGSGAALLGAVW SGMALVAGNGNPYLLGAFAAVYMVPMLYCHTSSSHPRSGLAGCLSFTVVSLTLQAQGG GGGPSPALPALLHGLAFVVGACVPVVVNWVLWPFVARHELRFSLSSMLHFMSILYRHV VARYVYFSDGKDPTAADLRESEILEGRLREGFVRIRQLLALTRHELRLRAPFEPLPYA ALSEAAERFFDHLIAVRQSALFYNPGFLRDDSPAAEKLLSHRRDAVAAVLGNLYILAG ALRSQRKVPSYMPSAAAARRRLLAKTAQLETTSAPDPLRAGETWSDLYSFSYHEGLTG CVYQLEQIEMYAKMILGEQGFDDDFFSHDHHERDGCHDDQS UV8b_05658 MAIIRSSFASLRSVRCAHKPLTRRSLSVSTRRHGGGHGNESQYD PPSGWLWGIKPGEKPEPEGWEWPMYIFCGSLVAAGVALAFKPDTSVSTWALEEARRRL EAEGIIPDPSQGKKE UV8b_05659 MRSATLARTAARAVTRPSRAFQLARPLRCFSIKAEAASDAKQKP LDPTKLTITETTSPKPLRKPESLVFGREFTDHMLAIEWTQDDGWLEPKITPYQNLSLD PATCVFHYAFECFEGMKAYKDKDGQIRLFRPDKNMARLNKSAARIALPTFEPTSFIDL IGRLTKLDSRFIPSQRGYSLYLRPTMIGTQKTLGVGPPGSALLYVIASPVGPYYPTGF KAVSLEATDYAVRAWPGGVGDKKLGANYAPCIVPQLQAASRGYQQNLWLFGEEEYVTE VGTMNMFVVIKDKQTGQKELITAPLDGTILEGVTRDSVLTLARERLVPEGWKVTERKY TMKELFEASQQGRLVEAFGAGTAAIVSPIRTIAWKGKHVNCGLKETEESGETALRIKE WIEAIQYGEEEHEWSVKI UV8b_05660 MFSSALKSISATNITANYSVAPNPTSAAGPWKIYDAKKKSTGKA YSVFVFDRKALESHGNSLGRSGASSFKRSLEGIVDRLKKEASSLAKLRHPSILELVEP VEETRGGGLQFVTEAVSASLASVLQDKDDEEQSGGRASRFVTEDAGGVRRRRELEIDE LEIQKGLLQVSKALEFLHDNAGLVHGNLTPDAVLINAKSDWKLSGLSFCSPADASTKP TSIQGISLAEVLNMDPRLPKSVQLNLDFTSPDFVMDSNLTAAADMFSLGLLSIALYNS PHKSPLECHGSLSSYKRLFSTSSTVPSSSNGFLSSRPLPKELANHVLPRLITRRPAQR MNAREFQESEYFDNVLVSTIRFLDSFPAKTPNEKSQFMKGLTKVLPSFPKSVMEKKIL PALLEELKNKDLLSLVLQNVFKIIELLPSARRAFGDKVRPALKEIFVINAKQSQEKDP ARDAGLMVFLENLSLAAENSSGKEFKDDILPVILAAIECPTHSIVDAALKTLPSVLPV LDFSTIKNELFPVVATVFSKTNSLAIKVRGLQAFVILCGGSTDAATDDGLNGLVENKK KTSSSSALDKYAMQERIVPLIKAIRTKEPAVMLAALNVLRIVGEVADADFVAMDILPI LWSMSLGPLLDLRQFQTFMQLIKNLSKRVEDEQTKKLQELSGTANPGTAGPNEDFLAF GGVTGTTFDGGGGGGGGGNDDDFEALVKGKGGVSSSCSNSNGFSSWGETPSTAGQASP KAAQAPSFSWSTQTPAASQFTGGAQSQPGFRTITPDLARFGTLAPSSTQYSQPLQPSK PSQPSQPWQPALQPSAQQPASPSSVNWSPAAAPNPWASASSSSSLAPAAARATYSSYS GSHALTTSPNLNTSMSSMSLGQARPGGSSRNSSFSIPPPPGSSTTNVSLGMKPTPAAP VHPQAWLPNAPATAGTAASQQKSGLDRYESLI UV8b_05661 MNRASEASVRCAQPTAEDDAVETPSENSSTTQVEAAAVNGSLAD LEDGDADTLARDSWETDSLFQDAFEELTREGIDADAEGPPLEACTPEEASRLRRELRE HGPAVFSQRTVDCGRYTAKKLLTAFGIKPPAFLEGEPDEAYFGLLSLAISRELSKRVK LGSYNTVDDAVRLIAKCGNIVVITGAGISTSLGIPDFRSKGTGLYSKLEHLGLGDPQE VFDIDVFKQDPTIFYSVARDIIPATDRYTPTHKFIAMLHQRGKLLTNYSQNIDNLEVK AGLPKHKLVQCHGSFGTASCVRCGHQVQGDIIFPDIRAGNIPRCPRCVRPLGANGASA KRKRPAGGADKRRRRWSADESSDDDSDGDNTPTAGVMKPDITFFGEALPDEFSQRLTE HDRDKVDLVIVIGTSLKVRPVSEIVSWLPSSVPQIYVSREPVTHINFDIDLVGDCDVV VTELCRRLGWPLVHEMVPANQVIHVKAEPGHDSRHVFEVGNSSTQTVPVVLPKEAAAQ TVGEAQKQLLPE UV8b_05662 MLTRFGSPTINIDTTMVAPNNAGNIVEMSQEESSASASASSQAQ AALSSLPPYDGTATPLEQPPFGFRSQQRPEPPADASLDNRESRPTSPHNVSSPVLPRS TDGGAGFLAVPTNMRSRQNSVDSDEGARSVTSHGDTTVVASSYTQADKFKAGGNTKIM KDDKALAPDAGTETDFVVDDNPFAFSPGQLNKMFNPKSLPAFFALGGLRGLEKGLRTD RKAGLSSDETHLHGNVSFDQATATDYKAKPADMDAGTVARTDAKNPHGGDAFADRYRV FRDNRLPEKKGKSLLRLMWITYNDKILILLSIAAVISLAVGLYQTFGQPRKKEGLPVE WVEGVAIVVAIAIVVIVGSLNDYSKERQFAKLNKKKQNRNVKVVRSGKIIEISVFDIM VGEVVHLEPGDLVPADGVLIDGFNVKCDESQTTGESDIIRKRPADQVYAAIQNHDSLK KMDPFIQSGARIMEGVGTYMATSTGVYSSHGKTLMSLNEDPEMTPLQAKLNVIATYIA KLGVAAGLLLFLVLFIQFLVRLPKLPDSVTPAQKAQNFLTIFIVVATIIVVVVPEGLP LAVTLALAFATTRMLKDANLVRHLRACEVMSNASTICSDKTGTLTQNKMQVVAGTIGT SRRFGNARQGSSQAEGDDEEKAGAGAEPCADAPASEVTASLSGPVRDLLLKSIALNST AFEGEVDGEKTFIGSKTETALLLFAKAHLAMGPVSEERDNATTLQVIPFDSGRKCMGV VVQLPKGRARLFVKGASEILLAKCTQMLCDPCVDDSVAPVRKRDFSTISRLIETYALR SLRTIAICHRDFDVWPPRFARLGDGGGNDFLFEDLFQEMTFIGMVGIQDPLRDGVCES VKLCQKAGVVVRMVTGDNKLTAQAIAKECGILLPGSIVMEGPDFRNLSKLQQEEIIPK LHVLARSSPEDKRILVKRLKEKGEIVAVTGDGTNDAPALKMADVGFSMGIAGTEVAKE ASAIILMDDNFASIVKALKWGRAVNDAVKRFLQFQLTVNVTAVVLTFVTAVSSDDEGS VLTAVQLLWVNLIMDTLAALALATDPPHDSILDRKPEPRGAPIISITMWKMIIGQALY QLAVTFLLYYGSPKGILPLPGPDDVPEPDQINTLVFNTFVWMQIFNQWNNRRLDNKFN IFEGLTSNWFFIAISVVMCGGQILIMFFGGAAFHIADQPEDPQMAGTFWAIALVLGFL SIPVAIILRLIPDWILVAMVPEFLKKRTRNVPGLTVSDEEMDMYPEPLADVRDELNFL RRMKGGRLNNLKFAIQHPKETIMQRSRSPSHSRSNSLHAPQTPTREDSFGSPFATPDS RARSRSNRSRSNSALGAPTVMAGIVAAGVAAGWSPSGRPSAEERQEGGNDGSGGEDKR PDKHRSDVRKAG UV8b_05663 MSVATLPQPASMEAELFTSNPVVTGGGRLTSTITDEIVQRASCS RRPAQHDPDAQISASPLPSPSPSPSFSPSPSEEASPAPCCRVPPRNPPLLALALALAL APLTHFLAALLFRLPYAAAAKFAQPPPLPARAASTGLEGPFSGANAMVASIL UV8b_05664 MHILVTNDDGPPSPHSSPYVHSLVKHLRNAGHTVSVCLPHTQRS WIGKAHMIGKTLKPLYYTPSANIHGQDTQGTTHHLPSTSGNADEWVLIDGTPASCVQI GLYHYFQDKGPIDLVVSGPNYGRNTTSVFALSSGTLGAALEAAVCRRKSIALSFAFFS RNHDPAIIDAACRHGVCVIEALYKQWPTDDSVDLYSVNVPLVEGVEENKTLWADMLQN YWREGSCFQEIDGGAADPDEEEARIRAGEADNGPRVESAKGHKHKYFKWTPRLTDVYK SVDASGPQYDGRIVRDGNTCITPLKANFAVSHAERAGQELQLPRGTHGGAVSLREAGP VRAEEVEGNPGGDSRIHAVIAYEDAYVQPLIVSALDSVLPREVVDLVAESASSDINLS LGETLPSSLSRVVQITPYESIDFEYAASHPKSCLINSYMIRKALIRKHYLSNTVDHWV AKNPTSPLNQHVKRSEAFEVDYAEFLDDALVESFDLCASLSRNAAVSESEPWNKEWWI LKPGMSDRGQGIRLFSTMQELQDVFDGWEASRPDSDDEDEARGDTAEEDGEFITSSHL RHFVAQPYIHPPLLLSDGRKFHVRSYVLCTGSLDVYVYRHMLALFAAKPYRAPWESPD DIECFLTNTCLQDSPNENSVRRFWDLALPPGQADTVFEQICNVTGEVFEAAARAMPVH FQTLPNAFEVFGLDFMVDGNGKAWLLEVNAFPDFKQTGRDLEGIVEGFWRGVMRKGVA KFFGVDVAREEEDGDMVLVRRVDLGRR UV8b_05665 MASAGERAGSRTPPPPSTSTVRTPPAPRHGYHDSWEPFSPRKSA RLSSQRAANRTPSPRPSCRQQSRPGAQSVAQSSTTIASPIASPRKRSQPTPNARRRAS GSLTAESTSAAAAALGLKEDQATQLPPANTWPSPATSMLPTPSKTPQKPPNQRAASAI QTFARNLFPSGTEAMPSPRKRRAMKYPAVTMESSTAEEAQEPITIFTDSQDRVPEKDD SQVNPFYGNSAAEPSKRQSKKKLVSIPGEGLQSVEEATCREDGMVFVFRGKKFFRKFS EQAEPEEQDDSEQEIESRLSRPLTRASVKPRLLFPTAKAAEVDNDDEEALTDVEEPSL VDQLPHTPKKTHSLPTETPEAPKYAPVSPPDTRRTTRSAHRLAETPMTSSGRKSLFDS WPRTKEHKSQSSSKRPGESLVAEATKRSRA UV8b_05666 MADSSDDIQSDIWASPTNDAALAARPKTPKSANSAKFPKSPKSP KSPKSPETPTAHAAPSETISRDDLLRRELEGVKNVNESIEGILGTLKRAGNNMEVVVN TVANASNLLNTWTRILSQTEHNQRLLLHPGWKGMTEDLAEQEAEALEKKRAAERKAAE EEQRREELRRRREEEELSRRLTMPTSRRARGTRASRTLGRVATRSAVSGSRLPEPPTA SSASRSRGTSGIGRRAGSTRSRSRSRGAR UV8b_05667 MARTRSAKPVSKPSPGAPASAPVSKYTLEASSASPPRLFVLPTT ATSDSRIITLPHPRNGQPARYLICPKGEIHEFTRVSAPTSTPKSWLVESEEEQLDVSA GETRTSIHAETISRSDMYIATPIDPLFLVLPSLVDARVEKGSREKKRLFLASDDHFDK LPQENSHLSTLLECDATRSLLESRMEAICDTVDAGDVKMFRLSEKKLVATILGKAQRM MEAGLPPSMEDKFVRKALEAPFLLQKSVVMAAPSQTPNDSALGSEVSTPLADFDTSQS TSVAADSNPSFASEPSTASTSLFAEQSQVNHDVVTALQATPDVLRLQRLRVAFDFICS RYVSSATAEQLRRCLSQGDMSTVDFSPLDAYLAQLAEVRARAAAASSAADYSRKRVLD DEQEEMRAEKKRKMEAEKQRKANQSRGVRDLKKVNTSGMMKLSHFFSAK UV8b_05668 MAPVADSEPQWPPRSPHEARLSTPRGRQRYREMMNQTSPSPSPM RSAKALAAITGSPGAGLGISLPEDDDDDDDDDEEDEETLQLKLQEIQAKLRLKKLQNA KAREQAALQQGKGGEPVPDPAAAPGPRRRTARAQTPGADENPQPASQGHIQVPASPVR KLQFLQQQTSPSRVVLGIDKGLRAKDVSLKRVPSQSKGKTGFPSQADGYLNRSKSAPS PALSSEPSRPLSFNERLASARTEEAERAGRMEKLQKARTHAFAMGRDEMEHYKTKAVD IPDRPPPPPTFTRDEVLANGLPSKLPRSGTAPSPRSLQYPQLDGLEPDALSSSETAQT AQTAQTADEKTSFEAYSCLHLSRRILPHIVVARHVSGKHVLNVKDLLREVKAPDFSLP DVEQDVVVFAVVARKSEPRAHKPAANQKQEDRGKYLVITLTDLDLELDLFLFNSGFTR FWKLTEGTVVAILNPGIMPPPPGRQDTGRFGLVINSDEDTILEVGSARDLGFCRSVKK DGDLCGAWVNKKKTHFCEYHSNEALGKQRRARLEVNSSGFGGWDRGSKPGAGRKDARG KGSSNYDWETRTQWFAARSHSAADLIDGKDRTAADRKERAEFVKRNLEAKEKEREMMK KLGRIGNAAGREYMERAGLDACGGGAGSARPSSGPADGPPLSSRDGGGQNEPAASGVL SLGLGARDGAVHLSPIKRKRPDSSQASSTVGSTKCSSSSSAAGYGWGSKLKEKLSSMK QGERLLLQADPPPTRKKTRFVTAKGIREAGRESLGKDYLDRQISFGDDDDEELVIV UV8b_05669 MLKLAATFLAVGLAPLTALAADSHFAISGVQVTGEVPIRKNVND LYAKGGPQWDLYLQALKALQAKRADDPLSYFQVMGIHGQPYIEWNKGGAHRARGDGWD GYCPHGENLFLPWHRPFVLLHEQLLVGEAVKIASKYPEKHRARYLQAAKTLRSPYWDW SLNSDVPPCTVPTTIAVNVPDGQGLKKQLIKNPLQSFDYPQAARDGQFGGFPNYQRTE RCPAPQSYPQSANANLAQLGLKQSTYDAFTYSQTFDDFAVSRQSGLGVEQMHNSIHWD AGCGGQFVNPAVAGFDPIFMLHHTHVDRLWAYWNFINPSQSSFSDSYNGDARYSTEQN TLITPDSPLPPFYDANNTYYTPATVASIQGMGYTYEALEYWNKSPQQLQQDAIKLMNK LYGPSQLPGKRAATQDNTRYFARVELDREHVERPCSIRVFVGGKPAASAVVMQLPQTG IMHASVPVDEQMQHAFAQTPSSNGTMSSVEKLVEVEIRKVDGTVIPLSTVSSLKISLQ KVAVTPAKSDTEFPTPGKVVKQRVRLRDRHRETKSTS UV8b_05670 MAKASFNIVIPLEDDAPIISDIHLRAMNDNLLTHAQFPTPQALE YFRSWITKNTAEHIADADKGVLVATDPTTGEIASFIKWLEYGADGESASAEDEWPEFC GRQIIQEYTAIAADARKKTLGGSGYCPSALFRELEDVASAAGKAIILEAVMPAVPFYK RLGLDITMQLQLMLPLRGSKARTELYMEEVMVWMPPSLRQSEQPPRVIDSRSGLAKPF TPGAA UV8b_05671 MSKTHPPRSLWRCTRGEVGRGSMGDSFHTQFAIPPNTTARTAVI PPSDQLLKTKTFELLVKPSTRKNLNLHRPPYPPGPLAMAALTALRDRPAMTLLSEAGL EVKSVHIFPASDLAERFPPPVDARRQRSQAIIGQNRCLLKERGTSPKDTFRKRYSGYN ADRLSTTGGIRGARTTCCRERCFLAEVGAG UV8b_05672 MSHHSSVLRTAPIAIAPKPPRREPAPQRLGRIPKFEIGPSSPQA GAYVESSSLAGSAVAPCQTCRLSGIRCVVVGDDEEGCYECQANGAECSFLSSSLASPQ ARKRKPDGMPTREASFSKRNSPYNSTRKLGNSSLSSTAASSSFLEDMANVGGPTMLKR TLGMQNDRFSQHIGLTTDFEPSLINLSTFDPQDESLLARGTLRKVSDDDTFLMLPDSC TPGFHRIMEDADAIEATVSPHGRRLIDLYFRIVHPAFPIIQKHVFLEKYERSHREFSP PILAAVYILAINWWDHDPDLSKLARPDLGQLESLARGTLADAMNRPKLSTIQAGLLLS QRPEGDQWAPTAQLVAVGQELGLHLDCSNWKIPPWEKGLRRRLAWALYMQDKWGALVH GRPSHIYPSNWGVRSLTPNDFPDVEWDESDSEEKQDVENGRLLFTRFVQLSKILAEIL ETFYTLSSTRNVADAGAQGTQLVLSLAKPVQLKLKEWYSSLPACIRLESCSMASYAPA SPPPPPTSRPSSSIGYLHLGYFATEITLHRSIIRSLASNSSAVDPYVQHICRSAAKAR LISAMDFVNRLTPKHLRSFWFFASKTNFALIGTFGSLLWATSPGREEADWYRRRLGEY RWTLSVSFKPGERRRLTEFAMSMLDISTGLLKRLPEKPPMSRSVSLADMSAPSGPPSF PSSLPGGGSLFGQFSNFHSADTSSVTSPLSDESRSDDNMEDNYATPI UV8b_05673 MPRQPPPSSGVGSPDTSRKMEKEPRRLTEKTEKTESDPSSRRLL EQSARYARIVLLLSFLGIYAHVSQLNLSPVYGSLPASIWHFKLLAGGCFVGWAGSVVL RDLLPIPPAQALPIVAVCIPCLQHYLGSCSQLLGPQWGPAATEGLTLFPLCVLTAASV ADGWEGVRLTKLPTFVADAGPGVASWALLRYFESQAATRLPLVVGKLRILTRLGFEML LALMYIALFPSKYLVYTAFPLFHTLLLNPHVPSPAATESLASAMLTDGWLLMERRESV TGYLSVIQNMKQGFRVMRCDHSLLGGEWINHRGGPVSEPIYGVFAMLEAVRLAENAQA VADENAQALVIGLGVGTTPSALVSHGINTTVVEMDPVVHELAARYFDLKENNPPVLQD AVRYVQSLAKTAPATYDYIIHDVFTGGAEPVDLFTLEFFEGLRSLLKPAGTVAINYAG DLSLPAPKVIYRTIKQVFPTCRVFRETPPDVEAIKSNGADFTNMVVFCKNSVDSPLTF RRPTPKDYLESSARREFLQLTNEVPEAEILGGNQELVRRNGTEKVAKWSVKSALGHWA LMRTAVPAKVWERW UV8b_05674 MGRYELEYPKDATNTVKRLHERGSYALRKIHGLINSSQIIHVSF NVPDSPFPATLPMIGQMGSFERPSADLGDPLDLYLHGYVSSRLFNLGRAAGQQGLPVT CAASHVDGLVLALSAFHHSYNYRSAVLFGHATLVDDPEEKLYAMELITNSVVPDRWAN SRLPPTNAEMQSTSILRVRIASGSAKFRDGGVTDDKNDLGNEDALNSVWAGVVPVYSI LGEPVAAPHNRVDLPAYARQFIDDLNQDNRAYSLGAVKGRSEA UV8b_05675 MADSAKDQVLVGTFFFGLVVCAALGTVFFRLRGEASSLFRGGLR LVLASFLLLATLWAVDGFAATFVRQSSQSGCQVAVAFSSALDQLARVTLEEFLFWAMK THAQATRGVLFSQAVLLFRFILGGIFVGAQRPQFAPACVATTLFWPLGAGVLATDAFI VLLLLTRASSAGIFRAMDAAGPVGRRPKGIVLTTIALGLWTPLSAPMILGVDSLGIAT RTTLPAVGVLVVIACIAAYYKALVWPAQDFSQKSGPFINNFPGLSQDSPPSREVGRRS VSTVESPYSPNTSSGAANATAARSDASERTTPARENMAALAAASGARSGQVAAGTGAV TANGHVLPSMRANDPLPIDNKGDMPTQPTTTAAVNGGKLAASHPIRRDGVIQDKGERP LNRIAKVEPGSATRRKNESQSKTAPFTATSPAGESVVQPPPTIPAKSPSRSSKSLAET AQLGPDLPKLPMPSTLLQSNTNPPTSAKGSRSLKASLCLSSLVRDFGNRTLYAAGPEP ATVLDSSTCLSRPDLSPGQAGTKPDTTWTETVDIPVPPIPLIPPLPPLPPRDTNQRRY PSEDDHAAQGSHSVINRPRPIPRMSLGFSTFGGAHDLLFSPQNFAPASLGTQAPDPTP FILCSNARSPSPSLPSPPIPRSAGIMQPSAPPELTDRDDYCPLGTAGGKQQLLTPAQK PVQVACLPHSTTLVNGTTTPARGNPNAETATSYAPNPISATATVSRTVDEASPAFPCS PALTLLRSSPGDMRRKSSPVLPADDAQEPAAPASVAEGGSLARPGAPAPPERAADVAS ATDNSCAEQSLGANTRPSDARTCSSPVDSTSVGSEDGAEGVLVTVMLDPSAEDAKTLP SGGAHGVAQSTGDPWHRRVGGNRVAFSERSAARSRRSPKPPPPPRLELDRATTRVRDE SPSALDMTEEEPVEHEGTLERPRTMLLADMEAELGVQESRWQEMRAELCRASWSTVSP SSLRALTPRDPQPAPRGGHDGGHDGVGVTERAEAAQPLVDAADPGEPRPDGAPAKTHG GGKDTSRETPADATEPSPPPPPPLRADVSAPPNTSTATESDPPPKLHLRQASSSTLQL FRAQQTLAARPLARRSSAPSPAPSSSWTEPQPPAAAAPGGQATPRRALTRPPRRNSRR VSTLLDIVENPEPLANDRHTLGLFRFPWGQESDTARMAAHTAPCQTRARTRTQALKSP QQGPPLLLRHGEPAVPGFSDDPFDEATLWEIASLLRPDGP UV8b_05676 MQTVPSLVGTGTAIAAAASGLVFGQPADTNLCRGLSTTTCSVAG GHKRTTSSSAGRAQSPHSPSPSRAHTLPPRRPASSSAVPPSVSSELRGTAISVHQKTR PPLSRRQRPQNSQPSASNTSRRRLSLLSGHGNSAPAASILEDSGESVTSTGSWLRRLS IRPLSQHGSSGSSVLAGGSPSIALSYGSTAPILSPSGAVSKPLPPNKLVKRSLSRRNI PEESTRRRSKGHLPSLRRPATSHQRSADLQRFRADIDVAGANPYPKYSFDEPIRPEEL LGASPIEELDRRPPRAEAAERTTWTSFFHSKAGDLVRSCSYHGRRVANGELASPAKNS TCRRIHPSRNPSPSVGAHLIKPRMVSDGSAAPFRLEQEEPRRPVKAHRGCMDAAAAAP SPDMAPEGAPAHARPRRSFSSTFSHSADWAPKTPGSLRRTKRGGGSQGESGESKQRRH VSAPARALPPPAVGRADETTNPPPPPPMAPVRQGSSSLDMTATLLIPAPKRSASSPLP PPSKPAGAAAAAAAAAAAAAAARADLPKRSRPPAGGRATHPPRPNQPSGSSTSSASVA MSQRRGSHYERISALDSSDGDGREPISGDEDDTDFKSDTLFDSVRSIGGRVRVVETPL ESVCDDSPPSPGCNKRSKRPSIQEMLDHAWDEDGKIVEEDDKSWTLAVGAAHRSVINH GGRNSREDPVFMLGPLLHEHADMTTLCLDGEGFDEDWTRNEDDAPVNALSPPSKGNSL GLGTINNNANANANANTNSKPNVRLALATLGSNPTPCAKSVSPQAERSLGSLFDWSEQ PAHDMQDSAGGSLRPKTSYAKQHTSRGGRSVSRKGPMPTHVRSQSVPVVQEDAQDPKP TGPKYGTWGLGTKTVSEDWDEDFEFGGSDKGLDGKAVGDLFAVPESIQASQPSVKAHS GQIRELSLLVNDLKRLCRHGRELNILSGDQGPFWKEAEGIIALASPDEEATNEDGQSG SSVYMDACEASSPLMMQDECDSPPYEMLDAVAVVVGRNEPAVSRTGVVRERQSPRRRS VFCPDDDIFGANWPLTDEGPRPTNRASRPRTPASQPDKDQDINIAVRSVMETVQGRPA TVASEAGGQECRKNYNGTSRMHFDTNSLRDLVKRAGELRDMLSDVVRRAEQLTQSPVA SPRHEKRLDSSPAFTRVFDDPGSSPPRRLGKTRGGGAADRPSPDNSPASSISRRVHLM TVS UV8b_05677 MGRRSLTPSKRASDDDVVPPPFKVHRAGPNLPLVPQDAAGHKVM PSLLEHSTSASASASTTGSHGRWIMLETTRRQQCRAPVSGTDLSMVRVRMLDWLPELW EREGLLPRIDDLMLRIRGTQGRQALRYWQQ UV8b_05678 MHAALAKMIGTEERAKSSSSSLGKFSASVEWTDVAKTLGASNQV PAALCVRLNGSSPAEQHDGYKVARA UV8b_05679 MEADIRAVLPNIDPVVSDYSVGYLTHASTAWTDEEELSPLTAAA SAITELLLSASGEFDPGIEEKVKQLVEKWVGRYAEANGGQRAGPSLVKRLDQTIQVST QRNMSSTLAVATGGVDLESANARKVESKVDRKKLEKAERKIAAKQQKKTFKTVEYEAS RLLDQPQDAQSYEEFYMAVNPLQIGSSGANKSKDVKLDNIDVSIGGNRILTDTTLTLA YGHRYGLVGNNGVGKSTLLRALSRREVAIPTHISILHVEQEIAGDDTTALQAVLDADV WRKVLLREQDELTAALADLEARRAPLADTSADAAKLDLDKEAKDGKLGEIQAKLAEME SDKAESRAASILAGLGFSPERQQFATKTFSGGWRMRLALARALFCEPDLLLLDEPSNM LDVPSITFLSGYLQSYTSTVLVVSHDRAFLNEVATDIIYQHSERLDYYRGANFDSFYA TREERKKIAKKEYETQMAHRAHLQAFIDKFRYNAAKSSEAQSRIKKLEKMPVLTPPES EYSVKFTFPDVEKLSPPIIQMSEVSFGFTGGEPLLRNVDLDVQLDSRIGIVGPNGAGK TTILKLLIGKLQVNTGIVTAHPRLRIGFFAQHHVDALDLSVSAVSFMAKTYPGKTDEE YRRQLGAFGITGTTGLQKMALLSGGQKSRVAFACIAITNPHILVLDEPSNHLDIEAMD ALAEALQAFQGGVLMVSHDVTMLQMVCTSLWVCDKGTVEKFDGDVQAYKKKISAQADA AGVVKAH UV8b_05680 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDSNGVYNGTSELQL ERMSVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDNVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRTLKLA SPSYGDLNYLVSAVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVSVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVAMKEVEDQMRNVQ NKNSTYFVEWIPNNIQTALCAIPPRGLKMSSTFIGNSTSIQELFKRVGEQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAGIDEEEGEYEEEANAEETLE UV8b_05681 MASKFRPAGSRALENALRACRTAPVPTCPALAQQAVAERQFSTT TQRPSKLGRTPITIPTGVELSLSDLKRTKIATSYKPVVTRTITVAGPLGTLNLDVPAF VRLQQDAQNKTALLSVEDANIRQQREMWGTSWAYLTNYIMGVSEGHTAILRLVGVGYR ASVEPRVAKEEYPGQRFLCLKLGYTHPVEEGIPQGVKVTVALPTRVLLEGTDREVIKS FAGRVQQWRPPEPYKGKGVFIDDQTIKLKQKKIK UV8b_05682 MAASPSYSRELLVAQLAVQRATLLTKRVFREKAKGTVDKNDKSP VTIGDFGAQALIIAALQHNFPQDAIVAEEEAAQLRRDAHLCETIWALVGDTRLDDEAA ERTLGGPVADVDSMLRLIDLGNSPGGPAGRVWTIDPIDGTKGFLRGGQYAVCLGLMVD GHVRVGVLGCPNLPLDDAARLAGDVGSNQTDRGRGVLFSAVEHEGADSRPLTAAALSP DSRRIGMRPVADLAEATFCESVEAGHSAHDEQALIAEKLGITRPSVRMDSQAKYASIA RGAGDIYLRLPASATYQEKIWDHAAGDLIVRESGGQVTDVYGKRLDFARGRTLANNKG VVAAPVGVHDEVLRVVQEVLKIDERGHP UV8b_05683 MSTPSPARLRSIYRSLLRELPPRPILSSRRSSLHTRLRASLTDP SPAAPAQADQLIAYLRAQRLYVSLIERYNPGMDMDEEERVRLTARRVGMDLPNLYGRG RAAK UV8b_05684 MASSPDPARLAQGLGLSLLNNASPTPSAAHANSPVSAVSMPSSS HTQSAIQPYNPQEWVPSPAQVVDRSRQFATEAAQVDAASAPPPPPYSPPRNRRQRPMS TAFEHAVACGLGSTPPPPSRMPMPLLHRPSPEPPGNPSFPPPPGTGRRGNSRERRFGL PSLGRRKENEQPHPTSPPDVRAVPWHMRRSMGSTVPHQEPERFLTPAATTAHLVVPPA ARRAVSTGAIGTPASQRSRSASQTRWEPGMPLPPPPPGPPPSSFRSQSVQSIDRSSVP IASPPTRRPPPSGVASLGPVPPTPADWVDTDAEPARGRSPGLVIDTTTAANATGVSDP SGSSNSAGGLNRARAVRHDKTILERRAESRNRQSSSHGSVDAITQPHHGIQDIIVPSS SGKPVGRLSVNKSTPRSASRREVELLRTCDSWTNDSRNSTPRAPGSARPEAATPTFSP YQSKILQTPASAPALALISLPTPPPRTRSASGSRPRDSSRPPVSLSTAVSTSRVIRET AEQFASAAIERFRAFAARESMATSDADRVRMFADFVVSESQIRRERYSFAIGAMGSEI FDLTRDLFRPMTASSLGRESEMPPRDELAPASMDASPSQRDYSGSTTRGQGLSVSAPA SANLPASPGSAPAAGNANWANNYMPSLSPILSMSVSDNYENGSSRGRPPSRWWETDSQ GEARVSERSKRESKYMGVPKEQWFEEEQGIGGAHTGASDGGPSSEYPPEKTGWHDQRD CSSGTPQRPGPFGCTSGGGASGPSSSRHNKPDALDVSRLVTMPPPYPRHHPAVNNNHP ELTTTRTSVRSLNDLSEVDKVKERFAMGSSKRREEFFKEASERRQALAVNLQTEISSG NIGYADAAVIESDSQDEEKDKRKELEKKEYDEFQKEVVLPLNDLLTSRITRATELFDG LASHLFDSGQMDADMPQEEGDDRPELLEKLTLLKWIFETRESLHRAIYDILSDRNSRY REVVMSPYRLSGNQEKLQAAEIFFAEDAATRESAFANEVLNRAREFRSAVEGAVQRGV ALQLSAFWDIAPPLRRLLDSIPSNLLGFSIQIPASEFEENPAYHQHPLQYLYSLVMHA EKSTYQFIEAHTNLLCLLHEVKEAVVHAKASVLETQCREPDGSAIRAEERDQRARAMR EGEGRRLTEDLKEKVRVVQDQWNSALGEGIKNVKHRTGEWLLRTGGWDETLEEDAAFS GP UV8b_05685 MSSPLDFPQMYQKPAYNILLSTLESLQLSPLVWSHGRNGSDDLA AQESPASQRKAEVTRYVSTIIKSPLSWIDDDDQKETLWELASRRISERCGRTAMGDMV RKWPFEAPDGVEPHGHFELVIREPALTGDSLGFKTWGSSYVLARHLPRLKATVLSKLL DEPLRGKAPSVVELGSGTGLLGVAAAALWKVHVALSDLPAIVGNLRSNADRNRETVEA RGGSLSVGPLTWGGGQDEVDEDLFGKPHQFEIVLAADPMYDDNHPALLASAISDHLAL GSESRAVVMVPQRDATTVGLLASFKQAMLGLEMPLFCDEEDELAGQDDWDGDDEAGNV RCWLGIFSHEGEKRGGVLASPDCLVDAESVSDVATSAPNREQ UV8b_05686 MAGSQQYKDVEILFILKAILLGLSFRWIVDMFEKRFGRRLTDNQ LRYIKNKYGRDPRFGTPVANAQNFGASQASEWPQDDSILGIDFCLFESRGQHVPQPDL RVTASIPPRTNTPSSSPRPAATPPQPLAGRKRSRDAEDQVDELASRSPSSLSSCDKHP PGLLASLLEYPLLPPLGSSADGSLLSPQDSALYAVDARTTSHLLAHGAGDFSTWDTHH HTPFDHLPSGYPDSSACTGLLPCHTPIQGFPPETHVPITTTLQSPYQGPRLHEAYPST TANGIHVCRTPCPSETCLQDLPVCSPDELSDANTSDEQEFDAVVASTTITATDTDTDC RKLLCSLAAIGNTADGAASADDESLFMAQLDEAFLLGLSAMPDDRLSTQTGKPYI UV8b_05687 MLILSSVSTRGTAGLVSIVGRGSHSNLSAIRQPTPRPQKSEAAP LSTRLSRGSAGQYYYNAWGVEGASQAAVPSELLQSNRLGDLWPGPVQQPNKNHSLNRA SFYHSLPLDKSKNPNSSNPPFWGVPQGPLTYSNGSLHFRFSTLAEMSASPDTGGLRSP LRVVSSPPPATDSATLRSLRPSSVKRVSSYSMHIPSSSDIVGPSPVTSNGTDITEIED DVSEEEEQAHCLDSLPPSGLLMLTTTLPENVRQANNEEAVSVIHAPESFASWTSTGST AQQSEPSERSFANADGMSVSDIDEAVLQKAMSHPSRPPISTDVKPVRYSIESATPRAQ DLQVLLNDGSRLRSSSASSLEKIDEQAEGDDDGGGSGMPFLQSADDNKVATLRTALHD CWTLCNTLASLSAIHRTRMFNSSGTPDARGKAWKTCWKLCQRLHANQHEDPASPSVRD NLDLCRDFCQALFDIRQKKNETADSVLRVSFELSNHLYSAQDSRNLPELFRERTLDFY ITLCHRLMKQRSELAEETDHLLGACWTLAEMLFSLRQNRRGGKPQDEELLLGSAVQAC WDLCDMFRDGWTQIRPDRNTPRPSQMSVFLPSQPIDQSGRESRQSNHSSPHSKRDSAK SSRQEERPPVPETPVTEFEDTPISPASRSPQVPKTMVLGTTSSGGGGRGGRWSSNASS MSSYSRGSSRTSSTATTGAAKEDANMSRSKALILRAAMNAGFNRDTLADPKAEPATLQ KFVQGLPAGSFGSLPSHATLLQQYASSVLDDDLGIVAGTHLLPARGKRVTAPDMARSV AAVSNSSPRHAYLRDLFKLVFQFSMDEADARRNVSIVV UV8b_05688 MIIPIRCFSCGKVVGDLWERYLQLISDPNKTDGDAMDDLGLKRY CCRRMIMTHVDLCEKLLKYTPDGRNEKKQRLNELA UV8b_05689 MAGAKSPRVKDDETKKRKREANDGNPNSKRHRHRKSKAVSGDAQ TPQKSKGEEQENGKGAQELAEPRPREVIGQPNDGDGGWRISKPMGGRMMDIDPILAAD EQYLILTYNTSIQIYNTNDSLLVRRIPISTLDTTSCQGSTPARIVATRLSKSNPQLVW VACSDGTVYSANWAQGGAPSPVLQTASRTAKALVVIPAAHAVNKDILLLAESEKASHM QVMAYQPVEGSKPQSKRLLSLEGKQGCGLQILESSEDGKFVVGAFQDQSFVGSLSSAA PQDLEQLHYDFFSFDCPDLVTCLDLRLRHRLSGGKRAHRETDNAVDVIVGGARGGIYV YHNAVATVGKPVNRQSAEKGLLVQKHHWHRKAVHAVKWSRDGHYFISGGSENVLVIWQ VDTSKKDFLPHLSGSVENIVVSAAGSSYILHLDDNSAMMLSTAEMKPTAYVAGIQSAA MDVSQPKDLLVRRVWETPKQVRRPIPATMRPSEPSKFYVCVGNGRQATMSGEFSAPML QCFDLETFTSVSTQALARTQPTDVNLTSKGHAIDEPLVTTLAFAADGRWLASIDEWRP SHRDVENVSADLRDQFIRERREIFLKFWQVRDAGSSFALVSRINAPHATKCPEPVLGL AADPTASSFATIGADGVARVWRPRDRLNAGVAVKDQDGNTAVSWSCAQAIAIGNGTGS DAGTDHTDPTRATEVQGSIAFSEDGSTLFAAIGSAGSGHVFVIDASSGQFVRVLEGLW SGKLHSIQASSSYIIVLSDELKVYDVVGDELRYGIAVPQTEGVSELLQLAVDHTSGHF AVTLPLGGGVSSIGVFSPEHHQPLLVRSTPHRIVSLVCAPDASGFIALDDAAQVWVVT EGSDPSPLATVQPLQDLQLHDISGEVDLAVGGDDAMDAASEDDVSDVDMADDDDEDDS AVNVIAQHRLTDIFDAAPAFAAPSIEDMFYKVTGLLAAKPLVE UV8b_05690 MSFTSVPVLDLDLARKPDTKPVFLEQLRHALLEVGFLYLKNVGI PDGLFQDVIDRGKAFFDMPLEEKLRIEMKNARSFLGYSRLSAEITAGETDHREQMDLS TEHPAPPPGSPPYYNLLWPNQWPDEVHAPGFRSTYTEYLSRMAAVSVLFTSLIAEAIK LPATAFDKYFDQDQQHKLKIVKYPDMSELGRGGGDDDGPGQGVGPHKDSMLTSYLLQA TPHRGLQVQNVQGRWIDCPPVEGTLVVAIGQGMEALTQGVCVSTTHRVLSPPAGSGAR YSVPFFQGMKLDAEFDDLETVGVGRVPDEVVEQRRKVSERTGGRIDDVEFTFRTGAAA KTLGEATLRNRIKSHPDVGEKWYPDLLRAIREEQAAARAAQAQ UV8b_05691 MGNGAKAQQKRERNAKDGKVAKSQLKVNQQAMNIQCQVCKATFL STTKGPALQEHAQNKHSKGIADCFPGKTA UV8b_05692 MQAILPDAITEDEFHRLLSQYPSVVQDSGTAKPGQPSLQALDQL RYVDFPQTFHPQRGTTMDQGHVEKLVEWKLRHGKFRPSLTKLIASNDPVVTKSTIAAA VAAYRHAGTPQAVGPAVGQLTRLKGVGPATASLLLSVHDPRVIFFSDEAYWWLCCGGQ RRAIRYNASEYADLCSRATVLADRLGVVDMAQVEKVAYVLLRRSKDPGKGAVETARRG KRAGEVAAGDAARSGDAKGTRAGKRKTVDAGEGREAGLRRSKRQKG UV8b_05693 MTLYYTLVFFLLVVEMGLFMLLLVPLPFTAKRKVFTFISENPVI SKIMHWMRITFVFILILFIDSVNRVYRVQLEVLAASEQTSKGTAAVLGHERLEVQARK FYSQRNMYLCGFTLFLSLILNRMYHMIIDNMHLEDKVRAFESNKNFKDNKTTEVAELQ KKLAKKQVDLDTLKKQSEQLHSSYNELSDKHAALEGDAEAKKSK UV8b_05694 MAGFTSAHFRPRINRELSVESERFPPVGEPSVPPHPEACGRVLS NISPPPLRRPSGPTLNRIERFATWADSQAQPVRSHGISSPSVAAPGPGLAVRMPRQVT PPSTPAPASRRTSEPPGIININSSTTPKAQTEAAVEWTVPALIKQFTARRQDIRDRHS QLTGYILESTKATERRVRHGADLFANVQIAAVAEEKGKTMRIKFKQHLKGKRDQRESH YMPVCVRTNKDRVPSYRFHPVEIKKNVLTPNTMLTFVPHLRDLESFEETKYNLWLKEL EDIDLKSGFKPMNREEKQLLTIQGERTATMSLYLDAWLGEMAIPGCTKSALISYMAAR EPDDALTPQQKTDILNSHRGDENTTPGAARAAKMFTDAFRAVFRDGLPPSKQIDLRRV LMLDESVDTIMDSKPIAKDATSPLFDEEDEDELAESNLATYCILGCLICFSHSCDHGE YDNKNMKRTFSISSCSHLSDALKRRRRSRLNSASNRPNQPCRRRCYRRSSDRARMDLR PRPWAEDERIVLRSVFTTASHSSYRGDPICLAAEFLNRDCDQVLAEFTSLGISLPQPE PADASRVRNLSWYDRRRKMLLGDWQDHTISHAHQRRETLEPCSHAGPCAPRICSCVDA GVLCDKFCGCTVERCAYKFTGCACHSQGATCQQSKKDRPCICVQLNRECDPQLCGTCG ALQRADPLNADDAELHSTGCQNCDLQRGVGKSLVLGQSQLEGVGYGLFAAEDIAQDEF IIEYVGELITHDEGVRREARRGDVFDEESNVSYVFTLLENEGIWVDAAIYGNLSRYIN HASEHDKRGCNITPRILYVNGEYRIKFTALRDIKTGEELFFNYGENFPNLTKKLLDDK AAREPEAKPKGTARARRPEKGEAPTARNHARNDPKRKPGRPRVKRDLPPGFALEELGG DGGSTPRTRKRKLEGADVGDDYNTAAVSDGATQGEDSGRESDEPGSASRLRKRTKPSY QTESPTKRMEAAKKTRGKRGGARPGSGRPRKHPRPTAKPTSVPPRSEDAPAQSLPSPT RTLGVEGATIVATRAGTQGEAAEAAAAAAAAAAAAVAEQVAPSALMRVCTPEPKRVTR RSERLEATVEIEDSDDPSILQASQDSGTTRQADEAGQLEEDEDDEDVVVRKRSRGTRK RRLPAKFRDEDIWT UV8b_05695 MHRTYSMRTTRAPTASQLQSPPPPPSSTKSGRFFGKGNLGHALR RNAGGAFGPDLAKKLAQLVKMEKNVMRSLETVAKERMDVAQQLSIWGECADEDVSDVT DKLGVLLYEIGELEDQYVDRYDQYRITMKSIRNIEASVQPSRDRKQKITDQIAQLKYK EPNSPKIVVLEQELVRAEAESLVAEAQLSNITREKVKAAYTYQFDALREHSEKVAIIA GYGKHLLELIDDTPVTPGETRQAYDGYEASRAIIQDCEDALTNWVTANAAVSSKLSTR SRTLSQRRRNNIRNRGEGHDLSAQDAPLNDGGSWTGRDHEESEEEEEEEEAASEINGE TRGRTKEAIAA UV8b_05696 MSAEPRTAMDKDGARSYLCSLLNKNLRIVTSDGRLFWGAFKCTD PDKNVILANTYEYRQPSASQAQQALQESPDGTTTLDMTSRYLGLVVVPGHHIVKMELE EYASQMTGQGVGGC UV8b_05697 MGVNGLWTVVQPCARPTNLATLNRKRLAVDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLWFGIQPVFVFDGGAPALKRATIQKRQRRREGRREDA VKTAGKLLAVQMHRIAVEEEEEKKKKKRNRADGGDFAAREALAQHEELPDESKIVYAE EVGMDPREKVQSRRFHKQDAYHLPDLDGSIASMAKPDDPRIMSVAELEEYARQFHNGE DIDLYDFSKIDFDGDFFKSLPPPDRYNILNAARLRSRLRMGLSKDQLDDMFPDRMAFS RFQIDRVRERNHLTQRLMYEVGMNGTDLTLGVNARVVGEKDREYILVKNEGVEGGWAL GVVSKEKDVGEAHKPIDVDATQFQYRSGEEPEADEDDDADFEDVPIEGLNRLPKPQAA SLQAAKDIARRRQRYYSGRSGLSQEQQQHREEEDEEESLFVGGSTCPSRAALESDAKH GLHPEEEDDLNRAIAMSLRSQHELDHDSDNDKRLEDAPTKRPEWRQTAVDAPRPILGK GGPTIAHVVNNRSNAAVPRPGTRKPGQRGASESDSDDDLQAVLAKSRLAKRAQPQPAV ENRKNPFDGPLPFPKLDWGSSLSAKRPAPRGTSDPGAPGQEDASEGADDDEAGGFEAS ERAENKGPAPLPPWLVDGADIRDSLKKLQATEKEINLQDRMEVEEEERLRLREMRDQV IEIDSSSDGGSDVEVLEGPFQPEPGSGLIVDGRSKEEQVRVAGQDNKHGTSLSPGGAA EGTGVTVSSTTQGLEASMAARVTRLSPETDATALVAQPSPGTGAAATSSPSEPESPEP IFENVLPAEPAVPPESTNPVDSPATGHVQSLLVQGPRSPPRGQKLGDEDPFAELEYEE LSDPEDEELMAQMAEEAEEHARFASELNNKSAQHNKEDYERELRQLRNQQKKDRRDAD EVTQVMVTECQALLRLFGIPYITAPMEAEAQCAELVRLGIADGIVTDDSDTFLFGGTR VYKNMFSSSKFVECYVGGDLEKELSLSRDQLISLAQLLGSDYTEGLPGVGPVTAVEIL SEFPGRTGLDDFREWWTSVQSQTRPREADASSPFRKKFRKSQAAKLFLPPGFPNPAVY DAYLHPDVDRSSEAFQWGVPDLEGLRRFLMATIGWSSERTDEVLLPVIRDMNRRDAEG TQSNITRFFGGGVGAGAREAFAPRANKTKGSKRMAAAVEKLRANVGGGSKRRRRDE UV8b_05698 MDQRELESRVKALTKSVAANEPPENAIRLLEGLKKDARPTEEML RATKAGVYVGKLRANPNKEVARAAAELVIKWKKLVEQEKNSKLQKSKLGSPSSAPATG PASSSSSSSSSAGAAKQAFSGNAETRTYKSDKVDLRRTGSDVRNNCIGLMYNGIAYRS TESPSDVIARAVAVEHAVFAAFKEDESTDYRKKIRSLFMNLKNKSNGELRRRVMSGDI SPQKFAVMTDDDLKSEDQRKADIELEKENMKKAQVPMAEKSISDSLECSRCKQKKVSY TQAQTRSADEPMTTFCECMNCGHRWKFS UV8b_05699 MSLSNHPRAYGSAAIALAFAAGILVTLGFKDLYPDLENRFQRKR RASSAAGSPAGSPAAHGKSGAPALLTLEDRESQSQYQPEPEPAWPLGGPRRGIQGCIG NTPLIEIPSLSVATGRTILAKAEFLNGAGNSPKDRVALSIIVQAEEDGLLTPHRGDTI YEGTVGSTGISLATLARARGYRAHICMPDDQAVEKSDLLLHLGATVERVPVAPITSPD HFVNLARRRAADHAAAAADGSRGFFANQFESRANWRAHLETTGPEIWHQARGDVDAFV AGAGTGGTISGVARFLKRQAEAGAVKVVLADPQGSGLYNKVKHGVMYSPTEREGTRRR QQVDSLVEGIGINRTTDNFEAGGHLIDDAVRVTDEQACRMARWLVDKDGIFVGSSSSV NCVAAVVAAMGLPEGSKVVTVLCDSGTRHLSKFWKRVKEMGLEEEEASSDLFVQLGLS RDAVSMPVSGSQS UV8b_05700 MAAETGLANQKSEPIHPSPSITVHHHPSPSVSIRSQHHGAMDSP IVAQLFRQLFRHCPAAACRARANPPRGPRRRHDHHQACSYATRTSRDRGMKSNESRWQ QRTNLLPEDRRDEFAQYPYISLEELKRRKERPRKVKMLLRDFIDDSLYNPHYGYFSKQ AVIFSPGEPFDFPALRDELAFQAELGRRYTEFEDRLDAAEGENPSRQLWHTPTELFRP FYGEAVARYLVANYRLTAYPYHDLLIYEMGAGRGTLMLNVLDHIRAADPQVYARTRYN IIEISPSLAALQGRHLASASASASASASASAAAAAAAASSRGHADRVDIVNRSIFDWD RHVPSPCFFLAMEVFDNLSHDGIRYDVATEEPLQGHVLVDAHGDLYEFYVRGLDPVAA RFFRVRHAATAGAYPRPYPALPALRRLSAALPFAANLSAAEYVPTRLMQLFDVLDRYF PAHRLVASDFDCLPQAVRGLNAPVVQTRYRRRMVPVTTPLVHQGYFDILFPTDFRIAE AMYRAITGKLTRVMSHGDFMQRWAYLEDTETRSGENPLLTHYKNASVLMTV UV8b_05701 MLLGRSCRFRLLAGAGAGLGGAAGLGGAVGAVGAGGAGGAGAGA GAGAGASAVVPGRRTTAPFVLAAPRLPDACRSFYKTSQTHLGQPPPPPPPPPPPSSCH QLCRRHSASACIRIWTPTRTTASASAKPSPAPPASMSELKWPSARVRQAFFDYMEQRG HTIVPSGSVVPYDDPSLLFTNAGMNQFKPIFLGTVNKSHPMFSLKRAADTQKVIRAGG KHNDLDDVGKDSYHHTFFEMLGNWSFGDYFKAEAIEMAWEILTKVYGLDPARLYATYF EGDEGLGLPPDHEARQLWLDIGLPEDHVVPGDMKDNFWEMGDQGPCGPSSEIHYDKVG GRNAAHIVNKDDPMVVEIWNLVFIQFDRQKDRTLRPLPAKHVDTGMGFERLVSALQGT RSNYATDCFTPLFERIRDVAGVRPYADRYGRDDADGVDTAYRVVADHIRTLSFAIADG AVPNSDGRGYVVRRILRRGVRYARKYLGAEIGSFFSRILPALVAHMGAQFPELAGKQH GIKEILDEEEAAFARTLDRGEAQFEKYAANAARAARAAKDGVGKLDGDVVWRLYDTFG FPVDLTQIMAQERGLVIDEREVDAARDRAREASKAVKASVDTFAKLDVHQIAHLEQQN VGRTDDEAKFAKGDAEAKVQLVFDGKTFRASTEDLPPKTALGILLDRTNFYAESGGQV ADTGRIVIDGVAEFRVMDVQNYGGFVLHSGYVEYGALSSGERVVCEYDELRRSPIRSN HSGTHVLNHSLREVLGDDVNQKGSLVDDEKLRFDFSHKAQVTVEELRRIEDLSNRYIG RDSRIYARDVDLDLARQIEGVRAVFGETYPNPVRVVSVGADIDAMLEDPRNGRWRQFS VEFCGGTHVESTGSIKQLVLVEESGIAKGIRRIVAYTGEAAHRVQREAAEFSNKLDAL DDMPFGARKEAQVKLVSQELSQLVISALTKDEFNTRFQRIAAAVVADQKKRQKAEAGA AVSCVAKHFEDNKGSDHFVGRLPIGANAKALTEVFKHFQAKDKTKSVYIFGGGEEEGA VVHGVYVGTSLSSKGVTAEQWASVVSNIVGGRSGGKEPTRQGVGVRPEKIDEAVEEAR KWLEEKL UV8b_05702 MMVHQGSPAAAAYNFPSTSLPLPTDAAHQRGLGQPASGAATTTT TASAAPQAPQAPADQAFAPCGSASYSASYSANSDACTAMLLPPPVLGKRKAETQDNEQ LSKRLSLLNLEKGGSKLYVPVESPAPAGLTTRNAPDNNNNNNNNHDDDSMMQLDHSQH RVYIYNLDDELSSESGHDDDDDADGKLILLPDVEKHLRERRIPPHVLANSQGQLAGMH MQLVPYSDPKSLTVPEEHDSVRKAIIEARQRARDKQRLEREATPSTPFPTVSTAGVVM SSAVDKGDEMDID UV8b_05703 MPALARDIICVDDECYAPWWATKTGIMIRWILFFVVLLSVVLYV FGGYLHAQRRVRKGLRPLAYHRCLVSRRSYAPAREENQWPSQGPCHYPPQQSGYQQAY PMNDMPLPAYDPNSPPVYPGPPEGGSKVDPSQSRPPTHRAPADHPAPAYTPPQGPPPN KSS UV8b_05704 MRRLAFCLLLLSQATSTLGTNAQYYQENLGDGTARREPADHRLG PRTDVGTDHAQPAARQPGAELVDAALAQLTKVSRSSRQRRKRASGLVGTVVRYILDTI PTGPAAAPVPGQPKPAASGPLGKAVQLLETAANQNNSDALHLLAEFSFFGNHSYPRDL HAAYRYYDDLASNHGNTTAQYMLGLFHSTGIGNVVPRDQAKALLYYTFAAIRGDARAE MAAAYRHHVGIGASKSCDVAVRYYKRVADKAVQWYRSGPPGGVSWISQSWRIADDHGG VYGEGASAASSGMNARKVNVHSDANAAIGDVIEYLDLMSQKGDIKASLNLGRIFYEGQ RGLGQDFNVARKYFLQVAYKYWRKDGRLAENVKPGTDKIAAKAAGFLGRMYLRGDGVP QSFDRARVWFERGIKLRDPQSQYGMGLMLLNGHGEKENVRRASELLQQAAAGDYAPAQ VEMGRLYLDQGDSGDLQAASNFFDLAARYGNIESHYYLAEMMHHGVGREKSCSLALGY YKNVAEKAEPLVSSWGDANEAYGSGDYELAVLEYLMAAEQGYEKAQNNVAYLLDIVQS KKSTPRWLGGGVGAESALLDNPSLALIYWTRSSKQSNVDSLVKMGDYYFYGLGAEPDV KKAVQCYAGASEYSQSAQALFNLGWMHENGLGLEQDFHLAKRYYDQALEVNEEAYLPV TLSLLKLRIRSAWNTMTHGDVHSIEEEPSKSKDWSLSEWIANFMKDDGSYDDEVENTN YMNDDDALDVGDDDYLDAGGVVESVLILGVTMSLVLLLWYRQRMQQANAQADEARRRG QNHEQPQQPQQPHPLPQPQPGQGGNAANPVNGFAGWAAGGMGL UV8b_05705 MKSKGDVVQDFNSLVNMTASELEDWLKSSDSADAGWSKGSEDGE SVGHESGRKIVQILRDNPRKEPKKYTDEQIEHMRKVVSYCKRHLAQESAVNEKKPVEE VKKTKSYASLKNWGHDILKERSSKADNVDGTEDDAGAGEKRSRKDESLGAKKKKKTAN GGSRRTQDGGGEEEGNSGGSEDEGDDDGEDEGDDDAEDEDDDDAEDKVEEVATDEAQD NAEDESEDEVEDDAEDDAEDDAEDGPSSASKNTRSKSKTRNAKGSAKAQKDGNKHNGE KKAPSSGKTDGEPKPGDTVSWKWGQGHPQGKVLDVKHAKASTTTKNGNEVTRQGSDED PAVILDAGKSKAIKLAHELD UV8b_05706 MARTQQVPVVDDAPVSTPRDEEVKPLPDDVDEDSFDEAVSKDPE LEKDMVDGIDESNIIDETTRGAKPTSGSYKEPSDDVSDLVDE UV8b_05707 MRAILSIAFGLSAAAAAAAVNVPRDGDNDDRLPQAPWVTVNDEG NPVTTLTPAVSSVSGTPSPVDAAPHDLTASLYTVTNYGHVYTSTGLPPNPTATNAKTN EGSFSRCFNQRGAYAPFCRPTHNSTIYTGRTYYVTWDPDWYNATLSPFVSLRINYYND TNGQISDHDVSPKSYPVSWGFLPLPVTGDNLRGHHLGNNVTLQLVGHPISNTTAATNH TVELPVTIANPPFDPTPPTPVPRGDTLKIALPVTFGVVAFLVLGVCLWNRKTRRIELG NIMSRSRRGYTGRRQRNHLFSRKDHGIQLDDAGPPADYRDAPDRAGRDSRDSRDSHHG PAAGSPGGGGGGTFEEQDTTGGRNAFRDEVRRQERERGEW UV8b_05708 MAQTLDQEPHTFASCMTSLQGQTSAGVEALAVLQTNATSTADRG LCGTCCCCCCCCGPSASGTASRTSDSVAVEARDVDELGGQVSLQQPTQAHSLASPARP PALQQEEPVMRLVPETANQQTRVPDAATAPPALSTDGQGKPARRNSHGEAVDGALIVS SSSQTAAWSTAPSSACPSAAPPAPSTRTDAHTATVDGALIGSCPLVSSSSQTAASTTC SAAPLPSSPPPVVLPNLPIKTDALATTLDRPSPGRSRLSPSDRAVSVVKAGEIEHDHD VQVQGHRVLRLKPTAEQWADFPAVLAHARSLGADADGCFKVATPPGLHGALPETPAQH VAANTYKVRLVRRSLSWQVSTAPSRGAAPDWSQQCPPPPPQFADSAEAAFKALKQLFR KSQNRQLRNIRYRVDVPAWTETQRRLAGVPERSPIHPLKGDKLDHTKAVIPGIHTPYV YESGPYFGATFQIHAEDFRLASLNHLYKGRKIWVVVPATAVDVAEEALGRGSGCSQFM RHRAEFFFPDKLDKLGIPYRIVDQRPGETIVILPDAYHEGFSCGYTIAEAKNYADTAW TTDSYQPCQASCRLATAIPAAFMRPLARGEDRLDLCAAYGDGLHALAEASSANGPGSS PAKRDCQEVALGCPSLESKRIKV UV8b_05709 MSAVGRNVPLSPVSLGGSEWSLSKYPSREEGGRGNLASPPVSGG SNGTMSLNGFPPGPRSNGGPSPPPSVGRSSTGTNIFGGSDGGPNGSRTELDESVLIEH YIALKAFLTARGNDARQQTNKARDKLLRLSAVQFFELSTDVFDELLRRQAMARAPPNA PNTPSQFLLPEKTFHPKRNQARQRLSSLGPPRFRDLAADVYHELERRFPSFVGRDIPR GGSSTSMRSGPISRNGTPVNGMFPPRAQSNRRRPSDASSIRGGPPPPDGYGFPASPNP LHPANPANGEFSRPMPKQLNQNNTIVPNKSTMLEEDDDAGAAEADKKVTDDYQGQIRS LQSKLADMEDTMKKKDDEMKAALDGERSRAAAAASLEKQEWSNVRLDLESKLAEAQDL NASMKQELDRVRASQAMEAASSSTRSDADIQRENEELRQSLRQQEQVTEQVRKEAQEF LEEMRLLSQQSAATYDKQLELEKTIEDLEREVRDWRNRYARSKTQQGGMRASLQGIAL DQGAADCLRDKGFVQDDGVIRDVHVTRFQMSIDELLRSARNDSPEKLVAAMKRVVVSV RRITRELDESTPHDDDDDDAIQQQGRLKARVSSTANGLITASKNFAAAGGLSPVSLLD AAASNLSVSIVDLLRVVKIRPTPPGELEEDDDGALTPVDPAGFFSPSSTAQPSRRGSL PPPPPFQGLGGVGPRASAESSTYSTISSPRESVGHPGGGAASGGTSGGGGGGYLGGGG GPNGYHHGRPDDLKLYLDDQTAPLVSDIQNLVSCVRGGGQRKQIASHVLSIEAIVGNM VDETRKCGYGGMASALEETRDRLLDASQRGQDIARSGAGQDDRSWHLWTQALPPIAFE IARETKELVQKVGGLTRASCADEFS UV8b_05710 MEVANAADGVELDGPVKNPAGYKLKFCTVCASNQNRSMEAHLRL SQANYPVISFGTGSLVRLPGPTITQPNVYQFNKTSYDTMYKELESKDGRLYRANGILN MLDRNRGVKWGPERWQDWAVGIPRLQHATDKGSDGVEGGVVDVVFTCEERCWDAVIDD LLNRGSPLNRPVHVINVDIKDNHEEAHIGGQGILDLANSLNAAAAEAREVVGAAAFDG GSAASRATFDERVPEILGAWQERWPNLPSTWTLAWF UV8b_05711 MTDASSVASPQPQGSSPLQSPNQAALPPLATSTPSRRSTLGRPI SHASKSRLSQYSAGSAPSRSRPNSHMFPVFPSSLPYALVRDFAYPAAHPMHYGPPHDP SGPPSGLTTPASETRRLSDPPASWDQRMPWDSWTSDGFNRGHDIPPIQMGDGPPYSED EDLQSPVVAPRHRKHKSTSAAMDQGRARASRGCDAMDMSGYDHDRGYYVGTSGDGSQR YYVSQGGEANGPGGEFVTYPPGHQARQGGCDHGYSQQQQQQQQQQQPQQQQQQHQQQQ QHQHQHQHQHQHQHQHQHHHQHQHQHHHHQHHHHQHQQERSREDGSSPLSSPGYQDAD ESRYSRDYQFTITSPDEEFHGKAVALFDFERENENELPLVEGQIIWVSYRHGQGWLVA EDPKTQESGLVPEEYVRLLRDIEGGMNSLTGPVGDGGRASPNEADAPAAVEQCGKQRH SSIASANGYHQPVVSVFSTSSKDLNPYPTEQLGIQAGQTPPQVVHYHGQRGGSQTNAP TITTQPGETGASHQRGSQAASHDGGVETPVQTQATEPTSVAPSR UV8b_05712 MLSRSVFRAVQPLKAQARRRYATEPASQGGSNALLYLAGGVAVA GAGYYYLSSNPSAAAKVKEVAPAKPAFTGGDQGFVSLKLADVENVNHNTKRLRFELPE ADNVSGLQVASAILTKFKGPGDEKATLRPYTPISDEDEKGYIDLLVKKYQGGPMSTHI HDMVPGQRLDFKGPLPKYPWAENKHDHVALIAGGTGITPMYQLCRAIFNNPNDKTKVT LVFGNVSEEDILLRRELAELENTYPQRFRAFYVLDKAPKSWQGGSGFISKDLLKTVLP EPKEDNIKVFVCGPPGLMKAISGPKVSPKDQGELSGSLKDLGYSKEQIYKF UV8b_05713 MPTLLSPEPEKMANVSTPLIQTVRVAPPRRRYRHHTCRRFCTLA CTCVLIFGFATFLTHVFFIWPYHHHHGPHSRYSRDHRHGKHLSHEQLRKVFLETPSAD HVREWTQYYASGPHLAGKNFSQADWTRDKWQSWGVDATIAEYHVYINYPVDHGLSLLE KSDKSDAWSVAFNASLREDVIGEDPTTSLKDRVPTFHGYSASGNVTAQFVYVNYGTYQ DYQDLVDAGVDLEGKIAVARYGGVFRGLKVKRAQELGMVGALIYSDPGDDGDITEANG YEQYPKGPARQESSVQRGSVMFLSVRPGDPTTPGYPSKPGVPRAPAHDVIPSIPSIPI SYREALPILRALNGRGPKASGLNGSWTTNLGLGYKGVEYNIGPSPESVALSLYNEQEY VTTPQWDVIGIVNGTIPDQVIVVGNHRDAWVAGGAADPNGGSAVLNEVVRSVGKAVDA GWKPLRTIVFGSWDGEEYGLIGSTEWVEEYLPWLTGASVAYVNVDVGASGTRFVGSAA PLLNQALRAATRLVPSPNQTVPGQTIGDAWNGKISTLGSGSDFTAFQDFAGIPCINVG FVGAEGDPVYHYHSNYDSFHWMEKFGDAGFKHHLALAQVMGVLVAELANTIVIPFNAT EYVDALNSYLDDVEARLKLDGEAAPSSQRDVVRGKEAAGSADAFEESLRNIRRSLSGL RAKAAGLDQRAAWANHKLEQGIPWWNLAGKIKLWITVAKVNIQYKYLERHFLFEGGLD NRSWFKHVVFAPGLWTGYAGDVYPGLMESIEAKDYTNGLKWAGIINGCVVKAAKSI UV8b_05714 MSDPVSQKPSKPKLPVQQLTILALARFAEPLASTSIFPYLPQMV RDFGVEENEVAKWAGLTSAVFSLFQSAAAVPWGKVADRWGRKPSLIVGLFCTMVCFLV WGLSTSLPMAIAVRAVQGASNGNVGIIRTVVAELVPEKELQPRAFSVMPLVWSLGSVV GPAFGGLFADPARQYPALFEGVWFFEKFPYALPNLIAALFFLVSLTSATLFLKETLAS KRDQGDWGIEFGKRLGRALSRRRTQDHQRRQSFVDGEATAPLLASNVQNGRKKTANSR AHRFIDIFTNQTVLIMFAYFFLAFHSVAYDQNVTVFLNYPVVEHTPENTKLPFYFNGG FGLESGMIGTIFMFYGIACGLVQFILFSPMVARWGVLNCYKACCVVMPFVYLLTPYTS LFPTYTTRMLALAFVLALKAFSIIVAFPAVVILLTNACTSLRILGTLNGFVTMFSGFG RAIGPASTGLAFTWGAKHGYIIAAYFFLALMAILGAIPVFWVVEGEGPTASPDNSDVE DNDTLVGSSLLANASAIEDSDDDSRESEPLLGSSQPPTTYKTSDSRARN UV8b_05715 MAFWVVGVAGGGGGGTPFRVRKQPYVDSYGHAGPGDGSETSHRW PEFEHYEEHGRNTSDEHLAESVPIRNLAFLVIREGSDDANQDNCHRNRNYHPPPTRNH ALCPAASTPSPSPSPKSPVGKMTSHQVHSPSCPSVRLPLERTESGLSISSDASAHHHH CPSSAEAENDTSLLGDLEAARLVREHVASYRRRFPDSQPERILRALINPKSRGADFPL DNDALRSIFSAANELFFASRLTRRVTWDWSHSASEQYANHIVGTTALRRSARFGGWET LIVLSSPILRDTKYNRRLLISTFLHEMMHSFMFVACGLKAKQFGGHTEGFHQVAGIID DWAGKDCLHLRDMEADLARWRGDDFSCADGRRHADADHDMDRAEQDYVEQVQAWSTHP AQLRGDALQTPSPLPSLPHRQAAPEKWQWYEREDFGDRLQHVAQVQYVP UV8b_05716 MASSTKSSWDKLPDEMILQLISYLEPRHITTLQLVSKRLRKLCL DDELWKHLCFEKSTWYQALRARRSIFRSTIRPFDSELDASSHSHSTLGDECMAPPALG RRRRERQDMANWDPVFPGERVSWYDEFIHRHAGTCVNWLETPRIHDRGIQAIVEARGM ALYNPYEGTDGLGTMLAVSPLDDGSVCLWDVKGTRGQQGRILATSEPDILFINGPGSQ NSKRSKKIDTGVTECVSVNNGGSRAFFAVQSHLIEVDLNRLQVVSQESFEWSITTLSA VSEGVPLTVGTALGIHLHDFRRRAMVPHQVVERLDGPRLSETDVLRAIFDSRPLPPYA PLAQPTPISILHLPRPGSHDQVSDDIYVSGRFSNILHYDRRKFPAIAGSIWSGALIKS LTALPYPYSTVDAEVRRLGNSACEPVGRGKAEGQGRTLIAGGGYKSKGSLEVYGLSCG PESGGNATLQNSVMKNRQTAASSTILSVASHGTRIVFSDGSGFIKWFERDGCTECRHL KVGHSGAEEPSSLFASMPASDDMARKIVSTRASDAADEPNQDNILLWTGERLGMVSFT ASPLFVETDFEAHEPEADAEDTQRHEYAQKMRRALEQQADEVKFMSSFGYGAR UV8b_05717 MRPRSENPAGSQWSDSLGSFLRPETKPPCNPFSDPGQEAQVNPL RDFHRGSPPPSLRTNHEAFGLEHPSGKASRHGPCPKPALEAADSDSDSDSDWDTVPAE GADAVSARWLTKEGQDDDHDAAAAAAAASGRAVCSNIFALQTRLHPPNPARSPGDHLP WLRASSVYDDGTDSGRDSPAVLSRDGDDTKAASKKRPATLGFDRTGHRGDAAPSYSKS SSRASVDPFGFDGDRYSPFLQPAAEREIGRALCQQDSCVPSAPSSGGEQTTRHTRPAT TTTTTVPVLRRAPLSPTADEHPLSELDMLVRSTCEGRREADADWQTVTTEQAPVRALE LTLRLDDAGSSIADVSDVTEPESLDQFVGQTPVSQAGRRHGSHAPPPRGNTTTTTSTA CLRAPYPRLGSSGRLDGQPCRRRAAAAMRRLSSRLSHEARATPGLSRLSELRRLATSY ASLDSQELLGHAAQPPPPTDSLCRSRGFAACQDVLHEAARARFPFPLISLPEAAALQS TRRERGEEDHTDPGPAFAAKARSCTISTTTSTTGPRTPVSPVEDLSSLLPRPKSAFHR HQPTQAFEYTHGQLNSSSILDHDVNASFFRTSVPRSTSLLSARFFRLGGVPARTRERR VEEQRPNDRSYLTASQTDLLQSAREDILDRRRNCRLDEDKAQRSIFLAIMVLTIFFPL VGLLALCGNFDGTISWYAKGERGCLTREQRGTLKQQLFVECLLYPGLIIALSVHYSVR K UV8b_05718 MPQDYSYKGSGTNSQGNHWCSRDYGSGAANSNAYHYSNSNGSYY YSNANGSTYYNNGQGSATYTAPGGSQSSSGSGGSQGSKK UV8b_05719 MADEVYDGAIGIDLGTTYSCVATYEGANVEIIANEQGSFTTPSF VSFTDKERLIGDAAKNNAAMNPKNTVFDAKRLIGRRFDDPTVKKDIESWPFTIVDEAS QPKIEVEYLGEKKRFSAQEISSMVLLKMKEIAETKLGKKVEKAVITVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKTDKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLDHCKKEFTRKTKKDLSNDARALRRLRTACERAKR TLSSGAQATIEIDSLFDGEDFTMTITRARFEDLNAKAFSGTLEPVAQVLKDAAMDKSG VDEIVLVGGSTRIPKIQKLLSEFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSAE TSDLLLLDVVPLSLGVAMEGNIFANVVPRGSTVPCLKKRTFTTVADNQQTVQFPVYQG ERVNCEDNTSLGEFTLAPIPPMRAGEAVLECVFEVDVNGILKVTATEKTSGRSANITI ANSVGKLSTGEIEKMISDAEAFKSNDEAFSKRFEAKQQLESYIGRVEEIVTDPTLSLK LKRGQKEKIEQTISEAMATLEITDSSAEDLKKQELALKRLVTKAMSSR UV8b_05720 MSTNAVFLSLAPATSASYPQAPASRAAPKEREASAAPAEPTRRR SSSSSSRGHRILKLGPVHWGEHLDEHKEDYHDISIV UV8b_05721 MCVWVTTEHWTYCGCTVTKSCPKPTCRCPKVLDLATQNWIGYCD SPQCPNPAPPNSSQSGHASPER UV8b_05722 MANYHPPFSSAPLKTVEEIQFGLMSPEEIKNMSVCHILYPETMD ESRTKPRDGGLNDPLLGSIDRGFKCKTCTQAMSDCPGHFGHIELAKPVYHPGFIKKVK KILEIVCHNCSKVLADTSDPEFVTAISTRDAKLRFNRVWAVCKKKRRCENEDRSEKND EDFAPGMKPVVNNHGGCGNVQPQVRQAALQLKAAFDVAQEDGPKRRETVPITPEMAHG ILRRISEEDLRHMGLNSDYARPEWMILTVLPVPPPPVRPSISMDGTGTGMRNEDDLTY KLGDIIRANGNVKQAIREGSPQHIARDFEELLQYHVATYMDNDIAGQPRALQKSGRPV KAIRARLKGKEGRLRGNLMGKRVDFSARTVITGDANLSLHEVGVPRSIARTLTYPETV TPYNIGKLHQLVENGPNEHPGAKYVIRADGTRIDLRHHRRAAQISLEYGWKVERHLMD GDYIIFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQSEE TRAEVKELCLVPNNIVSPQKNGPLMGIVQDSLAGAYKLCRRDTFIDKDMVMNMMLWVP NWDGVIPQPAILKPRPRWTGKQLLSMVIPKEISLHSPESAGGGDNADMPLKDTGLLIQ SGELLYGLLKKKNIGSAAGGIVHLSYNELGPEGAMAFLNGVQQVVTYWLLNNGHSIGI GDTVPDKQTIEKVQVHIDTQKAEVARLTAQATANELEALPGMNVRATFENKVSMALNS ARDQAGTTTQNSLKDSNNAVTMADSGSKGSSINISQMTALVGQQIVEGKRIPFGFKYR TLPHFTKDDYSPEARGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAETGYIQRR LVKALEDLSARYDGTVRNSLGDIVQFLYGEDGLDAMCIEKQKLGTLKMSDAAFESKYR LDLANPPDWFRKDYEYGNELAGDKESMDLLDAEWDALLDDRRTVRAINRGKMGEEMMQ LPLNVGRIIESAKRVFNVRATDRSNLRPTDVIPRVRSLLGEIKIVRGQDPISVEADTN ATILFKALIRSRLAFKEIVKVHRLNRLAFDHVLGELQNRWDRSFVSPGEMVGVLAAQS IGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNLAKDIKTPSMAVYLDTHLGTQEQ AKKLRSMVEYTNLRSITSVTEIYYDPDIQGTNIAEDVDMVESYFLIPDDAQDTTDQQS RWLLRITLDRQKLLDKEIRIDDVAQRIKEEYPNDLAVIFSDNNADEQVIRIRTIRAEN DKDDDDEKRIEDDVMLKRLEAHLLDTLTLRGVPGVERAFLTMGTRLVIDSDGSELARK GDDRCTQWYLDTSGSALRDVLAVDGVDPTRTYTNDLWQIVEVFGIEAARSALVKELTN VLAFDGSYVNHRHIALLVDVMTYRGSISAVTRHGINRADTGALMRCSFEETVEILLEA AATGELDDCRGISENVMLGQLAPMGTGNFDVFLDPKMLETVISDNSRMGLMPGMPVKE GEAEGAATPYDTGSPMSNDSGYMSMSSPAAGNFSPIQGAGSETPAGFGTEYGGPSGFG SGIGSMSPYNLRGAAAASPFSTSPTSPFAAMGGYSPTSPNAGYSPSSPLLDGGVGRYA TSPSFSPSSPSFSPTSPMLRPTSPASPNYSPTSPSYSPTSPTSPRHYSPTSPAQFNSP TSPSYSPASPNYSPASPNLHGAGATSPSYSPASPSWSPTSPEAYSPTSPSFQRSPGNQ QSPTSPSYSPTSPAFSPRTPGPGNSGNQYSPNSPSND UV8b_05723 MNLLLSDDYLLQDYPQSITNTIRSGHATVLRFNRKGDYLASGRV DGAIAVWDLETMGVARKLRGHSKNITFLSWSRCGRYLLSTCQGWKAILWDLEDGKRLR EVRFRAPAYMAELHPWNHFQFVASLFDEQPVLVDMGEPVDVKHMLPSVPKRPGAGADA DAALKEKQAKEDAKEKTTSAIWTCTGDHIIAGTSKGKINIIDAKTLEIIYSEKICSGI ITTLRITASGRELLVNSQDRIIRTFRVPNLSVDDLDLDTIQLPLEHKFQDVVNKLSWN HVTFSATGEYVAASTYNNHELYVWERNHGSLVCMLKDPKEEQGVIEWHPSRTLLAACG LETGRIYIWSVVSPQKWSALAPDFAEVEENVEYIEREDEFDIYAQEEIHRRRLDAEDE DVDVLALGPSEFDDDAETFRLPILFNLGESDSEDEFVAVSTGTMRRRSPGEGHSDVDG TAESAAAKKGAAGRGRHKKR UV8b_05724 MANSKFEYVRNFEQADSLLPNTWIVVRIDGRAFTKMCAKYRFQK PNDRRALDLMNTAAKAVVTELSDTIIAYGVSDEYSFVFHKSSNLFERRASKLVSTVVS TFTANYVYSWSTYFPDAPLSPPLPTFDGRAVCYPTVQNLRDYLSWRQVDCHINNLYNT TFWSLIQLGGLDNKDAERTLAGSLAADKNEILFSRFHINYNNEPEIYKKGSVVFRHYE LVDPNGHTVDTDTDADGLAEPIQQQSKSRAEKDRKKRAKARVVVEHMDMIKDDFWDRR PWILSNKPGKVPKEA UV8b_05725 MMAALVLPTRLAPLDYDMSKRLAPHPTERKKRWATKVKTGCATC RARRVKCDEAKPNCRRCLSVGRACGGYTAPLVPSKKTPSPTPPATPTTTTTPTSTWSV LQPSGLPVLPVYAHSSPEEEQMFHLLCTEAALKVAGDFDRGFWSVDVPRATQTYPAIW HASASLAAVYKRVQHQGSSPEAVQLYKFSLDQYNKSIKALVSIDPQHAELSYEDQETL LLASVLFTSICILQCDQETSKVHIDNGIQLFNKWRFWEHAANAAAAGTATTTSITTAA AAAGRSTKATTQLSTADSLVALFTRFELQSSLATPPKPYWQTVSFDKRHAVGSAFSSA TDAYYEQQQLFNNLIKVYRTDVVDRIMGKPGPSPDRRLPFRYQYRRWKSRFQGLRDVD QRARLILQMYSYAIEIILYVDPAEAELGWDRYTYAFWRIFALGKALFDQETNPAARDD GAVTLFSFSPVSCHPFLGIGTLCRDGALRRRSADLLRRWPLRDGMVNYKIAAANLQAI MTYEESHANQPDPCQDCVDTPQTHVCGDHRVIYREVEHIGDGEGRLAMTTVGDVKHGR PGKSVAVTW UV8b_05726 MDACALLTSQGWRGKGHSLHQTDDSIGLAKPLLLNRKDNTKGLG TTAHFTSDQWWMNAFDEQLKGLQTSKEGGVTQTVTTGKLNAVDRGSLGKYSLYASFVR GGFLEGTALPGASPATATAGEATEARRRSKEEKALLKAARRRSKEEKTMLKAARMSSK EEKDQLKAARRRSKEEKTLLKAARMSSEEEKALLKAARREARASRRHERLEEQRKART DEKLGLGEQEADRARRKQERRARREAKRAQNKAEACRLIGDRA UV8b_05727 MAPLCADPRQVVIALDRDRRAALLGLVEEITAFMTLELMNPTDD GDDGDDGNDGNDGNDGNDGNDGNDSNADGSGQKHQLTTTTTTAAAAGTLPFSPTPAPA PDSLALPPTDKLADDIQEAAVIHMQDWKNDFLPKLRELLEVHDTAEIEAQRQARRDAM DKQQLDTPDPGELLVSPGGIQVDQSEDVPSLAQLHHPIPTTLAAVPCQDRREALSCVL LLLLSTGKYSAHSRILMLYLASALQVPQTFVDAQEAEIAQSLIESSAADADPDKKAAM SAEAEAEKRRQQNQSSRFWKVGLASVAGAAIIGVTGGLAAPLVAGALGGIMGGVGLGG VAGFLGIFWANGALVGALFGAYGAKMTGELMDKYAKEVEDFKFIPLESEPAAAASTSV EKHAAQRRLRVTIGINGWLNSEDDVARPWRVLDSSSEVFALRYEMKTLLGLGTALQDL VSSFAWKTLKAEVIKRTVLATLWAALWPMQLLAAASSVDNPFSRASNRSQKAGRLLAD ALVNKVQGERPVTLVGYSLGALAIHACLESLAQRRAFGLVDAVVLIGTPAPSDAAHWR ALRPVVSGPIFNVYSENDMVLGFVYRMHSLALGVAGLQAIRGVRGIRNVNLSQRVSGH LRYPSLTGEILRRCGFENVRAGTEIEKDDLIRMKDEHAEASAEASAEAGAETSADSRG EEGQEGTQNHK UV8b_05728 MHGFLSRLKARDPLRKKKNALQDLTNSLPQQPKWDDAYARQSVE PEEIHELLHFCTAELKARGLDIPFLLLPYRPTSDPSAVRTFIRHFFDDGYGLKGGALS QELRMTEPMVISGVVKWCWGRVAGGVVGWDAYELFKIGEEDSHMARDSFKTFIPLSVD SGSRQRIIFDFFELVAAIAAHGKTNGFGGRLLPRLAAWWAFEHKDTGTGFDGGYRSWK KAADATTHLFFAYLRSLSPEEGLTGITMLPRSLEKLLNETEYPPGSGGAAAKLMSRTN KIVMLVDSVSPTPFALLRRAGHFQYRDSDPVLQEFSEHEDPVQALTEECLRVLRAISA TNESQASSIKHSTSLRDASWSRFQDIGFAETVEEEVQAEGSRVPVQEKHVQSLRSTAA SATDLGRPSTPSWADFLSSGFVDEGQNPSNLLLPPDKVLPPIETQVRQQSSQSHRPRL ESNPANLQPGELASITVLDLDNAFWWVWMNSLGPEETSSRKSAFGRCAIIETRVGGGH WLVMEEMIAGSAPEQDGGAYIAEKKGLFSWTRRGRSMGRRKSVGKHILDRGDKGAANS ASTKASVGPDTHARIQAKAAQMRAAVKESEQHDVSSQTRRGRTDDSAAEKTTSVLTLQ PQIVGEASSALKWVSKYDKGTIKDAYMANSNAGRGLAVPYSPTSEHMNGNGVARENGH AGDRDRPVVPAKDESVPATLPVARGSKPLQESQEQQQQQQQQQQPMGPEKKTPADGQP AEHQAGDERDVAAADATSNGAGLTSTAAQTQSTDSAPGATKQQDAAPAKDKKGLLNLL RRKNRSSKIPENAAAQLSSMMQNNASATDEPVQRQVPSSPKHVAPVASPLPEQVTTAA SAEPILEDHSSTIVQPTYDPPVGGQSYADDAADVDEEFARFDQGPLVEQPALVPQEEE QDATPRPAAPSPVSSPEGGKEPRFPEPIEKEKLNQSAGPGVQDRWAQIRKNAAQRAST RQREEMPRLHKAACDDDDASVEETIESRVARIKARVAELTGDMDTGNGSLHAMTSRS UV8b_05729 MASLKRKAETSANGAEAKKPRANGSIASFFGAAAPKPAGEAAAA AAAAAAGGGRFDKQKWLAGLTAEQRQLLKLEIDTLHDSWLAHLKDDLLTKEFLELKRF LDRETKAGKTWFPPKEDVYSWSRHTPLHTVKVVIVGQDPYHNVNQAHGLAFSVRPPTP APPSLKNMYIALKKDYPSFAAPPQRGGLLTPWADRGVLMLNTCLTVRAHEANSHSNRG WERFTQRVIELVAQKRTRGVVFMAWGTPAGKRVQKIDKQRHLILQSVHPSPLSAARGF FDCRHFKTANEWLVKRYGDEGEVDWALCPGTTTKAKHPAPVVPAAEPEPAVEAEPEAQ PDGPVGKSLDPEGKGAEDDDVDSEDEAALEEALRLAEEEVKRE UV8b_05730 MPRQAYREDGQGGQRNRGRGYTARRTLRGNRLARRQPETQQADA EGNRVEQTADDGRANSPMPGAPGPAAPIRQCIEELVVPADESPQAVGARVLQAMEQMG VDPGIITITSVETFALQWVTRRHDSPRRRLSPLDPRVLPGPGPTPNSGDSGRAEDISD RSPRTPEEIERLRAVISPPVPEPVAGTEPSEPASAPEPEPVAGTEIPSEPAPTLGPAA APAAVPTPNTGEHFITPVGTTPVGTTPIDMRVAYSADESPQVVGARMLQAIEQMGIDR AFGTISYVDRSCFQRVSRRQIGRRRRLAALDSRVFNLRPYHGDSGRVEDTEERPRLWG LIPRPIPELRHPHEETLPDLDPKPVPPEAVRPHSAGRHSASAPIMMTIDRRDEEDYLF SDDEVQGDAGAEGAGDQPPQDNDGDSRVSLNSIHGRSQS UV8b_05731 MPRQESREDGSSRTPEETECLRAVSAPPLPDPVAGSEITSEPAP TLGPAAAPAAVPAPNAGPHFTTPIGTTSVSMTIGISANESPQVVCARILQAIEQMGID RATAVITPVDRFLFQRVSHRQIARRRRLAALTSQVSSPRPYHVDGGQVDDPRRWLSVG EIARPIPEL UV8b_05732 MFSDPQDLVAAGRDFLERTGNNVAYAHFMEVDTLAFQSASRRHG SRRRRFGPLDPRVLREAMPVYTEDDRVEDIPEVSPFTPEEMANIRAILGDRMVTSVAP APAPMPGPAPAAASASNVVSWRPHVWHSEPADGTNVVAQDDEFIEWSDNEDA UV8b_05733 MPTVINGNGGNDSAINGSMLNSFRLWNERQWQLGPGIWGHLPSS PARGEVAMTGITAPVTAANAAAALAMMAASAGLAIIAAALAALVVLAALAVLAALAVL AALAVLATLAVLAVARQWQLGPGIWGPLPSSPARGEAAMTGITAPVTAANAAAALATM AASPGLASLPRVLASLAAFFRSLSGHFIYR UV8b_05734 MTPGEFTTFNTFNTFNKHCTTITITIIIIATITLSRINATPTRQ YIEELMVAGGISSASRADPATVVELQQQRAYKSLVFFLGTNTHTYTHPKRETPEMPRQ ESREDGSPRTPEDTKRLWAIISPPAAPVVGPFELSLPAYIDVGRFVLGERGARLARAN DALGPNVTIALVEEAALGEGNKLVVGFAQGKEGPFINTQITTHATKVLTLNKMSEK UV8b_05735 MAIQYAPQLICHILSRPWICPLDRGSHTIRLLRYIDKFLDTGSR GTAITMASAGTLRDAWNRIRGRRLSMDYRAIQTSDTNNASRTINPMKQLLGVDPFIIP SNKILLYRHTHIRTLHIIGQVGRCIKANVESQYDKCINCKPKDTAKIAETTGSLARPA AESRGPPRAWYRARFVRLYQPLHKRANEMEVETYYITPAT UV8b_05736 MASSGQSSTGIQLAPIFVPGASKASVLKTKQKITAFGQWMDLSR AQPLQLYQNMGYDADAKKTLTQVLEDAALVKATRDRLAEETSKILALIAKVRSLSETA FSRETAAAAGVTANETRAAAHWLLSTLVREEPVQTACWLHDLGDALPPVLAEEIRVAT AAAAAAAQVPVITPSQDERATALETALLSEKRGKEKYKSMCITLRDQADSLAADLDAL RAQAQRSEEASKAQLISAMSIIKLLEADVADLELAKNKLEELVEADAESRRTDLATLQ DENDRLRKANEELKGIKDDAADERLRQQLLELQLEVRQEGLRFEDLADKVKGLEADLQ MREEQEQHLKAELEAAKEQSRTFQNQEQATRRDLNASHQQAIDDAREHNARVNELRAQ AAAQNEDMMRHEESNRRNYMNLLARRRKTESLWREAEANFDQQREASALEKAELQAQV AQLQGQIVRRHDAWVVEKQDFQAHILELQGEIGQQRDAWAVEKEELQGQILLLAGQIS QHRDAWAVEKEQLQGQVGQQRDAWAVEKEELQGQILLLQGQIGQQRDAWAVEKEQLQG QVGQQRDAWAVEREELQGQILLLQGQIGQQREASALEKAELQAQVTQLQGQVGQQRDA WAVEMEELQARVTLLEDQNHEQRDAWAVEKEELQAQNHEQREASASASLDHARCAWMA AADLLGIPEFRQGTFRPEIGQEMLSGREIASAGLPATFVAWALQRPWPPASAPGAATV SVPEQQPFGAALVALATSVTTMPLSWRDDYSDAWARLASVFRHVTADHSAAAPVEAWK IHLLDRLLAHILRDAATTFLLRLAASLTLRAMHLRWPGEVAAAAPSGIAGRGLGASDL HHALIAHVMQGVGLSDDASRHVGQMQDRLSGRMITLMCPGELGQDSPGMLVLDRQAEV LCWVHADHCRATFPVLSLTNPWGGPSWQLDLTGSPSMDWLAAFFFHCCI UV8b_05737 MSMQSGPVSGDWADALRRKLRAAEENLDASHLRAIGQHVELIIR QSTAAKRQLSEERAALERDRADVARERLELTDKEASLAERESTLAGERSMFESAWSDP NRNHLYGKVEQLKDAYTRGQGTIDAGLRAVSQARETIEVAVRSVGSTMEAAVKSNMAD LRLHVGDCSDTVRAELRDNVEKILQAVSKLDECVASCADAETDNPVVDRLAEIADRQR LDGELARSAEEKLSSSLRSGFADQRNDAQAMARDIGRVRDLAASADEKIASASRAINT AGSLARSRLDSVSDAVKEQHDSLVEHARSLADRVVQEVRQDVSNGLAGFNLTIDELRR KLTAAEETHADQAQEIDGQAQEIAGQAQEISVQAQVIAGLSAELERHGRESAMIIRYS LHGKQALQDRVAQLEWQLGQMAAVEAVNDELTVQLDQARLEISDREGGAVQAAAQLDR LQVELDEALGREAAARDRASRAVADAAQSEADAAQGKADYRDLEAALGEALADQASSV NAMRLLQADLDAMRNRAAEVSRGRAQAEGRAEQAEAELAMLQDRVAEASRGRARAECR AERAEAELALLQDRLAGDRALVVYRPGQAAQAADAEAAGGVAAWTCQQHKRGLLGALH CLARRANELEAAANGASSIETAVGLLTEALQGRAESAIASAAETAIASIAETAIASAA ESLLQDEIAGLRDAETNALNEADYLERALTVCQTHNSALELRAERLQKQLSEKAREEA LAVQEARDAQYKMAFIQAQNNALASRIERQSQTIRSETVAAQEAREARDEMIGNLAIR EVQMNQLLAQAREEAVAAQEAREARDEANRNLAIRETQMMQLQERAREEAVAAREARE AREARDEANRNLAIRETQVMQLQERAREEAVAAREAREARDKMGDELATCRAQIRALE SRIEQLQQRLSDNTPSPPGTQTSNKRPRVETRADERQADQSLSNENELSRIYARLVGV ARQLDIVTVSEDPKFDISTVAAFIALYLANDSSVTRFISFMRHGMFGSWFCLREVAQL ERQSLHPNRRQQCLLHKRDRCLIVKVIQTEEGRKLDFCLLA UV8b_05738 MSAQQNTAPPVIFPGGIMQRRLLDALENNESYYELAIELVELGI RLQNDPDLARQGVNMATTAHSLRRQQQCNWELIVLLLSMERSAVRAVILGTVAHDAAC GMLKAYTKPHNESYSQGIYVIGLKKAGTAGLFLNQHELRRLIKGVEDYILGAQIFAAK ALTQCTSGERALVRWIKSVDGNMPINYRAAPAGRPERAKFIQSQKEVETARLLVESLN RRLLASASVASPATASGAAPRQVQSPLYVGCSANLEQRLGNYAPTQRMTKINKPLALT VCILQAQKVDIQLDAHVVLQTWKPEQLALAEQLVISLAGSLIHQTGFNLIPGGTNSGS AAGIGRAEEKILSGRPGYLQANLDASLQDRRQRRDFEYRLQQIDAEIDACFEEAKRGY PQDHTQIVAFTSRPAAATIETARLDLERLNQQLREAEIANQRAKSMWSVMKAGWPDLC TRAEDEYDRAMGEVADQAMGDVDDE UV8b_05739 MSRELTTGAGSVSSVTSRRIARWIASGDGIKIGTLVRPRALGVA TTVPTEVYDRLSAAGQEAQVLHVSSASHAAALGEPKSNIGYRLFIDNVHLELDSISKG GASSYNAESLFRRNLVVFIDLDPRGSAECAAATIAALIWASETRAAPGGPFTTTIVAI VTEDPDNDIREILMKGARLLRCPAKITFVLPTPSVPSQESETLAADRLLARVRDELNA ASQASRAHLIVCFEPVIDLSDLTDIHIVENLDYHTASRALSAFQFMGTSRHRLICVPQ GWRSPVRIMGFGSVTIVTSQYAYTDVVDTKTSHVMPVARYISEAELLDQESLRYQVDD VPPSLIKVYRVDGYRAPQGYRRPVSEALSTRLPGVMAALSQFPVGHMLIQKCISVAMT RSLAGIMADRVAMLEQMGIIMPVDRDAEDDEPMLRPALTGEAGDVMRMLLFEFGFKVE LAYLVAMPSTDVTVQMLKLQLACLLDAGIDRVFTELDVPYQYSRALHQDVTKSSRGWT SQLSGEGFLWTLLSIWKHAVVNNQYFRALDTGNYDDTAALLNGRVAVSVCVSRSVKDK ISTISRLLQLGLTPNRFEEESDGLSESAKGEIQWCLFKAFASRMVTVEKNAVQGQAAG WAAYKPPHSSSFVDVKPRMAVLPHPKIFGLVDFKRVEAMDPKSETLFGLSMDRAFAED GQVLVSDWTYIPRSVVYRWGRECAGGQAISALETKCFLHRDPFQLQDRGGVDGGATRD UV8b_05740 MAGEVEEAARAAEADGAAKSANPSIADGAAKSANPPMADGAAKS ANPPMADGAAKSANPSMADEAASKAKGDEAANEANEDEAANKAKWAEAGRWPVVASLP VNTSRLGGPQTNVMAAVAQQAMEEAGTDTAFGYVMPVDTVAFQLASRRFRSHRRRLNP LDSRVYRLLPNYGDSGRVQDVTENSSLTPREIARIRAFFTEPVPELASMHTPAPETPS APTSVPELASVSVPAPASAPDPAPGPAPAAATAYNAVQPTQRPLRHIIARGQFGEEDE IDYSDDEVQVDADVQGGGGGDRPPQDYDGDSHMNLDAA UV8b_05741 MSASEARASSDNVRERPTASSKVCKAITSHIDRIVDKRVKESAR FFSREKTIQKNDTGAIERLAPQAIITDGWTAEDEEWLQNLWGTSILKAELEKKPPVYL TTIWKATTALMGCSPCAIISPKHSIQWQPALNSEGERVVDDDNDNDSAICIEGFSLWS RSFFDRLKTLVCHPIWRRDATVLATAIQVAVVCSMDYRGQWMMAFKGTNPEMQAIGAK MAVGTAASNQSVREALQQQRQTGIARGETVSMELDFLCHLASQVAGVSSSRGQREGAV MLPVTSVDLQAVIDAIDGFCPSGIPMFIDTLIAREIADGVISNKSFPAAKEVPQLHER AILHEFRMYFRREPEATGEPDATREPNATREPDATRGLSRSASLPIRAGTAPAGTKRD KPLYSIEEDEASRGRKRARHQEINESGDEDNSRDEGDLPDVSGNQDGDKENLPGGDNA PAGAGRARSRYSNDLGHGGSLSPSPHMPGRHGDASNMQGEDDVGAKSGEAVSGQEYSD DARMEEAVGASNVEAAGTEPVPPGQGHGGDDDVPMNEAADTSVQSAIAGPANADGPIA SAEELREHERKEWPKQNKAILDYADEYEDMLTCLQFQCTH UV8b_05742 MSFHTQDMRDSLSVERARMAIARHLESDCPMGYLLEEFNGRKES LDCLTQLIRHLRSQLLDYGGNAYDAAEEACNPILRYAWLNFSAGEDSNGDDGYDGRNS QKDAAVAAKRQVYAALQEVGIGRESCDFISLCTSGLMNRTLWSRPGWALFRTVIGRDA GAVRWHVKPQLDREEAGRRSLLHFNCADGDNLSLQAFIDWQLGVRTLQDGSEEFWVTE APGVLRVLYTPNPSVKLPFSSLTRFHAPLQHPEAAIDGVRALGLPHLYRGDIAYFLVA VVRLIASQPDQLRMYAPNAGPTKNPARSYFNHVWRLGDGHLARTSTSTSISFSISNSI SISISTSTSISISISNSNSISRGKMSNVLDPVTIKGVSWRQCRPEGHPTRRFQIDLDI YADMSAWLENRDRRSHIEAFVMYLTGKSQSQVDRIRSSAVQEICEGLAETQRVTYSHA SFELHVDVAFWTFCFIERPESRRPLCPFVHLLGRVDNKIGPRSDTYARYLDEHISELP WLPVKRERIAALKKQAREAKAAQQAKAAQEGRAAQEGNAAQEGNAAQEGNAAQEAGEA QAVGETRASALPPPNKGRDDAADVAQEAKGKAPMYAESGLATSRWAPKTPSLAAPAAS AGDSTAGPSPAGPAGPAGPSRASGLHPADSTEVLPPKTPDRETRQALWEQFYPGSGPW AAPVVGPFELLLPACIDVGRFVLGERGARLTRANNALDPNVVIALVEEEPTQGEGEKP GNKLVVGFAQGGGMPVDARNRRNQDALHDAWNGVRDWATEAFNVRIEPLDDWLCGRSL TRMAQGLAISPNNPHARLQRAFGSLGDNAAKAESHMQKHIKARDEAAKEIEQILRQGA TVEDAGALLRGWALRGTRTLQEANDRIGLVGKVWLRIGDDAQKEAIATWTAKATAAAQ GHFRS UV8b_05743 MQGYPIQSVYKAPKKQRQTGIARGDIVPLDLDFLCHLESQVATA RPRRGESPQYKASELLNIHSNRQNII UV8b_05744 MHLYTQTQHLYNISETVAIKSHQQTDVTAATAEPHYHTPATTHV RTTRTNLHIYTGESPQDSGLKTAGLRNSQHSQRGGRISRSNRAQRASSDYDPHSERLP GNSDTGDRLAAKEKGRIVGILHNDFPLDDSIKAVLRQYYDNGIRLWQV UV8b_05745 MPRYDAVVIGSGQGGTPLSMALARARKKTALVEDAHVGGCCVNE GCTPTKTMIASGRVAHLARRGPDYGVHVGDEAANRVHVDMLRFRQRKRDMVASFRAGS ERRVRDAGVHLIAGSACFQGENVVRVAGADGAEPTTLTADRIFICTGERPATPELDRF DAASFAPGTILDSTSVQELDVVPSHLVVVGGGYIGLEFGQLFRRLGAAVTVVQRGAQL VPREDADVAEAVRAIMQQDGVEVLLNTFPTSIAAAADADDARPVSVSLSGSGGGKVLA ASHVLFAAGRVPNTDALNLGAAAIQTTRRGHIVVDEQLRTSNPRVWALGDVKGPPAFT HVSYDDFRLVRHNLVQQREPPLTTGNRVLPYVVYTDPQLAHVGLHEHEARAKFPGARV RVARMPMARVARALETDEPRGLLKAVVDEPSQRVLGFTCLGLEGGEVMSVVQMAMIAG AKWTALRDAVWAHPSLAEGLNNLWGGMDDEAGD UV8b_05746 MERKQLDSRIKALISNGVQEKKRSFFVVVGDHSKDVIVHLHYIM SSVHMKQNKSVLWAYKKKLLGFTSHRKKREQKIKKEIRRGIREANDSDPFELFVSLHN IRYTYYKETDKILGQTFGMCILQDFEALTPNILAKTMETVEGGGLVVMLLKGMSSLRQ LYNLSMDVHSRYRTEAHNDVVARFNERFLLSLGRCDSCLVIDDEMNVLPVSGGKDVTA LPPPDLDESKTEHDAELAGIKDQNEDREPVGSLIKLARTVDQAKALLTFAEAVAEKTL RSTVTLTAARGRGKSAAMGVAVAAAVAHGYSNIFITSPSPENLKTLFEFVFKGFDSLG YADHADYSIIQSTNPDFNKAIVRVNIHRQHRQTIQYIRPQDAHVLGQAELLVIDEAAA IPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIKQLREQSRPASSSAADTNDHDA DIGDRRSTAGGKKAASSGDGFQVARKLREITLSEPIRYARGDSVEKWLNKLLCLDATL PRPPSKSTTIHGCPDPSQCELLAVNRDTLFSYHPVPEAFLQQMVALLVASHYKNSPDD LQLMSDAPAHELFVLVPPNADSAGRLPEPLCVIQVSLEGQISRQSVLNSLSRGQRPHG DLIPWLVSQQFQDEDFASLSGARVVRIATNPDFVSMGYGSKALQLLADYYEGRFASIS EDDDQVAEGSMRRATDAELARNGAPSLQSEVIAVRDQAQMPPLFSKLRERRPEALDYL GVSYGLTGPLHKFWKRASFSPVYLRQTPNDLTGEHTCVMLRPLENGGGGDGSWLGAFC RDFHKRFLSLLSYQFRTFDVKTALSIDESANAGAELDAAAKPEPLAKSELDQHFSPFD LKRLGSYANNMLDYHVVLDMMPTIATLYFAGKLKGPVSLKGLPQCILLGVGLQRKDID AVARELTMSPSQLLAMFIKILRKVDAHFGALVSQSVEAQLPAAAAGPGVSREDASGAH DDELVDDRFVPLATSLEDELEEGGDEALKALKKKQRELIDSLPLDQYAIDGDAPTWQD AEKQVRNAAKHGKANPVVSVKSTHKRKAGQTAAEIYEAELGKSSSKKVKKGKQSH UV8b_05747 MLPVSARRVISSVPSSGLASSLAASAPRAAVQAVPVLFPGHQRR LSSSKPSRSDNGSSEIPAGQSVTTSASRTEGKAGADKRKRRTKDASGKNASFKRLPSV PSTHYISQEALGLSSFFSLHRPISITQTMPRTVTIEHFASIFAPRTRASKVSDTMSTL SSTIDQLEGPVAQLTIGGVEDQGIGQGMHKVELRNADGSHSSLYLQVDAMSGDFLPFR PPPLPQVAESTADDAEGTAAAAAAAAAVEAEAPEPAPHHRVYKAMFTIEESTEPDGQI RIVAHSPSIVSDEQPRSFLERMAKRQMEADHARGRREMHAISVRRQRKLRMKKKKYKK LMKRTRNLRRKLDRT UV8b_05748 MVVSLLRWYNARLAARPLLTQSVTTAVLFAAGDITAQQLVESKG LKRHDLTRTGRMALYGGCFFGPVATTWFRFLARNVTFRHPRVETLARVACDQALFAPV MIGAFLGSMATMEGASAKERLETTWWSALKTNWLVWPFVQTINFTFLPLQHRVLFANV VSIGWNSYLSWVNSQSKGHSQ UV8b_05749 MPPPMDDHEDLRRYQAPYSARRPVPTISRYREEKAARQAESLKT DPHDDATLAQPDAGQQAGGNGPDSSETRAEERPSDCGAQDDDVDDDDDVHGRGEETAA APPEAFEDTSQVDPTSTDIKQRRRDLKARKKERAERLVTDPVTHLPVTIQDYTDEALK ETVFSHPAFKADKRTAAATSIRQHADDNAEAWRPSTAEATPLDLPASRSWAGVRQYTG RKIGSIFQDEIWDAHRRQLAKDAGGRKTETTIWLNSLLGAVWPLVNPDLFASLSDTLE DVMQASLPKLVRMVSVEDIGQGSEPVRILGIQWLPTAAASKSVTADGKLMSRKDPASL AKTPTSATTTTTTTTTPPPPGDGDSASVSKLDRVVEGMEGEEGDFVNMEVAFAYRARS SSRSLRDRTKDMHLYLAFYLPGNIKIPVWVDLLGIIGTVRMRLQLCPDPPFFSLCTAS FMGQPKVDVRCVSLSRRGLNIMDLPLISNFVQSAIDAAVAEYVAPKSLTLDLKDMLAG DDFKKDTVSVGALMVRIKRGYDFKMGDSAIPLLRSGSSDPYVSVGWAKFGKVLWSTRI LRTEMEPCWDETCFVLVTPEEVNVDERLRIQLWDSDRFTADDDLGRIEVGLEELMRSK ESRGRMRDRTDGFRALKAGDGMPGTLEWAVGYFPKTRIQQCQFDRQTHDPKVRSMDQL KNKVDEACERKLREFMVKGDVKARDEEELQQQIIQEMKAETDAMIISAPPPDDYPSGL LSIQIHNVTGLGVERLNKRQQDDGYDDEESDEEEQGDGLPSSYCTVIVNHSKTFRTRT KPQNSKPFFNAGCERFVRDWRECEVFVSVRDARLHEDDPLLGIVHLALADVFRDRSQV MGFYPLSGGIGRGRIRLSLVWRSVRLRAPRNLLGWQYGTVDVHPTAVSPDCPSELQSC KLKLKTDICVGKLYPAHPGGGGEWTAKKEQSLHLAVKKRYSSCLAIEFRDKGLLGGKV AAFCVFWLMNIGDEEEQHLQLPIWRGNYQKATANCTDACGERVGTLQVRVTFWAGMGR AHSRWASRNPHTRDVVEVIDACRDALGQVDKEAGTVDEEASSDSDSSDEGQDVPDGSA GHQQNLLDQLRDYKKRDKALHRQHRGLMQWKIPRTARWMKHRIGKVEESVSGYFDHHN KQPGIETEV UV8b_05750 MALSISSTPVLVAVTVALVVIISWTVLSNRGGHDDHLPLPPGPP GEPLLGHLRIIPADNPEYAYMEWSRAYGSDVLSFKVLGQPIIVLNSVQAAADLLDKRG ANYCDRPRFVLFEIMGWRKTLTFLRCGPTFRMHRRILQRSFQKSNIARYRTLQEREAR VMLKGILDDPGLWDNAIRRFATAVVLAIGFGIAVERDDDPLVQVAADASYALAHGGAP AGTLVDFFPFLQHLPPWFHDRSLKFARAWKWAIRDLHDKPFDAVLSSGGTRRCLMQDM LDQRQLQIDGGEEPELSYEDIKGAAGAVFAAGQDTTWATLTVVILNMLLHPDVQAKAQ SVLDGVVGRDRLPTFDDRPKLRYIDYIVQETFRWCPVSPLGVPHRSLEDDVYKGFRIP AGSFVFANARAMTHDEETYTDPDSFNPDRFAPVELGGLGEPYPTGHFGYGRRACVGQH LAEASVWIAVAAMLSKLNIERAKDENGNEIVPTVELTNGLTSHPKPFPCRIVPRDVGS AALIRRATP UV8b_05751 MGGLRGMRVAAYWLMKPNGSLETVLAQVDRAAELAKQYNSKLTP DRRLVGVKVICDGTIDACTASITTRLSSAHGLAWLVKRGASERLFAYREFADEGAPLA LGSDASTAPHHPLHNLYVATARRSISEPELQTAVNPEFALTVSQAVAGATHGAAHSTF ADQWTGSLTAGLKADFVVCDVIVAPEEMAKGVATETWFEGKTV UV8b_05752 MTGRGGGGGRRVLLPPINMIFKLLQSNAIVSVWLYEQLSIRIEG KIRGFDEFMNLVIDDAVEVSQVTKTNDKETRRPLGQILLKGDNVSLIQNLSS UV8b_05753 MREFMDHVHGAFYEATGWRQDNSYAALNGTPNALLNFQTPRGLR LTLSSLASPNFATSYQLGLIGLVDGSISYLFSSVPLRLHLTPQSATLSLPELLRSYRP LTPLSPPDEPATRALADGVRARQPSLFYGRLYLPQSQLEALVVKRLSPALQLQLSAVS ARHLRNGGTILGLAQYDVGRCAFEGLASSDGGLLGLRGIYNFGGDAKAAVVASAAAAS DGGGGGGGGPGERERVYGRFSTGAEIYYGTLNKSGGISLGTRFATLPAHNGTPLSATL TMTPLMGNIAATYAVVAGKRCSLATRMEFNIFSYESAWAVGMELWRKPLRRLDPRGED AGVWRPSRERSFQAKLEWRLDEQKPEPPPPPPPTVKQPHAEQVLALGRSEADGEEYVG VLKARLDQNLRIGILWEGRVKSLLFSLGSGIDLRKLDKPFRTLGLEIQFSG UV8b_05754 MAEDEQPSCDGQPVDLGMRGLRVASIFIILVASLVGALTPVLLA RQSKMHVPKFTFFVCKYIGTGVIIATAWMHLLDPAIDQLGNRCVKDRWLGDYPWALCI ALMTIMVMFFVELMVARFDHDDEASHSHAVGSDSNSDLNEALALKRSSKETDKKRVEA EPCRHDIESQGGGARRGPDPTTIPGRPDDVSYPPGGEDHLAHGKDHKEGDSHTSLTSQ LTAIFILEFGVVFHSVFIGLTLGTTGSDELKILLVVLVFHQMFEGLGLGSRIAVASWP PSKQWLPYALALGFALSTPVGIAAGIGAQPTNAATQKLVNGIFDSISAGILMYTGLVE LLAHEFMFNPHMRRAPLKIQLFAFGCVAFGVAIMALLAKWA UV8b_05755 MAGGITVRDVDAQKFINSYAAFLKRQGKLPIPGWVDTVKTGPAK ELPPQNIDWFYVRAASVARHVYLRKTVGVGRLRKVHGTAKNRGSRPSRHVDASGSVDR KIMQALEKIGVLEQDEEKGGRRITQSGQRDLDRIAQTTAEADEEDEDDE UV8b_05756 MRTSFIHNRLEETQLPRGFAAQQPPSTSRRPDTSPPQTPPATFD SRFCLPQPFFFYHVVDAAAATLQAQVLGPNLGVTFSHERARAHSGQGRIGNALSKKTT GFLAFVAPGTASRTVLAWAESGHGLLDRRGDSLDAQPGVLRNARWTVRVLELACAMGI NMRSPFDLGDRRGIFRASHVEVKLAVHAVYVVARLLEIAGPSTRLTLRHLNELRRRKW HDGSTPCFEIYFSKKNCHLCGRYVEKLQKLTGLSIQLFWKDRLVKIEYADGVVEAQAN TEPRAEGTPEDETADETGDETGDETGDETGDETGDETWDDTADDTADDTGDDTADDTA DDTADDTADDTAAPADTDMIGLTDDSVAEERRGPREPSHQSVQEPLAGFIDGLAYCLG QLVTSPRTVRAAVVALARIALQQNAHRQNAHRQNAHRQNALQAGHGIRRPRTPASMEA RPRWPPTGPFEGRRAQNRDGDPQPRSLVSQLDEFAGSRARARSPRPYSIARGERTSLR RIERSHRV UV8b_05757 MTNEEEPASPSASAPASASALSQNQIAAIFDVLVHHETYAEITS FTGPDAISSYGFPFKTTKKIKSTKKTATLPTTPSTTAPSTPRARTPVSFFTSSSDVAR QPSAAVVRAQHADGPEEGQGEGEGEGEDLDEGRRKGGDGDDNSQMASAAPILQLLLAR VVLPLPGVSSLPRDFWSVRIQNLLARLGEADLSESYDKGALGTRKVLATGASALIEMI GRGLLGGVDKTDSSAATKSSYNLADADDLEAAWGEVMQGLVYGDLVNQLFRHFVESDD LEKLSPTVEASARHNIFHIATLVHQIFVLSPEGQYLLKLMDNVHSLVPYKMMKQTLRI GNPAIMIRGMMRILLAKLSVTSVTNWLGLTQNADDGMNLLQRIISLVLSWDAGEFRKV AERVERAKDGPSDGMLRTIRCHVEGARSEHEAARLASKENSQSIITSIFNASSPALNE GLTESQHQQCLEYYSALLSVRDRDRIALAICREPPDMFTQAIKDAVDAYEPIIRAIHS NIDLGEHFEAVQGFIDEFIGISKPKRGPPASGSGPGPGSPGERAASVEDYVQLLERNR GLLYRWIHALASQCPGLWEELRIWSNSVVVKFRKRRLDEAAPVSSGADKTMPAILTRL VDGLDAKTQTSVLEAADAHAAYLATLSSDSHARMRCLAAVSPGLPPGTTSAGGPGVYL SRWQALLDETPITPSKPRGPPRCGKDVKHTTAQGKTGVGGGKLEAEGAAPTTEVAAPN VMVIVDALGGAFRREIQELARLI UV8b_05758 MAANVNKPTDVKQKEADINRKLQIYGIFSAFKLGKVPSNDQIDV ALNSFLASKALNNPPSELSEDGKTLVADTRDVVRLAKNLLLSKNEGNLVQDFIWQTTQ FDPKSVQSPNAPINKDQIERDGDEALQGLRTLGTLLITNGQFRKLLSDAVVLFRDMVG DAATNAAGRIRPSEDQLSQIDRPAEDNTWHEKPDFSKDKLKSQAKELYGGDVKKDARD IANTTADAALPRGADSRGVDHTAGRDALKTNAQQKIDQNLDQETKNKIKKRNEEYRRK TKEYFSKKMPEERKDQIIFRLKKMVLECQQHPDYSRAIQTLLRLAETYGAHGRSLGKD SQGTAQQARSGFAAAEADLRTLIERFANGTSTSALWESIGQIYKDADRDPELKDWFKQ MDTFVRRCLLEQGYILDESSNREWDRIYEKGRFLLRDKYRTHTDRVMDEAKFIADQFD KDPQNKAFGEAVQRLLTHLGNDARGKSAFKPHLVKDLTEIIIPAALVNMNYIPIPRIE YSDPQVDAIIENLVLESDNFMPNVAEVASEHYFRWGRKKIASKRHNVIDVKVAGIQMD LRDVSFYVNRKSGFPSLTDKGVADIILPGNGLSFRMKVATAEKSDRQNIFKVDKVDVD FKGLKIKIKQSSHKLLFALVKPLALTLLRSPIQKAVEKAIKDECNKLDALLWQIKKEA DAANAGDVEDKANFFKRYYDAAQKRYLEGKEKTKEVVTDKKVNIAMTAEDSIFPDIKL PGGISSKATEYKELSRKGDKWESPVFSIGSAKKSTDIPSAPKIEEKPHQVTGSAQNNA ANTAGLASGHTNGYGTNGRSNGGGALNGRGVVVNGKNTPGYPLTVPLEVNGPNISQPI GGR UV8b_05759 METALRSWELDNNVQLVDPKRDALYNYDAQAQKAAAQARPWLRS PNYFKHVRISAVALIKMTMHARSGGNLEVMGLMQGYVDGDTFVVTDAFRLPVEGTETR VNVQDEANEYLVEYLDLCRAQGREENVVGWYHSHPGYGCWLSGIDVETEAMQQQFQDP FLAVVIDPDRTVSAGKVDIGAFRTYPSSHKAKPGAAVASDGFQAVPLAKAAEFGAHAS RYYSLEVSHFKSTLDAHLLELLWHKYWVQTLSQSPLLTNRDYGSKQMLDLSLKMKEVT TGLTRNRAAQSLGGGGCCAGKDRAMDKLAKDMNLIATKERSGLMACDVKATVFSARPS UV8b_05760 MWSVVSVERRKEGDKTRQDLDLDGAKTHCTTWAPAPAPDWKALG LTVGYKVAEALMKPIVAAPAIRCSCYHLNCLPPRSQDSPHSSQLPSPSNRGHRRCPEG PHRNEEMALLLAIITYAFSLFASISHGNAYIIDSSCHGSIDNLNAVIKSTFSLVSAAQ SLLYLNPVRELQAHGKQVYDAKIDAIKFIMPEILTNGQPDTANSYWRHADKVLEHVLL LGKPFRRDSSSAQETSSSKRRKLEHPQDPGARSNKPADQPDWGIYRDADPNEVVIFCN QNHFTRHMTCAGKPSATLSCDRTIQLDFHEDLIKYDECQYDSKNNPLKVLAYARTFDK FLAKSPWANHIILCAKTMEKIERSEIDEESKRDALPTREENGEATYAANPAIDMATSP ASTLFHELTHVVDKKYRTEHGYFEDGGYRWHGIRKAVLERLSNRNADSYVYLGLAARL ISPPSPGRKLLKILRDGNFAVVKAAKGKHKGGKRKKRAKVSRPRKKAWEG UV8b_05761 MKLSTSLGASLYAAIATASFTNSQKIQPREYDFSDVSGAMWQGE IHPGEKLTLRGTVQDISKSIRSLSPSSEGHNYTASAPEADNEKRYVWVERNIDCNYGD WADRSTTWRGITYLRESYNGKMQCTARPGFGPGRGTCSRVSCDNGVGIWFCNDNLYEY IVPCSVIADVADRLISQCFEPDGDVVKVRGQIFSVDDWNVIVRSTSCG UV8b_05762 MSNLKRKDAPGGHPPAKSARNSKDGRPSKSDSPAHAKPAQAAKP VNKPSESSSNSNRPKHPVVSLLKNEEPIFPRGGGSVLTPLEQKQIQLEAKADARREEE FHTGSEKAVKKHKQRKVSLKGGKKPSEKKDAQDSVKVESLNFKKLVKGSLVLGQITRI NNLDLEVALPNNLTGNLSVVAISEQLTNRLQGTAQENEDDADDSSDESDVDLSSMFTV GQYLRVYVVSTADESLVGKHRRKIELSIRPTESNSGLGKDDVVANSTVMAAVVSVEDR GCVMDLGIPDLRAFLPLGEVDSDMDQARLQEGSVFLCHVTGRGSNSNVAQLSLRQGKL GSVKDVPSDATTINTFLPGTAVSVLINNLDRRGLTGKVLGSLNVTADVIHSGVGPNDT DLESTYKIGSKVKARVICNFPTAREPKLGISLLPHVMSLSRKRSDKDAKSLPTTALPI SSLVEKCTVRRVETEIGLFVHVGIPGLGGFVHISRVKDGKVDALYESSGPYQVGSVHK GRVVGYSELDGLFHLSFEKSILEQQYIRLEDVPVGAVVTCEIEKLVIKEEGVSGLILK VAEGITGLVTERHLSDIKLQHPEKKFREGMKVKARVLSTNPSKKQIRLTLKKTLVNSD APVIKSYQDAIVGMQALGTIIKFQNNGAHIMFYGSLKGFLPISEMSEAYISDPAEHFR IGQVVNVHVLDADPEQRRLIVSCKDPGAFGLDKQAALKNLKVGDLVSAKVSQKTEDQV FVELVDTQLKAILPVGHLTDKSTSKNQYAFKRVSAGQTLSGLLVLYKNEQRRAIILTQ KPSLIDGCKEGKLLGSFEQAKEGSVVPAFVRNITQTAVFVEFAGRLTALLPKSRLPTE AQSKPDFGMHKHESIQVKITSVIQDLRRILVAPASAEAPDETVGTKAKGRPAAPEDGL ACGSVARVRITSVKDTQLNVQLVDGQVQGRVDVSQIFDRWEDLVDPKKPLDKFRRKQE LKVKIIGVHDAKDHRFLPFSHRSAHSVLELTAKPSDMKSAQPTPLSLDSLKVGDSHIA YVNNVTSQFLWVNLSPNVRGRISAMDASNDLSLLNDLESNFPVGSALKVRVASVDLEN NRLDLSARSSADSQGADWASLKENMVLPGKITKVNERHVMVKLSEIVSGPVHLPDMAD SYDDASTLHYKKNQIVRVSIVEVDASNKRLRLSMRPSRILSSTLAVVDREIRKPSQVA SGDVVRGFIKNVSDKGLFVSLGGRVTAMVKIANLSDRFLKDWKDNFQVDQLVKGRVLS VDPALNQIDLSLKASVVDEKYTPLITYKDIEDGQVVTGKVRKVEEFGAFILVDNSMNV SGLCHRSQMADQEVKDARKLYKEGDVVKAFVLGVDAGDRKISFGLKPSLFDEDTDMDS DGSDDGVALDEEHDPDDEEMTEEEKALLAKFLDNSDDADSDEEDDGDDDQTAGNKRDA EGGNEDEEMEDTPAKKSSGLAIGKKASWAADPFDELAQDSDEDAPMEDNKDDEKKKKK KRRKAEMDVDRTAQLDVNGPQTSSDYERLLLGQPDSSELWIAYMAFQMQVSELSKARE VAERAIKSINIREEAEKLNVWVAYLNLEVTYGTKATVEQVFKRACQYNDELEIHERLA SIYIQSEKLKLADSLFEVMVKKFGARVPNVWTNYGHFLFNSMKEPARARALLPRATQQ LDRKHHASIASRFAALEFRSPSGEPERGRTVFEGLLATYPKKGDLWNQLLDLEMGVAG SEVDSTAVRDVFERRTRVKGLKPQQAKKWFLRWAAWEAKLDPQGKGKVMSKAQDWAAL FKARKEAEAAAAAAAAAAAAEGEEMEE UV8b_05763 MATSQTSTLTSGPAAAQTPTSTCTCRSSTSSSTSASISALKATF QPADLTSGASRAVVNAGAMPPSIPKDPDNSSSSDAGGQKKVRKAQSWYGQWPKTPRKA TASISVARENILGGTVRSTAALGLDRFESSKKSASDTASIRSSSPPSKVGKDQRMSAG AADGAADGAADGALDNQDSNSKKSITQPQDYAVDGMKPSDEADNSQNQPPQASPQAEQ KGQQQLSSPASGEPSAASSSAGWLGWWSKAPPTTKDENLASTAATDAHIAPPPSIDRP ITPSDQVASSNCAPKEPPPSAPATSSTWFGFWSSAPHDKMKTPESEKDSEAETESAEP KAQEDAATQDASAAAKESQPPPKAGSTWAFWSRASPNDASSENPASESGQMAVIGEGS EARPKRMSEGDVSGSVNEGVKEPPSKEPQPQAKSTWRKKKRARPPSTDVAGDSDASSH GSAAVVGPVVHEPPQGLPPPPREQKPWRQTASARADSLTHSMAESEVAPKQPPNLVLP SFSSTYQMKEDASILQQIAQLLLRTSQPPPSHVFRAKDLPQIRKAIAIGVHGLFPATY LRPMIGQPTGTSLRFANLCAEAIRRWTNAHGSPDCEIEKVALEGEGRIGDRVDNLWKL MLNWMDHIRQADFIIMACHSQGVPVSIMLLEKLIDMGVITNAKIGVCAMAGVALGPFP DYKSSILMGSAAELWDFGNPESTNSQRFEASLKRVLDYGARVTFIGSIDDQLVPMESA VYSPASHPYIYRAVFIDGRVHAPDFIAHLVGFALKLRNLGVTDHGLIRELSVALAGSL YSGEGHSRLYYDPAVYDLAISHALETTSTPCLVPCKIGPRQGLGSANPYVLPWIMRGM LEEDFVKTELSSETEELLRQFDDWKPSNKALKDVKYRLEAVRSKL UV8b_05764 MGRARGLATVRVEGIPKEPTELDQITTLPNGLRVASEALPGSFA GVGVYIEGGSRFETPSLRGVSHIMDRLAFKSTSSHTADQMLERVENLGGNMQCASSRE SMMYQAATFNRAVPEAVALLAETIRDPRITDGEVAEQVETARYEVSEIWGKPELILPE LVHTAAYRDNTLGNPLLCPEERLASISRDTVTAYRDAFYRPERMVLAFAGVDHGAAVG LARQFFGDMSSSSPRAAAAATTTSSASSETSDMSADESTTTTTTTTKSYSSSVSSSPQ PPSSSSSSSSSSSSSPSSRLMSKIPFFKNISTSAPRTASVLAATPASPDVNYAAPSRY TGGFLALPPQAPSLNNTNFTHIHMAFEGLPVGSDDIYALATLQTLLGGGGSFSAGGPG KGMYSRLYTNVLNQHGWVESCVSFNHSYTDSGLFGIAASCLPGHTSAMLDVICQELRA LALDTGFSRLQEGEVRRAKNQLRSSLLMNLESRMVELEDLGRSVQVHGRKIPVRDMCR RIEDLTVRDLRRVAAMVVGGAVRNPGAGSGAPTVVLQEAQAYGLTSHSMTWDQIQDRI DGWKLGRS UV8b_05765 MFTQPFSSPPPGPQTANPVSPSRPSRLRGLSYLRNYTHSHLLSR DSHHASSNTNTNTNTNTNTNSNANAASASPRSQAQSGHLTRSVSCTASSTLSSTPQNP NRLTLVSSSPDAPPGRSPQVTGPSTGTASRAVSRSPPPTIHEVASSVEQPPGQSGLPE SATQPNMAMSRPRAASGGGAATAAALAPASAAATATANNGNPDTVPSIRFSAYYDPRS TRPSLSFPPISRTLANGAEIIRVGRYSERDGQAANMNGNQPSAAPVGFKSKVVSRRHC EFWCEDGKWYIKDVKSSSGTFLNHIRLSPPSQESKAYPVNDGDIVQLGIDFKGGEEMI FRCVKMRLELNRGWQNKLNSFNVAAHKRLRTMATNNAAGGAASSSSSQDCSICLNSIA PCQSLFVAPCSHTWHFKCVRSLLTSPQYPIFVCPNCRAGADLEADVEEPGEEWEQLDE DGMDTDKAEPENKPESEGVASEALETASPDPDAMDVTVSVNTTESHESRPPADFLPHA VSEPLPIRNAASGSGRIGHLRDNRSPSPSSSGAEGPITPRNNAGPWVFDGSAGSRAVD SSSEMRSLDAAAEADANGASQGH UV8b_05766 MAQTSRENSAPIARYGGGIAIATDGPPPSTLAAQLVENISTSAK SSRSDENNELKGLFAAIQEVKEQPELLKSQADRVEHNHMLIYVYCRVALDGIKLDDPF ANRTHTHSEVLKAINFLRFTIKETPSVLKCTNNPRSLLYRGSEPLWVWLLPHLLRFLG HGWFCELEGSFEGFFQYLLLLISRVGELWSVGVDLILYLRTCVAGAMDQLRNQSTESH RSKTFKTIRLPPELALKQILGEDQDAAGRGTSYHISTSSQAVRQISSLARVLGYPLLS QDSAFLGVATLSQTAPWLLDTLLDLRDVQARWGPTESTCPIPIIELVLEIRHGLASRT GLLSTFSRKAHALLVLLCTEMVSRPQDLAEPNESGDNARNVFSRALVAISGAAVDSYS IGRMAASKLVQELSLLSSQYSSIGEGTDVWRCMRLLRQIVYATPQQIYDEETHPLHFE NAEIQSAVGNLHLNHQKNPPSSSVAKKRKVMMPDEDLMTTVCKALFEALDMAWTGHVN EPIPEDQFLEQFMKASGGSQCLALDLLSKLACVSDGLESVPAQVSGETSCPVCEFGRK ANPAEERQRVKSSSRSLFSRLIQLPAFVESRRPRIAAMIALRRVVFHCDDAAYLNLET SSPGQWCLQALNSSVRELRIAAGRTLGAFIRISSRDTLNSDLLEVIGRNRKLFIALLK STSGQATDEAHLVETRIMAWGQIGRVVSEDELNLVLIQLVDYLGNSNSIVSAFAFNEL VNLAETRGTTPRRLFEPFWKSLAYMATKDMVQRPQRSRSIAELLQISVDELLLLIQTH ALPWLVLDKRRDVIQKIAEARQEKHAWCPLMDGPNLASTLALLLVQETQDVEEFTKSR LNEISSHFHSLPLIQLFQSEPVVIALELLKTAAHADEAKKTLVRRALHLMATTILNAN KETRNKKGNVIGRFLRDHILGLMARLTDVINESVYLPLFVTEQRATIGALEELITVCK EYARIARPQISALLLSAISQQPLREASVSCWAVMLAYFEEEDVEALLETTFFIVSRHW SSLTDAGASTVRKMLLSLLEKHGAVVEINIRKLPSLAACKGLEDVDKTLTAMRPSLAM EEALEVFAQRVAHDNSGVVHQALTELVPYLRDNQIALSTSAVSQRPDNTTIALLRSLL DCAHKYNGLQADIARLCVQSMGLIGCLDSNQVETVREQRSIVVLDNFENAEEMTDFSF FLLEEVLVPSFLSATDTKLQGFLSYAMQELLDRCDIRVSCAIKSAGLVGGNDIYRKWI AIPENIREVMQPFMSSRYMVAPMPPSSVEYPIFHPGKPYSNWLRSFVLDMLEKGQNPL ATLLFEPLKRVIRIKDLSTAEFLLPYLVLHILLSTTSSEGEKSRVMGELRGVLEHQPA QNATHAERQELKRFCHAVFRCLDYAMRWIQARRASGRLSPEGKESVARIQETLDGVPA ELISQRATDCNEYARALFHLEHHAQKMEQQKREPGDRSRLLEKLQDIYANIDEPDGLE GISSQLQALDLNQQILSHKKAGRWSAAQTWYEMQLAEKPQSTEAQIDLLHCLKQAGQH DALLNHVEGMQTDPGADAKVMPFAVEAAWVTGRWESIPKYMSRFQADVMQDFNMSIAR LFNSLHGAEGVDSLRSIVQGMQDKIASSMTATATVSLNAAHDLLLKCHVLTDLEVIID TKGEDERQKTMALLDGRMQIIGGRFSDKQYLLGVRRAAMELCRPHFTDLDISGLWLSS AKLARKANSLHQSFNAVLHALRLGDDSATIENAKLLWRDNHHRKAIQMLQGAIERNKF MTQSGPSVGTGTTKLNAQQKLLTARAQLLLAKWLDAAGQSHAIALREKYQQPPKTHAS WEKGHYYLGRHYKKILESEQPLRADDQSDNYVTGEIARLVIENYVRSLISGTKYLHQT LPRILTLWLDLGAQVDKPPQGKTSLSRELHKRRLEQLNSLHMFLDKYIYRLPAYIFYT TLPQIVARIAHPNAAVFERLTHIITKVVEAHPRQALWSLIGIMTTKQMSERKARGTQI LQALRGVQRKVDGTSHDLKHLIRAGERLAEQLLLACQNGDFAGNKTVHASLSRDLRFQ HKCTPCPLVVPVEGSLTATLPAVSEHVRKHKAFSRDVVTIDCFLDEVLVLSSLAKPRR LTARGSDGKNYMLLIKPKDDLRTDQRLMEFNGIINKSLKRDAESSRRELYIRTYAVVP LNEECGIIEWVPGIKTMRDILLNLYASRKILPDYATLRQLMEEASASDSKIRLFTDDV LGRFPPVLPLWFIQQFPNPSAWFSARLKYTRSCAVMSMVGTILGLGDRHGENVNLEEG NGGVFHVDFNCLFDKGLTFAKPERVPFRLTHNMVAAMGIYGYEGPFRKSSELTLSILR QQEETLMTILEAFIYDPTLDLQKEKRSSRKSEGVRLQPQSVVDSIKRKVRGLLPNESI PLSVEGQVEELIKQAVDPRNLTAMYIGWCPFL UV8b_05767 MSLNSKSSAQRRSNPFVRAASPSSSPVVQSAGRPKSALYSSSTP GLGLSSPASHGRSLSNNSFGATLLAPATAVRHQRNESRNATPTSSTFAPSFIKSEEMK RELVTVNGIEGENDFSGKRYVWVKDPQLAFIKGWVVKDIGANRIVVQTEDGTQRELDT ESVDKVNPAKFDKANDMAELTHLNEASVVHNLHMRYQSDLIYTYSGLFLVTVNPYCPL PIYTNEYINMYKGRSREDTKPHIFAMADEAFRNLVEEGRNQSILVTGESGAGKTENTK KVIQYLAAVAPSEAPTRSRSHHANLSQQILRANPILEAFGNAQTVRNHNSSRFGKFIR IEFNRTGSIAGAFIDWYLLEKSRVVRINSHERSYHIFYQLLKGASGQIKKQLLLDGLG VQHFAYTRNGHDSIVGVSDADEWNSLMEAFEVMGFSDEEQLSILRTVAAVLHLGNIEV VKESRAADQARLTPEAKEQVAIVCKLLQVPVEPFVQGLLHPKVKAGKEWVEKVQTPEQ VRLSLDALSKGIYERGFGDLVSRINRQLDRTGMGMEDSHFIGVLDIAGFEIFEDNSFE QLCINYTNEKLQQFFNHHMFVLEQEEYSREQIEWQFIDFGRDLQPTIDLIELSNPIGV FSCLDEDCVMPKATDRSFTEKLHSLWDKKSTKYRPSRLGHGFLLTHYAAEVEYSTEGW LEKNKDPLNDNITRLLASSSNRHIGELFADCADTDEELGIGRSRVKKGLFRTVAQRHK EQLHSLMAQLHSTHPHFVRCILPNHKKRPKQFNNLLVLDQLRCNGVLEGIRIARTGFP NRLPFAEFRQRYEVLCSNMPSGYLEGQAAAAMMLGKLCLDKTLYRVGLTKVFFRAGVL AELEEQRDALITEIMARFQSVARGFVQRRAAYKRLFRTEATRIIQRNFNVYLDLAENP WWQLIVKMKPLLGATHTATEVKKRDLMIKQLNEKMRLDAEKRAKLEDDRRNTHAEMVR IQQTLESERALALDKEEIFKRLQTREAELEEKLAGAIDDQERLEDQLDDLMAAKGRAE EEVEKFRLQLEQAASLIGKLEEEKSKLSAKNAELEDAIKEISQKQAERSEREAALADE VKVLQSQLNLKDRKAQDLEGKLLRLDQDSQLQLRTAQKEVEAAKSRETRLGQENRDVK QQLSQLSKTSTDYEDLVRSKESELALLRSDKRKFEHERRALEDQKKALTEEKNKVSGR FRDVQAEIDAMKSRHSQLEREAEEAKALLAARLSEDAQADQNRSLLEAQIKDLKEELY STQMDLSRERQSRDDVLLLGEHKYGTLKDEYDTLNESKIVIEKELYVQQDTLRRTMEA RSAVERERDEARDEIRRLRVEKTQAEEARIQAEVSGERQASKMAREREASLRKDLDAA HDRLRWFEEECAKLNHEIEGLNKLIASSGEFGLKNDQAKERMGRELNTVKSRLAASEN DNRALLNKLQQKGLEIARSTSRANEAARGQVIGLRKEKSRLEEQNANLNKQLGDAHLA IASLEKKTEKLLLNLEDLNHEVAREIQSSRNAEKASSNFTVQLAEANRTIDSERQLRT QSQATARALQATLDARDKELQDLRAQMLNVLKTVDPEIRIPPPSDDGKQKALLKTLDL ARKVEELQQNLRVQTAARVNADSQIAELRATRRDSESRPKLEEISLNEAPFSNGSPSH RRAIKLGARRFSNTSTPRKANQETTELHDTGKSDKTVDTFAVNNRMDLKAEVEELQNQ LQISRMQNRHLQSQLDRSASATQELLSEQSPSLRRMQKLESVNSRLHEMLDDSSKKVS ALEKNIRTGELSLRDVQARTHEEILDVLNSQEESRRALLHSHKDAVAELTDVKSHFDK MRHERAKLEVELRDTKSDLQEMTMAREQEAQSRSQLLQEYTDLQIRLDAESSKLADVT LGLETYKGRADEYFGKLEQAEIAVLKASRAEQFAKAQAKESEDTYSEVMAERQKMDAA IEDLQRQNQRLEERIEDISTDLQSVTQAKKRLQHELEDYRSQRAMDIEDKESSMEQTR KKYQAEFTTLTKELDLARQEKLYKQAEIARLREELDDLRSKWDDEVLNSSTWSKEKSR LESTLADVAASRDEAVNAHNEAQGKVVSLLSQVRTLRSSVDEITSERDLLLREKRSVE ARLQEAKAGLEELVQGESPSLRDAATVDKAVLDLRSSLAHQEDVAAAAVEKMRRAEAL VSDVQKEIAAEREASTELQKQKAALEKTLNEAQLKLIDLETKEFSTASQDIKFLHKRI QELESQLEDHETERSKSQRSNRNIDRTVKDLQSQIERKEKQNIQLSEDVNRMRDKVDK LLKTIDELQASESTTQLSVRRAERELREEKEKALRLEKELEGWKGLRSEKASMVESLR SRIDSPDALNRTGSISRVPSMSKGFI UV8b_05768 MSQSGYRYVLPDGYSLHQGFGSCIQSVHDSATQPAGSVSYGLSA GHGDAPAPAYGSMIPGLGLGRPSNATPGSSLELPAPAAAWQPGPPNPPFHDSAAQIPH RGHVASKESGSVPSGATGSEDGEATEDGELEDVYEPMETVTDMTRKELPDVVGNQDST EAGHLSNDTRERSGSYSPYLSPHELPQPSSRLPSSPIVQMQSNAGPPTSKSSTGDQVT SQSTTNHSEQTHLSGLSHLEDARKQASDTILRLWPFNVRYQTYVEEGVDETLLKSLFK DLGLEILETKRVPEQPRLKSTPPVERPKPRPSIVETKPTKSSTSAAEERKDRIARLLA AKGSKPAPAAALAPVPPVNSAAAEAKESVAKGSKPSLTQSEKSKLLQEKMAALQKARE ALQLRKSEHSEGGDSSKSASTPASCKAVNGGGMDVSGISGPGLTASIPESSLPQTRQV VPTPDSVGQPAATPRILEVQHSHAAPAFDRNVESRPFLINVSDDENDEDEEMEIDSPG RPETPSNLPGTPGQRGASLQHPATLSDSAPVRQAGSQASISSPLRTASRDHSGDLESM NKQIEEMKQKIAEAEARKRAKNSRACSPVLSPRNGSSVDGGSGFASHQATPGTPCLEP DPAVRPQPSRRSRSRVASERLPLLEARRREQLEKLKSLQSEVAKIERELEADLVEERR LKRDMLNSDSDKNGDVEDVVVPLLTSGSASTHEGISMAGEPAPQSTDEDEAMPLFEAQ DEAPSTRSSDSNKVAHSCGDVGERPDGGSYEAGNLSPGKETLIEHAASSAAAMVDGRE DVAMEDTGYSADEDAEAESDDDYEPADAAPPLPAEVSRDDMEMRERDSAVAAEAVPAT DQTMLAPDGFTEAADNLGNGHGYDANAAAASECKVPDASRSKFVPYETPLQYFHAYRF HPQFKQTVAGGLRSLTYSNKIDVKKELCPDELSGQQCPRGSRCDFQHFETMQAPDDQI LLQLGAADHYDEKQRTEYISGLRQLLTTYRNQRVKDFNTISQGIVDYRARFLGDKTKI LPLGHVAL UV8b_05769 MYLSPPSVPRWLATPVVAKAGGVHYYPLLFRRCPEVVRLLCHDT PPFPPAWFLAGSGVLSCNPPLGLVAWFRAIVSSLPFCEL UV8b_05770 MPVPFIGRLRPTEYVALVGSFVFVSLEAIIRILTLALPSFVVSF FYLASRRLFNRFASPEQKRAKDGKKSIANFVRDASDFVELCRLWGYDAEEHVVQTKDG YLLGIHRLQWRRGEQGQKINCGPGSVRKRVVYLHHGLLMNSEVWVCLTDEKRCLPFEL LERGFDVWLGNNRGNKYSKKSIHSSPTSVKFWDFSMDEFAFHDIPDTISYILETTGQK SLSYIGFSQGTAQAFATLAIHPPLNRKVNVFIALAPAMAPAGLSNGIVDSLVKASPSV LYLMFGRRSILSSATMWETLLYPPLFCHLIDRSLGFLFDWRTRNMSTSQKLAAYPHLY SLTSTKSVVHWFQVIRNKCFQMYDDDVPQPMNLASSRKFSKVAKYPTRNIRTPIVLVY GGSDSLVDIKAMLRELPPRTVATEIPHYEHLDFLWARDVDSQVFQHVFDALDSFTDAE HSAEEYDRYFLARKESLLGSAHPLGHRGSVSDASTIAAGNEAAAGECRQHLAAENAQT AAEHGIKSRLGRDEGGGCTGEQQ UV8b_05771 MPSSCQELRDALAQCLQESDCVMVQRNKASDCLREPLASTLPTT CQQLKKGYGDCKRGLVDMRKRFRGNMPVGYKNAEGAEAGKGYQLYAGAPAFAGAVKET SGNEPIPQDWREIENEKYRLEQQQQQQQHRK UV8b_05772 MPSSLDQLKATGTVVVSDSGDFASIGKYKPQDATTNPSLILAAS KKQEYAKLMDVAVEYGKKKGGDVDSQVDHALDRLLVEFGKEILKIIPGKVSTEVDARF SFDTEESVDKALHIIDLYKEQGISKDRVLIKIASTWEGIKAAEILQRDHGINCNLTLM FSLVQAIGAAEANAYLISPFVGRILDWFKAHNKKEYSKEEDPGVASVKSIYEYYKKFG YKTIVMGASFRNTGEITELAGCDYLTISPNLLEELVNSSEVVPKKLDAAAAASANLER KSYINDEPLFRFDFNEDQMAVEKLREGISKFAADAVTLKDILKKKIES UV8b_05773 MSKVFVGNVKSVLSGDTLILTSPNNPTAERTFSLAYVTAPHLKR EGDEPFAFQSREYLRKLAVGKPVQCTIQYTITNSGREFGTAKLKDGSELPDELVKAGW VKVREDAGRKEDNQDILERVEKLRELESHAKSESNGLWAGTGGTIRVQNDLGGPEFIK EWKGKTVEGVVERVLSGDRLLVRLFLSDTKHVQPMTLIAGIRTPSTERTMPSTGATQP AEEHGDEARQFVESRLLQRQVKVEIVGASPQGQLVASIIHPRGNIAEFLLQDGLARCN DFHSTMLGDKMAQLRAAEKQAQSKKLRLHKNHVAKAEGGNQEMTVSKVISADTVLVKN KAGVEKRISLSSVRAPRTNEASESPFREEAKEFLRQKLIGKHVKISIDGKKPASEGFE AKEVATVTEKGKNIALLLIESGWASVIRHRKDDTDRAANYDELLAAQEKAKEELKGMW SGKPQKAKQYTDLSENAQKAKIMLATLQRQKKVPAIVDFCKAGSRFTILIPRENVKLT LVLGGIRAPRAPRSDGEGGEPFGKEALDLANRRCNQRDCEVDIHDMDKVGGFIGALHI NRESFAKVLVEEGLASVHAYSAEKSGNSTELFAAEKKAKEGRKGLWHDHDPSQDEEAE EQPEQRAAAEADVPLEKKPADYRDVMITNIDGNGKLKIQEIGKGTSALETMMTDFRKF HLDSKNSKPLGDAPKTGDYVSAKFSYDGQWYRARVRANDRAAKVAEVQYVDYGNAEKI AWSSLRPLDQGQFGVQRLKAQAIDAALSFVQLPTGADYFQEAIGVIGELTDGKRLVGS FDFVDAKENVSYVTLYDAKNGELPSSNDSINKEVVASGYGMVPKKLKAWERSKAFEPY LKHLREVEVRAKQDRLGMWEYGDITED UV8b_05774 MADQTEDAQAQIQELQDKIKQLQLQAQAPQKSPYDTYQTSLTSR YCSNEMTSLFSQRSRHSTWRKLWLGLAEAEASLGIDVITPKALEQMRAHLTVSDEDFE TARIEEKRRRHDVMAHVHAFGIVAPAAAGIIHLGSTSCFVTDNTELILMRDALDILRN KLAKVISNLATFANQWKSEPTLAYTHFQSAQPITVGRRAAQWAQDLMMDLEALEAVRS GLKFRGAQGTTGTQASFLEIFQGDHAKCDKLNELLCEKFGFPACYDISTQTYTRKVDL IVANAVAGLGASAHKICSDIRLLASNKEIEEPKEASQIGSSAMPYKRNPMRSERICSL SRALMAKPASFANTLSTQWFERTLDDSAIRRIDIPEMFLLADAILIGLDNVSDGFVVY PRRIRSRFLEELPFMVTETIIMKLVAKGASRQEAHEEIRVLSVQAASTVKDEGKPNDL IERIRNNEYFKPIWDELDSMLQPELYIGRSVEIVDKYCGAGGVVEKALAPYQQYVLES TTAQLNV UV8b_05775 MVKAVAVLRGDAKVGGTVVFEQASESDPTTVTWDISGNDPNAKR GFHIHTFGDNTNGCTSAGPHFNPHGKTHGAPSDEARHVGDLGNIETDGQGNAKGSVKD SHVKLIGPHSVIGRTVVVHAGTDDLGKGGNEESLKTGNAGPRPACGVIGISS UV8b_05776 MRAFALPKFLNSGSSWTRRRYPGALCFNIASFILPALYSTLVKL WVANIDSKMVVTTDVYTYILIVAEVVNEGLPRAVWSTIGDATVAYEHRLSLTYTLILV QSLVGLVLSVVICSAAPAFANGFVPPEVRRQSTTYVRISAFSALSSAMEAAVAASTRA LDKPDVPLVVSAIKFAANICLDMLFISTFHVGSVEPTVDMQASIQLTCNLAAAFGGLV YFLARQMARKGPGRSGRAKPSIRALWVLVPPGIPTLIESAVRNALYLWLIHTIVGLGA LYATAWGVFNTIRWGLVMVPVSALEATTLTFVGHNWGAWKSRASESGDSSLPRLSELL GIARPALVSICLAIGFEVPVCLFMSFLGARPFARYLSGSDEIAGLTAHMWKTLDWVYI LYAVSIQLAAVLLATRPKWYLLQSLATNLLYVLPWAIVCQVSHLDAQNAWTYHAMVFG GSMVFSFVCTPTVLGLWAWGLISGRARVW UV8b_05777 MAGQYGCRELIRRQFLPEKLLFHVLFWTFHWGLFAYGWYKQASD DRLAALNSLKYSVWISRGAGFVLTVDGMLILLPVCRTVMRWLRPKVRFLPLDENLWFH RQLAYALLVFAVCHASAHYVNFYNVEKTQIRPVSAVQIHYTEAGGVTGHVMLLCMMLI YTTAHSRIRQQSFETFWYAHHLFIPFFLALYTHTVGCFVRDSPEPFSPFAGDSYWTHC IGYLGWRWELWTGGFYLLERLYREIRARRETEITRVVRHPYDVVEIQFSKPSFRYKAG QWLFLQVPSISKYQWHPFTITSCPFDPYVSVHVRQVGDFTGALGDALGAGGAQAKLYE GVDPMGMYEVALQNGQQMPGLRIDGPYGAPAEDVFENEIAVLIGTGIGVTPWAAILKN IWHLRNSPNPPTRLRRVEFIWVCKDPSSFEWFQTLLSSLEEQSNEAARIPGSCGVEFL KIHTYLTQKLDMDTTQNIVLNSVGADTDPLTELRSRTHFGRPNFKRLFATMRDGILDR TYLSGLEGSMRTTVGVYFCGPSSAARDIKTACKAATTREVNFRFWKEHF UV8b_05778 MTTSQNPADGRASSTGASLSAIPADASAEAQTSCQEAAVSTVQP DGHEQPAAMHSKSGPSACDDDTLRATGEARFMPGASTLPVDGTTLQSQSPLGLATPTI CPAQLAFSALQHLPVPILVMNSLKTVVLANEAMGRMMGLVRDTTCRDLVATALQQLRG QTLSQIGIDMLRDGSPIRINWETFLAGLVDEVGVWSPTAPQVQQSPASRSLFPGDAPP AAGSKPAPDLEPFQDSRSLPREDAVVEVVISRKDSGKTMFDSRYKAEASDYQAFAKMT ITVWEIEAKQTFFTLTFTGTSSTASTQSSAEKPVARPSVTEAAGRQTTASSAASSVPA SRGSNPPSLHSPGIATVSSSPFLPLGPPSAASHTSTPSLPQKMNLMKDALLDNTQIPI IAMWKDGSVTWPNKAARNLLPRTPDLGSFASGYDYVEHWPMWNEDFTRKLDVSEHPLS VLLRTEVPFSSMRVGVVTRDGKKIVLDVLGEAIRDDTNGEFLAGVLTGRDVTTMSAEI TQIKERDDERFKLICDTMPQLVWTATPDGRCDFLNTRWYSYTGLNPEDCLKDDWKMPF HVDDMPVALARWDRSLKTGEPYVVEYRCRSKEGEWRWFLGRALAVRNKDTGEIEKWFG TCTDVHESIEFKFNAKRTRQQLLSVIAHSQVTIFTVDPNRRITMLEGALIWNTTYEDS HEPSRWYVGEDMYTVFNRLAGKVTEGEQPHFLGPIEDILDGKCKEEVSEHGLNDRWCR TRVLPMYGKKAQDGKAENDGSIEGVIGVIMDVTELKDREEALLQQSREKRRAIANEAA AKEANRLKSQFLANMSHEIRTPITGVLGMAELLGDMDLDEEQRDYVDNIQSSATSLLT VINDILDFSKVESGRLDIEEVQFSLSLVVKEVVRMLRFAVERKNLDFQSEIGGDIERD MVVIGDPGRVRQIITNLLTNSIKFTNQGYVRFSVLKEVETSESIKIKFVVEDTGIGIQ EDVRKKLFQPFSQGDASTARRFGGTGLGLTICKNLLDLMHGHITLDSVVGSGTKATFW IPFNKPRGTQKLNPVQSGAIPDRLQSELSLSCNSSEYDHVGGTSTGSEGAAMPSSLPR RRPSVRTPPNHDQDMPRGERAKMHILVVEDNPVNQKIAIKTIQKLGFQVTAAWNGKEA LHYLTATSRGQNKKPDIILMDVQMPIIDGYKCTHLLRHHLPYQALLQDVPIVAMTASA IHGDREKCNKAGMDDYLAKPVTMAILEKMLIRWCLSRRSVPAQPVSALPHCSKAGEEC ENTDIPHVGLEKANLASEETLRDDVQGGPVTPKPLTTNGLDEPSPFDSPSANEMDMQV RRQEGEKEWSNLLQENKLIDAAGGPSNFRGTPTLESAGMGEALTEENVNKLKSETRTL KRA UV8b_05779 MAEFESLVGTYVHLARESRSSEALHTLKKVASLVKPIMRARGWK VGQLVEFYPEQSNLLGLNVNRGMKICLRLRYPGDRNQFLPTEDVVDTMLHELAHIAHG PHNQAFHALWDQLRDECQGLMLKGYTGEGFLGKGHRLGGARMPDREARRLAREAAEKR KATAALGTGSGRKLGGAAPRPGQDIREAIANAVERRNAILRGCGSGRLNDGRIREIAD TATQNGFKTKAEEDEANEAAIAQALWELVQEDEKARLGPSYVRPSAGRRGGRDGTAEH DVGEGQDDPNTWVCGTCTLHNPPNYLCCDACGSERAQAKRTLPGDAKRQVDGQRSRTR TGAGAGAGAGANTVDLTTDASPPRKKRPNRAPPPPAKPSLSASAPLVWICSFCGTAME RQWWTCSLCGKMKDDSK UV8b_05780 MFLLEYLQHRRDCRFKQDERVRRLGALSAYRTPFSPLDREIINK PIEQLVREVQDDPSKAAGLLRTYGKVAIKAHEKTNCVTEVLLEDAEEWIKDGSINFKG PLAGIPVSLKDTIDVKDYDSSVGVTCNVRKPKTEDGVTVKLLKELGAVPYVKTNVPIT LLSFESSNDLWGRSTNPYNSKYTPGGSTGGEGALLAMGGRIGIGSDVAGSVRCPAHFS GIYSLKCSTGRWPKLGATTSMPGQEGIPAVYSPMARTLNDLFYFTRAVLEKGTYNYDY SCHPIPWRGDVVREYREKKVLRVGILRTDGVIDPSPACKRALDMTEAALRRAGHEIVE IEPPEPYEALCLASRLLCADGLDIVRGFFRTGEWNDRGVAQMRFYAKLPSPIKYLHYL WVRYVRRDPVWAGLLRNWRRTTTTEYWGLISRREAYKRRWWEYWQETGLDFIIAPPNA TPAVPHDGMHDAVSSCGYTFMFNLLDYSAGVIPVTHVDKSKDQLPAGFNFRKLNGAAR GAYKLYDADEMHGLPVGVQVIGRRLEEEKVLTLMERVEDALGNDKFQLLQVD UV8b_05781 MSADDQHFVNVVLAHVPPQLRQYSTEVAEYIDGNVERAASIVRE TLSHANWLPDSIRPTPPPRPSVALVSMTRLERLQDWVARHKVITGVVVVACGVALVKG YRTGRSLRKTRRARRARNGGRAEVVVVAGSPTLPLTKSLSLDLERRGFIVYIVCGVTE DEAVVRGLSRPDIRPLSIDTTNPPQAGAAIERFAAYLLSPQAPGPHIRPNHLTLKSVI LIPSLHYQISPIATIPPSSFADLFNTHLLQPILTIQAFLPLLTSRLQPMGEKWIPPKV LVFTPSIISSINPPFHAPEATVCSALAAFTEVLTAELRPLDIPVTHVQLGTFDFSGFV PARVSVPGQGLVHAGNPQEPLVWPDGARHAYGRNFVAQTSSAISGGRIRGLRGSSLRR LHHTVFDVIDGSISGGSVRVGLGASVYGFVGRWAPRGLVSWIMGIRKVDELSAWKTSS YEGSERDESEDGEGAASSSNEFVAVASESNVWSAADATES UV8b_05782 MATTTAAATAILNHTSRPYNFRFSPFLRATHQVGLPVDRPVCRA YQAGSCPNGTRCTERHVQDASKSTQQSGGLNSLVCKHWLRGLCKKGEHCEFLHEYNLR KMPECNFFMRNGYCSNGEDCLYLHVDPSSRLPPCPHYDMGFCPLGPACSKKHVRRKVC VFYLAGFCPDGPECRSAHPKWRKDLDKPTLKTEEKRDEKPDGYGHADGAGSARADEDA ERDRDRDRDRDRDRDRDRDRDRDRERDRGRDRGDRDDGRFGRHRGGGGGKWRGRGGRF RGRGH UV8b_05783 MSLPTWLDGDGDGDGDGDVDDGICSVISTSPDLGAATSTSKLWH DDADDADDDFERFSLDADADDNFEDGGISVGSNHSCGYSISVTPSAYEDELAHGRRYH GFRKGRYPLPNDDLEQRREETNHALMLELTGGRLFYSDIGNYPQKIIDIGTGTGSWAI DVADQYPSASIVGTDLSPIQPQWVPVNVRMYVDDCEEPDWLHGSNFDLVHFRGMAGTL RDLDRLLQRTYRHVKDGGWVEFQEFIPQILCDDGTMSEEDPLRTFFDASAQGLRTFGG EPLKGLKLEESLVEAGFTNIHVITKKVPIAAWPRDKHLKAVGMFTRAVILDSLGAFAA KPLAALGISAEDRLALVTQVRQSLNDRRIHRYMKCVFCYGQKQGTTV UV8b_05784 MELQGAAVNVRSTIAQGSQISQLRPGPVRPFMNCPSSHGTMHHG SLTAQPASQPDVLHSSAMVFWSLEPCSASQSILVLVCMLVSPTPRPPLCGSLDLSPRP LEGFV UV8b_05785 MSPLPPDPYKILGVPKDAPITEIRSAHRKLVLKCHPDKIQDPAL KAQKQAEFQSVQQAYELLSDDLERQKYDEKVKLEDLRKQFQNKANISTPRSAPRYSGQ DEARGAESRASPFKAGASPPTASYAYNRFSDEDLHRGARVFEATPRSSRRETGYTEKA SKREGERDRERDRERERDRDRDRERERERERERDRDRDARDREREREKDKERERERRR REEAVKRAEKEAKEARRAEKRAREKQRDKDIKREADEKKRYAKPYIEPYDDEHAPPKS EKKKSSSSKKHDEKRDRSSGREETPAPVPAPPPPPMPQRSYTSNLDYATSYIQASKAK VSTVPGLQRSPTYHVRTVQPPAPTPPPGPSGPFAVPDDDEARRSSAKPRRGSGDGPRL SRERSYRGSSREALDDPPIVSASPSGRHPAQFPKQGSAASAMSASPPRPDLTRNKTMP AEAGIYSRSPAGISRSQTFNAFGETAEHPQGRSRSRLQPQVELESDSEDLYERRRDRK HRSSRRHHSPEPMRTENVSRYQVDGSRSRLHSSYTRRVDPELVEPYTYYVHPQDARPS MPVREASYTAATATAKFPKVKTSKAYGYDDVQYSYYDKPPREEYVAYA UV8b_05786 MAASPARGPLVLVSSRAVIPQPGGGLAVSPATVAVCPASGKITS VVPAVLPRSSFPPDATYVDHSPRLLLPGLVDAHVHLNEPGRTAWEGFWTGTRAAAAGG VTTVVDMPLNAVPPTTTVAALAEKLAASRGRCWVDVGFYGGVVPGNAAHLLPLVEAGV RGFKCFLIDSGVDEFPAVTGADVALAMETLKDAATTLMFHAEKEEDVEHQQQRQRQQA GRADDADVTAYQTFLDSRPPALETAAVRDILAAAHVAPSLHLHIVHLSATQCIPLLRA ARAEGVNVTAETCFHYLGFAAEDIPAGDTRHKCCPPIRDRRNRDRLWDELLAPDSCIR TVVSDHSPCTPQLKLLPPGLCPAPSPSSSSSDDDAAAAAAASSPASRQAGDFLSAWGG ISSVGLGLSIMHSMAKRRGGAPAIADIVRLCCQAPAEQVGLSHRKGALRPGLDADICV FDDADVWTFSQPDMRWKNRCSPWEGHEFTGRVTETWLRGRRVFQLGGPNDGFVEPTPS GEAITERRVV UV8b_05787 MQLRPGATAFRHAVVGGITAPSCTPAPCPVTCLSKSSLSSMRRR SLHASNRRDSGWATAVRVASSIVGNVVNKATNDGMTIDPLRAVAKEMQFLTGNIRKLL GSGHPSLDRAAKYYTQAQGKHVRPLLVLLMSRATHLCPKNPREEEAHSSRVIDSSISP AQILADRNPSGMPLTSAEADIADGHLDILPSQRRLAEITELIHTASLLHDDVIDHSVS RRGAPSANLEFGNKMAVLAGDFLLGRASVALARLRHVEVVELLATVIANLVEGEFMQL KNTERDERKPRYSEETLTYYLQKTYLKTASLISKSCRAAALLGNADATTVDAAYSYGR NLGLAFQLVDDMLDYTRSGQDLGKPAGADLELGLATAPLLFAWRQNPELGALVGRKFE RKGDVEKARELVLKSDGIEQTRALAQDYSDKAVAAIADFPASEAKDGLVEMAQKTVKR QK UV8b_05788 MVLLTMTRPIVDGIRKLQQVRASDDERLDTEAPDSSSRRQSHDE PTLQQPAVGNPISHGQVIDLWRKLQPGDDASCSLEQLLRGSRVYAPPAPPKTQPTEEY KALMSRLRREEAQRAYERMVNPPPKIETFGDRFPTSAQAAFSQVNRPVKASDLGDDEV TLRDVHRQVMLIVNFLVSILGVAGTLWVTARWWSLPARVLLTLGGSLVVAVAEVAVYS SYVWRMDKAHHRQKAAEEVKQVVNTWIVGQDGSQTDAETVLLPGMESKADGTLRKRIT AALSESEMSAEHKEG UV8b_05789 MTGASPEYAITKPGMPHHLLRQRDKPLRTYGKRSTSTPEARGEP RAKKPRLGPTPPGKRDANPAPALVVPSEQSKEEPCQCRERQPSPLPGSRAAARPSILQ YFKPVTAVPKVQQVQPDGNKEHGGNGPRDGSPPVRHARAARSRTGPRILRIRATSVLS EQSSRDAADGDEIEGNALSAGKGNRLRGEAILQSQGRKDSPALGGASLPARSHSKHSP VVQTTLNISSRAAFAECKLCDTVWNPLYPDDVKYHEKRHKTVVRARARKMDEL UV8b_05790 MDWRFLRILVVLGVQAGLLSEGVAGQDCANSVSVNYQPLAVLCG GVTYSLTGAYTSTGCFSSAQYLTTTVTVTGTVTATITQLPSGTNPGLVVVQQPATSSA STSIGTCQVPSQVSGFSLYGCAVSSLGFPGFTPVASDPAMTLAKCAAICTSRFMGVYG SVCLCASQLPSGLQLASPALCQSVSAPCPGNSAQCCGGQLLLKRSLGAAAKRQAAQSI AFSLYEQDDDAGSSSVAGTTSSIPIVTGSLPASQSTSSPPFSGSLPAGTTFTIPASGT NPATVVVVTPTPTTLATSVQTSNSSASGLNSTAVAGTTLTIPASGTNPATVIVITPTM TGLATGTSSMSMSLNSSALAFTTVTIPASGTNPATVVTLTPSQTSLAAGTSSMSPSSS ALAPTTLTIPASGTNPATVVTLTPSQTSLAAGTSSMSPSSSALAFTTVTIPASGTNPA TVVTLTPSQTSLAAGTSSTSPSSSALPPTILTISASGTNPATVVTLTPSQTSLAAGTS SMVPSSSALPPTTLTISASGTNPATVVTLTPSQTSLAAGTSSMSPSSSALPPTTLTIS ASGTNPATVVTLTPSQTSLAAGTQSSSSSVGAATTTSVSVGVSSVSPVSVGGSSTSDS SAMGHTTVTIPASGTNPASVVTISTPATSSDTATQTSGGSTMAPTTITFSASGTNSGS AVVISSPASSSDTATQSSGGSVASPTVITIPVSINTVSPILIGGSSTSDSSAMSSSLT VSQPSSGSTAQATLTIPPAGTSSGSLVIVSSSATDSATATQPVTSSSSAAPSLITIPS NGTNPASVVTVTPPPISLVTVLQGFTGLLTIPTTITIPPIGTELGSIIIITPPASIPN PITILQPFSGSLTIPTTITILPTGIDPGSIIIVTPPATISPVTVGSVTVGPVTVIQPF SGLFTVPTTITIPATGTDLGSIVIITPPATISDLVTILQPFSGLLTVPTTITIPATGT EPGSILIITPPVTVSSVSVGSVSLGPVTVIQPFSGLFTVPTTITIPATGTELGSIVII TPPATISDLVTVLQPFSGLLTVPTTITIPASGTVPGSILIITPPASIPNPVTILQSFS GLFTVPTTITIPASGTVPASILIITPPASIPTNLVTLFQPINSLYPVPTTITIPGIGP LPGSILVITPPASVPNPVTLFQGFTGTLTVPTTITIPAVGTDAESIIIITPPASIPNP VTLLQGFSGNLTVPTTITIPAVGTDAQSIIIITPPASVPNPVTLFQGFSGSLTIPTTI TIPAIGTIAPSIIIITPPASVPNPVTLFQGFTGTLTVPTTITIPAVGTDAQSIVIITP PPNPVTVFQGYNGTLTVPTTITIPAVGTDAQSIVIITPPPIPVTVFQGYNGTLTVPTT ITIPAVGTDAESIIIVTPPAATNYITITTSVDGNNPNPGLYTLAAPSGASPGTVLLVN UV8b_05791 MLAYLTPRGRSTDQPEKIQYAIEKMIDAPTRLRRAIHVGDSLLV KRILSSHPTLLHNPDASLSGLSNSNLHLAAFLGHKDVCEALLDAGHEEPCPALNENHQ TALMLASGEGHSEVVHLLCEHDRSCILRVDVRGRDAIMHASMGGHDTALQILLTYVPG GPRDAVRRADLEGNTALHFASSNGNLLGLRTLLAVGAEVERRNIWNWTPAAYSATVQA EVYLKGLVSEVGKRQQLRQEIESTRRKGGMVRVVGAAAEAE UV8b_05792 MSALPRVRHRTVNVGREFFPPPATKLVVTQYLASCDVTSAWAWI VVGTFYDRCNRPVWSTELLVAQLEDDAESGAGNTDFDLHHQSEEYLESFPPAEGVGQD PHLIPPFPFQPDICFDLAPLPYAHAPLHFPPASWDTVSQGPVPGGWNPVTGRDPVGTR PNFAPFGAPVPTQGQPYMHHAGGPGHNFGTYPCHSAWPGMTYGMYPIGNPGVPAAQAG TPLAAGYVPPGASGGFYTQQTFSYQPNGTGNMFPRQPQPWPAIDPTMPAAQMTNSSGG VGCEPGYNLFFAAEHTKAHVFRSNIPPWQLPATAQLPFKAVHIPCNTTVEELLKGFGC TNPDRKKNRVFEMQSAGGGKWYKGLEINGGDKDLLKKTIKEIGWDATRTGNPREKPVV CLWFCKD UV8b_05793 MHPQVTVRIRGKSESLDLDMAWRQHPAFVYHQSLTTRPECEVLP PLKLNKAGQAVIVPGERFCRFRGPLGDCQYFTLKEDMSALRSHYSLRHGIDTEERKKG THDGEFGAQLCRWFEELRDGAAPQWMPRKPAVRGYTPDDWEDSPASRVAGSGSNQRRR ATLAAGGAGYLLEAAWATPRAEMNPAARPTAPAPEYELHDDYEDDEDEDDAGHDDGDG GDSGDDDAADLRDNLPVKPEAMVRNGPPLKKGWSGACATMHDNLIHEPISLMSLVSQP EAPRDETGKFRIGAMRLYAGMRNGDKCGYCTLRGLRAAATQRTGMGMYACPPQEYHAL CGVWERFRKPMAE UV8b_05794 MLNHLLLVGLGVLAANARAHPHTGLADLARSSRKTSLGRFRMPE SGVYVPAAKVQADEPVMSIATGASYVATAEELLKSKAPGAEYRVVNDHYVGSDGIAHV YFKQTLHGLDIDNADANIGRDGKVFSYGSSFFSGDKPADSPLVKPEHSHPVAALNAAV KALHLAISTGNVEAQPKGAGNSQHFVLAGTSGAVSHPEAKLVYLRRRDGGLALCWRVE TDVLDDWLLTYVDAYQPDKIHGVVNYVSELTTFQVYPWAVNDPTLGSRKILPDPWNAA SSPFTWLGDGQHNYTTTRGNNAIAQDNPDGGDGYLSNHRPSSPGGRFEYAFSPAQSSP PSYRDASITQLFYTANKYHDLLYVLGFDEKAGNFQQNNNGKGGIGGDFVILNAQDGSG LNNANFATPPDGGNGRMRMYMWTTASPQRDGCFDANVILHEYTHGLSTRLTGGPTNTG CLDGLESGGMGEGWSDFMALAVHLKSSDTRSKDYGVGPWVANRPNGIRQYPYSTSKTT NPMTYGTVNTQDEVHAIGTVWCTVLYEAIWNLIDKHGITGSDWPVFDSAGVPKDGRYL AMKLVMGGMALQPCNPNMVSARDAILDADRALTDGDNECELWKAFAKRGLGRNARYEE SHRTEDFGVPEGVCSS UV8b_05795 MSMSMALPLTRLVVLLGVQAGLWTQGARGLDGASADVVVNLQPL EVNCQGNIYSLTGAYTVSAAAAGPYTTAAAGPASQTAAVSQSSGGTASTGSNSRPSCQ LPSQVAGFQLYGCVALSAGLLTDFAVVSSSPSMSLQVCAAACAKSFFGVHDSECYCGD AVRSRASVVAVGLCNIPCPGNEGQCCGGDVSLLKRQVASVAALSLYQRPTGSTTGDGG VSASSSPASSGLASSGLASSGGTYVTVTQPVGGSVTAPVTLTVPPSGTVPGTVIIQTP AASAATGPYVTLTQPLTGTNTAPTTVTIPPSGTIPGTIVIQTPAAAGSYITVTQPLSG TNTAPTTLTIPPSGTVPGSVIIQIPPTTAPATGPFVTLTQPLSGTNTAATTVTIPPSG TVPGSVLIQTPAANGPFVTITQPLSGTNLRPTTITIPSSGTVPGTIIIQTPAATAPVT GAFVTLTRPVNGTGTAPTTITIPPSGTAPGSIIIQTPLPSGPYVTLTRPLSGTNTVPV TVIIPPSGTVPGTIIVQTPLVTGLYVTLTQPLGGTNTAPTTVTIPPSGTVPGSILIQT PLGAASSIGPYVTITQPFSGTNTAAVTVTIPPSGTAPGTVIIQTPAVSKPYVTIMQPL SGTNTAPVTVTIPPSGSVPGSIIIQTPPAVGPYVTITRPVVGTNTAPTTITIPPSGTV PGTVVVQTPAPSAGQYVTLTQPLSGTNTAATTVTIPPSGTAPGYVIVLTPRPTGPATG PYVTITEPVAGTNALATTITVPPSGTAPGTVLIQTPTGTGAAAAPYVTLTLAQPLSGT GTAPVTLTIPPSGTVPGSVIIRTPLATGPAAGPYVTIAQPLSGTGQLPTTVTIPPSGT VPGTVIIRTPPATGSSVTLTQALSSTSTAPTTLTSPTSSTAPGTVITTTVTIPPSGTV SGTVIILTSAVTGPALNSTTAITIPSSSTIPGSGSSSSSSSSGSGSSSSSAQAPTTGR FITLTQPVSGTNTAPTTITILPSGTLPGSIIVQTPTGAAPYITLSQPLSGANTIATTI TIPPSGTVPGTIIIQTPLAAASYITITHPLSGTETVPTTITIPPSGTVPGSVIIHTPP VTGAYVTLTQPLSGSYTVATTITISPSGTIPGSIIIHTPQVTGAYVTLTQPIGGSNTA PTTVTIPPSGTVPGSVIIQTPPAASQYVTLTQPLSGSYTVATTITIPPSGTIPGSVII ITPPVTRAYITLTEPLSGSYTVATTITIPPSGTVPGSVIVVTPPVTGAYITLTQPFSG SDTVATTITIPPSGTVPGSVIIQTPLVSAPYIILTQPLSGSITVPTTLTIPPSGTVPG TIIIQTPPVIGPYITLTESLSGTVTAPTTITIPPSGTVPGSVIIQTPPVPGPFITVTQ PLSGTVTEPTTLTILPSGTVPGTVIIQTPPVAGSYVTLTQPLAGSNTAPTTVTVPPSG TVPGSVIVLTPTVAGSYVTLTQPLAGSNTAPTTVTVAPSGTVPGSIIVLTPPVTGPYV TLTEPLTGTNVVPVTLTIPPSGTVPGSIIVLTPPATGPYITLTQPLSGSVTAPTTVTI PPSGTVPGSIIIQTPQVATGPYVTLTQPLSGTGTAPATLTILPSGTVPGSVIVLTPPV TGPYVTLTQAFTGTDTAPITTTIPPSGTVPGSVIILTPPVTGPYVTITQLLSGTNRLP MTTTIFPSGTVPGSVIVQTPVSRSCRPRPYVTLTLPLMGNNTVPATTTISATGTDANT VLVLTPPVYVTVTQLLTGGGDSPVTTTIPPSGTIPGSVIVQTPPYSYMTTTLIAPVSA PTTVTLAPSGTVPGAVVVETPPTIRPGRYCDLYPSCAATGLDILLYNNTYCTGYGGYG SNNVNPSNNNPNYGFYLSQAPAALSLTNSTSFPRFVPNVQQTIQPSCVTPLGLYLNYV VNRQVNGGLSVNANNFSLVYTGFYEAPETGQYQLCFQNVDDRAVLFVGEGFAFSCQSG QVDPTAPPLLSVGAGSDDSLGAGCTAFSMSQGQAYPLRIVYGQNSGVSSFTFTIQGPA PSSATSDFSGRVYPRSCGIYVPT UV8b_05796 MPACLPACIARRHVILLVACRLHSLGTRDKRQAYPEATSILRPP FTLSSWKMQSVVVLAAALLATTLAITYQRLPLYRCILGSLEQGPVDYDSITSDCDGRE PTEITPYGASLIADQDQGSTALYRCTLSTSYFLDSTDSNCENNINAYRRIFLGYIYTS QVADSTALYRCVSKYGATFQSLDSGCEGGSTEGRTTLGYLLLDVQVPYCDEI UV8b_05797 MAASYGNEDLRHIHEDGRASEFNGLSGLFSVDAALGDQTVTAAF DTRHMPPSQSRLSTLATTYTADIYLCEAAPSLCPAHFSKDTERSTQTGHL UV8b_05798 MTVFVCSLFLPKTVQFNLPGTPPRRNTLRRRSKTTIPPRQPPPA PPAEVQPSLFDPRPVITPPHTPTDESASPDPFANEDGFRIHFPHELGSPDELTSKWGS RANQPLSRANSPPPAALFERDRTLEKARELGRRGLVQPKAHMRSDSHDRVFSSAPWTV VDADQGNGGLRHAAEAASRDGKLGDYMWVGTLGMPTDALDGTQQKTDIEDTLANEYDM LTVFCSDKDFDGHYTHFCKQILWPVFHYQIPDNPKSKAYEDHSWKYYVNVNQAFADKI VQNWKRGDVIWVHDYHLLLVPGMVRKKLPDAKIGFFLHVAFPSSEVFRCLAVRKQLLE GMLGANLVGFQIHEYTRHFLQTCSRILSVEATPEGIEMEERFVDVINTAIGIDPVSLE RNRGKQDVVRWLHILQERYKGKRLIVARDKLDHVRGVRQKLLSYELFLNKNPQWREHT VLIQVALSSSQNSDLDATVSDIVTRVNSTWANLAYQPVVYLKQDIDYAQYLALLTIAD ALMITSQREGMNLTSHEYVFCQDGKMYPDHKNGSLILSEFTGTSSLFGNSELAVNPWD YRQCADAIKQALEMGQDEKARRWEKLYESVARHTGSHWFGELLSHLDRAYEEQHKRDQ TSVPRLSIPDVCRRYEKSSRRLFMLDYEGTLVSWGPVNKIIPVSPQRTLDVLNDLLLD ERNIVYVMSGRQPEEVDHVFRRVPGLGLIAENGCYVKDCGSERWVEMSDGVQIGNWKT AVKEILRYYLDRTPGAELEERRCSILFHYAGAEDLEAATRLAADCASHVNDACESLKV HAIVLDGMIAVEPLDWTKSTAAHKVFEKLNGHTTSDGGEKAKPVDFLMVVGDGREDEK VFKWANKLGKRHIVDNVVTVSLGNRSTEAASTLTQGVSGVLSCLQRLVSS UV8b_05799 MGAFPPPPVTTIDWSNMGFRVREVNGHVESTYSQTTGEWSPLRF VADPFMRIHGMAPALNYGQQAYEGLKAFRTPGDGGICIFRPRRNAERLQRSAQVVSMP EVPVELFMRACRAAVARNAEFVPPHETGGGMYIRPQLYGSSAQLGLDPPDEYTFCVFV LPTGVYHGSQPVKALVLDEFDRAAPNGTGHVKVGGNYAPVMRWSGRAKQEGYGITLHL DSAKREEIDEFSTSGFIGVVGGGGGGGGGGDGDDDVTLVVPDSKCVIDSVTSDSVQRI ARGWGWKVEKRPVKYAELPSFSEVFAAGTAAALVPIRSITRRRGAAPGGLAAGPRVSA AADADVVTYIPDGQAGAGPVCLKLLSHLKAIQSGKAADEFGWRCEVGEGDLNLAAEGA UV8b_05800 MRFPPLLAIAALAATATALADAAALVAQIKALKAQTADLGAAVA SWDGGPLSALPITADAAALLQRTRAAARLANQSARLAGNQALAAALAAAQLCQAVPPA LQAMVDAKPKFDGLVFLSPVVLGDLEMQRDASRDLGQALVAKLPASWAAVAKSLVKGV DDAFAAAIDKYSVFAV UV8b_05801 MSMYTINVGVSSVLKPVVVIAAKQHASLQQSLAGDDLVTSPAAG NMPPAPSSPLCYLAQPRQNVLLQIAIQGVDAQFQGQAVTCSLAPKSGWGGTWAPPIAP SPPATVADYFPFSLPAQSVPFGYSGLYTVTLTPTNGGPPVELPQLLALELYVLPVAKL ANIFDVGIPLLLLRMFVLAATCQKLSTPDDWVSMVARIAHGSAQPFTGHACKTNEHWL KYETVGGNPSFAGEYGSGGFNLPAWLDSWRVWNQYKKLTTVNCFDQGAIAQTALSLGV PYEELSWEHHQVYGFIPKTPEMIAQTELVGWGPCNNPFFEYKTSDMVLPDYASPDRQP FRNHVWISWSRGKALSEELRAEFNQLVRGDGNYPSQAAAFEKDHGLTMLMIDSCAGPH TGTESRAEYEATKLLVSTPFADNFSSYVKSNTKSSPGRWAEAHSIGPGVTQHSGGTPP VEHTHFLPPGTESAFSSMIQDIKDQDLPSYRPQDPSQLFTGDLDLVSKRFQALVQNSL GGYGCALTGPVPIRPYEASDGSSASEYKFGYTTTRNPDDRDGLRFVSLQFYVYKSGQL ATDGLATRLALLSLTSANAQRLGPETTSGTYVSAFANMRAFTLYNVAVRMSGPLFFAD FGSAAATQVAIDLAQDMRGGNY UV8b_05802 MSKFILTKQLVRKALAPLLEVDNLELRRKFLTDYLVPNVTWTCT GSAHSLAGSRYSVKDHEDATFNRLGKNLKGPIKFVVTRVIVDSEREQDGWWCAVEARG EAIRITGQRYDNEYAWLMRWNDEGRIDEIRSYFDTMLSERVLAGSA UV8b_05803 MDFVKKAVQGVKGSQHNQNPNNAGQQDYVDKAFDYGTKKSGHPM DRNTQEKITDAGRGVYEKVTGKKVNPKISN UV8b_05804 MHRRRTSPGHASAMTLLYSKIHARRLACLLLAVAYCVAVLHHYL AWRPGFTRTRASRHAPASSWPKPDNITVSGLVFYGRRDRVRTMLCYLERNMVDNGGWL DEVLWIGNTFDSDDRAYLDEVIASNPRYRMIPVEGEMLWVNTYYKAWQQLERGKYYVK IDDDILWIEDGAIPQLVTRKMNNPNDLVVSGNIINNPPLGFIHYAIGAVHPYLPEMSP PPQGPKTTWKPSQDPLWSGPDDLSFAVQGEPPHQGHRWLRLADDKALHRTPASKIKWE VWHDSYTSWAIAAQMHYSLLENIENDALHLYKLNKMWDMMGERIRINFICVYADEVLD TDIGSWPAYKGDEDMLVMELPKQLNRSVTIVSDALAAHFSYTDQQKLGHTDLLERYHV LAQDRACLNPRPQEDGF UV8b_05805 MTSYQVHVRTTHPDPCQGLLITTSSNFHPRPLLALLQPASSKQQ PRDMPHSLESSLDTQALAGEQRQLLDLIDSLQFAQLDNVRLPQIVVVGDQSAGKSSVL EAISGTPFPRDAGACTRFATEIRLRRGKESALRVSIIPDKNRPHAQQTSLLRYGGHVT GDTSFEGMMREATDLIAPRDMPGRFAARDVLVVEKSGPDMPLLTLVDLPGLVRVANRD QSEADIQTIEALSDRYMKSSRTIILAVIGGNNDYVQAPILKKARQFDPKGSRTIGVLT KPDMTERIGLQDKFIELVMNKDPENHFKLGWYVLLNPGPGEQWFSPEERARREADFFT KGRWSALPPQSWGVAALRQKLSTQLQKHIGKHVKSLRRQIQQALERCDGQLKAMGSAK DTIEEMRFEMGELFTASNNLVTPAVNGNYKNPFGEDFFGRLSNPKGTPPQKLRARARE ESERFSRLFRQQGRKVNFAAAGSSSSSLLPAAGVVGDQSKKDFAMHEVEPLLRQIRGN ELPLDSNPRAPYILFQDYSRSWPVLAQEYKDNLGVICNEFLAEVIDYVWPIRMRDPLR YHFLQDKIKRLMEKADEELGRLTDDMELEVQPYDPEYEDRLRKWRAESTENGGTYTEA EEVLEKMLIYYDLTARIFTRNVITQVVERHLLLGMLRLFNPVEILRMPDVTIESISAE NKETRERRIALKAQKKAIEEARNICASLAMRSDLRSYEDDDTDAPTDEDETPTRPTSF AHPATSRPQPPQESRRSAQPDGPIPAYAEVRPRASVPQESYQIPNGTLAHQPGQTWDQ QPYGRDRDQAPGHAPPPPPPRPQKVGMEDAERYYDGARGDSPSRPSSSRDKESTRQRL ASAMRMGVRA UV8b_05806 MVSLSLLALALTAAAAAMPRAPSGKAPVPNSLYVNGKLKSSSSA VSSSTPDLSCSPNDDVLQCAKKVAKGIQANTGVQLGNNSISSLPVPIQYKVGIYGAAI DIAWSSTLFNCSVRPEVVSQGEGYFCNSDKCVQQFTFKRSKTDTFSQGYSISATVNTG LQVDIFSASASITSTFNQNWSNSVTTETTIQRTIEMKAGQVCFPSTVQLRMDCQQTLA LRPGRNTADFEFWRVTANMAPNLVRVPDICKIWAPSFPVPLEPPSIIGDAVGKLCAAT KPTNVTLYVTAPGGSPWSMEGCMRS UV8b_05807 MDGASAGGLGKLLPKALSVKRRRGKDKNSRQSEASGQDDDTPSS SAHSSNRRHDDAHMRPADTTNTNTNTAGKDDTCMDDDRSFGFGSCESGQDRYLADSDA SASSSAPTRPATISAHPSLIGYLTTSSPAIQAQHLDSHQPHFQHSPQQPPGFSNRGSD KSWSPEKGSKLSSLPSLPSLPSLPSLPSFGSQSQEPPAPAADRMGRALAPRIQTEPPR TPPKGEIPPPVIVNTPPTPIELPAGSNPGSQLGGSPAAKFLGNGGGRRAGAEHGPLAS NMSLHRRSKSGSAEIGPSKLSRITTAPPTPSDESGGQATPSAVGFFSSVISAAQNAAN SISNTIPSAGLGIGASKSRSNMTKPESSPAASQAVLTKDAELEPEPRIEGTVDRKGSA VRTLGSGDLSLSHLGLPDPSVIPSPASARFPDVADTRTRSESAPAEPQTAPGAALPAE SPGRPRSLVDTASGDRTPPQMDFPDPKGRAQRSSSLRSAMNPHRKRGSSVTTGGTIAA AVATANHAVMHPSGGLGGPKLTGFAIASKKRNRDFHNLFKSVPDDDYLIEDYSCALQR EILAHGRLYVSEGHLCFSSNILGWTTTLVMSFDEIVSVEKRSTALLFKNGLMISTLHA KHIFASFTSRDATYDLIVNIWKLGHPTLKSTLNGVQLEGTGGDKTEKLVSEQEVVGGQ DDAQEGYGSEESSEDDDEGEFYDEEHDEFLETHSMELSAMEADVEQPASRKVSNLTSS NGPTPDAPKEGTAPTGPAGAADFPGPASHPPTDCGDTSAHYDKVVADEVIPAPLGKVY NLMFGPGSVSWMSKWLSSDQKCTDIQMEDTKGLTAENRSRTFSYVKPLSASIGPKQTK CVVTETMEQIDLEKAVSLNVTTQNPDVPYGNLFTVKTRYCASWADNNATRLQINCTVE WSGKCWLKGTIEKNVNDGQGQYSRELFAALRAAVSTRGRHGAATHPTAAKGKKKSKRG RALLSSAQGSTHEKLGKSAGARERNWGPAEPLRGIVEPIVDAVQPILMGNVMYGLLVG LLVATWLGLGTRPNAHPSPEPGVGYYSPSRQAAYDEMWRKQDSELWEWLEERVGLDRL NADEANGRKRAMEQGTVEAKLRHERLDEREIREAIRVTEEKLRVLKEVVERARPS UV8b_05808 MDSSPIVKAHDHAAAAAVATRQSADTTVAINEHTLAAGEFANAA RSTTSIEALRTLKLLEHHHRRLAELLKLPPDRHAPPAGEKGALSGEKADGAMSPDGLQ SGKKMPSLAQRGFVAREMSSSIANNLASARGIRSKYRGQPLSPSISNDQAPGNVDPHP RRAGSRSHSHVQSLIDAQQQSGRPTWVPPTPPALLKADSSRGSKSAASPPRVEQTTAA AAAAAATTTTTTTTEDAGYARFYNTFGSLINKISAPLAFAGLPLIQEESVTSDQAVEQ QHPEPSAPPPPPPPKRKPSRLASTSLSLDPDLSKIYSKATMRALVREGHSTNDSFYVV PTSGHTMSYANILTYAEKEKRRLEASQHSDLDPHGHAEDDDDDFVDARETPVPPASPG ARRKLVLSRTEKDLRNTVEELSLENKSLKEMLDNVSKRLHAFEASSQHSAMALAESYR IMRPGSPSAAKPADEALRSKVQDMEEQLAAATTQIERLEKDNRKMQKTLDKYREKWEV LKAGAKARRGAQAESVDEV UV8b_05809 MDATRQGLLSRYHDAARKLVESPLCASCAAKAAPDPDCQAMNSL VKRQAAALLRLHAPRDGANVDEALLQRRLDDLASICMAKFYAYRFDRLPHHWRQIYTD TLILGTFQAVVQTLREGGGGGGGLEGVLDGVVGRLDRALITAGGAGVLGGAWIEKTLE LLERLAGEEGDGDGDGDGDGDGDGEPGPAAEGARRCAGRGGFFPSHEPLGRPAVTEAR LCPRHGGWSLDRFERYMNDGAAHPRPVVFTDLLDGWPALTTRPWKSPGYLLSRTFGGR RLVPVEVGRSYVDPGWGQEIIPFEQLLSRYVAAPPGAAPVGYLAQHDLFRQIPSLRSD VSVPDLCWASVPPHPTDRARDQAPLEAPQLNAWFGPARTITPLHTDGYHNLLAQVVGT KYVRLYPPWARGIRGRRPEHGVDMSNTSVLDLGLVQGWDAEDSETAAASGDELDAARR ELEGVEYWECILGEGDTLLIPLGWWHYVRSLSVSFSVSFWWN UV8b_05810 MVDQDNSHYDFLEDAEEDQSIISTRGLEAFGRKVTTTASHLIAP NPDATSRHYQTAMAHVHRQLTRPSVQRSVFALARRTPSEIVRSRLSTAEIQHRAVSFL PDDLLSNIPEDDNSFSLFQGFQASFPEMTDEGKKHRRRVSRGRKLLEERGPAGSPGQA SSVSHIRKEKAAMMHEFELLSIRKGMASSEIREIDNKIANLQGMRKIILERLAGLEQE EALLEHDIIDVEGRLDVAQALADEAEAVARNTPTQDEVDLVGDADDHDAEFMSQSVYD KIPASASSTPTKRPKKHRRKSMPILHEHFAAGSNIRKIRAHKDTVTALDFDAPFGTMV SAALDDTVKVWDLNAGRCIGTLEGHTASVRALQVEDNILATGAADATIRLWDLSRSSY DPHGNNVGKDDDEDAIAFENPDDQPIDPPEGSMDDCQLFTLEAHVDEVTALHFRGDVL VSGSADKTIRHWDLEKGRCVQTLDVMWAAAQASASSGFAEGVWKPTGRSTSRSADFVG ALQVFESALACGTADGMVRLWDLRSGQVHRSLVGHTGAVTCLQFDDVHLVTGSLDRSV RIWDLRTGAIFDAFAYDNPITSMMFDSRRIVCAAGEDVVKVYDKVEGRQWDCGAGVTE ADEAKSPAEVEHVRIRDGYLVEGRRDGIVGVWTC UV8b_05811 MDSITDMNDTSKALDLSSIRFQLIRLEDTITFHLIERVQFALNK RIYTAGAIEIPNSDLSFFDWYFFEQEKLQSLIRRYESPDEYPFFPEAVQKPILKPLDY PKILHPNNVNVNDKIKKFYIEKFLPAVCANSGREDRGESQEHYGSSATSDFACLQALS RRIHYGKFVAESKYRSDPEMYKRLIRANDRAGIEESITNKAVEKSVLARLRLKVLTYG KDPSTSQGEEEHVKIDVDAVVSMYENFVIPLTKEVEVEYLMQRRTPPRSRCEHGQKGA WQI UV8b_05812 MGKKRLREEGKDGLPSDADADGMDQDSSDGEDFDMVNVEFEWFN FDPEVDFHGVKSLLRQLFDVDASLFNMSALADLVLSQPTIGSTVKVDGKATDAYAMLT VLNTHVHREAEPIKDIVQYLVDKARTNESLAVVADLLDAGKHIGLIFSERLINIPPEI GPPLYSMLVDEVEAAVEDKEPYEFSHYLILSKTYQEVDSTLDMDKRKKKKAKEEASTF FFHPEDEVLHKHALAHGSFSYTKEEDVVADSKRAFQEAGIKPTGHMILIEATKFEGAV KAITEYLKPQ UV8b_05813 MDYSASISETDDPAASPWGNSPSQASHQKTAGFAAIVGEPSTSP FPYDPQAAAASQHHDAFERPGTATTESGTEGDDIEQSQPIGPPGPESANEPQQRHSQR SHRPPHSQAVGSTRHAEQARQQPPPQPRSQFKLQAKITGLERTGKKDPVLRFDVHTNL PSFRTTQYRDVRRLHSEFVKLGEHLISANPEAFVPAVPPPVTAAGAGTEEDDDRVKAM LQRWFNYVCGNETLMRDDEMVLFVESDFGYSPLVKMKQPATGVRRKILKQFAPPPDDT PELAEARPTVKLFYLGTMDAGHKVDKMVKARRGLGLSESDYGVKLSSMHVQEPHPGLA NAYKKLGRVIQTMGDYHAAQATAQAATIGDPFQYHSQDSFIVKESLTNRQILVREFLQ SQEVTRSKLNAADRLKASSSVRREKVDEAITALDDARQFEANLYNKTHRVTQSLVQER RKWFARTAADLRASIREYVLREIEAERRTLALLETVRPDIRSIDASGGLSRLGREAHP AVRRTSLASSQGPKGDAWSGVPRRSDATRSASGSFMGKVSEEADGGNEEARETAAVGR SGLVGLNEEDDEDRIDARNAASRLATSTF UV8b_05814 MDAPASNPLISSQTSSQLQAVLHPLVLLTISDYITRHTLRQQAG PVVGALLGQQNGREITIEHAFDCHMQPSPQASGGYLLDSDKFAARLDQMVTVHKERQL DFVGWYTLLPLAGPTSAVVPIHNQILEGWNESAVLLGFHPDGVLNHSVGGKLPLTIYE SNYEVDDQRPSDHDSEDKRMDDGEAPPLKLRFREVPYSVETDETEMISMNYVAAGAGT AGAASASTPAAANAREERQARSIEATGKGKRRLVEAQGDEPGDQPAAEDEPGADLTRE EDEMVAALTARINAVKMLHSRIRLLTTYLERLPPSFVTGDLRTAPDSQSMDTDHAVPS LPILRQIQALVHRLGLVMTPLNQEAFDEEMLQERNNVDLVDLLNSVMQTLGGARDAGR KLNVIETAKALRGRGGGDASYLASPGYNMSGAGDILI UV8b_05815 MPSSRRKQRKQRKHPYRRLHLPDNAPFELKPSPGKGWGAFATRP IKTGEVILQESPYFAVPHFTVPYVVEDATRLRLAMALGFWRLTADQLQQFNLLRKHES AQFPDNVTALCNSFTLDAVDGEHQNRGIFPLQSRFHHSCVPNSRVSPSGEQQRSLSRI ATRDISQGEEITFCYLPLLEYNTRRERQLALVELVCKCEACLPGTPFQEASDMRRRLL HGLHYFLTGRDIDGKKLSDDSSPIIFPELKRAAENREITRP UV8b_05816 MSNVASSQDSPGRTPKAEAKAEAAAASCLLAHDAAADARIRRKL DTHMMPVLFALYMLAFLDRGNIGNAQVAGMGKALRLSSSEYAWLATVFYITYIVFECA LLLWKVFPPHYVGAAVVFGWGLMGAAQAAAQNWGDMLAIRFLLGAFEAAYAPGIIYLL SFFYLRHEVGFRCGLFASAAPLASTFAGALAYGITHTNSRIASWRLLFLVEGLATVVM APVAYLFIPDSPDKARFLTPQEKRIVKARAMRQVGTEPSLRLGGLDGKELVLTLLDYK AWFVALMYFAANVSYASLPVYLPMILRAMGYSSVNAQGLSAPPYLVAFLSALMTTYVA DGTQQRGLMLAATSLVGGIGYVLLATVETLAVRYFAVFLAAAGVFSTIPNILSWTLNN QGSDTRRGASLVLINVVGQCGAVMSSRIYPNEEGPRYVKGHSVCAAFMFFAVILALVL RCLLVWDNNRLAQKQQDAGETEAEMVGVENYGPGFRYVL UV8b_05817 MATSVLTPAQRALYGQDRRHQLFVTLVSLFTVNNLVVGGRLWAN WKAHYRHHRSPRRVFAEDYFIFLSALCINAVIGNLIAATYYGLGLHSWRINAEDADFP SNLSHTFKHVWITMLLTGPTFTLIKLTLLFFYRRLFLVNHGWLWIAWWANLVYVLLWL VGATGFYLFQCWPPQWYFLQYYQRYNRPPPYPLAGQCNATTVRNVSIPLIFGLLSDVM ILVLPVATICRLNMTTRHKLGLSLVFSVGLVACALDLVRIVELNIDTDDKVDPSYGVV IFLILSAATEVAAVVCACMPVIGPQAWKYYKRNRASYGGFGRKRFSRGGGPGGPAARF KQPSGSAEDETREATIGLSRIQVQASGTAEAQPALVDDGAIWVHNEFKITVDESDAAT AVAAE UV8b_05818 MSPEMQDIVSQMVPKRARSGPDHHIHVPDDSTRPTLDSIALYVT FRPLVYGPRSLCPETRHSHGVEMFRDKEIDKLNPIVQQLFDARRKHPTPKKDLLNAMI NNKDPKTGETIDDRAIIRYMITFLIAGHETTSGLLSFLFYELLANPEALAAAQEEIDT VIGKEPVTVDHMGKVSYIEGNLRETLRLHPTAPVFTLQAKGDQVVAGRYKFQDGHAAA VFPTGLHRDKDVYGRDADTFKPERMVGAAPGRKSPGRSAKSKCQVKPMQILFGSNTGT CEAVATALAASAQSHGFKAEPTPMDDGIALFGKSQPVVVITASYEGQPPDNAAHFLER LCRNPRDKVEGVKYAVFGQKVTTVTTEEWYSTCQRVPTEADEALARNGAIRLTDRVAL NVTEANVFDALDEWTETKLWPALCTREDGDSKKTAELKLHTDTQRRVGALKQDLQLGQ ITATRLVTTKQGAPRKRHVTIALPYGITYDAGDYLAAITSLPKGQQLSAHDILGAMVE LSQPITSKALSAVKETITDEEEAAAVERVTSNSGALAATSLIDVLELCPSASFSFGAF LSSLPAMRDGAQVLELFCRNAALYFCGAGIVGSGVDKVMMQIRREQVKCSEEEAKKWV SEQKGERYWADTFA UV8b_05819 MSDVSEEVRNAAKAVPAAMMVVYVANSVPVFPILITLCYHMPDT TVALADNTTYPAVYVLKRSMNMVWSLSVPLCTIFLEGGIVIRGLLCWLRGEESQAAVA K UV8b_05820 MGYSGNPDSGITDTNKETLAIVAFVALALYNALELNVIVFSTFR RFKGLYFWSFFAAANGIIPHSIGFLLKNVVGSHGYGLYITLVAVGWVPMVTGQSLVLY SRLHLIFWSDFWLRMILAMIIANVVILHIPIIILMYGANSSPSNSWVHPYVVYEKVQV SAFFLQELIISLVYIKSCFSFFDTQDSLYGDAVREMRRHLILVNVVVILLDIPILCLE YTNFYDMQTAYKALVYSFKLKMEFRILNRLVEMTGSRHGVDPFRSSLSLRIEHASSGS TCQRHL UV8b_05821 MSTPTTAVATLPHYRAHATHGHHSHHNAAHKGYLHHNHHHNPAP SLTTTSHYRSTAAHSLLHAANSSAPTPSAPASTTASSPSSAFNGARPVPFCQASSGHA AAGYSQPDATAQNHHHHHHHHHAQSPPAPPPHDHHLPRHHHLDASSAATARPHIDHSF SSSTMVGSTGSATATTTVAASTDDPPSKKRRRSREPDWNNFYRNGLPKEIIVIDDTPE PEANTSRKLTTHANGNASTVIPYDTTASTRQAVKRRRRDAAPSGYHVQYVGSHTNTPL QHATPIGSTQSSDRTNSVLNTTAPTSLSSNSQYEEVPSPLKRKRTTRQQAASEAKRRD VDGLGGQFLTYKPPPFPPKKVSDVHVRVVHDQYSKNVKVDDDDGHYIVVPDAELTDKY QICRLLGQGTFGKVVQARDRKKNQAVAVKIIRSVQKYRDASRIELRVLATLKANDAEN RNRCIHLRDCFDYRGHICIVMDLLGQSVFDFLKGNSFVPFPNSQIQNFARQLFTSVAF LHDLNLIHTDLKPENILLWDDSYQTFTYNRKIPSSSTTINRQASQRRVLLNTEIRLID FGSATFQDEYHSSVVSTRHYRAPEIILGLGWSFPCDIWSIGCILVEFFTGDALFQTHD NLEHLAMMEAVVGSRIDSHLVQNVNKMSTRSGGNPASKFFKRLRLDYPTPETTRGSKR FVKAMKHLNDIIPANNQFFKNFLDLLKNIFVYDPAQRITAKQALNHRWFKEAAQPDDG TEAAKLRLERKRLEQDKARYQHHV UV8b_05822 MLDKLYDIARQPYHQATCPFGLLIRELVFPKLLKRTQRQPQLHS ATRRQEPKKKNSSPPSLFLDTAHPPCASHPSPSCCSEQRLLSRSPTPSTTATATSSPP GPRMRTPPNEMYAVRTGSNTAAANAGGVTTDAAPQVQTRQNKRSRSELAIWGRWYCEA RSEAVPSRAPGWDRTRKTGCMDVGRRGRWTAAVVKHPTEYYSSIACTVCEEIMTINLI RRRVKKR UV8b_05823 MGLGLVLFLASAVLGADLPSIQIKGSKFFYPNGTQFFIKGIAYQ QDSSPGGATTNSSATFTDPLADEASCKRDIPLLQQLQTNVIRTYAIDPTADHSACMKL LSDAGIYVLSDLGNPQQSINRGNPEWNVDLLAHYQKVVDSLASYSNVIGFFAGNEVTN NATTTPASAYVKAAVRDVKRYIKNQNYRPMGVGYAADDDADIRDQISAYFNCGPAEDS VDFLGCNVYEWCGKQTFESSGYNRLLESFQSFSVPVFFGEYGCNLPGGAADRIFDDTA ALYVSNMTAVFSGGFVYEYFEEANDYGLVKVSDGSATKLKDFAALQKQISAVSPEGVQ MSDYSPSNKAADCPAVNAKWQASPQLPPTPNADACSCMAKAAECVVANSTDAKDYGSI FGFICGSNGDLCKGINANTSTGVYGAYSMCSDKDKLTYVLNQYYSSNGKASTSCSFKG SAQTQTASGSLSHCDSLAAASASGSGNSSASGNGGSNSAGTAVAALGFWSASALLLTM LASAAVSL UV8b_05824 MGNKTFLVAALAVCAQGRAPPLPIAIDLERGLDARLATVHASPL RRVPGPITHTYGSCAALSPRGADHVVATSDSGIRASRLVWAIPRDAPSGGCISAWDEA GTLVGRSAPRRLSAREAAGAAPKPVAMTEANGFDVLGPWFDGVNALKQRQPGAGDVGR AKKRSIGIVGAGLAGLMTYLVLHQAGFSDVHVLEASDRLGGRVHTEYLSGGPSDYSYQ ELGAMRLPNDYVDPDSGKRYNMSDTQLVLELVRELNRMNKDRDDDLRVRVVDWIEESD NGLQYFGGIRLPDGLPPTVKQVRNDARLSQAFGYGAETRATSKKLQGALPGRGFTLKM VQNMYKAHREWNDGGLLLGKQKGDRWSEFAFVSQFLGGSLNSTDILVQQQDPQGSFWE YLYDLAYESADTWKMIDGGFSRLPRAFQPLVHGRVRFNTRVERVKHAGGKVTLEWKES FMDARFQQSTFDYAIVSAPFTVVRQWRLPGMGVTMLNAIKNLVYDTNCKVALEYSDRF WEKMANPIFGSCSTTTDIPGIGQFCYPSYNLNGTGPATLLGTYIDASSSHEVARMTTM SEEEHVRYVVDAVAEIHGEYTRRLFTGKGRRKCWSLDPNAVGAFANPSAGQHELYMPE YFKVHKNMIFIGEHTSYTHGWLSSALDSGIRGAVQMMLELGLVDEAKAAVTKWMVPWI KG UV8b_05825 MSKPVIAILDLPEETQREIVSYCTQGDLICLALVCKHFHHLASS QLYRNFHIVFPDDDDVNFDSPIDGLAGGLDTLSTSEYNYAKHLKDLSMDTLSAGAKGE HSYHPYLYSTSCGKFFNTLLHLTLKRAHSLESFRWNIRVELSRPVYAQLHRIRSLKRL HLRLQAGDSYYTPPPPLPIYTDLDPLGQPNGHRHDSISPMQPYATSTPSSLLVSGSPT PGPPPALLPPSSRPWPKSKAGKKGSGVAEPSTLSGFKELKSLSVLDIDSLDVIPEMAA CVHNSFSTLKELQLSLSDALAQQARRPPQDSEVDDSDAEDEFNVLASTEEQQDYSANG PAKIFRAQEERKLQDLVFGNVFGIKEPHLSKKTPMQLTASLNLQTLSSIKAEGCEAPH VDPREEFVASIKAASTRLTTMMNGSKDFSISQQVVLDMIEKAARKYVETDPSRSHGKR CTKSAASNDRPSSRQQGIGSFQTQPPGAAESSWNPAEERAENDFAAGRLTNSGSRRAT TEEGIPAGGGEGLSRCSKTGADLLPENVDMGHTDDFAEQRSEDISGKPRNPEASQISN ACRSAARGECICESETSVSPAQQHAQDGIKLDPRLTKEDRAAVAEKLVQLHRLMHEVG QRVLELRDDRVSQGQPAAVGSLESELAILNRSAIEVSNEIRILESEIEEMLQSPASRK EEDAKRNMDAYTRKTRGMSLETLKICLVPVKASVLSRAIDVSCIRELTLINVGNQAPI WSMLSKEQKHRPLALRSVFTDHVSAAFLTCMAQLPRLDDLFMLERSLKHKPESFAPRT ATSMDQIRRLVLKKHVPTLKRLMIKDESNGPNWDANEKTMILICTRGTKLEELALSFN IHAVHAFMQYFSGLVSLRAINILHFRNNDTCIWVMREILRFMVDNLSHHPELKLEWIA MEDDRVDRVIRPSDDAAESCTEAPTKRASDKGKDKAHASASWGASSSPDYPLMPLDGL DSDSDSEDSDSDSGSRLRYTTVGPLQFYDVWGVKIFEKEIRSGRL UV8b_05826 MADADEPRFTSLAERIAALNKQKNFGSQVSSLTNGADTPRKKPP PPAPPARPAREAKPPPLPRRDAQISIDGSDTSTPERPGPPPLPARTNSAATLTPPALP RRTSAQPPTTYLSARRNSASSEVSQNSTVSTASLTRTTSSSTSCAGGSLPRKLMAPAT CDPDSLPALPPTKRELDAQEAAAREVRGREIRARQAAKFKAGRRVSNGTLSPPAPAAR PSLPPRLPSRPAKSPKPPPAPSAPSAPSLPSPIPASASAQVSPQTGTAHTAPRKLPLG TIRGFESGRLTKEPPAVHVGRPRTPSRTPTHDSEDAPPPIPLTSRPSAVDIEAASSRT GRTLQDGAKRSNDDTNSCWVCRDWSGPDAVGGQFPRESLPRDDPVGHLARGLCDPFPS YDDKARAIFTWFHHNISYDTESFFNNSVRHASVEDTIFSGRAVCQGYADTYKAIASRA GLECVVVGGHGKGFGHTPLRKGERPPPAKPEGHAWNAVRVDGGGWKLIDACWGAGHIC SAAKAYKKEFSPHEFTVPNETFGLRHFPQDYRLQHRGDGRVLSWEEYCTGGIDGEPHE WFGTGDKEGIAPGSVEPKAKEISVGSGEVVRFQFSRHCPHWTCERAGLGKPALYLLSM HGVGGQKDEIIPTETNGYWSWVDVGARELGAPGQTVEILKLETLDNGDARGVTAKEFL SKRGRVGMSWSLIARWTLV UV8b_05827 MAASPPSPTTSRSPPPPPPPTSLSRSESLALLTLSGAALAVLAS TFRGDGEPLVACLALSALAFALCYAMIRWLGPTFMRVGLRGRDLGKTSRPEIPECMGA VCAAVYLMAVIVFIPFPFYKDIVAATSGGGNRDVVEEEAGARHAHAHDRGRFLHRFPH SKLASYLGAVISLQTIALLGIGDDLFDIRWRHKWWIPGLASIPLLVVYFVDFGVTSVV MPVQLQPYLGELLDLGPLYYVYMACVAMFCPQSINMLAGVNGIEVSQCVVVAALVALN DCLYLLTPYPHPATDSHLFSLYLLLPWVAVSLALLRHNWYPAKVFVGDTYCYFSGMVF AVVGILGHFSKTLGLLLVPQIFNFVYSWPQLFGLVPCPRHRLPSFNARTGLLEPSVTP WTPDRQPNPLVAHLLHLLARLRLLKVATDEAGNLAETSNLTLLNLWLVWRGPLREGRL AWEITLVQLFVGLFGLFVRHRLALLVFKEDNWGTMSH UV8b_05828 MTDRPAPPSTRALLPSQTAGVTAQGTSTVKDEPLVEVPVVEQAE EEPYTIKCICNFSDDDGNTIYCETCDTWQHIDCFYPDNREEAIREDFSHSCAECKPRP LDRQKAIERTLRLRNTKAILAIQPELLDKKPKRPPSKSTRKKPKPNDLQIHGHVENGR SGSPTLPSSKKPKSSHRPSYSVGSQPSKPSPSYGNSSRPAPLHPPSPATTPPDLPDDF QIHHYSAGFCSLYSEQDVPDTHNNAFASLAIPTALSRWLREPDTMKQEVGRTRAEVFQ EESPSPDGDGKRPKLEVKDTTRSPEPGTTLRWRFVRSTTPVEKDVPLIELNGEIGFQK DYCADPENLWADLSSPLPFVFFHPVLPLYIDTRKEGSLARFVRRSCKPNAQLDTYLSG GSEYHFWLVSDRFIPPNEQITLPWDFRLEKSVRDRWLHLLGLSDEDCSTMQEELELDP AEYTAISNWIDRILSEYGGCACDLDNNCAFARFHRQYLFGKNQGGGGRKKSRKARNHT ISPTSTGHATNSRAASEGHADDGPDDAKPDADSRSKPPSRDRTPLQGAFDQLGILTEP TDRDKRKVAMVEDSFRRMEQQPPPRKKKRVSDGATAPASKSKSKNGTHGAATSQYVDA GTSRSKSGSPSSTRSPSIGFSRVNGKQSSAPVSRQASAGPRPLYCDAAVQTDPVDDGQ WFSESCTPPPVKKRVISLSKRLMNSRHRCRVDEDKRICLANQQSHLSAMELDSPTSEQ KKPSAASTTCEALSPASQSTDASTLQATPQLSPLHDTNPSAKTKIKTPDLRVQLPPVP AFDNTGLNGSATAIPPSASSASALSPLLNPFSAVALNGHAVNPSPVKKKLSLSDYKSR LNKAAGKVTGGSGVSKPGVASPEDVKTDMTMDPQPLDKPDNLIPTTTGLPSLNGA UV8b_05829 MKVAAALLSAFLASSSALSLFGGGSPDAISATGDSKVPGESPLE YCTGQETKEYVEINSVDLVPNPPAAGKSLVIKASGTVKKTIEEGAYIKVTVKYGLIRL LSRTLDLCEQMGEVDLECPVQPGERVIAKTVELPKEIPPGTYNVVAHVFAANDDEITC LTATVKFGLPGMGLDGEL UV8b_05830 MASQADFKNREFLAVIGDEDSVTGLLLAGIGHVTAGAEAQKNFL VVDGKTETAAIESAFDRFTQDRKDIGIVLINQHIADKIRHRIDTYTAAFPTVLEIPSK DHPYDPEKDSVLRRVRRLFGE UV8b_05831 MPRLVRRRPLWERVTSMLNPMDYLLWLSEEIETREWDTSLVGTQ AGLGLNLLFLIVRANSGSKRASDDIFGDDGSASWLSMLMYPLCWALVAISISNAVYTV SRTRKYRLFEADIDEKPSTPSVRRVRVQSSPTASTSLRLISDLMSADTAESRAHPEKS RDVWELSIWDPLPVCIRLLCLFSPGHVLIYFIFLPLAPLDPRPSVTVLNTLVMQIVLS AQMFLLSSRYSQKAQDSQILQKEVFHEYDTKFVQPRIHPIVRDAGTQLSEDQPVYAKD LVQVGTPTTLIRRSFISHGNPHVESEEPTPIRPFSSPHISAGNVMKPQMFTPGTSSRR SEIFTPAAGSRRSEIFTPGIQSNRSSGLRHSLPAACTPTAAETPVARTQTPTAPPSTV SMGTSTSINTKFGGNPAFYSYNASPLKKSVITDDIRHHDHPSPRNSREMAAFEQRGYE PPSSPTKQPDQRRLTNTSINSSPHPFANMGKHSAGERFPKRWLG UV8b_05832 MAKDIPDNESINSHLLGQECGQDADRKWSWVSGEDAKYHTAENR NHGAMPTALDGDQSRVNFADEPSSSESPTVSTAELELIRAKQETRRLRKNLKESGDYL GVQGFNPQTGKLDVITPSGSDGSSLSQETRQKLHILQNTLQTARHAYKSVKENSKREA DRIVRKREKERFRRLERKKEHVQNMSKTVTWKRHARQWSSAQEPNLSPIAQSATGTAP ETWIDRLGVQDPRGCLAPLSKLESNRDKAVVAAHTTSLMNQESEIPRVDSTMCRKGNP LKRPKLPAMDPNQAFKSLALSHSQQTEGHVQTPTSRKRLTHNWGHWMSGRRCSRDIRV NNAKTRQEQMVTPNEGIANLPCPRTRQTPSNKPAATSDSLTERAVPENTSFLGTASGV KSRLGANLHVRLKDAGQIQGRAAAERGLAGRYQLKPEYASTHTITTTGCDRSHLAAEP RTGIERDEGERQDLPASHTLAYRPHQKNMCARNSASSVMKTLQNPSPSPGWAGRDIEA DTISKRRPLPTNQGEKRPAAEVEGIVAKALAKQEARGPTVRGESFEGIFPGQKKDRRA IDKTAEAKPAAIDEVGQSTRGNHGGVGKGAAQDARKDVGEIAAEKATESDQARVCDSS QEHRGVVGVGYPSTFTESSAVVGCQILQTTPGGALGSRTRRLLEIAWCHIVAFLLVYW EKVGPVFDARSDYWARNSRKEATLEDCYVLVLAVPAGVLAVASIVWAMRLATICGENA ELLKEWLSDVLWCF UV8b_05833 MPGTGSNPQTLYDKVLSDHVVDEKLDGTILLYINRQLLHEVTSP QAFEGLKKAGRRVRRPDCTLATTDHNVPTTSRKGMKDIATFIKEDDSRTQCVTLEENV KEFGLTYFGLGDKRQGIVHVIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQCLITKRSKNMRIQVDGQLAPGVSSKDVVLHAIGKIGTAGGTGAVIEFCGS VIRSLSMEARMSICNMSIEGGARAGMVAPDETTFEYLKGRPLAPKHGSDEWNKAVAYW RSLQSDPDAKYDVDVFIDAKDIVPTVTWGTSPEDVVPITGAVPDPETFATEPKKAAGR RMLEYMGLTAGTPMEEIAVDKVFIGSCTNSRIEDLRAAAHVVRGRKIAANIKRALVVP GSGLVKAQAEAEGIDQVFVDAGFEWREAGCSMCLGMNPDILAPQERCASTSNRNFEGR QGAGGRTHLMSPVMAAAAAVVGKLADVRKLSNYSASPHVEASITPSTTTTPPPPQEAH VDEGVADDAHKREAIGDQPEDSEPHTNTLVGGGGGGGGSPSAGLPKFLKLRGVAAPLD MANVDTDAIIPKQFLKTIKRTGLGTALFHALRYKADGSDNPDFVLNREPYRQAKILVC TGPNFGCGSSREHAPWALLDFGIKCVIAPSFADIFFNNTFKNGMLPIRVDSKADLDAV AAEARAGREMEVDLPNQQIRSSDGTAICGFEVEEFRKHCLVNGLDDIGLTMQMEDKIT EFERKMTQKTPWLDGSGYLKRPGQGGRLAAKAVPVPKTNRGEEKGEPLEW UV8b_05834 MHTRTNPASINPASAASAAAVNPSMALDKLLPVLDEVQDPRRRD LCATSSLAGRWYAVRQDPAVLTSSRPGGTTGAGAVVWRISPLLAEWLARPSNPLRGRA VLELGLCATTACFATGLGVFMERFRVWRLREGEVPGMAVGDGFVVHVGVLKDGAARR UV8b_05835 MGKSQSKLSQEQLAELQKSTHFDKKELQQWYKGFLKDCPSGMLS KEEFQKIYRQFFPFGDPSSFADYVFNVFDSDKSGSIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKISYDEMLQIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKDE NGSLDIAEFKEGSKRDETIVSALSLYDGLV UV8b_05836 MYLPRSLISKLYIHLQSTRHPLSPPVLILAALEPDALCGCRILT RLLKHDYIPHKIQPIAGYSDLERVGKELVVPMMESKGGNGGIVVCLGVGGMVDLGPLL GLEQEGEEAPYSGVEVWVIDSHRPWNLGNIFGGFPLEPSSEGGSSYQSRTPIGIAGGQ VGRAYKSGKGGIVVFDDGDIEEELETERDAYLALVDMPDIDDDGVEDLGCSDDEDGTD GLDPRPPQAGQKRKSWSDVHDGDSSDDEDRPHQRRRSNSSSPIADSPRRPAHRGLVSL RDPGLGLSSDPIEPPPAAQEPRQASARTLRRRLVRLRQEKEGILHQYYGMGSSFAEPI SSMMYSLASELGREDNDLLWLTIVGVSSMELYGRSSAGLSAPVRANDTSRPSGWMGVR GSRLRQLLRDEVRRLNPPEVTNGRVAPENAGVIPTTARSPEDTGIRLSPEPRFLLIRH WSLYDSMLHSPYLFARLKTWSEAGIKRLHKLLAKMGVSLAQCKQSYTHMDMMLKRELR AKLLKYGSLYNLDEMVPAVDTDGKDRAGAKDGWGFVRSWGWRATLSAHDVGVVVGALL EVGKQAQAADASSGGAAASQLGREVGVEDEAECAAQAEEWVSQFWQAYDALENIDSLK AGLATAQFLHRAIYRTGTSLINKKQIKHLRAFRLCVVKDGPDVALFTHAAALTKLALW IGEALAEQEREAHGKLSHGGRGTPLVVASLNEKRGVYVVVGTGGGGGPDTAFVNREAA KRRKAEKEAKTREKEARRLAREKIKADKRAAKRAAQRGREDGNDDDDDDGDDDGLASE SEGSGDGDSDEETEDENAEPARGYGLNRFGGAFQEVVSETNARVRIDSFEHCVAEVKK DDLGGFLESLSTKAVVG UV8b_05837 MQHQHVDPSMVKLTRGTSCVLCQQRKVRCDKTKPCANCVKAGVE CKVVPSQPPKRRKKRLQEKDLVERLKKYEALLAENGVKFDAIGHDLRTDGPHTEEVED LQTDFEALKTSPEASMSPSASSQKLEKHGSSIFSLHREFRASEQLLHDSSEDDEEEST IHRAFDIMFSNGDGFPFVFSGRQHSTAHCHPSPIHIFQLWQIYIDNVNSLLKITHVPS IQGQIIQASSNLENAPKNIEALMFGIYAIALQSMEDEDVEKMLKQSKKDASEQFFTSL QQALLNAGFMRTNDFISLQAYVLYLFAVRRSMDPRQVFCLIGIAVRIAQRMGLHRDPA GYGLPPFEVEQRRRLWWTIVSYDRRIGEMTGSTVTALSSGGDCRLPLNVNDSDLHVDG KELPTPHTGPTEMLFALTRLEIAMAVASNSDRDSAKVNPDKPSPSQLPSASGRQSPVP TIRIAGQDSPAYTLDGFCAHMEGTYLQYCDPKIPLHFFTITMTRQTLSKMRVINYLMR MYAAEHPLKEMERDSLFLLSVQMVEYDNVVHSSESLKPFKWFTTHHFPFPAYMFLVQE LRQRCYGPMVERAWDAIASNHKLRGMIHNMHSPMHAAFGRHFIKAWDAHVEACLANGK EPPLTPPFVAVLRERNDMKRRDKSQTLSLSQPQGSQLQDDEASPGTSDTNVMLTPPSM GQPLGSSAASSIRLDGTPIQDTSEMDWSYFISNYDPSLGLGSPFPGFQGFGPYGPAPG ASGPGLHRMGGGMGPGAGGMY UV8b_05838 MASPHEKAGPLADTNNDSATAGKPVAPQEPSVSEPDADKVQQSR PGYDPEAEKNFQPKTLKFWLIILPIFVSVFLVALDRTILATAVPRITDEFKSLGDIGW YGSAYMLATSACQLLFGRIYRFYSIRWTLLVTIVVFEIGSAVCGAAPSSEVFIAGRAI AGVGSAGIWTGSMMSIIPMVPLHKRPVFQGLFGMVFGISSVSGPLIGGAFTERATWRW CFYMNLPIGAVAFALLYLFMHPASPKVERATAMQQITRLDPLGTFFFVPSVACLLLAL QWGGSTYAWSNWRIILLFVMFGVTAVAFAVVQVRMPETATLPVRILRRRTVLSATFFM LFLAASMILVIYYLPLWFQATKNVNPVDSGIYTIPLVLSLVVASIANGIVTQKIGYYA PSMILSPCVTAIGYGLLTTFTPDTGSPRWIGYQFITGFGIGLGMQSTGLAMQATLPRE DISTGVAITFFAQQLGGAVFVTVGQTILSTLLVKQLRDVPGLDAARIVRTGATELHKA VPAQFVGTVINAYNFAVTRIFYCALALALAQLVAASFIEWRSIKKPKGVAAAADKAAD A UV8b_05839 MDQHVRLELEPETLRALYDMSNTLPDPIRQLAKVGTTPIVPQVV AIGDKSSGKSSALEALTGLPFVVNKNAGADLAVRVILRKEPNVKVQATLWRDGADRKA MLKTSAFKTETLRHLITQASKALRDWERGEPTREPELRIEIYGPDVPDLTLVDIPSGF DNELLNSLLEQECTIILLVLNANRNLESQGAVPIARNFDPLEERTVTAVTTPEALVKN GPCFEQYMDFLMGTRYLDGRTPTRYILRNRNGQEVDEAARGKPCMTRAERDRKEREFF KSEEWHCIPQAKGCLALRRGIARAMQRNMHRKTPALLAKVENEIQKQQARLDQVGRGC IGEEEEEQRKFLRQIATQFRDLAVAAVNGQYDDAFFSLGDEAGRKKGIRLRSVLGNLA LTFAQELDKPWDPSAETQIKNEPRSVSPVDDAEGFLVKEVERWSAAANKYVASALGTS RRFVQQAVRYVVRNNAHVVGNIEGEYVARHWVRSEAEILGKLAELTGPLRAHRAAYLR GEFFRWRDEAKVKVEGEGENRRAVKQPSQYQQQRRRKQQEQKQLLPELLPRPQQTSEQ TQQTQTQQQQQLLLLTQLQTRLQQLQPTRQTQLNQHQNQHQNQHQHQHQHQHQHQHQH QHQHQQEHQLLQRRQVQLQLQIAQLQQFQQLQQPQQPLAQLYLPQPLQQPQQQLAQLY LPQPLQQPLQQQAQIYIQQQQPQQAQQGVPIQGGQPYLHIPLPVQELSAGPPTHQSHH QQSPQSHVQNTNQPRPARNEASTPDMRQQAEEFVTKHKHIFAENVKLYIVRELLMRKI PSILSRLGDERLELSAVRRCALEPQQQREKRKRAEESMEISKRARQHLEARLGGLHEE NQRPESPHGSSEGYQELPGPETELETEPDAEMVPLGTEARPSPDSDAGPDSHPGPDPY PGPDSLPGPGSHPGPDPDPDPDLEPVIKRSRSVETLLEGSLEHIPLATPLRPDMEYST LSFSVR UV8b_05840 MGPLFGLFSIAAVADASIVKLYWTTTASTVPCEDKTLCGVQGPD GPWQAVLAHVGTPGNQTRVALYPAVHYSSEILGAAGGGRYNPVGSGPGPGPGPANQQH DLFGDGLQDYDAASTFIPATSRGEKFFDLLTLPALGGGPYPKANTTLSVASNWSVALH SGSSYTPKIGTLGLSPVVNKQAGNAHGAELIHQLKAVGRIPSQSFGLHIGSAGLGQSG SLILGGYEQNRALGDVAVSNINNNFARVFLVDLQLGIQVGGSPFLDASITKTQPKSVW QGPTGSAGEITKSFGGSRGSAIMTLNALSPSIYLPAGNCEAIAQHLPVIWRGDLGYYG YFIWNESDAQYERIVRSPGYLAFTFSDRSAKNVTIKLPFALLNLKLEPPIVETPMRYF PCASMGQNQTFWQLGRAFLQGAFLGLNFDSGVSFLAQAPGPDSEQSVAQEMGVNDESI QTNPISSFEKTWESHWTVLPEAGPRSEGGGRIAGIVLGSCVAVASVTASFLCWRRRGR SDDDGDDDNKHNGGDNDVVSDSEKTPTVYEVAGTEVPLELGVPSVVHELPLRQPRFSW EVT UV8b_05841 MASPYRGFTVKAHIHFDYSPTNAAVPFTDDVSTAVRIAGANGTA RTFHPIIDTGSCGFVISAVDLPDWTKEEAARNPPGWEFLSSSKILYSGHWIPRDVAFT NAKQVVVARVPLLAVESKVHCPGYNATRDRDACPAAAAAGASATPMPSNIRVLGVGFG REHDGMPQGTPDKNAFINVRSIGGLDVAGSPDFRNGYIVDRAGVTVGLTEENTARMRF AKLDVRPGAADARDWDQVLGAVAIDGSSLFVGKALVDTGVSQMYATVPKGTKVKRTKP PVLDDASFVELRIGPLGNGGFAAQDNFTVGQGKGQDEGIVPSSVRVTLADPEKNAPHL NTGRHFLRRWETAFDCDGGFFGLRRVQEHD UV8b_05842 MPLINKTTSGRQAAVWNVDKPDSVKPAESAILPSDGSHEGESII GVDGRTAVALEDIQDGGKYRSVVKILSCFANKDTGEDVWMMGTGWLIRPDLLITAGHV VYDWGHRLGATTQIKCYIGYRGRASVKSGGSCQARYATTIITTAEWLRSADNRDRDVA FIQVNAPFTGKLNNFTFSDTKSKDTAELGIVGYPADKSLDNKEDGGEMYEQYKSTTYD ISKSSRHMIEYQISTFGGQSGAPIISRKGKTLDVIGTHCYGGGGTENNSGNSIGGDWG NPYYSFISLLTLDHSTFGTASDISQVAVSGTGGGKESESASADGEEGFLDVFKTVARI GSSVAPLAGGLLGPAGGIIGTVAGGILGSLSEATAAATESELADNGAAERALLAEACL GAVLRLPKDHPIAKKIIGHMTRNYNLHAPNIDAIAAAITPAVTGAAVDITSDRYSQVL KQGVPTGREPLLPAKPLGVTAPESAFGEGSGFVEGLFGPTRPVPGAEGFFDWVGPVLN TAVSVATPIVSSAAKTVITDVAPKLLNQVVGSLVGGAGGPPARGTESADVTEGILAQP VLLSQKTPQVRLLLKRALVADAALQALQSLPADQLKGLKVMPATPGGQTEGFFDSIKS AVQKMGPSVLSTAKAAAKTIAPVLIQAAANKASDLIGVPSESTTVPNGQDAPAGLVKQ QSVLDGLLDGVSAATEVSPRSNPVHVMMAMKSHAAAEEEPLNLGAELKRREREWVPSE QPRKEWDDNDDLPRVFKGTPPADP UV8b_05843 MAQSKAAKRKRKAQADADASNKAGKAAKTSATAAATTPLEPETL QAVISNEELDITIETLATLAQHPSLTKAKPCKKLRAAVYDFRQSCTTGVNAASAEGGG NLTARVTAALADERYLEARILLAEMRLRGQEPKLGALCRWVRDLDVVTQPRGASIDAP DRPARDKQLLEVLDAVLRVSCPVDDAAGARPPSRSSTHIALQDSWDLRPAAEPPHPVY ASVLDGSILSPSPSPSSSLLRVIETTPGPLRKPPNRHPAVLYTTEPGAVDLSARPPSI TLHRHPSVPNLSLATNVLSPEECRAVVAAGESAGFLPDVPLREDGGSSILAHNFYWVV DAAFHDKLWARVAPLVPASLGGRAARGLNRRFRVYRYVPGAEYRCHIDGAWPPSGILP GDRYVYDASPPGKRQSSLFTFLLYLNDEFEGGETMFYMPAAREGTLNAYPVRPVMGAA AVFPHGESKGALLHEGTSVRKGAKYIIRTDIEYDVEPSGEC UV8b_05844 MASYLRFGAKGTKRPLLKASMTGGRIAAGKMDIHAFKAWAEPED LSTRTAVMLHDKSPTRDGSEGQQRCDFMEPEDGLQEWRGCGKPACGLWAGSTMLAVGA A UV8b_05845 MSKGVVAATKNERLPTDKDSSELSALSSLTSCSINAGGALSGHV FDLDKIRRSHLLASAQQRRTPSQHAQQADQVEDGAGGPRTKMDSANLTRQGSSARHSP SSGQVRLTRQLSNSRPASHTQHALEYQPGLRACFASQRENLCLDEPAGGGLRGPCIDD MTTTQFRGCRQIMRCESAFTWPTDTIEKGE UV8b_05846 MALPHALGSLTPCEAVADALYRALSGMDHNDAAMFESAFAGQDA TMELRDGSGAPPFEGFSAIKANVFAFVSRLDTTHLMSNVRVHFRDEDNASLTAVAMAQ HAPSGRGKEPAGPKYLAGAEYKAELVRARADGVWKMKTWAVEVTWAQGDASVMALPTC UV8b_05847 MEESSAPTSKKGAKKAEAKAKKEALKAQRAAELAAATAAASLED DPAQDNYGNRLTTTSAFSPDAQEVEIRAIDETCHGKTVVVRAWLQKSRVQSAKMGFVE LRKGGNWDIQGVVMASEAEPLVSRRMVKWVAGISPESFVAVEAKVVRPLEPVKSCRVS GFELHITKCFVLASAPAMLGMTMGAASRPIVDFSDDSAPPADADACKAAEAAAAEAAA PAASMLTHLDNIAMHKRAPVQQAIADIRIQVKRLFRSYLEARRFKEFEPPCLIGAASE GGGNVFRLRYFGDEAFLAQSPQFYKQFEIAGGRERVFSISPVFRAENSNTPRHMTEFT GLDVEMEINDSYTEVLSILEGVLLSIFRGIQEQCADEIETVRSVYHSEPLLLPEPGQE VRLTFAEAQKLLREEGPPEFANVRDDEDMSTPQEKALGQVVRAKYKTDFYVIDKFPET ARPFYAKLDDAGTTVGDGVRVTNAFDMFIRGQEVLSGGQRIHDPVELEARIRAKGVDP KSPGIKEYLTTFQQVGVPPHGGGGIGLDRVVAWFLGLPSVHLAAYYPRTPKRLLP UV8b_05848 MPFISQFAFAALLLSPVLASGPWKQPLYKPDAEKASQVRDAFRT AWKGYYDHAFRHDSLLPLNNSFYDDRNGWGVTAIDSLSTAIVMEEGETVSQILEFAST VDFTTTQEVNSEISLFESNIRYLGGLLAGYDLLTGPYKDLLTTNRDRKLVGRLLKQAQ ILGNSLSIAFSTPTGIPDGTIYLNPKPRINGSESNGPAGIGTLVLEWTRLSDLTGNKT YAQLAQKAEKYLTEPQGLPESFPGLVGNELGIKDGKFRSQFGSWGGGTDSYYEYLIKM YLYDPDEFGHYKDRWVAAAESSIKHLASHPTSRPDLTFLAAYNGTQIIPASGHLASFV GGNFILGGVLLGDAKYVDFGLALAESYYETYKGTASGIGPELFRWATDANRTVPAEYA ESYKKAGFWTRLADYALRPETIESLYYAYRVTGYPKYQDMVWSAFTTIRDRCRAGSGF AILDDVTKPDGGTKENFMESFFLAETLKYAYLTFAGEGKVHFQGYGKTTFVFNTEAHP LRIRHG UV8b_05849 MRSLVVAALMGAALATGDARVQPRAADEAALCADRCTERWLECK ASPGADRETCRAQYSSCLGFDHLDHGDKVPLPTACQFPDGRRRPLPRPPLEACARECN AEFERCRTAPQAPLAWCATDYSGCLGYIPFPEDKGAPFPSPTACVAKDSRRLKKGSRY EGCAELCTEEFQACRDRPGANPLFCASRMAQCLGYSPFRNGFFQPPTVCYKPKAPKTK TPHDSCARKCLNKYGACKARPGPTHDLCDFSLKRCVGYDPFQNGELPNRVSCAQTSSA AMITLAPRAVPDKDPPQNKCALRCMARYQACWAGPPSNFRSCRVNLRNCLGFQPDDRK SKFPTTCIRFKRPYCTTRRLLGPAAKPGSPPITTYPPLTTSSLRYFPDTDTESESDHY DEGKHGTKTHVITITYTLPAPSNTTAPAGSTVAITP UV8b_05850 MASNCGFAHSDATVLEKADERDGPAAWASKSRTQSGHVDEKKVL SKMDMRLIPVLALLYLLSFLDRGNIGNAQVEGLSGTLGLLPDQYNWCLTVFFFPYAAF EVPSNLLLKKLRPSRWLPTIMVAWGVVMTLMGLVKNYHGLLIARFFLGVAEAGLFPGV AYYLTMWYCRHEVQFRQALFFSAASIAGAFSGLLAFAISKMDGAAGLEGWRWIFILEG IATVLVAFAAFFLIHDFPETATFLSEDERAFVIHRLKYQGQTTTQVEQAEEFDWKYVA QAFVDWQVWVSILVYWGVVCPIYGISLFLPSIIKGLDYSKTEAQLMTVPIYVTAAILA VIFAFLSDKAGKRSPFVIGFMCAASANPKVVYGGVFVVACAIYPAFPGILAWLSNNLS GSCKRSAGMAVQISVGNLAGAMASNFYRKHDAPRYFLGHGLELGFLAVGIIAAGVLIL GYKRLNDARERDLAEGKAGNFSPAELSALGDRAITFRYMY UV8b_05851 MGGKGKPLRVLVVGAGIAGQAIGFWLQRLGHSITIIERWNALRT GGQQIDIREQGIEAVKRMGILDQVRARVVDENGLDIVDDKGKSVFFFPRHEPGSKSQG FSSEFEIMREDLVRILHDRIKDKVTYRFGLSVHEFANVDDVVRVKLSDGSLEEYDMLV GADGQGSRIRRALHQDEGGDEQFLRPTGSFCAYYGIARRPGDENHATVHLGTERRFIM TRWHKPDLGQAYLITRSHGSRIKEALQRDVAAQKETFRDIFRSVAWPQTDRVLEAMST SPDFYANEVLQVCNNRWFKERVVLVGDAGYCPSLMTGMGTSAALLGAYVLAGEIGKHG GENLGAAFAGYDATLRPYMTKVQRLPWTHRLYPESKAGLSIFYFLLGLAHKVGLYKLA QRRAAKESEDKWVLPLYKELRSGE UV8b_05852 MPRRSGRTAERPWPVENGLVLAVKKDWPKIKGRVKAILDSYEST KNGYCSVQLSVLVSKKPLRRSYRDYLDTTCDHLTSHMLAWGCWEFAPYDGFETTSDSI IVQDYEQQPREASPAPTRFALD UV8b_05853 MSRLLRPAARLLASSRAASIKPPATPAFTQAPRAIASPVFFGAQ QSRKYAEGAGVKEYTVREALNEALAEELESNPKVFILGEEVAQYNGAYKVTKGLLDRF GDKRVIDTPITESGFCGLAIGAALSGLHPVCEFMTFNFAMQAIDQIVNSAGKTLYMSG GIQPCNITFRGPNGFAAGVAAQHSQDYSAWYGSVPGLKVVSPWSAEDAKGLLKAAIRD PNPVVVLENELMYGQSFPMSEAAQKDDFVLPFGKAKVERSGKDLTIVSLSRCVGQSLV AAENLKKNYGIEAEVINLRSVKPLDVETIVNSVKKTHRLLSVESGFPHYGVGSEILAL TMEYAFDYLDAPAQRITGADVPTPYAQKLEEMSFPTEKVIEDFAAKLLRV UV8b_05854 MGHLIKAVIGYISQRVIKDEFPFPQPIYKINGHSKYAAIVFLAL LQPSPLDYSRYFSLAVKSAAGLSCCRRVSCRVAPNPLITPNGQLFI UV8b_05855 MAGIDALLIAQDENGFEDVIPTNAASRDPEALKRQLEDKFLTPS RQFSTEWLNRLQQRWDFETDYTSLFKIAPPQTRTVTRFLRRGLEGRVTGYKSVTVSSN SATAKNSTSLLRKPANRADFVRGAAGFFPFAPGGLDGIEATAALEDQIHTGNAADGGS RSNKLDRVIQLGEGGLLQVAPGLHRGIDFGKKKSATDQEAAAAVNEALEEEPEDAGAI HDDKVEGASPGEISEEASDGEDEKEDDIDDILPVEFPSLEPHGVLASSSARKAGREWA HMVDIRQGMPNFRELVPDMAREWPFELDTFQKEAVYHLENGDSVFVAAHTSAGKTVVA EYAIALAAKHMTKAIYTSPIKALSNQKFRDFRQTFDEVGILTGDVQINPEASCLIMTT EILRSMLYRGADLIRDVEFVIFDEVHYVNDFERGVVWEEVIIMLPEHVSLILLSATVP NTHEFASWVGRTKQKDIYVISTSKRPVPLEHYIWAGKDTHKIVDSDKKFIEKGWKDAH AAAQRKEKQAAPSQTTVATRGGNPRGGQRGGPQRGGPQPRGGRGGGQQRGGHQQRGRG GPPRASHAPGHMGRGGRAGGMTSAAQDKNLWVHLVQFLKKKALLPACIFVFSKKRCEE NADALSNQDFCTAQEKSHIHMIIENSVARLKPEDRVLPQIIRLRELLGRGIAVHHGGL LPIVKELVEILFAQTLVKVLFATETFAMGLNLPTRTVVFSGYRKHDGHSFRNLLPGEY TQMAGRAGRRGLDTVGSVIIVPPGGSDDVPPVAELRSMILGEPSKLRSQFRLTYNMIL NLLRVEALKIEEMIKRSFSEHATQQLLPEHEKDVKLAQADLAKVKREACKVCDAAMDE CHQAAQDFKQLTLELYKGLLRITFGRRMFMPQRLIVFNLEGIRTAGILMSEGMSPRGS FEEPILHVCAIKPPRERRDATDQLPFIPSFRKYLYKLAQGKRGLQIKPLQIPLADVEC LTKWVLKGTIPEIFKGGNAGQQAMERLQEICSGWDDRWDEVEMTKIKSLALQEIVEKR MQLVKIISSSPVTKCPSFLKHFAMCHDEWLIKEHISQLKQSLSDQNLQLLPDYEQRVQ VLKELDFIDDATRIQLKGKVACEVHSGDELVLTELILDNVLAQFEPAEIAALLSAFVF QEKTLVEPTLTGNLEHGRDTIIAISEKINDVQTRLQVIQSADDSNDFTSRPRFGLMEV VYEWARGMSFKNITALTDVLEGTIVRTITRLDETCREVKNAARIVGDPELYQKMQEAQ ELIKRDITAVASLYM UV8b_05856 MANRQLARDMQLEFQARFQAKQARREAQKAAKQDPVLKKQIQDL LKRGETQKAYQKAKMLLSKQALASQMDQVADMAELSAAQIQANNAMNRMTHMMAQSSK VMTVAQKNATPAKSLGVLEQFKEQNEEYAMTNSIYQDAFAQSTSTQVPEDAVHDLLGQ LADDAGIELNQELSKATPAEQEPVSAEVTNEEEEKLKQRLRALRA UV8b_05857 MDSTTSLLIESWTLYLLGVLLVACRLVSRRLKLGKWRNLTMDDY LMLFALANFTGVVVSINQVAKDGSSYMSPEDAASLTPEGVQQAVYGSILTFALEIFTV TATWTIKACLLILYARLTGHTLPRQHALVKIAAAYCAITYLLVILLFIFYWCSPRTYE YWAVPVRIEECATYYHHMIFATACNISSDLLLLLISIPIIINTLLPIKRKLFLICILG LGVLNILAAILNRYYNFSNPDSYVFLCWYVAEVGIAILVGNMPLCWPLLRMVLGMKEK LARPSCRGSTINGGCRRHGKLRRTLGASTLESTAWDKIDDQDEAKASGCRTSTDHHTA SDRVSEIELIYQGQTKYAPCHQAAVSANAEQSAEMPSSDVGAAGLDGDSHRSVAAGDK IMVVTTVEVSSSEPARQRTSGEA UV8b_05858 MRDRAVEPPASADAFLRHSYDYIVVGGGTAGLAVASRLAQDASR TAGVLEAGRVAGGQDDVDIPAFYGRSLGGPLDWAFETEPQGGLAGRSLPWPRGKVLGG TSALNFMTWVRGGKRDYDDWAALGNQGWAWDDLLPFFKKSETFHRPSPLVRDAYTATH AADALGTSGPIQISYSPDYSPSHKLWHTTLNRLGVRSNSAHLAGSNTGVWTNVNAVDP ATAARSYSTSYVTALRPANLHILTGATARQVVLGHSNSRGGELAVATGVRFSHDGRDH VVSASKEVVLSAGTVQSPQMLELSGIGSPEVLKAAGVPVRVPSPMVGENLQDHIMVAM IFEVDPSLPNPDDLLTDKDLAAAAREQYLREQSGPSTVLPCALAYMPLSQAVPEQTVA ELHRKAASVTAHHPEKRAILRDRLDGTCPLGQVEYIFDLGNWSTTFAGRAARKYGTML QILQHPFSVGSIHIRSGDAAARPAIDPAYYAGDHGQLDARIVRECVRFGQKIAATEPL AGIIRSPANPTREVVADDGLLEEWVRKHTVTDWHPVGTCAMGGRAGIRGGVVDERLRV YGVKGLRVVDASVMPLQISAHLQATVYAIAEKGAHMILEDAAG UV8b_05859 MELQQASPLIKLEPSPAESFLSLPNEHYTSLFAPSTPSSTTINP LDVMTPQSLSEDSNTSQLLPVSEAAEGRTPVPEEPCSEKKPSKKRKSWGQVLPEPKTN LPPRKRAKTDDEKEQRRVERVLRNRRAAQSSRERKRLEVEALEHRNKELEVMLADAQK TNMLLLEELNKFRRDSGVVSRSSSPLSSLRDAPITLSQELFSSQDCRGESNVATNLVD QLIESANPTVNPASLSPELTPVPDEPQPGFSEVALTGEQNLAACSDLTQHPAEMFIGD SFGLSAAIDTDRYVLESGLLASPDSSIAGDDYMVGDPAASLAGQSHLDLFNIDDFLNH EANNVVSDIMAASNYADAEHGFDRKVHDSEIQVS UV8b_05860 MPTLLSAGQLPPNRHDDLENYDVDDPFATPSPPSSPKKRKEPSS QGLGIDHEVSVQKRARPPNVKLDEDRLLGPAGLPRLRQRARDLKIKGKGHEFADAARL LALYQMWLDDLFPKARFLDALAMVEKAGHKKRVLAARNEMLRRGSAAGRPEEEQQQQQ QQEEEEEEPGRAARPGEGHGTPAGSDGAPDEEDLYGATPRKAPRVAAAPDDDADDLDA LMAEAEAEAEAEGRAGARADDHDLDALIAEAEARDGGPGAPEPGSFADDEAAMLETEG L UV8b_05861 MAETATKQTGPTYVGTSKVVKTDYPLIDNDPHFKRVVRYARPSD YLAGGLAAAFAPTALYTLEKFAPSRVGKGGLAKAMRLAGFVGLAGGFLYFYQRSCLRF YGATENRREVDMDMREMVAKVKAGEPLYGESKLSPYLQGVAARQSRYSALFFSAVPWF NFVNHGQHGVDTAKYYQQAERELEAERTGKSL UV8b_05862 MNEYKPADIVGCLIKQCVLYLNNSKASEELKAANLLRNKGSEGP GFTYASRILWSLLVQARRVFLVFDAVDQYPGHSEDLVHKLLETMGMKHINVFMTSRVA LSSKKLFVGALRLEVRARDEDISTYIRQRLENWPPVTRLAVGRPGFVSQVTRSITEKA SGLLLWAKLAMDELESHQALSEARLDELLRDLPADMVKLYTRILERLMKRDRNDSSVA VEMLTWLAFAKRPLTSIELQTALAVRAGIFEIDESLAIPVQSFTNILMSLISIDRSQR VLLIHQSLEKFLQSWLPRQLDPSHGGPHALIMRKCITYLQMKEFCNKIPRTYSDLRDK LCQHPLLQYAALYWGYHARNCYKDVEMEVLGFLNSQCGIATSGLVMAQNEEYPGRYDE AYEGMLGIHLASACGLTEVAARLIRNRVDLESQTEGNWTALHWLSRLGLQGHIFLFFQ FVDPRRVQMLIQMTTKLDRWTALHLAAKGGHLPVMEVLVQHGAALDSVDAQGRTPLHL ACWFGHIPVVCFLLCMGADPNIQNIHGMTALHCASRAGNLNLVRELVGSRRQKLDIQD TLGLTALDEAIRKNHEQVVRFLSRAGAIPGTRKTPRHLEEPSATPIVWKNVPRDFNWK TYQVDKDKTLQIKQGAQFSCEVLVQPGSPDLRVFRKTFIITKEAMEMNDEYTSLAHGK SGQKYSLSERQILDMLQHPHVVLYLDSDSDPEMNAFLLYMEYCDMGDLQQAHSLDPKV QIKDKTDRKLGFWQPKPGTHVSSKALNGAAIWGLLWQLSSALAYLHYGLSLHHDAEGP CQCRFERDWKYVIHRDVKPANVVKQSIGSNEYIFKLCDLGIATAAGQGGQVNKTQWIG SDGMKPPQVQQGDNWTRKGDIFCLGETVRLTYKCKQDALLNSREFRDLMAGCVKADER DRPSSLDIMQLAYQELAKSKRLHELPDVVTDEMESALFEDISDDAFEEDTYEYSLHLL VLLDDFGWTDSDESGSEPEDPDQGFFSKLSNLLSSGSDPNAAWEVSGWTALHLAAQEG KEAVFGLLLGHGADAHRRDAHGTTAMEYAERQGLTVKLEQLALADMTGPSMREGTIIH HGGIVGAGSNLRGVLSSFDKTSFWRY UV8b_05863 MTGLEIAGIALASLGAAEQLFDCGTRIHRRMTKEKQLNLLLREL QMFEVDDNRQMLDNYVRAAQPVLRSQLIQPADKERLTRKWERIKDQMIEINNLLDTMM QNSSILNSKARHEAQDRLRDIGGSRVLSSRLMEFRDDVSFLEKQLAGNPPTLLTGKTF NYIDADNRRVWTNGVFLCKGKLTEPTDDGKSKAKWYLAEPVSFKATEDREALKESVAI LASKLQRAQPTRGLFKLVGFREELDPSKRAFELIFGGDFAENFPPSLSLFIAANPAKP SLNFRVNLCCQLATAVLEAQLLGLVHKNIRPENILVVETAQSPMKTASEDQIPWLVGW QSARHVAEGYVTTLSNAVTPQRKVYQHPERQLPTSEHDYSMAHDVYSLGVCMIEILRW RSILRTEASEGQPPGVSNDFVEAFAKLKFAPNEREEADRYTKYPRQNKAVLLRINETY IPIEAGDKMRHIIHGFLTCLDRKDESDDEDEEGEEEGEYVLLGEVERQEQARKFMDTA LMDLRKVLCAI UV8b_05864 MRFQIHWQPLFEAIPYQASSYNLPYRSSCHLSHDAKIKNSNIIR RHTDIKPAIELLAKLGMQEDAISETVRAHLRLEKEAKCFVPLIQDWIWGKFNICVPVR VESSKFKGTVMMRFPKPYALAESYYPGSIEEKLRAEVGAYSWFQQKCPDIRIPHLYGF GIANRHFTHQAQLPWYARIRFRLFQWLRSLFRLPAASNYASISIPAQLSSQYMLIEYI PPETGRMLSSTWKSQKNDPSRRKNLFQGLARIMISMSRIPQPRIGSFQFNDDCTVSLT GRPASAAATLLENGGAERSIGSKQTYTCTEPYVSDVISLHDDHFYVDVNATDSEDDCR GLMSTRVLLRALSHRYIKKECRYGPFMLQLTDLHQSNIFVTENWEVACLIDLEWICAL PPESQQVPYWLACQDISDIVDTKESQKLTEFNALRQEFMQALSEEESKVNLPWPLTKI MEETWQSGATWFWHSLASVNAAYYLFQDHICPRFSTDLTPPITSVLANFWREGGGEEV VAKKVADFERYQHDLDCLFAKMTQA UV8b_05865 MSSRSPRARAATANPIVEIAAASQYDRLVAQKGRLVALFTSYAC KPCGFVYPAYYQLASKYRGVVFVQVDVEHHDNEKLRARYGLEQFPVFYFYRGGRKVDE CNHCGGEDGKALRARVERLAA UV8b_05866 MASFARSFKPPTAQALLSPRRRPGMAGRTLSNSSELSLTPNSVT GRQMDYFDQPVTVTSAPNTPLLSPACAPVLEDGVPRPVRGSPESAPYYSRPSSSNPIA IELPRGRRRHTSMLSPTPPEPLSARGDVQGAYFPMHEDPKGRIHRPHPFFHESAHSRQ TRASETMQAERARTSHPATSATVTTSSTPVSSYIAPGFHDVPLPIGKYYPSNYEQHHP PPPDDSHHPRRPAPMADDLPSTSPKPDPQAPPARSDSCRGDNPQMQMRRRMLQYKRDM IAQATMFLGASTKTTKPGMSLSGLPIKDIWFPASASQRPLSPRLHPLGSPGPVTPMEL ESGGSSYLDRGVKLPPPPAKVAHSAGHMPSSCRENETL UV8b_05867 MGFFSTTASYFAFSALHLVCFALALAVCGLYGQDLNNARKQHKY ADSKWVYAVVVGALSAVTCVVYFIPAMFRHSGVVGASWNFILFILWIALFGVFGSMYI HENPEGDSGVQRMKNAVWVDFVSALLWLFSSAAALVYWWKHRDTRSLFTGRARV UV8b_05868 MASWPACGKANPPLIRAYPAQTPHKACSSYPAGLSEGIAASTAS RNVASPCLARLPELLHCRLPACLPACLPALPCVGESVRMTHTQ UV8b_05869 MAYPPPRRSRYDEDDGYYGAAADDRYTAPPPRRHKSERHHRYRS PEIPPPQETYAPRRGRSRREALEPLGKSLGGGSRRLRSPSPYETAEPVPRESRTHGRR EPHYSRPERDGPRDPYSLEHEHDPRDRHRRPHRDDFEHRPRRDRASPSPDYSRRHARD GGGHRSYKSRAASPEPRPRGREHLGQAYGADHGKHRPRSRSHDRAAKRGGSPPRGRDG GQGPSSSKPSSSRRKSAPAPPVAAGPKVKKQQWWQNPLIQAGARTAFSAGAQAAMQSR KDPSPWLGSKGAKVATAALGAALMDSFGSGGKK UV8b_05870 MGWVENVNAKVATSIFGKWFRLDGSGHPRERRGSYFITEIRAGL TTFFAMAYIIAVNAAIVSDSGGTCVCPYAADDPTCDKDQTYLLCIQDIKQDIVTATAA ISALACVCMGLFANLPVGLAPGMGLNAYFAYTVVGRHGTGLVPYRVALTAIFVEGFIF LGLAILGMRQWLARAIPHSIKVATGVGIGLYLTLIGLTYSDGIGVVVGSAATPLELAG CSPKNRLEDGTCPSWDKMRYPAMWIGVFCGGIFTVVLTMFRVKGAIIIGILLVSIISW PRETPVTYFPHTRVGDASFDFFKKVVTFHPIRKTLNVQDWDVSEYSGQFGLALITFLY VDILDATGTLYSMARFAGLMDPATQDFEGSAVAYMVDAVCISIGAVFGVPPVTAFIES GAGISEGGKTGLTSIATGLCFLVSVFFAPIFASIPPWATGCVLILVGSMMASAVPDIN WKYMGDAVPAFLAICLMPFTYSIANGLIGSIFSYAIINGTVWLIRKISSGRLVPSNME EQENWTWRMSGGFLPPWLVRLAKGQKNFWREDMPTADNGAEKKLSSDEQSAAETVLQD SSTRPGEVTHAKT UV8b_05871 MAYEMNLPIVDLDIYLSNDASSPPVQQECARAADALITYGALIL HDSRVLESDNAAFLDLLEDYFAQPEDRLREDERPQLSYQIGVTLENTEKPKCAVDEPC LDVIRRLHPSQRPLDIAGHQPDPKARFFWRMADPPPYKTQFPGLNAANVVPRADGIRH RWQPTLDKWGTSMKNAVSKLSEMTAVGLGLPAAYFSDAAKYGPHLLAPTASDLAKYSA KDTILAGFHTDLNFLTIHGRSRYPGLNIWARNTGDRIAVKIPKGNYLLVQAGKQLEYA TGGLIKAGFHEVVVNDKTIETMERRKEEFPDRPLIRISSTLFWHLNSDFDLAPVPELA QRARQVREEQRKIGRDEGEEVEYPVIKVGHQVEGELKHIALMA UV8b_05872 MSYAVRGTTSTAPAGSSGEAAATTATVRDYVCLFTHDLKRKQKR WQDGKLKYHTFNKRIMVYDDRGNFIGDGHWPAGGDLEEGEELQLDRGAAMVQVADCVG SREQDLTELLDRRAREVEKRRAVAAAKTPTPGRAGHRQQQQQQPRPQDRQRSTHFQLN HRPLSRIVRSPGPIGRAAVPARSPYEARNGNPAADARPAPPPAKKRRTSPSPPSKTGF AQSLFGARLNLSTCPVAVSTLRSRLLKEKTHVQEAADAAAGLAAEEDDVVALDEGPKA KQPPTQRLTRGAVDTSFAKGRTDAEQRAVSGERDGLHLGKLGKQSFRIQRQEPPSEQR DDPASDYKHGTLQPAVVVVDDGEEQPDSTGTREEALVGASREQPVVKADTRTCRAANT PSSSSWENVEAALASSVATTSATRTANRIENQAQEKARSSAGIGAEARAAKREIAVTL TRARRSDDRCQVSNFVCTRASSEIF UV8b_05873 MAAAQENSSRPSSAQSLQSNPDYGQTETSYLAGESGSTQHPSED ELDKLPGGKRSVHQVPRQGSFTKNSSLAEFEDQPLDLESGVYEQDDAVSLPEATSLDD EDLLANSSTRRTGSVKRNQETRITSGCSSDGDESEDAPLKTTKSDTSDEPKVLPTESL SESDSDLPTNRPRRMRKSREPNMSSRRSSESESDDGLSNPTKRATPSKPNTASTDTAS ENEFDIASEKSGQLKRHDCPDTSATAGPCDGGGSPLLEKTRPPRPKQEAEKPAAESSA GPSGPRIQRMARKGVRCKEVFGFVPVGEEQTFSAPFATAAASRIGVIGRPPSAPHRPP GISLMIKPQAIKRPEIQATKAETSSTPSPEAADDVAPRQDERSASAEPGDKQPCRAVL TEPSNHLVPEPEPEQSAANPQPQPPPPPQLASEEIEPTRKRKIANPATRGRKAARKQD AAGQAPQNVVPFEASLPGRVPLSARLANPKVAPSLPGFSRASGGAWSKHAEDLLGMTR PTRKL UV8b_05874 MPVGLRIICPQESRQRTNPHPQDLIPQDFPQRHAIVTAEMADTT QTFSSLPQGFSVYQPALGAPLQFFPALGSQELDDMINAYIPGPSATNEKRATITLDFF HYAQRTGQTFKFYPVQPAPSPVTSPSTTSPSSASVSPSFNVSPITSSWDWSATSASSV ASSPHPSVRRRRGGKQSSSLPSRSHATDFSHLPGMKILTKDGVDVTHSGSRGTKTKEQ RDHAHLMRIIKACDSCRRKKIRCDPSHKKRGAAQAAPQKTPKPAKRPRVAPSQLPPPC PRLDLAEAELPVSTSTFEWDPSTSLAGLDDLDAAIMHYDSFDELIQFPQLVPSDAYFF PDASDYTSSQSTPSSATSPTKSRTPTSQQGLAAPSGPEFVIPQLQEQDWLADLPFLER ARSASDYTDFNLYSPASSFSEDERMLSIVSSVNSLPNLEKPSLVECSPVFNATSNGEA LGLDDPGLSRSELQVKSTVESMGDSCRSKLRRSDILTTMNASDGSNEGSRSSILQATT TSPPGTGVPASDSSPCSQTLGAATLPSPSHKAAQGPTPQRTSTSISPPAASVSLNTQP SVSMHVLVNPHIGMLSSSFPGSISGAQLAESARQSASGTTIQVRSELPATTCDKDNVV ASQPDSLSSGPSMPSTQNSRARQVLSNGSSESASEGNSAPDRLPRGERCHNMQDESPK GLIDVFARGFIPSSLDDHRHEQHQPQRPGSGPTVFVGSSAPGASPINEHGLDRHDLLA ACPIPSSSSFADATSSSVDVTTPASGRGVHLERQRLGAPVFESGGVPNPQMASLISSG AGEDTPTTGSHNRPALASGRALRIAAAGDQPSSPLASVVMDSSPALMEPRLLQTVASM SSLILCVFATAMAFASSKTIARKSAMPQAKKQTQARASCRELWHLSSLGQRTMFTPMA PVCAV UV8b_05875 MPLLQSWGLAPARNAIRKLFRRPSAAVNGSNTSTCSTTTQSRPN MDGSTTPAQTTHHPVTTEENRDHLPMPVAVDPYSAALADKENRLPEGHELDGLIASFK KLGLEVPGIPAVGDPVVHQQNVEEDPAVLAERAHHLRFIGEALDMARLALRTNETPVG CVLVHKGTIIAKGMNATNVTRNGTRHAEFMAISALLSYPPKHGPRTTSLKPKLRPKPA DDASDAASVDSKSPDEGNEDGKKGHLYPYGQKFHPDARVSREIIRECILYVTVEPCVM CASLLRQLGIKKVYFGAVNDKFGGTGGVFSIHANSLPVSDDGQTASAHPTPRPSQLPD GSGTLGTSYPPGGGDGGNVEDGYEIEGGWGRDEAVGLLRRFYVQENGRAPVPRKKEGR AARLAAMMETDDGSSGAQMGDGEQEGTDTPGEAERQSVCSIAGEAVGNASAAKEEAQV DEESA UV8b_05876 MSTALPPTIRDAMARQWELEAPHEVLTAALAPLGRRVLCHPDWD VLCTTALHPDHAHDLSRLVDHVADCVCVWASALRDALDRDVGMLRKSLLEGIKPDFLE LLVDVSSSPSISTHWHWQKGAFVLLLPKGALPHHLNAAIPQLSAQILLAFSKGPKPAL SAAGHACLDDGSASDTTASAASSVAFPPPPAGESLPDTHALPRPDELLLRPPYFLHIA HGPPTVLQCSHSPSLSFLESYLKKWCRTNGRRTDRPPMVDVTLSQSPFGLGPLHDVLT LQLPAAQYGGAPPVLSVPIVLHLVESVLGYRLVYSDSSRWQYRRDVPFTKT UV8b_05877 MKFSIVVPAAFAAVAQAAPRPPGDPDTSVAYRGCEGPPNGFRPE DCLFFCKTNVIRVRCGCRMSMGVYKCDVGTGEKLKKCWDESEKYCMDLIMPSARQLDA FGNYWYESKDAYATA UV8b_05878 MKFSTVFVAAFAAVSQALPTEPDVDEDSFALIEERGTTTTNWLD CSGYPNKDDCLLAKCMKLNRDHSCDSPNANPKICLEDVKGWCQDHLEKEKLAQQQRQK EDDDDDEDNDGKQSNDAAKKNSAAKQNSAAKNSGLKNSGVKKNGVKKNGGANSHRVVK KKTAKLNRVQ UV8b_05879 MKSWSVVLAALPAIAHASALPDRATEADILKRAEPCNIGGFAAV CTAYPYAACNAPGTKLSTQECVDLCVAKTDKISCDGSGSTFNLCHESSVLYCKKIITP SEVPEPEDR UV8b_05880 MEAGILGALASSSDKTVTNPPLAPGTAVADRLRPPACPTTHLVQ PPTRAPRRGGREPGIRRGEAPHPTAHACTS UV8b_05881 MKSWFVVLALAAAAAHASPVALEVIERSEPCIVNGNPGICTAYT YPACNAPGTKLTREECVELCANRTARIVCSVSVP UV8b_05882 MSVKTVAAVGLGLGLALAAVAAPMELAARNTGDFTYYYTGLGAC GQTNHDGELVAAVGHGLYDRVHPCGRNIRLHYKGRSVVARVVDRCAGCNDNSVDLSPA AFRAVVGDLGLGRVKGTWEFV UV8b_05883 MTVTAREKKWWKEAVVYQIYPASFCDADGDGLGDLPGIISKLDY VASLGVNVIWICPMYDSPQVDMGYDISNYEDVYRPYGTVQDMEVLIREAHARGMRIML DLVINHTSDQHAWFKESRRSKESPKRDWYIWQPAKHSPSGTRLPPNNWRCNFGGGSAW QWDEQTGEYYLHLFAAEQPDLNWENPATRQAIYASAMEFWLDRGVDGFRVDTVNMYSK PVGFPDAPVEDAKAPYQPAGLLYCNGPRMHEFLSEMNAILSRYGAITVGELPQTPDAA TVLRYVSAEAKQLDMVFQFDVVDVGFGKTHKYETAPNSYTLPEFKEAISRTQGLIRGS DGWTTAFLENHDQARSVSRFTDDRPEYRVLGAKLLALMESCLSGTLYVYQGQEIGTVN VPKESYPLENYLDIDSYLFVSMIKDRHGADNAEELDKAFASLQHLARDHARVPIAWNG KAKHGGFSEAAEREGKQVKEPWMKPHPLAGEINVASQLDDADSVLNFWRKVLQFRRAH ADLLVYGDFRDLRPLDESLFVFAKEDARGGDKIVVALNYSTEEKLLELPSAEELGVQE AAFVPIMSTHPGKGTAKEGGVLSPFEGRAFLVHV UV8b_05884 MKHHDGEKQRRVSVDPGTANPALVHEAAAATESEHNMGLVKSLK LYKRACLWSIFLSTCIIMEGFDVVLLNNLFAYPPFQKKFGVLQPDGTYQITASWQSGL SNGTLCGQIFGLFATGIIADRFGYRKTLMGALAGCIGFIFIVFFAESLVQLIIGQILI GVPWGVFQTLTTTYASEVCPTHLRAYLTTYVNLCWVLGQFIASGVLRAMVARDDKWGY KIPFALQWLWPVPLIIGIYLAPESPWWLVRHGRVEDAKRSLARLTTRNSGVDFRPDET ISMMVHTDRMEKDLTRGISYLDLFRGSVNRRRTEVVCVTWMIQTLCGATFMGYSTYFY QQAGMDVSNSFSMSLGQYALGAVGTVCSWFLMGKFGRRTLYLAGQIIMCILLLAIGCT AFAGRQNVAAQWAIGSMLLVYTFTYDATVGPVCYSLVAELTSTRLRTKAVVLARNAYN IVGIVTNVITPNMLNPSAWNWGAKAGFFWAGTCLACAVWTYFRLPEPKGRTYAELDIL FENGISARKFKSTSVERLDARVDDASDKEKVTEQVMVERIDSGQ UV8b_05885 MLLSFLQLHALLALPAVLAWLAARTYRQYRRLSHVPGPRIAGFS RWWFARSTLSGRIHLDLYDVCKRYGSLARVSPNDLVTSDPGLAKRMLAVRSPYTRSDW YNGLRFEPRVNSIVSVRDDSLHGVMRAQMASGYSGKQVDNLEQKIDDAVVGLVALVEA YIARDEPLDMARKVLYFTLDVISDLAFGEPLGHLSSDSDVHRYVHDMETHLPVLIIST VASWVIPLLGLAIFRPVMPSEHDVLGVGRIMGIAKRVAAERYGPGKKVQRDMVGSFVA HGLTQAQTSSEIATQITAGSDTTATGIRATLLHITTSPRVHARIQDEIARTRLSRPVA TDAEIRAMPYLQAAIKEGLRVFPPVSGFMSKEVPPAGDSWNGVALPPGTRVGLCTWGI LRRREVWGDDADEFRPERWLDAGRDQRRQMEGVLDLVFGAGKWACLGRNVALMAMNKA LVELLRRFDLAVVNPTQPWTSVNCGIFIQSGFWVRATRRAMP UV8b_05886 MHVPSGSRLVPGRDGLVLQERMIPLPLGPTLRAIDNRKVGTCAT SSDYAAGYSKMLDRHMGRITRDSAWSTPFAADRRLLLETPTTDHRPPTTDHRPPTTDI DIDIDIDSWLRLRLLNGDSTVEGLDARVAMADATRPCAGCHPLELRVLLARRGVAAVR NGLSRPAALPAVSCFMLGKPSVHVHVQDGVALNAGLLDCTAPPPPLTAVGRRPPQLAG ERDRDHEHEHEHEHEHEHCRRQQHHHNHNHHNHNRNQQRSNLDAAHRPSKPPMGSKSS ASAASSNGGKISRACDACRVRKVRCSGDQPCAQCSHLNLACNFAPAPPKRKPGVRGRL VAQLRSRTSGGVNVDGAARAAAAAASASASSKPSPQAYSGGSVSGGEPSSAGSSPATA PVPAPVPVPVPVTSIAGIVDGLPTSSPPRVSESHRHRHRHQPYTLAGCGFSTGFFLGL LPEFEDLVYPVNPVLTTAEIRDAIHNARSDYEDAALVHAYAAVTINLTKTSWRMNGHD VAAQMDTLMRFALWAHRRAETAAGAGLQAEMPVTVKRIMTCTWLEISLMAFRRFDRSY TILQEAISMVHMLGLHQYHRGDARLSHVELARRQRMYWEVYIHERFLFIMSGFPCTMV PLRTGLPFHDDSIPTYVAVGWNRLILLFQNMDGPFLEHWAAQQQQQQQQQQQQQQQQQ EQSSKTAAPAPAPASAPRLTSHWIEGKQAQLDRDEADALKVEEDLVACGHGPLIELQH VDLFVTRLWLRTLVWQLALSQGLLRSTPTQNVHEGLSLHFPAQRLSAQLRTLVCRLRS ISSVVFHGSGILQKLFEITSTVADVLALPRAPGQTEEEARARLEDFFVLVQFLFSFER TQKHQRDYLREKLEVLQGMYTIVDFRELAGASPNSTGDAAYGSISGAAGNASSPF UV8b_05887 MATIINTMTEKLANMKLAGIPTRPSRAAISRATLAVPNPAATTF RAIQRLLHAAPVTTNTAAALECEKTCEADLHGPEGSTSHNHPHDADGHHPTWACSTHL AKALEQYSAYLDRRAAHKHDDAHHRQEVDTGGSKGHLEGPKGCKADKDNCCGGQSIQH TSIVAGVRPLELPSSELDVENASCKEHVALTVDGMTCSGCGNKLEHTLKAIPGVSSVR VNFVMGHAEFSVNTSVITADQVIRAAERATNFRCHRVAGDDQVLDLLASGSSAKALMD VDIPGLTGAVILNKRTVRLSYDPTVVGARVLLDKVEKLCAGLAPPRDDPSISSGRKRL YNQLVKTILSAAFTVPVVVLAWGDYSIHERARSTASIVLATVVQAMAIPDFYRPAIGA LVHSGAIEMDMLVVISITAAYVYSVVAFGFRMAGKPLEGSELFETSTLLITLVLLGRL VAAFARTRAVEAVSLRSLQSTSAVIIEDGLDKEIDARLLQYGDELKIAPHSTVATDAT VVSGSSEVDESMLTGESMPVLKKRGDAVIAGTMNGNGTLVARLTRLPGKNTVTDIARL VDEAQNAKPKLQDYADRVASWFVPVVTSIAAVVIVVWLVVGFKVRHYSTGQSVSNGIT YAVATLAVSCPCALGLAVPMVLVIAGGIAARNGVIIKSTECTERARNVTDVVFDKTGT ITEESLAVEEQRYLIREDEAVAISRALVNGNKHPVSLAVAKRLAPGVAASTKVEGLRV IPGAGVEATLGGATLRAGNPGWTATAAHPVVSRLQNGGMTLLVVTRDDEPIAVYGLRS RLREEAARVIAQLGRRNVAVHLVSGDQRCAVETAASQVNIPRPNVVSQCTPAGKRDYV ASLMSRGKTVMFVGDGTNDAVAVTQADVGVQLGSVLSASEVTRGAADVVLLNGLEGIL FLMDVSSASFRRMVFNFAWSAVYNVLAILFASGALVNVRIPPAYAGLGEVVSVVPVVV AAMTMVLMKKKKKMMMRKTKTKASATAAP UV8b_05888 MREREAAGRVCAVLVRSGFGKCLVSLVLAARSSQLAAVPLQWPS ACSAAVWFCRAARCWHFQVVDVWSFMPRARNSALGCHQTVGID UV8b_05889 MTDRIARRAQDSGGSACGDLGNYCGGTFAGLQGKLDYIKGMGFD ALWISPIVASESTVYQAPWNVNLYAVNARLGSAQDLKNLIKAAHDKGMYVMVDVVANH VGRGSMGNIQPSPLNEGGSYHNACDIDYNNQTSIEYCRIGNLPDVDTADDRVRSVYNT WIKWLVNEYEFDGVRIDTVRHVEKAFWPGFSSAAGVYTIGEVSHGDPNYVAGYAALMS GLVNYPVYYPLSRFYQQKGSSQDLVDMHNAVGSAFPDPAALGTFLDNHDTARFLSQKN DVSLLKNALTYVFLARGIPIVYYGTEQSYAGGGEPANREDLWRSSFRSSSDVYKFLAK MAGIRKAAGGLSRDDHAHLMVEPTGYAWSRANGRVIALTSNIGQGKSRQYCIWNQKAG ETWHGAFDGNKYVVDGNGHLCATVTNGEPMVFVASVGTAGYGSCLKGHESQLLMAAEK CRG UV8b_05890 MLKSFFPSHHGTFHHKALDPTRRVFRLVRLLPPKPSIVPGLDAT LRVQLLQVDITDGRPTCAYDTLSYVWSVSGGSEPDRPILVESGNQTFQLLIHRPLERA LLQFAADDTLKLPIFVDQICIDQRNSKEKEHQVVLMRDIYANCQRVIVWLGPSTPNST LWFNYATEICSEGVLGGILGPRVASCMTVFDAVMDPSIPLDEQEQRDRDAIIRIIQER GRQFPINGYEDFFDRTWFGRLWTIQEACLAPSLVMKCGSQELCYDCLRAAMLFFSLNN NYWLRHLDGPVHKSSYTQRDMLYDKQSKFSRVIQERKAIHKTGQKRLLYDLILKYNVN DLKEKIAATLGEDRIFGLLGLAEDKDALRQNVHVEYNEKNPAAGAASTYAKIATLMLG QKTDTLLFNQFPKRIMGMPSWVPDWSMDLHIPVCYSTLTEPIFAAGGEGNKVSVDNDF RRLTVGGVLVDRVSHVGTRTYSLNPERRIDGQTNYRDLKTTLDQVEAFVREAATTTIG RLAPPLALDEAAQNQQRLRVFDSGLSHHDFIKEHGRFAGLEKLQATHDFIYNLGKRLL DSDAFAASYSIFRIYRTVGIIPWYFTPPPETDTLTVLACDPVAAARVVVEAGKDIAED IVGLCLASARVSWATYRIWFRNRYGKMIDLSLDAAKAEKLGLPDNIRERREEVTVLCN NALKNMGRYVYRTWGGYVGMGPSQTKPGDAVVVFHGGTAAHVLRRVEGAQTEVWEYIG EAYCDGVMRGEALDAEAACDFVLV UV8b_05891 MKFLVFATAALASPSLVRRDDASISDDISAIVARTNALNTVINN YQGAAQNPALFDSARATIQSIQKAVVDAGNSPDLTFNQGLALVAPIGTLTKAVDAASK ALISKKQAVFDGGRACEALPLIQTMQQTAKDFVKALTPKLPSSLQSLAPRLSQPIIDS LQKAIDAFADAKCAAASTSQAGSVTATSSAATTGAATTHPSAAVTTTSQAATETDTDC DDETSSSTAARSTAVATTTASVTSAATTSAATTQTSAAVTTTSQAATETETDCDDETS STTAARSTAAATTTASGTSAATTSAATTQTSAAVTTTSQAATETETDCDDETSSSTAA RSTSVATSPASVPPASTTSVPVIPTASSASSSAPVVSTSPATSAPVLCTSCATSPVPV TSTGSAASPTVATETDTLVVTQTVPCTTGTATGGAGTQSASGSGVQPSAGSATAPAPV VSTGSAALPTVATETDTLMVTQTVPCTTGSNSHPTGGNDSQPSQPAGGNGSQPSQPAG GNGSQPSQPAGGNDSQPSQPAGGNGSQPSQPAGGNDSQPSRPAGGNDSQPSRPAGGND SQPSQPTGSNGSQPSQPAGGNGSQPSQPAGGNGSQPSQPAGGNGSQPSQPAGGNGSQP TGGSGSGPVPSTLVPVPSGNGGSGGSGATSSSSGPTPSPVLAAAFRGQASVGVAAVAA GLAGLVIM UV8b_05892 MANEPSLRRKLSTSDIRHQTSDIRHQTSDISTSISISISTSVII SISISLLPSHKPSPFLNQALPTPFAKMLSVKNILFLAVAAAGSVIKRDVSQTKSNLKT INSDTQSVTSAVNDYNGGGMFAAMPIINAEQTLDNDIQSATNNANKLGTISTADAKAL IDYIGNTIQPSMRTALKALKSKKPQFDADQLTGVVHDTLQSLQSDTDKLADALIKAVP ASQKSQAKAPKDKIDADFNDAIAYFSS UV8b_05893 MTISAPPPPGTRIPWIETPLVSNVFLKLENLQPAASFKSRGIGN FVLSKLAAATAAAQAGAAAAPGGAPPRPHFYCSSGGNAGLACVHAAVTLGCDATVVVP LSTPDSTVGKLRQAGAARVLRLGASWQEADDYLTQTLMADARAAGQAPVYVPPFDAPE VWDGNAGIVREIARQLPLLREPAPRLDAVVCSVGGGGLLCGIVQGLDELGLSRTKVVA VETEGADSLAQAVAAGGLVTLPCISSLATSLGARRVCQQALDYAARGSVANLVLSDAE AAAACRRFLDEERLLVELACAVCPAVCYAGKLADAVPGLTEDSVVVVVVCGGSNVSWD MVAKYVADEADAAQT UV8b_05894 MPPRISGAPAARAADLALPQAARSGAPFARSFSSTQYREKMSRA RQQMYTWLKSRDGQEFAAGGKGPRYLGPFRDQPFPQNPLFRSQPVLDDPTRDLIWQKV MERGESLKSISAEMGVDVRRVAAVVRLKEVEKQWVQDGKKLAVPYAKAVMSMLPTTSY REGEKNEPHEPINEVHVHRLTMQQLFVPVAESRQFTREDAAKAFHERMLSADARSPHP QLIRMERDILAGMSPAESEQKFKARVQDEEEALARKLATEQAKEEEMTSRVKTDRYEF RFKEIVVDDVGRDGRSRKGTGWRYGAPLEDRKRGVVKIPTSVP UV8b_05895 MASDVVSRLRACLTRRKHSGAPSLASSARGRGREVASVLATAPS QSSREASCAPCARTDLADGQLGDKRREAVSSGAAGDDGDDGDEASGAAERGRHMHLSL SRMKKKQKRREQVPHPGSSCHERRQNRATTAGADSAEVAGARAGARAGAEREEQARDG GAQATKATKATLADSSVTSRGLQPAGEPGASPKPSPPDHNLQLVLSSRGNPAPASNVV NRGKDPPSRDPAQRGMGPPSSPSQRPLPPSPSRSTSAPPLSSHPSPRKAANLTRSNPA STPDKGGYSSRLCKASVTTGLFKTSAAADAHADAVPAAAAAAATKTTPLHAADNLPAD PASPSHHGREPDELSFASTPAVTYPSPAASADGAPRLSIDGKMVTRKIWVRRPHASAT TITITEDDLVDDVRDMILRKYANSLGRTFDSPDLAIRIQSRDQDKERILGPEELMART IDNVYPGGQTVDEALIIDIPRRAPKASPRVHLPPGTTTCYITEDGRPSEAGEGYFPPV ATIPSPLPPQSAPVAPNGAAPHSMAVVGAGQISSIPSPGGSRTRLHRERSERPRLVRT LTSSPTAVAAHNPGAQKASSTLGSHGAQNIAPRLPHSRTHSSSSDRASGLPTVKPTLP KSPGADNTPTRAATPPPRPSSPRISGARARKSKKSSEYLSSPNVWNGSVPPINVLIVE DNPINLKLLEAFVKRLKVRWSTAMNGRDAVKKWRAGGFHLVLMDIQLPVMNGLDATRE IRRLESVNSIGVFSSTPDNGADDDDDEVKEQDRLQNLTLFKSPVIIVALTASSLQSDR HEALAAGCNDFLTKPVNFVWLERKVMEWGCMQALIDFDGWRKWKEAYSQQNEERETTK KSQAVKARSRRTRSSLGVLAS UV8b_05896 MPYTTGHHGATDLPRLIMIENCTTLYPNDSVGRKVSEYSDRHSM QLPERLELYHEWVLRSQPCAYFAISLLEARMLSWMARITGAKRVLEIGAFVGFSVATW AYAVGPDGSVTGLEKCPDYARAAREQLEHFGWSNAHIVTGDALETLSRMQPAEPYDIV FIDAQKSAYPTYLRTILGKSKPGQVNRLLRPGGLIIGDNALRAGLVADESEDNPATKT VPQQTVNWNWSSIAFLDEFNKMMHTHPRIEAVLLPVFDGLGMGRLLD UV8b_05897 MCAKPTALDDEASTTYLYDKQVPRPARSPAKAAQIKVQNRRREY LQRHPSYLAALDHELADPVLYERLIKRHQSTEERQREGRAKGYGRVLGADLARGETRL TALATEAAGERRTDFATRPAWRAATDVDNAWDEEAGTREDGMHLWQEFLRERFVRGGD EEFDYGVVDGDEELDVNARRMEEDRWFEEEDPGWTGEASGETGVQDF UV8b_05898 MYLLAAVLLAGRVACRAADKVCTSLSGSTVSWKMLDFDFHSWRA MSSPTEGTGAGFVNFTLQNTALVNRFACEAASARTPDFFYAGDVYECRGSLADPGDKT SFSFDRAKGLLHIDQEWVCERDGSRFVGVGEVRLDPKCREDRYSNDHWKQGQLYEAAS VQCGKVAGTVSITYLQGSA UV8b_05899 MPRGTPCHVEASAANTCHGPGATLLPRPVAHAISLATRSTSIAI RLGSAVTNYTLDAARFTTLSSLGLARDLVESILSRAARDTITLSTSALNEKEAETILE RSLETLHSAVMQIVFWTSAGFRLTGTTVSTVSEASQLVLDSLDKLFGSTDSSRAVASI ITLIRRELSQPPTGLGGEKVGVADLVIALGALAYLQRASRRSASEEARRQSFEEVIWD VVVLSDGERVDVGSVRPDKNNDNNNNNNNNNSSSSTRGLLPNDDYAVHDDDEAVLARL KSHITSNLDPGTTISVTNSVASVQTITVNVDGPQLLSLPTPPGAEIVETRRPAHTPGD SQSWNCGSDKENSYTVVYRIRRDRLRTVTFRGEEEDSVPTVVEIVDDPAVKPAEIAAS NTPLQPEHLTSQDLVAKRARRPASTPSGSATPRIGSRINKPNVEPNSKSPPSQNRAAK LEPAANQKRQRTPQVAPPGRTSSFQKLLPADPGRRPLSKKKAEAPSSATAKGSEKKPG FKRVLRESSQSLSNMWNRESSDTDQNRVSLKQRPQWKGVGGSISAADFSTRFKAAGVR THQPQFQRNNAPAKRIQTPEPFASPSSSRASYVSIHERRQDSIVSQADSYGRKSATGG LPPSSPTIFRTDYSTQETISRAAGEPLAPGLTPELPRSKDVHPHRRRSASYAPSLYSL ATNDSQSSVILASYYQKSAYNASGALNILRNEGFVDGTFPTGHLLPNIVRYMRHSSAC YGSHFMKILGISKELPAQQAWNGVHQDVRHFAHHTESRDGNILLASFVDPQGGSDATG STGTGVPLVHYISLDHEAKAVVLACRGTLGFEDVLADLTCDYDSLSWRGREYRVHKGV HASARRLLLGDDGRVLVTLREALLEFPDYGLVLCGHSLGGGVTSLLGVMLAEPNPDGP GFVISAEPQSRLVTRGEVGAAEHKLRDVRLPQGRRIHVYAYGPPGIMSSSLRNITRGL ITTVVHGNDIVPHLSLGVLHDFQSLAVSFKKDENRTRQEIRRRMWQALQDHLADKWYQ TVPVTPREGDEEWVLPVLQALRGSMRGKKLVPPGEVFAIETTRVLRRDAFVAHDDDGD DGAIGRPARRVVLKYVRDVEGRFREVRFGAGLLTDHSPAKYEDALNKLRLGVVE UV8b_05900 MATDEGLFPQQLVAQAAADELPDGYVLRALRPGDFDTGFLDCLR VLTTVGDMTKDQFEQQFRRMQQQQNYYIVVIEDTSRPDKTRSVVATGALMIEHKFIHS LGSVGHIEDIAVAKDQQGKKLGLRLIQALDRVAEALGCYKCILDCNDSNEGFYVKCGF RRAGLQMAHYYDESKST UV8b_05901 MDEFHPVLARMRIAALQSEIISKTCPANGFVRSQRSKVTVVKDA SGGWKLGKKRVVRARSNSTSSVSSS UV8b_05902 MSAPMFSLHGQTAMVTGCTRGIGQAVAIGLAEAGADVVLVQRDA SQTSTKDAIEKLGRKAWIYAADMAEQESVTALTPKVLGDGHQVRILVTCAGIQRRHPC ERFPDGDFNEVMQVNLHAVFTLCRDVGAHMLGLEPSATTGRRGSIVNYASLLTFQGGL TVPAYAASKGAVGQITKSFANEWTARGVTVNAVAPGYIDTEMNTALLNDPGRLAGINA RIPAGRWGRPDDFKGTTVYLASRAGAYVSGHTLVVDGGWMGR UV8b_05903 MVPAQRGHATTLATTAISPVAGTTTTTYSGSTIPLPVAQRKHNN AAGFVPSQPRQQGWVNVKEGSILTAWRERHMVLKKAWIDFCKTEGGKPTYTLLLADVV SVGRVETGTPILEVKRRASGQSSSPGEKDGDLRILQVKTRTEDELYTWIDSIYMACPG LGGVSNPTNFSHAVHVGFNAMTKEFVGLPHQWVQLLSASAITKEDYARNPQAVIEAVD FYADLTKMSDNPEEFLALSPTSAAWLHEELEEQNFEPIPPHSSDMEGTGLVPAPLRPK NVGPPQPVPGRPSGQQDELRTEDRSKNRDRAPHETLGNPAIKPPPDGSSDARGTAPGM QPLRKAPAAPKAARIPAGPTSDANSQPPAGSVAALTTVPAAKEVPQDNLVPNAAVTAI PVPSKRRQAIRHLTTSEADLISRLQRVVSTGNPDESYSRQKKLGQGASGSVYVAKIKD GAVGIARDVVEERGPGTRVAIKEMNLARQQRKELLVDEIMIMRDSRHENIINFLEAFL LNDNRQLWVVMDYMDAALNDVIDRNPEIPERHMATICREVCKGLQYLHQRRIIHRDIK SDNVLMDRGGNIKITDFGFCAKLTERRSKRATLVGTTYWMAPEVVRQKKYCFKVDVWS LGIMAIEMAELEPPYMDEEPLRALYLIATAGTPPLRNPTKHSPILKSFLARCLRVEVQ QRASVDELLAHEFVRSGGSVSELGELLDLKM UV8b_05904 MAPQSVKASVLHGAKDLRTESRELPAPASGEVQIAVQSTGLCGS DLHYFNHYRNGDIVVREPLTLGHESSGTVVSVGSGVANLAPGDRVALEVGLPCEKCEH CDAGRYNICKGMKFRSSAKAYPHAQGTLQERVNHPARWCHKLPDGLSLDLGAVVEPLS VAMHARRRASLPEGSTVLVFGAGAVGLLTAAVSKAANAAAVVIADIQQDRVDFAVANG YADAGYVVPMARPQTTEEKLAYSREVAAEIKQVHVHGAPVGEVSAVYECTGVETCTQA SIYAAKPGGKVMIIGMGNPILTLPMSAAALREVDLVGVFRYADTYSKAIELLSNRPAN MPDLSTLVTHRFRGMDRIKDAFAMAGRVKDDEGRLVLKVVVDMKEDEASS UV8b_05905 MSDVKFKLNTGNEIPAIGLGTWQSKPGEVQNAVSYALQNGYKLI DGAYCYGNEDEVGQGLEQAFRAGVKREDVFVVSKVWTTYNTRVAQGLDKSLKSLGLEY VDLYLVHWPLLMNPQGNDDKFPKLADGSRDIIRDWDHVEAWRQMEDVFASGKAKAIGV CNYSKKYLEQLLPHAKVTPAVNQIENHPSLPQQEIVDLCRDKGIHVMAYSPFGSTGGP VMSAEPVVKLAEKKGVSASTILLSYHVSRGNTVLAKSVTPARIKANLEIVQLDADELK SLNDYSDELAKKGELKRYVYPPFGVDFGFPDKQ UV8b_05906 MVPQRPQAAFPPLPPPPRLDPTDHSECILEQTSPETDAADRPQS AVILRHPSPLSHPHPSHSLRAAAGASQLEFDANPTRHIVAGSVSHLPLSDSQQSRAAE GGIGMVDASTTEEVDRDGALPRERSAAPHAKSQMPTLSGPIPEDSGIGLSQPTRTIEE PSRSCSFAGLPPIRRNSTFDLESERKDRSVPDQSYDEEDEQNHGQPPIRPSLVDTATP SLAEADLDNSSNLSSQAEPIVVGSDTARDEHPTTHSSLATDYKAGMIEAHVPAGIQNS KQQGLNQYAVGRDHGAKMMSYYTQKPVSSGPWKLEESKLYQPANPVSRNRAGTGRPPQ QTMYGFDKEIGPPSSTLPTQPPIQRHASGAIEGAVERQVPPSRQRSDAPPSSAQRWPE LFAHPPDQRLRSNSRNGAPPSQSLYPASAARDASAAPTSQRGELPIARVRSPVEERGR RKRNSGFFRDLGQRIARAASRERGSSMDYRPPAAAHSRVYDAAALSAAGGGELHEREA RRPSIVVGRPARASMDQEPRHHVGTGIERPVHGQHPPRTPPQSVKRRSFFGGGIRSKL VSAGLAKSSNSSLPLAQEVGPAVQSQEGETSATTRSRRVFSMTKISDLLNRNNRPHDG LEHSQHEAEYSKRPLAPFPASGRPNTTNSAEQPLQQYHTDGSLERRGRRRPLSGLFTG VLGKGSPSKTRVSQAAQHVPQDQAYSHHSSHHTWPERQPHGSHSQGASQQDFPQETTG PSLAAYTPQAPQEAINSGRKPVEAFEPSASRLASESTAQQDGLGDCQHLGWSAEQASP FQQDQDHSVAAADLPKEPPRAVSAETESETQGDRSRWEIEPDDEEQIWYDPVSPDSSM LSCPEYSDEAHETYEEAVGGKPARLSSDEAISSVHDTGELVAADKPAAWGGSIRSGDD ARPSVTAGPSMPTPSAGGQSLERHADGADGQRRPFAEPPVKADGNAPEAPQQETQTHH GLGSYGPPSPGHIDAAQMAQPPSAPLPLHQRPYHAQHQQRQPRDTPPHPTHGEASVPL ATQQPARSPRPGSRWKDMSKRLSGHMSALGQQNDSSPGSSPDRRDKGSGSKILDALKR NSRQLEPPPAPPIHVGGASHAEIQWQGVPLPPKQPRFFQEATAPSRHGDARPRAASRS TPRPHAQAAPRHAARSHHERPHDRAPTSPRGYEDEAVLGDRGAATPTGGSTPTGGSTP TGGSDARARGLERGFSEQSLMEGREAEEVGLEKRVLELGDAAGVQRRRARSASQEEKI WLDKGDDGDYQAQMAATSSPGQEWDPYGGAYWADGSKD UV8b_05907 MDSTLNGGLGGGHSPVSPQTPAGGSAYRVNVKRTKTKKWVEAKV QSYDGDDWGGGDYDDDDDDQDEEPEPVPPIPEPLSHTGVSRDSRLPSLQTHHAVPGPI PVVGPEQAPSNEAAADSQGKNEMATSQHKGLAHEPGVPEIQIQTVTVPGTVQQGQSSS SARPSDICYRAKEQTEPGNQPTDLTGQILTVARGHARSDSMGQDENFHVASFPGHDQT EDNHNDVDHPDNNRRSSTSPKLPDVARMSAFGTDLFSSAPKSFSVEQPIVEQSDDSAY VGSPTARALEVGPPSPKPHNEHLLFRDSASQREDLKSPKSAGETVPPLRTPSPGASVF KLTVSPGSFEPQMFTRTATFDTAMSSPVKDNDMLSDEILRSLSPAAAPEKLSPAIAQD DSVASDGRAVRDINSNIKVYDNCWGDSEPKPKAPDALVPNSIIPQVPDPDSTTFASFS QSTVSPVDKAATPLLLPQSSTPRRRFSWEGEAESLTQDQPSSPTNSATIFAKDSSGEM PGSPAIEITSLGENKPPMVSTTRVVEISPQVSNSSSRRATFQQPVVEAPSPSISALSD HHSTAAQAGNGISLSNDDELVQASLLEDHRASSVEQEAALHIPPAAPTPQRMPQTMTL KEILSLGSSKERITKYNESRNAFYLTDSGLDTWLMFLNAQHSETSVTNYCLSAQVAEQ TTPGANAVGQAGGQNAAAQQPYSQQYLLASSPNTAGHPTSRPRLGGLPTSTTTSGSTF GHSGNQIGTKSKEFMQSAGKMGKGLLSKGRIKLRGTGDKNRISRFRALQLEYARGYEL DRIGASRWNDWIVDVTQA UV8b_05908 MAVASIQDRTSEFKLVLAEAQKRQKASRVSAQRRSLLTDAQKTA ADASAQQSRRSDFARKAAEIGRGISATMGKLEKLAQLAKRRTLFDDRPVEINELTFVI KQDLSSLNQQIGSLQALSKQQHPKADQEGEHNKNVVYLLQGKLTDVSVNFKDVLEERT KNIQASRSRTENFISSVSQHAGPTIQHSASPLYGTPNRASPAPASDTLSLNPIGDQQL LMMEEAQPSNTYIQQRGEAIEAIEKTIGELGSIFGQLATMVSEQSEMIQRIDANTEDV VDNVEGAQRELLKYWSRVSSNRWLIAKMFGVLMIFFLLWVLVSG UV8b_05909 MPSIMSTEATPLIIREVGGHGAWTRKLFSLEHRVLLAGFLITLS FSYTQVSLLYVFHLMECDAFYDENPPYQGPGDECNRNEIAAGTATQFSILGMSTTLCG TLNLLIAGWVCKRVGPRLALMMQTLVPAVRVATQILGVVAGKRTGMMIIQCTQVITIL GGPAGYILVVNIIAGEVVEPVRRTAVFGRLQGAIMLGQGIGYLAGGMIGDAIDIRAPF DVACISFLVSCAYAYLFLPYISPDSMSSAAKPGQKHGFLAPLTVLVPQRLCHPSGKTG RHFGVIALCAGIFLGVLATGYAPFLIQMYATAEFDFSQADNGWLMFAFALMRSIFLLF MFPPIISKGRQWMAARASSHARQLDEGERDEAEREPGTPPCASREVSRAACRFDLVFL RWSLVVDGALTTAAAFATKGWHMYIAAFLLPFGSGSAPAARGVMTEMCADSRRADALN AVTLVENVARLATQGLFGFAFAAFAEAGRAYLTFFCNAAIAVVGMMVLLLSDFPPEGS ELVDPQRPEETGTGATGYIGGQVLCELLRSRAQYSISILVPDASKASVIQKLIPSVRI VIGTLDDSELLATEAANASIVLHLAATAHLPSVKAIHRGLSEAPPTEIPSDRPSSHDA ADEVSGEEIDAHVPHGKVLLGTNARGGAARARRLLGWEPRHCSLEEDIPRVVAQEHGL MESCTG UV8b_05910 MPGPMTKPPREASPRHEPSPARRTPPNPWLRALRSVVTVAALAA WAWLLFLRHRHRALRQDASAAIPNQVHLVKMVQDPASRADFAFCFTDFLAAYAAWHHW RPRAMYLHTNAGEAAVRDARAGLSGRWTERLLRIPGLAVVAADADAAADRVAVEAVRA AGGIALAFDVFALQDVGGYRRGRHEFVSYRLGEGGAAGHDFFMAARGSGVVEAWAERL RRRPGGEGASSPSELILREGSARTAGAAAQGVRLMDADEFVSDKRDGSVTGRLLETAR GGPHEEKWTGERWEFLTPRHLLGRRSVFARAMYPVVRELVDAGVVDADEDEIR UV8b_05911 MAECAVTEAWAASFCLGCDKHLLGQHHYCSRDCYLQDKEAHSSR LQKLAELRSPMPKLLHPRMPQAKQAAATTKRPSGEASPTQQRLETGNDLPHRQTTRIY AKLFESILATDIRAEAQVPHL UV8b_05912 MRDGPPSGAADPVLPAHLVLDARRRGAIRTSRTLGDCSRIDMSN MTAESPHSIPDNDSGVLGTPERLPSHRLEAADRWRLHATLPLQTSGSVCST UV8b_05913 MESTPMVHDAYGAPRSRTNAALATAASLTQDFEPIKNICAHLNA FHAYADDVHRSVETNHYCSHLSDDVRQCLLYDSPDRNARLIGIEYMIKPHLYEALPPE ERKLWHSHVYEVKSGMLVMPNPMVPQRAWEKAETKEMEEIITLYGKVFHLWQTDRGDK LPIGQPKLMTSFTADGQLDFAKVEERDARFGTDYRRKRELRSDIACPEIHPDADPGQP KGSTATTAAAP UV8b_05914 MAYQILCIAVVAAIYALIRFLNATDIPKIKGLPEVPGVPIFGNL IGLGNNHARVAQRWAKKYGPVFQTRLGTRRVVFVNSYDSVRHFWITHQSSLISRPVFH TFHSVLSTSQGFTIGTSPWDESCKRRRKAAATALNRPAVQSYMPILDLESTVSIKELF EACKGGTQPVDPNPYFQRLALNTSLTLNYGYRIASLNDELFQEITHVEREISNLRSTS NNWQDYVPLLRLWGKQNAVAKENRLRRDKYLKEMLDHLKANIENGTDRPCITGNILKD PEAKLNADEINSICLTMVSAGLDTIPGNLIMGMAYLSTEEGQAVQAKALEAIEDVYPD GDAWEKCLVEEKVPYITALVKEVLRYWTVLPICLPRTSVCDIPYRDAVVPAGTTFFMN AYAADYDPERFKMPERFVPERYMDDNEIGSQHYAFGAGSRMCIGSHLGSRQLYTAYVR LITAFEMFPSKNRDDTPCMDCLDCSANPTSMTLDPKPFKVGIRARCEEKLRQWIAEAE ERTEHLR UV8b_05915 METFFFTPGWSQHHQPSSGRALGHLRSLSSVAAASQSTPSSPAP QKPRIPLKSPSTPQLPTISLTPSHSSDLSDDMSTLPDPRNRPKTPDDDEPEPGRKHHP DLDGEVATLSTKLINAINHQTLLDDTLSVTRLELAAAKDHIRQLEAQNATMRDTLRGH VWIRRSTLDAEKKAMQTEKKAIQAKMAEETAKRLETEKEKRKIEQELENLTTALFEEA NKMVIAAKEDAQAQHDALQRKIDQLKAQLADSESLLKSQQEQLSELKRVMESMASEQD DQTYGTAPPSPAMGKSHEPEHDGRALSRAAGTVPPSPFVETCAPCPPTSLHHLLHPVL RTDLTAYHDFLNLARLSHNRNSPGRGSSTSVSGFNALGLSFGTRPSSASAKASLGPSA QVQADQSAPQSPNTPASAASSGSAGAPTSPPLKESRFYKRALVEDIEPTLRLDLAPGL SWLARRTVLGSMAEGSLIVEPVPASASATHSAVVKPQLHPCALCGESRNDARYLRNHR FRTSEAESAQRYPLCQYCLNRVRSTCDYLGFLRLVRDGHWRADGEDQEKAAWEESVKL RDQMFWARVGGGVVPHGYGAPADGERSSRTSHESEGSRKYSTDAAGSTLEKEPASPNN YSTEAAGTAHAKEPTSLGPENLCRASMSKTAEPRTPPEQTDSGCLAGAAVQSLEPTKL DDPDSPGSTPGHSITV UV8b_05916 MARSSYARNKSPRPQGNNGKAKITSYKSDGVEDHDIFQLPSSDY VVGLGLTLLGALVRIFRIYQPSSVVFDEVHFGGFASKYIKGKFFMDVHPPLAKMLIAL TGWLAGFDGNFDFKDIGKDYLEPKVPYVAMRLFPALCGILLVPFMFFTLKAAGCRTVT AAMGASLIVFENGLLTQARLILLDSPLVAATAFTALAFSCFTNQHEQGPAKAFRPVWW FWLAMTGLGLGITVSIKWVGLFTIAWVGALTLLQLWVLLGDNNNVTMRLWTKHFMARA FCLIVIPLTFYMAMFAIHFVCLVNPGDGDGFMTSEFQATLNSKGMQDVAADVVFGSRV SIRHVNTQGGYLHSHSLMYPTGSKQQQITLYPHKDENNIWFLENQTQPLDSQGNPING TMAWDNLPDGPIFIENGAVLRLFHYPTHRRLHSHDVRPPVTEADWQNEVSAYGYEGFD GDANDFFRVEIVTSKSKGSVAKKRVRTIETKFRLVHVMTGCVLFSHKVKLPDWASEQQ EVTCARGGSLPNSLWYVEHNEHPQFGPGTEKVNYRNPGFFGKFWELQKVMWTTNAGLT DSHAWDSRPQSWPLLRRGINFWSKNHTQIYLIGNPVIWWSSTLSIAVWFLFKAVAVLR WQRSYDDYASTTFKRFDYELGTSVLGWALHYFPFFLMGRQLFLHHYFPALYFAIVALC QLFDFLTVRIPGVGAKDNAVVNKAATMLFLVLSIAAFSLYSPLAYGNSWTKNDCKRVK LFDKWDWDCNTFFDRYDQYTPGYEADSNVPSSSSPAPPVLESQLPGGAGVSADAGPAG ARVVNQEQRVEYRDQNGNLLNEEQVKALQGKVKFETRYETRTRVVDEGGNEVAAGHAA VAPPHPDVQGVNPETVQDNQQQAEADSNVAASRDGEKEAEQMKAKPASEGQEATHRDE L UV8b_05917 MAAAATKPDPATVLDELKPPSGVVLPPREIRNILEKTAGYVARN GAVFEERIRDKEAQNPKFSFLNPADAYFAFYEWRLSEIKAGRGTDIAAGRAGEAPAEP EKPKGPPKPPDFQFSARMPRINQKDLEVIRLTALFVAKNGRQFMTQLAQRETANPQFQ FLIPNHTFHIFFQHLVDQYTALIRAAGADGEGGKLQAELTAELEQNMQDKYRVLGRAK QRAEYGKFQESERQKKEEEEEMKKQEFARIDWGDFVVVETITFSEADETANLPPPTNL SELQYASLEDRNKASISANLRIEEAFPDEDLSNNGLAAAPPSTQTLPVHPAYGVQQQQ PSHPHPQPGQPAAAQAYPNGSQPQKAAQDDEEARRIQERADAQARLQQAQSEARGSAP PMKIRENYVPRAAQRTSSKPGAPTALCPNCKQQIPINELEAHMRIELLDPRWKEQKAA AESRYTATITGSEIAQNLKRLASQRNDVVDPSTGQPISEEELARRKRAAVNPPEVQQP DSRPHPANHPHSVNVEEQIRAIHQKFAADKK UV8b_05918 MQAMPDTRHQSFDEIYGPPENFLEIEIRNPRTHGIGRSMYTDYE ILCRTNIPAFKLRQSSVRRRYSDFEYFRDILERESARVTIPPLPGKVFTNRFSDDVIE GRRAGLEKFLKIVVGHPLLQTGSKVLAAFVQDPNWDRNAW UV8b_05919 MKGQKNSRHGLNQSNIKSCRKCKNHKHCKECRSKQPGDNPFPTT TFHGFPNLPPEIREAIWTLALRRPCIWEVDASLTLKPVGKAPYHVGNSCTEARFWMRK LYGQPVGHELHPTLHERHRWIDFDNTLLRLSYCGNKITWPLSPARDSPPGLTPAEATR VRHVAALYYQCCRGLAGVAFVNLRKGGQKNIKFVARDSEALTMNMLALSMEGMDVFAH EQVRGANNRRAVSSAHTFDYLVVDQVESGMMWSAKDAATACGYEHPVWYLFWGKRPLS RLFESSIEQKRADRKRR UV8b_05920 MEAPRRDHVRPERSLIRAISNANVFLLLVPLGFIAYAEKWPDAL VASFNLAAIIPLSVHLSAASGAIGNRWGSLIGGLVNATFGNTVELIVGVLAVLRNEPR MAQSIMVGSILSDILLVQGCCFIAGARSTGVLQVNAAIADTLSTLMIITTVALVLPAA LCSTLTSRGGSFHVDSMVISFSRATAVVLLGVYIAYLYFQLKTHASIFVDQEQDGQDE ADNRIDTEAETQAATCSVDRPSMLKVAAARVILVSSGLIIAKCTTNFMESLDGMAETL GVSKTFVAIIVIPVASNASEMAQVVDASRKQKIDFAIGVIIGSILQIALFVLPMLVIV GWALRQRMDLYFEPSQTYILLLAVVLVNQVLQDKHYTFLHGALLISVYVVVMAAYFT UV8b_05921 MAKVLPDTIRARRRAMADNSALLDGEESQPPPPGIARTPSVFLR MQPDTRQEIGGLLKELYSSPTKALLIFAPAGIIAGLLQQPAVTVFLLNLMALVPLPGL ILYSVLVATGDSALLGGLLGAVLGNATEIALGICALFLNETRIAQGIMIGSILTYCLF VLGGSFLVASYGKKEKTFSKARTSIMSSLVMSASICLAIPTVMALAGQENKARSHSLP DHHLFLSRATSVVQMLLFLAYLVFRFQTHRRIFPRNSGSPREASGTSRSCSSRAHLLR TSLAALFCTFASSYYLVSRLSVASKTLAIPESFAAMVVLPQAGSLTKAVTIARHTRSD ASPLPEQISRLDFAIRSIMTNVFDTELFILPMLVMLGWAVGVPMRLSFGLFETVIFLL AILIMTYLVQHGKTTYFEGIMLMGTYLTIATALYLRPEGASSPSSGMTTP UV8b_05922 MSLSSDAARPLLAPDEARQTSHGILPLARRIIDEARHSLVAALT CSYFNVLLPCVPLGLLAGRWGWPPAVAFTLNFLAMLPLASILTFGTEQLAAIVGSVAG GLINATFGNAVEMIVGISALREDDIAIVQSSMIGSILSSILLILGLCFLLSGLGKHSV DINMDVAGVLTSLMIISCVSLIMPSALHLASPQPGDPGDRPSPQVLLLSRVTSVILLA LYLVYLYFQSVTHADLFREDEDPPQVRLHGVSSSAVLVLATAGVSCCSDALVESVDGF VEALRVSRSFVGLVVVPVVGNAACLVGTAQWSRAGRVSLAVSVIVGATLQISLFVTPL LTVVGWAMGKPMSLQFDVFETIVLTMSTLVVNCVVRDGSTNYFEGLLLLGTYVIVAIA FLVNPDDVSTVAGWGQ UV8b_05923 MKSAHFLIAWVAAAVLSASNSRFGIHLPEQPVVDTREGESDLFG PEEPVFDPREFELFGQEEPVDTSRKGQFGIHLPEEPVVDPRKGQFGIHLPEQPVIDPH QGESDLFGPEEPVFDPREFELYGQGEPVDTSRKGQFGIHLPEQPVIDPREGESDLFGP EEPVFDPREFELFGSEEPVDNSRKGQFGIHLPEQPVVDPQKGQFGIHLPEQPVVDPRK GQFETHLPEQPVVDPQKGQFGIHLPEQPVVDPRKGQFETHLPEQPVVDPRKGQFGIHQ PEEPVDNSRNGQSGIHLPEQPVVGPRKKRRPGPPKGRPMRRKGQSGLDMTAPAAYKPT RLDDGMIPSIPIRTPPLPTPIAVRHGMWWYEGICDETVHMCYWHAVVKSGEPRKRSGK HFYFLSALRHTYCRLGSPCEGRHAPCWANRQGPGENAYCTAESIPDFIRVGIERRRAQ KAKEEAERAKEEAERAKATRVVAAATKAKAEATRTNEWDPFYEDFDALEERVWGS UV8b_05924 MNLRHALVVLGAARTMAADETKPAWRPAGVVALIGIDGDKWWSG HCRFTTGDCLYNPPTGRVNLELVKDPLPRKEQYLTPAGCDEESPCDEDEQPCLVHQAS LKAYCRLSSEPYFYWMRPTVEPNE UV8b_05925 MTTGFEAAAKAFRQLIHATPIIDHHAHPLLKKSQVDQYPLLAIA TEARGEALESSRTSLAHIRAVKQLSKQLGGCDATWEAVERAVEQRRRSDYEEWTRACL SGIQCVLVDDGLDNEEAVESYSYFDRFTPSPSKRIVRIEQVAACIFDKACLAHDSAAS AFQSAISSLETVIQDAIADHEVVGFKSVICYRTGLDIAPKEEELGAREAFAHIYAGRR REGASRFARIQHRPLNELIVHRLAQLIRDSSARHKKPVQFHTGLGDNDLTLTRASPAH LQDFAREYPQVPLVLLHSGYPFERELGYMAATYANVYADIGEVFPFVSRGGQESILRH ILELCPWEKVIWSTDGHWFPETYLLSVAQMREAFCTVLCDLVQKGDVSWSQAARLVQD VLFNTSNRIYNLGLAGPQRSSLQEAALDEQSPPSNPTTACEQSKKVLDMLSKPAKPQY LHLCWVDYTGTLRLRVIPSRRAEALLKIGAHSLTATVTKAALGLLQNDTCVADVGPSG LHVLHPDMSSARHGPREHQLMMMCDFKEEDGSTVGLCPRSILRKAVDRARQENLELLL GFEIELVLVGASRSEHWPTLDSPGHAWSSSRAMDHEVVHKVLEPAVEQLEQAGVHIEM LHAESANGQFEVILAPYPPLEAVDTLLFARQVLSACAAAHGYKMTLHPKPVADGCGTA AHAHVSLRCADTGREAAYEAFYAGILAHLRAICAFTYSNMASYERVQDGCWAGGTWVA WGTQNRETPLRKIKDSHWEVKCIDGLANPYLAVAALMLAGVNGVAKEEKLVWGDCTKD PATLFWEERLNLGINSNLPANIEEALVCLMADDELTNLLGEDVVNRYVAVKKAETRLL KGMGANDRKRWIMDRY UV8b_05926 MGNNDTVGPYYAPTGGLPPQTQLLTDRAMFTQAYAVIPKGVFSD IVTSFLPFWERTRLWVIARPLSGFAETFSQYIMEVQPGGGSDRAELDDAAQGVLFVVQ GQVAVSVAGRTHTLAEGGYAYLPPRCAWTLRNAGPSAARFHWIRKEYEAVPGLDPPDP LFLNERDVAPAAMPGTHGAWATTRFVDPADLRHDMHVTIVTFEPGAVIPFAETHVMEH GLYVLEGKAVYRLNQDWVEVEAGDFMWLRAFCPQACYAGGPGKFRYLLYKDVNRHMKL SRRM UV8b_05927 MKISLVLNGLFAAAVAAPAGPSNIVHEKRHVSPRWIKREAADAQ ARIPVRIALKQRNLSRGMDYLVEVSDPSSTKYGKHLTKDEVVGLFAPDESSINAVKSW LVRSGVSASKITIPRSKGWIDFRATVGELESMLKTKYHMYDHVQSRNAHIGTDEYTLP HEVSSLVDFITPGVVMSKTSRPSQNAKRDGRLVRPHVALPEQVAQLLAANPASTSHCS QYITPACIKAQYNITDGTLSDPSNRLGVFETADDEFSQDDLDAFYAKYASNIPKGTGP KIDLIDGATAPADPGDAGGESDLDFEIAIPIIYPQGTELYQAATQNNDIFNTFLDAVD GSYCSSNGGDDPTVDGATPDEQCGTFTPANVISFSYGTAEADYPTNYLQRQCNEFLKL GLQGSSIVFASGDDGVARRSGACLGSNRDIFAPGEQASCPYVTTVGSTVLLPSGQEAA TETFSSGGGFSNIWTIPDYQNNAVSGYLAKHDPGYKSYTTQNGTIPTTGGIYNRAGRG YPDVAALGDKAVIVVNGKFSTSGGTSMSAPLVAAIFTRINDERIKAGKKPIGFANPAL YKNPSMFNDVTQGNQAKGGPDGDSKPSACGNKGFSAVRGWDPVTGLGTPNYPAMLEYF LKI UV8b_05928 MTAFIPWFQTRIPDSHSALRSIIPSLLHSISEIAVSLRTARHVS LVGTANAFGDDQLNVDVTAENIIRACLATCPSVVAASSEEDPVERPVHASSADSSQQY AVAFDPLDGSSIIAPNWSVGTIIGIWQGASALLQVPSRKQIASILGVYGPRTTAIVAV RIPGTETLCFEIGLSDGGVKDYHVVRESLRLSSPPFSIRYFAPANLRAAADDQRYLEL VTHFIQEKYTLRYSGGLVPDVVHTLVKGQGVYLSPVTRQSKAKLRKLFELFPVALVIE AAGGKAIDPLDGSDILSRGAGQCDERGGLVCGNVEDVELAVEKLSVH UV8b_05929 MPSDDMPSDDMPSDDMPSNDSRLLPVLVDEIAAADPTRPYVFQP VSRHPRDGWAPVTFGELANAINHVAHLIAATVKKDSPAAFPTLAYVGPFDVRYGIVVL AAVKAGCRALLLSPRNSLQAHLSLLQATDCHHVWYADSFQPTVEPWTRERAMAAWAVP SLREWLDAPPQRFPYQKSFEEARFDPIVVLHTSGSTGLPKPITVKQGSMALADKFRGL PSFRGGDFFMKKLADATTKLFSPFPPFHMGGLFSAVLPFPIYYGVPAALPPADRPITP DLVLECLEHAGADGAVLPPSLIEELAMMEHGREALEKLAALAFGGGNLAKTVGDNLVK HGVQLINGISSTEFMPYALFHQPDPTLWQYFIFNSQVMGAEWRPYDPANNLFELTVRR KDPNDPLDQPAFYAFPDLTVFSTGDLFRPHPTLKDHWIHQGRADNVIVFSNGEKLNPV TIEDGVSNHPQVKAALVVGHNRFQPALLLEPHTHPKSKEEADSFMENVWPVIEQLNKE TVAHGRIPRELVALSDPDVPFPRAEKGTVQRVAAVRAYEQRIDDMYRKAEAAGAGNHQ AMKLDTEEAVLESIVAMLDKIGARGLEPNTDFFTAGVDSLQVMNLSRALRVGFRAAGV AVDDAAVAPRAIYANPSPGQLARYLYALVHGDGASESEPSEAATWQQLVSTYTENLPL PRAGKPKPLDQGQTVILTGSTGSLGAYMLDVLCQLPHVKSVIALNRGQDGGESRQPHV SSERVLGTDFSKVEFLSSDLSKPDLGLGEARYKRLLDTADRIVHNAWPVNFKMNVRVF EPFVRGVRHLVDFSSAAVKQVPIIFVSSIGTTMNWNSASGKVPEVQLTDPALAQMGYG MSKLAASLILDAAAEQSGVPAASIRVGQVAGSRRAKGRWNLQEMIPSMIASSVHLGIL PVNLGPLDVVEWMAVEDVAGIILDIAGITQGKEVSDISGYFNCTNPRAVKWPDLAVVV KDFYGGRIRELVSTEEWISTLEKSATDVSNIDKNPAIKLLDTYRGFAAGQKAGIESTR FETTRLESQSRTAANMGPVTPQLMQNWCSQWGY UV8b_05930 MSKLPPSLKALINAPFARPGPTPAPPGIRDVYERIARDAAAREL GTRPWLAISTAATLTRNAPDALPVLHAVAASACGAGGHEAPLAQLAQLAELMREVGLK CVSFNGIPRTINCLVAFRAALPDGVVARLASAPSRTPTPRGLDGVASRGRRLWDSIYA PFGRKLVDKLARAHPDLPVHILGSHYGPLLSDPGPGPSAGADPAGGGSLARTGRVLTS LVAIACLRAQTGVGPQVLSHVFGLRKAFEDGACEDDGDGDGDGDGVRWLASDEGLEWV LRTVDGIVDGLDGYGYAGAKL UV8b_05931 MVIVARRQVSAVQKHTLHSVRRAKQHSANHAVTGKGEDVSSYVF QALTRQAASSTTYTAGNPPAGQAVRKKNGTGAAQAKHQTGIEHGPSTPGTNPEGDDGD SSACSSPRSRDAAVHADDVSNRQRGAWVFPAEALRGCQPSRPLLQQRDPWHSRN UV8b_05932 MPSLSVLALALAGAAAALPSAPASSSQAPVPNSLYVNGVLKGSS SPKSSSTPSLACSADDDVLQCANRVAKDIQASTNVQLNNGSAPNFPILVQRQIPDHYE VDLAWSSKLFDCSVKPQVVSQGEGYFCNSDKCSQQFTFRRSKTDTYSRGYSISATINS GLQVNVFSASTSITSTFNQDWSNSVTTETTIQRTIDMKAGQVCFPSTVQLRMDCQQIL TLRPGGGKAEYSLGKRLLANEFRELARVPDICKIWTPTPNFPFDKAPPNSVSSEALGK LCDASKPTNVTLYVTAPGGAPWSMEGCMRS UV8b_05933 MPSSTLSSPPYRSSSSASSESGCSTATSPVAGYRHSMDPAPRPV ASVEILRCMRCARSVEATSTDDLSTTGMVRIAHNLYYCQRCAKMVGYKRASQ UV8b_05934 MNAINATNAPRTRPERAPLTSSWIHRLRQHSQDACKRFITVSNT MLRQDFNRIDPKRRNVVDHRKKQFAVPQYKEAEYPYRLNFYTDAPTEDITLEQFEQWA IDRLRVLAELEACSFRNRSPAETADHIKPLLDKYLPLDTNSSSKARLRAQRQKDHYSH FILRLAFSSTADLRRRFTRVETMLFRMRFNSDDLAERSAFVSSLDLDWWEAVTDDEKR DYAEELAAMGGGRKFATEDDLWFKVDWERVPDLVEGRRVFVKRGKAFVPGREQSSMVV AEFSSRLEKQLELTARALPRLDEDDRLTPILNHLSKSFITPDAAYSSASSSSAPAGAR TTAANVDKLSQHFPACMAHLHRSLRRDAHLKHYGRLQYTLFLKGIGLSLEECLVFWRG SFSRLTDDQFNREYRYNVRHAYGDVGGDANRRGGGYGPLSCQRILTEHPPGPGEAHGC PYRHFGLENLTALVQAMGVSDRGVLQGVREDKDTQKYHMACNRVFEHLHKAEIKKAKD EGAMTSNQLETIVHPNEYFKRGYLLKNLGKDADVRMEE UV8b_05935 MRFNLGLGSMAAVSLAWVCLGDEQSAPSLSNLTSALATIPTCAL TCLANYIAKENCTATDPQCICVDKYAAIQNRAKPCIIEACSLPEALFTKNVTEVACNR PVRDKSGRYDAMNLAMGVITALLVTTRLVYKKFFGYRRELGPDDWVILWTLILGVPCT ILNSVGLIANGLGRDVWTVTPDELTKFIMFFFVLQIFYLALMCLIKLSLSLFYLYIFP GTTVHRLLVATCVFNAVFGVAFVLTGMFSCTPISHYWTQYVNPEISGRCINLNLFAWV HAAFNIVTDLWMLALPLSQIKSLDLSWKKKFGVIFMFLIGAFVTIVSVLRLESLLVFA NSTNPTWENWIVAWWSTIEVNVGMICTCLPTVRLILVRVAPRMFSTDMSNGQSYETHG MRDRYVRNSHVMRRKQIDIEHEHEPGRW UV8b_05936 MSGAGAPRTNASLNQNQPDPGHRIRHGSDAQGSTQFDEPCSTRS KSKSRSRSRSRSRSSKPSGPHAAESILVPSPPSSHGSFRQPSDGKRDSTSPLRILTDL SSTVPVAPKTCGRESQHPPSAAAGPSPIALNHKASAAAVTLPFPLSRTPSVKAAFANY SGSLGNCSSTISSPAITALGDMTPLPSPLLSSDSPGPWRILNAASTSPPPPSTRSRLP ARSDTSCSISTNDESVDVEDPMSSPTRRKQYGGLKENGSPPLPHSSRQATQSNRTRHS RDRSISEYIPETPSLKRNVVVSCSHVATKPASEAQESLMRREMNFAESRGITAAVIQP PTPPPSESSKDSVDGARSKDGDSEWFQAHGRHDRKRRRWRSIGFLGQGTFSRVMLASS QIAPRDHAQEQPVGITSPMVADETKRRKLVAVKVCEHGPRGGASEERIEMSLKRELEI MQDIHHPSLVNLKAWSIEPTRAILVLSYCPGGDLFAMASTNTRLFAPSLLRRVFAELV GAVKYLHERNIVHRDIKLENVLVNLTPPELADASVDWSTYPTSVVTLADLGLSRRIAD NEKLETRCGSEDYAAPEVIMGQPYDGRATDAWSLGVLLYALLERRLPFDPHPGMGDAH RMRSRTSHRIARVEWRWVEYAGEDGEHEASEAKFRERGLLGAMEITEGLLKRARSRWG IDKAAGRPWVSDAIRVDGGIKFRDEDEGEEVEQAIALG UV8b_05937 MFNLPPDKQRNPGFHADSYGFNGQPWSRFDFGQATMSSSSGFSA EQAHDERQDFGGMGGMEWAPTPSSVQPDYYQGHQQHPGDTDMELEALSSPHSGGGVGR LVAHFENKGFNPFENRSLASAPPLPPRPLNATVSMNNHTDGNDQRHHHHHQQQQQQHA AQSPSISMNSFQASLSFDPLSSYCGNGVSGGGGGSSPSFDSNRIASPVTASGESWGGP FPSSHRVTSPAAMSPTCMAFGSYHDGRVASPGAGPSPAPFGSLDGFMPGNRMRSPVAH QPDMASSPLVPSPVTPNSAAHQNTPAIGGTPGFEIWRPPGSADTKSLNRNLSNALGSS ANPSIAGFRSSSSRRGTSSNGAIPNHATTSPAASNNNHVSATNSAGFLKPPVPTTPKP HISVGNQFILELNPITKAKSRASTKPPRPRVPPPIPLPFVPEIKQEPETPRPLEMTPS CPAEVNGLSCPFPDQKPASASLRGQIGTRPSREQVPAEAWEHFKGIIRALYLEERKPL KEVMAIMADKYSFQATPKMYKTRFSQWGFVKNNTEEEVKRLLSMKFQRDAEGKVSEFV RNGRVVNLGTYLKRKGVTEYDLVDFELPADLPAHVRCRTPTPPPAPGYLRSPDLLRAQ ELVVSNMRKAFLHCRQFEDETEARIGWPVTMVWGAGSSELLLEANFYFEAQDATQGGN LLIHAFQQLEVDLKKLTPLGINELLLGMVHRDPGMMTALCKYLAAYSTTNFERSHPLR QIFTCLYEIQQKHGSMTVSELLWGSMPAIAEELETIYQRHHPYVARTWIDLALFYNHV NVEQFDKLVSELRLQQHQVEQRYGLSSADALALRYAILQSLYAANPRSEAVRQAAHDT WNHMRRANVVFGLRDAKANVYCYHCPVKVDPWTKRCRRRYDSGVAILEQHVGVKIQPY FEEDFHHAVHVPDAQEAWSSALDHMGSGKYAFI UV8b_05938 MPSMKPGYSLSARPLGGYGSKKSQDEWPGGSIPRWVDWSVSKDA ELPRSERQVASTPKPSTRGPQVPVSRVSVFPTSSAKSLPDTEPRSSRTTGGRASSSDF AASHHPAGSGSSSSGRLFDSTSRATHPLYKRTRPSVARNTASSHKDPGSTSLSSGSTH HFPGSPKPGLSHGHEREGTQSSAGTRVKEEVETQKMLAQPPMIYPELDRYRDFQRPEY SIRRHDIDVPHRLTTDDLPPPTPGSLLFSGGSSQVSAVSGSPSTKFSESPGPGPYSRD TTPTSISSQSPVFVAPSRIGASHRNGRLPSATTTRPPVTRRRAGSLSNELDTEAVDLH GLAAVRESVTSSSSNSTVKEGERDTRKKGAKGRHLPPPPPSPPPRKSSQKLGKSATKD ATEASRSQATGESNAPMVSSFVPSSQTLQAAPPARPSRHNTPDMKSQLLSVTPVIQSN LTSTVRASEGRNNTTLGATTPGPTTSPRCIKHTGSASDISGSARDGAIVPLKTRTSIE KKSRTLPPAAPSSSTQSSSKTRFLFFGRKKAGSSDNTASIEGRTDSKHGKSSRKGPVA GTGHEGYGRVGAVRRRSGSGPALPRSVTDPLASCSSLGSSDSFLADRMNPVVISGGEV IQNRNASSELFRSGSNQSIMGRPSTDSKVSSEGSSIFRHHPASPTGASAATRGPTLRR ASQGSQSERVAMESTLAFRRSVHRLRSSPDNPLRLPQPIDTSVHTPSPPMTSLDTSIL SDESFNDLHRDLSRDSDASKKLQKKLQSPRKYKWNLFGRSQGQANDKTAKGIEQVGAH FKMVEKRPVAFYAILDASGRDEIEPTDIQEVLRDADVYRKSPRLVNNDGEKFSLPQTC LGDSRVDSAPPILWHASPNHLSNTTLGSSSATDWFGRTMAEAPYVGGKRSRLPQVGRI PPVVKTRSETGSPRSFSKPFWASPQPGVKPRTEIHDPESIATGPTPPKLTTPPPDSTA GDRTSPESRTVTVLHGNSSQTVSPGPGQTDKEFLSFSPRKNSNGTIYASSCSSGAANP FATATAVIPKPDDPPAEDEVWDEYNDLLGEESTKGTQSATSSKGIPFPWERYLNQLSE GKEALESPIIAANSGKTSTCSKAPTHSSSHSADMTEHIRTVLQPKPSPSSTVMSVPMK QKRVQRDTQAKPAKEMALKRDSASSGRTTFSDRTSCSSNDASPLAQVNLRVGSMTVSK WLTFGHVLFSDIRHGLSPTKNSLKHHSVLVIDGLGNDDWSFYAAETYPGASFYNLSPR APLPAELGNSPTGFPLSPPNHHQIQYMSHSEKFPFAPQSFDALVYRFPVVAPESHYRN ILSEARRVLRPNGYIELSILDSDLNNMGNRGRRSIRRLKEQIRLRIPDATFASTPDLV VRLLGRVGFSNIKAARVGIPVATCITRSRHVEKDKQDSGEKRSRPSLAEMMSDNGPLA DENITRMVTRVGRWWYTRCYENAAGNKSIWNDKALLSECEQSGTSLKLMVCCARVPDR VTTSL UV8b_05939 MHVLVPRLESATQTRRADQAKSRHSSLHSLPKPWIRRTMVRSQV DSVMACHASCPPSGSVFPELPQSSRHDMDATAHA UV8b_05940 MTDYNSLKVPDLKGLLGRRKLQQSGNKQALIARLQEDDDKIAAA AKLAAARAAAAKADAEEDQVSYSDGSDDEAAPPETAGAKPVSAAEPKPAAAAPASKKN KDATRAPTSAGEPTEADVAAPSYAIGLASSAADEEAIRRAERAKRFGIEQDDEARQRA ERAKRFGLAQNDLASGLDSALPDRPLKRGRGARGDGEGIRPFKRQSLDRRGHHGRVTR YGGNRQRVGGVLDDAAERAKADKRAARFATA UV8b_05941 MARGTFSRGLWVISPRLDALEYREKLMGSVVKYPDFPIESHVPY HSPVRPKELVPDLDPRPIQVRNMHFWDKNVVDAKMKATIQSIVATFVDATKENSTEAM ATIARVWHMSSPGEKFKALLRDEYYFDEVFKLLQSNHGVGYFITAIVTFVNLEERQIY GSSTGAGGSLEGPFDSRTGFNSRCEAVFGIAHERFHSECYQGETIVFMGYRKIELVKV KGIRAKLRRLFQGEKHGLAIMDRDDHWPEMKELPHQGTVNNFLGGPDSASARNSMEEE RDPEAKKSFSSVFWIRAESWETVAASSLELAYTIVQQHAHKTPPGVAEMRKAKSIVQL DTLRVRRIAQAVKHWLLRPDNARWLLVFDDAGPSSDAPDLRVDATEEDEALSLPGVVT ERVDGRDPVQAVCPSPPRESQWLPQLFERRGLSGSKYETIADAESPLTLLGSTLHQSP VTEIVPRLSCLLSASIIPVAPINAASPARQPPERFRAILGGTERSAAIGRRAACSRVS KTRLATRTRQACQDSGHLDDGLRYLVDRNFMQTPPTPSALRAAPVPLSSAVSERSNTA GSFDCFVVDPRARSHVRALMTDCETTCFDDGSGVLEKAARDDADTAWHVLGASVCVAQ GALDQAVGCFALSLRQRASGADVRGRAEDLASALGRRETLALDEAEALYQQSVDVGTR ATRR UV8b_05942 MMTRRVVRALTQLGAAAVFAFAVISFLDRNYRVLPNAIHGYMPT HYPGLVITDITLVTCSTLNPFSSCELDSDVWHRVDKELYLGRAWTTTAYLYISRKHEK ELTSEDMVVMDVSVGRLNPAEANQGKAVKNDQPWESRPGGLWIKRADSRRSSDASAVT DIDVLFGDDAVEARDGWTVTGTQLLLNTGRSLLSVHLTMRRGAPPKERKKPKPRIADN GRFKMMQISDLHLSNGVGECREPVPDGYEGGKCEADPRTLDFVTKMLDEEKPDLVILG GDQVNGDTAPDAPTAIFKIASLLIQRSIPYAAIFGNHDDESTMSRARQMALYETLPFS LSAAGPANIDGVGNYYIEVLARGGSDHSALTIYLLDTHSYSPNERKYPGYDWIKPNQI EWFRKTAASLKSKHAKYSHHHMDISFIHIPLTEYASPELPRLGEWKEGVTAPVYNSGF RDALVEQGVVMVSAGHDHCNDYCLLSLQNVTQPGAQLSPSPGEQPPPQVQKPALWMCY AGGVGFGGYAGYGGYVRRLRVFEVDTNEARIVTWKRVEHGKTADKVDLQIIVDGGRPV PPPPPPAPASTEQPAPPAPPAVQDVHE UV8b_05943 MGRVGYSYIFVHRLLLLLLLLLLLLLLSSPTDAVGSTVTGEPSE IRRSRMSVATAGQSSDTRIRLRCAEGPRRRGQARDLPPSAGSSGKTLDTERTRTAPAM TVLDPRAC UV8b_05944 MPAMANPLSGLDARRRPAADQQFMFPDPLPRPDAGAASVSADML PPPLGVPRPLPLWLHPSHARHIVKGNLMALSARPETVEPGEWIAHQVVEHYRNLWNFV RVVHDKEDDGTAICNASTCPKMSAGENRSYTWLNRNREPVDLPAHEYMALMQRWISGK IDDDAVFPTDPAGVSFAPHPELARSPAAEGGSGSGSGSVGVGREEWLGGRSGFPRQFV GTAQLVFRQIFRVHAHLYWDHFVAPFYHLGLEKQLNSCFSHFVLTASTLDLLQPEELE PMRCLIDVWAADGTFPRGSKAYTAANVERGRYMMGLAR UV8b_05945 MPPSIPSGDHASPTPTTPPASSTADARAASDTSTNNGGGRSAGP KPSPAPIAVPKHRPRANSRKEPTLLTDFLRGKQSPARLAADRKRRQSIEMVKAELRQE MRQSSVRKLQQPGGVRDRVSKWQKAHAAAMAEGDPDDAATEPTDVAFNEDDQKSVTES DRIRIKFRRTSRSASKPKPLPDFLNGASSTSRNPSGEAGGPPPTSPPKKRVVSDEHWR KPKNRGSPASKTSPSSGRRSTGAKGLPSDFVRQSGASPLVSDKIKEWAAKIDVPDTSS PPPAHRSSRSRDARARSEGATSEMGDSASDITARWVAKRRPRQDDGIRVKPSRPKTRG GGGGGGGNNDDGIRVTPANSLAALDNDGIRVRPMSEVSLAGAPPDKETRVSRSRSKTT SDSGRLSLPSCRSRDTCESANLSQQRRSPSRKETPKIDDDEDGIEQSSRLDTPTKGKE AGQISRLDTPAKGKEIRQGKSKLVFREATSVKDEVSGPSDEQSELSGAPNASSGRFSS LASKSVADIPGEIPFGHSAFSELDLTIKGRPRSRPKRTKVNRNTSLKSVPKVLKKVVE EGKKMIHEMNEPPRQAVANQPPSIEKWLNNTVDPFVDVKPTDETAPQPAPADENKTGE GLADAAKPRRRISHGSKPSHPSAAKSPGRAAKETKKEEAAVEDVSDAAREPATPTSTG LKRSRATRGNSSPVKQSPSRRQLLGVLKEAFQGESSSLPTRLRSYQSSQERKLPSPDN IPEPLQIRKSAPSFPIAETASSLVDGDVGDGPPAVKLAAPRFRPPTTGDHELSTILSE GGSNAVDSDVSSHATRSTVTQSTLLTKDSASSASQAQHGPGLKRRLTKHSDLVSVLSL PDDSSVPDAVRSGRSRPSLRKLRGAPGGVTAQELLREFIDDESLYLRELKTLVDGVVP VLLSHVINGTNATELFGPSSSGQIPETVSKSVVNMGVALEKLKGAHSKAPVSDIRKMA NWAHGVVPMYSRYLGAWRLGFDDVVVNLAPAADWANDQDSLLAALPRNEKGDIVDDDG ERVAVAHLLKRPLIRVKQLTKLMRCVDTLVGSDDTSELVRDFESLQEKARRRCKEETA RIVDEEAIQTDTSRCRDLGTLEALRGVAIDASRQVSAKDVFSLSLSHSNDQRLDCRVE LVHRDNLRDSQDEGDLLIREVGESRRSYLLFPPVPMSKVSARTGDGHLDMVVMVRGTT RGRAWRELLTLTADDEDQILDWLDLLPLTPVPPVPPVPPTEPDPVTPRRCRDEQHQQL AMPGTPSPRESATRAAAAHGSPGHASPSPIARDVSTCSPTSATRDPPLRYRERAPVRE RTPPTSPTPPMKMEREALEKTPTRDDYRASVDYGGRGRPLKESMRPDPADFQDKKQTQ QTQQTQQSQAPNTTPFRPDGAPPPPIHRSLSTSHPGTGGDIAKSAPVLQPRADQPGSD HGLKRRGSSPLKHEYLPSDQSSTSEAYSTEGSEVESSDDDLESLDIPETELGVSIKDD ASAIEPPQSACRFAAESECSLTPAHSASQVGLHGRGATPIKNGSRFLARISRWSDKGL WKDITSDTCSLIVTNGLIEAYASGTAAAASASASASAGDSGHNPGPPVVALDLTPLVL IRQSTAVDLEIRSSVQPHSKLYPSHSGGNFRFRCFAAADCYNLYMSVHQARLNNQKFI QLANDARFKSFGERRRTPEDEDDGDGDGDGDDDASSRRRRRRGWFGRKNSYRSSVRAP SLSHDGASTSPSSTPSASSFLRRLTGGGNLAFNLARSSVDRCSRAGGGPNSLYTSRSS SSSAGGTPPRSPSVSVHNSSQGGGGGSGGGSGDNLRIRLHLLVPPARWEDYGNCSLQI RRPPPGWRQALRANHGLEKRVTVTRLPRREAEQAWIVLDAVLGSGCFSAMGSRGIVCG VWEEVRNGDGVVGMVPETGATGGNIKKWCFQFASAAEANGVLRLVHQEVVRA UV8b_05946 MPAHMWSRRDSWPPTQLRLRLPRASCARSEPRPLLDDIDENPLT YFLTPVETDKDADALTADDMLQFDAGIRDANRPRGTVRSVSPSTLDGLSKLRARTVSP DLDSDALTTDEDEDEVDGDDYCDDDEDDEDDGEDYVRFSPPTASFLSPFRAVSAGSVR PRIRKSPPLGRPAGGFLTPAASFPAPSSSSSSSPRGRPRQALTRSYSAGSRPAPRARR LWREPSPDVWSISEETEEDLRVEASGDGWRLQDMVAKPKKKVRFVLPAGE UV8b_05947 MAPAVPYKTGASFSLNIRYAADEHQRAPAEQPWPARCPRLAAQL RFLAAGHQPAPFLCLQEVLHAQLLDLQAQLGEPWAHVGRGRGAGPRDGELSPILFRAD AWACDASRTLWLSETPDRPSRGWDAALNRVVTVGRFRHRASGARVVVMSTHLDHRGAE ARRRSADLLLRLAAEWGRPDPDPGAPDAPDPVPVLVAGDFNSRPDGDAYRVMTAPGSG LRDVAALAGPRGHLGNHVTYTSFGEPDEFPQRLDFVFVRDDPGSLDVDAYAVLPNSFD DQVRFSDHRPVVADMRLRL UV8b_05948 MSSSSRSLLRIAHVEDADDDSGSSLNGKPGTRKYATSEAPMSPS KANTSKSRSERRLVASSSSSARISYPDSRPSSRPKKKSSSRLPSDKDCGDPEQRARDR ERQRRERRVREEEQDRKASSAAAKERESRPMRKQRPASAKQPSAQPVVRQSYQRGQVD DPASYGIQPAISGNRPRAQTRPASYYAGQPPRRWTNAPPLYPPVQNAAPFAVGTFPPP PLWQGRPGASPGGFAAPPPPSPVGPAPGFFDGGVAASPYSHSSHLRQRFESRPASAMG YHRAPPPPLDYFHQDDYSDDAAPMPPVTRRPARLTRAEDDRRRMPPPDRMPMRPQSAL PPSTPYCPPPTLQRPPSRQNQSRPPPAHRRSVGFVDQHEYDDDDSQSDQELFHDSSPN ASFDHQRAIVPRSRRNSTVYDHHDYHVVPANPRRRRSSVYGSVALPSGGVSLDDQKYD DALKYQEQVSGGPSMPLTAETLLRASKRGAVVSSRSTRSSNSRDDSDYKRSNATGITR TSYNSEDLTTIKVSGVGAKVRVRGAEIECEEGGEITVTTSGGGGGPPGGSRPGSDKAS MIYPQLEDSRSRVERKALPHRPRTASQSDSQSRGYAPSHAPYDYGGYL UV8b_05949 MAPAVALARSFRGTMPGGNTDADGTLGSPGGPLAEAGRLLARDH GSPPRGDPSHGVLDPHDINNAGFFVLFALIGAAFVLTGIWFFFWAKNGGFHFKENDWE EYKSTVLRRKGPNGTVLTGATPSTDLGGGSVYKDVGRGRGEGEGEGDDDGTTVVTEST ALSGVTAGASDVAAREERRAKQQRRERERRKRRGDKARAAAAAQAEDGGGCDEAAEKA AEEQLRSYRHEKAARVGGLNKEADGSQWDGSTNPADSTVSSELLSSRQTAMTGTTGTT GTTGTTGTTTAAAARKAGAIRKVYSTADRNAARESERLRSEARSRAREERRAARRDFS YDRPGASESAASESLLEGSDLGTKSYHHPRPELRALREQEREERRARRAGHRG UV8b_05950 MDGWRDGGMDDDGPARLVRESESSDSDEIDARSTRAVIGGVPRA GRGRGHWLAGSGQRAAGSWQQPTLGSERASDHDQTRHDDTGPGRLLAAAGAGMLCCAV LCRPGLACLLGFGLASMAWLGFAKAG UV8b_05951 MTADPPSSAFTGVIVGLVSSFGSIIFIAVVVFIFWASGCAGCAG SGRIILDRLGRPGEYDDDEAFAREEAEALDTMDDMARAEYLRAKAFVTANPPESLPTD ISLSQYLAIQEKGISAWEFEPELEVANCFVEARTEIEFFDSECTVMSNLPVPKQNDVY YWEAKIYDKPDSTLLSIGMATKPYPLFRLPGYHKYSVAYFSDGSRHYNQPFSSTPYGP KLVQGDVVGVGYRPRTGAIFFTRNGKRFDEVVHGLKSQNFFPAVGARGPAIVHVNLGQ SGFVFIEANVKKWGLAPVTGSLAPPPPYGSEQGSILLEIGTKDGMTSRQGRPHGQSVS GSPYTVGPRSSFGLGLHGRTRSGNFRVLPPTSPGPVRSPTDISLAQLVPNDDEGEASG SNRDASQHEQHQHLGQQQQQQQQQQLHQEHHGDDENPLHLHLEDATNPPPGYASPTPS DSEDRRRCSMDGEDTPLMRASGTRSRGNSSATVTPQTPPHPPVPSYSDAVRAGAGAGA GQHGRGRGHGHGHAHGQRRGSVGSASSANS UV8b_05952 MYISIPCTLAKITFRSPGRAGCDNPPPPFWRTCTPLNRVDLGDS PFTTPTRRRCPHPIDIIPNTRYLSTIPDGQTPQTLGERNSFDTPLSIYTPCDGERPSA FAHSLKKDTRLAFTLLVMEEKKIPAQHLHSLRWKSKRYPLQHLHIYTLLVMGKKKKKR YPAFIDTPCDGTRKKHRIDISLLTESIYDFSETDMASGFTGSIGVCTARLVEHGMELG RLGLGCGDAFA UV8b_05953 MAGPGGGPPRRSHTKSRKGCETCKRRHIRCDENFPQCRNCTKHK IRCPYNDVQVLDADRSTTPDKPDLMWTPQVEAAIAEWQSTGVFPFPSLQVYPAPIPHL HSVEDLRLIYHVANLYHQLSTIDANNFTLWTRHIPTLLRIGATTPYVMHALLAFSAMH IAFLTDCPLVGSMAFEHRGIALSGLHEAIGTFSRETSDAILAASLVLSWQATDWRSWT QLMQGTSTVIDAMDAWKHESQFGDFIAESSTFPTAPPSPAPDHRPTQPRDEDIQAFQR TLEQVQKVESHLKHHKEETTQVQHLVGFLKGSRKISPTLSIAQQYERLQPLRTWLFWM PVGYLQNFHGSANSLVVIAHLYTVALLMERLFPEIGAAYFGSLSISPIEEIARRLMSI SLAGGSEGGLRRETPLTLMEYPINAVGDFRSRMGWVHPERTRSFPQFHPPNFPVHQGN NGSGSGRSNGGGNGNGNGSNASMTPVSSSAFLPFGSPAFGYSAEGMPMLNSAAIPGSH SHSGSPSMLSSSFSNPQYLSVPSPSYTGAYSPTSSTFEGSATYSDTEEYASYDLQGSP PAYLATGQPSVYHEAHSSYGLGLSVSSSNMLAYPSFQDTMYAGTRPCGNGDQLGLLPM PESPVPLHGTRHGKHGHTASVSSDAHCPSPLAHSALVPDGVAQARDASEETAPGHGPR TQ UV8b_05954 MPANPAAPLLRRVDIFYRLQAVRYRPWETWSDCPAHSKWVMAQP PAGALQPSADSGASGSGGQFPGRVWTSSV UV8b_05955 MTTMGAAARLLLALARAAIPWQRGAPAGAVPRKQQHEPDEDGRG GNQRGRKSIGWGGQPSGHDAADAKEFVDTTFHGIGRRTPAATCTFCLALAFTAFPVWW GGQVNLVCRGSRVEGRGSRVTGPWDNDGMGVASALRGGWADCRLH UV8b_05956 MSWQPSQDSVRQLAACLRDSLSGFDKNAQKNAEAMLQQAKSSPD INNYLAYLFSSVQPPEGVQCSESDYHLVRSAAGIMLKNNVKSDWKSIPDESLSFIKLA VPMCLQDKNPQIRNYAGNIASEVIRRGGLLTWPDLIPQLLDLVRNANGDVLNEGQEGA MSALVKICEDSPRLFLREVNGQRPLNHVLPQLIAATKSHLPKVRAGALTAINVFAPRA SQAMLNSIDELLQHLFVLSSDNNPDVRRQVCRAFVHLVETRPDKLQPHIGGLVDYIIS QQKGDDEDLACEAAEFWLAVGEHDDLWRALQPHLGKIIPVLLECMVYSGDDIALLGGA SDDEDEQDREQDIKPAFAKKNLNRTVNANGDSPDDKDRRGGEGKPSGLDDDLEEGEID DPDDGDENPDEKWTLRKCSAAALDVFAKDFRNPVFEAIFPYLSQNLKHQDWPHREAAV LALGAVAEGCMDVVVPHLPELVPYLISLLEDPEPVVRQITCWTLGRYSEWAAELPDGD REQYFVPLMDGILRKMLDRNKKVQAAGASAFANIEYKAGALLEPYCGPIIQQFVRCFR KYKDRNMYVLYDCVQTLAERTGSLLATPELKDQLMPALNERYNVVTDQSREVFPLLEC LSYVALALGPAFAPYARQIFARCINIIHMNLEQSLAAAGNAALDAPDKDFLVTSLDLL SAIVQALGEEHSAELVKNSPQPFFELLTFCMEDPADEVRQSAYALLGDCANYAYPLMR PHLGVIVPIALKQLDLDSMLDDEVGDGFGAYNNTCWSLGEITMRHGKGMAEWAPGLVK HLVEIMSNPRVPKSLAENAAIALGRLGIHNSELLAPALPTFAEDFLTLMKKIGATEEK ASAFKGFSLVVSQNPQAMEKVLLGYFAAVAQYEDPELPSPLKEELDDVFQHVLNVYKQ MMPQFNEFLAQLRPQDRQKLTSQYSV UV8b_05957 MSAIQQGTLSLSLPLPRSLDTRIYLRLSTQAKALVVSLTTSTPD ELAAPKPMGSFVYALPNRFDDKQPLATTLFASEPSVEFTTRLAKLLARRVQLPVYVTN SMSFANTGMGGTVEEEMEAFKDIAQVTLDKLRDAGLGALAVNGDSSR UV8b_05958 MAAAGAPREAARGDAKSAWNIAEGNMEDPEEERVILCALDSFAQ YPKVAHFNVTHLRRRSFYALPQAHWKMLAAPPFSFLETLDRADRAIDSNAELADAIVA HSLQALSPLAAVSHSHPPGGPAMPPQWAGVAKHADVDKARSTIRQFYRDWTADGAAER QACYAPIMGALEAQQRRRRGDADADAGRPLRVLVPGAGLGRLVFELCRRGHEAEGNEI SYHQLLASSHILNGCDRAGRYTVYPWVHTFSNHVTRENHLRGYPVPDVHPATELARSP TPVGSMSMCAADFLCLYADDSHAGAYDAVASLFFLDTAPNLIRYLEVIYHCLRPGGIL VNVGPLLWHFENQAPGNHGHDDDGDGEHDFNSSSGIADPGSFELSHDEVMALLGKLGF EVEWAQTDVEAPYIQDGQSMLQTVYKAATWLARKPER UV8b_05959 MAEAQLRDFPSLFSLEGKVAVVTGGSRGLGLHAASAFLQAGASK VFVSSRKAAACDAACAALNALPNRSAGAVAIPVPADSSTMDGVARLLDQVRRHTDRVD IVLANAGATWGEALDTHPDAAFAKVMDLNVKAVFNTVRVFTPLLERAAASRAGGGGDP SRVIITASVAGLGIGTLGPQGTYGYSASKAAVIHLGRNLAVELGPRGITVNSICPGFF PSKMSNGLLEMAGGADSMAGANPMRRLGVPEDIAGAVVYLASRAGSHVNGEAIAIDGG ALWNRGELAPTSTKL UV8b_05960 MSTIWSAWTDWAFDASQNRMYRVRQDHNGNLDYDFNFDYADGAR TPRDSQRIDELAADFQNLSPGSNYEAQDYALDSGQQERHRSSKPKGKERERERDRERV KDRDRDKDKSKDKSKDKIKGKDKDKDRTKHQHHDKHHRKDYSDSSKSHLEQLGPSTSS RPESQAQAQCLYDPDHAQYCQQSGYPEQAAEYDRHIDQAYTKEDETRQMQQAVAESQQ VQYGIIRGSAKGPSDMAYTSSAYGYDVEDDESSTPKGGLMATDEDEFEEIDPRYRIEH SSKFQPGEIFKVYWSEPQGSGHDKHPSVSGRHELENRYGMKFFVGFRRFIVIANDQGH CTCVPILTYGGKACNKHGVKPAKHGIVYEHGHKPHMVSGEPKLGFPPVQATITEDGER LSKESRVNYSKLVTIEHNIRVFFIGSIMPNSLDIIKEAVNDCWYQKNHQRKRS UV8b_05961 MPYVAAPGAAASTAPRDDAATASREAAASDAAARLEMPGGPARS LNQFVTTASSRGDDDMRGSDSWKLHSDDASSSASSRATTPEPHSPPRSRPSLSTSTAD IRRSQATRTPAIPIPGAVPPPPIPHPPPAQHIYRAARLRHILESPSLGGANRRYVPNA RRQPLSQTFPSTDRFSKHPAGSSIASRGTSLQLLSPDQKLSTNLSTISLPTLHKTKTP AIPHRQIPRGHVSPRGSFSGLPFGAESNGDGDVQKRGGEFLGTKQHHILSSHPSSWAE VSAKSCNSESNSEEDLIAYRNARQFPPQLPADISSHMSTRRSRSSATNRITNWVSRYE RSYGPARRRVSEAAASVSSQGPESETTYSQTSTDSSHAEVEQLWQRLKMKRSKLQNIR SDMARIRQELRSLRLEKDEADNAFVTVIRPLLVGQRSEAFSSSLKLLDARMAYMLDRR QDYHFSEASYERLERELDEEEKELNGLETRFFSLLSAGQDGQDGPLPAPTPKPATDTM HGVENGTPYELLGISGDKPSEDIHPLYAEFSAAVGDLENAKDDYNDLLFVRSQYEDEK ELRASTGLGMTPDAVAFFAEFPSDEARMKTAVATLEGKVQKLQQLCQEKKAMKKHMSI QMAYTLNPSVAYEDLDLGSTAEILEKHHSVAHPRYSELLSQPNHVLAKPMPMTAEQAL RAATRLPVDDPSKSEKQRLAAKEYTIETLIKGYDSDSKANLVNRWLLHQLRLSSLNIL LLQSLFGSSRGLNIRDYWRWQCDVMYYWWRDSTAEQGEADNPAVSTTDLSELSGRQHT PQPSRAASDGDGRANRKAVRCFKSSEMLRVL UV8b_05962 MPSCFTGSTFSGYGATSGIPGQGYSYSTITSSVPSAPRRGAGNS CTGAMATLRRTSLNAQGRPVVDRRYSPPLRERVETNPPSRYASLPSGLAVPRAVLNKG PIRPDALSAGATRKPARRIVPPKPPPPTCEAAPGKPQERRAHGPRGYPRAEGVEVPEP PPTAQSSRPQAAKGGRRQESGASSSKAKPKSKSIWGCFS UV8b_05963 MSEEFRQLCRHAELRIAETRVQKDQVMVTIGLLDKEIHETTRYL AQQEQKRAVAMFTRPRTTQLDEDVARLTDDVDCLTDCLRALEHSKSVSLTQLRELDTM LAGDRRVLKICRVD UV8b_05964 MWSAGQGHTTCCYMGKPPGAGAESKGLRGRGLGASGHGEHQHRR GDAGLALCVRSRFGCFESPPRAF UV8b_05965 MHLWPKNARPHPDLVAGYQASFPCQAAFLWAAPPVPPGARQPAR TLAESHTRSDGALPASPACPPMGVPVDSGCRPGRARQPPALWGPPDGHRNAARSACDV FFSSRHREGVLAPKEIQPTACRSVCASARRYRLRSGIPPREGAAKQSVESLAFCPHSR UV8b_05966 MKEKGHALGAAAAAATGPRSLSTDTGTTRTGAKHGAITHAMEQA ISDDGPDRREHENSTENYGTARTHAQKSNHNQTHT UV8b_05967 MIRPSTHSPAPIACFAVIVRSQPDVETEERLRPPRNLTSSGPTS SAGSPRPARTPPSKALQNLFKPPSHVDAHASDANGPRVAERSAPTSPYLNERSAKFAV DGKAIPLVPFDAGESYAGLLPISDRANETRKLFFWFFPSPAAQASDEIVVWLNGGPGC SSLGGMLSENGPFLWQPGTLSVVKNAYAWSNLANTVWVDQPVGTGFSQGEPDIRNEVE LAEQFKGFWRNFVDTFGLAHRRVYLAGESYAGMYVPYIADGFLEANDAKYFNTLLPAE PYLAHWAGALGLDRAAVAQLRKQADKDGCTEYTNRYFTFPPPADPFPPPPRDQALFDI ARELLFTANPCFDPYHILNTCPQPYQPLGDPNARGKPPPSAPETYFERADVQRAIHAP SIDWKLCRRGVFPHGDASPGPALDGTLARVVAKTRNVIIGSGALDFLLPTNGTLFALQ NTTWGGAKGFHEYPSTPFFVPRHNVSGGGVVGSSGRVGVWREERGLTFYEVALAGHMV PGDAPSAGLRVLQRLLRRIKDFSSTEPMF UV8b_05968 MSVGEAGAPSELDMIKVYIKLSIDTVFPAGRAIAEPTHPRPRAR RTSGESLGYPANRLARCDMGESASRAETSCADHASQACFGRGRE UV8b_05969 MASAPLTADQFLAAASHRRSVYGLKGTSKLSDAQVQRIIEQVLS FAPSSYNTQPVRITLITADKHKQFWDVISAAAEPVLKAAGDHVWNHMSGLFAAFRAAY GSIVFWESGSSVREAAEKHKSAAHMFAEFSDHANGMNQIMVWTALELEGLGANLQHLN AIPAVEAAIKEFCGVPDDYKLKAHMNYGDEAQPHPEKPSKLPFSETLKVL UV8b_05970 MAPRSDDRIMSDQSRLELINKHPIGDGLGTFRFMFTSYCTQRNL VSTVDALRQLDYEELRALSSVLAISLATLPVSNMLHLTSGRTTLRTHLQRLGSAISSP HAGHCVIDDLKPLLEMAMSDQTRDEQIWDFLSTTAVETTPPPQPAATSLQQTPFRSTS SVLNSPELRRHMDQLLTQELGSFSVDVPNFCDVFFGGIQELDNLSRTVFNRCLEGDDP LFNGKWTKWPVNPTQENVLPWFTDLVPKLEAFAACSGSAPTRRRKLLAQPRTPLLGST GKRSMDIGFVNSDLEYEQAANHPRHRWSHVLIACELKCNPKADIASVAWIDLARQHYI EIERKGQKERLIIDKVMKRSSCVSGRATTCWKAHHEDDPSTPLVIKDSWQYIERDEEG ELIQEATEKGVSYVARYYHHETVRIGASDDDVKDNVRRGLDVTKAPSRQSGRKKLLLR AVLLTSTSSTSKKGQGSGSKRPSSIDDTILPPAKRSCSTSSMTAAPNRIHRRVIVRDY GKPIYEASTRAALLAAFECCVRGHELLYKAGFLHRDISTNNLMINEDAENSSWPGFLI DFDHAIKIRRDSVSGAKGKTGTRAFMAIGLLNGEIHSFMHDLESFFWVLFWICIHYEG HGKSVGTVKYDHWNYDSLELLADIKAGTIANEATFLSRSETYFTPHYKPLIPWGLTEE ANHG UV8b_05971 MSIVGVVTLDDGVFANPTEILHDHLQVPQFLPRTAWPTADAARE MQSAKLDPFNLPLDAASSKALFEKVLTMYRHLAPMPPFINNGLSYSGIPWFLHVAILH RLFNGTFGTHRGLSVMEVQCLLAIVSYGTHESVAFIKPYRVKGLPDASMPRTKPNTFE EVWNPTYDMPTKLGGFHDGNEIRGSEECKRLVWGRRFIVVPIIYGVNDQWGMTVFDRF SGDLYIFDCADDELKTQRIDSCIHFWIEFWNALGMAKTFRYFVGRVNRQPSVEDSSLL CVIWLMDLLRNQVGRRISSLDEGAIRQDKDVCEPDVRRPLQSSLHLRDWVPLGCQAGR TSLMGVRRIIRILICNELGWRHHDIMNRRYATWQGATMPSPWDLLSPVVEQLGRHGNQ VDASIFWTGLGGPQFALAMKTAVPPYSSDQNRRHYHIPGHERHLIETDHRTLSSTRPW TPPWTQNIVYTPSRPITRPLPAVHLRVSNLVPLTETNTARTRNFTVRLTNTLAREGYE DLNQPLVITFDRIQFRQDRTDGFHLRFALSVSVAGGEPVETEVIIPVGEVPPI UV8b_05972 MPGGKGKSSGGKSSGGKTSVEGPKKQQSHSARAGLQFPCGRVKR FLKQNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRG DEELDTLIRATIAFGGVLPHINRALLLKVEQKKKKAQAIEA UV8b_05973 MWPSPPPTPLPWTWTCHRCSTRYNIAVTRRCLSCSHRFCLWHAP RHPPVCAVEFDYDGWRAWMRWRRSLAPQAGGPGRPRDCSLECDYPSQCLHARYASLRD HLVSTGQFERGGWEDALEARGVAEESSTFAWLFQSPRKHALAECTAELAECILAVRSL RNASDSIRCLSHAVHFVLPVFLVF UV8b_05974 MSNYLYAAGGRGRRTTTSKPERSCVDDLPSRVPCARLALATTEH LAPKQEGLLNLAPGVPLALDPPRRAARPRVKKSGQAEDGRCRSIHAALAALDSLQRFY GDPLARALPSSLMSAASAPRPASCRPDRQPPRTQERRDIRAAEKPPSAQCANTVVASL RTGPGPGDIASFPFPPSSLSPTRICASPSPWPRTAISQRQVAAAGCLRTSPCWTP UV8b_05975 MRLSLLAALAAAVPGTAAVGPKRFNTLPPVPKDDIPRDGVFRVS FKAAARINIYNGTFQQLIDHDNPSLGTFTQRYWYNADFYTAPGSPVVLLAPTESSGEL ARGYVTNATLPGAFAQTNGGAAIVLEHRYFGTSSPYQDLTTQTLQYLTVDQAIRDVVR FARHVELPFDPSGSSRPDKAPWILTGCSYSGALAAWIARLAPGTFWAYHCSSAVVQAV GDFWQYFAPVEEAMPRNCSADVKRISAHLQRELTTRPEGARRRLKDSFGLGELQDDDF VFATMYPLGWWQQQQFYSGYGGLFQMCDWIEGFWPGSGKTQPVGAKGVGLSPALENFA KYFREVFLSGEGACAKASSVSCYDSHNVQNYAYTNTSAGNSDRAWEWMLCNEALEFWQ DGSPHSTVGFPPPLLNVEYFRRQCPLYFPSVNNYVVGMEKGVRAEDVNRRTGGWDSVG PKRLIWVNGEWDPWRPATVSSKYRPGGQFRGDKDRPVFIVPQGSHCNDYVLENGEVNE GVKKIIDAELAVMKRWVRKFYDRR UV8b_05976 MFRVFFMCAQPRSVGTEVRHKAGFQFLQDENIPFYTLLHQIGLV HSQRLRFDSASMAVLEGWFPPTRENWEIILLSFRISYPIISLLQIPLSWYGMGKTSVD SRLNLPGRVGWMAMEAPGFLTLLYMMKTLPQQHGIDDLPWQNRVLAGLFVIHYVYRAI VFPLIQPSMSPIHVLVALMALGFQVLNGVCIGGWLAAYGPTTAEAWDRASSTGQFVLG IAIFYVGLASNFFHDEELREIRRTEQRRQQRAKGGAVNVEKHYQIPQAGLFRYVLYAH YLCEWVEWSGFLVAAGLGCVPAWTFLLNEVFAMLPRAVKGKRWYIDRFGQEKIGKKWA VIPGII UV8b_05977 MAPQDLSHDKDVPTPAGNVHPSSPQQPQQQSTSQTIGPDDAIAG TWEHVEALGSGSITIRTSPNQHDNPAVLKAGQTNEPYSLVDDDENVWDEARRQSSIDI KANSSEQTPGVPRIGGSRSATNPFLRRKPVGQASAASTTDAFSMLDLNDTAQATTNPW QPPVDGKNPSTGQATPQPRPVTAEGIESDPWAANNDEKSVLLQPSLAPRPADLVSLQP EVDDRSAWREETRSGGENFVLSSPVTAMSTDLLDEQNVWDDLGASAKGKEKIPIVGRA SQIDGWSPVDAASSSGPSKGANSDEAPDERPPLPSRSTGLQRKWVPSRPPVDAKTETY QVKIIHWHDLTSDKNPRTSPILIQNRNGPCPLVALVNALTMTTPANSLETSLVEVLRS REQISLDLLLKAVFDELMSPRRTNFEDALPDVSDLYVFLQSLHTGMNVNPRFIPTPEM VDAYNRTTLTHLDLGERGSLIPGTFENTMEMRLYATFSIPLIHGWLPAQTDAAYSAME RQAASYEDVQRLLFREEELEQTLSSDDGLTEDEQQLYQDIVTIKMFLDSSATQLTSWG IEVIGKAMRPGTFAILFRNDHFSTLYCHPQTLQLLSLVTDAGYGTHDQVVWESLVDVN GENTEYLSGDFRVVDSSAEAGLSNRNVSTGRDVQHLVNEAGLSQHEQEDRDLALALQL QEEEDQRHREEQSRRQRESILSEQYIEQQGHRPTPVNRDRRRVSQTSGIISERESSSN VAVSPATGGIQCSRQPILSQQPPVAQQQQVRPLVPPRRPGVTRRADSNEQDAPPSYEQ AAQDPAYVPSMGHPNRSQISTADSTSTARMSDAGSNSGQSGQHALGQRQSMNQPYRRQ PVSQGSGSRERDCVVM UV8b_05978 MHSHLILLLAAASTAVAEPVLAEPALAAIPKQAASGVSSRMLLP GFGSALYFEEKRAGSCGIGQIACHTGCIPISGRCCATSGWCTAGTVCDDIHEGCCQIG KVCNKGPTGGCGTGYTSCMNKCMPSGADCCSDGMYCKSGTRCDGNGGCIAGSGSDSGS SSGSGSGSESGGQCLSSEDPCDKGCMPKGAVCCHNGKYCDAGEICLLPQLTCRSRGTG GGDGGGRASASVASQATKYSLTVVDQSSSQQATIQQTGDPITPLPTSGPNGGGGNGGN SPSPTATTKAAAAPGAIQVPTLLVAIALALPFWIFSSS UV8b_05979 MATIGNVGEDVNGLGGLALLYYPLAVLYQPSHNTLWFSKEIENV LQARELGDTYKATDCSHSWWVEISFSYIIQAHITTGASAG UV8b_05980 MPVFDVLVTGSSGHLGTALMLKLPSLGFNPVGLDILASPTTKYV GSIADRAVVSRIWRENPLLRHVLHAAALHKPHVGSHTMEQFVETNITGTLVQLEEAAR SGRPVESFIFFSTTSAYGLALSPPPGRPAAWIDEAVVPAPKNIYGVTKVAAEDVCALV HRQTDMPVLVLRTSRFFPEDDDDPARRGAMSDDNLKLLELAYRRCDVADVVSAAVCAM ARARRVRWAKYVVSAPPPFPNDPDTLAALERNPEEVLSRAVPAVGDVFRRNGWKHLGR IDRVYDPSKAMRELDWQPEYTFQEALARAGRGEDWRSGLAAEVGRKGYHGVSTGVYTR R UV8b_05981 MFRDPPPPYSPVPGQPENAQPPPFAGSTLSLSPPTRASLFSEQL SGLSGQILEQQAARSSARDLRDHETLSLLVPLFEALLESIAAINPPPTLVEATFVPEE AVDKSWACSDAESSQRGRVTKLFRVGTQSKADGRRNRSSTPCQPGSSPRSKNQPEEFD GWGRWKDEHAACVSDPDAGMWWSDEDMARRLARHLEPNRATASADTQPARPPVERSGQ EGSRAGRWSLFKTAEPRPGDLTASPCEGTTAHRAARDHVGMAVKAEETTFRRQSEMGI WESKTGWALVVSVSIRLGSRSAEP UV8b_05982 MQESVIRWPLGLDGRDLVGVGVTAVVARLDAVVKHFGPTERPFL EREKLVYERLGRGHSGIVRYFGAVDDALLLQFASHTSVRQYMAGQEERTTPLAVRRRW VEQLFDAVCFVHSKGVLHGDISCNNVFLDDKLDVKLGDFGGSAIDNLAPLIYYETSHY LPPGQDTSPKTELFALGSTVYEMMTGARPYKDLPDHEVTAALSEGRYPDLGPVAAFRD TIMGCWRQTYATVEEALCHVKSESAFHSSVRES UV8b_05983 MTYKISGPDEYLAITGMGVRNVKITKAAWVWPLQRCMRFSVQPH DYAMDLRAMTREKLQFALPVVFTIGPDVNQRGANSSYDDEAAGGETPGRREDRGDALM KYAMLLAQSHNNKDQQGTHVASIVKGIIEGETRVLVSSMTMEEIFTEREVFKKRIFRN IQSELDQFGLKIYNSNVKELKDAPGSVYFESLSRKAHEGATNQARIDVAEAQLRGNVG EAKRKGEQEREIAKINAETAVQKTERDIERAQAEAHLNTRQAMLTRDVDIARVEAQRA LESTDEDLKRQVEMKRAAAEVERLRARDVAKATVARESKQQAADAAAYEVSADARARR EAKQRLADAEAYKTRVEAEAAAHAVQQAAAARAVQQAKEAEGVAAMADAYAKLAGALG GPAGLIQYLMIEKGTYVELARANADAIRGLQPKISVWNTGGSGGSAGGEPGGDAATAM RNVYQMLPPLMTTIHEQTGVTLPEWQFGRINAGVEAMQRSNANGQKAGK UV8b_05984 MPEYDYDVVIVGAGISGINAAYRIQSAFPSYRYCVLEARDTLGG TWDFFRYPGIRSDSDLFTFGFQWHPWRRDNPIAEGGAILEYLHDAAGAHGIDAHIRFG HRLEHASWSSRDQLWTLAVNSAASGGDSDSGSSSSSSTRHSLSARFVILGTGYYDYKT PLQAAIPGIGDFRGTLVHPQFWPEDLDYAGKKVVVIGSGATAVTLVPKLAERARLATM LQRSPTYIVDVPNKPGKALVDYVLPSPAALQVKRLRWMLTFHLVFVICRALPALMRWV FRLRTTRLLPRHTPFDPHFKPRYNPWEQRLCVCPDGDFYKALHTGRADVVTDTIAAVT ASGIQLASGRFLDADIIVTATGLKMALGGGASLDVDGRPLVFSEKFLWKGSMLQDVPN LAYVIGYTHASWTLAADVTAMLIVRLLTAMRDQHCAAVVPRLPAGEDLPESRVMNLSS TYITAAAGLLPKTSTQGPWKPRTNYFVDRWNATRGNLEALEWIQSNKDGNGIANGNGI ANGNGIANGNGKA UV8b_05985 MQLPDPAAVTVARGFAPFTLKPLVHDLLRTRYAVPGSIYLVEDV RIAPLPSNDRWQLIRLLLGDGEFCIQALLTEEMHRFVHTLEITVGCYVRLDDAELQWE KVDGEGGAGPQNMVYLVVKDLRTVGWNRSVQKLHEQQGQRPSPQQQDEAVNAEHEGPV LDKTRGKMPMNRTPSPPRAVKTPTKPQSLKRRRQDREDTSQDSKMDDAFQGLDALLFP PKKTQKSPLKPAPKPKPKEQPAQPVALPRDWHNPQTPLKLTTLRAIPSLPYQQNWSCN VLAIVASVSPVESSNLPPYRQRTARITDPSTAKQVHLTVFLDPDKFDPKVGSAVLLTG VKNHRFDGGSLKKYASDRGHGRWWFEDPWELTWCDVKGIKDWWAEMEVYFASQVSDEM TVL UV8b_05986 MVVGPLPSFSDNRTMVASTTSPAAHAADGEASSVFSDYDADDGF EADAAKRRRVIRQKQAVRITALIFATLASLCAGSIVVFSLYAPRFQSRLRYTQFQVNG VAIAGSLALYLPISVMGYFCDRVGVSPLALLSAVLFGTGYGTAAFIYRKLDIGYNALQ GPHGVANQWSYPAMIFAFVCIGSGTCAMYIAAVSTCAKNFGKGRYRGLALAMPITGFG LSGMWLSQFGSRFLYETKPDGSKGEVDVFRFFVFLAVLLATMGVIGSFLLRVVDEQDL IEEAIEELETSGLLDGSSLLGRSPRGYGTTPTTGGAENSANSATLDPSDDDDAQWKKT WVLNAETRQFLTDHTMWPFAVAFLLMIGPAEAFVNNLGTVIGTLTPPSTDGFGEATTA ATHVSIFGVTSTIARLLIGTLTDLLAPAPETQHIQLSSHRSAQHSQRFTISRVAFLVF FALLMSFGFIFLASGLVQNHADRFWVVSGLVGAGYGAIFSLTPLIVTIIWGVENFATN FGIIAMLPAIGSTFWGLIYSGIYQAGAKGSAVAVNGSEDDDLFCYGKQCYSGTFWAEG ICVWVACLLVLYAWRGSGGWKQRGIVI UV8b_05987 MTDFTEADTIRILVATDNHVGFEERDAIRKDDSWQTFDEILNLA RTEDVDMVLLAGDLFHENKPSRKSLYQVMRTLRQNCLGEKPCPLEFLSDAATVFEGAF PHVNYEDPDINISIPVFSIHGNHDDPSGDGNYCSLDLLQAAGLLNYFGRVAEADNIEA KPILLQKGATKLALFGLSNVRDERMFRTFRDHKVKWFRPNAQMGDWFNLLAVHQNHHA HTSTSYLPENVLPDWLDLVVWGHEHECLIDPTQNPETGFHVMQPGSSVATSLVPGEAV QKQVAIVSVTGKNFKVDKIPLKSVRPFVTREIVLSQDKRFIGLDKQKDNRQQVTKLLM KIVDEMIDEANADWEAIQTDEEALEERPLPLIRLKVEYSAPEGGVFECENPQRFSNRF VGRVANTNDVVYFYRKKASQRKANAAVPEDALQAIADGADAVKVESLVQDFLGAQSLK VLPQGPFGEAVNQFVSKDDKHAMELFVTEHLTGQVRQLLGLESDDEDLNGAMEIYRMR VEQQMASGVGRGGGGANAERKRVLKPKPDTWDSDFDGNWEDEAGAWTYEDPTHHPSLR TTQEHGAAGRSRSRSGAHGDPDDPDDREDEADAPSSRRPTARAAKASTSRAAKKPTPA RRAPAKGRSRKAVDPSEDEAAEDEDVIMESDDEQPPPLPAKPATRGRKAATTKTTTAT AAATTAKTARGSAPKSRAKTKQTTLNFSQNAGGTQMAIELSDDEISDDDTFTRRPVST RTRRR UV8b_05988 MPRHIGRARVDEFLATLSPQDLLYLRRRLGTIPRLAGLAHLPAE ITSMIALQLSLDDFVHCRLVCRSWLASWTQDAVITAICHHFFPGMLEKHGDSTPRQLL QSALARHLRRARSQPSQFFLPWDRRVRSPVFGGREPAPNDDIGISSARVAYRPPGPPV FYDGGRLAWQLDSVTVVVDDLRTCRRRFCRFSAALIAGERMSLQGMSRSLLVFAATKG GFDDLNFNMVKIWRGSDDEWRRISLPGPFARCVVQGERVAVVTRQGLVLTWSWGGPTV ELLMADGISCDDVRGRSIPGVILHPDKPDVSYVASITCPGPTNTSQPLPRACKVTVVR YEHDQPTKQWQEAIHEASMAQPPAEHALPSRATPLCPEISPYGLYSLGTLLAADGNLD PPHVVEFAAVAFSIYKESFVQRECGAQDHRGGNARREFWLDPTTWGLGHREEDMAPWA RDAVTAPRFVWLDGGMETPDGAALVGEVGAGVGVSEPCRVFGDEDFQLLVTGRGILVR AYARTALLAPRMRELAAAQAAVEKTALVDAVRPVDLKPLGGTRL UV8b_05989 MRLYSVQQASRTSRAVRPRARSATPRRPWLLRLGLGMRLLLALV ALFSHLAAAAPAVASAGRPGPGFAALQARQFGFGIGLGESNDLLQGSASECPLAIFIF ARGTTEPGNLGSLGPLIVNTLRRRLGASKVWAQGVGGAYRAGFPENAMPLGTSPAAIN EMKRLLALAHSKCPRAQIVAGGYSQGSALAAAAVGQVRRSIRRTIAGVVLFGYTKNQQ NRGRIPNFPPSRTKVYCNTGDMVCMGTLFIMPAHLQYQGIASGPAGEWLLSKLHIDQG GD UV8b_05990 MDSRHQQMEEATAATQPLVTQNDFSSIPIVISWLAAVVVVLCVA AKLAMKLNMVRKLALDDSCILLSLAFSIGMTAGVITACHNGLGKRQSGLSDRELQIYQ KAVYAARLMYILTLAFSKASVLVLLGTISSNATHRVLVYATGIFLSLWALTSVLVSAF VCGLPRPWAVSSGCIQQCVSIVFACVPYLKQFFLSLESGMIRVDDARRRERTSSTWRR GARSRTPDRSKGSTLESRELAELQPAAGSWAGVNVSSARGGLEDGDADSIRSTARIIR ATRTFEVHRENVKDGDSGA UV8b_05991 MPLRLSRVTSTTDFEEITRVQFLAYRDPWIPLLQLFFPVADSSP DAYEAAIKDATRRITEWHQSDPTSTWLKVTDDATGRIAGAACWHMYDHDPYTTYPDID CYWWPKGEDRKVANALMLLDNCFTHPEFRRRGVATLLIDWGIRQADAQGLGTYVDASD MGLQFYRRRWGFVADEQRELTMAGLPVTPRRVELGKQLLPSGWWPCYRPVGGKYEPER DALPWDKT UV8b_05992 MSGKDSRVSSRPLLEHNAESNQGRIASGRERRWGLVHLALCLSL VLNAVGLLRLAKKPKPVRSEYAQLETLLSRPVSGYTDYASTNLTLAGKLWDELDFDVG VVALPNLWAAEKGIPIAQPFPWDQNKGIYVLNSHHNIHCLKNIHRSIREYEFGVHQTL PLGHITHCLDSLREDVVCQADDTPRTSSQHHQHQTGLGQKRNCRDWGELQDWARQRTA CYRYISPLADSIDQFKRFMHCPRDSPYWPKVREHFGKPDDWFADEYDEEEANAALPEL RGGRG UV8b_05993 MTVNGSQGAYDRRLNVVHQLLQGLGLQVSKVSTVAYSPEYEFRY NNYLFKVDLSVTATSTSFPGSQPGTVPAPSDGITSLIVKLSNGNSEANITNRVENDVA AQYLVRQSMERAGLNPLAAAVYAWAPANTRAGAEADAFMDEKDFGWTMMEVKPGVDFD TQFSDLDPADKEKCLQQVAMILKAVQDAKLPETVTMFGGLTFNSTGEIISGEPPLLKL SPVETYVEWKFGRLRKLMQEAAESPVIQGWKPNGVGARLEKFLAAGGPELVLANVDQR QKSLVHGDFTTNNMLFDKDTKEISALVDFDWCTVSNPLDEFLYPNGLGCNITFGQTEI DLALLSGDFDQPPDTEEVKSAHWETARAWNAALDKVGATSPSRIKGARQIYDMLRLKD LLCPQKLSNASALARLDDEKKAELRAKTEADIVEWLDKHGF UV8b_05994 MDFEMRAAHLPKESQGQAHVTEIGSGHRGRDRDAAMLAVMGKKQ VLKRNFGFLSMLSFSCTILGTWEGVLIIFASGFLK UV8b_05995 MSFSGGPGGLLYQYIFVWIGTLCTAPTAGGQYHWVSMLAPKPCR NFLSYITGWLTILSWIATIGAGAFLAGSMIQGLCLVNWSGFALVIKPFHGTLIAWAVI MVAVLFNTVVAGLLPRVEGAFLILHVLGFFGILIPLLYYSPKGSGSDVFSTFMNEGGW PTYGISFMVGTGGLAFAFAGADAAVHMCEEITNAAINVPRAIASSVVVNGSLGLGMLV AVLFCMGDAQAALEAADTIIFPFIYIFIQGTGSVVGSSVMTSILIALAVSGTVGFIAT ASRMIWSFARDRGLPFSRMLSQYRCKVHRRSSVPVWAIAVASIVPCLLILINIGSNTV FNGVTSLTLVSLYSTYFISLALLLHRRLGSTIKLPCPGALPEPACLEKQTGEYELTWG PWHVPGWVGTANNAVSLAYMLVVWVFGFFPAVRVVDAPHMNYSSVVFGAVVMYSTLYY YVWGRRQYKGPVIEISP UV8b_05996 MDSCANHNKPCSSSPTFKPSTPSKLSKSQAQIARKRAKDRESQR AARAKTKLHIQALENELALLRSRSDDRAVRRLLDHNAFLQVEIARIRATAGVGGASAA ACFPREQGAVPVPVPVPTPAPAHGYCLDKAGYRGDVSVFDVAGDGAADHGWGDGGKVM APSGLGLPVLGPECQAGGPDLHIGWTDVWADGHVFGAGLPPEYCYYGDTRMSIALQPE LM UV8b_05997 MKSLFSLLALLGSALALRNVYPPEGALVVGQGGHATIQDAVDAL DRSTNQEQSIFIYAGTYYGQVSVEHLRGPLTIYGYTEDTTTYEANQVTIVASHSQEDR ASNDLTATLRVETSNFKLYNVNVVNSHGKGSQALALSANKGKQGYYGCSFKGFQDTLL SNEGSQLFAACYIEGATDFVFGRKPKVWFERCVIGVLPTSVGYITASGRSSDSSSYFV FNRASIVAAPWTQVSPRSYYLGRPWGAYARVAFQNTYMSDVVNAAGWHVWNDGDARTE HVVFGEWRNSGPGSYGRRKFETPLGGPLRIGDILDSDYASKKYVDTSYLWDT UV8b_05998 MAVDNPAGRVDNCRCWTMQPPQRGDFHAVFRACSASPAFDRWTR RRPRSREVTISRLEMQSGRPALSSSWAPVRASKQQDSP UV8b_05999 MTAETPQDLALPRILCLHGGGVNARVFRLQCRTLIARLRDSFRL VFVDGPYPCAPHDDIVPVYGDLGPFFRWLPAEGERCNPPAAAADDVMTACLAAMGRDP GSGPWVGVLGFSQGAKIAVSLLWAQQRAAERARTDFKFGVVMAGSAPVVRLDMRLDQP RHVAEPLMSSVDFDDWPPEPCGDHVVDIPTLHVHGLQDAGIDRHRRLLALYCRPVQTR LVEWDGGHRLPFKTADAQLVVEEMLRMARGAGVFC UV8b_06000 MPSAAASGASTGDGAARHREHKQGNQGRTYTVEQKEAVLRIRRC DATAFYDILGLESVKTSCTESDIKKAYRKQSLLTHPDKNGHEHADEAFKMVSRAFGIL GDKEKRDKYDKSGIDPDSRFASAQAQNPFSGFASRQATGGMGGRWEEELSPEEMFARF FGGGGGGGAFGSGPFGGGPQFVFNFGGGPGIRVHQFGGATPRRRPREARDEGEQQQQQ GGLQNLMTLLPILIFFILPVLSSLFTGGSSGSSAPHMQWDHPKAPYTEGRTTPKYNIN YFVNPRDIQSYNKAKLAQLDRAAEGNFLRFLDNMCEHENIVQRRMREDAMGWFYEDVD KMAEANAHPKPHCDRLRSLGFSR UV8b_06001 MQATRALLKRSVWKGPHLVPLPIVFPKKQGDKVPPVRTQARSAT ILPNFVGLKFEIHNGKDYHQVTITEDMVGHKLGEFSPTRKPNIWDRKQ UV8b_06002 MATDAPDTTDAAPAVGLPQNGQLQSREVPNGPNPPPEIVSPQDT KLPTRKDTSLREFLNRIDDYAPIIPDAVTHYYMTKAGLPPPPQTDPRLARLLALATQK FIADVAADAYQYSRIRASSNTNNPMGTLGAAAGFPIPGQQAGQPGNKDQGKGVPLGIQ RPGYGGGGQGGSQNRTVLTMEDLGMAVGEYGVNVKRSEFYR UV8b_06003 MVLNVFRVAADFSHLASILILLHKMVQLNSCSGISFKSQALYLF VYVTRYLDIFSTDSLYNLFFKITFIGSQGYIIYLMTNAYKPTNDPNVDTFRVQYLLAG AAVLAVVFPYKYTFSEIMWAFSIWLESVAILPQLFMLQRTGEAETITTHYLFALGSYR ALYIPNWIYRYFMDTKYKTDWIAIIAGIIQTVLYSDFFYVYYTKVLKGKKFKLPV UV8b_06004 MASIHDASSFPTPPSFAEAGWIPLTVSHRKQTYTFELPGDAPVS DLFDEIADVLGIPVCNQKILVPKGPLLKTPFKDPNMPLSTLQNKSLTLIGSPAAEVEA VQNICDKIARSKAARLAQQAKLKPKKKAFATLSQDDTRYTFLQVKPLQGLPRPDRSYA LLMRLKEDPGIRAAMKKHKFTVSLLTEMEPLSHTQTTHEGTSRTLGLNRNQGEVIELR LRTDAHDGYRDYKTIRKTLCHELAHNVHGPHNSQFWDLCRQLEREVDAADWKSGGHTI GESSRYVISGQAGSREEQEDEGGWTGGEFVLGGVSHAGTGLTRRDILAQAALERQMRE DGAEKAACDAAERGWRPCQRSQQPSDKRK UV8b_06005 MPTIRVGTASPATSPTRSATLNRLAHLAHRAASESIDVFLLPEA FIGGYPHGSTFGCVVGERTVQGREEFAKYFDGAVDLGDIVGGGAGAGDRWVKRELPGL GDANLRGDGTREELEKIANETGVFIVTGLVEKAGGSLYCSVVYVCPKEGMVGKRRKVM PTAAERLIWAQGSPSTLRAISTTIRGTRVNLAAAICWENYMPVVRQALYSQNINLYLA PTADDRDAWLSLMRTIGIEGRCFALTSNMAVKRDGPAVQTSPSLPRARRGSIITDEGF QIALPASPTKQKRRKSVFDEDGNEIVLCCDAENGDAKPVNGQKKVSVLSDAKRAGSGW VRRGGSCIVNPYGDVIAGPQWEDDEGIIYADIDLRDCIRGRLDMDTAGSYSRNDSFKF SVEGLDLDPLPYQYRDGEQP UV8b_06006 MQICNAFLLFLGFAAAAPSVALDGRSDGPQSVTVQGVSFAGSGC AAGDASVQIDSTGSLLATFHEYGVKTGPNTVPGDWQKFCRMAINLQFDSGFQFSVVGA EMIGSAQIPAGVNGQCTNTFSVTGGTLPPMHYYSIAFPGPYSGNFDLQAQPGNSSWSP CGASSATLNMNTACSITPVKLAAFIYPRLGVRYGLFAKAALQWQRCPGS UV8b_06007 MESAMSAPDDRQDTATAELSGNSYYPPPPPGPPPAQAHSQTQPS TQQQHHTDQQSSILQSQQQPNPPQEHDSSRLQSQNPYLPRPQDKPSTSQQQQQQHQQQ QQQQQYSIPAYNPANPVFAPPPAQSGASVSTAPQDAQQLSSQPPSSQLSSAQLPHEDA EHGQKKPSWGGRFKNMLSAGAAAPINSLAHKLGSQSFLPETLDKECDKAASILKSFCT KGVYADDDADQPPTSTDPKAEESTGGIVDPTKEKPKKRVIVTIPPKVISRAVGLAIFT TLRAGFQVSGATGSGILIARLPDGTWSPPSGIQVHSLGGGFQIGLDIYDCVCVINSKE ALAAFMNTRVSLGSDLAVVAGPYGVGGAVDFGTAVQRGRDGVDKQAAATSATPAVGQT GATAEAQSSSTLKPGPTDASKRRSLSSSAFKPVFSYVKSRGFYAGVQVDGTVVVERKD ANAAFYGAPVAVQQILRGQVPAQGPQNMSTWPVGAKGLLETLKGAEADAVGRHARQQA ETAQASHGQSAAPGAIPGATEKNHASGLAQGSSGAPPAYVDDGSHAHVGDVKYA UV8b_06008 MGLMVSSRLGTATLPSHSPSSILFTNVRIFDGQDEIPRGHVLVQ SGRIAKVSSRPIAPPDKATVVLDRPGHTLLPGLIDGHVHVYEAAALTQSLQFGVTTVC DMHNEPVVIAQMRHVAATNTDAADLRSACHGATIEGGWPAALLLSHDPSPEFKAALEK WPKLKTQDDVDAFVQLRKSDADYVKLFHEDGKCLQVDLPKPSLELQRMIARAAHDNGL PVFAHAFGLESAIEVLNAGADGMAHTIVDSPPTQALIEAYKRNNAHCNPTLVGIASMT NQGLKDQEEYANDPRVQRFLPGPARAILCKCVALSSDSCRVEYAYESVRMMREAGVDV IMGTDTTGRVGGMAFGVTAHHEIAMFVKHCGFAPIEALRSATSIAARRFRLNDRGRIQ PGLRADLVLVEGNPIDDIGDTLNLRGVWKQGVLCSSYAL UV8b_06009 MMATTGSVLSLPFRRSTHLSLASTIRQYINSKYDQHPDMFKRDL EVIDALRRDAVNVREPHPSGVKKLQAYAGQLAWISGKFPIDIGAEFTWYPALGYNTER PMVRNNIKYELLNVLYNLAALYSQLAVNAPRNNAEGIRSAANNFSLAAGVLCHMKKEV VPELRLSDPPEDMDEQTLESLMQLCLAQSQECFWQKAVVDGYKDASIAKLAARVSDLY NLAGEAAVKSEAISSAWIHHMTAKHHHFAAAAQYRAACDCLEKRRYGEEVARLTDAVA CASEGLKETKGGYLNKTVVDDLHGLKRKVEEDLKRAEKDNDMIFLNPVPPKSELRILE RANMAVARVPPQVESPFDFLGDGAEFGPALFSKLVPFSVHVATSIYEERRDRMVNQNI IQELETLNDSIHSLLRSIGLPGSLQALEKPLGLPPNLVQHAEELRQAEAIERLRKSFA DLEKLRAADVAIFDEGKSALAAENDEDQKLRRKYGTDRWNRPESQGDAQGGQLWGHAA GIEEYFTSSASSDEVVREKYTANEELLHILCGPDRGLLDFVPTSSQRDTNPDLKQSVG KLRSAYNDILRLESKRRKKMEALRHNARKDDIKPDILKEAARLERTYPSIAIVPAHFE DFFEKRLDRLYEPELDALDGEAAEQDRLLKEVERANNEFEAQKRRCGDRGSHEREVAL QKLDGAYFKYKEIINNLEVGRKFYNDLSKIVGQGFRDVVRAWVAERRMEARALEEEIN MPTLSTLSLSRNQPSPPQSPTTKAPCQQAQASYFAADPVPARLSRAPSPPQQQALQAS RQFITSPAEASIQSWAGDGVEQPQPVAPQRTPMPMAAMWNPAMGIKFGGGGPPPGQSK QDGGSTTWHPGSGIKFG UV8b_06010 MPLQSPRFAPRPAKLAFVTSLNTAAAQPVSKPNPPLPLVSDAPW SWNIGTGLT UV8b_06011 MKTASPVKGPDMKLLAPLTAALLELILLRTAAGAIAQQRPLDAL SLPLRRLRPVTSSAAAGKLAVPEDTPQKYFSEAGSTMSLVHYDSRFFKQVISYGDHRV VLHHLTRSFLVMMEKYEQTSWLAHGSLLGWWWNGRVMPWDFDVDVQMTDATLEWLGVH LNQTEHAYWYTTASGERVAKKYLLDVNPHFKAPDAGKYLANMIDGRWIDMDNGMFIDI TALRQRDPQRPGEWSCKNHHRYAENDIWPLQATTFEGTRTFAPWNVNKILAQEYGEAC LTKLEHEGHYWSDSEKEWVINGGSEAR UV8b_06012 MFTSSLPRRLAIASCVLGCLAEAQLKLDNRDDIIQTAKTVAHDL MLLYHGNEYGQTPGLLPGPPASGLGPYYWWQAGALMGTLIDYWKFTGDSTYNDIVMQG MLHQVGEDQDYMPRNQTLSLGNDDQGFWGLSALLAAENKFPDPPADKPQWLALAQAVW NTQANPDRYDGTCNGGLRWQIPPTNQGYDYKNTISNGVFFNMGARLARYTQNDSYAVR AEKAWDWLWGVQFIDHQTWAVYDGANVKENCSNVVKPQYSYNAAILAQGAAFMYNYTN ADTKWKTRLDNLLGPLLKTFFPNGIAYELPCEGKTGKGTCTPDMLSYKGYVHRWLAVV TQIAPYTKDNIMPILKSSAQAAVKQCTGGTSGHQCGFYWGLGRFVDPSVDRTTGAGEE MDVLAAVSSLLIEDVTAPVTNSTGGTSKGNPNAGGKDDVFLTPKSVTLADKVGAGIVT AVWLIAGGALFAWMSFFDKAGFDMPMQPSELQPNLR UV8b_06013 MPRMHAIKEKYSLDDSFQYLKRYVRFTRTEGLERKRMTKLSQNL LNGAFKTVDINKRHAEISCDAPLEIQVTASGLPSTANASDFMFGVSTTFKRFMDPETT PINEWIFWLTDSRGHSNGGKLLLMLLDASDDELQQVANMLGDVGIDVDVYHSDSSLEM AVRYLSLVPTLYTHPDVSSKKWLVTCDDDTFFPSMHGLVSKMKTFDHSREMYIGTLSE DTGALERHGSQAFGGGGVFLSVPMAEKITKLYSSCITEQKILESNSGWGPQGDIILRK CIYENTDTRLTTVWDLWQEDFFGHPAGFYEWGIKPLSLHHYRGGGWHIAKPGQYAKIA HSCGEDCTLQRFQTKDNFIISGYSIAQYPEGVGFDTNQVEATLHAAPEDRGWNLDFMM GPQRPNLERTGKKIAWELEESDVQADGSVSQTYIRKKNDERWVHADKQPMSNIDGVIE LVWIPAS UV8b_06014 MPPSDEATAFFHAVCSAVQQIPPGKVTSYSHIAMLVGTPQRPRQ VGTCMRHLPADASLPFHAGNVPWQRVINAQGRISPRSQPGGAQRQADALRAEGVAVAR GALGEWTVDLEQYGWFPSALPPPGAGA UV8b_06015 MATSPPASPLPQREWPQGANVKPGPRSSSRMSMSSKAGVGSRAS DDDSRTAVKVAVRVRPPLKPTDPGYDLIPQRFQRSMVQTTSNTSLAIESPQGRKIFVF DRVFSPQVMQDGIWEYLADSINAFTQGYNVSLLAYGQSGAGKSYTMGTSRPGDQDDES MIGVIPRAASALFEKLDASSGGSTVISQKRQSISNLRTPRSYQQNALGDRNWSLKATY VEIYNEQLRDLLVPDTTPTSERTNIAIREDTKGNIILTGLRQIDIYSVDDLMNALNFG SNIRQTDATAVNAKSSRSHAVFSLNLIQRKSRLQTATGGEKRLSMPLEAMTGQEFGVT TDSKLHFVDLAGSERLKNTGAQGERAKEGISINAGLASLGKVISQLSSRQSGSHVSYR DSKLTRLLQDSLGGNAYTYMIACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQIDD GDSKALIERLRAEVSFLREQIRSSQSITDSPRRGNTALNERAERHNERESELQNQLLD ARENYNTLSQRHAKLIAELAKAREDESEELDQNGNTADDRLNRSNSFAQAVEQVVLEY EKTIQSLEQSLSSTRTTLSNTETSLLEKETKCAYVETINTQLQTRLQKLMDREVSTEN YLHDLEAKLDGHTSGEEKNATIIVELRKEIARVRENEAACEDYISTLEERLAEADQDS ELMQREVDRLEQLVERQRSLGKLDALLYELDHVEASRPGEPDAAKSPAAIRGNHRLTD HSRSQSHVSCKSQLDGPIPEEHDEHVHAKQVNGYEGDYGAQRAETRDHFHDAEEELAE HCISNGNISQTTAQNAFVADKLETVTQELVDLRVEHQSTVQEYEQLQAKYEETLRSLS ELRDAVDEARHPPRSVVRDSVLSTSTPLRTRPGSFASDGKSVDLKDEPRSSMSRSLSS ELFSAIESPATMDTSAVDFASEDDTATARPCLSNEDLSGKATPEQLVAEVQRLQRLAG EKEDAEKALVEKYAQLESKHNDALDRVEELKTEVHRARTLEATSPRTSTPVIRRKSSQ NLLVIDRAHRAFASLRNVASESFENQPEVMKAFELNLNSAMHELHSRSERIQELEASI ASVKKEMETKTTIISGLTRERSSLKASPMDMSMVANLRDQLERNEKQMSELREAYEVR EKEMASQVEELREALKNAAPSAQDNDVEAIKVDDGDGDLERQQLISQLRDELSGWEGK HQLVLEATAKNEAVLRASIVRLEEQMAASQESLKDSTSKAISQNEDPKQDVEKKHQKL VDFLRTEIDGYKAVINGTAAKLAQLECEYSAAKSELEELGAAHDIASKELEEHRGLVV SLEEKVIAQTEAARSLQDTLGLVHDKHSKEMAELKAQEQKSYEEQAEVLMQEHTDALH KLEEEVSQARDDLNKVATQVAVGMNLDVSVELLPERIEKLLADGKLLIEEQRKAEEMK SQMAELSRLNEDVRGDVEAAKASLSEMLSGSLASAPSSMSEQLTAVKSKLVDLEDRNM KNSRLVEELEEQLQSNFDEAQMTNKRLSTLQTERNAQLEEATSARLKLQADLDTIREE YTSLQAKFQENCATDDLKRSNSNSTIRKSSSHALLPSPPPAIPLPPLPSVATRSGSPT NTAASTSPTGGGRGALSKDAVNMSQLTEDQEARIRTIEKHLHAERQLTQTLEEALTDL EKQSKKVKGDCDSWKKRAQELETELKEVKDRQPEPQQDSRWSMQAVEEERRKRQAAEQ ARKQLEERMNAINKGKKKKGSLNCF UV8b_06016 MPLKRKSPAQRVALFHPHDASQGHICAQYQISCSILHVYSCFVF MSPELQMLGSVKMVLEMCGTYGSESIANATSKLAMIRNVIDLTSSSPLPFKPALPKHA PPPSAQHRSAAPLSGLDSFDDVDDFGDVLDLTCQPESKRRKVHGQHDAVQGKAKMLSI AEQPPFMVGNPLNKRSALETIEFTSSLEPTSPTQGSLDARKTSSSHHLPSLADDRTTT ACELEVSCTSDPFDSSPRVASANRANCRRAQSLDPFCSSSPLRVAVPRDHLSETKFER PIGAGRLLSQRDVQTGRQHGEAHPFADSRTAVLPTETKVSDQVIHIDDSDISSDDELP SIREINMSRRHARSTLRRSQSDVTWSRQRALSSVPRLKQTKPKKLTEERVAEKEEKRR EREEQRAVKALEKQRAAALVEVNKLRTDKKVSTPEMIVDLPSSLKPELQVQIQEMLQG LGVQHSTWDCPDHNIIKWRRTVSSKFNDNLGLWEPIPARVVEESTALVILTADEFVET TLDGTLSSVVMDVQTRLQGKSVLYLIQGLTAWLRKNRNNRNRSFAARVRSINAKTTLR VSTEYISEDIVEDALLTLQVDHDMLIHHTAVATETARWVVNFTQHVSTIPYKNQRDQA TSVAGFCMESGQVRTGDSPHDTYVRMLQEIVRVTAPTAYGVASEFETVTKLIQGLERG GPNCLEGVRRSANKDGAVTDRTIGGANMACIASTPRGYLYKRCKLSQIFII UV8b_06017 MHLLLIRHAESVDNVANLYGGSRDAPLTAHGALQARRLASSLSR LNVRHVFASNLQRASQTAQAICDACNVSAHEVKVVQVPYLREKHFGTWEGVKFASSSK RPLQTGAETSESINNRAHAFLDVYLGPLLSTSDPNSSVVIVSHGIMLNALTQALVSKF SFGDSPSLTQLTARLPWSNTGYLHLEVARLEENASTTDVLWSNLAVDVSRVNFTAHLN GLRKTRGGIGSAAYDKRQRTIEKFLAAPRKRKAEDPLRDC UV8b_06018 MPKRSIAAPSPAQARRHVSPLQAHPLNYMANHSDHSRPAGSQSQ FATAKRRVTSCASCRKQKIKCELLTERPPCERCKRRNIECVINTGLRNSILDQRQIAV LGRDLNKVHSTMEMVCERLGLDLPQPLESAHSNLSTNTAIQDGESDDGEEGLYEPAQI DAPTTVVAPIDAYLSKQESPSSGQGQAVAAVSLQHRKPDLISKGLVTFGDADVLAKHY IVELDHIVYGFVRDHKTIHSLRDASAALIAVICTVASLHHVDYGHLFETCYREYRHVI SSTLFEKQDVEHIRALCIGSFWLPGSSRILLCDAVRRAGDLRLHRHILKTINLTASCS LSPRTGITTDQAESRDRVRLWYGLFMCDQHQSILSNRESLLPLHLDVLEKREEYLKSD ASINHDLRLVAQSSLLLIMARIKREFGSEYTAQVPESIAPEFLKFSAELDEWIEKYRP RFHPDIEMGKYPSLAFRLHYLFAKLYLGHKVFRGLQGNAFANTFLAAASLAHESAEGI FHMILEEDGLLKNLWKVPSYVHIMISFAGHLLLELCVKYRKPLGIDVKENYRILNDVV SAMRDIRLTCSHPLARVIMGLQKRLFDFTALYGRENLSEVAEPDLQEKNVGFTGGLPQ NAPICDDVVTDMVNESLFTGLGDFTTFQDFGVGNAGLWSV UV8b_06019 MRVLGLTSLVLLITCSSISIAQNKHDSNAAAAIPPGGEDWINFQ PLRKRLEAEATAKNSLDSRSNGLPRPALKNAKSRKRRVRFDEGSIAATSSGNHADIPE TKRVRFDEDSIVAASSGNGAKKDAKSCREYSNEAHLRCTSFYWGSDSSDDEPEQKSKS TKINEAGEFCDSPTLPPADRALKIVNPRPALKGSTDTVVVDSDPVEILEEEDIVRKKC PTRNNSPARQAPHEKFFNKIGKRQGWCENTDVCFVQFPELRWHRKNSGLYDTVGFPCD KRYGGSCRKHRGRCFFYPRVDYAVCSGVMSSN UV8b_06020 MPIFYDSLSDHLRDWALCQSLFFVASAPLRGRHINVSPKGLLDS SFAVLGPNQVAYVDLTGSGCETISHIRDNGRVTIMFCSFDKTPRIMRFFGTGSVFEWH EAGFAALCGKMKGVGASLAGSRAIIVVDFFKVQVSCGFGVPKIMQSSGQDDKAHFENR PTLQEFAQRTVDRGEMPDYQKKWNARSLDGLPGLRSAWRDSGRHVWSAQYQNWVSRHR HQLEDLKTLAILTLVLVLIGKVWQGPSI UV8b_06021 MNLQDVIDTESVRARFPGLAGDTALFNNASGTVVLKGAIESASK LMRSMPLPGGSDAKSKELLQEYINNKKCVSAFLNASPTEITFGQSTTCLFRLLATSLK PKLNGDCEIICSTLCHEAMTSAWIHLAQDLGVTIKWWSPDQKDPDDPSLSLESLKRLL TAKTRIVTCNHVSNVIGTVHPIREVAEAAHSIPGCMLAVDGVAYVPHRPVDVKTLDVD FYCFSWYKVFGPHMATLYASTRAQDQYMTSINHFFIAPTSLDGKLLLGMPSFELQMMC SPIVHHLQEVVGWETITKQEALLTKVVLDYLLSKPLMYRVFGRRSADPSQRVSIITFE VRACQSGHVVSQINERNRVRILCGYCLAPRPTWDVLKPVSSDGLIRVSLVHYNTVAEA EVFCDELDRVVSQATCAGSAG UV8b_06022 MEVPKIQRVAVVGAGASGILSAAHLIAAGLDVVVFERNSGPGGV WLYDERKIPRLAYPSTKASRADVQVGEKLADDEALIMHAPPGPCYRNLKTNVPTPLME VTLLAWPPCTPDYADHHLVQQYLYSISMQKKVHEATSYGARVQKVEKKEDGWLVMWTS LQSNEESLVIEKESASCFDAVVVANGHYHAPRIPDIPGLSQAKQRYGSRIMHSKEYRR PEDLKSKTVLMIGGGVSSVDIATDISPFANSIYQSTRNSHFDLDAGMLPRNATRVDEI ALFEIHDDNDTLCADDPLPVSCHLDYHSDETRPENASDRILVSDGTQVHNLHKDMFYI HDPTLAFVGLPYYTFTFSVFDFQAIVVAQVLSGLVELPTKAEMRSEYEAKVLQVGHGR NFHSIHGKEEAYVADILSWANANRVRRGLNPIAGFPPKWYEAKEALRRKFRQDAEKRA SEAWPM UV8b_06023 MQDPVTRKVAVIGAGMSGVLSAAHLQRVGLRVTVFERNQQVGGI WLYCKDAAIEPEYPCSNPSHIERIGWDKRSGKIRQRILHAPPGSAMSPERALPKTVLM MPRPCYDNLATNIATPLVQTTLNPWPKDTPDFVHHCKVKEYVQETSERIGMRKVTVFG AYVTNVYKHGPKWNVNWKVLQDRAETGELVEICHHEVFDAVVVASGHYDAPRIPDIPG LVEAKAGWPARVLHSKSFRNGARFEGQNVLLVGGGVSSTDIAREISDSAQTIYQSTRN GSFDMPASKLPANASRVSEVVAIGTTPPDSPAPTDSPFTIYLKCNRVLHGIDAIVFCT GYHVTVPFLPQYHNHSITPQQADDKVLITDGSQVHNLHQDIFYMPDPTLAFVGIPIFN TTFSLFEFQAIAVAAFLSGIARLPSMDVLRVEYDDRVRLKGYGRRFHILGDEEESYVS RLINWVNGGRSSRGLPLINGHTEAWKEAKQQVDLEILKLRALKVAESSKEQTFAQSAG SH UV8b_06024 MLSRVNVKKPCYNSVEEPASGARHCSETPGQKIRSISFYLVTAI ITVLLIAGSFVQLNLSIRSTCESPPVRREWRSLTSDEKRNFTRSVNILAGIPSSRRSN GTIYDDFAILHGSIGSYAHRSASFLPWHRYTLIVFENTLRKHAGFTGQIPYWDWSLDW MDLANSSIWDSVHGFGGDGDPFGPETVGEGRCIVDGPFAKLRPILYNHTFTQHCISRG FHDGNQMGRLPGAAYSPEKMGEILRKPRYKDFVRELEIYLHGSLHQSVNGDFKAMTAA NDPLFYVHHAQLDRVWWQWQQQDPRSRLTQYEGKHMFNSTGNATVSDMLMYGGFTDDV SVSSVMNTEGGFLCYKY UV8b_06025 MARDFTKDESERASSEFLIDENFPRKKTKRWSQRPFRHFCIVLG LLVGVFELVVLETFFVHISWQSKSPSALGELNALVPNFPIHPVLFRHDPMATIGRNDE NSRNATQENWLSYIPRGNGFIAVNNAEKYTLPDPIPFRGKSAYSIAVFHQIHCLHAIM NVFNELTRPASKHARDSDRGHDGHMDSHAHRHIDHCFRYLRQSLVCCGDTALEGQDPN TKAAGTDGTGAVHMCRDFDGIRAWAEEHRIVDTKHL UV8b_06026 MSAILKYEPIRHAASGSSESLPLDEVEKQNLFDERPKSGSYAEE LRSKIRVSGSWVLSGLLAISNLALLVAFVHQRLGIDSRATKHWLPPEISRTSLFEFQT LFGAPLNNESEYAWNKLMPVGRGFVIVKNETVLPEQPGLNQSISEQKAMVAVFHQLHC LYMAREGFYSAVEGNVNKVSSAHLTHCFDYLRQTIMCFGDTTLEWLPAPPNDIGSTGW GFEHTCRDYGAIAEWAEQNRLKTTFGIH UV8b_06027 MKFSLISILATGFPAAVVAAPTFQENVMAKRASVEDYAIGVDKR DAVEDYVIGVDKRDAVEDYVIGVDKRDAVEDYVIGVDKRDAVEDYAIGVDKRDAVEDY VIGVDKRDAVEDYVIGVDKRDAVEDYAIGVNK UV8b_16027 MFYTLLLVLVCLFFLIYRRWLHPLSHFPGPFFASISGLYQTYWN FQPNFQDNFVKLHEEYGPVVRYSPNGLIFENPQFLHVAYGRRADKSDFFAPNFDTHST FTRKYHEEHVASKKAIATAYSHLNLQLFESRINNLLLQWLGKLNISTREKGRTDFLEY ASWFTADATSQLVSGKPIGFIEAEKDVRNLLYHNNKSFAWISFLSIQDNFSWYVRNTR LGRYALMARPTDKTGIGVFMRERDSIVDSIVDASGKIDRETLVEGSLLWSFLNAEHQG SISMTDVRAEVLFALLAGSSVTPSNLWSIVFLISRDQSIKDRLYHELCHAEETGLIYP TSIVSEGQVKKLPYLCACIQEGLRYTPTISQLPRLSPRKTGLVLDGKYVPPGFSVSTS PWVIGRSKDLYGEDSSIFRPERWLEASPGQLRKWQRYSFHFGYGARKCLAKNFAHLQL QKAIAEIFRRFDVQVEGSAQGNSAGKPTTRNFRFLSRK UV8b_06028 MVNQRSKSGCWTCRLRRKKCPEDGFPCSNCESRAVVCHGYGPRP AWKDRGLREKEEADRLQLQRRAQSHARRHSATSLFAASSQSPSATSVSATNFQSSSFP ESSVAGPLIFPDTPDIHTEIPLLDTSTLLSEFWVPDFEALASTSTLCDNSVLAVEHPS PSKTAQEEQPITTPLLSPTTSVLDFSSTRVTPSEEREIELMLQFLGEDFAKQHPSDEL SGLKERFWLVCIFKRSSTFFYASLATSSYFNFLNAPAHDGKRTEFFREYDQYRESATK GHRALLEEANRENILQPPTDFTLGETIICSIQLAILESLGENHQDTLSHINSAARAVV RYHELSSMTNPDTPAQSFVLPAASVERRAILFFTYILIWIHVLYCSTQQTMPLASHIY RRLLSTSSPSGSFHQIVGFEGWVLVGLLDAIEVSVWKRKQESNNRLSMRELISKTDAI VSTLSHQIQQDRHVETQIVAYAVVLYTHTLTSGYLPEVPDIRQTLDKAIPVWQKLSLS PNSLKRLSWAFCVSASLALGSHRLVFSNILSDASASVGSDSRIVKLLRSLAEECWRIL DTGAPSCKWENVMKELGHDVCSTLFA UV8b_06029 MRPPPVIVAAKYLFPLSFPYKTHVYREDCKTVAGIIRKVYISTK PTVSVQIMIENLGPGSALHPKSASKIDILLESSLHDAELVSSFHAPRFRQRLAIIQAW GVREGETILDIGCGQGESCLALALEVGPRGHITGIDPAQLDYGHPFTMREAHHHIQKS VLGPRISFFPVDTPSYLAKLDGQRKHFDSVTLCHSLWYFPNDQAVYSLFETLAKARIR RLYLAEWSYEPTCPSQVAHILAAKAQALLYRYKTPSEPGLREQNVRVGVDQQLILKAA HAAGFKVTEERFISSAGDMREGQFEVRFVLGRVFQQRVADACLTENQREEINAAILKL QSEMERLGSTNTATVGSMDVWCPVLELNK UV8b_06030 MSHSLPVHFFDIESNLPGIDTALKTVSLSYETPSNPMPLVPFKS WSSSTLKIRMVLNYKNIPYTQSYTSYPDICPLLQSLAVLPHTKGRLTHTLPAISHPDS IKDNPTGTMMDSFPIALHLDKTFPSPPLFPSGDASHALAIAVEKLVLCASGKGLFILL PKAINILDARGKDYFVSTRSRWFGKPLQDLEITDPQEVEKTKDALKAELEVFFRMLAG REGKEGPFLEGKQAGYADFILVTFLSWSHRVDKKLWTEIMAMGSGEFQVLWDACSAWM EGQGEDIEVPIPPDGSGV UV8b_06031 MTCAKTDEPRKSEPSPVEASGHYASSYLEDEEQDLERVSLLRTT EHASIGDERGIRNGILSWYKGSNSVTLATCVLLLIVDIVASVPMAPRMVLFENIICRN YYGAWESDAGTRNCKIEPVQSELAQINGWKETFDTIPGLAVSIPYGALANRIGRSRVL ILALVGSLLSEIWIAIVCSLPQTLPLRTIWLSGIFQLMGGGTATVVSMCYTMIGDICS PEQRTTAFSQVHAAVLFSEFISIPLGSAFIAVDPWIPVLGAIGLMAFSTAMTFLITVH SISFPVKKKMPLETQGPSLDPSNRLMKTKNPFNDRLFSFIGLTPLLSRDVVLMLVAFF FCQLSRQFSGVLLQYSSVKFNWDYAKASSLIALRAVLNLMVLITVIPRLTRFFKNKGL HPTKADQSITVMSGVSLATGSVLLFFSKTPSVAILGQAFTALGFAFTVTARSFLTSMV DAQYLSILYTSITAVTYGGVIVGGPLLACAFQWGLRLGHFWVGMPFLITAVLFATATL AVYKSRGNY UV8b_06032 MSRQPNDFAKIVLILGSFVGVDGILGLSENSRYAFHPPADDAWK GGQTQELKPPFLCLVFGEHLFDPLGWVVGSDGDSDKCDLQVAETNQTGISRRLLRVDI SPVTHNPRITVLSSRTIRLRDGENVLICRTGEPTEFSRPVTIDLGAVTFRAWCPNRTA IEKMGYRRWAKEFSQDILGAVPKYIPSIQSQPETATHNIRCGKHGAVYVNEWGGGGRG MSASVMMVKEIRTGKIFGAKEPYYKTNDDHDAARKRWEALRREYEYIIQLGHPHIVKA YDLVAAEDANCPPWMIVEYIPLNLSETLDDLDEHGRITAMVHLSSALDFMHARGITHR DVKPDNVLVIRDGVLTIKLADFGTSKRNAIGKMDTFTGTEIYMAPELFANPRSYTNKI DLWSLGLIEMQLFSSWNSLLDEEWNPSNFRRWILEKICPHMEEVPERLQPLLKGLLLK SRHKRWRARDCSVFLWKHTEASDVDTANESAAISKKRPASALCDDSFEADERHTSTAD DNLSTEDSSRYVRSANPSLSTARARASPGPASSAPTPHADDGYSDDRDSEAEEGGWDG HDVKFEDDWREDEDEE UV8b_06033 MNSPPGGVKTAPHSRLASETLTNRLENLAEAQQNATPEPEDAED AEESYRWRCEELRNHFTPAEAETYRNDIASSAYWMVEYNQYKTALEDMMLQRARAAQA RDLAICPWQALAMSYKGVLKRCGLSLAGAKEIRHSIDDQMYWRLEAEVYQQRVASQEA GMRARQMGERKKQPSPRQAVQKGRPRPINQKRPPEGGIARRTRSQTKTLAKAGVVKRV ERVRR UV8b_06034 MYKDVFVIGEHKKSYDKSRFKEDFLQLTRYIRSVFTDQPTRRYV HAFLLCASMMELWVFDRSGPYSSGTFDIHEEPDKFARALVGYATMDDATLGLDVFIER KHGHQCVNLNDASGRETSIRLGRPIVRQKAVVCRGTTCFETRGGNVAKFSWVSDKRKL EVEQLKLAEERGVEGVARVVAHRRITTIAELREGLEFGEHHRFRSETVQFDDLPSTSS GGKRKSSSDHTSDGASGSKKLRSSSQRPKVSQKVTNTLSKHTRPSLYTSGEDLWENRI YSCLVISPAGRVISDFRSIEELLAAMRDAIKAHKSLYTVGNILHRDISSNNIIITKPE AADGFEGMLIDLDLAKERDSGPSGARHQTGTMQFMAVEVLRRVDHTYRHDLESFFYVL LWMCARQSWRNGFANQETPPQESRLRKWEIGRFQDIADVKRGHMTVDGLEDIMGEFPK AFAIVKPLCLKIRKILFGDTARLFMGTPTGEPDQLYRPVIAAYDEVINNLGHCGVS UV8b_06035 MRSTTFFFTLLSLLYTVLPVAGQECSAIKKCATGCCSKFGFCGT SKDHCGDGCLSTCDFKLGCDADRPCADETCCSKFGFCGFGKDFCSPENCVAGCHAKAQ CDPGSFGADYVELKKCPLNVCCSKWGYCGATAEFCGEKKVDRPSCAARSDAPVNRVVG YYEGWAARRSCHAFQPEDVPMGVYTHLNFAFAGIDPLTYKIVPAQIEDVALYSRLTDL KKYDSSLKVFIAIGGWSFNDPGPTFHTFSELAADLAKQKVFFKSLISFLNTYNFDGVD IDWEYPTTPERGGQDADYANYPDFMKNLKAALNAGSGGRNGLTATLPVSFWYLQHFDI VELEKWVDFFNIMSYDLHGLWDKGNKWLGAYLNSHTNMTEITEYLDLFWRNEIKPEKI TLGLAFYSRTFLAADPGCTHAQCMFDSVGEAGPCSRDDIGGTLTNAELTDQIRAAGVT PTLDKDAMVKIAVIGRKWITYDDEDTFRLKVDAARNMCLGGVMVWAVSQDYAGRSAKV ASTVGRKKKRGQSGLYDTRFSLQLQAATRYKSLKAVTVHKDTELIDEPSPKVVRNQCY WASCGISCASGYTTVPRIDSDASRDEVMQDGYHCQGGTLRQFCCPASKTIPRCGWYDF RNGKCGKKGACPAGSESVIAPSSKQREVGSTKVACGNDRAQLACCQTAGDSGRALDSM IGYDICKWHGTASESCDDSSSLGPCSDDPERHFDTLSSFWGSGAATCRKHGYDQYRTL CCKRPVMDTQWIDCWGQPSADRSSKHCSGYCPDGKIRMAMEKPRPWEGCNSGANAVCC TPRFLTEANNVEEIHHGYVSALQNVLAHPENCKWDKVAGHEHNKRGIVDYSTDCKVAL QGTMNMLGSPDSGVQQRYVNDWNFAVNSMGMYGIPASAMQTQPEGYAFSTAPSRAAQT DIAIGLINVAKKLDTEKKKPTKFVWKCPSQWNWDASLDIQEDPDDGGLEVIEPESQGI PIHHRRSFDPDSLLNASTKTMLATGERVAVVFDGQASSSDVPLNSSDYKASSDPDKDD SQSAPDCGVSKGSEDWGRIPTPEEWREGVKRLRKFRIKDTCSARSGPYDPKRRHRSDE NCLDNYACRAKMLGYDVSKSEDSQAYYEVMLQEAKARPRKRYADILDQRNNKTLQERD WQQMGQPRKYTIPEHTYAAGDWPGEIIESSAYPNGNQGDDLMEINNDRSRYVVKSAGC GPQDYELKTRAAKNEVNGIWVTEHILELNTIGRFMAASLDGALPSLQFGIGAYRLSPV TMHEVQMFAHRFQSWDLHTAISPADSCLVQMGSKQNTRGLVVCDSTLNMLKTKIYKLE NPVGETTWDNYAIFTVPESLERALAYIQGIMAVFDYYDDANVKSRHAQAYQFVMQELA RFEESYRKQFVPIRVFGLNKSSKVFIAVGRKH UV8b_06036 MAFALQQLLRFILFSLLHAIQPLLAYGKTNLLAENHYARGNSSS FAGNVSASAENIVARFQMAMAVANQAILAAPKENSPQILNLTTARLARAEPLDYSGNF QQHANGTFYRRDSIHGNGTIATSNRQSYTVPSEVVEAARILAEKNPPPAADYPLLLAE VRARLARRNTHFNDTNVMPQKTQRSSGLGGYVSPDELAITIQNGTQVAGPEHPDQGLN RTQFVNGDGAKLAKRAAETFWMETMTQRGTAPYAGADYKVWRNVKDYGAIGDGIHDDT AAINKAISDGDRCGAGCPASTRFPATVYFPAGTYLVSSPVIQFYNTEMLGDPFSPPVI RASASFVGLGVITSDVYVGDNVTWYLNQNNFLRSVRNFVIDVRATPQGAYVCGIHWQV AQGTSLENIAFISTKPADDESTTQQGIYMENGRGGFMSNLVFVGGNFGAYFGNQQFTT SGLLFDSCRTGLQIHWDWGWTMQDTEFYNCRKGIVTVGGAGGPFSTGQGVGSLSLVDV HMWGVPVAIETSLFSENSTALYISNGGFQNCGTIVIDSRANRVLYPGNAAGKTNVLSW GFGKMADPSGETGFRDGADVLTPDAYPASLLVSDDKHPRAKFFHRTRPSYADPGNSQI FNVKDYGAKGDGSADDTAALNHILDVAANVSGIVYFPHGVYIIKDTLDVPVGSRIIGQ AWPQIMATGDKFDDMANPRAAVRVGAVGSVGVMEIQCMLFTVRGPTAGAILVEWNVHE STQGSAGLWDSHFRVGGAKGSDLQKAECPKTAQNTGCMAASMLMHMTEKSSGYLENVW MWVADHDMEDADQVQINVFAARGILIESRGPTWLWGTAVEHAVLYQYQLSGAQNVVMG LIQTEAPYFQLHPKAPALFKSSLVFRDDPTFADCVASPSSAACNMTWSLRIIESTDIY AISAGLNTWFQDYSQNCINSGANDCQLSAFYVEQSYRVWVYTLITTGAVQMAEPLNGK PVMARNNRNGFASSIVAWLAGPNQTVGVRNETGYTLYTRDDLASQSFSELCKTALTAT IVCPDLMMQWTSPAYRGSLANATLQSQICSIGCRDSLASWYQGVAARCADYRWSSGAP LEMAGGYTWYGYNESCQVDASTGQYCNDVIGAFSDSETLANMPDGELCSACFVGRVQM MQKSTYSIFNTVPWYQQALQVIQTRCNVQGPTNVPSPLIEVPVPGSFCISDKYYSTKS GDTCNSIALANGVSSADVFYATEAAGAVGGCSNLPVNLTFCLPLKCTTYLLKATDNCF TASSSAGVDDITKYNTWIDPSCDNLHEANATMGSVLCTSPLSGTYIPGMATNTSRIPG GGMANYSSVAVEPPAGATVAPETHLSCGRWLVAQAGDTCAFITMSYSIGLSLFASMNP SISATVSGDCSASIVPGYAYCVAPVWNPSYFQSFNRTYTTKPFGCWSNLDTKSRPLTG PIWTRHDVTLDICAKACFLDGYSMTGLKGADTCLCGEKVAMNSVQLSNSDCTADQDEA FSLYGLSDSVTLTFQFRDVGCFSNSALITGSAPSITWPTNNTVSDCSYFCLPAYPYFG LTNGDSCRCGADVTITSNKDIDNLINIVFVGGGIGIDCARPRRI UV8b_06037 MDDPRYSHPDFGTREEDWPDPDIDSDTEEGRQKMELLEAAHLAT INRAIAKRTQQPLYPGIPESLYDKARDHQDQLTDEERCLLLSRGDLVGKVLAYPDSLS DDEIHECLHWPPPNVVRENIQRATGGTLSTPTELHAKATEAIERGQLRTMISEDAILL ATSRYHAPNSKTNCVNAFMSSYHIPGYRQATVLLYRRLGLDGIAFREFVLYFADWSKL SSIRSRVTSPSASEPGYIRFTILDMDFLTEQHKKGKVSNQDAITLNWALLKKLRIAIV HPPFVRAAVKQMISNMESLQEQHQLGNMTVQEVMTRTADCLVSLRADSASLPPDPDPA IAARRLDEDCGGSGPWPPTSAPRNPVSIFARANNLSGNAIPSWLDLSEDQKEAYRAQY ETARREAWVAHETATTEPAVQIGQPSPPRNREQGQDLRWPYNLEIPGAPRERLPGLPM PPMMVTGLRVFRDELQEQVSFADACDRWDALTDEQRVQYEARAKVLDDAANTAYSITF SNFMKNGYEENQ UV8b_06038 MFSRNSILIVPLLIQEVVGLKPPIPGYKTQDITWQIEVFPGQEH QNFTGTIENVISEVQKRNTAFKLDPPEIDILSKRYQWDFGDLICGGGPYNWQWAKTNY VTGGIKYLRSIKGKPHLPAGPGTCGRVSCGDKASIWWCNDRDDFTEHYLTTFAEIALG AERINDVCRTETRKGWLTVGQIFTNQKWNVIVREDRNDC UV8b_06039 MNPKGNEYSGEIPIGKSKYDETNATKNDKAPLDIKINDAAGGTN HNLRKPASVFDVITHTIHLQDDPNLPAITFRSVLIGLVLSIFGGVLSGIYYFKPQSIA IPPTFLAVISFILGQAMSLAIPRWGGRLGSFLNPGSFNIKEHLAIIIMASSASSAALG LEIVAAERLYYNKRMNAALAFFMLISSQYLGYGMAGLMRRTMVYPSAMIWPANLPINS MLESLHLKLPENRKPLRVFLYVFAAIFIWELFPQWVCPLLTGVSVFCLANRNSTVFTN VFGGAEGNEGLGLFSLSFDWQYISGGLSPLYFPVSSLISQGIGVCGCVILFAGIYYNN VWDAMSYPFLSQLIFSNTSSAESPVQWNQTAAIGPDGKINMDAVNSLGLPSFSASNVL NILLTNMCIAAAVTHLFLWYPAEIKVAFAFLKPKCIRDSVRGLPGWLSNPAANRREEM EDNYDPHYALMKCYKPCPDWWYAVVLCFSVTCGLIVVYKAESTLPWWGFLVAALVGYC LLVILGSMQGATGVPFTIQSIVQMIGGYIRPGYPVANMYFSLYGYNALLQGKLLAQDL KLAQYGHLAPRITFSMQMLGTLVGAIANYVIANSIIDNQADILRSVQGTNIWSGNQAQ QFNAQSVTFGGLPHQLFSVGSKYQWVPLAMLPGFLAPLPWWLAHRKWPNLGLHHVNTP IILFYMCYLNVGINSSLMMFFIVGFTSQWLLRRKYPNVFLRYNYLISAALDGGTSVMV FILSFAVLGASGAAVSFPNYWGKPSKGFVDYCYKSGSS UV8b_06040 MKFPAMLIVAFAIGAQCTSSTTGTSTVTKTSAATGKATTGTTTG TSASKTSAIATTTTSQAGAGTNAALPIMVFVVALGAYMA UV8b_06041 MSRTLLRVGHDGRSLLCAYGGGRQGLSRVEMTPGVDSIPETRLE STYAQAGRVREQKLRVADGDTYTKRPKKYYRQGQVVRKALLGGVTLSGVRKLGVHFTD TKGNATHVFDGREACLSKKLEYGDFGLLRDDVAEGLGGDVHPT UV8b_06042 MTPQNPRSITVLTGQTLPAGQDPRQFDWRSNRYLCFEVYDGFDA WSGRLQWQEHRKDWPEDGVQADLSKFDELIKLLRPIPREKIYPEFPTEELTRYEPQQQ GNGPAPYLKAPKPGHYQDGSDDLASRLLNEAMIYEKVLNNPHPNLASYLGCVVEEGRI VRLALKRYNESIDDRCQRASPDEFTLGQRMACMDQIEAAAEHLHSLGLAHNDISPSNI MFDDDGRPVLIDFDACAPLGDPLAKGGLVTGWKGPIAGEGLEFKQSSAICDKLAIQEI RKYLAEGLE UV8b_06043 MEIQPTKEADIQDVKLQEPSLVEEQAQRPSFWKAALPVFACGAG LFSDGYINNVIGSVNTVLGIQYGSLYRDSEAAKYVADIAFAGTVVGQLVFGYLSDGWS RSNSLVASTVILIVFTALAAASYYKGDAIGMFNMLAAWRFFVGIGIGGEYPAGSVGCA ESTSGLKKGTRHRWFILFTNTMIDVGFVFGAFLPYVIAAAAQNTNYSTIWRTSLGIGV AFPLVLLVLRFRLKEPEEFSREAMRKKTPYWLVLKFYWFRLLCVSMVWFLYNFSVYAF GIYSSSILSGIYNNTAPLTTVFGWNTVINLFYLPGSIIGSFISDKLGPKHTLVLGVTA QSVVGFIMAGVYEKISTNIAAFAIVYGIFLSLGELGPGNNIGLLAAKTCATGVRGRYY GLAAAMGKIGAFVGTLVFPYIRAAGGNEVESAQYPFWVASSLCILSAIIVFFFIPNIG QDTIADENVRFRDYLESQGWDTTQLGIAAHDAEAAAAEKKANASDKQE UV8b_06044 MKAAIALLALGVAGSVATMQNIARDPASEARGAVEVTVPPHEPF KRTDTNTEKGVSAKDVPDDTDIDGKPDKKGAKKGPNNDTAVSEQISPEKKDPWKFKAK DKNAAKDSGANKTKGKRPAAVDPIDQSPDDTYGDGQTSDSQADDLADYGSSDLGSDDD ASDGVVNFSGDASGDASGDASGDASGDASGDASGDASDEISSDASDKVPTKQNANEKR AIGKNDLIAKQKNSKKGRKRSRRGRKKKAKGGNVMVGSCRKATRTCEIIEVEVASKTD LQGRALRRKRVGGRKNMRIVERKYACRNKVSKDGLADPQLAANAQTDFWKPVHEGYLH RSSDEQDG UV8b_06045 MTIGENDNAKGPEPGQKTALVTGAGIGGIGGSLATELHSAGFFV ICAVRRPSTATDLLLPGMVAVELDVTSSDSVTAAVKRVSSITNDRLDVLVNNAGSATH RPALDLDVDGVVAAMFDVNVLGAMRTVKACADLLINAKGCVVNIGSIAPIMPLGYSSA YNASKAALHAYGDSLRMELKPLGVDVLTVITGGVKSNIVREESPSLPENSRYKPVEQF WRKRVTQSQEGAMDTDKYARAVVSMIQKKNKPIWFWQGGFSSLAWFLHYFIPRSMRLY IMARNFGMHLL UV8b_06046 MESEVAAKAAPTIHTLPGSSNIPLADFPSPEAADTVEPAEEADR IINHLNESFQDATFNETADLFCTHGYWRDHLMISWNFRTVKGPAQIADFLQIGAKSKD GFRLKKVAIDKSTPSRQPSMGYLDGEASVPSIQAFLSVESVLGSGEGFVRLAREGGKW KIYAIYTSLRALKGYNEAIFSNRPQGVSHGGQPGRKNWADRRALARDYRDGSEPEVLI VGAGQAGLTAAVRLKALGVNALVIEKNERVGDSWRRRYHQLVLHDPVWYDHLPFLNFP PQWPVFSPKDKLADWFEAYANIMELNVWLKTELVSSSWDDETRLWSVDVVRKLADGTS ELKTFHPHHIIQATGHSGEKNQPDIKGLNEFAGRRICHSSEFTGARQGGDGLKAVVVG SCNSGHDIAQDFVENGYHVTMVQRSSTHVVSSQAVTEIALKGIYSEDGPPVEDADMIV QSMSSSMLKAIQVVVAKIQRDNDRDLLQGLEKAGFKVDHGPDDSGLFFKYFQRGGGYY IDVGASRLIAEGKIKVKHGQEITEILPHGIRFSDGSELEADEIIFATGYQSMRSQTRH IFGDLVADRVGDVWGWNEEGEMRTIWQRTGHPGFWYHGGNLALCRYYSQLLALQIKGL EEEVYAYEED UV8b_06047 MVYIPPCREPGCLLSAPPQNTIPGSPYVTKEMAISFIFAAIALA HSAQSTAQCYWMNGTEATKDMQPCNPNQAVSACCASNKARPDICTTRGICISQDEGYT GLAFANGCTDPTGKDRNCPQICVGKLDSSIFSINVLACNSGGHYCCRDANDHKNCCDN ALAQVEVDLGGLFIPEPKTVTTAPSGTAATTPTAAACESNVTLNNNLTGNASCPTDKA AVVGGAVGGVLGGALLAALGAIAVMHRQRSRNGERGPNGTNTSKNCSPDYHFNEYSNV PQELPALRSAHELRG UV8b_06048 MIGVIKKVWPYMLSPLVAGPDSVTIITPSPEVVAASAINGEISG PGWWQKPEGVENVIIGEGSGKPIVARSFEDAFDKLLDDAEGHDDRNC UV8b_06049 MAAPLRGQGISHPIIEEGFTCPGAATVASDSHSNIYSRVPPVIK DTVYTLSATSCSLWLLHYLTTIESLPSSEQTFAYSQVGDRLSASNRTTVGNPRW UV8b_06050 MQRQSFLARLRQQLCRTKLPGQHHEDSIPSHATETSPLLKSDSC LDHDHGIIVCVRRRQNIDQPEQGILSLDDNPVTWLHEARTIASYSLPLTVTFLLQYSV DALSLIAAGRLGKRELGAVSLANMSAAITCFAPIQGLATSLDTLCAQAYGSGRKHLIG LYCQRMALFLLCLCIPISILWLYAEPIMKHLVSDAESARLASLYLVALTCAIPGYVIF EIVKRFLQAQGLFQATTYVLLVAAPCHAIVVSVLIRKFGFIGAPMAVAATRTLIAVLV LLYVRFINGSGCWGGFSHRALTNWWTMIRLAIPGMIMVEAEYLAFEVMIIASSQFGTD YLAVQGILSAIATISFQVPFSMSIAASTRIAGLIGAGAVGAGKVAAKMALTAVCITGC LNFIVYILFQARLPFIFTDDPVVARLATQLLPMLGLTTFLEGVGATAHGLLRGIGRQS IGGPATLSSYYFVALPTSLALGFGLDWKLHGLLWGLTLGLVVVSLIEYTYLCMANWNK AATEATARNAAG UV8b_06051 MPSIEHGSLVLVTGVNGYIGSHVAKHLLERGFRVRGTVRDDHKR GYMEALFEESHRRGEFEVQIVEDMASDGAFDTAMQDCQGVIHVASDLSLNPDPNKVIP PVLSGVRNALTAAARNPCVKRFVYTSSSTAATAPIVDTVFDVDTNTWNHDDVQAALAP SPYNDDRKLAVYAASKMLAEQECWRFMEQEKPVFTLNTVLPNCNIGRILSKEQPASTG GWYKKMWEGDKDILELLRCQFAPQHYVNVTDTAVLHLAALLELDVTGERLFACAGPFG FNETAELMEELDAAGCGDGSRRFERSTSSSKDLKTFATKRAQELLRRYSRPGFTGLKE SLREAIES UV8b_06052 MASHSPEHLNRDRLFNVSHVTAVLTGGGSGIGLMITQALIANGA KIYVVDRRKDALDTVQRLYNTGPGEMIPLVADISVKADVVRLAEQITALEPQGIQLLV NNAGIALDHATRFADSGQPCMSSATAISEHFMRSAPNDWAASFATNAMGGFFMSMAFL PLLEKGSAVVDGYASSIVNLSSNAAFLKDSCRGYVSYAKYVFAKPCACWWELTGADDD GFFGAGSKVDDESPGDERCRPGGEMDIAATILFLASVGGSFYNHQILFPDGGETLICP AAV UV8b_06053 MPTNNADFALSNVFNVKDKVAVITGGGSGLGLMAAQALAVNGAK VYIVGRTEAKLQRAAAAHGQGISGSIIPLQGDVSQKDDIRRLVQELSKRQQWVDILIN NAGIYSNEQKARGASAQEVKQNLFEADNATFANWTDVYATNVAAVYFVTTAFLPLLQR ASDERPGVASTVINISSINGLIKESLSRFASNAAKAATIHLTKMLAVEIANCKLSIRV NSIAPGLFPSEITTGTSDECQKSPPLPAGTCAEISAGRPGNDVDMASAILFAATNQYL NGQIIVVDGGYLVKYGTL UV8b_06054 MNHMDHRPMATSSHPPPQKHTLINGVSDYTLSLIVPVVTHWLTA AVVGAFVVAVVGSGMTLREGMVFSAFSSFKSCTDHSGYALPWNPVDILTTVDAGYHDK HHQRWGLKKNFALHFRFWDRLWGTEFTDEQVACQLYARDRQAAEMKKSKIKAS UV8b_06055 MAARSLESAYQAYIAALNERPFRSLADHMHPVVILNGNPMPRED FEALVAEDVDAAPDLKFTVVMPVVDESTQRVGSRIEFRCTPQREFMGKNIQGTVKCME HMFYEFRDGKIEKVWWMPGELVAVEAGDADA UV8b_06056 MIGVSAMVANDRTHPGTASFANLATKKREGRYSNLAPNQPCELQ LVHQSHRSTLPAKDTEPNPEKQSRMALDNIFTGNRLPTPLVSGISDFHLSLLAPVAVH WLTSALFVLCEKTGWLGKYRLHTSAEELMRNRVSKRECLRALQILLGLGLGALSSDDI ATREPDHVAIWTGRVRSAFASVPNLLSLSGIDFTSLATTLSRTAPAVLLARNAADMAA LEEATAKVLAGYVVPAAQLVAALVVSDFCMYCLHRLGHTNKWLYKHVHSQHHRLYVPY SWGGSYNHPFDSLVVDGLSYAIGCWASACSTRLSVLLFAYASFKNVTDHCGYVFPWNP ILFVTGTDPSFHDVHHQSWGLKTNFGAHFSIWDRLMGTYFGDQVQILELRKRNRIAAE ASAFRKPASEM UV8b_06057 MASATVRHARREDASVILELIQALAAYEKEPRIDATVEAIRDTI AFAAPASAEDGITVPETEPISPNRPSRCLLLFDPEDKAIALALYFYNYSTWQAKPGIY LEDIFIQPSERGKGYGRRLMVELAKQAVAMQAGKMDWAVLKWNAPSIKFYERIGAKVM DEWAGMRIEGRAMEELARFYD UV8b_06058 MGSRTCASPSSVTLLEFLRSKSSIDYDSLDMAVARQIGPFADCT SNQWDAYVQLSDPTKASVVKESLESAQKLHGDVGAADMSVEELAVEIAMIKLALASVP FISGSIHVMCNPFYAWDADKIYQTGHRFRSLCRLFQPDLDLSRLVMKVSATWEGMQAC RRLRNDDVKTLATTLFTMEQAVLAGEAGCTSVSPFAHELRVHADPSYIGAGANLDLIV EAQRYYRQHGIPTKVKACSFKTPDEMTSMAGIDAMTLPAGVLLHLDATTDSRERLEAR CVLGSAGKGPGDGARRSYIRDRAGFNRAYLTNGMGKAKTEDAIAVFCGFQMRAEELVR SVM UV8b_06059 MPIVPWPFLPGFLYRQLLVTPPKPSARFDDKTVIVTGANVGLGL EAARHFGRLGAARVILGVRDLDKGDAAKASIDDTLGRDPSPIHVWHLDLASYDSVRAF AARADKQLDRLDVLCENAGIATQTFRFAERDESTMTVNVTSPFLLALLLLPKMKATAG RCNTHATITFTSSEHNFVELAERHEPDVLDALSDRSRADMATRYFVSKLVGLLCVREM AQRAGSAGPVVINCLNPGFCHSQLGREAGWYLYVMALLLARPAEVGGRMIVGAAAGGP GTHGKYISDGAVAEPSAFVRSEEGGRVQRRVWDELVARLEGVVPGVAANL UV8b_06060 MADSRPAIALCSVGSLGKYLCDELLAHSRYSFVVISRQRNKDPF FADRNIDLRTSDYSVGSVLAILDDTNATALVSFNHSPGSTFVDVHRAFLEACRRSRSC KRFIPSEFAGNIDDFPQHPSYYTASRVPFREVLALETDVEWTIFNNGWLLDYFLTRDK TYMPAIPDEFPVDPNGWKACIRGSGNEPQSFSSGRDVARALVMLLDAPEWEPTTYITG QWATFNEMVARMEEFYGRPMPRTHRSEEDVRRDAVLPALPENAAVRELASVEEMMLNG SGACPREKTLRQRDKFFRGYVFLTLEDLLLQTGSIRGQGP UV8b_06061 MATTLHASGWLSPWTLFFIFIICIFAFALLRSPLRHIPNAHWSS GVSPLWILWTRYNNRELQVLEEKHRQLGPILRLGPADLSVSCYENGARTIYNGDFEKP GYYNFFSYYARKNAFCSLTRQDHSMRRRRITFAYSKSSLYKSESLSAVTRAILLQRLL PELQQHASSHEPTDILPLVYALSLDLLTCYQLGLSSGSNFLQDRSSLMEWLHHYEQRY CKEAFWPQELPTLTRCMGHLGINMLPASQSRATQFLEKWLLELCDGAERLASRGQDGE RAHPGNVPVVYELVKQAVYADSAEASFEDKRREIASELFDEMSGGREVLGLVLSYTIY YLSEKPAAQTRLMEELATLKPSAHHDCAAEQEGRRPELPRASSLEKLPYLSAILKESF RMRPTSTPLPRLTPRHRSVSLAGVDGIPPRTRVNVFQWFIHRNPDSYHRVHEWLPERW LEAEAGGQRLPLWPFGGGSRMCVGVSLTYYLMRYVLAVVYSNFRTSVVSKRTDVREPG SLEDEIVVRFERVSRGEWEGAAGSAGGQEK UV8b_06062 MTQPRDINICVFKHLRCDSPSPEDRLSFRVNVEPGHDLQSRSSH HQVPFSNLLLVAWGLLLKCYLATDLVSFASFGTDDAFCVEQEEADDTPRSNAHRPGLS WVHSLKISEDASINHIIREFRQPSNTRLFDADLLPYFNTALSYRRRESLTANGETGGE FPDFMTEADICVIVTDDGDILSLEIEVCASAFSRPAAQRILQTFHHILTLVLNGDAGQ TVASVDPLTQQDLSQIREWNSKTPICRQTCLHAVIEEQARRRPLAPAVCSWDGNLAYV ELQALSTKLAHMLSRLGVGPEILVPCAFEKSKYAVVATLAVLKAGGAFVPLDASHPRE RLKSIICRVDAKLLLASAKTAPAFDSLVPTILIVDRALLDTLPEEEENPESTVDPSNS AFVLFTSGSTGEPKGLVQEHASVCTINEAYGESIFINQESRVLNFAAFTFDASTVDVF TTLHHGGCVCIPSEEHRLNDIAGAINAFHANWVNLTPSFALASVPHPSEIPTVQLLVL AGEEVKKEHVQHFVGRINRVVNCYGPAEAGGVLVNVYHSTASEPSTVGRAMSSANSWI VDPSNPRRLAPIGAIGELVVEGHTLARGYLKQPDKTNAAFFRHPGWHSDDGHSPQPRR FYRTGDLVKYRPDGMINYIGRRDTQIKIRGQRVELTEIEQHLAIDKRLKRAMVECPRS GIYAKRLVVVAEPCNGTLHHSEEPQTTPGIKLLSPKVLRESGFSIHESAKSLAERTPT YMMPAAWLMVANLPLTDSQKIHRRRVRDWLEDLPVTQSLLLPDVSSAPLLPLNNPLAL DISHKVSELSGNGNGHVFRALNGRNLDLKTAGIDSIQVMTLSRWLRDKYGLRIAVDTL SRPGVTVDELSRMIMTCQSGQDAGKLQAPQLDIREEARLLFNTLRRSSARIAENHVTA AQSASTVFLTGATGFLGTEILHQLLCDEKIRKVFVHVRAANTQEGRERIIAAAKKALW WSESFNRRIEVWPGDLASAKLGLNRKQWDRLTGSCSAGESIDAVIHNGASVKWNLAYD CLRDSNTMSTVQLLQAMAERQFGGRFVYVSGGQGLSTDCDEDECCTPTAHGTPLTGYA QTKMVSELVVKQFAQCPEGQPHSARVVKPGYIIGDARRGKANPNDYLWGITKSALELG SYNREELNGWLFVSDVATVARAVCAACHTKGPKPGVVKVLDGLFMRDFWDLLTGRFGY KLEAVDADEWWRLLSSRVEQQGHQHCLWALQDVLEAGRGAMSSTATVPTTAMMKTCPD VLGAIKSNVQYLKDEIGFFPSASARSEKVEVLQSIIKSCWARARAMASFR UV8b_06063 MAQPQPGALAAGHERQRPFHHSPAPVESAALVQSRETGDVIAED VPQGQVKALPFAKSWVHLMAGGIGGMTAATLTAPLDVLKTRLQSDMYQAQLRASRLAK GQVLTGLNPARTALYHLSDTLEILGTVYRSEGPRALFKGLGPNLIGIVPARSINFFVY GNGKRIISEYWNRGQEAPWVHLLAGVAAGVATSTATNPIWMVKTRLQLDKNVAERSGG VMQRQYRNSYDCVRQIIRNEGLRGLYKGMSASYLGVAESTLQWMLYEQMKASLARRED AILRSGREKTWWDQTVDWTGKAGAAGGAKLIAAILAYPHEVARTRLRQAPLDNGLPKY TGLIQCFKLVWREEGMIGLYGGLTPHLMRTVPSAAIMFGMYEGILRLFHTPA UV8b_06064 MSDRSTPRGGRGGGGGPPRGGRGGRGGRESARDAGGDKTEKPKK ENILDLAKYLDKQITVKFNGGREVTGTLKGYDALMNLVLDEVQETVRDDEGNESTRPL GLVVARGTLLVVITPVDGSEEIANPFAAPEE UV8b_06065 MAASRFAAADLRRPAGSSPKPKGRAEHKETLCRNVLIYGHCRYE DQGCTFSHDQTKNSINPSDMSRKALNVESPSFTPANLQSAAKKATFSTQAANAPTFTP RGLAASPSIPADPEAGIFNPAAIREFTPGFDLNAQTASNGASHDAALNYDPFSMSAVG QNLPSAPYNPYTDEHAIPGAGAGFYPGSSAYAAPLQPLQHHLYAPIGPHREDLMPYHR MAHDFFLPEKLREEMQRKSEAALQVMPSSQLPQMDNYHSLVPLDTSHRKNANVFGYPS WVYKATSTKTGNVYCLRRLEGFRLSNEHAIRSVKEWRKIDNANVVTIHDAFTARVFGD SSLIFVQGYHPLSKTLAEVHLGSTAAGPGNRFQAKPPVSEAVLWGYISQLANALKSIH SVNLAARCIDVTKIILTDKNRIRLNACSILDVVHFELRRPIQELQQDDFTQFGRTILC LATSTPPAQLTNLKASIEQMSRSYSVELRDTIIWLLTPAQPPAQKGIEEFIRGIAGHI VTTLDQSQHQADELNSELYRELENGRIARLLLKLGAINERPEFEGDRSWSENGERYML KLFRDYVFHQVDSNGNPVLDMGHMLRCLNRLDAGTEEKICLTSRDEQTSFIVSYKELK KQLGNAFGELQKTSKQGKGI UV8b_06066 MATPRLFLVRHGETEWSRSGKHTSRTDVPLTADGERRVRATGNA LIGDDRLIVPRKLVHIYVSPRRRAQRTFELINLGISGDLPWQAHGTSESSGLQCPANI EVTEAVREWEYGDYEGITSREIQQNRASNGIAGTWNIWRDGCPGGESPGQITQRLDRL IKEIRDKWHRPVIGQPDPGNVRGDVLIVAHGHILRALALRWAGKSLEDGPVFLLEAGG VGTLSYEHGNIEEPAILLGGAFSVEAHG UV8b_06067 MADELYRQDHPQRNLPEDHSARPYPPPTADDQHRSASESSKVSS MATSVTLPSIHDARAGGYAPHANPTGGRTFGHHHPRFASPNPTNGFPPPPGGQQPPSA YLPPMQSPSDPRGAVYPAQDHRGSYFEDRRAPPALQEAGYGQDTFFYRGPSGGQPTNG FTRPHAGAYASEYAQTGNAPSALSQAAPRQRTSIACRYCRKRKIRCSGYQSAPGGKCQ NCARMNQECIFQPVSSSSSTAFIPVSAVPGGVPPGTQLFGAYGQPLAPNSVQASHHYS QGAGPPPPISAAGPNYYQPMQSPTEPYSPYAGEARAADDQVAGRRRRRTPEEQEEGYR LPPPRNAMPDDDPRRRSPAEASNNGSPATVTHHLPFHGAGSRHSPRNSSLVQLPTTSA SQVPAAVGGRSPMGQNGSSGTSTPSQVQRPGPGGTSVMSLSNLVDKSDIDKGMIDRLN RPRDVKGSPPRGSR UV8b_06068 MAENAKHVPERLQSAKDSDPADSISPIWNAKEARNKNLWQVREL PSLVLWGAFFFKGGRATGTGPAAAASAGLPSLAAYRKLQGRRDADALVNLQVGLLGGR AESNDIAAGGTSVTFAPDPIPWLEECFQKARAGMSKVQRRANTRRLLTLTMTGPGCTG DDPGGKTGPTRRHGAPTWLSHFAFSLARQAGLIPTIPG UV8b_06069 MCVFSITPVFLPGLINGTPRLMTALDVRYAALQWKLLVRRLGAR DWLAVGKVSRGHRRANEQPRPHLDALWDQLPFGASSHKAGLRLRTTRGQSWLAMWTPE ADAAQRLV UV8b_06070 MKSIKGLSVNKMLGSIKKKASWSASGPSASAATPAENPEVTAHN SVKAFCESGGNSKSNEVLFLPPIVDAAESSPAAAAECARVIRKYLSKDYTSKPSWQYN AIMLVRILTDNPGETFTRNLDDKFIDTIRALLKNVKDRSVWQLLMETLDDFEHTKTLD ENLAPLVLMWKREKEAAIRKHGARAPPTGPRPPAMSQPPDRHMQNYFAKAHHNNRLPD PVELTSRLEEARTSAKLLEQVVMNTPPGEMLQNELIREFADRCLSASRSLQGYMVSEN PSPDNDTMESLIDTNEQLQTALNQHKRAVLNARKQLGLGASPADDARAVAPDHSENES QQRSHDVDAGLMSGGASTGDAASGKGKQGDSHDQAGRNGTAVGSSSRQSPTGGAAHDP FADPGPLEPKHAAQSCSEFGPEVPREPFHAGFGGPLSSHSVVVNGVGDSNSSNSRGGI GRPRAGHNRPLDASDEDDIYDATPKGKGPVERH UV8b_06071 MADASDDVGQLSPTQQQALQQYIQVTDQDVESAVPLLERSQWNV QIAIAKFFDGEGPDLVAEAMAAQDIPRTTARHENLQQSLLASEGFASIPQRRNRTDPA PRIVPQQPVTHRSPWIIGLLLSPFGWGWRAASALFRTFWYLLSLLPASLRPRAVASRM STGFKDTSGRRMLMPRDTAARFKRELDEEYGANQLPFFEGGMAQAHDLAKKELKFLLV VLLSPEHDETASFIRDTLLSPDVVDYVKDPANNIVLWGGNVLDSEAYQIASEYKCTKF PFSALVCLTPKEGSARMGVVKRLVGPMPAATYLSELQDSVEKYGADLAGVRAERAAQE VARSLRNEQDSAYERSLAIDKERTRQRKEAAAAAEAAEAQARRKAEATALLEKQRRQW RAWRAARILPEPPAGDKDVVRVAVKMPDEFPGAGRVIRRFPPEAPLEELYAFVECYDR LREAADQDDAVDCGPAVEAPKNYEHKYSFQMASTLPRVVYEPSRTATMGERIGRSGNL IVEQTTPEPEGGEDGDGGVED UV8b_06072 MAYRWTTREKFKRGVWSVAVAAVISVGAVTGAQLKTDKQKRQAA KQLQTTPTAEQIAILEAQKVHLLQQKAGLERRLALFRERAQEREAGKK UV8b_06073 MASKMTVVVKHQGKKYNVDIDPSSTGEDFKLQLFSLTNVEPDRQ KILIKGGQLKDDAEMGKLGLKAGQTIMMIGTPGDSGDLVRPKNSIKFVEDMTEAEQAQ QVGATPAGLVNLGNTCYLNSTLQTLRSIPELQSSLQKYSPPVSTGLLAGMTQLDLVGQ LAALYKQMAGTQGAFPPGLFLDALRHVFPQFAEKAKSNNGYAQQDAEEAWSQIVQQLS QKVMIKESDGAPENSFVDKYMSGEFSSTLECDEEEARNGGEQAVESKDRFYKLNCHID ATTNHLRDGILASLSEKLEKNSAMLGRDATYTKTSRISRAPKYLTVHFVRFFWKRETK KKAKIMRKVTFPQELDIVEFCSDELKRALAPVRDKVREIRKDEEDIERARKRRRTNPA QDLGDIPGGAGLPLERERTKKEKEEEKAKNTTDGDTAMGETYKTDAEIDAEKDASVVA AKKELNALIDPALRDDDGANQSGLYELRGVVTHQGASADSGHYTAYVKKTAPVDPKTG AKGEEDGKWWWFNDDKVTEVTVDKVEALAGGGESHSALILLYKAVALPSSEGVVE UV8b_06074 MSQSLRPYLQCVRSSLTAALTLSNFASQTAERHNVPEIEAQTSP EVLLTPLTIARNENERVLIEPSINSIRISIKIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPIKGYDISFLITNFHTDEMLKHKLVDFIIQFMEDVDKEISEMKLFLNARARFV AESFLTPFD UV8b_06075 MSFITISDPESVAWRPKEQPCCVKSEESSISGGQLSDGSETTHS TDSSVEEALDDSIAFNLLSQALRQSADPTGAVSWDASTPHSVNDHVDKRIYQTPVSKA AKATGDSVVVPYAVNITPSSPAGGLPASHSYQSVSTLMSPASAERPGGLYSGSGAAFT LTEPRNYAPRTSGMPVALLSEPPPRFDLSVALGTQPLQPAVGNGGGNASRAVAGSTVV PFVSHSHSPSAGEVFALRSDHLNRLTGVPAGLPTLQTALQPGNFPFLEGARQAAAVNY GVVKLKNIPFATKRAEVIAFLGRNSKILNDADEPVHIIMERVTSKTMDAYVEFVTLDE ANKAVEKHHQHSLSGRVSRLGDRPVEVELSNQESLMRDLFPLARGVVWNGVTPHFEPF KPYEPWGNFKGFVSEEEMVMLVKHVEVPHRSPFSRDCPQRPYECLISTLKKFPWYATD RITISQRRAIFRATCELARLLTRSIQKQDDPINLTVQLRRRLLNTAMKCPGFTPLMKD DLAYMADLSEMEERQFNQPRFASCWRHQYGIAPKPGMPLDVVEWYIAVIREQTQRDVT ARHHAERNCLQEKSAETDMYWGYFWAELGYAFGAGFDQMTLSQAADAEFTAIERILAR ALPSE UV8b_06076 MSLFVDNLFSGPATDTLSTSASSSSTPPTTVADNDSQHSDTSKH DVITVAQDAIHVLPVIETSREPTPTQSPRARRPRRARASEPVYNLVKLSGVADHGKRR VKGDLVPDKRRRTVSGHGLGGSIQVAAVDGGSESHEETLEARIDASSLHWSPGSLDSP RIRTRRQGPPSPSPQRTSLRLARVPSVTTTMTNMANNSRKALNKRASALSRELRRLQD TNEFAGVDDVPVVHTIWSNGKYVDPDAPTPEPVRNATKTKELEEKEQQEQEQEQEQEQ EQEEIGKEAEETVTNTRVRRVKKYLDKGLYAGQAMPSDLLKGLTTAEKKKLADLPELM AHTDRTNKTMPPPIYTGLRTLVAGRDFKLPYNVCNPLPPGQPKPDEWKKMTKNRFIGD SKDYWRKMPHMHDLSKCVCKPEDGCGDNCQNRIMLYECDAGNCNVGKELCTNRSFSDL AARRSKGGKYRVGVEVIKTPDRGYGVRSNRCFEANQIIMEYTGEIITEEECERRMNEK YKNNECYYLMSFDQNMIIDATTGSIARFVNHSCDPNCRMIKWIVSGQPRMALFAGDRP IMTGDELTYDYNFDPFSAKNVQKCLCGSDNCRGVLGPKPRDVKLAKTDAKKTVKTGKR KLEEMMGDEGGSETTSKAKKRGIAPATGRKRSLTKASVQVAKRAASALKKSVASMSLK GKKKKKRAATPPAQRRVSKAAVGKTAASKLAKKKVSGGRPASVELQAESMTIVAVADQ DSEPDADKGEASLISVKRSVSPTEASRKAVKSPRRVIKLSPKARANAAIRLITQG UV8b_06077 MSGEQGPAAAAAAAAADAPAAPAAAAAAPAQTPAAAPETPLAKF TARLSEITTRADHSEMWGVELSPSDHAPTQVVLRKFLRANNNDAAAAERQLLSALEWR KKMQPAKLATEAFNKTKFGELGYVTVHKDEAGKETVITWNIYGAVKDNKATFGNVEEF IRWRAALMELGVQKLRLNEIKEPLAEDAQDMYQMLQVHDYLSVSFFRMDPAIKAASKE TIETFSMAYPELLAHKYFVNVPAIMGWMFGVMKLFLAPATLRKFHPMTSGATLAAELK PIASSLPKEYGGQGPSVKEGESVKLVDEEVPAQAQADQEKADAHVPSGTAVDADATAA ASAPAVSEPEPQPEPQPVEVPADEVAAPGPMAEKAAQVEPEPIAKETAA UV8b_06078 MAPRMPSERAAMRWTIRIIPFFIVCALCLATYAVVGRLCVQYLH QRRGKSGLAAGVLVLYFVFFALTLWSYARVFFAIQADPGFVPLLRRGDADEPPEKRRS HRSRRRRDPEDPPWVPPDADPNSPGLEAFYSKDAFACEVDGRPKWCSVCQQWKPDRAH HSSELGRCVRKMDHLCPWVGGMVSETSFNFFTHFVFYTTCLCVVCLSVSAWCLTQQLG SNQEVAVDGWIIAVVVMSAFFGLFAFGMTLTAGRFILTNTTNIDMLKKRQTFTLAVRI PRDAPPSSKYRTITYPLPSLQPTPPNASGQEASNGSLAARDQQASRRFAILRTEPSEN PWDLGLWENCKAVMGPNALEWLLPIRHSPCCDHDSMASDYPFGPLVAELRRRYSVPDV ADPTGRGVP UV8b_06079 MVTFGVRPVGKLSPSERDGEPGYLASCSALLLEFQRGFAETRGR ERNRARSRPVATCLRCCRGIRVDALRAWLAARRV UV8b_06080 MLATMLATTLAFHLALLAALHACCAALQQRAAPAAPAGYAPAPV PCPSPRPTVRNATGLSPQERAWLRARDSNTLPALKGLLARANIPGFDAAAYLDSLASS AAGGGNQSAALPRIGVAVSGGGYRALMNGAGAIAAFDNRTANATAPGQLGGLLQATTY LSGLSGGSWVVGSLFVQNFTTVESIVAAESGFLSTLWQFNDSVLQGPQGLRVGQYYNE LYQTVQDKANAGFNTTITDYWGRALSYQLVNATDGGPAYTFSSIANDTGFAAGNAPLP LIVALERAPNQLQVPPNATVYEFTPWEMGSYDPQASAFAPLQYVGSDFSNGTVSRNGR CVAGVDNAGFVMGTSSSLFNQAFLQIGRVAGVPDRLTRAINQTLAAAGTANRDVASWP NPFYGYNSRGNANANARLLTLVDGGEALQNVPLQPLAVRERRVDVIFAIDSSADTQTL WPNGTSLVATHGQLGGGGGGPRALAPFPAVPDQNSFVNLGFNKRPTFFGCGNRTGPLV VYLPNTPYTFYSNVSTFDLSYNDSERDQIIRNGYNVATMANGSADANWTVCVACAVLG ASWRRTGTAVPAACADCFSRYCWNGTTNSTAPPVFQPQQIITPSPESAGCRVAMPVGV ALLSSLLGVLFAVG UV8b_06081 MVFDERPQIGRHKPNGGPSGQAFESAIEAAGLIVLCTFDEFVRT IGSTHAFGKFPFDDSGRALNPDSDKIALKMLERSKEINRKASKTKGAKLLLWPSKLLP HGGFPSVTSSGTILEVPYHKIFQQVLDAAQLARQAAGSHPSEHFTSFLKDAKNHLRIV NQIRLQEQTKYFLESLSMLPGSDFKPYLDKDTVRVTHPLDGNSVIQEPYYEGKDLKFK DITYTDQLGENVCCSGGSRYPLSSIQYGALRRNLWNSRDRQDRQRAL UV8b_06082 MHWPASHPWLAFGALPVAIAQLQTFFALIQPVSSASPTPTTPAS LTSLATPATTEDVSNMMLTTVFTQPPDCSGGLTTVDFWTGVLWQNIMQPLPSLTLSSC YPSQFSYSAMATASLPPFSQLVCPQDWETWKLNQTYIICCPQKFGPYLPNRKNANRPG LHGLCTSKIFPQIGMDITSYRDSGQVTVIPGVTSTDAVLVFARAFDGFAPSFTATSHS SSQNSSSAPRTTRHLVYDHHNCSGIDYLGSSIICLNNMLAQYSDLGCTTADPACLCRN PNFLYGIRDCSNAACSNANDARNVINIALQDTQRLVDEAVRQQSIYNNWRVRNPRRNT YNSQHSAEARASKNKKRNEEPDPPKLDARLRQAAALVAEHQAAQQLANGTLNMNHTGP AVGIPGFNRRTDTSTYWLQNIKHTGMPPMGSNRSYPVFRDVTNPMFAGGAKGDGVIDD TAAINSAIAYGNNCGENCLTSSVKGTLIYFPAGTYLISSPINAYYYSQLDGDPKMMAK IKTSAGFIGLGAIQTDVYIPGASGSKCNPTSTANTDPGTTQIGIFTENGSGGFMSEVV IDGGKYGLLGITLLNPEAPGSQPPGSIYLLDTAFLNVNTAVKANAMKKDILETSIMTF DNINLQQVGKFIQFTDGSDVDYPSSELPFMVLGNQHTDSGYEYGSKLQRYHVSRSPSL LGLESKASTNSYFYRSRPQYENLDTGSVVSVKDYGAKGDGVADDTAAVQSALSAGTTK NLIYFPAGSYIITKTLFVPPHFRITGEVWSQIVASGSFFTDMKNPRPMVKVGDPGDSG TVEISDMLFTSIGALPGLVMMEWNVQAKEQGSVGIWDAHFRVGEAYGSELQVAQCPKV ASIPSVCVAAALMLHITPQSNGYFENVWAWVADHDLDDPANTMVTVAAARGILVESAA GPTWLYGTASEHSMLYQYNFYNTSNIFAGMIQTESPYFQYAAATESPGPFKDSVGLFN NDPDFSNDNCNGTDLSCRLSWAVMAQDTVNVTIAGAGLYSWFDAYDQSVCVDAQNCQQ RLFNDQGNNGGFWLWNLITIGAQEMISNTLTNDSIYAKENTQAIAHPFWSALASYTDD ATQRDISCDDDDTSPACMTETICDKTKSFATMDQLAAAKGTFADVCADYYAIKTLQST LRSSLDTYKSVNKGYDEVFGKYQEYVKEMIPMALSQFMSTPNGLDGSPGPGNKYFQCT FEADDLVETQQCPFTEIQLASYDSYTMTYKLVNESGFFDELSKTYSISKDWVKFGRTS IKNHKVTCQHDLCTQPFDQELVNFPKAADNIQVANPKDIITKALPNIGKLQNAIAARR MDVALNTWLGPTNDIVQVISMPVFAIQQALDSMEQAKELGQAQAQRDKTQLILTILSI VFAFVPFLNELAPEIAALDGVFAMLGAAGTFALGIKSIVDDPLSAPMEILGFLTLGVG RSAKDFAKVADARRGIKDGDLAKMGKTFKKHDDSLQNVIKRKCKI UV8b_06083 MSQQPHDLGYLDYANPANRSPTSSRQNYAGGFASGLTLPRQPPP RPFDGHLGSSALYPSDRIGGGYNPRAMDTMTGPVGMSGGYMLDNGQSWNYNSIGVATV NGAINGPGRQRSVNRRAALPQNWTDQNGMGMVNAGLHPFPAGLGGHLSNGGLRVDHGQ AHTSPDLRSTASDGEQLIPTAIVIKNIPFAVRKETLASIMLDMNLPQPYAFNYHFDNG VFRGLAFANFQSAEDTRVVIEAMNGMDVHGRKLRVEYKKMLPEAERERIEREKRERRG QLEEQHRAPILHQQSSIQSLGSMSQSQPRVSSSHLGDVDLNDPHSLEFYTELVMFKRD DSREILVFPPCISPEHRRSIHILAHHMGLEHQSMGEADSRQLTVLKRQQPSPTAIVQN APANNLDAHKRGLSRAATFDFAADRESRAAGNNYSHMMGRQGPTLELPGSPDGVVLPN NLRAAKSFADLRSFTPSPSQASSSYLGPGSGMNSMAPGSTARFGDYMGSVGQTSHSGN PGTPGPKGDGFIAGLGALSLSPYDTNAAMQQARNAPGAIGSQRPGANMNNKQGAPERQ PRGPEWESTAGFGGRGRPNGHMQRGSDSSDSGARGATNSAGASRYH UV8b_06084 MRKLLVLLLLGLLASVHAKTERKKTIRKGKKFNKLRVGKNYSPP VRFDPPTTALEARRRARNEWYYGKCSHKTMTCKYTFETMMGRRSNGKEWYEWQSGVDA CTPSGTSVLGDNLCPEDGFTCYVGGGDNAEYVICGPRDTSSVPVVGSGESVPPAVGFD NLGTPPVVDFDALHAPPVVGFGGLDAPPMAGFDALDAPPVVGFGGLDAPPMAGFGGLD APPIVEFDGLDAPPTVDVDVLEGLFPDGIADDAFFQGGITDALFPGGTADDAFFQGGI ADDAFLQGGIADDALFQGGIADDALFQGGIADDAVFQGGIAGGGF UV8b_06085 MANIETSFQAAIDAGKIHGAVLCATDSRGNFAFNKAIGHRTLLS GEKKPLQLDDVLYLASASKLITTIAALQCVQDGLLTLEGDLSPIAPELASKQVLKGFK DDADETPILEPADGPITLEMLVTHSAGVAYDFLTPPLAKWKAKHAPADQEQGQRRTVE EAFLHPLHHQPGGGWMYGPGLDWAGRIVERVTGQTLGQHVRERILAPFGVDDAEFYPV TRDDLRARLVDLNPDDPDATGRAVLGGTGALNLRAKGAFGGHGLFMSATSYVKILQSL LANDGKLLSRQMVDTMFENHLTPASATALQAALEGPLGMFFRVGVDSETTKTGYGLSG LLTLEDAEGWYGSHTLSWGGGLTLAWFIDRKNDLCGIGAVQTTLPVDGDVVADLKQVF RKGVYHKRAEWEEDRRRT UV8b_06086 MYTSTTRLPTKCLILMELEHVWDPQEIVDKLKVMDHMLFIHGCS LCGPEEIERDLAGTDVEAVSFCFKQLFLRTGGAWTKLAISNNHRGPSRTIAERGIGSP FVEGSATSRPAADCLSSVVDPGRLQQNGQARTVVETGINATPKKPITIQFER UV8b_06087 MQLRERGGVLVQRASILGSILIPAGDIVQHRHKLLHKLQPPTQS RQDGVDCVSGTPWADRSSALDASSQVVKQR UV8b_06088 MVHLLPFLLAASQCLGLAASASLKAPPSGPKGQSQSFFIKKGPG LGEDAFQEYDASDYSAWEPDPSIYDGVPMVVKKTVVDGKNLTYQDFDVEKLSLDQFKK HLMGADVFYDEHKRFLFNDADAEAYRNHLLGKSHYLGFNLTQRNPRLLVKRDFCTGYS PMCKGACLFPLSHGIIQHMNHDAYGKWHYEDTLFCGAGSISHTNTISIPSSLSFSFTS MIPKFGAGWLGSFTQFFAAVGITVNPPPKTLTTSIGYSGNCDAHTQCLLWYRPHYTLY TGIVVTRFIDAETHYGCSKPNQITPWHGHGVHSKSDPGGPEIHGACYTMKYHGCPAPV KKSVNLPRCPGV UV8b_06089 MASSIPHPPSPAGPVNARSAIAMRHLMRAAAHPCSRLQTPACLG VNSHVVCPFLMCRMNRVYLLAIQPGYPARAADWSPDSFVNLDRCPPTACLPTARQLPG HDPSFCRP UV8b_06090 MLVPALSMAVFPTPALPALPAFGLRRVLLVALAALAVFNPSEAA NLCYKVEDKKCTGYHAQTHQLPRYPGRTIYTKGFKGGTIWVKFQSRKRVDPSSSVTQN LVYNFKLRDWKGVYWIQLADSEDVMHCYAISGDCDVVVEDWEYPKKKGYPQTYLLS UV8b_06091 MLFDKACRCLNQSFALVAHSRFPRRAFPVAGAVSPRRQVTCHHH HRSLITITITITITITITITITITITITITITITITITITITITMTVAITITIAVTVV ITCIALSYIKLTIRNLSQRSPLQCPRCRLPPQTLTFHQPT UV8b_06092 MKLAPGISVLAGLLFGTHLAAARPFDGPPGTVPTSLPVEGPPGT VPTSLPVEGPPGKVPTSLPVEGPPGTVPTSLPVEGLPGTVPTSLPVEGPPRNISAPPP VEGPPTSIPAPPVFKGVPRNALASLPVEGPPGTVPTSLPIEGPPGTVPTSLPIEGPPG SVPTSLPVEGPPRNISAPPPVEGPPTNIPAPPVFKGVPRNALASLPVEGPPGKAPTSL PVEGSPGKAPTSLSVGGAPGIVSTSLPVQGRPGKAPTSLPVEGPPGSVPTSLPVEGPP RNVSAPPPVEGPPGNVFALPPIEGLPKALPPACTSRPAASPLAPASLAHATTRK UV8b_06093 MTDQSLDARSAARSAATSLIARLYSGHDARYGISTASCQVYDTA WVAMVAKTTDGRKRWLFPESFYYLLRTQSGHGSWGGPGATQTAAIMDTAAALLALLRH AKEPLQLVDVSVRHVWARIDRAYASLQSQLRGWTDVADANHIGVEMILPSLFRYLQQE QPGFVFDSRASHVLLGMRADKMSRFDPEALYGREPLSALHSLEAFVGAVDFDRLSHHL SGGSMMASPSSTAAYLMHASQWSRDAEAYLEHHLKRGAGHGDGGVPGTAPITYFEYSW VLATLVQGGFSKSDLACDELHGLVDMLSRAFEIGGGVIGFAPGLPDVDDTAKGLLALR LLGRDHSPSRMIQVYEGPEHFSTFGGERDPSLSSNCHVLITLLHQPMAHRYHSQIVKT AKFICNCWWTSDHRVKDKWHQSHLYPTMLLVEAFTLLLDYIDRGHLPDVANRTLHWKI AVSLVQACSRTLMQQDDNGSWDSLPERSCYGILVLHQARKLAFFRDVQAPIQAAIDRG VEFVKSRGSDALDPCWTSKTAYRVASVAEAYELAAVNVQHGSGAPGRVGHSLPLPLGP SQLAAYAKLVQQTPLLAGMPAWQLAVSWVESSLFIPLLREQRLAVFARDASPVSEDKY LEIIPFTWVGCSNRARTWASTSLLYDLMRISLLGYQVDEFFEAVAAPVADDVCALHAY IDEAVDQASRSSNHVAGAEARNGCVNGTSAAPPGEATVDGSSSPNGSHQTTLPDSVAS PLRAFVSYIVHHPSVLKSSRRDAEALHRELRAFLHAHTAQIQDNRRFRSQRPRGAVFA SPGRCFFSWVRTTAADHVACAYSFAFLRCLMSASLGSGRDVFLTPVERYLVSAAERHM ATVCRMTNDLGSTSRDDDEGNVNSLHFPEFEHSGPAPGARQALVRLAEYERECLERTL RLLEREVAKAKAEAVGGGRRALDDRKMDVVRLFVDVNDFFCQLYGYVERDLSSRIG UV8b_06094 MATLVHVGHFGRPLCSGQALPLLLAGILAAALAIKAAAWCARKR HLAEIPLANPPSWLFFSRPAERVALVRSAAEALLRARDDFPHGPFRFLSDWGELLILP PEFAEEIRNEPKLSFGLAAMRDNHANIPGFETVRIVGRDDQLLQAVARKHLTKHLAKA IEPLCAEASLALAVNLGESPDWQTVRLQPAVLDIIARLSSRVYLGEQLCRSQDWLAVT KTYATAFYAASSKLRMFPRALRPLVHWWMPECRRLRAQRRAAEAIIRPLVRRRQQAKQ AAAAAGHPAPVFHDALEWAEQEAATAAAAAAAGRSRSCDPVVFQLALSLLAIHTTYDL LQQAMTDLASNPQYIGPLRDEVARVVGQDGWSKASLYKMKLLDSALKETQRLKPGSIV TMRRVATDDVALSSGLVLKKGTRVNVDNRRMTDAAVYADPRVYNPWRFYQMRLQPGKE HVAQLVSTSPDHLGFGHGLHSCPGRFFAANEVKVALGHMLLKYDWKLAPATDKTPDCR GMLAKASPTTDVMIRRRHDEADTGAAARE UV8b_06095 MHYMVSMALAAVVAAVSLQHLSRLVCRLLAISALPLVNGKRWFE LSNVRCRRNFIHRAGEMMRQQLDRSPRSPFRVLADVGEVLILPPEYAHEIRNNNQLSF TKAAFKWFYAHLPGFEGFREGTTESHIMKIVATQQLTQQLTLVTKPMSEECASALKDV YTDDPEWHEIGAKDANLRIVARIISRVFLGPELCRNARWLRITSTYTVVSFRAVEELR LWPWWLRSTVHWFLPHCTAARALVREARDLINPVLEQRRRDKILALETGEECEYNDAI EWLEQIARERQVSYDAAVSQLCLSLAAMHSTTDFFTQALFDLASHPELMQPLRAEVES VLGTGEWSKTSLYNLKLMDSVLKESQRLKPIAITSMRRYTTTDVELSDGTVLPGGYLT MVSVKKHWDPQVYAKPHEFDGYRFYKMRQQPGKENTSQLVSATADHMGFGYGHHACPG RFFAAEEIKIALCHILLKYDFKLVPGHSVEPVRFGVSLTANPTAKLAIRRRKGAWSI UV8b_06096 MSYRAVAATGPLARALVHATRRQSLVRARLHQPSLRHSFSTFPA CRASLQEPALHDIALGKKGKTPQTLTEKILQRYSVGLPEGKEVRSGDYVQIQPHRCLT HDNTWPVATRFMSTGATKIKDPAQLVFALDHDVQNKSPSNLKKYEQIQQFAKKHGVNF FGAGHGIGHQIMVEELFVWPGTLCVGSDSHSNMYGALGSLGVALVRTDAAGIFATGKS WFQCPPVVQVNLLGTLPPGVRGKDVIVALCGLFPLDVLNHSVEFAGSEETMASIPIDE RLTISNMSTEWSATSAMFPIDKTLERWLRYKATEAAMLEDRTTRRRITHEMVDELFAN PLRADPGAHYAKKLYLDLSTLSPYISGPNSVKLSTPLHDLAPKNIKIHKGYIVSCTNS RSSDLMAAAKVFQDAAKANGGKIPKVADGVKLYIAAASAREQAIAEDEGSWQTLVEAG AIVLPPSCGPCIGLGTGLLEDGECGISASNRNFKGRLGSRLAHAYLGSPEVVAASVLN GVLSGPGVYKVPENYSGVKCGYGTGEPATIESKLGSALEQLESLIDRVETAAPTGGDA AQAGTKILPGFPTKISGEIVFADADNLDSDNMYAGKYTYQDDITREGMAAVCMENYDP EFRSITKPNDILVSGFNFGCGSSREQAATALLAREIPLVVAGSFSNIFVRNGINNALP CLELPRLVERLRAVFPSASKIPTRRTGWTLTWDIARSVIEVQEGENGERWEEKVGEFP ENLQEIIARGGLVGWVKHELAKAA UV8b_06097 MPETQIWPPFLNSYPPQLQQISILQAVALVAAVCLLRHIGALRS KPSVEAPYAGYRTFWEPTWLVRLRFVWAAPTIIHQGYSQFKDSLFQIRRIGADILVLS PKHVEEVRSLSKDKTRSVEPLFHDFVGDYTRGREFLESDLQNRVIQQRLTPHLDALTD LMRSELDVALEKEIRCGGKDSWTLVDMNRVLARIVGHVTARVFLGPEECRNEEWHTAT TEYSENLFITGMILRVVPCWLRPFVAPALPTYRRLLGNVASARTIIGNIVQKRQSLRQ ELGSAYIFPTDVLQWTMDAARGQERDVSNLAQRMLTLSLSAIHTTALTMTQALYDLCS HPECLEPLRAEIADALIDADGRGKAMLHRLYKLDSLLKESQRFSPVFLLTFNRIFHQP MTLSDGTFLPAGTRVAVPSNAMLQQPEHVPGPAKPSEFDPFRYSNLRNDPVLGPQYQR FMCAMTNSSNMAFGYGRYACPGRFYAVNEMKLILATLLLRYELKFPEGAKRPKNFTVD GDMFPDPRARLLIRERVP UV8b_06098 MEGPVANASTRLYYPLEANAENHVANTMDAPVLLFIFASGCAAI LAATYVIAKRTRPTICHGGIAIAFWWVLCGFIHSFFEGYFAYNHSDIVRRSDLFAQLW KEYSLSDSRYMTRDAFTVCMETVTAMFWGPGSFFVAYAFLTDHPLRYPAQLVVSLGQL YGDVLYYAIYWYEESVNGTVYCRPERYYYWAYFVLCNAFWIVIPLAMIWQSVWAIGRV FEKSKQAADGSAKKLQ UV8b_06099 MNKQRNQQETMPGQVLQSDIVRLGKTMRRARATLGKTTAFNSRF WSNLERLQRLQLDCLGKRMALLGWSYMNARKTPHAMSDDELCARGENLEEQMSALKQM GRVQGYHRRQLREGDAYGRLLLSWADSDAQEGDSSRDEAQAWYDDDAQAEQRARRSRR VDDMAERYCGVGSTVPYRWDPVLNTWLHASSASAVALFPEAEGDMTDRVFGAAAEVDG ARNGLFLHWRIERALDRGWIAIVPDVDARPDLRGPIVGGPGYAVQDQKLAAWGRQPLK EYKIVLMSIAALDGQKLTFLTVFRPHPRFLWWRFLAGLSRVSWSDRVDRASLDRINML EQVIRWGTKGGYMPDSVVRGFFPHVNRELLGMLDYAARHAGVVVEACEEPERGHDVEG DPVGVLVATKEAIRKLQVEDQELDVDVDDGDDDDDDDVQGRSDE UV8b_06100 MDLPPAPTPPVNNSGMPSNAERTANLLNLLKFSAGPANPSAQPQ SLPSLQSQPSDHQQPSQQHQARQPGYSQAQYSSPPARLHQPAPAGADPTGLLAALMRG ATHDAEEPRHTPPVQAAQPANANPFANGPPSADTRSYLLNLLNRPKPSQTDQPMLSET SRVNGAASQSPEITTDSNRFFNQYHHHQSQPSAYQQQAQHFHQQQHAPSESYSEVQNQ APFGPSHHFTQTQNQDSPADMSALYQQLMGSLVKTSPSSLVSQPAGHAQPPPPFQILK KDQASPAGFQHLDHSHVANDRSPMASPPEQPGQTANRTSSIHSHQSTRYSAHQTPPAG SDSLSHVEQSKETVAEAVHGVAEQADKDAQEALDRAEQRLDAADSSDEASVKETSTGQ EWLDEDDDATVSVVKAIEEQIVQNIREGHELNNSHSSPVVAAVLNDASDSGNAGVENI LEVADSWESADQEEIVVIEEKEAPPVRVYNFPMKPWISISLQEETKEVRPEFRDESIM DIARLKKEFDQIDRNLYTASQTYMTYGMSKQGGLRVIRQDDGKDAKVFTDTKDRIFNV AMSVTPSDHESVQREAIIGTGISGTVYWVQIQDGEKDHIEDPHLEQYGFALPPISSHD GDAPGGVLKTRARASTIHPEFFAVGRGKSINFVWPSYILQNKLFKAGHDRVVDTEALL RQCSLKINTGKAGKDFTFSQDDSVVVSLDKSGRVKFWDVRHLTAAKEDSDPRCPLPAH SSLEVKEPLLTLATTPEGEKAWPTSVLLLDKQRPYQKRCALRYMIVGMKQNHTLQLWD LALGKPVQEFNLPHSKESDAVCSVLYHPASGMIVIGHPTRNSVYFAHLSAPKYNLKNV SQAEYIQRLIAQDPSIPQPDSTAVISGVREYSFANRGVLRSLDILCNPAMVQDADEPT LFELYAMHSKGVACVLVKQCELGWSKDNKVLDPVDAVKEGLVTVTKLKTPQQQPSEAT NGEGHPAKSNIVRLAAPKETTPQQMTPPAAAAPTEPAPRGPESTSPAKPTNEPKENET PVQSSKEGQAEKPERKPRKKKGGNAAKEAADVVATNGAGACPRTVQTAKAAGGEQPAA KANAHSNAAGAANGVAGGETLDAAISALQTRLTAAVSDTLKSSLKNLQGKIDEGARLR DESFNQHQIKLLDMVSEVLNENTQKVLESLIHHQFTELVIPAISDKATKAVSDVVQHK LQPSVASSVQKEMQSIFPHALNRSLRSADFLNAISERVGASIGATLQQEVAKTLNQNL APTLRTIATQAAQQATGELQRQYDEQFEKMKTQHAADSNKIDQLLSYVTRLTDMVSTM AASQSSLQAEFLKMKQQQQQQQPPPVHELSSGASGVHGGVVGRSSGPGLGAQPPHNTY SNNGMMAGPNPQASLGSYQSSQPSQHHHHHGQPYMNSPEYMRGGGQYAGGSPQGSVPV AHSDRASASKITGVAGAFANQVSKSDAETEQEIQRRVQVIEQAIKEGRLQDAMIQWIQ SGYEKEIFQRCLGQQHPSRFENLPPLVLLVVIATISKDLRLTPRLKQEIDWIEMAIRS FGSSMPKTQWEQQGFREMMGSVSQTMHLLINRLTPLIAGYDSGYPVDPWLANVERGKM EWIVRSSEHILKTFGGSQSYE UV8b_06101 MFCLRSWLPLLFIPTNASPAFIFLFFICTYFLNRPCVYCSLLLL ILFLTSCNWSDRCFFDFSSDWFLPRPSAAGSHADASSLNATMLHAAKTTARTLASAAA GELAARRAEWTGLGVEWLRTLLGKREWRVDCMDIYIQL UV8b_06102 MSTHNAAGVSEEHAEEEHLQELQSNSSTEELQPTREEASDDLAD DSTAHRHEYSDDDKRDGQSRRDSGASKKELGDEAEPGENDNFVAPSSSESPRQSFGGE SEAGQSQLSEGHDAEHAAHTDDDKDGSSHNDHEDDVFSDHSPRSSMGSLSESDRTRFS PQKVRSPRISDIPHSDQDDDFVPTIRGAPRPPFRSPSSVKAIQMSSPPASVIGSPRSS RRTPRPTVSRLGSPSVSAQYSPKKTPPRFKRNTPPLVLLHVTVMPLRWPWGHVLDNAH PGDLSPECKTLWDSWKQLQDRTTDTVSDRGILLPHPQNDYEVLEERLLETLELPLRRR ARILECGHYLGPSNVMSLTEDGDEEHDEEDNDENRYPRESLAHKIQTHWCTTCHSDIK YDSLGAGKIYRVKVYASNGLMRAGAWEACWKEMERVDVEMEPLVDPKLQEELSYLAAE QERALDMEEEAAAAAAAAAVDEEIQLREEAERKYLAAEQEEALDMENEEAAAAATAAD EECRLREEAERNYMAAEQEGALDMEEEEATAAAAADDDDDDDDEEIPSEHVDAPPQPE APVEDRSTFDHDRRLRDEERLREIYGHSPRPHAADTCTSEPTDPQAEYTPHQTPPSPP VEAFERRQQRHGERRDTGTDKNVSLPDLLLEAAKVLLQDQKNVMIGLLSLLVLVFAVR SGRSPEQANSFNTNVSEPVGKISVDQASQPVAQPAIDKTAETLGRGVEVANGQPMPRA GDGCVACSKSLEVAEMSLKAVPVSVVEVISTMTKEAYLTATTTVVETVSVEAMPPEMT PAEVISTVTEKAYLTVTETVVETVSVGATSAETPAAEAAVASGSEVREDSSERAVSFV UV8b_06103 MKIAVVLSFVAAAVAKAIEARKGHCGGDNCAREVTGTRDGLVPL SSRQADCSNFLKTTIVPDATTVTVTVTADADETSSKTKRGLELPAATEAPTSVPAYAS SCGNASKYSSACSCWGITPAAITAPVPTATTTVTVIADFCEDL UV8b_06104 MSADLQEETTSTSQPATTGNARENYKRLFQEAEEKLQEAEERYR KIQQQAEERYRKIQQQAEERYRKTEQLAEEKLQQIQERERYTTFDEFILLCHNLYHLP KVLDSSQLTAGKIPLNNPTKAMTGNAPKDYERLFREAEEKLQQADERLRESRQQAEER LRESQQQAEERHRKSLQQAEERQRQTTFDEFVLQCHNLFHLPKVANISESTTGTIPAP KRKYCPARLVPWVDCPSQLQSVYDSIRRHFRSGDNAAQRVFPSSILLEGFQEEVMAKA ISCEQDLLIYDRLTRENRIRDVVSQLCKIPAARQELQLGDGIEFASHASPAETETLTS EASGGLPTITKPLPDVFSISKVNGEDCKVISTCEQKPPHKLSVETIRMGLRPMNLWQE MVKSNKIPTDPTEKMKYDAARLVCSAIVQEYHVMISLGLEYSYLTNGPHADVMLWVPY DDPSTLHFHLAEPSKQGYISVGNTINQPTTSVTGILCLLMMSLRSRPRGNQWRAWARS QLKGWRTSFDYAHRVPPSPDGGQDAELPSSEPDYPSPEASSEYIPSSPLSNPAADKET AARPRTRASCAPSDKENRARDTSPDSSDSDDSDDNQANPARKRGFSSVSASCQSARQP GPPVPEDYEPPEHNARFCSQLCILGLRNNAPLDNDCPNAELHRRSSTQSHHSISADEL LQKLKEQLDSNIDAYCTPFADRGGYGMPFKLTLTAYGYTLVGKGTNRRLWGEVSQEAQ AYRILQKLQGVAVPVFLGSIDLSITYFDYYLGDIRHMLIMSYGGDEISQDQRGRLKGE IRRSIKEVKNCGVVHQDLRIRNMLWNKESERVVIIDFHRAKLARRPILATQTSKRKAP LQSEVRQAKGIRAS UV8b_06105 MRFTPVAEFDCEGFGTSSSWRALVDATPRLGNTCPGFSQCVQET SNGMLWKNRKGLGESLLESIQGGVSYTAFGVRPLLTELMLYCAGDVQQLPALYFKQAQ KRNGSLEGLDCGAVAEEGVRLSAGWVLSSRSG UV8b_06106 MSLDLLPSLASTPVLSSVVDNVCGSTLRRWSRKPPMSTKAAAPP GDVADRGHGALVLFWTTAAAGTVIVGLRFLGRKMRRRTGLDDWIMLLTLVRLAPSPVP RPSVPRSPPPNPRLIDPPWLVQVLYIVWVALLSKVISCGGLAHAARLSAAQMARAAQW SWMSQPFVVMGFATGKASVGVLLWRVVGSTTFWRKWVTVFAVASAFALSVVDVVLTFA QCSPVEALWKQELLAGETARCWDPSIQTNFALGLSAWNILTDLYLAVLPATFLYRLNL TFRKKLGLCALLGLGATAAVFAAVKTSYLYQLSARSDITWETYNLYVWSGLELFVIIV CGSLPPIKPVCDWMLGRPVSAAVARYASKQSASSPSRPTMDADRVRAADADVELHPRP GRGHDVSPHSTTYSLLPEQQHGYSPP UV8b_06107 MICSKRFRAIREAVNRKLPEADTRHHFIYNDNLLWIICSRLHGR SEPQPLPLPLRSQDGLKAVVACTPSAMTPPTRDASHLTFGFWLAVKVVLYLILRDAYW RPGVGVDAQRRPLNDKHGRLPVKSWMYRQAARDPSMNPATPPPGERRQWAIDILCILT LAADDLSNVPFLDRQAVFANYWITVGLMNECIDNAARFIVCASPAPSSTSWVRARQQQ HKPPPTSNLPIRSWPCCPAAAAVERGRRRSFAMPAEPVLGVVKSRSISSSHAPPDHPA PKHRAKPFPPT UV8b_06108 MRALIRTTALVGLYAALVASSPARQPLEPDSEHILEERATLEVN LPSGGTITGSSSGNVESFKGIPFADPPVGPLRLKPPVRFSGTFGRRDGTRTAPACPQM LFPKAALDKLGPFASDVLQLPMFAALTGQEDCLTVSIQRPAGTKADAKLPVLFWIYGG AFHFGATSTYDATSLLKTAVGQNQPFIFVAVNYRVGGFGFMPGKEILADGSANLGLLD QRMGLEWVADNIAAFGGDPSKVTTWGLSAGAISVFDQLVLFDGNATYKGKPLFRGAIM NSGTIAPADPVDCPKGQAVYNQVVQAANCSGAADTLACLRQRDYKTFLAAANSVPGMF SYQSVALSYLPRPDGRVLTDSPDKLLQSGRYHAVPMIVGDQEDEGTMFALGTRNLTTA ERAVDYLSKFYFPSATKAQLAQFVSFYNPAVLQGSPFRTGFFNDWYPGFKRMAAVLGD LSFTLSRRVALGLATQANPSVPVWSYLSSYLYGTPVLGTFHVSDLLQVFFGTVDNNAM RSCRTYYFNFLHNQDPNKGVGGYANWPRWQDAKKLMWFRWPFANDYVEDNFRSQAADF IAQNRDSLRV UV8b_06109 MTDREILPDNIKPVHYNLSLRDLDFKNWTYQGTVTIDAEIVRPT KELVINTLDIKIRHAKVVVADRPEAPSQAVESTNVSYDPRAQRATIVFGQELAAPARA SVVVGFEGVLNNDMAGFYRSKYKPAAPASPSVPRDDEWHYMLSTQFESCDARRAFPCF DEPNLKATFDFEIEVPADQVALSNMPVRASRPTRDGWRLVSFETSPVMSTYLLAWAVG DFEYVERLTERRYDGGRQLPVRVYTTRGLKEQGRWALEHAPKFIDFFSDIFGIDYPLP KADLLAVHEFTHGAMENWGLVTYRTTQVLFDDETSDARFRNAVAYVVAHELAHQWFGN LVTMDWWDELWLNEGFATWVGWHAVDHLHPDWQVWAQFANEGMEAAFRLDGIRASHPI HVPVRDALDVNQIFDSISYLKGCSAIRMLASHLGVDTFLRGVSSYLKAHAYGNAKTDA LWAALAEASGRDVAALMGPWIAKVGHPVVTVTEDGPGRISVRQSRFLSTGDVEPADDT TLWWVPLGLEGQRGGGGGGGGVASLERREQTIRDVDDGFYKLNSGATGFYRVNYPPAR LAKLSTQLGRLTAEDKISIVGSTADLAFAGDSTTAALLTFLQGFGKEETHPLVWNQVL DSVASVRSVFAEDDDISKGLDRFVLQLIRDKVGEVGWEAADNEGYLDTMLRKAVIAAA VAAGHAEVTDEAVARFNAWARDPRASPLPPSLRLPIWRAAVRADTARAVAILKKEWFT TTSVDGKLLCLGALAAAEREPALAAVVAFNFNAAPPSDAVGPADMHVLGAGLAATPAG RRAQWAFLKSRWDACVAKLGNPIVVDRFVRVSLGGFTTRAELDDVEGFFRGRDTKSFD RTLETVKDRIRARAAYRQRDEGALRQWLVENKFL UV8b_06110 MRPPSPHLTDPTRTIQESDASVSSDDATNMDLDLALPPPDDDVL NEQHHRIYAPPPRVAARFYRPSQARRQSSAASSRRNSFSSAHSRCSSVQHASARNAAD NGQSKYVAQHLRRASFLEDRRARLADRAAHAEKVRLRAALAKAAPRGPTASEERALAA QQARERNLAEIVATCAEEVRKAKLVAELTKERREQEIAKMRAQIEERMAEAERRREEL LSKNATKRSRGQSVAAARRTGDDAPSQTKEGGGEEEQEQEEEEATKPSLTEDAAAARI QGWWRGRTRRLVTLTFNALGLSVDGIRDTLFDTVVQLLAQEDVLVVTARLLRICGLRE GDPASVAEMAAVRTFLSAFLILGHPNQVLSNKHDERDEAEAGAGDALGAHRLPIADLA NPQLRDLVGKAKDLLVCFENILARLTASNRYTCPPALRDALPESYAVFYNAFIAWKSR DSDALIEVMLMQFVELDAIFHTVKDATDDAAAALYRQSIQDSQLMLVVRIKKLAGAER GKRLIFKAVSEARRSRRSKKKSGDTKPRVVAESSACGGGDGDDDAAATATSLVSAESH TLMMTPPATPPGGGDRKRPDDAATPKAGVLNGLLPDNRVVVHELAINREYQIPAPEYV EHKAALARPVYAKMRAAVARSDTAANFGYFMLMVGYIRDKLRRLLKPGNSMHNLIGEM LDPEMAEKQFRVGSFSYEKFFSAMGSLLPKLCAPFRDDEVRDLVQNKLGGQDLIDRVE ALNGFIDVMMCDYINYLLKTAAPRLIESAAQYEAKRFDADVARGGMGLAAAEAAWRSA RAKVTAEAQKRDPEGVGHGRPTHASPQPTAARFYAQMLVDVFTQPTPVRAEHIPEMLR LDGQRVADVSATVQRIVTAGAVLLQCKNLLKRDVRSPWKTEANRIMAVLEADHASAEA TLQGTMAALEAGRSMPAATRGHLRALVAKVLKAGREMGRQGAEPREPVLRLLLARLRG NILARLAPGSASDKVRAANAAGERLASLGLSEFVDRVRGIADLLDKVGSVDRSAHGVW WDAVSAKVEQE UV8b_06111 MPDPKHGARSPLQYNMKAQSRVSPVASSPYHHTHSYGSRPYRVN KSTTSPATSPRAPHSVGQDIPPMLSPPINAENNRAPSPNYFGLVVETGSDPRDSSGLA NHNWSPSSSVKSFAAALPKPVTLDPNAEFEAFKKAADFNRGRSQAAPPSSHPAPGRPR PPRWHTFTSDSGSDASLTMSISVKGRSTNKGEIDQDSLHDSAHVSSDSKRNSESSLRP NQITGMLPFESPKQIDSSMQGAHLSRELVNESRLSLSLPKVEPPSAQLSDVTRAVTLP SKLEQPAPVMMAGERLKDLMETVGLEKLLLLDIRSSSSFAQSRIRGALNLCIPTTLLK RATYNIQKLQQTFQSSSASERFSKWREMEWIIVYDAHASDKRDAVTAQNMVKKFTNEG YAGKTAIIRGGFTMISATFPDIVDKRIGSQPCASQSPKSSKNAGSLAAVIGGVGLPTL QNDDNPFFANIRQNIDLADGVGQYDVARPRDLQSPLIPKWMREASSEADHGRKVSKKF LNIELDEQSRMRAAYAAFNPKLKQECKFQLSGVEMGTKNRYKDILPFEHARVRLREAG NKSCDYVNASHLSASRSNKRYIASQGPLPATFEDFWSVIWEQDVRVIVMLTAESEGGQ LKCHPYWKGRDFGPLKLKALSEKKASLDLDKHRSQSNFSPPSATSTESGRRRANTTSS FQCVPQATPEPGGKDTPHVTIRKFALSHAAHPFAPMREITHLHFSAWPDFGTPAQPGH LLALVELANVMQRAAMPVETSAIVGCKAPMTDSVVPATWYDEPEQDGNSRPMLVHCSA GCGRTGAFCTVDSVIDMLKRQRRANVASSRCRDKDGDVSMMDEPVSPTTHRGHASPGF FDRGQGGHNFQTWESSEIDTSWLHSGDVDLIQKTVQEFREQRLSMVQTLRQYVLCYET VLEWLSRVQDKASNTVAARARSGSLKQVRH UV8b_06112 MKQSSIMPQARPQAGIDEARLQARDKLPKAPPAYAAPAGSPLTV DKAAYTAFQQAPRVLIEEFTIPARSGRAWEAPAGSIIRISTPEGPQVGDLNIWNRHNP RERFWAGRTKQLHASHVSTHHRLWSCLPYMRPLATMVHDSLAWYGVDRHGGRVHDLLG TRCDPYVGALLTGDQYDYHCHSNLTRAVQRFGLVESDVHDVLNLFQVTGLDERGRYFM NPCPAQAGDCVEFLAEQDLLMALSTCPGGDLSAWGFGSDNVKEMVKCCRPLHVQVCRL EDGHLLAKHGWKPAQVASYRGMHGVVSPEGEKR UV8b_06113 MALDEYYHNKIEAMKLEILKGQAALRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDVSDNVDVSKLTAGKRVTLLSD SYKLEKLLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTACKFIRVSGSELVQKYIGEGSRMVRELF IMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKIIM ATNRLDILDPALLRPGRIDRKIEFPPPSIEARADILRIHSRKMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFELATAKVLNKHDDKEVSLGKLWK UV8b_06114 MDSSTTPDGAPIGRRSTAITSPTDASHNSRDASTSRPGDGLGES YQSTDTVRHRPEGAGYGTISNSATASSSHAQAESSQDRPPPKGTPLPARAGLKPPHRV KSIPRLRKPPLSRRTSSNTPHRGGVFSADDDTHEVEADAAERQGISSRRRSQQPISLS RIQSCRGDEEDKTDSQGGIPTTTTEEDEEQVVSDDLSLQENDDGDSEGEMSEAESFTL KDRQQAINQTHPFGIRVWKPALYKKDRSIQKFAQADIHSSPGGRVSKWLVVFNLLWTL IFGWWMACLAALGAVVCLLFAAAPSGREYGRVLWGLSGYLFYPFGKFVRLEKDEAYLH EDQDEGRSMAEYEQWQNGDLEYGRLFFGPDRNRSIVGRSRRSIDSERSEAESLLGRGR RGGNRDMHHTRHPRLKRRMFGRGEWNIGRVIFFVFFYCLISPSLIATSAICWLLVFWI PMGKVTILLFDHLRRHPLALSFESHLDYIRSDETVDSSILVCTYRAVGSKYWKYTVDG TNIFLINLTAVVCFVIFDWAVLERTLHWQGFMTSPAFLFTAGLLSIIPLAYFIGQAVA SISAQSSMGLGAAVNAFFATVVEVYLYCVALSQGKGQLVEGSIVGSIFAGILFLPGIS MCFGALKRKTQRFNARSAGVTSTMLLFAVVGAFGPTLFYQIYGTHELSCTGCEDSGPG GAGAGAGAGSSLGGDYRDCRRCYFSQAPALDDRFYLEAVRPYCYLAAAMLFFSYLIGL WFTLRTHAAVIWNAEVEEKRQEEQLHSSVVRSSQPSAAETTATDIRESHLYKRILGQS LKQVGLQPRSEEVSRQTSVVNQDASTNGMPATPHVVPPKGGGDCLRSTINVPGLSQAD NTLLAREVAQIAAATAATAATLATRERDRERDRLRKLSATPGHHGSIRHHAPHADDAA TAGGETATAHAGGHGGHDAPNWGRAKSAIILLGATVLYAVIAEILVDTVDVVLESFSI DQKFLGITLFALVPNTTEFLNAISFAMNGNIALSMEIGSAYALQVCLLQIPALVLFSA LWPNVPSGGDPSNYTFSLLFPQWDMVTVILCVFLLSYVYGEGKSNYFKGSILVLTYFV VVIGFYFSGFTTAVMGLQRFDVMGSDGEYQSFKTIGQLSSGRAYQV UV8b_06115 MSPDRYDRGSSRRGVWSHWVPLAITITVATAGLAAWVWSQREAE DEDADADGHADGHGALDHEPGLDYENADYGDNPPYGASERHDASGPEQPPRPHPQQGG DAASASRGAAAAAGDASAPGWGARVSGALRRTPSPQQFLDSTGRTVAAGVAAAGAVMG KALASIREEDGAYADNPWSEEADAKKDRVPAPGDTRRKTVAIVVSADARIPSVDDDGT HELASILSHIPRHNDFSKIKLYILIYAPHLKDSALAGTSSNQPPPPSLSSSFSNIGHD QAQSPREETKSPLIPPTNPAYSAIYSEALTLVDKEATILPFTTPNGHVHILRHIQPEV VYLQESLSGDNGSIVATLQTWMRHDVILVVGAERASGGLADSESEAEKTGRPEKWWQH PERVGRGRGVVVVDGMRVHDDWVRRVQGQE UV8b_06116 MAPASPVVQQQHGANRIKRPAPPGIQTNGVAAPNSSPSPSMPAK NPPSTTAKQAASSAGDRSVAASAARPPNRAQHDSSSLASARNSRSSAGMRSGPSFAGF DARDDGPIPYVVKDSWILKKFAGQPPSLVIHLHANHFRFEAQETIFPYKSPMKYFLEH LRARTIPSDLLSWFIQGNVRFYDGCLIVQVHDHKSLAQTKDVAKTTSTSSTVVPGSIH NYNQCLTPSPYVPFPKDGQGAENGAAAKDAEAANEVKREDQTEQEAAPASALSSGNPE PKPAAKPKVFTVVMHPTTESLHMDLRIKASTPRTAGKVGGDTPLLAPPSTPLSHVPPP ASSSGNMPPPASKRQKKEKMELDGSSIYAVEGQILLATTAPLMLEPTSSAEETIVLLE AFAHPKHSHPPPQPKIRKRTVAEMEADEAAAADQERYMLVLDDRLSGAAPGGQGTGGA DADAPNRAATFEPRFERFKALEDIKREHAEKKEQEKIKQQEIDRRLQQQRQEQMVQAQ RQQAEAERQRREAVLRENQARLEAQRQAAAAAAAARSAAAVSAAQSQQQQHAQAQAQA QAQAQAQAQQQQQQQQPQQQPQQPPQPQAHPTQHAHPAASAMAANTPNGVATAGPNPM ATTNGNSMQGSAQPRFPAQMNHQAAAASSPVIRQGTPQNMSSPMVASVAMQQTNSGMA TSPPRPPSIVQNGQMAVPMAHNMSARGSQQSHPSNTPRMPNTTPNLPHGTPINRQAMA ATPRMSQASPRPSMMAPNVQAGQAQAMMMNQQGMGQHNQQVFAQVAAQQRAIAQQQQQ QQQQQQQQQQQQQQQLAALQNGGVNQGINSQGMTPQQQQQMMQMMQRQMLLQQQQQQH QQQHQQQQQQQGPGGMMTPQQQQQMAQQYAQLQQNMQNNHLRQITPQMQAQIQQMARM GQMGNPMQRQVSGQMMNGNNANMQAFAAMQMQQQQQQQQQQQQQQQQQQQHQQQQQQQ QLLPQQQGQPQQQQLGANPIQAQIHHSARQIYARLMSGAIAKFGSAENVPQETIEKIR TASFSQAQQIIQHTMAQRRAQHQQMMMQQQQQQQQQQQAAMQGMGGMAGHQGMGT UV8b_06117 MHPPSASAVLLPLLVAPLPGLAMLHCENVLVDGYKFNLEKLKGP HSVVTSKYDSATHTYHNTTYTLDICGTLKKSGKAKPTEECPNGSRVCAISRFIQDDVD RVETVTAIAGALENVGGSPFKYEATRLNGSDSNSDAQKNGLRLVLKGGKHPLDGPVKE RREQKAIVELLCGDNEGTEGEWDGSEDKYSKRGRRDDGKHEGGSDDDGKDKSFPEHQL KKKDAALIWESYGPEKDGDVLRLTWRTKYACEKKRDGEDGGDGGGDGGGHGGDASAHW GFFTWLVIVVFLGTAAYLIFGSWLNYTRYGARGWDLVPHGDTIRDIPYLLRDWTRRVL NTVQGTGSRGGYSAV UV8b_06118 MAIRLPPRLLAGRLARRAFASAAAKHSGPGPGPGPGARPLPNPD PGPESPSAAMVADHAAYMVATYARPPPVFVRGEGSWLWDLENRKYLDFTAGIAVTALG HGDRELTALMAEQARTLVHASNLYHNPWTGALAKLLVEATRAAGGMHDAAAVFVCNSG AEANEAAIKFARKVGRALDPAGGKTEVVSFAGAFHGRTMGSLSATHNPRYQAPFAPLV PGFREGAFNDVAGLRDLVTPATCGVLVEPVQGEGGVVPATPEFLAALARRCRDVGAVL VHDEIQCGLARTGALWAHARLPPDAHPDVVTSAKALGNGFPVGAAIVNRRVADAVRVG DHGTTFGGNPLACRLAHHLVSRLADPALQRDVLRKEGLFRRGFADLRARFPALVADVR GRGLLLGLQLTEDPGAVVTAARERGLLVITAGTNTLRFVPSLLVSDDEIQLGLDILGD AIASTR UV8b_06119 MIVHTHTRMKMCGFSFLDIYAQQKVLRLRGPQQAQAQAAVLPDV ACAQTRMPPAACPLASPSNNIAYASSGPPPGLLRLISY UV8b_06120 MVHLSAIVNGNADASSSGDKVSKLADQAASLLRLSSDADDMTTS VYGSRFAEQDLPKLEMPERAMPREVAYRMIKDDLSLDNNPKLNLASFVTTYMEEEAEK LMADSLSKNFIDYEEYPQSADIQNRCVNMIGKLFHAPSGTSVGTSSVGSSEAIMLAVL AMKRRWKMRRQAEGKSTDRPNLIMSSAVQVCWEKATRYFEIEEKFINCTPTRFVIDPE EMVASCDENTIGCILILGTTYTGDYEDVKAVNDLLVHKNLHVPIHVDAASGGFVAPFV VPDLQWDFRCEKVVSINVSGHKYGLVYPGVGWVVWRAPEYLPQDLVFNIDYLGAQQSS FTLNFSKGASQVIGQYYQLIRLGKQGYRAIMSNLTRTADYLSDSLQEQGFVIMSKRSG RGLPLVAFRFAGPNDKDGRDGRHYDEFALAHQLRCRGWVVPAYTMAPNTNKMKMLRVV VREDFTRARCDVLIEDIKLCMGMLEEMDRDAVKTQEEYVKTHMLSSGKPRNAKHATHH YKNEGHSLQGKTGKTHSVC UV8b_06121 MLDHVLGRPSAKSRRFQVLAVLSLWSIYLYRGHKHGPPGAQPLS RFLTRRLTAWQTTALTIISLYAARNFSALVGLASPEPMANMYDTTYFRATWVLTALDA GFWTAMKIKVKWLRDLASIFFSLFYLVAAERADEKVRKVRGMITVDHLRVAWNKGTTP YLRVLQRLMRPRFTRWPPRQIRIPRPSNSDYTEPVIGWLYYDGPVADLVHHSRLVLDI PGGGFVAMDPRCNDDKLLSWASKSGLPILSLDYKKAPEYPYPYAVNEVFDVYAAVVNT KGRCIGMSGAETPRIIVTGDSAGGNLAVSATLMILETSDGELRRFAGRGALPVPDGLV CFYPALDMNIGNWMTDEQMSLIRDRKMRKTNRTIARGKSMQYNELVGTPHHSDDEDEI RPMSKPAAEPPASAHDSQPQFSHTGPQTFARTGEREAPKKTDGMSHHSQPLQTRLATS SMISYFNDRVLTPEMMRAMIILYIGPHNRPDFSQDYLLSPVLAPDSLLARFPKTYFMT GERDPLVDDSVIFAGRLRRAKEALARQEGRAYDDSEAAEVALIPGVSHGFMQFPGIYP PAWKHFERCVVWFEQLFLEAEHARTRQRRPLALSPATDRMAYHQHQRQHHQLGESSGE EDKPLEISMTKLSRGRSSTVSGGRAKGESPSSENGPAAYKSKKRILSKNKSLIKLKST DDLLGRRMEGLAGGLTGAGEEN UV8b_06122 MSSAPASASAPDPAAPTPAPTAAVEGGGQSPAASSSTARAAARP AGIDPPRGLASDSSDEGVRMSPAAARRFARRNFRRTAATPDLVTSLVRPPSPEAFYIA PDAHERLRQRALLQSAAAASQRYGRRPANTGPDRRTGPLLPPHPSSLDIPKRYGGGCV FDLYALTYVSDPDPNLLCPICHDPLVDPVTTPCDHTFCYRCLRRSIASSPSGTACPID RELLLWAECFSSARLIRTQLSSLLVKCPHQGRGCDRELRRENVERHATAECRFREFTC PDTSCDKKVPTKPEDDKCQHSLVGCALCSDRIEQVDREAHLLSCSQAKTRCEACWQLV CRSQLSAHHDAECDGVEVGCAYGDLGCPVRTVRGEMGAHSFTCAFHPDTPSGIVIRNQ RDIIQSYSDLGVQLRNMQLRQDETAERVDQILANRRAGADGRSGRNGSSYSDNRTMQD LDAGFEEVHQNLTHLEARQSMWTLNQVMPIREEVTELRNNINMIRMHVNWLLNRSREE GRIRAANSSGPATAIRRDSSDDGPPLLPGRRRSSSADMDVPRL UV8b_06123 MDPSHPSSTGPDAPDKDDMERIRSRRLAMLGSFARRPGEPGSDK SPHRPSASSSSQSPKPQAAETGKSGEAAAANHPQTQINITPGSNPFNQLGVQNCPARG NQPASTGGTPYTPRKRSAKDVDDGDSASSSRPAAPQPVQKQQQQQQHQRQQQQQQQQQ QQQQVESDQDYAHRMLSRIFRVSVDPHEMTDAQGQRLVFLPNLNQELNDAGEPLKLSV GLLDQAIIEACSNWASDQPLLDYLLPCWKRAVKASNTARTASAQRQEMLVEAKRLCMS NCLFALTMPALYGREPNPQHDTLAPYLLKGVQEDNGLCFDFIREAIKRFDDDEAFPAL FNDAMVKLSSQLANLSLGDDYKPHVQALLTYTHFPVLVANLAAHPCFNMPQSAPGIEK HTILGPFFRISPLQPEAIKSYFAGARSLDKVRIANAQESLRIVLRAHQDDLFSIANAF IRAGPDTRSRTLNWFAYIMNTNHKRRAMQVDPREVASDGFMLNVTSVMDRFCEPFMDN DFSKVDKIDVRYLRRHPRIDISDETKLNADQAAADKFYAKKEEGESNFISEAFFITLA AHHYGSEALNTQLKNLDREIKYLEKHIKAMEAERQKLLNSTAQLRLFEETLKRHTNVL EKTIALKYAIEGALLDERMQSTSLRFMRYVAVWLLRLVTGSSYKPGLESENIKLPLST DNAEAFACLPEYTLQNIVDNFKFVFRWLPKILPSAVGEEMIALCITFLRSSDFVKNPY LKSSLVSLLFSGTWPLMHLKKGVLGDQLIALPFANDYLLHALIKFYIECESTGANTAF YDKFNIRYEIFQVIKCVWSNDVYKRQLARESKTNRDFFVQFVNMLLNDATYVLFEAFS KFPKIRSLELELQEPSSLSAEDRQNKEEELQTLGGQATSYMQLANETLEMMKLFTKAL SESFIMPEIVSRLASMLNFNLEALAGKRAAAELSVSNKEKYHFRPIQLISDFVDIYLH LGHSPVFVDAVAADGRSYKPEVFDRVSRILTSRNQKDAADMARWEAVKGKFAEAKHQL DQAELDLGDIPAEFEDPIMGELMKDPVLLPSRHIVDRSTIVQHLLSDAKDPYTRQPMT IDDAVPVADLKARIEEWREQRIQIARSRMAADAASSGADDGARMDTTDG UV8b_06124 MAEPSHNPYTRSPNPSTRSYDSSSVSSATSPRPPSRYPGGFLGA SSRPNAASSPQPIGMPPLPPVNQGFPPYGSVLSREALSLTDSAMGGQAVGHGHLPGTP GTQAQKRAYRQRRKDPSCDACRERKVKCDATETTSCSECSSRNVKCQFTKETNRRMSS IKQVQDLEKQIERVRRENSSLRRLLEERDGHMDVELDATDRQSAQLPSIGSEPKLRKR LAPNHDLARARANVRNFSKGIWKPPAQHRSNSAAAAFDFPNPELPPQPLTDRLVHFFL SSAHTMFPIIHTPTFQSMVDDMYKAHPPRLPAASYGLFFAVLAAGSLFSPEPPTTTTF YRPAEFLESARKMMDPWSNHSSLDDARTLVLVTLCLNEMNLKSAAWNWLGNAVRVGQD LGLYSESGSRSVIDGEMRRRTWWAIYILDRTLATEMGHPFLIDDADCDVSLPAAVDDQ YLREDGMRVPTGAEPLTHSLLAVIHVVRSYTVLLKALESPVLSAAQLAMFDAHFKKCL STFPPACDPSSTVALAPHFLAPLAFLFHARLLLHRHNLSPHCPSEARLAALEACTVVA YETASLISRTKSPADGATALLTGHIFRSTLFLLLMGYTDPASTCIRALAAIDARRDMT MSCGRYLAFFLTVLGTKRTETAHYLPRRPSLDQAALLMSLGRDEELLAYVSADVQASP NRSWLWSFQDGDAEGPSRTSSSSQGLYASSESGLFSSEQRTGLNEEERREWIGWVRLD SMARSVGSANPCWTRMPPQPPPLASASASASASASASAQVKSESPVAKVELPRLSLSE TSRFAVAGHRGDTSPSVPTRGAERISIANII UV8b_06125 MDNSQPLPQDKLQDLSAFLVKLDSTLARKASNLDTSATVARLLP DVEKFVQLATLWISARKTPDPAHIPRHFELSSRNLWNLCVRLRRDSVLPSPGGKGNIV LHAWLLSAVCIELSHTWSDELIAEQAREKFVLRLVLSIAKASINDSELHVARLALQRG AAHVNELGHSCEDDDQCRQRDSDDTLLAEYYTMRIWLAESAETMADVLQRIGTGLYSR DDRDLGLKWLERAYDLLKGQGQQLSSHGQKLYIAICNDMMEALHSAMSHECLSAIEAI IETSRSILCNHPVLYHWWMRAQDNAADASASEGDYADALQKLILSSDLSNEFLPHILS HTRTLADRSPQCVAHLLQGLLLHKGNGGGKPDWIGKILFIQTWIASKEASHAANCESL SDSINTAYEHVQAPLPRDAVEACHALMWKLVQFYYTTGRLDDVELWCELALRPLFRRE DGPSVSKFVRKLFICGMMEDRMPVAWETFYGSSSACGDDMHLTHYMSLKSSLAGWNQR LCAKSIRSLVQSGDINMTRDFLYACLAQVSDACDRVCVVEALKSIINQWDTKKASAAA SAAASAAASAANLPLLLRCTIRVLQRPGRGEPGGVTSWEESQLADDICEMFELAAKHA AAFRTNTEQQLEPFAVPELDWFRKNSYNMGVLKAHEWKDKHIIGILGSCLSLISCYPS GLPRQQTAEITLTALRCHFALSATHLRRARDQPADRKRGHYERARRHVSEFGAIFRSR EIDGADGKVEQDVLVKMSTLLVYDFEAATQLAHYADLNVIVACQKPLKQVVAFKAMGD ILLQSAAPAETLSATLRSIINEIYALEAFDAAKLAQYLGSVFLSLLDANDGLALRVVD EVSRVASECSRAERPLPSAEMEWLVARTFNHAVDLYARADEGACRDWAAKAMQLAALV DDKGALSSTLQRKFAELRFGGGWGGGSCRGEQRVRSSE UV8b_06126 MDQEPKAAQLERVKAAKAARADATQRGRSHAAGAPSTKTAKNPK RRRKVNHACVYCRRSHMTCDLERPCTRCIKRNIGHLCHDEPRDADTKKLKNGKAPSSP VQEPEDAPSQAPSDAARSSISSTMGPPPVEGMRQRPAPGLRAGGVLGQANVMPLVTPS SDPGVQATGLNNRGTGNANQFSGISDAWLTAHNFNNMSSYNPNYMIAPHVTHEFNLLN DLLHHGLLDDGNESRQLVALGQSGPSDMLPGFASNADVSARAGRQGPLMPPPPKDGKE GKRKLNSASDKTREYYLQAADPSGNDNAEERMARVLHAKYDAGLLRPFNYINGYARLG QYLDGHIAASSKQKILRTINQFRPKFREKAQGLTDMQLIIVEMWFEKQLMDYDRVFAS MAVPACCWRRTGEIFRGNKEMAELINVRVDQLRDGKIALHEILTEESMVRYWEEFGTI AFDPAHDTLLTACSLKNPSDTSNHPVIKCCFSFTIRRDDHKLPALIVGNFLPHDPPAN UV8b_06127 MATLDVSSERGERGLLPGTLDALTAEFDDTLRFYLNGTKVVLDE IDPEITVLEYLRGIGLTGTKLGCGEGGCGACTIVVSQYNPTTKQIYHASVNACLAPLV SLDGKHVITIEGIGNTKRPHPAQERVAKGNGSQCGFCTPGIVMSLYALLRNNEAPTRH DVEECFDGNLCRCTGYRPILDAAKTFTVEQSFRNGPSKATTARACCKSNGNGVNGAKD MQARGSHMQIVDGDIDQVAIGSGGRGCCMQNAACPPPGDARGCCMQNKVSDDQPIKRF TPPGFIEYNPDTELIFPPALKKHELRPLAFGNKRKKWYRPTTLEQLLQIKNVLPQAKI IGGSSETQIEIKFKALQYPASVFVGDIAELRQYEFDEHHIQVGGNVILTDLEGICEKA VRHYGRARGQVFENILKQLKFFAGRQIRNVGTPAGNLVTASPISDLNPALWAADAVLV TRSATQEKEIPVASFFTGYRTTALAPDAVITSIRIPVTAAKNEFYRAYKQAKRKDDDI AIVTGALRVKLDDDGMVTACNLIYGGMAATTCSAKTATMYLVGRKFAELETLEGVMGA LETDFDMQHSVPGGMASYRKALALGFFYRFYHDVLTKLDGPSEHVDKEAVDELEREIS KGSIDETSAAAYEREVTGKSNPHLAALRQTTGEAQYTDDIPALKNELFGCWVLSTKAH ARIKSVDFSKALDMPGVVDYVDANDMPSAEANKFGPLRFDEVFFAEGEVHTAGQAIAM ILATSANRAAEAARAVTVEYEELPAILTMEDAIAKRSFHPEYREIKKGDAEAAFKNCD HIFTGTARMGGQEHFYLETMACVAVPKREDGEMEIFASTQNTSETQVFAAQVCNVPAN RITVRVKRLGGGFGGKETRSVLLSSSVALAAHKTGRPVRCMLTREEDMVTTGQRHPFL AYYKVGVNKDGRIQAVDLDIYNNGGWTLDLSAAVVERAMSHSDGCYFIPNMTVRGRAC RTNTMSNTAFRGFGGPQGMFIAETYMEEVADRLGMPVETLREINLYKPHDITHFNQEI EDWHVPLMWKQVKDESDYEVRKALVSGFNEDHRWRKRGMALIPTKFGISFTALFLNQA GCLVHIYHDGSVLVAHGGTEMGQGLHTKITMIVAQALGVPLESVLISETATNTVANAS ATAASVSSDLNGYAAFNACQQLNERLAPYRAALGADATMKAIAHAAYMDRVNLSAQGF YKTPEIGYVWGENRGKMFFYFTQGVAAAEVEIDTLTGTWTCLRADIKMDVGQSLNPSI DYGQIQGAFVQGMGLFTMEESLWLRGGPMAGNLFTRGPGAYKIPGFRDIPQELNVTLL KDVEWKELRTIQRSRGVGEPPLFMGSAVFFAIRDALKSARRRAGVAAEVGRDHSEGLL RLQSPATPERIRLACEDEIMRRARVAAKEGEESFFVVI UV8b_06128 MSFAVLHRSSLPSIFTRRTAGGAAATATRLSRSIAMNGSSKIKP AARVSGQKQDVWSIINEAAAASPKQPIVNMGQGFFGYNPPEFILDAAREALGRVECNQ YSPTKGRPRLKKAIADAYSPYWGRKIDPETEVTITTGANEGMLSAFMAFIEPGDEVVV FEPFFDQYISNIEMAGGKIVYVPLHPPATGATKTSSAAEWTVDFDELEKVITPRTKMI VLNTPHNPVGKVFSKEELQRIGDLCVKHEVIILSDEVYDRLYYVPFTRISTLSPEIEA LTLTVGSAGKNFYATGWRVGWLMGPANLIKYVAAAHTRICYSSVSPLQEACAVGFEQA SSQGFWEKTIQDMKSKMDRFNQIWHELGLPYSDPEGGYFVLVNMAKVKLPADYPFPEH VASRPRDFKLAWFLIQEVGVAAIPPTEFYTDTNAYLAENYVRFAVCKDDEVLEEAKRR LRGLKKYMG UV8b_06129 MSLDNATTSFWSQEDEDRARFQQRNLSADTYQRGSRLGSGGSSV VYKVRRVADGQVFAGKTFSSQSSLRREVKMLRSLNHDNLLKFVAVHHEPADAAATLLL TELCPYGTLQERIDSASPCMDCRDTLSTTLHLAGALEYLHSRNLYHSDVKPRNVLVKA LRPMSVVLADLADVKPAQQSGRLRGTPAFYSPDMVLRRAHCGPADDMWALGVTLLGMM GQQPRMPGAVRDLGEYPARCAEHARRLADLNPGNGAVVELLVRLLAEDEGRRMRSGEC AQVARAMCDGYRQRGGAGFRIRSPDDFQPRAFW UV8b_06130 MSTDVVSASEPRGPPVTAAPGPSRDSPPDAPSIGPHPIPDTAKL PAHGQDEIECAKPAAPIFLHSPPDSNNATKSDASDSELSELEEEPTLDDAPSATAFTT APAPTSAPALEPAPSKLIGDEEDIGEVLPEEWSGAVPIFRPTWDQFKDFKKFMNKVDC YGMKSGIIKIIPPREWKDAQPPLDELVKQIRVREPIKQDIMGSNGTYRQVNILHGRSY NVPQWRQLCEQSEHQPPARRGERRANADKYKQVRPRPSNASKTNTPTTPKRRGRGRPS KNKGKKTIDENEERPMTPVSPGPEMEAGAEDKPVESIEQEVGDETKETDDESTVGRTG GRMGAVKPSKPKTQSVSARRKYSRREGSAMIDEAAFRGFDYRLDVSEFTPERCEELER AYWKTLTYAAPLYGADMMGTLFDDRTENWNLNKLPNLLDVLGTKIPGVNTAYLYLGMW KATFAWHLEDVDLYSINYLHFGAPKQWYSISQADARRFEAAMKSVWPADAKACSQFLR HKGFLISPQYLLQHYGIRVNKVVSYPGEFVVTYPYGYHSGYNLGYNCAEAVNFALDTW LEMGKIAKRCECAQAQDSVWINVHEIERKLRGEETEYEETEDEDDEDEDDEEQSSLPT PPASSSVKLKDASRKRRRGVDEKGAKTRVKKLKLRLKTKAEPPCCLCPNDVSIFELLP TEDGRKAHKLCALYLPETYIDVVEGQEIICNAGGVHKDRLNLKCLFCRSRRGACFQCS HKKCARGYHATCAAAAGVFVEDQHVPVFDENGIEYKEQVFEFNCRFHRVRRDKRVEGE SLETDGTIRNAAQKLKVGDICQMQYLKGDIFAGIVVENREVDETLLLEILPNGDRLEV AWKWLLLPDPLDYRLPKASDNALPMPATRKAKDQLNATRPHEDRPRKDDVFAEGYTWA EFEVHEVHNKDQCKVSFEKPDQMWHYLGKTSTEAKAQYTENPDLQRHNPRGNFLNTVP KPSKPPKPPKPCKPAKPPKPALVSQPGYNHASYVAKAMAYSYNLAANHAANHAANYAA ATTTPRPVTFDKPHVYTPRQTANNKLTTPSTPAAFATHPSVSNSSPPVGPAPVFYNYS HPSSAFAKQSPCHHQNTLSNGRSQLGQPLAAAPVHSVPCKPVLAPSTQPGKPLWQVHS SIYQKYPFFQVNHNRDPSRYRTPYSPHGGFLNGYEGDLRAHLMANQNELTRPFSTWGL SSWSAAPGITQQALPFQATKPRPAPIVKKFKIPSKVSPVPLPPHVVAAMTKASPPASG SESTSGETGATSPRSTAAPVISPQAANGPDAARTSDATARSFNATWQGGPAQDKVDLG ITSAETMFTMERPKATQEFADVPGSESMQFVERMMQNLKKVSMNDTERKDG UV8b_06131 MSISLESLALDAANSDGDNHEYGMLDSIGYGLFTSQDSEVLQYS ASSYLNIAFPGWILQTLA UV8b_06132 MSTSGEGHVDFDALLNFPDEYSNSFSSPSMSSSSPNSKISFTSP VPAAVTTPTLPTTSETMNGPSHNYGMYPQQTGFVPGAIAHTMAVNQTNNTGYQEDFYL STISPETEAFDFNASPSQATMDLELSQADCQQFFTTVNPNSIEQNGSPLSQTSSIGRL WPGAHSQAALAKAQAQQRQQQFAQQKQSQRQTPQKPRGKASHPSDPIVEQKITQLLNS MRAKPAGAEPESQSSLNNLIRSKKDEEDMDEDERLLASEEGKKLSSKERRQLRNKVSA RAFRSRRKEYITQLELEITNKVNENGDLRSQNRALLEENKRLSDLTRMLLSSPSFSNF LDNLSSNPNALHQAAPVKVEPNQQPEQNQIPKNVNPHNGDLCSQQQISMAMIPESSMD FSVLSADNATFNFQPQVFVVDTSEVPNTIDASVLSGKTSNLIAESFSSEEEKIEVPVI ERLGDSTPAERARSAFVDEEFEADPEFALFHSGPAPGTETSEHLDTENLMGIDIFGGI DSGKVLARFELVDASEEETWAAIAMARVQRISASIDPVVQRLELLTMDL UV8b_06133 MGREKRKATLSAGFSRSKERRRRAQKTLLDPLAPVPEGLVAKPA VPKSKHYTYFEFVENKDKKKKKLEYQITSDKTPPPGFEFVPIGNPVLTSACKELSREK DAMIFIVSSVATNSLSQQVNRLGHHVRETIVEEARQTIADLPQSGAMTPDGKPEPIPE CQVEYHAQADAALRDLFPRIPNTDRQIIIEHAFTRRASGKGEQPVGFSDDIPLARRVQ LAVLAHIRHSHTRYDDLLKEAGWQAARKAVEELCLDILVKWRGDEETGRDQLDEILRE VVIISDSEDGESDDETSDGSSSEESGSSASVSITQPPNPGLQNSVVGTDPVSAPATRT ARNLVQATGQSSAQPTDASPKPQRKNQRGFKRYYRAWQEAILRSREPGESSSGFTTTD DAEYDPMHPQIYTGHGEACWPQPMAHNVFPYERPAPFVGYQVSNGRDNRISPSYPRPV DSTCFANHAGEAQPGRNGLAAAASYEDQARGPSASSRILSPNTHRLRDVLVPSIEPAS PETMKPAFIRTVPPRNQESMDHVVSQTQGLFYKIRSLSPRDPLPRNAPGQSSRRVVSD HAAQMVRTGDFAPEGPFYAPRDAAGHPNVQRHRISVGPPPSDWSRQHDQPGPSDAHRA NRIVVNASRPGTLLNPILMEDRGGFFERVALVPESRAFHNEGNMVPSQQEPFTATQSY RVVSSTDGASMLRGHHDQAGSDVFPASRSGPAGPAGPAGPSYSSGYQNHQPYSHGPPR FTRMNPYEPSSAGMEAGDQHMPGQHPARRRPAQQGRSLPAAEAPPQNQPASYRPNHGK RPAEDDHYSSTRRSRPKSRQADSIIVLD UV8b_06134 MDGTMPTQTLAEKLDKIKSPGLQSQQRTVVVLQAVESTLKEQNT APTPTAYFAALLALLQQANSNGGVKAELATPVVYLLDVVTPFAPQALLRSKFTQILTL LAPVLLMQDAEAILLRTSIGCLESLLLAQDAPSWELSVSQIGPRRAVAGLLNLSLDHR PKIRKRAQDALKRVLKNPPPSPSLDHPAADMCAQTALKNLEDLAAKAAEARKSKKSAD STHDPALIHALQLVKTVAAASGGWPSTKIESLCELLLGIAKTGNEYMTMAGFEIFELI FEGMSEQVSSSKLPRLMEIISELRPAANDTQLVPPWLAILSRGFDVSAQIEPDDTFLK LPELFVMVSQFLQSQSENIRISASECLVSFMANCIPQQVILGPSIYEEKILDKIAKTA ESLLTVQYQAAWLQTFNVLGAMFTSLRWQSYPRMMNVTKIIGEIRENSSFRNKKEADE ILGQAVQAMGPEAVLTALPLNILQPAKGQAGRAWMFPILRDYVSNTDLDHFKAEMVPL SKLLFQKVLDHGSADKTVEVKIYETLVQQIWATLPGYCDLPLDVAEAFDQGFAEMLAN LLYRQVELRLDICRALKTLVESNQAIASIGDGEEDFLLQSRVSRDTAQKNLVYLGQYA GNMLAVLFNVYTQTLPQSRGPMLQTVNAFLSITPPQEVNETFDRVSKMLASELENGQK PEAKAKEQKPKDQMPSTAQTLMDLVITMSAYLPRDSFGALFEIASVIIYRQDEPQLQK KAYKLIPRLATSELGKAALRERNTQLQQLLLSSADKVSSPARRERLAAIAALLPFISN DSLHFIPAVLSEVVICCKENNERARETAYDLLVQMGRRMVDADGARIDNAKVPHMPGD APAGTANVEEYLTMVSAGLAGSTPHMISASITAISRLLYEFRSSLSDQTLSDLVQTMD LFLTSNNREIVKSCLGFVKVCVISLPVELMTPRLSTLVPNLIVWSHEHKGHFKAKVKH ILERMVRRFGYDLVHKTCPEADRKLIINIRKTKERTKKKKEASKAAGDADDDDDNNNS DDDDDDDNDDGRPGKRQYENEYDQALYSSDSDESAGSGDEGAGARPKKKSSQHKGSKT YIIEDGDEPLDLLDKKALANISSTKPSRLRKPTRTKAKVDLDGKLILGQDSDDGEPAG AGAPDGMDIDKAEPSGVGAYVAALKGKDAAKRGRGGKLKFSNRRSKHGDADEMDDDDA AAIKSKLGPARGSGDRGKTRARGVSRGGRGGFGGPRSGKGGIAAGRRGLGVEKKKHGA PGQGVGKARTGRN UV8b_06135 MASGSEAGPRNSMLRALELARKSPPKPSNFRVGAILLRLDDGHV VAEGYTLECDGNTHAEECCLIKLAERLSTTEEGLADVIRAPHALVTTMEPCSKRLSGK LPCVDRVLRQKSWIRRVYVGVEEPAKFVGRNPGRGMLQSAGIEVVHVPGLEKEILDVA TAGHGVDS UV8b_06136 MGAIKAVVKFIVAPIAIVVLLAILVVVLVKIRRRRAKQKDIERG EFPPPFLPPPNHLMVAQKETPQYSAAKVADTGPSALLHDASAKPSPVSRSIWVQAGLP IDKRDCEVHELSIRLRVGYITITWLPYGCNMIESSVTGREHVSRRSTQDVVAQETPSK DTILVGVYRKLLTKEDHDDLCV UV8b_06137 MADSRGRGRGGASRGRGGGRDRGAFQGGFHGSRGGGAGGGGGGG GFRGGRGGALSNESRNKVFTLDGKVPSPDPNVVKLENQVVERNRSTVEGLTGKMASLA LEPSDVDIMPCRPAFGTAGTEVILWANYFPVHFKCPVLYKYDVMMAGKATAVASKGKL QTSQTKDLKGPKLHLAMQQVLSHLSAKAGKKMPLASQFKNYIISLEKLDLQENPLSVT VPREGEDQDPDIIIVSVHGPVEIRTDDLVSYARTMDDHGEKLVFPKFPDVVDVVDIIL GHNARSRAGEVAAIGNSRFFPFDQAKKTASLMQDCRGLIAARGFFQSARLATGRLLLN TNVTHGVFKISGKVDEAMNHLGIQCAPAGDYRLRRLVGAFAKFLPKTRVWVTFTIENG TRVRRNKAILGLVNRLSGKIAEGDEKAPVIESGWEYPGPKQIKFWLTDDRGGRYITVF DYYKKKYGLALRDYPVLNLGTQKRPTFYPAEVVEIRPGQSVKAKLTAEETTAMLTFAC RTPYENAISIAHDARGVLSYDQNPLLEKFGVSIDKNLATVSGRVLAVPVIAYIDAARK LVPVRPANGTWNMKAVRVVKPGAPISSWTYINIVGREEDGHRGVGQEAMEEFATFMAD AMGVRIAKKPIRLPKHFMTQRMAMGEGLDNLFKWAGSNRIQHIMFVLARKDSSGLYAK IKRLGDCQYGIHTNCLVAKHLQKANNYTYYSNVGLKVNLKAGGVNHKLSNEFGLLKEG KTMFVGYDVTHPTNMNVTKGSEPPSLVGLVASVDRDLAQWPALAWEQRAKQEMLGAKL LQAFQSRLRLWQSRNGGSLPDNIVIFRDGVSDGQFVQVLDEELPTIREACRETYGGGG GGGGGKGRPQPKLSLIVSVKRHQTRFFPTDAASMSRSGNVKNGTVVDRGVTLAAYWDF FLTAHDALQGTARPAHYTVLLDEIFRYRYGKTAANEVERLTHELCYLFGRATKAVSIC PPAYYADIVCERARAHRPEYDVSDVESVDTAGTGSSAASATVRQVHESLRDTMYYI UV8b_06138 MATRFSVREHVVDASHIRDFARATSTSQDAVLKLHVKQYLPLDN PAPRKGDVTIIGAHANAFPKEIYEPLWDDLHQELGRHGLRIRAVWIADCAWQGRSGVL NLRRGVLGNDPGWFDYARDIVHLVNTFRMPRPLVAVGHSFGACALSHAALLHPRLFHS LVLLDPVIAMFHEYSAALGMRPAAASIRRRDAWPSRSHAAALLRKSPFCRSWDPRAFD RWIRFGLCSVDDDRDDDHNDHNDRDDHAHQADHAAAQVTLATTRHQEVFTFLRPAWPA FDPRGERVVDPKRMPDCGTSLHVAMPMYPFYRAEPIATFARMADLRPGVLFIVGGTST VVPPPDVARRTDITGTGLGGSGGVPAGRVRLVSHPDHGHLIPLESPAFCAREAATFLE RELSIWAEEEQEYDEWASRSADERTQVSREWKAHLDMSKDRPKI UV8b_06139 MPKVALLVGPPPAAAVTEAACSVASFGPPFRQLLGVACAAPAAA ASPSGRPAWRSLPLRPAPPPPHTGPGLSASCRDASLLAGASDDLLTQFCEQSLATAGS SPDRTTTSLASSSSSSSSASASYAASGHEDAADAPARAPRHLSDLEDVPSAARLVALQ PQTVTLNLIVGVLAVASARAVTSRWGRPLALVEVLVGDDTAAAAFPVTFWVSGDDGHV DGHGGDSHVGRLRRQDVVLLDNVALRVFRGKVHGQSLPRGLTRLRLLWRADGSGFYSS RGLRAGGGGNPQRDKARAVKDWLVATVASDRGEAGEKSSWDRPPADTPS UV8b_06140 MRKENKASMATQAAAEPAAAAAAAAEPAAVTAEAARISTDKTEV ASASLADRTSAPDDANAREEKARRHDDDPNYPHGLKLVLIITALCLAVFLVALDQTII APALGAITGQFQSVKDIGWYGSSYLLTTTALQPMYGAVYKLFNVKLVYLGAVLVFELG SLLSAVAPNSTAFIVGRAIAGIGTAGLFSGSIVILSLTMPLRKRPVAFGLIGGMWGIA SVAGPLMGGVFTETLTWRWCFYINLPIGGLAMAIVTLFLSVNRNSADTTTMTFAERIL QLDLAGIAIFIPAIVCLILALQWGGTDYPWNSAKIIGLFVGFGLMIAVFAGIQFWKGD RGTLPPRLFRDRNVFFAMLFAFFFGAGFFPLIYYLSLYFQAIQGVSAVNAGIKILPLM LSTVLVSVMSGAVISMIGYYSIIIIPCMVLFAVGTGLITTFGLDTPFGKWFGYQIMAG LGIGAGFQVGVLVVQTVLPQEMVPVGTACVQFFQAFGGAVFVGAAQNLFQNGLIDALD QADIGIDGKRFINSGASQIKNVLQAMGRLDALETVLEAYMKGLRHTYYISVASASCAF LAALCLQWKSVRRGPGVDGDGDGDGDGDGDGDSKAAATPSEASDGALERGEKE UV8b_06141 MDGYPAGSLDHNVPFLVVSGLSSAEPRPPPEHLDPQSVLVSSSL PPLDTKESRVLEQHFEHVDARGTSWTAVSREEPYRLRVRSVGRSYRLPPRKATLPQPA ESPGPAAVLHSPLSPLSHVSALYPDGLIDAQWIEKHQAQVPSIFACFYLLDDDHKLKS DVVEIKAALARSGYKTRLAVILLGDEHRGTPQLTEDVQDRLEGIRRGAALDPKSLFFI PAQKSPAELKRVADNILSVLYANAVEYYRDLGRHARKKRGRGIAPRPTIPPTSGTSHT LSLPDWNFRYDFKAGVLAEFRQEPDASIRAFEQAYEILLGQDVLDVIPSWSPRWNEAR QLADVISVRCLRLHLWMGHTSLAVRRWQAHRDRIGDFVDRRGHGTNTYGWQAWEARWA TVMANLMEKVEVPGLVPASTAIFLPPEKAVLGERLQPWELLHHTGYWYRVASRHLAAR RALARVLPDDERRAPEAAAPAKATGSSHDTYMCPPPHEEFPLAGDGGTNHARQIIDCL VAARAQFQARKQLRIAAELSLECAREMASLGSWEEVVAVLRPVWDDGLFRSDAWMDAA EDLCWLLRRAAKEMGRASLVVAIDWELMNKRFPKRQHWRYDLSQSLHGMHLQEKPTIQ LAAEAASPLVSSSFMFRNKEGKAGEFCRAQFTLTSNAILDSAPIHISSARIEFVGSLN PIVIENAQDEAGVDEGDFTITQVPLREELSETDAHELPSKLVGRFDLTLRPGQMKVLE MRIPLRESGQAVASSAVLAYRAKDFDLQYAQSFSEATDSATGWYESGSSNPHRLRTDA RVLVVKPRPPKMQISLLEPSTQYYTDELVELHVRLRNDEDEAASVKFDAQLSGKSAPA IRLQLGDHQDVGGTGTPGGEESQVVGVAVGDMACGATADLRLVVDPARAPTTYGLHLR ATYHLESDKGTPIAQLLALQVPIVGPFEANYDMVPRMHPDPWPSLFDHEALDASAASS DEAAQQARGLSQRWCLVCHYASFALEDLVISDLEMRAVSCAGGARCSITRQVKAEAEA AATDDDDDDDDDDDDDSGGVVVSPRMMREAHFDVVAQKLSLDNRQPVTLDLALTIRWR RRRRSAGRRGPVNSTALPVGQYTVLGTEPRALATAMHSDPASPTRLLHLAVTVENPSS HLLTFGLSMDSSDAFGFSGAKQTTVHLLPMSRRTVTYRLLPFVQGDYVRPGLVVRDKY FQKVLRIIPTEGMKIDKDGLLVWVPAGRTGVGGQADNKTQ UV8b_06142 MPRHFSRSNSTTSITDTASFHLSSTPSPYAPPTEPNMRPTQALL VGRYRHLKLTTKDVGKGFYKGNRTGSMGRHTKYGGYVIEWNKVRTYAVPPGLKDFKLT PFVSREVRPEPGDYKGLQKGPQDAYFYVEQWKRYNGVD UV8b_06143 MTKLNTASVSLAVTPTVVSTLLSHVVNRKPLQQRPTAHLSYHEG LHLIRSFLEFASHHTVEELQAFTSQWVPHPQWVRVDLEKIPLECLDRSASLIQAQLGP GGIAQVGGRQWWQWRRPDSPLEAEWIEMKAQYKARKAANDAGRRVMLYVHGGAYFFGS VDEHRYQMQRHARKLKARVFAPRYRLAPQFPFPCGLHDCLAAYLFLLSVQHPSTIILA GDSAGGGMVLSMLCVLRDQGIPLPAGGILISPWVDLTHSFPSVDADAPLDYIPQAGFH HKPSRAWPPPNDDDVALLRREAEKRIASLAQESSLKAGLSESKKRPDDNGEAVESGQL GDLPGDEEGHAKYLSVTINEKPCIIKDQIQMYTTNALLNHPLVSPIMQTTLGGLPPLL VMVGGAELLRDEQIYLAHKCANPAQYAPPSESLSQRERESLQKYAPTCVQLQVWDDLC HVAPTLSFTRPAKLMYRSVSQFGAWVLARAQKRGIDIPDDDDISVISSSASENALADN GQRRKDGISRVDPGHIGKVGDPLPPFKNHMIRQRVTRHGTILPLAPETELPGCCAKAS GVGVVKAGTVKRWLATRKQWDQEYASTKAKVHKKIIKDLVIGFQDFGPDEHPPPTALA GRRLIKEDIVEKRKTKSLGLALWALWGSKHDEITVQRQQEVEKTSEAHGMPADEGRGT RSHQDGEPRQPGRTASDRRGSRSRTVVDEHQTDGTTEVARLIEQRKEQEAARPGLLSP NYVPETGVAGKRPFIDGVALPFSLKNPAETASMVTLHSAMAPATPRPLSP UV8b_06144 MASSNDAAQLPPAAERQASFEQAVAYSLHLWPALTLAVQNGWGG PDSADKRDWFAGAIADLFPEFSDAAPSQQAPSAGEPDEQDVETVLLQVMMDEFEVNTD DDSALEVAGNIVRARAQCAVGQFDEVRRLAERFSNLKGSKVDCLFKKADDADQETDWE SDDDDVDEDDEDDNVDDVGAGGADVGMDDAPGSASAQREKGQPEVDEDGFTKVTHKRR UV8b_06145 MDLAYDHIQEAAFPKDKEESSETSRPEQQEPSLNNELQDAYKAI SSSAWGMRIGGFLGSVVKQGESVYAQAQKELAEVGGDATKGLTDLRDSLMSRTRSLSP SAGAPADAAAKDEDEDDARPAPRQSESNPRPDAAGPSSETVLSRLRAEASKRIKDLQR AEDAADEALLRFGTNVRDFLRDAISVAPPDESSSSSSSSQPAAVLFESKDAQGKRVIH TSRFDAQMHVIHTSLDGFTKDPSGDEFNRWVDGFDVTRKTDAISQDLVKYPELKASME KLVPEKVPCAEFWRRYYFLRHGIETAESRRRDLLNAASAEDEVGWDDDSDDEGAGAGK KPAEENPSSGASSTTIQRPPALLKPNEALKLHDEKSQADSEASYDVVGATSGKTTQAP NSPKDSKKGDESDDDWE UV8b_06146 MDTVKQAANYVSETVQGAVSGASHEANKEVAKDSNVSAGTRLSA AKDAVGDKLEQKGHDSKAEVHKEAAKH UV8b_06147 MASSGNGAGSLPPNFFLTPHQQSLLFAALNANKQPIAPAAAAKS MPLPRHSSQASPLQTAGGAGFQDGPFLDEYDYDYGFGASGFDFPHASPGDPLNPAGDA PDAPDAPEAAQSESTENESHEKRSHPDGEDDDSSPGNDSKRRESAEKIAKKPGRKPLT SEPTTKRKAQNRAAQRAFRERKEKHLKDLETKVEELEKASEAANHENTKLRVQVDLIT AELNQYKQRLAVATQTKPPFKEKPSLGNAALVNLGDVNFQFEFPRFGALPGPRPFSPL PNGQKDSPGPGPGLGGKGSQSLPSNPLPPSRNDSAPKPAGASAPSRSGSGSTRAGSRT SLDSVPFSAGAGAGAAAAAAAAAATSSPSASSNSLTGGSTSSCGTSPEPFTQSPLGFK PLETLTTIGEEQPSSAPHDQPFAHFANAADMASPSFDWLVQHNGGGSFDPQLFGDYRE SQESVLAGNPSFDDFLLSDGLDADFFTPFNTATAPAPAPKKSLIAEIDAEIDAQQRAT EQHLAAAPNVSCTQILEKLRGCPVAQNSDFDLDGLCAELAKKAKCSGSGPVVGTHDFD SILHKYVGSDAAVKQETPNGAATLP UV8b_06148 MGNGQGKPVDLSGEVNLNHFRLLRVVGRGAFGKVRIVERKDTNL AFALKYIRKDEVVKSESVRNIVRERRMLEHVNHPFICNLRYSFQDIEYIYLVVDLMSG GDLRFHISRKTFTEEAVRFWIAELGCALRYIHGQNIIHRDVKPDNVLLDSDGHVHLTD FNVASDVVSGRTLISKSGTLAYLAPEVYSGKGYTVCADWWSLGVLFYECIYNKRPFEG NSESTLSQQIQNSSPRYPVTQPPVALSCLYAIRAALNPDPQTRMGATWESFIYNDFFR TIDFDLLEQKRIEPIFIPSSDKTNFDATYDLEELLLEEAPLEARARRQKPREKLKEDA TDQEIREEELYRMIEKDFQSFDYTVAAYKKITEEASRNVDSLPRHDMTPYGGPQALTT DEATPFPPPASSLHQPFQPGPSQVPSPFDSRQGRPTRPAPPPPLFPCEHLSPPLAHAS NRVASRTGGLQVTLDGGGSWSDLARQDATLPTDANVPSDAKPEGSSGMFGFLKGKRGR NNSPRPKERGVLGKEGARVVIS UV8b_06149 MPFDNHPRPPRRDSWFAPLSVDLLVKVLEATLLHPFVCWMIPLC LRARAMRWDAPPMVAWAAWAALVTLAWAAGAANRRVAYGPPREVDLGEEVIVVTGGAS GLGLLVAEVYGMRGASVAVLDVEDMENGEARGVTYYRCDVGDKQAVARVAAEIERDLG PPTVLVNNAAVVVGKTLLNLTLDEIDASLSTNLLGPFYCLKAFLPAMIRGGRGGTIVN VSSVIGHLGAAQLTDYAAAKAGLTALHRSLTAELRQTHPGIRTVLVTPGQLSTPLFHG VQTPSRFLAPVVEPVDVARDLIAAIDGGQGAAVGMPLYARWVDWYNVLPAGVQVMARA VSGVDEGMRTFIGRRGMEADAKGKRT UV8b_06150 MTCACRRAPWKMFVRAVAQVHNLSPAGAVRATWTAAPAAARPPF AVAAVRRFGTTPTQRHEAASPAAAGNSQTGRDFAEHKDDDASSAQQAAPAVRADEQPA PPRRPRKTNNADDNDGHDHDHDVFVGATGSSSSAPCKKTAAPAAPAASTKRGTRPVES GPDDGLSSPRPQAKPAARKDWQAQKEAWKVQKEALKQKFPEGWRPRKRLSPDALAGIR ALNAQFPEVYTTQALADKFEVSPEVIRRILKSKWTPSAEEEQDRQERWFRRGKQVWTM KAALGVKPPRRWRLEGVARDVEWHERRERAVERERQRDEDEKRAERERRASGKTRGW UV8b_06151 MDSCPRSSRSSSTSRKSNLTLDLSNLPPLVQPTPPSNTLLFTGL NNLDIFRPDNLERIRGLVAQIATIHAFAPLKSFRRIVISFFDVDSAIAVRQTWDGESI IGDRCRVYFGQPTSTEAKNEHLTLPDAGKLFFISPPPSPPHGWEVRLEDAPNKLVHAE DLAEALARLHTNKAQGPDSPVSPVDGSIPAGRTRSSSSTLIYRPEEHGSSPDLPAVFV EDMTGEPDEMSPVESTSSRPIMAHTSRPPVELMHDA UV8b_06152 MSSSRIIPRQYLKEWCMQAVCEIVDAAPEQSCVVLLIASALAVQ HAAPVTLSVVWAAKSPPAVSPGRRSCGRIGSVRAWDAADQFWPPSNIIPTAYNCHAGR HP UV8b_06153 MSKRGRREDALQAARGDQQVSANGGQNNHTSGSWPDLATGEQMD PGCLKGCLSCIQEEAPGCQDEGNASRQGRLAGSSSCFGHPQRAMPLSLGSFLRAYGQQ DPDDGVRLQA UV8b_06154 MSRRVYIEASATGRPQFVSAKRSRSHGHRHRHHRHAHVKKDYYR VSVDEWNRTKERERCLEDTNRSLAAQVAALKSSLATSQAEAHRLCHVVVPQLQKQVNL LAADNDALRKSLENASHNEGKHCRDEEKLKHAAEKLEKEKKELKDENCSLKDKIKHLQ RQVEQGCGRKASDLFREIEYWRDQYRYWKRKYEDSKAVQNVISDTLDVRTEKMKAYEE ILRRRQILKV UV8b_06155 MWTLETSKLSAREALASWSVAQAGCMAATGAQSPSRRHQGARLV GWRRKRKGWFVHVQYAYGGQLAKREKDDLRRSRDVELAAACEVSKWLPLRETWAAPGA LALACSCLLLPFLRSADESRWTCCAVCRTDNPAYRARRGAARLDGAPVEGFCARQIKQ GPDGQYIQEPNKAGAGWAVLAVLAHDATDDGDSWTVLNNSRRIRTSPGNPQLVSL UV8b_06156 MPSAQRASANIGRRTHNTTNGGGGDHDYDYDETSRKTFYNTEIN KAYAERDRYQGHYEEATKKLSETHQANRDLKSQLDALKNEVKVLKIALQNYKHENDAL ARQNEALGQKHATLEARFQSLALSNTGAGAGVPPAAPSPSVPIAHSDRTKSSKRDKER DRDRDRDRDRDRDRDRDRDRDRDRDRKKEKEKKEKEKKEKEYQTEKERLKNRFEERIP SSTTTSNSSASSRGRRQSFIEPWGPGARPQSLMPGSHSQVAATTLHAVSYSSVPRTAM PLVASSYNTGAVYPDYDCDDGNYHPFPVPR UV8b_06157 MKLLPCLPDDLAAWAQRQPIFFTASAGKHARHVNVSPKGMADTH FAVLSPTRCAYVDRTGSGCETVAHAYENGRLSLLFVSFGEAPRIMRLFCRASVVEYDD ARFPRLVGQIAAGRPEAFQGARAVIVADIFEVQTSCGYGVPRVKRALYKPGDDRGGED GAARDVERILRAGPFGTADGGGDLDERCVFETRPTLDHWAGRMADGNAIQKYQRENNS ASIDGLPGLKAGRRDAGQRLWLADLAAWAKRVAADKEALGVGFLAGVLLFAVLDLAKA LVN UV8b_06158 MSTKAPQVLLRKANGTANGAAGQGSDQINARHRRNAGNEDSGST AKGRKGNASLDKRSSTKNVTEGEARSLPKHPRARAHNEGEKMVVRRLPPGMTEQECVS VLGPEWQLSNGKVDWFSYVPGKLSLDPSEPSRPGRAYLHLMRKDDIMPLADHVRNATW EDAKSTFRNPALVGPPALEFSVYKKIPGPKKRTDARQGTIDQDPEFMAFLEDLANPAP MRESIDIEHSDEAAKAETKMTTTPLIEYLKEKKANKGKDGGSGKNSKSGGKGKGGPRD AESSGKKKSKESNKTDKSEKGPKETIKILTKKAAAEQAAETANADANGNAAGVGVSAA PGAATAATAATAATAADASKSRRAGIAAAARILQRDLGLSPGSAHRRARHDAAKAEAD AKPAGTPNKPARNATPDAPADAAAPAAEPPAAAQSSKGRPESPAPASTKPQPGRRTRG GKTAEKAKGAASNAAPAAADQSTASNPPVILRKRTEADTGQKAAGPQHAGPTHNSNPA KGDKQAAAKDKAGGGKQLSQKKTPNADANATSAFVKPVSASQGVNDASLREALGVFGT IALVEIDKRKGFAYVDFSEPDALAKAKAASPVQIGQASVQVVERKDKKPGKDAAPPSR EREKPSSGRGRRGRGGGKAGGTAVNGQTATAAKEAPATSTGG UV8b_06159 MEPAPIPRPGPANLGANAGLDQWLEEAKQCHYLPERAMKELCEK VKEILMEESNIQPVCTPVTVCGDIHGQFYDLLELFRIAGGMPGESRVEAPKTATTVIT SDDIEPPTEITNPRLKKKLRSPIGGTGGTGSADEEADTCLPAGSRPGSSLSGPNVTSV QSAETRFIFLGDFVDRGYFSLETFTLLMCLKAKYPDRIILVRGNHESRQITQVYGFYE ECQQKYGNASVWKACCHVFDFLVLAAIVDGEVLCVHGGLSPEIRTIDQIRVVARAQEI PHEGAFCDLVWSDPEDIATWAISPRGAGWLFGDKVANEFNHVNGLKLIARAHQLVNEG YKYHFAEASVVTVWSAPNYCYRCGNVASIMTVDKDLNTRFSIFSAVAEDQRHVPVSRR APSDYFL UV8b_06160 MPKPQPQSNPIQAAVSSWLSAVAPPDHADPAAWKQKLRDHAPKR FTIYEPMALLPPGSFAHPAWTEELGRHDAAAADSLWALILEHLSRVGGPAARATHLAV SEGIPARTPDGAGENVTRSPGGGLRLLRGDFGPAACDDPPSERDFQRAFWVSTRQNGI WQTWAPRWTMFSRGNVKEKARLLGFPPAGEGDSNGGGGGDGDGDGGVWAVDLYAGIGY FAFCYARLGMRVLCWELNPWSVEALRRGARLNRWTVGVFGRPGRGLPSEDVASSSARI VVLWEDNRAAGWRADELRRSGGGWLRCVRHVNCGLLPTSRPTWRCALSLTRTTTAAAR GGQAWLHLHENVGDGETRRRRDEVEALLRGYDADDADDAVPGPGRAIRVLAVEKVKTY APGVWHCVFDVHVTREV UV8b_06161 MRPNLLLPVALPALAVAHGSHGQKPIVNQDATWMEKHMAEEHHI DSWDAGAFFALHDYNSDGEWQGDEMMRTYGLMDPSNKHLPRERKLELLQRLLGLLDQD HDGVVTHAEFTDFIGRGGTLPDLGTGPGHHGDDEYEYEIHHWEKYHDENTKLEDLTHP EDIEHFKHHDEMEKLQEEQDRMDQKPVIEDNIPAKFKRQ UV8b_06162 MASAPANVRVSGPPNSSFLVGYPGISATLPRIEGKVEIRPGHGF SMPVPISLVRICLQRRETIHPDADSLTKRHLGTPRKETTDVVGKEVLLFRCATGKEAE SVMAMDLPFVLFIPFGRGGEETNRRIPPASLQLPSRTAETFYELVVTVQQGHSSQYKY GFPVPLQRYDTLSTFGMYNKPETKLVTSDNVIHLGINLPRWSYGPGDPITIYIKLSPN PDWLSKAKRVSIEKINLTIEEEVTYNPEGDEPVKKVNKLSKQVQVVKMKIPEAGYATN IGLVFPSKDLRDADGVVKRGKPAFPMYEVSSFTTTSSLYKIEFYLNIKVQLSGARDVA LRQPVVICPLDQQACKEEMDAIEQAAKDASRVDPNNPMLPARTIILANDRDALRVLGL CTVGGHKKPFID UV8b_06163 MSSIYNLEPQPTASAVIHTTLGEILVELFAKQTPLACRNFLQHS LDGYYDGTIFHRLVPNFILQGGDPTGTGNGGESIYDGGAFSGDLDPWPMDERRGANAG PTGINFKDEFHSRLKFNRRGLLGMANESRADTNSSQFFFTLDKAEELNGRNTLFGRVA GDTIYNLAKIGESEVDEMERPLFPVKIERVEILVNPFQDMKKRSRVATREADKSKSAV QAKKKKRKGGKQLLSFGDEEGGEEEPVFKKTKFDTRLIAEEDNSEEALPKNNAKRAKR SEVSQTGMSKQPSAEEARKETPKRLDDQSPPTAQQQARADPESELKDLPGESEAPKKT ALEKANEEIAALKASMRRTIHSERNVQETREKSALEAFIPETSMRARKRRPGGNNTSA SEDQEALNVLRAFQSRLGKAPQEKGASETAREDDQSRHSVAGADGEAELCDLHFIAHC QSCTAWDKQDKEESDDEGWMSHSLSFAADKMGKDLSNRRKAEEELVVIDPREKAYSLQ ENKRADRDSRAGGSRAWEQARNQARNAKLARSASAGRGAK UV8b_06164 MSAPNPRKRAAPDASPMVPYQQRTPQPFAAETLNGVADPLMWNA DGDDLVNASAHPASSLGLMPPKPECSPVPSPSNSLTRRDMNQALVPAHGRNFDTSIEP WTSFGGDNALLQRNDEGGAAEQDNIDALEEMSQKAKREAQNKRKSIPPFVQKLSSFLE ERKNEDLIRWSEKGDSFIVMDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKRVGLS DNSMRASERKNKSPSEYHNPFFRRGHPNLLWLINKPKGKPKSANSKSKGNEAEGDSDD EQINEDMVTQTGAASNTPAGRSLPAAPSDPHTLPKKELTLIREELHRVREQQGKILRA INQLQHNNNDLYNQALMFQSQHDRHQNSINAILKFLANVFRKTLEDHGNMQSVSDIIS SMITNQGQQQPHQGSVFDLGDLFHSDMDGSATTPPGSAPKKARGLLPPIPNRADVSNP AQSPAPSTPYYPVGNQNPEMGHVTELVDGVDTPSNPNLRHELEKNPHERMMKIINDHN ATNKSGMDLPEAAKLVANAPSALNREQRSTLADFIATQSTSLSSGSSSTTAPQSAVGS AAANGAAAPAATKSGLSPAPTDYASMPSMSPIMKSPQMAPPSLSQINTNQMDLDQLQR LQSEQDAKIHELSEMLGPLSPSGRIPGLGDGQEGYFDPPNVDLDQYFDSNAFLSDANF GADGNDFNFSIDEDAAAGADPLGVDIGINGKPSPSGTEEIRREDLDDDGVLSSKRRKV A UV8b_06165 MSVTLHTSLGDIKIELFCESVPKTAQNFLALCASGYYDASPFHR LIPRFMVQTGAPATPAAAAADHPKGGQSIWGGCFDDEIRPALRHSHRGVVSMANRGPG TNGSQFFITFDKAPHLDGLNTVFGRVIGDDGLAVLAAMEAVDVDKKNRPREPLRIERV TVHANPLAG UV8b_06166 MTRQLEQALLSLMPTHGSHLPPSLVELANSLLAQSRHRASTLKA EEEIARQYACANIACDRLKTSLNLPPIEPRPPIPPRIYKRLYAHLDNILPNPDCTPRP GRLRAGPPPTESPSRPLPSRGTPTKEASLAAFRTPATASARAKRSQADASSARALRPW VHPVIRHMCAASGHTKLAPTVAAGVEHLVRPEGRPAGGGEDAWVLGHVPDLLAAVYFF VVMRARHIGSGAEIDRQGYMPLRKEILALLAEAGDKVAAPGGAGAGADALEGWKTVRS RDFDAAVAKVTENNWLRGDWYDGIVDVMRPTTARAEAGSAGGQDDVEAPRSTRRADSM LQERYEFLSDAKRADYAAWREAMLNKIAQGIAAQPAAEVGASRQT UV8b_06167 MYKTCFLGIMVMVGIMASWHQEQVEMLDGFTYACHAGNRGSILE RACPLLSEGNRPESFLPLLTFLSVAAEFQTRMIAIGNGTYGVMIPPHSKVTYNLQRIA SRSRLGSSTSPRDIVASFCDMSDRAQGRIEALRQQLQSSSSRTSLPPITRIAGNSSGP RVQGKVVIITGANSALGIGRASAHQFAENGARAIYICDESGSNLAAHKKEINAAYPGV DVHCWRFDAADEAQVKAVVDDALNRYGRLDVFFANAGITGSNVAFTDFTDAEFMDVLR INTLSVFLAAKYAAPAMMKTCSEKPRSCGSIIGTASVAGLRSNAGSTPYSASKAAVVS LAQTIAYQLAGSNVRVNAICPGLIETGMTAPIYEAARARGSDRKIGQLNPLRRGGQAD EIARVALFLGSDESSYVNGQAWAVCGGLSAGHPHVPGNLA UV8b_06168 MTRNLDPRQMRSASATLARKEREQPDGQRSECATGPSFWKVDFE RLFERLFERRAGDPHRGRRMNKGKLVDGCVAN UV8b_06169 MALYGVPRMASKAWPSLAASPALPWRTSVPARKASFNAAAHGLQ AAAVSPRSALPAMPTLSRALYTRNGATWLTRVGLRASSDPAFCYPQFRWLGSSVANGP WTSSGATGVGARRPVAVTPTQRRGFGRGFGWLGGVSRNQLATAEQSANRNPGNANAQN VFYQLLLRANMPGILVERYQSGRFAANAATQHVYGKAMAALNVQTNAAAGNASTSAEP AKGKDDAAAAASGGDGSSKGGKSEPIHVIVRESTRLVVFRWVKFFASFLLFTYLCFVV VALLVEALSAIRRPAGAKADSEVKAEKQKTRFGDVHGCDEAKEELQEVVEFLRNPENF SDLGAKLPKGVLLVGPPGTGKTLLARAVAGEAGVPFFYMSGSEFDEVFVGVGAKRVRE LFAAAKAKSPAIIFIDELDAVGGKRNSRDHAYAKQTLNQLLTELDGFDQDTKIIIMAA TNLPNLLDKALTRPGRFDRHITVDLPDVRGRLAILKHHAKKIKVAPSVDLGAVAARSP GRSGAELENMLNLAALRASRARATVVTKEDMEWAFDRVTMGAERRSMVVTEKEKEMTA YHEAGHALVQLYERESSSRLYKVTILPKGPSLGHTAHVPQMDKYSYTAAEYMANIRVL LGGKMAEEMRYGDDKVTSGVSNDLEKATDLSFMMITNFGMSNALGPVEYSRRYENLSS ETRSLIEREVQRTLKKSYEDVRQLLTEKRKELDLLAQALVMYETLDKREVEMVIRGEK LPGRAIVSRGPLVLPMPTEAPQPPGLGTVPHPRPPQPEAPPPARAASRVADS UV8b_06170 MLRLDGFHGGGGRAALGVASHAESESWVAESLVSINQVTAGIGS FTPPARLTNKGRAHRNGGKIKNLDPPSPTHPVCTHGPRPKTCRLGSAVKQSGKREDGR QATHPSERNRLRRPARKRLTTTLSQGDMSRQVCRVEAFPVASPPVFCPGVSCVGDSPQ TRPAVSSWAQQHQSPLWPESERARVKGPSEPKRSTRRFT UV8b_06171 MELLELVEYEPTTRPFQCDWESCNKSFNRKSDLQRHYRIHTNER PYTCTTPGCGKSFIQRSALTVHIRTHTGEKPHQCQHIGCGKRFSDSSSLARHRRIHTG KRPYKCAHDGCLKSFCRKTTMVKHQRRSHQRGLHPNDMLDDCSSESDIGESPPTPNQT TMSWPEQGSMANQAMPHGHPMHRAASFADFGQQMNPYSLDHQMPQRHSVSAEAHEYHG QGHALQMVQRTASMPQQAFYVMDHNAGIATMSSNVAPGYHIPRQHVERPTLDIPYSTG GMPSLSSSPASFSPASGHSPALQDGLYTHQTPASGTYGLQDAPAVEQHGSLVPYTQHM QQPHGSQPEHEWGYHYQPSVEVTTIGQIPAFGSGVYDLYSGPKIEYDDPSMQLPSSRV ETM UV8b_06172 MRNSWAKLKHQTRPCPTHMPSFFGENHDRGGMYYGTMQAATAHH RQWGRRPDLTYSMTLASTSFATAAVCDAATLRRFDSLCVTLLASSPACFDCLLDPLGC PSRRAVAPPSLQV UV8b_06173 MSQSFGFAFACVLCDDPCAAELRSCGPGKFSANCPPWIYQVGPR KGLLLRTPANKRRAVPSSRATARWSLLGPLARMLCAVPYRVENHSPRWVASADSDGDD UV8b_06174 MKFGRNLPRNQVPEWAASYINYKGLKKLVKALAEKAKNGEIVDP AELFFALDRNLEDVDSFYNKKFTEACRRLNNLHNRYGRVPDVVATLDQDEVEEVMGAL LELRAQLRNLQWFGEINRRGFVKITKKLDKKVPQISYQHRYMSTKVDPKQFAKDGSIT RLLSEINRWLSVLSDAQQVDDSMSDRSTRSLGRASAKAMLILPQAQLDIMDQAVRNDD ISSLKWGLTDANVGSETCSQAMLLNLLQRSISARSRTCIAFLLSQIKDLDEPDDINGR SCIHRLVIHIGRTKSASPDDDAHVYPVPAGTQFTNRHLQPAVSSTVHPKAINTKEDNL LGKDDEAVQLLIYLLEQLSESQRTALQGRDSFGRMPLHYAAQFGFVVVCQIIMKYMQD WGQFDAKDGIDAPEWHDKDGYAPLHLSVMGGHPLTTQALLQGENWQGSSEAKVQIRKT IPKSGASLALATKSNYEPIVEMLVGAGVDINWTDKTGETALHIAATFGHEGCARIILG GSDSQKADIEIAENSYSWTPLHVAAVDGSLSVVRLLVEAGADVSKPDASGWTAKEHAT LRGHMDIARFLASHTDEEEAAARIARGLTQQPNPPENSSIDGRRSNVANGNPCRPAEP VKTFGHRYLTNESLVLVSLGTMDIRKSTEAVHLDSVPLTEAHSTQLDTALSVVVSAAG AVGDPTTIDLPVHDGISTEPILFKTTDATKVKLMFDIIPTYSGSEKNKIGRAVALLST VKPTIGSRRMNLQGDVCVPIMSSNLEVIGTVNFNFLVITPFYHANMEITSRQTYWRKM SSTMLIGHRGLGKNLTSNKSLQLGENTLPSFIAAANLGAQYVEFDVQLTKDHVPVIYH DFLVSETGIDAPVHTLTLEQFLHINPDKGRERSNGNGQARDGLVELANRPRSSSSFAP PKRSLSMGYAGSGHAEMEERMKHTRDFKEKGYKANSRGNFIQAPFATLEDLFRKLPEE IGFNMELKYPMLHESEEHEMDTYAVELNSFCDTVLAKVYDLAGSRHIIFSSFNPDICL CLSFKQPSIPILFLTDAGCSPVGDIRASSLQEAIRFASRWNLLGIVSAAEPLINSPRL VKVVKENGLVCVSYGVLNNDPIMVQRQVKEGIDAVIVDSVLAIRKGLTSGESSALQLR G UV8b_06175 MQSLWSRAGQASRCGCRFCSTTVGAVRQRAAGTRGRRNATIAEI FAACYSSVFATATLIDAVRKDGRRRELDRQLDEARRELHDLHHASPRQPAPETKPSDL SIHQMRSLWEVMKTIYRNRPFMKEIHKPPTVGASELIKCLKEQCYNAPGEGSLRAMRQ TDYEQLENLAMADELNGQIGFREAQNQVQLLRESSSVEKLVHKLLDRAEIQDENSPAA ASFDEARHLATNGFPKFTFRSMDPDRARTNTLALNQHIRALIGSKNMTLKEMIGRVCY NLLVSVHPPDMHTYNTLIVAFDKAGRHGFSDAIVHSFFHQRLLKPTPSTFTAILNHFK ATNNHGRFLRAIACITGVDQLTGGKVRRRHMSDIERNATLQSWAADTTLRTHTGHWVW EHVPLDLHLVEAVLGGLLHFKLFDDAATFFVTCMRTGVALSSRLIKQVFDECLAALDW RAAVGLVRGLADGQDMWQALLAREDDTTVAYLAEKALALVDLCGLNDFSQEPSRRALA GLDISGPNLQQLSESLAKFNHALPAASSHRFPGPNSRQGADAVSKSKSRLLQLESLWK ECDLVIKTTMSIESKLLYADFSPSFRSSMAQLIATKALDRSMELAHECRVALSSGGNL PPSTASCIIMGI UV8b_06176 MFTLIIKAEQSGVTNLRPDDTQDNPFWYMFKVQCTSCRETHDNF VGVNRFEANEMSGSRGEANFVWRCKNCKRESSASIKSGPVAYGQSEPPKAHKVIEFDC RGLEFTEFRPEGEWLAEGIDSGTKFSGIELTDGEWFDYDEKAGEEVSIKDISWEIRRA UV8b_06177 MASQHISAGIAYLTDAAHLLYQTAPETSAHLMKQRNDLMLRNGL AQHPVQRQHVCGACGHIMIPGLGTSTTSLKLEARKSRRGGKEAATNKEQDGSAERGGP TQVITCGLCTRVTRTELPAPEPVVRRKAGRPSSTPANKAGPSDRKMTANASTKKRARS RKGGLQALLAGQQQKSTSSLSLADFMA UV8b_06178 MSADLNDAHAPAAQNPAEFFESIGNKVQGLSAADHDDAIKQDQE YRPVEEIESLCMNCGENGVTRLLLTAIPYFREVVIMSFSCEHCHTQNNEIQAAGTVQP KGTHYELRLTSLEDLSRQVVKSDTASTKFIEMDLEIPPGRGQLTNVEGLLTTVVDDLD MGQAARKEQAPEVHGRIADVIARGRSMLAGDAFPFRLYVDDPAGNSFIAPDLKDGVGK WEKREYVRSPEQNAALGLSDGAAAAACPPDPGLAADGEAAAPDEVYSFPASCPGCMHP CTTHMKMVDIPHFKQVVLMSTVCDDCGYRSNDVKTGGEIPAQGDKITLAVRDAADLAR DILKSETCALECPELQLHVNPGTLGGRFTTVEGLLTQVRDDLHGQIFEAGAAGNGNGN GNGNGGGGGDSLDPAERTQWRSFFDGLDAAIRGERAFTLVLTDPFASSFVQPLADPPS DDASITRLKYTRTDEEEEELGLKDMKVEGYQEGEQAAAAAEREHL UV8b_06179 MHEEKQPPGEGIHSSIFYATHRHAISIIEGRASLNDIRHCRPPV DIGLKTLQSACTDHPMAQEPGINATPRRLGPRFSRNPPPRHLHSLEWAMIDLQSI UV8b_06180 MEEPRAKSTASPPTELKSQSPASNTTDLSSTSRAGTAPSTATQP ITSAASPARCDSSVSCKTEASSKHGASAKGGAAYGEHTSSARLNGATHRTEENEGESE LSDPDSNVGANDLEDEIVVSDCGTRRSCGGEASEWNDDEILGDAPEEASASHYPKRKP TSISTNESETKLESPRATALETTPTSPASSSKFKPNRQSLGGLGVKGALLGTWRDSNV PDQDKRHAVIGFIDVRDRLRTRVQPTTKDGISLAEHYPLPPGPGGSWVTFDRIVFSDH LVGLDHFQIKEYTRIRSDVAPEDSEEERMAAEAAAVHEAVRRVAEDLTLENRTQPPAI AYGADLPEHLQAPFRPDLKRKRFGGAFAAIINPAAPELGVEPPSLPTPQHSLASHQGR FSIDPLPGTRPTRILIGYWKPSSEIDPWDRHAVYGILGQNDMFRVKVVRETRDGRFVD GNFPSGAGALWIPYEEVEFEPHLKALNRQEIKEYCRVRQYQLDRGETSAERVGNETKA VCEAQTRAGTMPYKQPHNATVPTFTASPQGDDERINGRHGYGSHELRHSRRAELRPIR ASLGERELRTGRQHGGEDAERTSALARREIARAEAAQGRADRHVVQRERAAAAAAADA AAAAAAAAAAAASNTPIDDEPRNGTGGMLYHESEDMQRLNRVWARQESLRIKGGPDDA KMYDGIKYERKANGPFMGKLVSQGTIINIEGEDYVEYRVLTKPSFF UV8b_06181 MPFDDDDNPTVEPAPAQSGAEDGPTAEEALEDGVPDYKLFASMF SKKGVSGKVIRKGEKDFESHGTRAQDDALEASRRALEEVLSYTRIHRADSWLRAWYFP DWWAAQAAEGGPEDDGLLRDRVVVMEHERGSWMRDIGRAVSGSRDRLGVGRLWLLPEE ALYLVERGTVDMWWPDKSFGELLPRVVAEGGAPARNAFGPDDYDAGLPLSLEAAYTFL VGFEGQRGKTTLPKFQVYSHLKRAGFHVMRAPPPPPRGSPNDDDDDDDDEEGEVAAEE EASSQPLWRWLFSLVARGLRRQQQQQQQQPRREPFGPLVSPGVYRAYRPIYQQLAVLP RHQPRATPPPAPQPAAREPFRVHYHVWRPGGAPFSKKNPPAPDFRVAVADTAGSGVPT LEQIGALLGSTPLDAPDPAWQGPGRLYQRLKHGHRNVLVAVVDGGLVNFMRFGEAAFG QEQLFERFDSRGGGGRGRGGKRGGRGRGRGRGRGRGGR UV8b_06182 MPGAPSGRGCEGCRKRKKKCDLVQPSCSRCLRYGIRCIGSGQRV FRFKNATITESTPVSRTARPRAQPPVHAHPRPQRPLGNELTSTAGAFISTLQISDRKF SIQGFGPFLMDVPRRLGRSAILSASAKAFSSAVTAVYTGKTVFQALKDYGAALTCMRN AFASDPGQAAKADTLCGIYLLLLSQNFIRGHNDDTLVHMQGLLHVLNNMSPRDRSDPF ASQIIDLITLVAITESIIDPRVIISDLKLDLKQTNFYGPVNDYSVVDIRSTNLTVANL IVLPTYLREPEHHLGRLRASYDLMRLESKKLVSITVQLHAACEASNDWAYYKGYVICE STVSVLHCLMALIRRTLEIFHPQDAVLQEHADHAANEVLAAGRRAWSFRPLGTTYMPK TLCLMWATVDNPNTKARLENMIDSYRENFRGNSWTKLVHFFEQRFEAMRRRAQSRIPY RFRLDTASPGSTNAGSEESV UV8b_06183 MEDAHLLCLEDYFISSPGPLRPLMVSLNGHNSWLLSFPRPWADQ KQTGRAYFHIVLDPWLVGPTELVGSWFIRVDLPVAAAMPTADAVEAAARQIEDLASEY VLSRRLSNEVFNPASHIDAILLGFHYYDHVHEATLREFGRKVPVLATREAASIVKPWN HFSYITIVGDLGPAATSWRAPELHPGPPLPSWLTVLRLAGHREINFCLAMVWTHWESP GVEVHEAILSTPHGTLLDQGPLQAFLDAEPKTRKLAMLHGLKESHVGGKQTSFGAKGG LQLYRKLGGVDYWVLSNHSMLNYTGIFMRLSRAADTARTLEWALDQELVEKKAMNKPS VFRVPNGGFLVLDA UV8b_06184 MGLTVELKTPLTGAYQQPIGLFINNEWVEGVDKKKFEVVNPTTE EVITSVCEATEKDVDVAVAAARKAFETTWKDVTPQQRGNMMIKLADLVEKNLDLLAAV ESLDNGKSITMAKGDVGAVAACIRYYGGWADKIEGKTIDIAPDMFHYTRPEPLGVCGQ IIPWNFPLLMLAWKVGPALATGNTIVMKTAEQTPLSALVFATLVKEAGFPAGVFNLLS GFGRVAGAAIASHMDVDKIAFTGSTLVGRTIMKAAASSNLKKVTLELGGKSPNIVFND ADIEQAISWVNFGIYFNHGQCCCAGSRIFVQEGIYDKFLEAFKKRALQNKVGDPFAQD TFQGPQISQVQYDRIMGYIKSGKEEGATVEVGGGRHGDKGYFIQPTVFSNVRPDMKIM QEEIFGPVCAIAKFKDEAEVIKLGNQSNYGLAAAIHTRDLNTSIRVSRALKAGTVWVN CYNLLSHQLPFGGYKESGIGRELGEAALANYTQNKSIAVRLGGPIFG UV8b_06185 MPPENLDNVRVFVQWRDQTVFAGEELKCTVTFKNIAPSPSQQTQ QQSQLQQKLHGERQRLTVPLQSRAKKGNVGLTSLPSPTPTRGHHRSALSLSVSTTSSH SRSGSVQWPQKVGTGGDWKPAHRHQRSLSIVSIGSTKTLEEHGPRSDTTSRPPRPNRG HNRAASLQIPPQGASSPSPGPRSAPFFARQTSTPLFNESYPPNRFGRHAGASTVPNTP GLEGQRRLPKSPPSPQLLDFSFLASDVAEAEQVYNNLGSSQTDGLPNPRRESTADNHN LNVRSKEQSSSLTERPAPRILASNTAPGGTPRSSGEFYSISNNSSETLVSEYVAQPLM RSNGGPPHLRRSSGMAFAPQKNTPESLMMGYAQIQGSFTLDGSLVSLGPFEQVKKKAV VSGRGGGVIGVKPAKRDTGLLRGLGWGKIGYSLGDLLGGGELSTIKEIRSAASSKSIP LLSTPQSILFVDLQLAAGESRSYEYTFRLPKGLPPTHKGKTIKISYSLIIGTQRAGGA KEQQVRSVDIPFRVLGSVNSHGDILGHDLMNPYVMLRDMAEVKSLGKPKKAHRSKASF GPPTTMNEFLSYVDDLATRPRSSPGAALLSPTEPDSSRRPSSYEEAATTAKEAVHLAI MRSNVAGDGQQSANRFEIARNGQRVGVVMLTRPAFRLGEVVTMAVDFTGADIPCYAVH ATLETTEKVDASLAVRSETSLQRVTRKVYVTSSEATMFSRRIVFTPTIPISATPEFVT SGVHLEWKIRVEFVVPYQGSGSSKSGDLPVPHPLLEQISEDEKGGLVLVAMETLACES FDVSVPLRVYGAVGNGLERLERDEASEEGLPV UV8b_06186 MAATVTPSHNRLKLTPSNSPFLSRPPRSPMRGRAMHESRLSLKR VVGTTCRSPTGLGTVNSSFAYLAGGAVVVVDVQDQLYSQRFYRARPTAVPAYSVSTTQ NAPSTPMAATPKANDSRNRVAANYRDSPYSPLEWTDSPSGSRTWTSRERIKAATCLAL SRDGRYLAVGETGYAPRVLIFSLLDASSDIPLVSISEHAFGVTAVAWSDDSKYLASLG AANDGFLFVWKIDAKTGAAKLFQQNRCTSYVKDMVWMGSSLITLGVRHVKVWKIEDGS STSPTKPKLWADATSSSPTSLKPLPGRNMLLGHLLEATFSCAAVDGNRLILCTESGDV CILDDDDRQMRLTRVMNLEFCITAITIRGNTAYVGGRDGQFATLDVEGVMGGCATSLL TINQASAGVVALGFLAENLVTIDSNQSIDIWNSDYLPGQQADALAHIPIPGHGEPIMG VHALHRPNKVNASFLTWSASGNVTFWDLDGRIKLSFDVPMENMEPENELVLINQLTCA RATKSAKLLVAADRQGIVRVLDVDSNTCVMDTKAHSSECLCISIFEEESSFLMACCGR DRTAQLFHRNSSGKIEHFQTLEFAAKVVQVLIPTDNRILTCSLDRTLQIHDIVSREGE SDIIAAIPNKVISLKASPTSMAVAPGNGSIFVSLLDRSVCQYDLLTGRQTYSFKCTDE GGAESAVLESLYVGQWPLKDMDFLLGSSNTDKSVRVYDANSGTFLDREWGHTEAINGV TLLEDDDGSRKVVSVGSDGTIMIWALDLNDPSPSSMIRDPSPVKEMSNYARPTLRKVL SKAELAEFQRPSISPAGRRSPPRTLRRRTSRLTLGAASTAQRTPTGNLATSSSESAIN EDTPSRRRPSEPNRGDSPPASPKSRVSRTPSMPALPAVAKKKNSSPNLRGFGSLNMAT EQACRTLRAYRKKLSSAEPITAEGLAELDQELRLTAAALGDRAIRSKAMNETVLSGLL DQYSERLVTLLDEKLRLTSHLPRHREIEMQIDNRPRSADGTSSSSSP UV8b_06187 MNHPAAYTPLESLFLFQSLLTHGVDADAFARISESLRGNALVKS DKSFDPARLAPDALQELFLLLLRDVARSEAEPGNPNPNPSPSPNPSPSPNPSPPAARV NAAAAAAAAAGVGAPSTDGPTTPVPVSRKRKLASPPPPSLRDAHEHVDKVPLLVDRLY ARYRDTVVRQIREDERRFATLQKEIQLLEKVERDRLANKAAAAAAAAAAAAAAAAGQG QNGSPLLAPDARPGLTGNAVGQSPTPIRVPAAEQVGPVRRGPPTAPTPVYPPPRPPVA AAAAAAPSPVTAPAHLVPGPSFANPPASPKAHKAQKAASGSVLQPPAGMPEPASKVLQ PPAQSSKPAVASHPDPAVAIKPKDVATAPPLPSTSGNLKWEKPYQPPPTQGPGVVSGP GPAQPQPQPQPVAAANLGAVPPKAAALQPNPQKPQHAGQASNSAGQARPTAGNPVLVA PQNAGQLSTPLQAAPPRPAGNAASPGPQQQQQQPRTISSTPIAPPGRPIQPQQPLPNK LVPRSTVAAQKTPQKVASGPAAPTQRRTPAQTLAQQAHQRQTPSASPSTSHREKPYSS PYNSQPPRPAIPEHIIRQAAATAAAAAAAANSTPGSRKISPVTAAVSQTPGATTPIAL TRGFGTKWASHSTPSTPRPVAAEPESPAYEPVSPPPRDASIVSDTPKSGARRDATGPA KKEVAAPKPRGRPGRPPLHRARRVSATPSVSGTRRSQSVMSQADELSMDHPPPPMVTK IKHEVLTPRRAEETGDTTADESFPGRSNNAATPSSVPRLAKRKRQDTPPPAPSSCAPS SQVLWTRGFTKVSSSALDQISSHRDANMFATGVRERDAPNYRQIVLQPRDITSIRAAI KQGNKAALQAAANLPGGDPGTASVWLPLSEDLVPPRGIVNSAQLERELVHMFCNAIMY NPDPHRGPGTAFIKRSQDEEEEVVGYRVDENGVVKNTRSMFVEVEKLLGDLRSAEKQR GAPPPPAAVRQAGAATPADDTAEEEDELAGDGSTTVSVVKRRRVSTRN UV8b_06188 MTRRRYNIAMVSDFFFPQPGGIESHIYQLSSKLIDRGHKVIIIT HSHHDRKGVRHLTNGLKVYHVPFFVIYRQATFPTVFSFFPILRYIFIRERIEIVHGHG SLSCLCHEGILHARTMGLRTVFTDHSLFGFADAASILTNKLLKFILSDVDHSICVSHT CKENTVLRASLDPLMVSVIPNAVVAENFRPRDYPSSPDAGFAPAPSPQRLGPNDMITI VVISRLFYNKGTDLLTAAIPRILENHPNTRFIIAGSGPKAIDLEQMIEQNVLQDRVEM LGPVRHEDVRDVMIRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTQVGGIPEVLPSHM TTFAKPEEDDLVLVTSKAIEAVRAGKVRTEKFHEQVKKMYSWSNVAMRTERVYDGIAG TISEEEFYGFDMGGYNGSRTRNFALIDRLKRYYGCGIWAGKLFCLCVVIDYLFFLLLE WWYPRENIDTCPDWPRKVLQETGKKEGAE UV8b_06189 MYARYVPASKSPKAAVLAPSSAAGPPPEARPSPAPKPGAATPKL VEGFSYARYVPASKPATAAVAAATEPKAIHYFDDEPSPAGAKRKRDVAAEPRESRSKK QRRAEPDSESHRASAREDAVPVGQKSGKKKKNRRKRKQRTRAAEDSSDDASEDASEDD QTKEQTPSETPARNAVDVPPAVQRRTADLGGAPVVANGAEKSESGAETDQEPAATIAA AEPVTQTKERKPKREEKKEKKEKKEKKKKKKSSDMASQKEDEEVDQEDAARHKAVLAR KSKSIKLAAETALAGKEEEGEQADEESVQSHGLEPLPQPAIAPDAESRPAYDTLPGWL AAPLRVSQDTRMPFTDLGVSPRTSRILAEKGFAEAFAVQTAAIPLLMPTSKQRHGDLL VSAATGSGKTLAYVLPIVRDISRGRVTRLRALVVLPTRELVRQAQQVFEVCARAYEGQ DGKRVRVGVAIGSQSLKAEQGALVETGSRYDPDAYQRLRDGGARLQQEADGSCPARAA DATGCDGRLGRWNGEVLDSASKVDVLICTPGRLVEHIEQTLGFSLDHVRWLVVDEADK LLAQSFQGWLGLVLGKFRSAPFGARDAPDTACSGVRKVILSATLTRDLSLLNQLALRR PQLIVLENDDSGGPASEHTLPASLREYAVRVHEANLKPLYLVELLRGPHMKSDRADEN PAPTAPETSEGHDSDSGDSDTSSDDADSSSSSSSSSSSSSSSSSSSSSSGSSGTSAGS DGSADGAIAPAPGARSSPESRVPICLVFTRSNESALRLSRLLALLDPSLASQISTLTS TTPTHVRRKVLRAFTTPSSPVRVIVASDLIARGVDILKLGHVINYDLPASVAGYVHRV GRTARAARAGCAWTLVSDDESGWFWGRIAKNKGIRRAQQVERTRIDEMGEDRIQEYES ALTRLGNEALELRRKK UV8b_06190 MLNPGVVYMAACSLLFAVAVVVHFHADHLSLPVSSVITILVVVL PIAAFLNAYIYPNLLRSSHSFAARSAASRLAPVILQSAQALVTAVLATLLLEAVVPSP ALHFLLEYEWDKLYRAEDSNHLMYIQDTYNCCGLDSVDDRAYPFVHAPGGSCAEMHGR TTPCRRPWKIALQFFAGTDFAIVVIVALMQIFGLLIMKERTAWWTALRTEDWKPAETD DESNRLCTVPEESEASSDHVDSSAIGYGAIPQSEARPSTSTTSAPKAPASTDR UV8b_06191 MDRHAQAVAKIATAVRGFFDKREPYRIFHGSTNSTRPRPGPGAR VVDISALSHVVGVDGARRTALVEPNVPMDRLVETTLRHGLVPPVVMEFPGITAGGGYA GTAGESSSFRHGFFSDTVDSVEMVLGNGDVVTASRDERPDLFAGAAGAVGTLGITTLL ELRLVQARRFVRTTYHRAGSVAEALDRLRRETRNPENDYVDGILFSKDHGVVVTGTMT DDGPPPGGKVQTFSRARDPWFYLHVRDRTAAARPSSSSSSSSSSSSSSSPPVDHIPLA EYLFRYDRAGFWVAAQGWTYFKYVPFNRLTRWLLDDFVHTRMLYRALHASGESARFVV QDMAVPYAQAEALVDYAADGFGIWPLWLCPLRQTPPPTFHPCTGETEPEPGPDGRPVP SDMVNVGLWGWGPADPVDFVARNRALEHALAKLGGRKWLYAHTYYDEAEFWGVYDGGA TGWYDALRAKYHAATLPTVHEKVRIEPADAAAGCGRRRALKRRWPVGGLHGIWQSIKS KDYLLHRRAQWKYKGED UV8b_06192 MAMAPRPQNIGIKAIEIYFPSQYVEQCELEKFDGVAAGKYTIGL GQTKMGFCDDREDIYSLALTVVSNLLSKYKIDPNSIGRVEVGTETILDKSKSVKSVLM QLFGDNTNIEGVDTVNACYGGTNALFNSVNWVESSAWDGRDAIVVAGDIALYAKGNAR PTGGAGAVAMLIGPDAPVVMEPGLRGTYMQHVYDFYKPDLTSEYPYVDGHYSINCYTK ALDAAYRDYCKREAKMANGHVNGHANGTDPSKTPLDRFDYLAFHAPTCKLVQKSYARL LYHDYLSNADAPAFAEVAPELRDMDYEKSLTDKLVEKTFMGLSKKKFQERVSPATQVA AMCGNMYCASVWGGVASLLSFAEPKALEGKRIGVFSYGSGLASSFMSFRVNGSVETIA KTLNIPARLEARRAVPPEAFDAMCELRHQAHLKKDYTPKGEPSTIAPGTYYLEKVDGM FKREYKVQA UV8b_06193 MIVQLLDHAVDQVAISVLLFQRHALVDRPTGTRLLSPAAYFCEE LVAREVHAEVGATQRTATCCVARGDRCGLDADADAVRETQKGRHEQQAEQREDVGLQR CRGRGEAKDHPEGFDQRHRSTKD UV8b_06194 MDFFRQPLEQPPSTPPAETGIPGSPSPQFALLNYFFPGYSMVTS TVNAYMGADINSYMPILLAMVAVSVSWNYIKDRIWIFLNDYWISSVTIRADDEIYDMV MFWLSRQKFAHNSRHFVANVSISSRSRSLLGYPDSDGEDDEEVDDHINAITGESNNNK QALHYTPSFGTHVFWYKRRPLTLERVQNRDVHTGRTVCEKEELRMSCLGRSPRILKEL LLEARQMNMKKDDRKTVIYRANLAEVYWQRCMSRLNRPFSTVILSEEIKQDLIDDAAD YLNPVTRRWYANRGIPYRRGYLLYGPPGTGKSSLSLALAGHFRMKIYIMSLSSSAATE ENLTSLFHELPTRCVVLLEDIDSAGLTHTRDDPPAPPAAPEPPPPPAGSKETNGTRAS PAGRVSLSGLLNILDGVASQEGRILIMTTNHMEQLDKALIRPGRIDMVIPFGLADSSM TASIFRAIYTPYDNEAASKEDASKPDAEAVEARLAKKRAQISQRVDELAKVFSDKMPD LEFSPAEIQGLLLRHKLDPDGAVASVEAWVSQMRQERKERAAEEEEKRRKEKEEEGKK KKQDEDNEKNKQAEERASRKHKAEEGDNEENWKGEPKRKGSAGDASCRGPKTGKPKKS ASDSGYETS UV8b_06195 MLDSLRETLVTMTFQPACSPTWIMPYQPPRYCILSSTVLHRWSG IENASCLTQDPAKPRQDAHVRNEDGSYPQRVCIDIDARHLSNVGSPLSPLTKTPARCG QRNRDIEPSSDSRWFLILVDR UV8b_06196 MSLVQPRAKTPREPSTEDSSSPCAGEKDKVSAQRRPWTIAWTRR RGGLDKSKEDLTWWSRARNNARRRDDWVTTAWPIRWRPTTFHVPSAPDSGRLAIFAIP RWARLIPLRPPLAPHSLAHFSCQDPETHATTSNSPTRPTCSLASWSDGLHLPASALAQ GLSTSFDAWASIASVRFNQSLASIASRSDLISTPPSTVNRGWIRKSPSACSRPTPILS TFFTRRVLFKSLDPRLFQKYSALDIPNDLLVGLASPSDDKRLSAAGPARFRATESRRN GYAVDGLRPNHAAMRSGDGNARSGSRRSAGGSIRLLEDDDYDLTAHAVSDGFRPTASN GAGVDGADGADDANGAASISTPSSQVPRFQYPGLPRSPPPVGPSPLKTFDHRPSSMSK PPRHHDSLTLRNDGLVQSRPSEPCSSSSSSMQSDAPYHGSVHPSHPYQMYPQRTCSNA TSSTERLTSEDAYSGRREPAHPYAMYAQDTASHRDPSRQPMLVGFNGMGDGYRRQLGP DGEEAGDLIGPLGHMEELPPYTRYPQEAFADKPTTEPIDHLTVSTVTDRNSTAAPGAD RISPTDPIPGAGGIGLATRNPEFSSTEDLASLPLRASSIRSATSDGSQHDINGAARDF AEKPTQSKWQRRARKRLCGIVPYWVICLLLSGIVILGVVMGTVIGTMVTRHSGSPTPS DRSFAKPPVTNSVEFLASKPPDLPALATGCYALPPLEKYQVPKACIKDSSQTPAWSCD MPFRWYSMNVSNQDNQPDFSNYALKLAPFDARVSKFIWGSQPPEIPEFKTLRLVKDME EANRGPAWYLEVDYNKTVMVREGQLKPASTGASKRNWEALSSPVPGFDKSRYLRKGFA AADGDKPWICTWPRIKLQVFIYPNQTFTPPRTSSASGSVATGSPSSTDGPSPPRKEPY PKLVKFVERRPDGLAASAPATCTQYQIVNNGQDKVPILDDNGRPVVIKISEVGQSSKD AVTRRQVNPGAGLLSSLQDRDVELTPCGCVSFSWSV UV8b_06197 MADASCSGPSPFKRLVDHHSRDVSHHQDHLVDPSAGRAHSSFRS PRQQTQDHDSFAAFVDAAPSVFPGAPHDAANRLAAHAAALQQQQHQRHQQQPVPARLS QQARASPAPDIGNWAADFARFSHQQARPGPAAQMHQQPAPMGLQPALGQAFGRPVLRA TGPAGFMSPQAMPAAAESDFDRDMSQWVSSHGGGGDMSRVDAAMEQMARELELNGASL PAAAETTDAAAAEETARDTDALPSPPYTDLDTPEIGSLSLQERQGREQGPADRDAAPK AKSAVSQAAERLLESVQHEDGDKWQNSVFLSLMRDFRDGRKDIVDNEIRATAGDGAHE QHPQPTT UV8b_06198 MAAQVIANSSHDDMIHDAVLDYYGRKLATCSSDRTIKIFEVDGE SHRLTETLKGHEGAVWSVSWAHPKYGNILASAGYDGKVFIWKEQGQNNQWQRIYDFPG HKASVNVVAWSPHEAGCLLATASSDGSVGVLEFKDAAVDHVTFPAHGLGVNSVSWAPA TTPGSIVSSAPGPGSVGNRRFVTGGSDNLVKIWAFDPASQSYKQEGEPLAGHADWVRD VAWSPTVLQKSYIASASQDKTVRIWTSDPSTNGHWEPKVLGFDAAVWRVSWSLSGNVL AVSGADNKVSLWKESLRGEWECVKSMEE UV8b_06199 MTDNAMMALVARSASLVLLASVLCGVLAANDNCSNTLSLDYPAP VAAKGWSYRVVANGFARPRGLVFDQNHGLLVIDSGVGLTHLTLRDNGGTCLSVTNNKT LVRSKELNHGIALSLDGKTLYASTSESVFSWAYDAEAISVSDSNRTLVTNMNNSDHTS RTLLLSRAKPGTLLVSRGSNSNVDPDARDVQSGHSQLRSFDLSRLTNGDGPFDFLNGT LLGWGLRNSVGIAEHPSTSEIWSVENSVDQLRRDGVDIHNDNPAEELNHHGTLEEPNG GNYGYPVCYGIWKAQGFPNIGSLTTGDQFPIDGAPEAVNDSSCNTQFVPPRLVFQAHT APLDLEFNKDGTTAYVSFHGSWNRDDPTGYRIASISFRNGQPDDSRNSTVAATDILTN PDLKNCPDRCFRPVGLAWDSKGRLWFTSDRTGEIFVLEYNDGPANGSGYCEDIGKFGA IILSEAIAICEHAQLNDQAQENNRTAAVATDNEAEPSPPPQYPASEASSSSRPPPFSS LFAPLDDPVGESSGRLAADSAATEPCASAAVAAPAYSSSPSPEPAPFQPYRASSRVFY DPVGETKRALPRDTKGGSSRKDEEAEPPPAYSEGDSPLETFTYVMSAAGGAASIITQV QQGGPPVNSIGDVGADETISMDLRGTRFVLSRDELLTLPEFVLLSLFPNGLFPDGRAN GFGDDCAVQVDYDPASLQYMLDFFRNVAQSIPTESSPGTSQDGDAMSVEPLVSRDDSS KRAGIIVLREDLDFYVIPPRADISQPDMIEVKRAAASALQQQGGIFSGLKRSDEPGTT EAHLIEMLTAGGFNHDDTWGHRAGEPNKAVICSLALARLRSDIRGNDMGTSAVGMAQK LLLFWRKPARRCWWEGVELDHVEGVEGKLKVWIRRVWTLEMSVIGLR UV8b_06200 MTDAASDRLAAKSVAFFDSSNGDSQEPQARPMEGEELKDEVGAL DFEDYTAGGLGRHLGVFSTTSLVVGRIIGTGIFSTPSSIVHGLGSVGASLLVWVLGLL LSMAGLCVWLEFACMIPRSGGEKVYLEAVYKKPKLLVTTLYAIQAIILGFTASGCIVF ASNIIVASGHTATEWQQRGIAMAVMSFITVVHIFFPKVGVHGMNFFTVLKVALLVFIV VTGWVVLGGGVSSVKDPHASFRNAFAHTSNSSNHYATALFKVINSYLGWSNAMYVLNE VKNPVRTIMIAGPLGLTVCGFLYVFANIAYFSAATPDEVASSGTTVAAYFMGKVFGSA AERALSVFVAFSAFGSVMSITFSQSRVNQELAKEGVVPFPRFWASSWPFGSPSAGLIL HYVPSILVILAVPFGNAYNFLMDLEGYPMSVINFLVVAGLFYLRWTKPKAARPFKVWL PIAAFFMVGQAFQITAPFLRPPGGKGDTPPLPYWLYCVVGLAVLFVSFLYWFLWRVVG PKLGGYTLEPRNEPLEDGTNVVVYHRVPKKKVQ UV8b_06201 MSYKRSRSTFEADTHAPYALFGSPLPDENDARDHGAYLPLWKQD VRDDKGRRRLHGAFTGGWSAGYFNTVGSKEGWTPSAFVSSRNNRRKDDAAPSTIQQRA EEYMDDEDLADAAEAQTLHTSGAFAGLGLSTQEEVRAGGILGLFRPSGDTKGLQLLRR MGWKDGQGIGPKVRRSARLGVSTGASVPDDQLQLHLFAPDDAPTVDFVRKTDKMGLGY KAGARLGDPDKDRGSPEQEHSEGEDHPLRKAGGFSLLGPARKKAAPARGAFGVGVLND TGSDDEDPYEMGPKIRYNRTLGGPKTQKQKQKKKNKAVAPANPAFQNAPVFVSKTARA GLGLGRCHDGRLPLDGFVLGKAVEEDWNALIAKHAPPTVPDGWKSSRDHPSSGAAAAR HTSTADAARAAAPLDSRSRAALLGEKQLPGKSVFDFISPSARDKLAAASGNASLPPGL GEAPRGHAPSSEDERGRALWQQVPRLDQPTAAAALSRSEGGPYADDEAKRGRYRKYLI SQANPGQPLPDKAAGAADGDYVREMNEFYDCARIFKPMTGFMASRFTTSKSVLNPPSA GDGGGDGGAPTADPLARPASKAADPAEEAARMGMFGAMTRSVDDFYPTRLLCKRFNVR PPPQHPRPDHDAAAEAPSNNQRPAPWPAAENAARALPPAPPHCEQAVSSRSAPQPPPP ADVDPDKNDALEGHAANAELLKAIFGDSDDGE UV8b_06202 MSPSAVASVAGSPPDPHSAHGTASDAHHGTNSVVKQTRSRTTIP TQSGKWILGKTIGAGSMGKVKLARKEDGSEQVACKIVPRGSTEEHQSRADKERADQSK EIRTAREAAIVTLLNHPHICGMRDVVRTNYHWYMLFEYVNGGQMLDYIISHGKLKEKQ ARKFSRQIASALDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFSPRGHLKTF CGSLYFAAPELLQARAYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPVLHAKIKKGLV DYPSWLSSECKHLMSRMLVTDPKQRATMQEVMNHPWITKGFNGPPDNYLPIREPVTYP LDPEVIHAMQGFNFGTPETIRAQLTKIIESEEYQQAVKMYQRERELPVANKDAEKKRG FGFDFYKRRNSSNSRDTLTGPSTDTLQLGHDPLHAFSPLLSIYYLVQEKQEREPAQTP PASANPPREKDKTRDRERERERDRERDRDQEHLGREKIPESEPDLAPPQAAHTNAAAF EMPGEKPTGGRSRPRARTHGEDDAPESAKSRSHAPSAAPETKVEQLQKKEGTAAGLLR RFSTRKRREPERLDKDRSHPPVVQVHSPSDPATPKKSFSIRRGRRDREEPPVPSARSG SSQPHHSTLLGPPMSARGARDSRKSGLGRSTSVNSAEMRRREGGRLPKENVATSGSDQ SVSNDQMESSQKSHGHSRSASMRTKSLGHARRESIQRRRLKREGLQEADVPEETDFEP EHSGRSTDRLGSAELAKPVFLKGLFSVSTTSGKSVPAIRADIKRVLRQLNVDFTEIKG GFCCRHTPSIDLNKVQDPPMSPGHTPVHHRRRFSFGGLMRNDDRDDVRELDRDPRQPP TPRTPGRFERDRSDRDRSFSNSETSVDSIPRRNGGAARRVPGETSTQVQSDLGESMVL EFEIFIVKVPLLSLHGIQFKRMTGNTWQFKNMADQILRDLRL UV8b_06203 MMPSAHAYGHHQYNPQGDSGWMHQQAHHQQGHVSVAQQQQQQQQ QQQQQQQQQQQQQQQQQAQQQQHFNRMAGNHSAAGPGGNMGGNHSAAGPGGNMGGNHA QDSGHDNISEDNRRTMAYIADLLNENTREAALLELSKKREQVPELALILWHSFGVMTS LLQEIISVYTLLNPSQLTAAASNRVCNALALLQCVASHSDTRTLFLNAHIPLFLYPFL NTTSRSRPFEYLRLTSLGVIGALVKNDSSDVINFLLTTEIIPLCLRIMETGSELSKTV AIFIVQKILLDDNGLNYICATYERFYAVGTVLSNMVAQLVESQTARLLKHVVRCFLRL SDNARAREALRQCLPEPLRDATFSSVLRDDAATKRCLAQLLINLSDNVVDQHSQGVSN M UV8b_06204 MGIHQPFASLFSSSISDSRERERARPSLPPIYIPLLRGLASTWQ GKKQGREGQNPPPSANLCHGTAPGCQASNPPEELEDAHGTECGVQGVNIGQTPDDAYG RSTAPKTSTLATLQSIQKGALTHRCKNPQRPIMLMGEYRT UV8b_06205 MPPGRKSRITLPPPFFAEKSRGRLTHSPQGASVTRRGRSVQQAR QASATNCQRASQRASQRASQPDSSNSLAPLGHGGWSSEESPQPQIYTRPPRVLQFSLG PCHLGSRWPGLPDMGCSLIRILVAQPGAASPGTRTC UV8b_06206 MRSPASLSASLSAVPMFAVPQNAYVYGAPAPPARQYAAHGTSSA FSSSALPDEDWTKISDLAERRRIQNRIAQRNYRKKLKRRLEDLERRAGSSDDGEAERK PPQQPAKPKRPSAGSNSNSNSNSNSNSNSNSNSNSNSNNSSSSNNNNSSSSRSSRAPK TPSPAPAPAPAPAPASAAKRAPHAGQFTPPLDSVDDVYLAPPEARERSATPPPSLFSY ATYASAAPDELAMDMDPYASAQPYPAVPPADAYPHYLAAATTMSATLPAMTMFTTADC GKPCDGGGGGGINPFLSYGFVPGVDVGLHAHYEPYVPHTPPSLCRSYNTSASCSDTGC EYPATPLSMPGSPGMV UV8b_06207 MAPARVVRGPKEPDCIAPMGMDLFHLDVTGVYFYSSRVDLAAAL PKQEAAGGGKNEEEEDWKKTTKKKKGRQVKFNVAIPTASRPLAHARQKEAEAEAEAEA APARIVQGPHDVLCWAANIRRRFQTFQPVRAHRDNQQPPLSLPA UV8b_06208 MPDEILFLYGGDKPWTPDAWATSDDRVRGGASTSHLTVLGPRHA RFHGHLDTTALGGAGFASQHSRGELRLDLGAYDGIVVSIRGPEPADGKRYALTLKDEL PPPRGDGRQQSSLTWEADFVAAGPGDVKLPWDRFKPTYRGRGQPGARELNLASVKRVG LMMRSFFGQQQGDFALNLFSISAWRHVPHGEGQQEQEQEQEQEQEQEQEQEQEQEQEQ ARAQDEDEVDDDDDEDFKARQAMGGQGPRRAWWKRLLCGMV UV8b_06209 MFAHLLHITNFRSPLARAIAPCVAAALALQAAAALPSVLARSER FFDLSGSLTFLAVGALSLGLPRLRAAAGAGAALPSWRQVALTAMATAWTARLGIYLFD RITRDGHDARFERLRTQPLRFAASFAMQAAWVSLMLMPVLAVNAVPAAAFAAVPRVVA TDAVGLALWAAGMAVEAVADAQKARWLARKRAKQHDEHFFRGGLFSICRFPHYFGEIS LWTGLATVAAGVLARGPVQAALGLAGPAGVLATTALAFTAPAFSALLLLKVSGIPLTE ARHDERFRDNADYHEWKANTPRLVPRLW UV8b_06210 MEDRGNGEKVNTSIVTLTRFLNEEQTKHKEASGDFTLLCHALQF SFKSIAYYIRRATLVNLTGLAGSSNTTGDEQKKLDVISNDLFIEAMRSSGKCALLVSE EEDDVIFFGGDAGARYAVACDPIDGSSNLDAGVSVGTIFAVHKLPEGSAGRREDMLKP GTELVAAGFTMYGASAQLVLTMQDSSVNGFTLDNGIGEFILTHPDMRIPRSRAIYSVN EGNSLYWEDSTVGYFASLKQAQADGKPYSSRYIGSMVADAYRTLLYGGIFAYPADKKS PKGKLRILYECAPMAMVFENAGGQAVDSNMRRMMEVVPEHIHDRSGIFMGSFDEVEKV KSFHK UV8b_06211 MVADALAYHPSVAHYLRFVATTVGRDKLLRTVQYFARFYAWYLL RTNAAQAGIRPWDAAKKQLGLTRKMLRVGKNVEHLRAAAAAVADAKPRSPDGFLRYAA VGRQLGYAGYLTLDAAALPDAAGIRAWAGARTAQAHAYRCWAAGLVFSIAAQLYTQRR LAQREARIDRKDGEGVVESKRIALERRASHLQLVSDLCDLTVPVAALGWVGFDDGFVG LAGTLSSLIGVYNQWKKTA UV8b_06212 MTEVSATRLYLGNLPRNATKADIEAHFATHGTGEITEVKLMNGF GFIEYKDPMDARDVVPAFHGSDFMGERLTVQFARGPRNREGGFPAHERAPPRPRRTPH RMQITGLPNETSWQDLKDFARQPGLDVVYSETGRDSNGRGFVEYETAADLRTAVEKLD GRDFKGNRVQCVADTQPDMPQRDGRGRSRSPGGGAGGGAGGGRLPAEIRGPMATVTGA QGANITTTERAIALHRVGRLQWKTIRRLAAAMTIRIDGIMLPLPPTLTPTVANMTDRL VISPLASLLIRLAMVILANMIAADGTDDWPLNNPASELFVVQRIWIGLGGQRFGTIRE NARL UV8b_06213 MDLRRRDAAIAAAAAFTSWAYAASWFPVLRWTGYAFISGVLAAG LGLVAILLLTSQRPNRERPMAPRVSFIHASRWDQEITALKKRQTYEKQSLLDLGASPQ TANAVDGLLDLIVRDFVTIWYSHISPNPVFSDEVDRAIRTAVASLIESLRYKDLAEVV TSRLTPILTSHFRDFYDAEKSVRGRKLNRSVTESEELDLAIASKYNDGRLHPAASLSF PDTKMVQQDYLRSLSERILPRILPENMLASRSVSIIIREIVSCAVLFPVLQLLSDPDT WNQVMENYGRSMLQDRSTVRRLRAALDQHASPTPQTMRLTVAPRLAAGDPERKFEKFI RGIRKVNNLSDARRFRSEVASQLKRDSLQEKQDPVYLRRLEMGKRILDQKVHQLAAGA SRQLATLGTEAMPSATTSRLENVSLVDLLKDASGLSYFMEYMDRQHLMSLVQFWLVVD GFRNPLEDDGQEDDIDPSNLLMWSEADRHDLQQINQAYLSRPELHVPETSKRLVRQFL EAGKSATPLQYHNARKSILRAQSTVLEEMQSQHYHGFKRSDLYYKCLASQETSTAAMA PAPMAPALPPINRTSQPSHPKPKPVSRLATSASSQVPRRSGSAADLQRVDGSAIVPDS INNPRRSLDAPASTPLFDDDEIETDGPTDSVHSLEQEQAPHLAPDTQVVQAVEAALNN IMGDERPQTAEALRASLFGDADYKEAHLLSNNDRESNRGSVDLGRPPVASAKDHEKPS LSSLGLVSAASRIGVFVDDDLFGDEDKYLVDEDEDDGASAMERTEEDEVHEAAPGDLG LAEAITALTNDIDRLVAQEAIINSLTRKAELTNNTSELRILRKSKASLQREIRRKELQ RQQYVVQESDNSLYGRSTIKIKSIQVGREGDGREFAMYVVEVQRNAGEQMPAASWIVT RRYSEFHELNQRLRSSYASVRNLDFPRRRVVMKFQSEFLRKRREALEKYLQELLLLPE VCRSRDLRAFLSQSIITPGQDIVDREDKKDIVTRLYDSVADGMEDILGNIPVLDQISV AGQNLIAAATNQLNPVPLNASEDTFPAAEAEAELNAFENKELEPFIKPICDIFLEVFE LNRGNNWLRGRAVVVVLQQLLGGTIERKVRDNVKALLQDESILRYVAMIQDIMWPNGV LQRARTPRSAAQKKKTRTEASLMLATLVPDLAGNVVGRVNAQAASRRIFATLNNSRLN SHLAFTMLDEVISIMFDDL UV8b_06214 MPGAANKRANRERFSHSKDEDGIARLPRKRFYRQRAHANPFSDH MLEYPESPDAMDWCAHYPMYAIRDESGGSGQSAKMSRSVEVVDIGCGFGGLLVALAPL FPDTLMLGLEIRTSVTQFVQERIWALREQGDGNDFQNAACIRANTMKFLPNFFRKAQL SKIFICFPDPHFKSRKHKARIVSTTLNSEYAYALRPGGIVYTITDVEALHLWMVQHLD AHPSFERVADDEVERDQCVEVMRNETEEGKKVTRNNGQKFVALFRRVEDPPWE UV8b_06215 MSDIIQPSLWNFNGFVLPQSASFIVRSISALSAFIGTIFILPLV FFLIIDIALWLWRHISRPSDVQTWSSSTERTTATSPSADAVKPIKAKNRKEK UV8b_06216 MANANSIYIAVIGAGGVGQCFLSQLRDLAVRRPSPKLNLCYIAT SRKALYNDDYSPLNLGQAIETLRKSAKSSTGLAELVAYLTKAPGKAIVVDNTSSQDVA EAYPMLLDAGISVVTPNKKAFSGSYKLWQDIFMAANASGAKVYHESSVGAGLPVISTL KDLVETGDQVTKIEGVFSGTMSFLFNSFAPVGGGGGKWSDEVKKAKALGYTEPDPRDD LNGLDVARKLTILARLIGLPVESPTSFPVESLIPQELESCATGDEFLEKLPAFDERMD EKKNVGGGKVIRLVGSIDVASKSVKVGLEIFDPSHPIAALKGSDNIISFYTKRYGDKP LIVQGAGAGGDVTAMGVTADLIKVIAQIT UV8b_06217 MPQDPDLYGQRPAKKQKKSTALASSLDFTAQLTSLMSNAKSTGP QPGRKRPSKEHKVDLARSNNPKEPKPQHEDNKLKIKDVSGTEEESQQLARSRRKMEDK ARIYAAMKRGDYVPKDNETAPLVDFDRKWAETVEGNKDYASSSSDEAEEEEEDDDDGD ADQVIEYEDEFGRLRKGSKAEKERMERRARRGWLGAEELERMSARPAAPTNLIYGDAV QAMAFNPEDPEKMEELARKRDRSATPPEQKHYDADSEIRTKGTGFYKFSQDAATREQE MGDLANERQRTEQERKSRLDLKEARRREIEQRRKDMGARRARRQADNFLDKLAEGEDG R UV8b_06218 MLQAGWIGCPTVPDAVNAEKFKIPSQDFNSILSTLHNPNPAHHL VDLDFSTAFSNRSSSPATANILAQDSSVFTTDPQSTWLPNSPSSLPAPSAHPCQSPVE NQQDFVLFDNPQPRQAPNRCSASLSCQRSHSHRNRKDKLVSAAVHNSRVAQILQAIGH SSSSSVPATGFANQFYASSAPSSSVSLKQHQRPRITRPPVPLFDQRAGSTPTSAKMMN AADVDLGDFPAFGGGDSTGFCSPAVAPVLDFHGNMSSSTSTLGTVSPHDLFMQDPFMS APNSAALTALTSPSIYNESPDVDGFDVSPNFGSAEFDGSGDPWYPLFPQEPAASGREA TDAEDSPGTKCDDVDSVGTGSGGGSGSRSKAGNSPASGGRHSSVAGVSSRKRDKPLPP IVIDDPNDSVAMKRARNTLAARKSRERKAQRLEELEERIAALEAERDHWKKMALSHTG CSH UV8b_06219 MGSRGCVLQPEGKPRSWCRDRFFLTTDKTHLDAKVVNAVFDSDL MWWNDPLEMNQMRKMLDNCLTLALFHVPDTAEEMKSNGGVPSSMTGPNCKMIGLARIV TDYVTIAYLTDVFIMEEFQRRGLASWMMCALKELVDEWPNLRGLMLMTHDRAAARMYQ RTLGAVDFDKGPSAGLVVLEMGGRGQKDVPQH UV8b_06220 MGKSSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFDLFANVSR VVDLCAAPGSWSQVLSRVLIKNETLGRKQWQDQEARLRRRMLAMFPDAAAPAQQERER EREHERTPRPPGEDVKIVAVDLQPIAPLAGITTLRADITHPATVPLLLSALDPGRDGA AGGSASPASRPVDLVLSDGAPDVTGLHDLDIYVQSQLLFAALNLALCVLKPGGKFVAK IFRGRNVDVLYAQLRIFFERVVVAKPRSSRASSMEAFIVCLGFRPPAGFRASLEEPLG VGRRLDDMVKARHGQLPLTAQTILQNAETGAWDGEPEPRPGMKTGTGKLAAAAGITEY EVYDETDGGGNSGDSGDSGDSGDRSTRWIAPFISCGDLSAFDSDASYLLPEDHVSLDP VQPPIAPPYKRAVELRAAQSSKAARE UV8b_06221 MTTQLLANELANLIQESKRKHNDLRQAAEKSLEELKHLGNVSEQ AAPELISQIPNFVNPFIIACGTKNAKFTGIAIVCLQRLIVARALPRAKLSQVLEALMQ AASAGLDVQLKILQALPSLLQNYSSDLQGDLLVTTLNVCFTLQSSKNAIVNNTSAATL QQLVVSVFDKVVSEDKNGPVSSVAGEAPSADGPVQLGAAALDAYRIFNDLCLMTENQR PEFLRFSNLPQTFGLELIESVITNHASVFTTHHEQAQILRATVLPLIVSALKDEPSFA TTVRLVRILYTVLRRHIDILPKECGDALDILTPLLDQDSVLWKRALCMEVFRGIFGDY ALVRRIYSLFDAQKGEKDVIKSLAATFVRLSTEKPTVIGLGHQSTVPMSFSSSGSGTS TDTAILEAGMSGIISGAMGSEASQTGISMQWSSIRVPCIDQLDKTDPPPIPESYVYSL VLSCLSSLSDGLAKFILPLTVPSESRNRRKDAKWENGQGASASAGKKQGSLERSASFK KNPAPVNPLQLHDHSMYEDVKICAAIVDDCWPAILATFSTFLYAALDSEYYHNLVRAF QRFAHVSGLLQLATPRDAFLTTLGKSAVPPNLLTACLNSGQARPTTPSTPSDAANTLF NNARGLLSAEALTPASPSTEKQWHASSFDAGAGNLNTRNLLCLRALLNLGIALGPTLG PAWGIIFETLQQADFVLFATGSMPGRTPSISRGVEQASDADALMHNFSHEIKSVETAA TRLIGSTVDFPNEPFIEVVQAICTLLNGSGPEKSEATDSTSPTTAEQQRPSSHGHRRV LSFSATAPTSASQESLFALAKLGEVATVNLERLLMDAPERSGWNILMQGIVDTVASPI LGAPVRIRAAETLSKLALKAATVTPSMAGAEIGAVQLRLLSALRDAVATLNKSARAAS ISSFATDIDVHRILLEGLRSIIEGCGQSLVSGWDITFDIIGSGFITPTVTQEHRKDVG SGLLSTRSPKLTRSAFGSLQLVCSDFLSSLPNSCFLILVETLYKFCSQDDDLNIALTT VTFFWILSDFLSAKANTLEITANLAGAAGGFELEKKAADRTHKESDAALWMLLLLRLA AVTSDERLDLRNSAIQTLLRIFDAYGDRLSPEAWSTCVKSVIFKLFASLEKELQAAAD DEVDERDRADWHGTAVVMIGGIARLLANHIDSLTSFADFNHLWRELLGHFETLLDLEV AEINTAVFQALSHVLSQDKDSREPLFNKTTIGAAWELWARGVPTSKPRDDDNQKCLVA YVAALRAIYKLMQADLTADTVERMLALLRQTTEEASVGSYVQDTEHATALQTQILAAV EMVRTDVDGVPSALIARVSELIALAYDRDLFTSGSKRTFVAMSKASMRLVETLILHHA SDKGIYSSGAFSKAISALRMPIDLKYGYPIITKSVQPWKVATSSVLSILKATLPHIGS VEMPRPTVQDIWMSVVALADGILSAECDDDAAPAGVVMEEDERFDMSSFRELRDLLIP SLGAECVPKQARKAYAQSLFKNSVIHDFSPAESDMSARVGELSLSSLYTPRRGRTVAV LPTRRRAVAYAAFEELFSLVSANQEHEDRQPTSKGGRSRSKDEQSGQDAIALLNRIAS TAAPFLMIRCALPLGAYVADQPLRGRMPQPLSQRKELIWTLTRLVRLESRGEAIPSLD KAGGERKKHLLRLYPLLVKAVGVGVGVGGDEVVSRLLGEALEAIGGELGIV UV8b_06222 MLDQMANEIKLISGSSHPNLSIQVASRLGIEVANTMSLNYSNQE TSVSIGESVRDEDVFILQSTAPGDVNDGLMELLIMIHACRTASARRITAVIPNFPYAR QDKKDKSRAPISAKLIANMLQVSGCNHVITMDLHASQIQGFFNVPVDNLYAEPSVLRW IRENLESENCVIVSPDAGGAKRATAIADRLNVGFALIHKERPRPNVVGRMVLVGDVQD KVAILVDDMADTCGTLVKAAETVNQHGAKEVYAIVTHGILSGKAIENINASCLAGLVV TNTVPLGDKTERCPKLKVIDVSATLAEAIRRTHNGESVSYLFTNAPV UV8b_06223 MANYPSIPPRLMSASPCSSLHDAKLKHRRLRLLVAAAGPRDTSW AQALVVRLSKNPQIEMRALVDDVVPRLTQTIIVMENRSLASGHGERVDDVEFFRQQAF ELVEWADLLVCVPLDADGIAKMLAGVADTFLGEVLRGWNMHKSIVLVPGMSTHMWSHP MTKRHLSKIHRKWNWIRVMTPILWHFEGQPNPKRVPNWNGFNEVLGIIKNQADLLGLG RDVEIATGTVVVPDSDVKIRSKLPPEIWTMVLDHAGDWELAKALGMYTNLPMPSAWSL EPRDPADPLKVYEHDLEWTVLTGNSAAICKKLSQSPPAFRDVPALVVKLIIRFGLIDV LAYMEANRPDLFKAFDGTTLPTNASAYYPRTDVLDFWKQSKWFREDLIYDAEAVDGAS KNGHVRVLDWWWRRSGLPLRYTEAALEQASGRGHLLVLEWWRDAAAQDEEVVLRPGRS LLWATQHGQAAVLEWWGASGIPVAHGDAVAKVASRWGQVQVLETWRRFKGDSKLVFDP EVLLSSTIHQHVDVLEWWRKFAHGELEGMEGRKQPVEFRTCNIEEALEDSIGDQDQNK ARKWWAKYGLDLRMRNEEWLQTRYL UV8b_06224 MARFSLSRPSRRKQDDFTPQIFAQRMSKAHKVLGSAPLSIDVAG GWQRAPSSPISDDDASASIAATHAKPGLRTHDCKSPEAFASHQERWREVSYTTPQALP LDELDSTSPSTVVRTDMTNPLRKSRSSSTIRSWYSKPKPVLSLQTCSSATARGQPPRV PLEVSSAQQDASESRRKPARPELSREDALGQSPSIVSGLDYMLTCPSTTSLASRFSAS SHESRKLQKRRTKGGLSAVEPCQHGLSGRMNSGEECSRDLPSLYDHYEQMAMRQVMRQ SSTPTLRMDREGASLLSNVTEAAYEDDAGEQGRGDDLRCAVAKTPMRAAMMKPDEGTS SPGDHARSVSSPYTTTSKASKSTHRSLRSSDLLQTSVLMLSSDSEDDDAEAAALGPQR PAPMPAATTKTKTTKTTSPSHVRSPGPAHGSIRFKQVGFSKASDQTASRPSKLTKCTI FATPPSTHMANPNPRGDQVNPGAGAGQRSGGSRANQRQAANSCRSSVTSDYSTSSGTP WLEDLEYDIREARAVTMLPTSRPADVNIQGRDNEPSTAIDNASSRLARHRASSADQLT PPLSPNSVNFHIRSARSSVDGPGSDCRLMAVTHEEEMLLSALRHRQQAMRRPSMPQIS EKEDKVEKFVEDGVGRENGTRLSAASRTSAGSRDSCSDYGDGKGHRSKGSQTTMTGST FDDDFPITPSPHHPRDEQYKQVETGPCKAAVAPIQTKGIAPGRDVASLSPAGPPPTLS LPALPKLKKPRKRPSRDAVGTQQDVPLYLDDEEPSPDMDDIRHWESATSPVLGPASRG SSPATTWQAHGAARRISKGSESAAHPDSPASLHPHSSAWSHLPKRTRIRGEEQSPRLE EDDTPRPDSPVSPVAIEAAQTGRTGGISMARLSAVGPGTPSRNGRLGWWGDDD UV8b_06225 MTAKIPRNFRLLEELEKGEKGLGAEACSYGLEDGEDLLMSNWNG TILGPPHSVHENRIYSLKMHCGDSYPDEPPTIQFVSQVNLPCVDHRNGTVDPKQLPCL AQWKRDNTMETVLIELRRYMAAPMNKKIPQPPEGSTYS UV8b_06226 MVASSLTRAAARAAARAATRAALRAALPSPPPARLFTASARTLL PAGSEGPLPTYFSKPKRRPANTVVRFVPQQTAWIVERMGKFSRILEPGLALLIPFLDR VAYVQSLKEVAIEIPSQSAITADNVTLDLDGVLFTQVFDAYKASYGVEDADYAISQLA QTTMRSEIGQLTLDHVLKERAALNTNITAAINDAAQAWGVTCLRYEIRDIHAPAAVVD AMHRQVTAERCKRADILDSEGQRQSAINVAEGRKQSVILASEAMRVQRVNEADGEAEA TLLKARATAESIDTVSRAVLEGREGAAGAMGLRVAEKYIEAFDRLAKEGTAVVVPGNV GDIGGMVATALGVYGKVGEAQGKATGRAVVDPAAAARESARSKANGSARQEAAAATGA ATGVSKEA UV8b_06227 MSINESDLRTIQLRYKLSPATMKVLGSLPTGPSRQQVLHKSCLA SEFRSFPLKLAEKDFFREINDHTAIPYTVKEAITQPWHKIYLLVQADLLRTPWPNKIS VNARKELYQERKRIYGLLDRVLRCLADAVALRGDGKGVNVCLDVLRSVNSGVWEGEGK ELLLVEGIGQAKAERLRKAGITTIKQLGQLDFCHIERLLSRNPPFGHRMLEQLAGFPR LACQFQVFESPTLLPGPGARTSAEACGGKKLWVCRVVLSYDNEQLPHWKKGNPWVTLV IQGGDGRLLWFWRGHVKKLSGAKDLVVGMECRSKERIDMRVACEDIVGTAVDTSHQMS UV8b_06228 MPCNCFIVPPHLLKGIAQSPQNSEGVRQSARKCLEFHEQVIDSR RERLAASTHSTGTARWGSRFRPSPFVPQVLLQQLSTAEGVDERIREQAKKDLAHAQQL MTAKADEHRPQDQSSLNVDQGKKSNAHRSVYDAQHSQDQSDLPGKLERSEGQPKANDK AVNEAYDHVGAVLDFYRDKFKWSSIDNENCDVISSVHFGEEYENAFWDPEHLQMVFGD GGEFLNNFTNCIDVIGHELTHAITEHTSPLEYRDQAGALNEHVSDVFGIMIKQKTENK TAQEADWLVGEGCLLPDVEGVALRSMKAPGTAYDDARFGKDPQVENMSGYEKSYEDNG GVHIYSGIPNKAFYLAAVAFGGYSWEKAGQIWWKTMKSGKIAARCSFRQFADVTVDCA QEEFGADAAKIVRKAWTDVGVTQRV UV8b_06229 MFSKALSAAAVALTASSLVSAQTFTQCNPLKKTCPADPAFGTTV NCDFTQGLCDAFKQADGTNLDKGDKGVVFSIKSESNAPTISTGKYIFFGKVEVVLQAA PGNGIVTSSVLQSDDLDEIDWEVIGSDTTQVQSNYFSKGDTSTYNRGGFHPVSNPASS FHTYTIEWTSKAVNWMIDGKVVRTLSADAVKDKYPQSPMQVKLGTWCAGGKNSPQGTR EWAGGYTDFSQAPFNAYYKSISIVDYAGKDSPANGGVKEYIYGDNSGSWQSIKVVGGS GSSASSSAAASSSAPAASSSSAAASSSAAAASSSAASASSSAAASSSSAAASSSSSSA AAASSASSASGKGTTASASAGGVSTTLTPVVTSSAPADASTTASATGKPTASVVPGAA SRGSVAAAGVLMAAGALALAQLL UV8b_06230 MSKGWMELARRSLVRLCLPGGGGGGWRLAVGDDSAECANVARKR EREETEKSRSRGGNAWMQAPAPAHRAAEHARGGNTGNLNRRKKSSSFERGSKAGSKAG RQAQIRTVQRALDVAVWPRCGPGWLGWWMADVDDDDDDDDDPGWRQRLAGWPWLGMAV RQAQPPVSRGAPSPAEPKPCRAHLPCWALANSVQRSLRQHPQPTARQGKARQGKARQG KFITTRPTQHSRAHDGDACSRLSAGWGFSVPAWCR UV8b_06231 MSVDWDRVRDVVSAHVDQQSPELRRISQALHANPELAYQESFAH DAISDFLQKQGFAVGRRAHGLDTSFEATAGSGRGRLVIVCAEYDALPSIGHACGHNLI AASSLGAFLGAAHAMRTLGIGGRVRILGTPAEEGGGGKVKLLQAGAFSPPEGVAACIM AHPIPAHALGGLDGLAALDILASHKFRVEFRGRAAHAAAEPWIGANALDAAVGAYTNV SMLRQHIRPEERVHGVVEVGGTVPNVIPDYTRMNWYVRAPTTAEGGKLEARVRACIDA AAAAAGCTNNYIPSETYKHVINNPGLCEAYVGDMAKLGGNVVCKGPRLVVGSTDMGNV SHEVPGFHGAFGIPADPGAALHSIDFASAAGKPEAHECAMKSAKGMAMLALRVIADHE LAARVRLDFEEGNAL UV8b_06232 MPSHNDVEAFHEVLRSSRRILALCGAGLSASSGLPTFRGAGGYW RSHDAAKLATMRAFMTDPGLVWLFYGYRRHLALQAKPNAGHRALAALANKSSNVLCLT QNVDNLSQRAGHPPEQLCTLHGSLFDIKCTTDGCGWIQRGNFDDPFCPALAPASEDPA SGKPLPLLNPYHRIKHVTEEELPRCPKCKTGLQRPGVVWFGEELDKAMLERINDWIAQ ERVDLMLVVGTSAQVYPAAGFISRAKLHGARIVNINPEAEDEAELRKLHPGDFAFGQD AAKCLPRLLEPVIGKLSDYGDPAS UV8b_06233 MRRPTSALLQTLLLLLASASPSPHNPDLLSYVDPLIGSVNGGNV FPGATLPYGLAKAVADVDGQNTGGFSMDGSNVTGFSSIHDSGTGGNPSLGNFPLFPQV CRGDELDKCMFRIGDRKVPYRMDSVVAKPGHFSIRLASGIHVNATVSRRAALYRFSFP SSDGSHPLILLDLTDLWKSRQNASIAVDEKTGRMVGNGTFLPSFGAGSYQLHFCVDYS GGRVHDSGVWVNNRAGTEPKELFVTRGFNLFYLEAGGFARFVPGPDNTITARVGLSFK SSRQACSNAQEEIPEPLGSFNDLAVAAQHEWRKKLDPISVQPGGADQGLLTSFYSAQT QMVQSLLDMYKHEGWLPDCHMSMCKGWTQGGSNADVVLVDAYVKNLSSTIDWELALEA IVTDAEREPLEWSYHGRGGLQSWRKYHYIPYLDFDPAGFGTNSRSISRTLEYAYDDFC LATLAGGLGKAPEQAKYLRRSMNWRNLWKEDQVSVINGKDSGFKGFLQPRYMNGTWGF QDPIACSALAGFCSLTSNPSETFEASVWQYIFFVPHATASLISLVGGDEAFVSRLDFF HKSGLADISNEPVFLTVYLYHYAGRPGLSTKRAHTYIPSSFNSTHGGLPGNDDSGSMG AFLFFSALGLFPVAGQDVYLISPPFFEQVSIRHPVTGRTATVRNVGFDAAYESVYVQK ATVNGKPWTKSWIGHDFFTEGWTLELTLGKTESDWGTKPGDRPPSWTG UV8b_06234 MQGFNMGRYVPPDVEGTTSANKLHRKHALGQRASKLASHGTLTV RFEMPFPVWCASCPQPTVIGQGVRFNAEKKKVGSYYTTPVWSFRFRHAQCGGAIEMCT DPKNTAYVVVQGATRRDVGEAARDGDEALVVLTDREREALRRNAFASLERTIEDRERA TASGRRIDELVDAQARLWEDAYAMNQKLRRAFRVGREEREREAARTEALKDRMGLAVE LLPATEEDARRAALVDFGLGEEGDDDDDDDNNNNGGGGGGGSAVNKALARPLFGTAPA AADAPPRRKADFASAVVSNTRAAQDPFLQRRPGAKAAKIPGVKRKRSDPDRAAAAGAH PRKAPACSRGHSRPTGLVEYDSD UV8b_06235 MSSPRRRIETDVMKLMSDYEVTLVNDNKFFVRFKGPAETPFEGG LWKVHVELPDTYPYKSPSIGFVNRIFHPNIDELSGSVCLDVINQTWSPMFDMINIFEV FLPQLLRYPNPTDPLNGEAAALLIREPKSYDAKVKEYVLKYATKDAADEAGAESEDDD DMSSVASFGDDDDDDDDDDEPAGQMDDV UV8b_06236 MVPLTYGLSTASPCPTNNPCLPSTTLYPTFQARCSISRPSAILR SIAVFRETTSSPRLFKIDNSSLLLAACRRWPSPPVRGVFF UV8b_06237 MSCIAPFARSCARSAWLSRTSPSARLSLHHRASLASSLPAGSRP PSTWAAGLPVCLARRSSHSPMGAAPANRRKKVTLGTLASLYSKGEPITVITAHDFPSA HVADHAGVDVVLVGDSLAMVALGMEDTSEVLVEEMLLHCRSVARATKSAFTVGDLPMG SYEIAPEQGLATAIRFVKEGRVQGVKLEGGRDMAPTIRKITAAGIPVLGHVGLTPQRQ NALGGFRVQGKTCRDAVAVLQDALAVQEAGCFAVVIEAVPAGVAALLTDKLSVPTIGI GAGAGCSGQVLVQTDMTGNFPPGRYLPKFVKKYGDVWGEAIKAIEAYREDVKNRAYPA PEHTYPMPQDEFDAFEKAVKEI UV8b_06238 MENNQTSSSPNLAERGQGHGHGRGPSPSRPSAPSPGLASPAPED DDVSDRASPKLPLTMSASVMLADLPRDAAAALQSVGGFAPDKVVVRLKPVGSAPRLAQ DVCKISAARRFEEVVRYLRRKLRCRETDSVFLYVNSAFAPSLDEVVGNLHQCFKNAQD QLVVAYSITPAFG UV8b_06239 MATISLSATPAPIAAMASRRVPLASNPNVANSPLRGHASLHAYA KQKRSFANIQREEAYGQPPPVKKQALENGAQRAVRSPSKAARHPPVLVQRSAARPALK DRSSKSSTQPASRPVQHVDTEREAWKKHHRTKFPKMVFYFESIPDDVRAKLTKRVTYL GARQEPFFSIDVTHVVTTRAIPPEKPEIGHEENPEPEHQGAGEQPQTINPSLLDRNKV AARRKLLFDFRQTLTPSHQNDDPARRTRGARNNDVLHKAREMGKKIWSLDKFQNMLSV LLESEQPGNLSIKASCSRSHYGITKGPHEPNLLQLLHNERINGPSDRDPTAINRELVY FKGPYIYVWDMDEKYKPIMVREYAKVVHKMDGEWPQFRSVGNGRCPFVEEVELPDKEQ GHTREREKARVVKRDDAVPTLKPPEVPMPKPVTGKRTLTEMEDGHNRVGPAAAADVFN PAKAALSKQMELRPQNAFTSRAESARLLAGEPVASGMQPSNVTSAIRSQMISSTSGIN GGKAGTSKEVHGLQRKVLQKTNAGSHDVSSRRLAEVSIDVASSRSTNMGRPTSRPVQP LEDESQRTDGRDKRSSAPPLKSKRDLKPGYCENCQDKFRDFDEHIMSRKHRKFADNDE NWAELDHLLDQLKRMPKYGPGDSDDDDGW UV8b_06240 MRFPRLPTRSWSVPRTTRPSRAPRPTREPSINSPSAVYSCPRYR CYSGGAVVSAADLKFGQPVYETHPHILKAGELTPGITAHEYADRRAALAKSMSEGSVA VLHAAPVQYKSGAVFHPYRQESNFLWLTGWNEDDAVAVIEKTGPNWGDYTLHMFVKRK DPKDEQWNGYRNGVEAAADVFNADEAYSVDCVDRVLRDLLQSARLVYTDSQPAQDPRK NLPWSLLSGKEPGQPARLPLHPLLNKLRVVKSAAEVANMRKAGQMSGRAVTEAMRRGW MREKHLHAFLDHQFVLNGCDGPAYIPVVAGGDRANCIHYTVNNNTLEDGELVLVDAGG EYGAYITDISRTWPVSGKFSAAQRDLYEAVLRVQRSSVALCRESAAMSLEDIHGATAR GLADQLQALGFDVDSRSIGRLFPHHVGHYIGLDVHDCPGYSRRETLRRGHCVTIEPGV YVPRDDQWPKHFHGMGIRIEDSICVDQDSPFILTTEAVKEVDDIEALRQ UV8b_06241 MYEPAMGAHPDTIMNQQDTSSARQTVQDAPAWSGASASLNHFDF ASAPSSVSMPSFDPAINALADLSFTQPPATSMPEEQPGVFQPRDLHDKKRIKVEPDIP ALDSIDYWLSFDDDLDNMGSFEIDYSKRLESLPQNRSGLMTEIMPGLGTGLYTTAPAP FREEDFFDDSAFEQALSEDEEMFETTDEVDDGSTQPGETLASRQYIENDPAGFKTPPT LNKSQPGLLPTSSTATDDPRHVGKNACAQSSDAHEQRRMLEEALNSGRIPGALLPPNG FGIGFGAGMGCRPSQERGKRPASQHVQPAKSQPRPIRAKDGNESDEADSKKQTRPAAT ARASSSKRAGPSGQPKPRPADRIAHNDVERKYRTNLKDKISELRAAVPALQASSRDGE PEGGSAGGQRSGVKISKGTVLTTATEYIHHLEARNRAIAQEHQQLARRLQALETLLKG VGRPGDKMMPNYSMTLFDPRGFC UV8b_06242 MKGFATASLGAVLFFSHPLEALRWPNARPLPVNGLADGESPLST ASLHLRPSNSNVYATALVELQELELEPLCHRVAARLLVTNCQLLDGRNDATVMTDTGR AARDFVDSFAASLAICDLERASFPIPASCSKFREGVLATLPAPLKPQLHVMTSEIDSC LEGLARSDSAWSTWVSYRHKALRFCEASRADNEKDQHIRLHKKLAQILERLTSQAETE SRARAEHFDSLLRQSAEKAQSLTQQTDRLAERVSQVDQLVSNMLQSKSKETELALHDG MQGVRSLQRLMQRAFHEMAVREKTIAAIYASSLDEVKAQAVGDAKAVMQVFQGLAASA TVLQTQLAKHEDQMHSVSRRQEQVLQTMGKLSNLADRITEKQRSHELLMESTQNRTFE ILGSLETATSSVSALQMPLVQLGWTSWVPYIVCPTASLVLGSYGLPPSMTRNLLLLSI GEAAGYYVASMKTHKSETWTTCMPAGRFAEGRQSAKRNED UV8b_06243 MAGGIAYNGVGSSSGGTIFKDMVFWVALKVPRRNDIINLIKSNG GAVARLEKDAHMLIADHARRDAPPDSYSWTYIADSVRNGTAQLTDRYRILGRPATSGS RGSGRTSAPLKSTRTPFSAADDAALANWVLSHGSNRSGIQIYQDFAETHPRHTWQSWR TRYVKQLSGLSNADLRKLALSAADIARQTSSSSCPSTCNRDKPEKAQARDPGEAGATR ASSTDQQTRSPERAAACPPPTSSAVAGTRPPSPSLPRNPQKQVEAVPRLAAQSDGGQG EAESDMRGEFYDDLCAFIEATGANVQLEPRIEDQAVDLYRLGRAVNSQKAFAQEVEWE KVAQDVGFDWPNNQHVLTELQACFEHNLADFFEASSAFFAASDAESARDGDADTESSQ DVVPISSPAARDQKRLLEEPEILPSMRSPQNQQNPSKRRRLSHAAVIPSTPEDRLALP RSESPCGQTAALQMNRPRSADPSQVAPSVEVPAAIEENRGDGSEDAVSETSPKPPPRL KIPARLSDGSPPPPKLLSEAINTSPIPLNLNRPRRAEPGQQRGFSGGTPKPSQPSRPS LRGSDRGAKRSLPASFRPRSKSPSPNQAAAKHTHDAVALLRQYLAARPNAHPRTRSSS TFELSRDRDG UV8b_06244 MISLRWALAAILAVSFAVFVTFFGRLPALRRTPIGLLHRLLWIH IPSVLLAVDDRVASKRISKSFSRFGHFLAHDRHPTVVIFFLVLMAGGEYLYIPPVWHR LSLLTKSTVALAVCLPYLFLYLSCAADPGYITRENHPYHMSLYPYDHALFHPGAECTT CGFLKPPRSKHCSVCKRCVAKADHHCIFINSCVGYANQRWFIMLLASTAVLCTYGGVL GACLLSAPIREHFVDWSPWHPARHGWSMYLVIWGWGMQANIGLGATALLAGLTAPLVW GLLAYTLYLAYCGTTTNESLKWAVIRDDIGDGYVFRRSMSLARHRDLIQEPLCARWPA TPAMALAATNDGNPPAASLRLAGDGDWERVWSLKHVENLYDLGLCDNLADIFIKDYAF GAAMHQENAAAAAERSTRSIHPTPFQDTSLRNLGTDAAKTEKL UV8b_06245 MVLHNPNNWHWVNKDVSQWALQWFEQNLTSIEAKDGDVEAKISK VQSMDGDVDVSQRKGKVITIFDVKLVLEYSGSAPDADEVTGTITIPEVAHDTDEDDYV FEVDIFSDAKEKQPVKDLVRSKLVPQLRKQFPKLAPALIEEHGKDIQHAAGSNPSSGF STPKLHPQSSTPNPSHSTKASISTSGIINTKTVTDNEEFRTTADELYQTFVDPQRLAA FTRSPPKVFEGAKTGGKFELFGGNVSGEYLELEPGKKVVQSWRLSQWPAGHFSKLQIE FDQNDVDHVTVMRVTWDGVPVGQEEVTKRNWLEYYVKSIKQTFG UV8b_06246 MDILHARADWESVGDKWFRKTQQYTEVFDQDLDLDSYLVVGAPY AGALALWQDDSKLQAHQPGRSSKPSIDIYSLAGKKLRAIPWDKGHIKSLGWSEDESLL VVTADGNVRCYNLQGDFSNFTLGHGADNYGVESCRFYDSGMVALLGNNTLVTVSSYSE PRPRLLATIPEGEIHSWAIISPNNTLSRSVEVLISIGTTVYVVDATDCEDKFLDSGPF SHISVSPDGRYINLYANVGIAHIISSDFQEKLFEHNSDSQTPPKYVEWCASDALIAWE DEVHIIGPGDVSSSYIYDSTRVHVISEYDGARLITNDFCEFLERVPTDTLDVFGHASQ SSPASILLDAIGQLELESPKADDYIQLIRANLTEAVDTCVNAAGREFETKWQKRLLKA ASLGKSVLDIYNSDDFVDMCDTLRVLNAVRDFKIGMPLSFEQYHRLTPERLIQRLLQR HEYLLALKIARYLKLPSDGIYVHWACAKVRIGADDDDTICRLVVERLSGKPGISFEEI ARAAYHEGRSRLATELLNHEPRGGRQVPLLLDMEEDELALDKAIESGDSDLILSVLLK LKKKLPLASFFRVINSRPTATAIIESAAIAEGDNALLKDLYYQDDRRVDGANVFIRES LQQPNARTSADKLALAAKLLSDSKENITELYALKETTTLLRIQESLDRDLTDSFTGLS VHETMFKLIRLGYHGRAKKIQGEFKVPEKVAWWIRLRALVAKRDWNEIEEISKSNKSA IGWEPFYNLTLQAGNPRLAAVFVSKCTGLERGERISMYEKCGMRVRAAEEAVRLKNME AWERLLEAAGRGSQEGREIERLGNAVFRR UV8b_06247 MPDWRDEYLTSLNDVELHNPVNMELMQTCSQMADRLSALEAEKA FLETRLSKSNSGDISMGSKPGASPAADDPGVTQLRLDLAESLRSKGVAENRLRVAEEE LAKLQSKSKDDYRSIKEMTTERNTLRTRLKDREHELREKRKLLEQVQDEMITLNLQVS MAEKERDRVKKENKELVDRWMKRMAQEADAMNLANEPLFDK UV8b_06248 MPLLGGKGNKFKVGPPRIRIEKVVVERAPTPSKPKLKSRPGPAS TAAASSRSSPAIRPSPKPSVVRHKSYSPCPSSVDDNVSERKRKSGGSSSAKPSPGTER IAFDKDSDAEDDGWMDLDARKRQCRSASEDKHLDSKRKFRHARAFDGRNDRLNFIHAV EVASLEAKCVPVMGAQKEDVAIELQYPSLQPREKFELVWGKDKIDAVEASIRIVRHVA ETYLTDDEAEPFTNHNTGLIRRLEKASNRNIQDLAGFKAALHEYNETLLGLVEDGIIA KNLDRLHELPRHLVAFILDQVYDRTVAPRVELLSKYENGTDFVYGELLHPFISKLLVE QTKMTSDQVFVDLGSGVGNVCLQAALEIGCESWGCEMMENACNLAEAQIKEFEARCML WGIRPGRVHLERGDFRKNLVIHEALKRADVVLVNNKAFTSQLNDDLVRMFLDLKAGCR IISLRSFVADLKSTHNINDVGSTILDVQECTYPEGFVSWTNAGGPYFISARK UV8b_06249 MPFAKARASAPAKPASREPSCRRPSLATDPPRLGGIFPSVRAND SQGTLVGSLDADDFSQYGVDQQLRGSLPDPTTPARQYSPRCGTALRDAGSIELRVDYF VSEDDDCALRHGNYTGVWAGDSGASIPLTRSHYFADHVHQSVYYYLPDKS UV8b_06250 MLLTRASCRAALPVTSSADMTRQPDMASLFIRTRLPVRAVSGAH GSIASAHLLASIPVSRAARDRGGSLPMELGIKI UV8b_06251 MPVVAPQKLASLQRQAANIRNICIMAHVDHGKTSLTDTLLATNG IISPKLAGKIRYLDSRPDEQLRGITMESSAISLYFSMLRRSAPGAAAEPEEYLINLID SPGHIDFSSEVSTASRLCDGAVVLVDAVEGVCSQTVTVLRQSWTEKLKPLLVINKMDR LITELKMTPTEAYVHLSKLLEQVNAVLGSFFQGERMEEDLNWREKMEERVNAASKARQ LSQDEEISDAGEVQFEERDDEDIYFAPEKNNVIFSSAIDGWAFTCRQFACLYEKKLGF KRGVMEKVLWGNYYLDPKSKKVLGPKHLRGRNLKPMFVQLVLEPVWTVYQAACGGDVG KGDPVMMEKVTKSLNLAIPPHIVRARDPRLLLTTVFASWLPLSTALLVSVVESLPSPA AAQAERIPDLIEQSPGSDAVDEAIKQAMTSFKCEKPHPVVAYVSKMVSIPESELPENR RQPGKMSGEEARELARKKRAEAAKAAQEAANERPITDLAQTLRSSCIDDAGAPEQEEQ KIDPEHLIGFARIYSGTLTVGDRLYVIPPKWSPAAPDAEPEPQQVTVTALYMLMGRNL ESLDSVPAGVVFGIGGLEGKILKSGTLCSQLEGAVNLAGVRMAGKPIVRVALEPVNPA DLDKMIRGLGLLVQSDPCAEYEQFESGEHVLLTAGELHLERCLTDLKERFARCDVQAG APIVPYRETIVRADEMRPPVNKELGRGGVIESTTSKQVTIRLRVRPLPSEVTDFLLRN ADAIKRLCSESKPAESGEELAQEGGDEGRAADVNAAVRTAPSSLSVEELKTQLQKQLE SGKGRDVWKNRADRIAAFGPRRTGPNLLIDATKYGILRKVFGSHEDKEVALPRAGEAL HPSHLSDKITHAFQLATAQGPLCSEPLQGVAVLVEDVSLDLPEDELSARDKLSRLTSE IIKTLQACVRSAFLDWSPRLMLAMYMVEIQASTEVLGRVYDVLTRRRGRVMAETMKEG TPFFTIQAVLPVAESFGFADEMRKRTSGAAQPQLVFAGFEILDQDPFWVPFTEDDLED LGELADRENVAKRYMDSVRRKKGLLVEGRNVATDAEKQRTLKR UV8b_06252 MSTYQNGVAPARAIDDDSDVEEEALVAEYKEQVQYEDGDELSQT TSLNMAQQTDDIQARLIQAAQPLDFSAPLEVKFQSYDSYCSLFHFILNSEGPVDLEPP SYYWAWDVIDEFIYQFNSFSSYRSRIARQASNEEEIQILRENPNTWGSYSVLNVLYSL IQRSQITEQLAAMKRNEDPAAVAGEYGSKNLYKMLGYFSIIGLLRVHCLLGDFSLALK TLDDIELNKKAMFARVMAAHFTTYYYVGFSYMMMHRYADAIRMFSHILIYVSRTKNFQ KNAQYDSITKKNEQMYALIAICVAFHPTRLDDTIHSALREKYGDQLLKLQRGGPESLP IFEELFRAACPKFITPVPPDFDNPESNIDPVEHHLAVFMDEVKTNMWSPTIKSYLRLY TTMDLNKLAGFLEVKPEELRSWLLVTKQRTKQLRYQDQGLLDGELVNVSDLDYALQGD LIHISEAKVGRKLVDWYLRNLARTYN UV8b_06253 MLTFAGRPKSTVFGRHRTGTSTSDLEQVESQGARSRLKKLSLMM HSSRPAYSPALDVSPTSSPQSLPPVKEGIKQDKLDTGCLPPSAKAEAVADIKAGVAVS APAITFYQQGCPPSADASPTEPRKSSISFPEELPKADHSSATPTPVDAVSESSFGRKS SVSSISFRRSRNPSVAPSRPKQTTSGSRIRAASPPPQRFQYHVGFDNVPSGEATKNNT LSFTLNVRHKGYNAGRRSRCFMVGVDEHSYSDYAIQWLLDELVDDGDEIVCVRVIEKD TRFNDKQYQEDAQNVMRNILSKNGANRAISFILEYTVGKLHATFQKLIQMYQPAMLIV GTRGRSLGGIQGLVNSRNSFSKYCLQYSPIPVVVVRPTEKRIKKKVKRANDATRQTYL TMLARNNGLHEADSETSSSYELETQNSPDEEAHQVAKVLGLPAAFDPTIKPLRDSLRP QSPTPSPSLAVIDTPEQNEHHRSPGSPGGDTEEDEEEEEEEEFDVMDGRLALNQQQKL EQLHKMEVGEAAALKKGVDDDEEESDEAHESKEAP UV8b_06254 MSGGDDGTDKSASEFSQLSQPSQASQPAAPLTVAETEAGKKDGA RDGDLKGIIAPMDLTHIDLEPFKNLRINMVRVPQPDWGEAGTSRSVASRTSDPLPLPM EETFGTLERPYSAPTCLRVDVPYCFLDGDEDPIEARLRLNRLFLALLGREFRHEEREA DVAEKQRELLEHGVISRDFAAQAAMEEKVFAGSSSSIIIIAIITMWPSPTCDGSDDAC TARTARTARSPDQLDSQAMIALLPFAAAFVVVNAVVVRHVFPRLSRRAHREHRDGQDR HLHHLHNHHHHHHIPRQARSEHGVVGSWKRRGAAWAFGATVSLAVTLGLLILGEILEV VDPAAKNLALRVTVPSLLFLVVGLVPWLQCRAVLTSAGWSFHRTSGGSVPKAAWFLQF ALFGAWLFVFWTIGNTVPGEAGGVAAAAAAAAAADRDLHERGPTSRHRHRHHRLAETL TRDCLERVGVVGICLMALLAGFASVSTPWQVLVEGPARRRRPVTEADVARKQTGLEAA GDMLAAKRHQLQQLERKVSSDHQAASASASAAAAAAKTSGGLVGKMMGTFRGVGGGGG GAAGDEAEMRALRVDIAGLETVEASLASDLALLQSHRAAAARASTARGRATLLPSLAF AAYCVYRVAATALASVRRVRSPSSSSSSSSSSSSSSSPSSPSSSAASFANADPVNRFL GLVARHWDPALDQLAWARTISFALSGVILLASANSVVQTLHLFARWTPGLLRHAQAGL ALALAVGQITAAYVIAASLLLRSQLPARAGGAVAGVLQGALSPEFVDRWFETWFLLGS LLTGVGIWLGRKLSRGCDDGWDDYGAEEMGAKRL UV8b_06255 MAPKKKEQQKMSLGDFLTDGGFGGGSWADEVEETYVTGTQPLPA SDRPRFTSGNSGMSSWQDRGYSVRENIPQKFPEKPPFTAHLGNLSYDATTETVTEFFD GCEIVSVRIIEDREMQRPKGFGYVEFTNAEALKKALTLDGESFQGRMIKIKIADPPRG GDSRGDSSRDLSDWTRKGPLPDLPGRSGGGRPGSDFGSERERRSPRDPAAESRAPREM TWERRGPLAPLPPQEGGPRDGSRPRPGPDSLGERGGSHRGSRQEPASWGEGRQETARP PRREQPERAPTAAEKDFQWRSSMRPDAAAVKPAAQDNTSESPAAPAAASPPPTAPAAP ASRPRLNLQKRTVSDAPDAVVTASGDAKASPFGAARPINTAAREQEIEQRRQLAIREK KEAEDKAKEERRLAKQAAADKEEAGGAGAAADKEEGAKEEESQPGTETKNGDAGEKQD EEAASSSEQKEGPRTREHKEGNDSKSKAAEVSNWRSAPNDQRGPRGPAGHGRGGRGGA QRGGARNDGRGPRANGNTAQHSPGQGGSEGEGAAVDEEGWTTVPNKKGRPGRPLAS UV8b_06256 MMASGGADASNGSPVRRQRPGFLPPLFVELVAPPLKVGAYAGTF GVLAGVGGAIARDASPVASGFSSGVQWFMLGSSYWFSRSVGIAAYGGENNMRPVDKVV VSGVAGSAAGAIAGLVRGPTKLLPAIVLCGLLGAGGQAVADRSSSAQAGNSKDNASGW LSRLSPLKKLTDDEYIDMMNDKILRVEVDIALIDDRIAELRAAEEGKEQGSRVNASR UV8b_06257 MALPSPTFLFGVLVLLYLSSFVLFAVIRILTGVSIQRIGYLSLR RLAYTPRDGCRVEIRGLGINIHRPTFAQPTWVSLVLGELVVTLDMGELGAQKPSAAKE DGDDKGPGARASPQESVSPRGMPSPRQTPRTQYRSETWEKLSKLKERIKKLHTSIRWL RMIDVVATNSTVNVVGIGSIQVGNFTVAVDTRQKLVDHARLFKSHSGKKQQRQAEWII SLRSVLFTAKGHDSLEVLDHCLVNVHGFLSESRRGLQDATISLKLGRVHVPYDDMHLC AMRYKQRQRETLVSVTDDEPVDIVLARVPEEAEENGADAETLTETVTDSGEFVASILR GIKEVQFAVSYLGMTKKVTSVNPDGSPMLLNASMKEIGVDLHRLDSRSAAHRMYFSSK DIAHEALAAALSISVGIDDGRGSPDRLLYIPMATTTVRTTLPSKTIQLAQGGAIGEKN ANIMFANSVITSLSIDLDPTHLPLLIAMMQPKPEPPMASREGNRSVLSRLLPKANIKF SMHEPVVRMKLKPLQDAEDPDDFDLIISSISSISLDVESSHSSVEDTHYFLDGAMRLQ SHHLYYQTCAGERFNLITTESCDLKAHLAARPDVNVELTGNIQSFGVSMVRPEITDGL RQIVRQLRIDVEPEKRGRSKTARSTNFLRSMPEWLVRYQLEATDFNMEVAGIDEDISG DTRGVCVHLDSFAAEYQAHRLDGLQRRQGRRRTHSRSITQSDSDLTPPPASPRFKRKP QISGDGRRLALHAKGIEAHIVDAADHVEVEPFVSIPRLELAVSASSDSHGPMFHIQST IKTIMLQYSLYRHYAVGVAVLTLRKAFVRTNRDVVPRPRQQGSYDKDHLRLPDSPGLP DTDGSSTMPSELITVDVKASLVQIKAEMPHEPLMMLRVYGLETGRLRWSNPYFASKVI RLYVETPRMRNIWSRMVGIKNGRVDLREAKHKSPQGGYVTEKMIDVATEAMRIAVPHE VIVARITDNFINVIKSVQQLHHRFKTGTNEYILEKKPEGPKHVPKISVRSRNFLFELE DGAFEWKLGIIYRSGREEQAQRLAREEAFRIKAKKVRDEESRRGGGTVRARSSLPRGR GENQPSRWFRSRSADAYKADDSGHKIQGGTMPRYDPEREHVGIHGHARVTETEARAAL DKFNAQSWKKRVDRAYKTSRTTMWESRHMFWGSNHLPDDVEETENILEVPQRPALMSA MISDLQVMIDKPTFAMKDLPDFIHKVGKGMPRDTQYGLLVPVHVAIQMGEARMTLRDY PLPLLHIPRLKTGQSSRIQAVSLRANFVLAEEFRGHESTRKVRVSVVPPRNSDPTSSN EGGFAIEVRRTIGPVKSFSEVWMDINTALPTRITWGPCYQPAVQDMMMVVESFTKPQV DPSDRVGFWDKLRLNTHSRVHIAWKGDGDMLLALKGTRDPYQVTGNGAGFLMCWRNDV RWDINAEDDPKRFMTVDSGEYVLAIPDYSHEVRMSARRRELNDGHSAEGSLKNGAHFK KVVMKLSGKVQWMAGLSFERAIENGERSFSFKPHYDVVLKNPIYAKPTEDGLPYDAMR GFRSRHIHLSIAVRAPVDRDWMSESPEPSRSYNTVHLTPRFFTHFFQWWGLFGQPTSL PIRQGNLWPGREKNSKKFGRHLATVKYSLLLAPLFLSHIYKHKDAEDYAENAVSATGL KVRFDSFMLDLHQRREEFNTLAKGKTTQSRTSGIKIHACQLDLANADVRAVSASLKGT TTEAIKKGSITSLITEQDDKPDLSRFTIPDDDFSWIDMDDFVELDWILPTEPHPDTKI LPLAFAPRLTYFRQTDIGGVIAGDPTRTSPFGNEPTHFCTMGDDDPKRVQSRLIQERL DQLASQMETHSRSVGEAELKVIQTNSKDPEAVENLDALKKHTNVLKDKELFLKTMLDQ MQSKSSRTTSSQGRRPSEPSLSSSDEHVEMPPTSEFESEFKNRFVIHNLQLKWNNLLR NIVLRYIHQNSQRRGFVYYLSRPAVKFIMDIVEEQAKAKSSKKAAKGNNASSSTAATG SASSPNPSVRGKDSATDLEDRIKSILGDGNRFTNTSFDANGDVTCGSIEDLAAGISKE FSPQSSYHVRLIAPQIQLQSEKNKKHVVMVTAKGMELKVLEIMDKERISDTVSGLVQR RFLVNMDSTQFFVTHQKWFLTSLVSMYAGSKYGAPNGSSWPPWVPMEVMFNFQTDPFG FKRVVQKTSAMLRYDKYNNLRLKYNDEVGGDGDDEGDGDGDGDGDGDGDGDGDDNADS AENAECRSDNLWVEFPQARALCNSSQYYAMYVIVLDLLMYSEPLEKTRSERLEKIILA SDFSDLSGTPRMVQKLQERIRQLEEIKGHFQVYSQYLDTKGWEDRLALERDLAACEDE LFFMMKAITTSQRKYETESDTSALLKWSISARDIVWHLIQDSSEPLVELQLKDVEYDR TDNSDGSHMNLIRVGKVLGLNLLPDATYPAIIAPYQDAEKSNGSPDEELDMIRVYWHM LEAIAGIPVMDRFEVNLVPIKVQLEREAGKRLFEYIFPGMDRDKGAKDDSREVAARRR LSSPEDDGDEEEEEGEEDEDEDEEGSVNTWTSRPGSSARDKDNPSGLSTTMPGSLEQR LRPTLTSNVGHDRSPTKKALSVNVGGESGHSFRLFRSGNTGKTFLKKASHESLRSAVT SRPGGIARSSTGFSSRDSKDKDAAEPSSKKSSRWRGGRSRAGGKNKQQSDDLTKMIDR ASSYMTFAHIRMPSVVLCLSYKGRDQRNFEDVHDFVFRLPTIEWQNKTWSNLDLALAL KKAVVKALISHTGAIIGNKFSKHRPNAEQRSKLREMANSSVLITPSSSSQHMYAGSII NDSGDDSSSLCETSPVDYSRSPPPSTRGSTRSSIPAPQAAGSRSSSIASGRSYRTGGS SLNGHGAPAFLAMTTPPSPVQSRSEEAGPGHDALRPSSSAGSLASYGMYNRRALRNVG MGQGDARRPASSSAGAGAGAGAGAGGPELGLDRRRTGGGGLRDRLSVFAGRKRESSGL QGDEPDAAAAEDTESIKDGAAIARGKLLSWTQGRTKTG UV8b_06258 MENSKEEIFNMLFEHLVLPPKLPGELSDVADLNKQLTTRLQGAC KTVFDAEVGTTFDAISKSLDLANKMNGSLLKKEHLLEGLRLLGSESAAEWLIFHISEQ NCGLLIHRNQIMDEVIFESFEASASAGSVLGTKQALCWNFPGRTVAVPLNELKAESFN DTLASFLEQANEFSFDQFAARALKKGKRVVETRDTPNPALISEMLMSLLEAVGRPVQV PSVQKRIRDDVVLSALHPWRRSPFWLILRVAVQRMLSNICEDGNDSARIHFKVIMCVV FAQFLKESSDVLHPEKVLMVRSKLCRRLAKLETERSIASAGLRPIYDRYITKLGDYFE STVEIVSKNIEVKWEDHRRKTKRFIPRLAKRVPVRDLVLTLPNSLEELQKLLHTKIDV SDSFSPGTQISKEGAVSKIDSLASHCLTLLDNAALAMSTIKPSSPSNKGQKISEAIAN YIAAVGNTYHHEPQLMSQFLLNLFELWVALDVAATKSCPLLRTYHPVFVPEALDMLCL PTKSQMERLTTVQQYLVNRIKACKPNRGSIFSDPHESTSFATLYVQQDPDLTALCSKI DIASEKSRAEKKSELESLMNQYENLTREIDHMTCLCSFNKDGSRNVRGCTRCWKWRSR KNLTIGLHEDFLPDIKHNSHRAAIIFELGGPEYLMNYREATWSLIMMGRKDLEGSKPE LLLSEYPPLRRFWKRSTTDSSLTLASKIKSFCRTHYKSVRLPKDLNEVIVSFGPQFRL YDAKAAAWVEDPSSPPWYHRLLGTWLPEKISDPFCHYSSYMKARENPSSYQIAANQTN YPATMSVHEFTAHQRAISGISRRWLVLVTELASTNLNFSSQDTVSLFDRLALQAGPPC RNRTGNILREVHLVFQDVDFCEKLHEQLHLRQSALASGCREINCMSILVTLCLRLFYL CPGEFRERAVALLRKSRRMISDWIIQLRDERMSTDDSNLVGRLTSLGLQASLLCRQTF EIYSEEVDVVFGDEEASIFFRASIALSENLVDDLDKLSPGLQRLMVRDLSTVGSMRET IKNWTREHCSAFEGVINEAWNNTGGPLGSRCFSEWQLLPDTFWFMARTTATKLAASQT VHYHAIQGHLLIEGKPLGRLPLQMREDASIRELFPGQYLFTRSSSLRGMEYQLATQFE HHDIHFGHREGKVIIRAESGGCLFEHVPRTIFGQGSSLDLPSGLIDDCVHWLNLNTGT VEICRKPDIWKSKRGNWILDIQTRRATRNQGKGNKNGANGRVKHGTCLVDPNSSAAKN IIEIFRNFETPGNLTIYQSLAPNGVLYVEIKRLELRFEVNNNGRLHSRQLLSEIDPVQ DVGALYGLESMLVLRKTSNRRSRSIIIPTGAFSWMKKGMHVSVRIKNEGAYVRFFVDD VLGRLVCAPEPWLLYLKALIHASTSFPLPDRLTGRNGTEEAHHCLVSANCQPWTVLND AAKGLLSRIASLSPERSFYPSDKRVCQKVIWDDNLTATIQHEQLANLANSILQQSHLL ESFDTAGDAKTSAFSGNMAAESLTVPGTIRRQIYERAKCPSDVALVRDPLNDTIYPSR DRGSESEGSCRVYKVVRNLLKKVDKPPKLADLGPIMEAWQNIAGFDNLSMALDIHKLL TAELPTIWGPFVGLLRLSDAPNDDYNIRFRLALLAFGKNCKADIIDWLVAMAQYKAVR DVEPPQHDTFTGFRHFQKPEKLRLREMIKENQKRDISLLAGTRNNKKSGSTRSLDRDR YETLLSRDADCLADALIAQWPNLLESSSDLEELCKDLVLVYIDADTSWTRLGPELERL ACNLSLSNYLSSLEQAVDCLQKGFGKEQASLREPKCHTNSDNPMPCLAPETFSTTRSS YQAKSLSGDLISTNLDMGKYMTPGQETGTARLTKPARVARRDSLECLEKSDALSRLPE QFSILYSIIKPLLSHEDISRRQYGEDLESSLKALIGCRTSPELNVAPSVTGDGGHEIA AAKQALDECLDLIRTSCHSRDITYRWLSLAGMWPCVGLVDMLEQLRKDQETHLGSGMK EALVQCGVLVTRLQRLIRLEDATLRRDGNRIAEEKSNEGHTNWSPLQYPEWLLFEIDS GILIRPVQVDVAKAIIAPTSKKNSVLQMNMGQGKTSCVTPMAAILLADKNKLCRLMVP KPLLLQTAQVMQARIGGLVGRKVCHIPFARRSPCSAEVLDQFWSLHKTAQGNGDIMLC VPTHILSFKLSGLQRLADEKMPTGKLMVEIQKWLDRSCRDILDESDLTLSVQTQLIYP SGPMNLVDGHPYRWYVVEEVLSLVEKHIAGLQDSFQGKVEVDRRHEGFPTIYFLDAES ENALNDLLLSEICRGALPQLQFTEPSSSAAREDVRDIIAGCQVSVDVWERATKSLVDD NLGPGILYLLRGLISERIVLLCLKKRWNVQYGLHPERPPIAVPYEAKGVPSETAEYGH PETALLLTCLAFYQTGLSRTQIKQSLESVIQSDDPAAQYDRWVCGCDQLPPSLQYWNL IDPDNETQVKELWGLLRYDRTAVNHYMNTFVFPVHAKQFSVKLQASGWDIPLVAVDGS ISDGSLTTGFSGTNDNKRMLPKTIEQDDLPELIGTNAEVLCHLLEARNRNCHHAVNEK SSRLTEIETLELLQKKEIRILIDAGAHILEMENSDVAEAWLKIEHAAEGAVYFGSDNQ VMVRSRFKKGAIPLVASSFVGNLEKCVVYFDEGHTRGTDLQLPTNARGAVTLGLGQTK DHTVQAAMRLRKLGSTQSVAFIATPEVHQSILDVRKSQTGTLPESATAGKPPTVTSID VVRWLLDQSCKANEQMMSLYRSQCQDFCRRTNSWWKHPKYHVDRRDLKNVLQVIMQKE SQTLEQLYGPRGTDSQLSAAQLDYPQLQAYVSDLPEVTRAKTFSASALMEVEQEREVV FEVEQVREQQPRQQYEPLLFPRLHPAISEFAKSGSLDAAKVSTIGPLIQAFDYVGKTK LGRKYRVRATASSLFVSEEFSRTVVPDRSRVERDILRPVEWVLWSPSAGAALVIIPEE ADLLIPLLREVERPKTWLLSYAAPVTKAMLALNKLTYLTVPGWPRDGKLPGWLAIELG VLSGRLYFEYAEYKNILAWLGLSDEAEAEAEVEAEAGSEAGPGPWSRPSAGLPIKKPL KFLQEWVSLKRQTQDISYTPVGFLCQRKELHADHFFFTSAERHEHDASAARRAGGGIQ RGRDAESEDDEDEDEDEDEDGDEVEDEDGDGDEVEDEDGDGDEVEDEDENGNGNEIES EDDLYDR UV8b_06259 MSIRNKTKRHTSESPATSSPSSTTTGHSRPTTPTSPVQIPRRSL GDEKYHLKKDFSHHRRQRKQIHSTDSISPSVAALLALTDIPRPQRRRRRVDRSFTLEE IVSDQHVSEKAFSLSLGRGPLDLLLSPPEDLPDDDFSALEGNIGSVLARTTSADSVPS LGGESLSTDMASSLETPRSISSSAKRRHSLMRKSLEPVRSPPDATKEHPLAAMESLEI EELVLPPAERSDEDNSSYPLLQPFKPLRSAFKSNLTASLRALRLAAKSFSNLNFPSIA ADDFLTRSILTIDPNVPYMDERRPPVTGEMPSAELRRYLNPTTSSHLDSRPKPRAPGT FSASIQMQTYKVHRSRSAPPSPRTPSGVGNASRPPSPVNGHHAIKTSQHTESVPGMRQ REMRENPDFIRIAVMEMAMRRRGKLDNQKPGRARWVLPPRRASVKPYEVGSNGVPIRN ARPSVGWRGQNYFVPLRQVTADADKVLQQRLHGAGPMGPLNTSL UV8b_06260 MCMMCVPINDHFWSPSSSPGYPHNSMMDGKEEKKPRVNNYIQNI SNETTPVEETKIVSQRDMAPTSGQVMSETVFCVSPDCCMLSRMPSRTCTRERKKETDM RKMLPLSVFYLYCDFEEVAVPRYPS UV8b_06261 MGCRVCRARKVKCDDRPDGCRNCERLQLECVADDGSTLGGNRSS SSPMYLRKIRTYRSCQSCRLSKTKCNGDRPRCARCAAKLIQCVYDGGAAPRWTRNLSR LQTASVDEDASSSSPVEQDGAESVSVAVAPSEVDDAGSVRAASLRRADESNDKSFVLS AATADAPVTCAASQTSSTDQLASHPLSWLLSPALPAPRNLRRVVEQYFSNVHPLRCFA FVHKPSFMRQLDKGFTSDDESALLHIICAHGAKFLALASVDVTAPPSLLKQAGNQWAK RAEHLMLANFGKISIQRLMTAILLHDFRFRLGEYGQALMLSGLAVRMAHALKINTEYN SDILCTEEGGDAPSVASRESRRRLMWACYVLDAWAGNGVDHLTLLRENDIKIQLPSNE RNFGLRIPSVTETLGVGHVLQFLPPAIVPRRPAANMGIMAYYIRVVTLWKRIVRYVNH LHAGPPPWQPDSLFAALDADLHLWRRELPDFVEYSTETIYARLDSNQFGALVLIHCTY HHNYLELYKLTMPNLFKPPKPFTFSPEHQEFLQSAHANCYYHAQQIADILAEAAEHGS RLLSDSLLPLFVYDSSRVMLYYVARLLDPTRPDAEAKMRDAVKAVESNSKILCMMSAL FPIAQPLSATIERWLSKVQQTRGRDELLKSLESDEQAQPHRPAMSNETNQRHGPRCPE NVLSPLSLNSLACSGAGEPAGAEGDAASRTESFSTQNPHAGGAASRDGTSAAAHQLVG LSQEASVRSSLQHERPVSQHPLGKAPSSQPMCDALDLDDLQNFLSWDMYGIMEMGGSV SIEDMDDSVSQSWTGAI UV8b_06262 MSALVSPEHSQARPANEIRQTMLEALELRKRSSPTGVFAKLPAS PSSYASGFCLVTNLQLWKAVNHLAWWIQDTLGTSHDHQTIAYLGPGDLRYSILLLAAI MTGYKVFFPSPRNSKVAFESLLERLSCKILVTTCPEPAAAAVLSSCGISRKVHIQSLP DLLSLEHVSEYPYEKTYEQAKHDPVCVLHTSGSTGIPKPLIYTNEFIAAVASNTSIEP PEGFDSPNRLLTQASSLITLPVFHMAGLAFTLFSPMFHSSIPVYPLPGPPPALHSIVE AVSNTSVDWVLVAPIMLQSMAQDSQALAAVSTRVQHLVYIGGAAPQEAADLVASKLPL YQVFGSSEFGSFPLVYREPLSQGTDWQSVEFHPQAHAQLRHRFGNLHELVLVNNLVHG RPNPVFAVYPHLREYETGDLFAPHPDRPNAWVYESRADDLIVYLTGEKTNPAEFERIV GSHAEVRDVLMLGDARFESALLIEPCNSASLSRQETRQVIDRIWPLVRHANSLYPGYA HVSPSKILLTEASRPMARSAKGTVQRKATLELYKQDISAMYNELEDAIALEPRENLDV TSRESVSQVVQDLIHHLTGWNEVQPDADFFSLGMDSLIVHRLSRHLQLHLHLAWIEPK TIYSNPSVESLSQVIVESSGRRDADVVASSASSPANRGRLARMTEILHAQKAALSALC REAKRTGLPPHPPKPERHVVLLTGSTGNVGSFVLDKLVGDESISHVYCLNRSQDSEQA QIRSNARRGLATALPSSKVTFLTADLGRSDLGISPPILDTIKSSITTIVHNAWPVDFN LSVSSFKPSLQGLVNLLSLAAHSAHAPSLIFLSSISATANYHQTPQAEPAVPETILRD MESPAVTGYGESKFISELLLQYASQEFNLRTGIVRIGQITGSVDSLRGWNRNEWFPIL IRSSKHIGSLPETLGSADEHHPNGYMGDIDWIPVDRLNGLIVDFVKVLAGAGAEAGSD PLVVHAVNPLSTSWADVLPDVRSTLSAIGGGASEEKDVSVTPYAAWVSALAAGSSASD GSETRLRNPGYKLLDFYSQMSGPGGAIAKLGTSKTRSLLPAILLLEPLRAEWMAGWIR DWFSAD UV8b_06263 METEQERTGFQTRNEPHPAELVEGGHVNQKVSIRELRNSIPPHC FQPSLLWSALYLLRDLAYSAVLLAALHHLLHTGLVAKSPALYYLVVTTYGFCQGIVWT GLWVIAHDCGHSAFSNSALLNDVVGFVLHSSLLAPYFSWKSTHRRHHIYANHIEKDLN YVPPNRPEYASKIGQAVDTLEHVGQDSPLVLLLRILLQQAIGWNWYILSNITCPPTAV VKKGMSAWRHSHFDPWGALFRESEVSAILLSDLGCLGTAYVLYQLYQVFGSFETLFWV YIVPWTWVNHWIVMITYLHHTHPDLPKYTPESWTFVRGATATMDRDFGFIGTHFLHHI SSDHVTHHLFSSIPHYYTRQASQAIIPMLGNHYHGRGRFRYEDLQIAFRQCQWVEGDA EKDRKLGLYQDEQKADACRRALWYRGGVSPSPEYKMRGATFLTGKF UV8b_06264 MAAAALAASAAATVETIAVQRVTVDVDAPYAAVVERFRRLVPPV RLAELAGTTSAEGVAQVVGATGTATGFVLFAEFNHGRWMGHFARGLGATDVGGTDDGH GGGGGGGGDDGGGGGENGGGGDDGRAGGDSDAGGGSAAAAAAAAPAPRAAHRFVFGNP LVAWDMVRQDIEAVLHVPLDCAFVEKPDGSARMILLLRDGLVAGHAAMAANQSMHSAA QQIDDKVYRLIERLAQS UV8b_06265 MARLVPLQEDFPFDIISHDVPVTPFREYPTAVVDESRGLRLSVK QYIPRDDKHRTNEALRTEPITIIAAGALGIAKESYEPLFEELLRCAQSSGVLIRSIWM ADMFNVGQSALLNQDNLGCDPAWIDHSRDLWSLISRFSDLMVKPIVGLGHSFGCNQLL CLSCWHPSLFHSFAFVEPGIDARYGRGITIPWALQALRQRDSFPTRAEAEDAVVKLNN AASWDPRALSRLKHYSVRQARDKDGARSVWRPVTPKHQIAALVSRFNPGRVGLGPNGT KGVTMAEREVVPDSDPLAFNIGPFYRHELRLAWNMLPSMRPCVLYVNGAKSPFFGHPA TREERLRRTGTGVGGNGGVKLGAVRQVVIEGGEHTMVFDESLKTVAAHVADWLADECR RWTEGPKRRRELWLRDAKDKGSVGSDFLAALDAEMKRMKSRAGKL UV8b_06266 MTGAYMYPAASSVRLSLFAVFHLAQIGAVLGLRCLVSQLCKFGR IKPQFKSAPFALLASNTSVCAAASPRPVTLPVSRPAVLTHVGYGFIKIRLPWKSRDNL SSEPPEREAGAADSDGPVSLGDTLALRDTSRPKESVSLRNPDV UV8b_06267 MNHRLGPCSSSHGTAICGAILLALILATVAVYRLRLHRLAPYPG PLLSRLTSIPNWYHARKGDRHEWLCRLHSEYGPTVRFSHNSLSFTTTPALDAIYRSKA HIIKSDWYQCVRDSAGGFESTFTARQKSRHAIKRRLLSHAFSERALRDYEPRIRAHVD CWIERLALEAKSCPIGFDLGDWCSYLIFDILGDLAYGSSFGLVAGTGDRGVAALVPKA TGGWYSLGYHPITKLVRYILFSTRLGPLLGGRSFHDNVQFRNFCLGKLKQRRQEAAKL AQEGRNQDMFAHLLNGQDPETGEGYSTGDLACESVLLMVAGSQSTAGGLAATFFYLAQ NPSKLERLRREIHGAFDEEPDIRYDAANCKLASLPYLRACINESLRLSPPTPGHLPRE VVGEDLPIEGRHVPVGTTVGVSPYVMHRSETYFAEPLTYLPERWTEQGTHVAHKTMPG FAPFGAGPTGCIGQQLALMELSLGVAKLLWRFNLVADKGAQEGSAVEYKMRDRFVGQA EGPFVRLVQSLPEP UV8b_06268 MAQNHEDVAAELHSDFNERVLNPGAHLTDASVEKPSLDEKRDAA AVADAESGPTPDGDEPSDHEKRTLRRVGENLPASAFLIAIVELTERFTYYGAQGLFQN YISNHPDGSDGSKGLGLGNQAATGLNLFFQWFCYVTPIVGAIVSDQYLGKYKTILIFC GIYWVGLAILWTTSLPVSIENGAGLGGYITALIVIGFGTGGIKSNIAPLIADQYQRRV MAVKTEASGERVIIDPAITYQRIYMVFYWCINLGSLSLMATPFMEMYEGFWTAFLMCF CMFNVGIAILILRRKSYVVRPPQGQIITDAFKAIGMMITTRSLDGAKASWRAQNGKST SVAWDDHFVDELKRALMACKVFVFYPVFWVCYGQFSSNFVTQAHQMNVGGMPNDFMQN FDPISILVFTPILEKIVYPMLRKRGYELRPIARITIGFWLAALCLAYAAVVQKLIYSA GPCYDAPLKCAAAQVADQTTLPNNVHVAVQTPAYLFIGLSEIFISVTGLEYAYTKAPP SMKSFVQSLFLFTNAFGSAIAEGLVPVSKDPDFLWMYTGVGISALITGCLFYFLFRHY DAQEEAMYALDRDVPVLALPDETGEQTLTKRPSAS UV8b_06269 MTQNGHAASPAPGRALPDICFTLRRKIAALLEHPDKALASLQKQ IRASVALVDDALRRYAPDELSLSYNGGKDCLVLLILILACLPATRAPAAAAAAAAVDP RPATIQAIYIAPPDPFPEVEDFVASTTAEYRLDLARYALPMRQALEAYRADKPSVRAI FMGTRRTDPHSESLAGFAPTDASWPQYMRVNPILDWRYADIWAFILRLDIPFCSLYQQ GYSSLGGVGNTRPNPALAVDAEGTRFKPAYELLRDDEERLGRDV UV8b_06270 MPAFCNLTALLLSLAITKAQGGSAGCGKTHDFVGHTREFSIQSS GGLRTYRIHLPLSYDSKTAKPLLIAYHGHGNNPDKFELQTNFSNETVNPDMIVVYPAG LDKAWQGPSYARKGVSDKVFTTDLVNHIKSDYCVKESRVYATGHSNGGGFVGTLACSP DHGGQFAAFAPISGAFYTDVKGNQDCHPARSPLPMFEVHGTGDKTIPYAPTKDGSGGP LPSVADWVRRWSLRNKCDAPQEKDLGNGVHDVRYKCQGVADGLEHIKVDGMGHPWPGP DSQLPDVSLRVVGFLNKHTKP UV8b_06271 MDTSYLAKQVSSSIAQLHGLFDEIGVPDHEREARETELFTALSE ALSSQVRLVTAEKKEMVDEAKKIITTIRQMEASLDGSNSRRAELQDDDEFKITYPLNR CLQALQEKHAQISRLHRERFEQVKKLVEALESYSLHLEPTFVQIALPPTGPIQSMPPT FDISNSYVDRLDAEFTRVYDEYARRIASVQALSNQVIQLWAELGTPQAQQDGAIVKYY RDAPEQLGLHQEDLDRLQQKRDGLAEQKRNREKRLRDLRASVEALWLKLGIDEGETKA FVNRNRGCGLRQINEFEDELSRLNELKRENLHLFVEDARLKLQELWDSLYFSEDEMLE FTPAFSDVYSDALLEAHEREVARLEALKEQRAPMLAIVDRHKSLIKDRDDLAASSQDA SRLMMRGQKGEKRDPGKLLREEKMRKRIAKELPKVSAEVRKTLERWEDEYGRPFLVFG ERYLDELEPEDPRKAGPTSRSKTPAGPPPSTAKAPKSAGLLARANSARAVPPRSMTKT PTATSRAVARKLQSPGKTNKAGDGAGSPSRIPARVPLSNLKHGSNSPERPRPESRGET LRNGAPVRAPPPKMRDLKVVPELQTPKNPYRGAGIESSIVRQVDPEDVYDDGAQTSRV IRSNSNQSNRSNQSSQSNLVQHRYNEDTYGEGHDDRYGDGYATIRVPRSRCPQALPPR QTSNTSHTSTAISGSENWETYDDNSEPEPDASEDYYAKLRAARSKRYEPEQGHVPSGQ AKRLRGISRTPYAGGPVAVDHEGNRIVSGSEWTDEDVF UV8b_06272 MNHAAPFHRPGSPAAAAAALKGASLAFQRASPPGDCGQRQKEDS AEALTAASSAGRLLSPLAGPLAQLDRSSASLIAAARAAGRKASAGEAVDSESIAPTGS LISMFERARDGAERAGASASLPQPSGGAPAMPAPAGNHRPPAPKSSRSSEKISAMPMP KPKLLLQALAPAPAPAPAPAPAPAPAPSPSPTPNPAGHAHAHAAKLDETRAPSQHPPG GKATPAGTRPSASPSPSKGVAAKPPPEKQPPGRQARTPSPPSVMVSRSAPHVLSPKPV RPAKKPVLASPAGNPGPARPGLTCVSPPSSPDLGRRSSDAETRGPPAPPEPRGSQRRA AAGPRASGVRRRGPGVLDAMHDSGPAASPLTEFGLPIVAGPCPAQRRERSSNSSKRPP PLPRRRTSVASAPTSPVLQPTPPPSHRSRRTDSLSGHLLLNPLTDAVMAGSVASWRLT PHNSGSSAPPASRAVKPQRSPRLLQTLRQPHTGPDEDPERLRIAHRHKLSSNKHAHHE GARNRWRDQITQRERRRYEAVWASNRGHLLQADDDGDDDDDDDDDDDGLRSECVANVV AREIWKRSRLPEDELMEVWDLVDRAGAGMLTRQEFVVGMWLIDQRLKGRKLPARVSDS VWFSANGVNALKARK UV8b_06273 MLPPQRWAFQAVPGIFANHADLARRNPDGKFTTQPRLGLLPRAY PTDPQGAAKATDWQRFLGYLAALNSDAGPRVSYKVVCLTRHGLGYHNQKHAQVGTDAW DTYWSLLDGDGQTTWFDAHLTDLGVQQAKQLGRFWFSAVAHDGVPLPRTIYTSPLARC LQTTEYVFSPLADEHQKPFRPIIKENLRERRTLHTCDLRRGRSWIQTNYPGYVLENSV AEEDPFSGQTRPETAREHRARKQRVLEEIFSSDENQVVALTVHSYAIAAILEVCGGDR VRVKEGTCLALLVRGERMEQGPV UV8b_06274 MPVRPLPPRLYAWTLANVFDPACSPAARATRREPPPKPHPQPPI HILGAGNIGRLFASGLAQPPDDHPPITLVVHKQDLLSQWARSDGVELTRDGVTTKNKR FRLEWWTDAPPLRGPIREVAGGQKLRSLLISTKTSVALAEVDRLRRYLDGSSTVVFAQ NGVSRLWPPHGPAYLADRYPPSRVPSFSACVVNHGVLSAGPFQSLHVAPADAVIGHVT GPPPPDCDPLISRITAAPLLNTRSVSPGRLWVLQLEKLAFNAIINPLTALLRCRNGHL FVTHDPNDPLVRVIDRLLAQESAVFQMLINHPSSAPVLATYAHQAQEPFAEDNQPDPD PGKVASLQRELTMEFSPESLKRKLYSFGEKVGQNRSSMLQDVEAAKITEIRDLNGWIV DMASTLDGRLDVTAHQVLIQLVEKSLVLDKAELASRLL UV8b_06275 MQIDTTQLDSHQRLGNPYGSATSVTTPMYAATASPSPGHASFIA NNVKRVRDVDFDMPVGGLSTLGQPSFGNMPPSSIRAAYGQAAAAAAAAAAAATAATAA TAASSASVSSDVSSPRLPQHTQGLPQLHHHHHLPDLEHFGRSMHHEDGSGAPSMVGQA GMPPPAPRPRGPKLKFTADDDQLLIELKEKKNLSWKQIADFFPGRSSGTLQVRYCTKL KVKTTQWTDEMDFKLRAALEDYENEKWRIIAQKVGSGFTPTACRERVLQFTGEDDTGT TWQSPSTRSGSGAEESETTELPQIHRPWLDRTERKWSFTGLE UV8b_06276 MWPGACSSESPYPTKLVDKKMRDQMREQHEPGSADSFVKVFSDV RHPDGAISTKDMSLTGLLSNFMLLF UV8b_06277 MSGMPPPPSPGWGSGPPPPSSSWGSTPPPPPPPPPPPPPPPPSL LPSPPSTPAPPPPPPPPGFHPPSDPRIAKFAQKKREWLTDRRRRFGEKRKAGFVQTQK TDMPPEHLRKIVKDIGDVSQKKYTNDKRSYLGALKFMPHAVLKLLENMPMPWESAREV KVLYHVNGCLTLVNETPRVIEPVFFAQWAMMWTFMRKEKADRRLFKRMRFPPFDDEEP PLSWSENIEDVEPLEPIQMELNEDEDEAIYEWFYDHRPLLDTSHVNGPSYKTWNLSLP QMAALFRLSRPLISDVVDKNYFNLFDLKSFLTAKALNVALPGGPRFEPLYKDIDPNEE DFGEFNAIDRIIFRTPIRTEFRVAYPFLYNSLPRSVHLTWHSYPQIVFNRADDPDLPT FLFDRRINPISSRTVAPKNVEVTLEDDLFGPGGDEEPEDEAFELPAGVEPFLANEELE NEYTSSAIDLWWAPFPFNRRSGKMVRAQDVTLIKQWYLEHPPSDKPPVKVRVSYQKLL KNFVLNELHKKKPDAHNNQNLLRSLKQTKFFQQTTIDWVEAGLQVCRQGFNMLNLLIH RKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLMREILRLTKLIVDAQVQYRLG NIDAFQLADGIHYAFNHVGQLTGMYRYKYKLMHQIRTCKDLKHLIYYRFNSGPVGKGP GCGFWGPSWRVWLFFMRGIIPLLERWLGNLLSRQFEGRHSKGVAKTVTKQRVESHFDL ELRASVMADLMDMMPEGIKQNKVNTVLQHLSEAWRCWKSNIPWKVPGLPAPVENIILR YVKSKADWWISVAHYNRERIRRGATVDKTVAKKNVGRLTRLWLKAEQERQHNHMKDGP YVSSEEAVAIYTTTVHWLESRKFSPIPFPSVSYKHDTKILILALERLREAYSVKGRLN QSQREELALIEQAYDSPGTTLERIKRFLLTQRAFKEVNIDMNDNYSTINPVYDIEPIE KISDAYLDQYLWYQADQRHLFPAWIKPSDSEVPPLLVYKWAQGINNLSQVWETSDGEC NVMVETELSKVYEKMELTLLNSLLRLIMDHNLADYITAKNNVQLTYKDMNHVNSYGMI RGLQFSAFVFQYYGLVLDLLLLGPQRASEIAGPPQSPNDFLQFRDRETETRHPIRLYS RYIDKIWIFLRFTAEESRDLIQRFLTEQPDPNFENVIGYKSKKCWPRDSRMRLMRHDV NLGRAVFWDLKNRLPRSVTTIDWDDSFVSVYSRDNPNLLFSMCGFEVRILPKIRNQND EFAVKDSVWSLVDNTTKERTAHAFLQVTQEDIQKFNNRIRQILMSSGSTTFTKIANKW NTALIALFTYYREAAVSTVDLLDTIVKCETKIQTRVKIGLNSKMPSRFPPAVFYTPKE LGGLGMISGSHILIPASDKRWSKQTDTGVTHYRSGMTHDEETLIPNIFRYIIPWEAEF IDSQRVWTEYSQKRLEANQQNRRLTLEDLEDSWDRGLPRINTLFQKDRSTLSFDKGFR ARSEFKIYQLMKSNPFWWTSQRHDGKLWNLNAYRTDVIQALGGVETILEHTLFKATGF PSWEGLFWEKASGFEDSMKFKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQV QLDLTGIFLHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVFDQELESLGIETVQK ETIHPRKSYKMNSSCADILLFASHKWNVTRPSVLFDTKDVIEPTTTNKFWIDVQLRYG DYDSHDIERYTRAKYLDYTTDSSSIYPSATGLMIGIDLAYNLYSAYGMYFPGLKVLIQ QAMAKIMKANPALYVLRERIRKGLQLYASESNQEFLNSQNYSELFSNQTQLFIDDTNV YRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAE EVAALIRSLPVEEQPKQLIVTRKGLLDPLEVQLVDFPNISIRASELQLPFQAAMKVEK LGDMILRATEPQMVLFNLYDEWLKSISSYTAFSRLILILRALHVNPDKTKLILRPDKT VITHEHHIWPSLPDEGWIKIETQLRDLILNDYGKKNNVNVSSLTSSEVRDIILGMEIS APSMQRQQAAQIEKQQQEQAQLTAVTTKTQNVHGEEIIVTTTSQFEQQTFASKTEWRT RAIATSNLRTRSKNMYVSSVDNDVDDVTYVMPNNILKRFITIADLRVQVAGYLYGSSP PDNGQVKEVKCIVMIPQIGGLRNVQLPQQLPRSDFLEGMEPLGIIHTMSGSELPYMSA ADVTEHAKLLDAHDEWDKTNTVTVSVSFTPGSVSLAAWGLTPQGYKWGAENRDLQSDQ PQGFTTTMGEKRKLLLSPRFRGFFLVPDDGRWNYSFMGSTFAGMEKKAVHVKLDTPLP FYSDQHRPIHFHSFAELEDIWVDRNDNFE UV8b_06278 MAHLNVKPDPAFLKLQAMQKSRHHFFRWTPRAARLTFIYVVAVP ALFGYVAYQTDGLYNLRAKRRGDTIYER UV8b_06279 MSDSGDHDLPAPFLVQLFYRTGSFYRPEDFASHPLPPHVYVYTW PSCTLSDLALELAAATPTSFPHPAIGARLSFQLVCPDLRSVSAVSNAPPRYAVKDLGS VVIGQHAHGAATNESLELGGSTRSGPGSGKKTLNDARFVVGDYISCAILPPLADGSVA PASAARRESNLGYRDGRGRRGGSGGFFSHENGFSWSSSRESRGGMRGSGTHASVPLGE WRRGERVPYAASSRHRGGGVGGGAGDGRWQV UV8b_06280 MDFASLMSKELSKAKATSDPDKSYLRRSEVEEKRKAAYLAEQRA LEEQRQAKAAAKRKREDEIAAEDAAREEKRRVLAEESRKLRLQAEKDEERERRKRLGL PEAVERDDGDGAEGQNGADRDDVPEEELRARLRELGHPVCLFGESHAARLRRYRKLTT VVSKGPIPTTLELIDEKDMRVDGTIPRDQEGKKWLFRQLASYFTMVMAAYEKTMEEER SNTNASKMAYNAMVQTRENMKPLFRKFESGDLDDSLIEPIVQIVKALQERRYVDANDD YLRLSIGKAAWPIGVTMVGIHERSAREKLHGGAKGHVMGDEVTRKFLQSIKRCLTFAQ VRWPPTDFRQLMG UV8b_06281 MAAADPILGFMNRMSNLGGVVVPDQPKLDLDLYLQNYVGRTRFD RLLLIGKSSVSLCVDALKAAVVEAKRGKDVSQYRESWECLRLVAPAEPEAQRDDAWIE RTESENKMETSRLESELKGYRNNLIKESIRMGNEDLGKHFESIGKLTEASEAYTRMRQ DVSTTKHIIDCGMHLANVSLHRRDFAMVLNNIAKINTVLQDDDDDDDEDKVLQAYTRI ASGIALLGLERFEEAAKAFLSIDFGISPTEYNHIASPNDIAVYGGLLALATMNRVELQ QQVLDNQLFRTFLEHEPRIRKAISSFVNGRYSNCLSILEASRADYLLDIYMQSHVASI YSKIRSKCIVQYFVPFSCVTLKSLDAAFAQPGTSIEPELIEMIKSRALEARFDSKNKL LVAVRPHARAVMQKEALQVAVKYEREARERLRRISIVAAGLDVVGARKDSGGGPAAGS SHDEAWYEDSRISSYREEMLT UV8b_06282 MTAKFTMATEMSEDDVGALSSSEWHIPDVPSPADSSKSNTEVDD KAAADPNAQTQPIQKRRRVTRACDECRRKKIKCDGKQPCTHCSVYSYECTYDKPSNRR RNPAPQYIEALENRLHRAETLLRKFMPDVDLADPNLDPAIQQEFHSREQARTNGFRMR PGIASETEIGDAKLMTMIDSIGQLDLDDKGGWDFHGTSSGAVFLKRMKEQFRGLLGPA TKAPFLPRAERSSGLGELDTPSPPNCASPFLPMSTYPELPSKDVARKLCYYSLSCATC LVRIIHLPTFYEQFERVYDRPVGSLNQEDTHFLGLVHAVIALGCMYNTLEDSNPTSGG YKAAIEQGLQYYRAAKSLLPDPADCRDIVSLQALLFMILFLQATSNLSACYSFVGIAL RSALRIGLHRHLEHEKIGIIEQEVRKRVFYVIRQMDIYVSTMLGFPLLLSIDDVDQPF PSEVDDEFITTSGIMPPPLGTPSFFEAFNAHTRLMEVLGKIAKSVYPMHVQGQPSSKG DAGRTSCLISYGRIKEIEAELQTWFERLPEMWRPSPDGPIEVVRVRHLLRFAYAHVQL VLYRPFLHYISPRHSQGNKVDELSYACAAAAVSVSRNIVHIGLEIRKQRVLSGPYWFM LYTEFFAVLSLVFYAIENPDKPGSHEVLVDAHAGRQMIADLADKSLSADKITKALKTL FDQLPERLEEARLSRPAVTSRKRSAAASKIGPVPMTEATIGQVGAKSRPDDFMLGHAR SIPGTFQTPNSRGSANSSMVQPAEHGFLEAPFAGTIHDMLPLDLSSRATPDSTSTASS THPHVFGSPPLTHVVHNPVNKLDSLMFPSEDPFAYPNQPMMELGFQPNADDAPGIAMA APNASFFFTGSFEEMGDQILGQPPPYITQQQTGQGLNMMGGLYDPQHLLSLHAVQQQQ PQQIARMRQQGMQQQQAPQQQQQQQQQQQQQPNGGFFSSFRRARADRQQERKIEQMFT QQGMQPDWGSFFGSGRGGFQGM UV8b_06283 MNPAPAVSLSPALPHSHTRRLSRSLVYIPPHHLSEQEGLTSSES ATDTSWESATEESASNRPTTLDESRLLPVLRGTHYPPPRYMHTQVPARMDHDLTLDDE FDALRINVRDVTKYPAKQHARKVVKQLGVRDGLIYLPGQPERLLEDSDQPQLFRQRRY FFYLSGANFEDCVVTYEIAPDKLTLWIPYVEPRQILWFGSKPSACECLRRYDVDQVRY TTQLSKFLKAYAASPKSSPTVYILHPDQAPDLGNGGDMTKSHMLHLDHALLKPAMDRS RLIKTDHEVALIRRANDISSAAHRRVAENMLRLSNERQIEAIIQAVCIANGARSQAYP IIAGSGRNASTLHYTANNAPLEGKQSVVIDAGCEWECYASDITRTLPLSGSFTPRAGA IHAIVQRMQDECIREVRPGKLWREIHLLAASIGLDGLLNLGILKGHRDEIARAGTVAA FFPHGLGHHVGLDVHDVSSTLPLAVAQAGLQLDYGKRTMVTPAMYVEMMQEQSQGVLL GPQERKRQHLETNMVVTVEPGIYFCREYLEGYFRMDPTHCRFIDWDILEGYYEVGGVR IEDCILVTEDGHENLTAAPKGDELLDVINKGRR UV8b_06284 MRLSRSSLVLATSLLACSFCNADQVLISEQSFGHSGRLSLSDGR IPHFTISGQPQQPEILSNKIILTPMAPGNQRSSVWADSPLTRSTWLADVDFRASGPER AGGNLNIWFVRQGKEQVGTNSVYTAGKFEGFVLVVDSHGGSGGMIRGFLNDGTVDFVS QPNVDKRSFGGCNYFYRNLGRPSQIKLSQTLSTFKVEVDGHLCFETTKVSLPPGYYFG LTAATPDHPDSFEIFKFVVTSDSIVSGSGSGNGNGNGNQPIQEYRSRPQQPDSSNPGQ QAPNDNDFAKPIPDQSADIFKTSKEQFQDLHNRLQVVAHQVSAVYAAVSKHHQMDEQR NDEMKKAIDSLRHDLAALRQISDISSKVRELEGEMRSMHQDMRQKIASHGETIEFNLR NHHRSLAQAMSDSVPGHGKLMFLFVGTQIVLVAGYITYKRRRASSSKKYL UV8b_06285 MAESYPTLTQCAIVATAFKVLLFPAYKSTDFEVHRNWLAITHSL PVSQWYYETTSEWTLDYPPFFAYFEWALAHLARLVDPAMVRLHNLNYESWRTVYFQRA TVMLSELVLAYALQLFVDSAPAPSKRAAQVAALSAFLSPGLLIIDHVHFQYNGFMYGI LVWSLVLARGKSTLLPSGLVFAALLCFKHIHLYLAPAYFVFLLRTYCLSPRSIFQLRY WNCVKLGLGIVSVFAAAFGPFALMGQLPQLLRRLFPFSRGLCHAYWAPNVWALYSLAD RVLIHFAPVLRLTVKPEALQSVTRGLVGDTSFAVLPEISPKTCFILTLVFQAIPLLKL FFSSSPPTWEGFIGAATLCGYASFLFGWHVHEKAVLLVIVPFSLIALRDRRHLGSFRP LAVAGHVSLFPLLFTPAEFPVKTIYTVFWLVLFLMAFDRLAPASTKPRVFLLDRFSTA YIAVSLPLIVYTALLHHIAFGKTYEFLPLMLTSSYAAIGVVGSWVGYMVVYCTS UV8b_06286 MVLYKRKPVQFLPPAEIQDENDEVWHIPQTGEIFATYEDYLSRM EFYKQRRFNDQITGHSGLSFFEAFKSELTGGREVEASFPEALKGPILRRVQFQTISRL DNLVDSIFDEFRLDYYPGEEVTVSIDGADRIHGLVRDKTTFGPRNLPDGSRTLPVTRY LVNIKDTDEETMVTDQRICRDRGVFTKAMLRSFIKKTVTREAWTGAPWLVKHDYANMY HIDTRVPPHLRYDTKLLERKQLQAQKRGHFHEPTGLANCGLLRLPELKPATKIPKAKQ AQLCKELKWPLVESVNGVHGSGTHEQPAREPTPPPPPPPTKYPIEDLALPPREGSFRP RLKFMCRNPPVEAEGIVDESQPFRDRIDMATVGAFLETWDTLNVYCEIFKLDSFTFDD FVEAMAVASERVPVQLFDEIHCSVLKVLVDSEKDGGKVRITLPELEDEESDEDEDDGQ GDGDEEKEHEEEQSPEPEEKPKGRATRSSLAKLEAERLAAAVAAAEEEEIRAEQESRS RAEELMREFDWIDHLRKRDFSNGGWERIMIGLIHQLSKDDRQKDACEELLEHLVPPDT EPTREAAQRNYAELDVDHRVKALQIICLLTMQTRAVRGYMEDCSETMTKYRKDRIEWQ RQKKQATEELRQLHEQRRELVPDPEPAEDADATIHKEENVEMLDMNESQIDRGDGENG SENEASRTKGKRRGRPPLEKKRKDAEVGKEQKAVDKDKQKPRREAVKLTPQLQKQYNK ILKEIQKQEDIIKECEEEVAIIENDLREADCPRTRVLGKDRFWNRYYWFERNGMPYGG LPTSSTAFAEYANGCIWVQGPDDMEREGYIDGPASLQNEFKAKFQMTVAERKAKEENG TSVFTARQWGYISEPEDVIALIKWLDHRGCNETKLRKELVNYKDRIAQHMENRKAYLD KGQDKDKTDEHTMKRSSSRIRDKTPEPPSYRCQQWVNTMALEDLGHLHSKPPPPPRVR KQTKKREAEADADASSRGAPKTRRRG UV8b_06287 MPSAQQAQIKLHWLNQSRSQRIVWLLEELNVPYDIQVYHRDKET LLAPRELEDIHPLGKSPVISITHPGDAGVAKPVILAESGFITQYLVDHFPEGKKLVPE RWQDGKENTVGGETEAWLRHAYYMHYAEGSLMPYLVFALVVSRLKSPQVPFLVRPITS VIANRITAMFVYPNVKKHLAFIDGQLATSGGKYLCGDKLSAADILMSFPLIAGSARFD ELGTWKDGSWRNEFPRVREYVELLEAEPGYRRSVEKVEAIDGKYESSL UV8b_06288 MRSALAPSPRHGAVAWFSSAASDEAAHPIASIPDSASPSGSSSK RGRNLESSDHEQQQPTGGSKALLAAIASKSRRGSPSPRWSGEARSDDVVQGAGPGAAF SAPPGENPWPITSKEWEMVAKTQEISKSPSGPQEKLRRFQDEVWPFVKSVRGRVPKHL YMSSTKFLFETCDSVAEQGLAGTSLALSKMCATIGKWDLDIRNELVLNLCHILISQRR SAAARNALMKELVDMWKHLSQLKRGSQAHQQGLRFVLPSADEINMGTENLEILAKMHA YGAPVSDPSLTMQLASQALASIFIQYPLGQAFWLIPGLVATLAVLSDPRLTREGNKID AAPLLNLVRHVFEQHPVEPTFVDHVFTKRIFTNNIRFPPSKLDELQSYVAAQWPQASA MVLKKRPSWYPGHALPQSSLSPVPNTPSSLSVFDRKLRAAYRSRDTAGAESVWQHLRM NLAENPDLGRQMREEPEFLDYCIFVWCAVRRPGRLQETLDVMKQIGVQLTVRSYTSMI HGWKTCKDGGRIEALWDKLVESGLKLDSVIWTERISGLIEANRPRAGIQALAEMQALW KKAVLSEGDAAAAGAAGAGAAAVQPTVEVVNAAVKGLIALDRRAAMEVLAWASREGIE PNIRTFNIMLGESLRCATSSEQTAALLETMKKQKVEPNEATFTIILQQVLGGMEDASA AEQVQAVEQLLSDMEKAGLRANLETYGKMLYAVASLPDGGAEEAVLAVQQHMQAAGLS ATPHMVTILVERALARGRGRGRGLGPVGPAGPAAPDAGAAIRDLLRRHNLTSIGQGDQ TLWERVMSAHAVTGDVAAAMDVFDQLARAGRTVTSLPCLTDLVEALLARGDAKAVADA RHVVGVVLSHKLDMAVTEPEVLAPDARYWRHHFWFLALENGLVEREKAPPALRARLQG LRS UV8b_06289 MATTPPAVSDYELLTEHFGYSPVALLDDIINTVNVLADRALDSV ERLLLSIPPSNLGFKPPKTSGGDDDDDAAAAAASAAAAAARHEIEHGTHQLETLLNAA IDKNFDIFELYTMQNILTVKAADEPFIRLSHYDGLDLSAQPALDKVADLRGRLRASQK LHVALEAERARNDALLGRLKAALLARAGDDEEPGAGGAQHAGPLAFLRDSGSLAQGGA DAPITTTAEFALSQLQPLRELSSALRTLLPELAAETDPQADHGSWRRQRSEYVESATR KYLERTGGLDLGPSGEVRDGEWQGGGPSLTRSDVESLEKAASMLQSSTAKGPGPQGPA DDGEQGRASTTP UV8b_06290 MSGFKDIVKNGWHPEKSGSSIRGSVSGLMGRNKDSPSSSETPSR VARPLSQLKDPATFAPPPKRTGSGLAPAPPPVSTKRQVVTSPSKYLDPRAEPAAEPPA HGEESRSSPRPCSGSTTGSSATRNLPKPPGRRDGADGRSPAPPCDEAIRNPPAATALP PSLPPRLPPRGNTFTTAASSPCANARSDSDRAAGQTWLNQNAVSGLGAAGVNVPALGI GREGSTNNHGIPSPSAPAQPSSGSASLGAQVNQLQARYAGMGTPSASSTDAKPPAEGT SWAQKRAALKTASDFHKDPSSLSLADAKLAASTANNFRQRHGDQVASGIKMANGLKQR YGVMDETGAYNNSSPKPKPKPQFQSSSSPPPSQGTVRPCTDHVNSDTTAAALEQTGKK RPPPPPKKKPGLSTAPLKHPLPSHGDEPPPVPLSTRPAF UV8b_06291 MLIFKDILTDDEIISDSYDLKEVDGIVYEADCAMITEEAVNVDT GANASAEEAGESLEDTAIKVNNIVHSFRLQSTSFDKKGYLTYLKGYMKAVKAALQEQG APAETITAFEKGAQTYVKEKLLPNFKDLEFYTGESMNPDGIVVLLNYREDGTTPYVIV WKHGLKEMKV UV8b_06292 MFLDAPTPSDRCIYAGHLETSIKPLTQRTQSPRLTDHAPNSVIV CMHGYWPLAPGYLPAYQAAAGSSTVHYCTPALLKPWWWPACGHGSRLKAQGSRLKAQV VDAPSHLPSLHSMSRLHPDRHKPKVGWLLTSVHVLRTPYSTLLCLQPNEQN UV8b_06293 MAVMVNGTNTPKLFAMADNAFDATLPALPSHTLQPRDDMFPWIS DVALSLILPVVVYWIFSLFFHLIDVLDLFPQYRLHTPDEILKRNHASRLEVARDVVLQ QILQMATGALFTLSEPPEMVGKAEHDVAVWATRLRLAQRALPGLLNLVGLNAAVISEN LSTSHPLLAGAFAGGYYPSLSGTESAGPSFAPWELTIAKTIYHFLVPSLQFFAAAFFL DSWQYFLHRMMHMNRWLYTTFHSRHHRLYVPYAYGSLFNHPLEGFVLDTLGASIAFKL TGMTVRQGTCFFTFSTIKTVDDHCGYAFPLDPLQLITSNNAAYHDIHHQHWGIKSNFS QPFFTFWDSILGTMYKGPRADRLADKKRTMKKKT UV8b_06294 MDPSTTLVTFLLETDPAVRSVQLIGSWDNFSTWYSMKPDTRRGR GQWRGCHSLKCTTPLNQSSSHQPARHGGLTMGGTYYYYYEVDGSTEIYDPAQPSTNAC PYLPGQTVNTLDVPVEQTWRNRSASVSSLHKESFMTLDPEARYVTPIPKPARFANGAP GRPGSASCLLSGRSGSAGWGWKRLSRRKAAVSRESEKSATRWRDVDAFASLFAWPLRN SGPPSPAERRGTRDVSPEPLRGFREDEAPLPPDPFMTQLPPPNSAAEVAGRLQEENLA ASAASAASAASEAQISETRPSSPPLQPSLGIPSEVPAAASDISSSMSTIQHSRAKVEE RARLCEQEQLRQPLSSTVMDTGPCEWSPAMSTSTFTTSTSPQSLTEGLCSLYDSNDDD DMLSNTESDRVPYYSKLEQPTAGPFRGYSLPRHNEADKTPGSAGRRLNDLDTALLVSG CDPATTGGSGFLGGPIDNGLDDFASELGLMVKLIGSEMH UV8b_06295 MEADLKTSDRDTSQLSDPPSDLDSSSDGASPAQQTEWLATTRTR RSTAGNRMKSMLASQDPDSDLELLFAEDENDQGFSDAGSDGSDVQMESSSDDDADADA DADDLDGEKELERQAREQRAARRKRSAREAIPARFRKRPAQAGPATAATAAAEAAPAP APRPKKKKKKKKKSERTSWLPSPADLPTRASARKTTRISKEQLHQQMAEREARRLRQL AQMAKKAARLEATRKPPMTQEQRLAEAAVVETRNSRSLNRWEEAEKQREEERRARLAA LSRRTLRGPVITFWSGRGRWADADLRALRPFATEVEERPRKKRDKAADRGAAKGAKQA KLVSGAGGNQAKDDDAAAAAGRGGAGCTEENPSLLVPAQGSVPLGATASTGPSSRSRE SSDGDGGAARERKAVEAAKARPAPERDADADSDSDPDPDPDSWTATSPPPPPPPREPA ARVLAPPRGIATNAASSSSWPPAPTPMSSILAPPPISISISTTISLADATPSQPSASP PPAAPTPVDLDRNPASTHQQHQQPVAAEPTSPPRPSQAGTHAPEPDAQATRNAVILHN FNDAALRDKTVQTQILFGRKMAKLPKPAPAPVCVITNHPARYRDPRTGLPFYNMHAYK ELQRLRRGEYSWSGLLGAWVGDTTQAARGVPERFAASHPRG UV8b_06296 MGFALKPPASASRATSASLLSSIAVAALAYAARAAAAHHQGAAV GQDVLLPPTIIIPPVPEPPQPADHTFTLRHIYHHGTDRHPRLHRRLDIEQPSSSRVFL AAEEGHGEHELPHLKARSRATKIQRLVDRRPSTVDPLVARARQQGLVAVLDASAWAIQ DVPSPEITDKGTVLSLAYMSADAYVELGSSPDWLEVGDPFDPSADFGWQSDGLRGHIW ADDDNSTVVIGLKGTSPAVFDGDGTTTNDKVNDNLFFSCCCAQQGQWTWHQVCDCATG TYSCNNTCVTEALREENRYYGAARELYSNVTERYPDSTIWVVGHSLGGAVSSLLGLTY GLPVVTFEAVPEALAATRLGLPIPPGSDAQAPQTRENTGAFHFGHTADPIYIGSCNGA TASCSFAGYALETSCHTGRECVYDVVADKGWRVGIGTHKIRAVIADVILKYDQVPECM ATPECRDCALWKMYESNGTDTTTTSASSTSTRTRTRTTTCETPGWWGCLDPTTTTTTT TTAVSATSTDSLPTTTSTSVSTTCHTPGWFGCKDKTTTAAESTSTTSWSSLPTATTTS SKRRTAYAHKHPYFDGFDGFDGFDGFDGADGASHDGSVEGQMSATQLDRAVQRVGRR UV8b_06297 MTAIESFDSIYLDLSKESGKCRFAETGFGWKPSNGGDTFTLDQS NIGGAQWSRAAKGYEIRILQRNSGIIQLDGFQHEDYDRLSKVFKNWYSTALENKEHAL RGWNWGKAELAKAELTFSVQNKPAFELPYSEIGNTNLAGRNEVAVEMSLAQDASDTGA EDRLGGARGKGRKAGAGRDQLVEMRFYIPGTTTLRREGEGDGGGGDDAEDEEGAEKNA ATLFYETLIEKAEIGETAGDTIATFLDVLHLTPRGRFDIDMYEASFRLRGKTYDYKIQ YDAIKKFMVLPKPDEMHCMLCIGLDPPLRQGQTRYPFVVMQFKKDEEVTIDLNLEVSE LQTKYKDRLEPHYEEPLHHVVAKIFRGLANKKISSPAKDFITHRSQYGIKCSIKASEG FLYCLEKAFMFVPKPATYIAYEQTQSVTFSRVGGAVSTLSTFDITVLMKGGAGSSQFS NINREDLKALEAFFKLKGLRVKNEIDEDANMLAAALREQDMDESDDEIVAGKADRGSA DEDEESVDEDFHADSDSDVAEEYDSAHDSSGSGSDSDAESGVDDEDDEDEKEDEDEDE GRPKKKKKTG UV8b_06298 MFSLGAIGLYARSHDAIPAQASKKDIKMTRPSTSSSKHQSPDDS LPMDRLSTASIPDFLAPALDRPPSSQKLRQLSKQMKRASHLQRHFGHKAASSESSSVS SMAASDRLPWDLDLDNLTLVRKSSVRSTESSVPSRSRPESQHFAKGLFHRRGKSKRDS SAQSSSGSSIYSVDVSTEKTPVAGLKDGIMPLLFSRRKPRRDHLEQKRLQISSPFNFQ HVTHTQRGPPIDETSPPNQLQPMDGWSSFDLVDKSQRQTSSVVPAAAMADVKDHHFAR HHDGYPDVPSHTPPVPGTRRLFKHAWSQEQTQKDASSQPPPRPPRSPTQANYFFPLAP DPACRISSPLVRGQDNADRVVDSCAIREHTTDEALVQDRGRFSPQQRPLSQEKRYSRF VSNARDSTRSLAILSPPTCDTALPDVPEEEEHHGVSRQSRLSLSSITSSLRGSQSVPM LRSLAESQRPTSCTSETLGGLGITGSNPAAHQDTHSPIHAGMPARESWEDLIDYCYEH EAEADCDYQWERPSQEMARDSMTLPVNGLALCDGLQPDSDATLRPGQTPVPSLGLDVP SLSPASQGSSRAVSEATTPNSAFPDNFSLPRNDGRSLQPLNLLGASRASAISRISQDF VFTPSLLLPCDYQKQTLVRDNEWHGEADKEFMFGYCHQSAFHDDVMLSVAHSKDPLRT DHRFSTSTTDTNSTSRSNSTGRRVQSTNSSRTTLTCHTTSSTSLNKMAGASAAEKLPE TGSDDGEWSCRTLVVPDLAPDKKMPLPQPTGLNRYHHRSHASENQVRDKAALSFPMES ARPLRPRARTTSMSTQPPPPVGQYASFSRRQQVPMNGGQI UV8b_06299 MADCQPRRQSPWAPRKSEARAICIVQCSAVRAARQQQAAAAAAA AAKPGMPRAPGRLDEADASPAGEMRCMNLPRFAS UV8b_06300 MSTVSEPEKSHTPTGPAEGLREWSSLIGIVTAIIGNVLIALALN VQRYAHTRLHKERLRVRQGARAVIKDTQRSSSSNDTSYGTIPARCDGPGVENDPADAL DSVAPVNNARGRRSSTGSEGSPEQEGKATSTYLKSPYWWLGQILITLGEMGNFLAYGF APASIVSPLGVVALISNCIIAPVMFHERFRARDFWGVVIAVAGVVTVVFSANQEETKL EPHDVWHAIATMEFEIYLGVTVSLILILMWASGRYGRRIILIDLGLVGLFGGYTALAT KGVSSMLSSTLWMAFATPVTYVLLVILLGTAIMQVRYVNRALQWFDSTQVIPVQFVLF TLCVILGSAILYRDFEKTTPNQAACFVGGCLLTFFGVFLITSGRRQKEQDGQDALSEI HGIEETIGLASNGEGLGAPALRCHETPMPKSRRSSILSRVSFADTAGDELGDKLDAAA ATTTALPQQAGSAGAPPPLIAIGSDGLVPENPWRESWSSNASPRSDCGAVSADSVATS SALIPNPGNWTVTPSTGDAATPPWYPTDQAVTARHSLGSAYRAGSHHGPETFISPSPL SSTVTTVVKDAFLRENASLAARQSSPRRIRSSIRASLFFKDGDAEPSRPSEPRFATCQ RGAEDGSADADAATRRRARSLSDAIGDFFRSRRK UV8b_06301 MADRDLDEWRNLIFPIITSRVYSPWALRHLPRQPVPNDLPAELG PSIFTFQDAFEDLLAVTSGKELPDISSRYQQRKLLRDMFPSGEPMSFWLRRLQSQSLW PSSRSSPLRGRGDSAVTTFHDNELHENPAGVPWPSARDHGDGTRVAATLLEQIGNAFR QQGALEERARAASPDSTDKAQQEREPHSFEELVSDVASKYKETVSSWEKFAKMILKDA KVESSDQFHMERHDDTENDALTTEQEYIDRFGYSHKTVTRRILDSYGNEVGSEVRVTI RPAAERLNNESNESAEAKNPPDTEKSGTGSKWFWK UV8b_06302 MASRSSANGRKRQRSEIEQDASPTIEDTGIETTPSVKKARVEER KTLFVRSIPKTATSDSLAEFFSNHFPVKHAVVVIDQKTKESRGYGFVTLADSDDATAA KETLDKTEWEGKRIRIDIAEPRKRNVSENTAASVVPKHGREGAQKPTKLIVRNLPWSI KTPEQLSHLFRSYGKVKFADLPQSKGKLRGFGFVTLRGRKNAEKALEGVNGKEVDGRT LAVTWAVDKDTWEQCEREKGSDAVGEQKQDDEDDDKSSESDGEVATSRKNEQDGELDA DLENFMKKHMQNMEDEDDEDEDDEDDDEDDDGGQKPSKKPTDNSCTVFVRNLPFVTTD DQLKGFFSHFGTVRYARVVIDKVTEKPAGTGFVCFVREADAKDCVKGAPHSAPSAAGA KPSLLLDENADPSGKYTLDGRLLQVARAVNKAEAANLADNSLAKRREKDKRRLYLLSE GAIGRESPLHDLLTPPEIQMRQASAKQRKKLIQSNPSLHLSLTRLALRNIPRNMGSKE LKELARKAVVGFATDVKEGRRQPLSKEENARDGKDAKEKERQRKLKGKGIVRQAKVVF ESNQGSKIEEKNGVGKSRGYGFIEYTSHRWALMGLRYLNGHQLQDGNGRKQRLIVEFS IENANVVQRRRAAEEKSAQIAQERKKGLHQHPDEGKANSDKQSRSKPGVPDNNNKTSH LGGNDRDKSRTGKAEDMTQRLIARKRLMRKKKATSRGKK UV8b_06303 MPAQPATRPTRKSAAVPRKQQSAATAGPRASAGSRASSAAVERD ETPDNALRNQICAIFRDSQRTTAAHRKLAVNLRKLHESCCYEPAKPAKASIGEFDEAA FNAEFVRCVLRLMPVRKSENAGEKCVRFVGFYLRHAQEKDVESLGDVDADTSLMPETP STRLTSEILETVLPLMTAKDKFVRFRSTQLVSQIINSLDALDDDLFQKLRHGLLKRVR DKEAMVRCQAVLGLGRLAGNQAEGCTNSEDSDDDQGTDLLGKLLEVMQNDPSAEVRRS LLINLPILPNTLPFLLERARDQDAATRRAVYSRLLPALGDFRHLSLSMREKLLRWGLR DRDDNVRKAAGRLFRERWIADCAGVSHQDETNSAEAPVVSLDGLLELLERIDVINSGV ENGVALEAMKGFWEGRPDYRDAVIFDDGFWETLSAESVFMARSFNEFCRSEGNGRYES LIEEKLPEVTKLAFYLERYLQVLIQALKRLDRPGADEEEEEEEEEEDQTVEQEFIIEQ MLHIALTLDYSDEVGRRKMFTLLRQSLSVPELPDEITKLAVEVLQCICAPDAAGEREF CSIVLESVADVHDTIVDDEPPDDADDSFHSARSEVSRGSTPTNEKKRGRSEALSEEDA RDKAVREIVVNMKCLHIVQCMLAHVAGNLKDNADLVSMLNNLVVPAVRSHEAPVRERG LVCLGLCALLDRSLAEENLGLFIHFFNKGHTALQITALHILTDILNVHGSQLMSSTPG LLKVYAKAVRGGAKAPEVQGAAVVAASKLLLGRVVSDEDACRELLKALVIAYFDPSSA SNQPVRQALNYFLPVFCYSRPANQDLMRAISLQALHSLFNLREGLEDDDVDVDEDMVS MTTIGACLVDWTDPRKCYTPGPPLDSDRKSVDGNVHLQLGLDILDRLRSNVNKEEKKL VAGLLGKLHVSSGSSEAKIRDLYASVVGAVEDALLSDAPSRNALYKIHVSLGKIVNSL DERRLSSKVSGRSMSAPIDGPLPEDRTMVDESKIKEEELEDSGDMTVGLGHETSAMAG NLSDHHEESKVT UV8b_06304 MASETKHWACVVLLTIANLLVPASIVAFGIGFFPHKPFLPGLAE HDDATFGDPPPAPFDKVVFMVVDALRSDFVFSNSSGFKFTQSLIRDGTAMPFTAHARS PTVTMPRLKAITTGSIPSFVDLILNFDEGDTSSTLAAQDTWLAQMRSAEKGKLLMFGD DTWLKLFPKTFDRHDGTSSFFVSDFTEVDNNVTRNVAPELENQDWGLMVLHYLGLDHI GHKSGPRSGNMVPKQREMDGIVRMIYHAIETKDHLKSTLFVLCGDHGMNDAGNHGASS PGETSPALLFMSPKLKGMLPKLEAPAEPDNEFHYYRMVEQSDITPTMAAMLGFPVSKN NLGAFLTDFLPLWPVPRHQTQILVQNARQILRVIAAAFGGELFAPNNESNACTLEATL LNTLACQWQQLREKADVLLGSSDSDQDWIDSMSSWLRQAQELMSSMASNYDLSKLALG QIIATAAVICSVAGLLQINQHRRRNILPLSLISISYGAMMFASSYVEEEQHFWYWSST FWIAHLGLKAMRRARRKASGVRYLAALCIIRLVRSWNQTGQKFAAEPDIVQLFITPHP QVLWCLIILSYVLISLRLLASFQRIPPIVATSITSIIASSALAFKLAFTAQDAPELVV GLAKNINDVLHGQSLLSRARVVFIGLSAVGCLAVHQARSGGTKALSSAKMTLHAYSIL AMTQSRATNVPLVLLSTMLFHCLDPAKLTVMEISTTSLLLQYTSFFAFGGSNAISSVD LSNAYNGIGGFNIVAVGILTFISNWAGPIFWVFATNVLLLQKHRQGQPDALPRHLSCL TLFSATSVAFVMAACTALRAHLFIWTVFSPKYLYCMAWSLAQHLAVNIVLGSLLFRLA AD UV8b_06305 MAKSARSSTRKENNRRKFASVFSPAEAARNERLSAKLLQLARQP KPESSDVKMDEDAAEDDDASSVNKQSADTTAMEIDPKPSKLRIEKKRINKRKQKKSSI VFQKYSDRSGRKKKSSK UV8b_06306 MATPASPMSRQEIAALVRQVQGNQLLNRQLSSVCQVNGLKSTGV KAELQRRIVDLIQEIVNAKDVSRFQQVRQSIVNAVTQRSSPFSKNSAARNSLPTMSST QFASSITALNPGTDSYQLDSYSNTANTNGRAGNNPFADFAHQITFHSSPFYRVDAAVG SMRTCEVMAQHRNSINIPIKMSDHPELQRCINDVSYRVMIFCAGDMVGVQNVAFPHQS ELKVNNDEIKANLRGLKNKPGSTRPVDITHALRWRPPHYTNNVEFTYALTSKKFYIVA NLCKITPVSELVSSISTRRRIPKESVVAEVNKKAQDPDVVATSQVLSLKCPLSYMRLE VPCRSLCCTHIQCFDATSYLQLQEQGPQWLCPICNKSAPFEQLAVDEYVRDILANTSR DLETVTIEPNGRWSTKAAQDNGEVSFGGAKVEDVDDLEVSQTKPIERRLETPKTQTPL VATPAPSGRESSTCAPRGQASYSAKRPAAKVIDLTLSSDDEESLQRPRKRQNVAANNG YSGPKTTGFSSESPSGYRPS UV8b_06307 MSLDPVMPPYNASDHHASFLSSSCLDFLLIELVPLAHRVASSRG ASRSLPGPPPAEAAAKPRPAAAPSDSAHPSSSGGNRKLDDDEDLDAVHLRLESLGYRV GQGLVERFSRDRPRFNDTLEVIKFLCKDLWSLVFGKNIDNLKTNHRGVYVLTDNAFRP FSRMSTDAGGQAVVRAQPFLWFPCGIVRGALAALGTSATVEAEINDLPGAVFQIKALG PKP UV8b_06308 MVKAVVAGASGGIGQPLSLLLKTSPLIDELALYDVVNTPGVAAD LSHISSNAKITGHLPANDGAKAAFKDADIIVIPAGIPRKPGMSRDDLFNINAGIVKSL IETAADVAPKAFILVISNPVNSTVPISAEVLKAKKVFNAQRLFGVTTLDIVRAETFVA EIIGEKEPHKLNIPVIGGHSGETIVPLLSQAKPTVDIPSEKYDALVNRIQFGGDEVVK AKDGAGSATLSMAYAGFRFAEKLLRAVKGEKGLVEPSYVYLPGVSGGDAIAKETGCDF FSVPIELGPNGAEKAINPLGGLSDKEKALLSKATEGLKGNIKKGIDFAHNPPQK UV8b_06309 MASPALPNLSSDLLWEIVRNNNCFLSKSSRNGGAQFSHDPLNLV NKNSRKHAGFVNDKAVGIIPNEKGGVTVISKKTSESTKPAKAFIKITHGGNKTSRKTY KAVANLTAKSGYRSDLRSAAVERVSAIRRSQRPAKADPEPKLRGNKAKKAEESS UV8b_06310 MDYVLFSVLAVCLVATTALFFTRAHWRHHVPDVRLPGAGYIYSR LPSSFAGDIDAGLSSSHFDLTGNVESGDSRAGLDDASKAEILNIMKKKRMTFDQARKA YMESRFKANGIGADGRPRDPKFVSFS UV8b_06311 MDIVLELTDTFLADYAYAYLYPLRPDFYHFPQAATVNASTQNFS SWTWKPATRFLQIEPSRAAYMSSLPRDNPYRQLATLFFITWIFGIAVYFLFATLSYYL IFDKRTLNHPKFITNQIRLEIVQANKSMPFMAFFTAPLFLLEVRGYGKLYDTTDQGPG LWYNILQFPLFLLFTDFCIYWIHRYLHHPLVYKRLHKPHHKWIMPTPFASHAFHPLDG FAQSLPYHIFPFIFPLQKLAYVALFVFVNFWAILIHDGEYLTNNPIVNGAACHSLHHS RFEVNYGQFFTAFDRLAGTYRTPEAWMFEKDKKMSQKQWKKESETVDGFVKEIEGDDE RTYGREKIEAKKNI UV8b_06312 MAPSAAHTTDNIATPIDLKSIPPFWQRKNGILLYFLLTSSLVTS AALGIDGSMTNGMQALPAWQERFGHPTGSKLGFFGASNAIGGVVPLLTLGWVGDRFGR RVPTALGSLVIIIGVFVEFFATSLDMYIGGKMILGFGSGLVQMTAAVLVTELSHPKER VQVTTFYNTSIVLGYVVGAWATYGCFRIPGQWSWRLPTLVQILPSAYQLALIFFSPES PRWLVAKRKLDQARRILVKYHGEGDALSPVVAFEYAEIQQVIAKEAEQNMTWGGFFSS VPNLKRIGLCFATALFSQSSGNLLVSNYLTQILKDTGVNNHKDITLVNAMLTLWQYIV ALTVAAIVDRFERRTFFLVGSGGVVAAFVAWTIAAQQYLEQNSLPAGRLVLVCIFVFQ AFYTFAWTNLVVTYSLEVVTYQMRAKTWAFVLLTIQVASIFGNYVNPIALQTIGWKIY IYYCIWVTIIFITVYFFFVETAGPTLEELTFLFDKEDARMRVVQVAKGIEDAHMHVDE KMEQKPV UV8b_06313 MLLKSVQRLVLPPTADMHVHLRQGDMMDLVAPTVRQGGVDTVFV MPNLVPPITTVERALEYKSQLRSIEPKVHYMMSLFLHPSVTPEVIARASQAGITGVKM YPQGVTTNSENGVANVEAFYPTFAAMEENDMVLNLHGEVLEALAPEGTTLEEAFLPTL KKLHDRFPRLRIILEHCTTSAAVEAVKACGPTVGATITAHHLYLTEADACCDPFAFCK PIPKKPTDRDALLKAVVSGNPKFFFGSDSAPHLMQSKISTEQGKAPAGVFTQPVVTQL VLMALQEAIERGDIPEADVTREKLEGFLSYFGRRFYKLPELPGEKIVLERKGEKIATT VKSSDGTTEVGISRAGTEVFSLTWTAA UV8b_06314 MSMYSHRGMGAAPPSSSVRLNELLDQIRAEFETQLRQTEGFEHQ ISAQVSEMQLVREKVYAMEQTHMTLKQKYEEEISMLRHQLENARKGGPQPGMPGPPQH PGPSQQPPSIAPGNGLFSGIMAGGNQAGLAPPQQQQHAPPQEQQMGPQHQIAQGPPGL PVPPPHPSAQQPPYQGGYPQGPVSNGMGPQPPQSTASPGPGRRGVGRAPNAVGPATPQ INTPVPYPGNAQSPQVSHPTPDHGRMGGPRAPPVGNALGDLEPDAVAPHNKKTGADWY AIFNPAVQRVLDVDLVHSLTHESVVCCVRFSHDGKYVATGCNRSAQIFDVQTGEKVCV LEDHNAQDMTADLYIRSVCFSPDGRYLATGAEDKLIRVWDIQSRTIRNHFSGHEQDIY SLDFARDGRTIASGSGDRTVRLWDIEQGTNTLTLTIEDGVTTVAISPDTQYVAAGSLD KSVRVWDIHSGFLVERLEGPDGHKDSVYSVAFSPNGKDLVSGSLDRTIKMWELSSPRG GQSGAPKGGKCVKTFEGHRDFVLSVALTPDANWVLSGSKDRGVQFWDPRTGTTQLMLQ GHKNSVISVAPNPQGGYFATGSGDMKARIWSYRPF UV8b_06315 MACQPKRTLSPASDRQRLTAAVERLRLTLSTILPLLDAFNHRHR NQHRASHWWSAFGALRRSLHALLSRCPESPSRDTRPSRLDHVVTRAVFMYNHTIPRAF VSFSQLAADNQHAPLGLMLLAALGQAHGSLQLLLDCAGWSQQHQSAPRCKRQQDPGEQ AAASSGLADRGVAVSRKGTPRAEKPPVAVFDPATTPTKCDQHQNSPGPRRVGEDAAET RSRRLLEQEDADKVTRSLCVMLTAHDPLTRILIIETNIHGMSSTAKTQYHYKRLEET UV8b_06316 MPQNHAPWDQHEGASSRFGSPRSSVILEEGDFPDDDAEDARRPI LDLQRRRRSSVTQRISALADIGGVNSFRSFARSWQRAASFAEVIPRRPSFVLATDSDH FPGPEGDGIQYGRSQVDDSCRAPVGLIGQHLQASSPQGVSAPTCAQQGRRTTPSAEEP TRDDIQEQERWDSDAGVSAETLFRGSPSTRSSIFAVPPHLAAPDVLDGYGSPYGTVGG TRYRRRRSSADERGGEGRENTEADDGDTALGEEHPILVKEVQQGDKVILAVEGQSTLP QSIFNSINAIIGVGLLSLPLAFKMSGWILGLVILTLTASVTAHTGRLLGKCMEYDPSI VTYSDLAYVAFGTRARVIVSALFTLELVAACVALVILFSDSLGLLFPDLASGTTWKCF CAVLVLVLNMLPLRWLSYTSVLGIFATFCIVCIVIVDGLTKEHAPGSLWEPAQTYLLP SNWLSLPLAYGLMASPWGAHSVFPSIYRDMRHPHKWTQGVNITFSFSYILDTCLAVIG ILMFGDGIEEAITSNILKTSGYPESLTLIMCGLITFIPLTKIPLNARPLVTTADVLCG IHRDDQHRPSCAPSSPAVAKSLRALVRVLVVLVLLGISVAFPAFDSVCAFLGAALCSL ISVILPVCFYLKLYHGDITRRERVVSWVLLVTFSALGTVGTIWTFLPRHLVGA UV8b_06317 MECLKDHFVSDVLLDGRYRTIRPLNHGSFGMVFVAQDMMTCETV AIKCLTKKSATSDVGVELAVDEKSEELVLHRNLGTHVNIVNLLHSFETEAHVYLVMEF CSQGDLYEAIRNGHGPLETEHVRQFMLQLVDAVAYIHSKGVFHRDIKPENIFLTQDGA MKLGDFGLATKDKWSFEMTVGSDRYMAPEQFDSAGAGYSPAEADIWAIGICLLNILFS RNPFTTPTEADPLFLDFSRDKQSLFDVFPDMSQDTYEVIVQCMNLDPRRRSLEGARDA LLRVVSFTTEDESLDDFCGAEKAAVASANREPLRTPSIQSSHVDNQTGAFPWTKALHA DSHGQPRQLSVIPDIESYTEDLFSKSGDTADWCSAYAQTPSMSSGFGSQLDVAMRSLA INDKTRRTVVSPSAGSLPIAMTKPFALPTPTILGRRDGAVSKSWSDMWDEDEEVEQEE QRKSLQEFNSRTWSQESQIEVKKDDILDAGPVSQVTIKGDVDDDLVADGFFFQESPVR ALAEMTPRYSPPSKRLSFDKWAALGERRRGQSAFTEAEKLVYLKPQRRCGFGHKICET GVRDHSCNTNKHWGKDRAKEAHRIKGRDLNWRRDHKPTDFGDVEWVGGW UV8b_06318 MLATAFADFDVVILDIEGTICPITFVHDVLFPYALKALPKYLDD HWNTIGFAPYQKSFPEDCRADRLAFESHVHDLVARDVKAPYLKALQGLLWESGYHSGE IKAPVYCDVAPFISAAYSARKILIIYSSGSVRAQKLFFAHTTAEPSNLTQFISGWFDT VNAGPKTEPSSYATILASYKEIKPSRWLFLSDNPLEVRAALLAGLRSLVVARPGNAPL PPDSYFSSIAIPDFSHQSETKIKQSLEALEQVTEY UV8b_06319 MRPTRKSLQSGRPPTARPTRRCMSREASRKLINKHHQLQKNQRR ATAQGDEKTAAAITEEISSLGGLSRYQQASLQGQRNDRGGDTSRVLLEWLPLDSVNKL SHRPRMLEVGCLSTRNACSASGLFDMVHIDLNSQEPGIIQQDFMHRPLPEHASDKFDI ISLSLVLNFVPSPEERGQMLLRTLSFLRHCHTPRQARSELPFPSLFLVLPRSCVDNSR YFTDERLWSIMESLGYSLERSKKTQKLAYSLWVKPPDTRVTHHAFPKTEINSGRTRNN FSITLTKVPPT UV8b_06320 MMNAAQTGVDNLDLEKLNDKDKAELRQFLANEQQRSQIQSQTHN LTKTCWQKCVTGTIKNSKLDGTEENCLRNCVDRFLDMNFLTMKHLNNMRSS UV8b_06321 MSDLDGMTSDGGYDTPVPELDDHRQELTSSHRAERPRRGTFDSL YGSRQADTDMGARTPDIRVRDFEEAIIDEDAPEIPQSSRHGRRFTVETPVDGREASPP NSVKAFAQARRRERDMSFSEPKCEYEDAHGRSMSTSSRRSHRSTARTADKDARSLLTN KAAEDDVCFPVQDGNRNHELRIDFDYLENFVNAERAAHLEGRRFPAPEFDDLRVESNN QQTQLATVDGDILDVPSDDSFQEKKSREVPSKIPVHPFDSNRFSFFSSAWESTIHAAE MGDLVLPGEDIRGLFELPKNDANGVWWLNVNRASKEEVQGICRAFGVHPLTIEDIITQ EAREKIELFPSYYFACFRSFNVVEEPDGIEYEPFNIYVLVFREGTLSFSFAPNSHASQ VRKRITALKEYVSLSSDWICYALIDNIVDCFAPAIRTIELEADAIEDEVFVMRQDDSS SFLRSIGRVRKNCMALLRLLGGKADVLRGFTKRCNENYQVTPHMDIGMYLGDIQDHVV TMATNLGHFEKMLSRAHSNYLATLSINNISQGTDTNRVLSKITFLASVLVPLNLISGV FGMNVTVPFQGTGSLAAFFGIIATMVLVCSLMLGWARWKRYI UV8b_06322 MADELLDKVRDLLEGQIDFDGQRQTEAITTSLLPLSALVAFAIG YQLQDIQKTVYIGLAGTALTFLVVVPPWPFYKSKPIKWLPAGAGWQ UV8b_06323 MADVEMNDVSSAAKKADATDGKKKFEVKKWNAVALWAWDIVVDN CAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHCISRWLKARSVCPLDNR DWEFQKYGR UV8b_06324 MAFAGATFHASPAPSALPIPSFLSKSSSETPVSKATVEDSSLRS SDGHVTTPFRPASLPHTIESPLDFMFRAHREEQRRTRGGGLTESTAGPKSPQTMPQLK SIGPSVLSSLPNPRRSYLREPFGGIDASELDGTPGRAMGPAFSTPYQERIKAARSTSH LNISQTRSQDSSVLEKSHPDDPTEALKKFLFGNVESVSSKPARATPENSSIPPRRTTA EGAVSSANESRAAEPRMNEIEAMENDLRRILKLDIGTNSNLTGQTSISR UV8b_06325 MTESNQKRRRRVAIVGGGAAGMACAATLANHPDKFHVTLLEKHE VLGGQASSIDLDERRYGASWMNNGVQGGSQIFKHTFRFFEQYGYPPHKVQLQVAFGKG QDGFWTNVFPSILVQKFASDIKRFGLFLRVVKWTLPILGLVPISIMLRVFMFDKEFGD KMVYPLIALFLGTGNQTANVPSGIVERLFDDPNMKLWDYDSATLLPNLPTMYTFDNLR QFYKTWGSDLTAKGVDIRTAHQVCAVLCRSREGVRLRIRNLRTEEVTDEEFDELVLCT LADDSLSILGETASWRERFVLKGAAFYDDITVTHSDKRYFEKHYETSFKPHLCADPTS DSQEQQISFAKGSAAQPEGFRPMYFTYTYKQNPRLIEMSFNCSNYQHQLVAAKNNGRQ ELEPVYQSIFLDKHRDNLWTIGEIDENKIIKRNWWHQLGHRWQHYLRVVPGMMFLNGK NSTTFAGSWTLVNMHELACVSGIAAAYRLGADYSRFDDFATDFFAKYLFLSHGLLFSR EERKRKRAC UV8b_06326 MCTGPKITASISNRLRLPKSCRIPLPCRRLVCQPASRSNSLDKI KCRRFQAMSVDDDVYEEQLNHAIALSIQDQARTNEKVMCQSNRTNNPTPNPFLQDRKA MEEARLARLATKRSRGLHDGDYGVEGVDMPARKRATMASKEPSAAGVSVPFPEGVVKR TWVQGYSRSSDDIKIEEILQKDQLKLALLSSFQWSEPWLLTKIDVSRTKVILAAFAAD ELQKQAMRSNSPENIKFCFPAMHGHGAMHSKLQILKFDDYLRIMVFLIDLPLLTLADQ PCSSTPFLTQLQLFLGAMGIDDGMISSLSKYDFSKTEDLGFVYSRPGWHTGSAFSDVG YAGLGKAVAALGLATSEPVQVDVACASLGAIKNDLVQGIYHACQGYVDETTQPESSLA GRDKPTKNVVDMQQVFRIYFPSHDTVAKSRGGTRAAGTICFQEKWWRATTFPSELMRD CVNTRDGLLMHSKIILVHCNGSTVNDRVAKPTWAYVGSANLSESAWGRIVKDKATGRP KILCRNWECGVIIPVRTAPASAETGQPTNLDMFKGVVPVPMKTPGPRYSKNTRPWFFL HA UV8b_06327 MSSLRVLLIGSGGREHALAWKLSQSARVEAIFAVPGNGGTATCP KVTNVTSVSAENFLELVKFAQSKEVNLVVPGPEAPLVDGVEGYFKAAGIPCFGPSKDA ARLEGSKTYSKNFMKKYNIPTASYENFSDYEKATQYMDSISHDVVIKATGLAAGKGVI LPQSKKEAKDALKEIMVDKAFGAAGDEVVIEERLDGDELSVLTFCDGYTIRSLPMAQD HKRIFDGDQGPNTGGMGCYAPTNIATKTLQERIDQEILEPTISGMRREKQPFRGVLFT GLMITRNGPKVLEYNVRFGDPETQTVLPLLSADTDLAEIMLSCAEGYLDNCQIKVERK FSATVVVAAGGYPGSYAKDTPMVVLPAPQGCTVFHAGTKLVGDQLKSSGGRVIAINSV GESLKAAVDASYAALSAGVIRFENMFFRKDIAHRAFRDSCLEAMTYAQAGVDIQAGNE FVKKIKSAVASTKRPGADAEIGGFGGELDLAECGYPGAPILVGAIDGVGTKLMIAQKM KKHDTVGIDLVAMNVNDLVVQGATPLMFLDYYGCSKLDLSSAAAFVEGVAEGCRQSGC ALVGGETAEMPGMYQGEDYDAAGCAVGAVRAENKLPRQSAMLEGDVLLGLGSAGVHSN GFSLVRRIIQSAGLEYDAQAPWDTSRTVGESLLTPTRIYVKSLLPVLGEIKGLAHITG GGLIENVPRMLPESLAAEITYGSWTMPPVFQWLKQAGNVDAMEMCRAFNSGIGMVIAV DASKADAVQAALSTCEEVYRVGCLVRRQEGRSGCDVRNLDSWV UV8b_06328 MSGPSNPLNPTFEGHIASTLDALILFEACLSGNLNHVPRRPHDR ERQDLIKSGHIFIYEEHSSGIKRWTDGVSWSPSRILGNFLIYRELEQPFPPGEKKRAL KKKKCPPGGIGKNEIGARTSLSGFAAAGMNSVGKGTERSLIGSLIDSYPFKNNGLIKK TISVSYQGIPHHLVSYYNVNDVVAGRLATPSKHYHTRNLIPRSELIMSQNFRAPIDEV EYSPDERGGPPALFTTLSGAHDFNTSAGGVLHRAMSLTGFQSAVHMASTYNHTAYGYH QPQQHYMASMNPSIHPTPMQQQHHHQHQHQHYHHHQQQNQHQQYQQRQQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHHHQLQATAPPPPPPQQLVSSMPPMIS SSAPYIPPGITASMPPSTSLMPFTTIQQGNYSLEPHKTARFRSDDDIESEFPRHMPHH SQPRQSSTFEASQPSDESPINLGSVSEARPTSNEHSYMRQAYYFPSQATQMNQQEVHA FSEPRPVRAEPDLSTPGSGAPQYNVDESGSTWELDGLDGSQEQKYYDNQSGGNSQKQQ VQGGSKGVNRS UV8b_06329 MEPLRLLRTDWAMWRNMVAGPITEECLFRSSAVPLLLTAGCSLK SIILLSPLVFGLAHVHHFCEFRITHPQAPLWAAIARSVLQFSYTTIFGAYATFLFLRT GSLIAVIAVHTLCNSMGLPRVHGVLEPYWVPDGEFRQNKNIIRWTVPYYLLLVGGSVL WWKSLLPWTKSSMALASFGT UV8b_06330 MSSGEMIPGGCPVKSEPTLSFTQGLIVGQLSVVLILAAFIKFFI FGDPPSSDVIASNRATERRSRTVAHKQSLLRLRGPYQRQSNNLKRKKSSVLRNPPALT IGSILNKTYYNVDSHQPESLDWFNVLIAQTIAQFRSDAQYDDAILSSLTKALNGNSRP DFVDDIRVTELSLGEDFPIFSNCRIIPVDKDGLTLGNGIKFDAAAAARDGTRLQARMD VDLSDMLTLALETKLLLNYPKKLSAVLPVALAVSVVRFSGTLSISFIPSNPSQSTPTM MTFSFLDDYRLDFSIRSLLGSRSRLQDVPKIAQLVESRLHRWFDERAVEPRFQEIALP SLWPRKKNTRGPEDSIADGGLSVGRSKGQDVNREAWDDPTSRKLNPSIKDGMFDKSHM RQRRHVKDESNLAFSGPMTGVAPT UV8b_06331 MDLSQAVAGYISKIVMPSGDTSSSKMKILLLDNETVSIVSTAVT QSFLLNHEVYLIDRLDNANREKMRHLRCICLIRPSPQTIQFLIDELREPKYGEYYVYF TNVTKKSSLERLAEADDHEIVKMVQEQFADYIVVNSDLFSLGFSLPQQRIWGSSPDSW NPDSLQRCAEGLLAVMLSLKKKPLIRYEKGSLLAKKLSSEVFHLISREQQLFDFRKVD TPPILLVLDRRQDPVTPLLTQWTYQSMVHHLLGIQNGRVNLSDVPDIRPELREIVLSQ DQDPFFKKNMFLNFGDLGGAIKDYVEQYQSKTKNNANIESISDMKRFIEEYPEFRKLS GNVSKHVTLVSELSRKVAAENLLEVSEVEQSLACNDNHHADLKNIQRLIQTPNITAHA KVGLVALYALRYHKLSANASPMLADLLVAAGGVPPNLANLANKVLRYHLSLQAPPSQS GLSDILDSAGIFSGASGRFRGLKGVENVYTQHTSLLESTLQSLIKGRLRDQQYPFVEG GGSTKDKPQDIFVFIIGGATYEEAKMIAGVNATTPGVRVVLGGTSIHNASTFLEEVDD AVSYWSS UV8b_06332 MASLMYNNIISETLKFPLSTSLSFSFFSWGFKKKKRRKSQDIET DPFMPFIYNIPYSRFFWQRQLLRCRPLSTTAHSRPFDVLVIGGGHAGAEACAAAARSG AITALITPQLDNIGKCSCNPSFGGIGKGTIIREIDALDGLAGRIIDKAGVQFQILNRR KGPAVWGPRAQIDRELYQRYMREELESYPNLSIVLASVSDIILSENAELSSSVKVPYI TGIRLDTGQVLPAKKVIITTGTFLGGEIHIGLQSYPAGRMGESATSGLSKSLRRAGFT LGRLKTGTPPRLNRATINYKDLDSQTGDNPPTPFSFLNDTVSVKEQLSCSITHTNQAT HQVVHGNLDKTIHIRETIKGPRYCPSLESKVIRFPEKERHIVWLEPEGFGSSVVYPNG LSMTIPPEAQEQALRTIKGLEKVEMLQPGYGVEYDYIDPRGLKTTLETRAISGLYLAG QINGTTGYEEAAGQGVIAGINAGRAAQGLPEASISRSDGYIGIMIDDLTIKGVTEPYR MFTSRSEFRLAARADNADLRLTEKGRAWGVVSEKRWNAFLDEYQQIKDLTAILNTTSL TPAHWTQYGYDLKSNSRRRTAIDILRLSNTGSCIDHQRLWDVIPNIEKFPSRVRNRVV IEAVYAPYVKMQAAEREQFARDESIHLPADINYDTIPGLALSEREVLTLARPATLAQA RRIEGMTPAGALRLLAHIRRPRMSQTSFV UV8b_06333 MSAIARVASRAFRNNSATYSTAASQLTNLSHRPLLPLLKQVATT LPRRQYQARQVSITPFLRSDSRFEQPKAKTRSTSDHGLAKSETRPPVKQPIHEDIYTV PNILTLTRLGAAPVIGYLVLHDCHAWAVGLFAYAGITDLLDGWIARRWNKKTVVGTVI DPMADKALMTILTVCLAAKGALPFWLATVILGRDIGLGIAAIYYRWISLPPPKTFARY WDFSLPSAEVRPTTISKYNTFLQLGLIGLTTTAPLVNVDLTSTLTILQYVVAGTTIWS AASYVFSKDAVKILNILYHETGWYHMLGSAQSIIYADPGTESIVQI UV8b_06334 MTEAEKKVPLSPKKMTQIPVRDRESSDDELAVGEDVTALVKSPI RTSTLPYADYATSHLVSEVKNSINATESNEKLPYGAISLESPPRRPSNAAPEATLKSP AKRIGCIQLPGSSLKPRNVSFADDTAAPSQLVGTSSLFQSPAKRPSSPIKGTPKMQID IPSTPVRTAGLPSQLLLAEELDGTAHEASVTDGLFTESIANPQFPGRAGDGLEMAGQE DISDLGADTIKPFQCQPLKRDEQSCDWSSGTNQDCSTPTKSSSSPNGEVFGTSTGAGF CAPVELVEGSDFQLRDNFFGPSRGFGSDVESDDDEYLSKKLPPSIDSSRTRRSSKRDF THDILTQPRRSEMGLTSLAGELSTWETASPIKEDADDSMAVEGVDDDINATVTSHSVA DAAESEPLPIKDTYFEDEILVHLDITRSEKRGQGRDERDENSIAITTEDMAIPNEGIS PTEETNNTLLISQAQLPDTNHGDEALSEASQEYGDENESPSEPTLPTVPVTPTRQPQR KSFFTTTKVPLKPADDSEPSPKNQFSFSVPRISSRNGGSHLPKSATVISWSPMKERKR ASILPGEELFTTPVKDDIWSNAGTPGRSPRRDVNPGLLQGAVVFVDVHTTEGADASSI FVELLNYMGAKCVKTWHWNPSGPGSCDAASNKVGITHVVFKDGGKRTMEKVRETNGLV HCVGVSWVLDCERKNEWLDETQYYIDTSSVPRGGARRRKSMEPRSIANMNGTIVSCSA KSSKTPNTPRNRRESTLWMRTPPGRENLDDEDLEWSCALLTPVPKTPAPEAIARYASE LPETPSTASESDRPTPEQQKFLTRTCPPKEGRYQELGAGILNRDKDEQVMMRLMAARR KSLQFAPKIGSPLARTWN UV8b_06335 MTEEQTHTAREGATAGDVEDEFQPTAKSAEDRKAASALASLDAR GNDEPSSKEVDSEAVNKAMKSLNGSKPSAAPKPVSGKNVKIDDEDVTLLVNELELTKP KAVELLKAHDGDAVKAMRSWVEV UV8b_06336 MASRPWHPSTWRSKPIKQSPAYPEAEKLSRVVKELSHMPPIVHP NEILALKAHLCDVAHGKAFLLQGGDCAELFDYCEQGAIESKIKLLLQMSVVLIWGTNK PVVRIGRMAGQYAKPRSSPTEIHQGKEIPSFRGDILNGHHVDERDIDPSRLMKAYHHS AATLNYIRSSIASGIADLHRPFDWGLGHVRDPALKEKYSTIANSIQQTLRFLEAIKSR PDELQTVELFTSHEGLLLEYEEPLTRLLEATSAHATPPASSRAPSPLPPSQDDNKPSI KQAYYDTSAHFIWIGDRTRQLDHAHVEFFRGVANPIGVKVGPTTPTSDLLDLLRTLNP DREPGKITLITRYGAQKVGELLHKHIRAVEDSEYRRCVVWQCDPMHGNTLSTPSGIKT RRFNDIYKELQESLRIHKEQGSYLGGVHLELTGDAVTECLGGSEGLEEDDLSTNYTSF CDPRLNEKQALELAFLIADHFSREQETRR UV8b_06337 MPHSSGTHTVVFSDTKFGSSDPSNRFYYPVPHQVGTNDARAQFP RTSVAMLHRPNKPSALTATPNLSPQPQVTISDNSRVSAVLPTGESVDVLLFGATVVSW KDAAGDEKLWLSKDTRLDGSKGVRGGIPLVFPVFGPASPDHPPTSRLPQHGFARTARW EFLGKSTSEGSSSSVKLDFGLSSDSIPDSTKTLWPYTFGLLYSVTLDRESLNTALVIT NDGDEPFECQMLLHTYFRVKDISTVNITGLEESMYIDKVDGAKSKQESPSPTCFTAET DRIYTLTRGPKHPIIISDSGSPRFRIVRDNLDQVVVWNPWINKAKSIADFAPKDGYKN MVCVEAGSVGGWLKLDKGDAFEGAQTILLT UV8b_06338 MARKYFGLSGQTLSWAIGAVAGCDFLLFGYDQGVMGGILTLPIF LDQFPDINDAAEGLSRSEKSNRSTYQGIAVASYNLGCFIGAIITIFVGNPLGRRRMVF LGTALMVIGAALQASAFTLEHFIIGRTITGLGNGGNTSTVPMWQSETCPAHKRGKLVM IEGALITGGIMISYWVDLGLSFAPGSVAWRFPLGFQIVFCLFILAFVLGLPESPRWLI LKGRDEEACDVIAAVADVDNDHEYVANEFRAIKETVAEMSQGRCADLFAPDKSRALHR TIIAYASQMFQQISGINLITYYAATIYKGLGMSPFMSRLLAALNGTEYFIASWPAVFL VDRAGRRKLMLFGAVGQAATMSILAGVGSQSDSKACQIASIVFLFVFNSFFAIGWLGI PWLYPAEITSLRTRAPASAFSTSSNWIFNFLVVMITPVAFTNIRHHTYTVFAIINAAI VPSVYFFFPETAYRSLEEMDSIFQKVHGWEGAFDVVHQAKIEPRRYGKNGELLVVLVE DVEDGEKANAA UV8b_06339 MDPTSNPQDERNADPVSVKQTGSKPASSDCSSRGNVPQMTPSLC NVYKPAQRQNFAENFRNIPQSPRHLHATFPQAAVQDLISHPPSRQRYMNPKLAGREWG EITVDELISTDDVKWVQMDSSVEEATMVLLKSKTNVVLIRENDTSYTALSTFDYSDLN AYLLVVIGLCKPEPDQAQLCNDIMLQARDGKQISLRQFQPLCKQEPLVGVPSSGKLSQ AIEILGSGIHRLLVIDPLGDVLGIISQLRMVDFFWNEGVNFPVVDCLYSATLQDLGIG AQEVISVNSDDSLSDALTLMNNEGLTSVAVVDGGHNVVGNISTKDVRHLTTTSNAPLL NESCMRFLSVILNERGVEKGRDAFPVFYVTRSSTLAHVVGKLTATRAHRLWIVDPKTQ SPSGPATPMAMIQNVVSGSMSPTTALPGACISGKPNGVVSLTDVLNIFAKFAGLHPTD PGEQRAQRRRSSSSSVRPSLDSSRPSIDFRRT UV8b_06340 MILLTLQTAFIPQASQMSSSHNAAGVHGWHFSSATSDGKQQACE CGVSSELPQLGDFRKLYSRQWSMSPISLQSIETLTSRARCGVAKIPTRGDAGLTSYQS GTDGVKLGDFDKLHSALQERSHEANATVTPSCHLERSSEVFPSAKDDNTKPNVGLISQ GLVKSKNHLKSPSSTAPKPLTVIAAKATSHIGKALSYQFKSCGPVFCIKDISISLKRQ HEFPPPLMRLRAGDAKIMAESHQTASNGIHVFLDMSNINISFHNVLRASFSINAKAYF VPLPQLNLQFLTEILVRGRKVMALNAGCSVLPHRQHPPYIRDLQSLGYRVDVRERKLA SDSKRLRGMKVGSGDVSSSDELNTSRNTMRYIEELVDETLQMRIAESVMEYFQNEGTL VLATGDAQPAKYSDGFFTYAERALRMGWHVEIISWNTSLSSRWKQLGFADTWGSRFRI IELDDFLADLLL UV8b_06341 MSGYPGGQNDHYDDGYGHPGENHGNADAYYQDDQYYDNGYDTRG GQGAQGAHGGEGYYDESGYYNADPNNPYHQDGGYYDGHDQYQDEYYNGQGGYYEQDYN QGYGGRSGRQGSEEDSETFSDFTMRSDMARAAEMDYYGRGDERYNSYGDGQRGYRPPS SQISYGANRSSGASTPNYGMDYGNALPAGQRSKEPYPAWTSDAQIPLSKEEIEDIFLD LTGKFGFQRDSMRNMYDHLMTLLDSRASRMTPNQALLSLHADYIGGDNANYRKWYFAA HLDLDDAVGFANRKTKGLRRKAKNKKKKKNADETTEAEALQDLEGDDSLEAAEFRWKT RMNRMSQHDRLRQIALYLLCWGEANQVRFMPECLCFIFKCADDYLNSPACQALVDPVD EFTYLNNVITPLYQYVRDQGYEILNGVYVRRERDHKHIIGYDDCNQLFWYPEGIERIV LQDKSKLVDVPPAERYMKLKDINWKKCFFKTYKESRSWFHLLVNFNRIWIIHLTMFWF YTSHNSPSLLYGPSYQQQLNQQPPVSKHLAAVSIGGGIASLIQVLATLAEWAYVPRRW AGAQHLTKRLLFIILILAINIAPAAKVLMFPTKTSASGGVDIDYIIGIVNFIIAIFTF IFFSVMPLGGLFGSYLTKNSRQYVASQTFTASWPRLKGNDMAMSFGLWLTVFGAKFGE SYVYLTLSFRDVILYLSIFHPVCLGDSIIGQILCKNQNYVLLGLMAFTDLIFFFLDTY LFYVLLNTIFSIARSFYIGSSIWTPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLIS QVWNAIVISMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDHSFKTEFF PSQSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVMIPHYSEKILLSLREIIREDEPY SRVTLLEYLKQLHPHEWDCFVKDTKILADETAQMNGEPEKNEKDTAKSKIDDLPFYCI GFKSSAPEYTLRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSD KLERELERMARRKFKIVVSMQRYSKFKKEEMENAEFLLRAYPDLQIAYLDEEPPLAEG EEPRLYSALIDGHSEIMENGMRRPKFRIQLSGNPVLGDGKSDNQNHALIFYRGEYIQL VDANQDNYLEECLKIRSVLAEFEEMKTDNISPYTPGVKNETPTPVAILGAREYIFSEN IGILGDVAAGKEQTFGTLFARTLAQIGGKLHYGHPDFLNGIFMTTRGGVSKAQKGLHL NEDIFAGMNAILRGGRIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYY LGTQLPLDRFLSFYYAHPGFHVNNMFIMLSVQLFMLCLLNFGAFRHETIPCDYNNQKP STDPLYPTGCANTDAVMQWVQRSVLSIFFVFFLSFVPLIVQELTERGVWRALVRFMKQ FCSLSPFFEVFVCQIYANSVQQDLSFGGARYIGTGRGFATARIPFGVLYSRFAGPSIY FGARLLMMLLFATVTAWQAALTYFWITLLGLTISPFLYNPHQFAWNDFFIDYRDFLRW LSRGNSRSHGQSWIAFCRLSRTRITGYKRKTLGEASAKMSADVPRAAIANIFFTEILA PLLQVVVTLIPYLFINAQTGVNETNNPDVAKKYLKPTSSLIRVLVLAIAPIGVNAGVL AAMFGMACFMGPVLSMCCKKFGSVLAAIAHAVAAVFMLISFEGMFFLEGLNFARTLAG IIAIISIQRFIVKLIVSVALTREMKTDQSNIAFWTGKWYSMGWHSISQPGREFLCKIT ELSMFGADFILGHWLLFMMLPVILIPQVDKLHSMMLFWLKPSQQIRPPIYSMKQSKLR RRRVVRYAVLYFVLLVVFIGLIAGPVALKKVLSTKQLEQFYDKAIIGNFVLMQPNFLN HDDTQASSATGTGAEGYTYPSGYGPSSSSGSAAGAT UV8b_06342 MSPFALGEAIPSDTAHAVSVSLPTWQANVGYEEGEKWVLNQMAT GYPRFFIHKSIQLFALDITSTFGKNGLQAMLFPTRLAAKRCVEFVTNAQSNLCDAMDV IELKLDTSKISNILIKNLSPTLSAVLMPDSAFIIAKQYWQHTGDGISSRQAEFCHSLF RDGLLVTATEADCLNASAGAKRMVIRGPKRYHRSGFNITAHTRPTLNAQTANKSEESQ ESLRFLEERFGRNLDLAFVECAKSAIRRRIAGSIVQDLNMRNEALATNSRGRLVLREN DIYLFPAGMNAIFNAHRVLLSARGQLKSISFGFPYVDTLKILQKFGPGCEFYGHASSA DLDHLESLLKSGQRFLALFCEFPGNPLLICPDLKRIRRLADEFDFAVVVDETIGTFAN INVLSDADIVVSSLTKFFSGDSNVMGGSIVFNSNSKYYKDLKDAVDHIYEDTYWPEDA VFMERNSRDFQNRLKRINTNAEAICAILQKQPTVNSIFYPKLNTSKHYYDDYRLPNGG YGGLLSIVFHDKKQAQAFYDAMEIAKGPSLGTNFTLCSPYVLLAHYQELSWAAQFGVT EDLVRVSVGLEDTVSLQKTFLEALKAAESSINQN UV8b_06343 MKFARDFRVTLASQNFPTHWIERAIPYGQLKKCLKKVQRELQDL GLDPDTLRALLDPTTTSPVALQYKLKTANGSNFVRPKLTVYVHMQDGVAVDASLTPTS RRFFERLASEHRLTDILKLDEQVNTTRPSESVPPSFTQGSSHQGEKSIQDIYEKVEVP LVFDGEFFDMLQSDVNDLAALQVLEQRRLTADVIALGKEVSLVCRPSRLSKSDLARWR RVFELYLDAEVFFGTHERDSGARSSQIALKQLQWFQAEVEKRHLVKEFKLRESQAAFS KFVNLNLSLLKTVQFQELNRLAIVKILKKFDKRTSLGVSQTFRSAMPSDNFLSRDVAK DVCAQMSQELVAVVPQLNDYLCPVCFSVAYRPVRLDCQHVFCIRCVVKIQRQNERHCP LCRADVIMTASADNLDHVLEKYLKKYFAREVKEKKRSNEIERGVEDYGPGYTHHDCVI M UV8b_06344 MGAGQSAPATLTTKRCYYELIGVGRDATDVEIKRAYRKKALELH PDRNLNDIQDATTRFAEIQAAYEILSDPQERAWYDSHRDAILAGQDDPGDSPEPRTFH NVRLTSAEEISNLIRRFNSAIPFNDEPTGFFGVVRETFEHLALEEQAAAAYGQTTDYA TFGSSEDDYDSVVKLFYTSWSGFSTQKSFSWKDKYRVSEAPDRRVRRLMERENKKRRE DAIREFNDSVRFLLTFVRKRDPRYLPNIQSEAERQQFLRNAAASQAARSRAANQEKFG TYERPDWTHQHHDEELEGFFSEDEEECEVEILNCIVCSKSFKSSQQLEAHERSKKHIK ATQNFCRQLENESISFELNNKAVQNQDAGQAQKSSISQETQWIETKSVIKSLAFDDEP ELSHKLNQYAGADELGQYGGQSSNLPTKQVDNEKNGKEDSPRGEAEVCLASETLTDHP VSHAKYESTSLVDGFKPQPLSTQPKIGMAKLKRQKKAASQLLKANGESTCKVCHAAFV SRSKLFDHIRQKNHAASGLESTESWSSGLKKS UV8b_06345 MESAMANVDLGKYRRFVQIFWDPEPINDTALDQPVWCLGRAYSL SEKRDTGSLQRASSPSDQPFQDFSIERILPNPNPQKETTTTTSTDTEAQEREIHSSLE SLSSSFMYESQNATASGWPVAFMEDFKARFGMTYRSSFGIIHKSDNPRAASSLSLSMR IKGQLKEQNGFTSDSGWGCMIRSGQSLLANTMSIMDLGRDWRRGKLPDEERRLISQFA DDSRAPYSIHNFVRCGEAICGKYPGEWFGPSATARCIQELVNSRGLPLRVYSTGDSPD VYEDCFMKIANLDGKCFHPTLILVGTRLGIDKITPVYWEAVIASLQMAQSVGIAGGRP SSSHYFVGSQGHFLFYLDPHHTCNALPFHSDISHYGVEDIDTCHTTRLRRIHVREMDP SMLIGFLIKSQEDWIEWKRRVKHVQGKTIIHVADSYPAHEVRDATGRSAIDEVEPLSD DEDLYTGDAT UV8b_06346 MHSFTPQFLEVFEKVKNKMDERTLILSLTEELDGIRNTMLQRVR AMSSRPVHPPGRSRSYLEWGEDLVKNRRFQIKVPDKIMAKRKKGLSARNQKKGGFFVY PGSHVQPKLSRDSATYLSSSLAEFTLVDEAQQTSSHSLFSSNEHTKLRNNPVQFTSAG QTEPLKGSMTGSHALSIEMHRLVYHGGKRLCAAHQAKKSFYSAEETQEGAAHRRQVLQ QKETHLMQDSKRNRKIHEYKSTVPRAHDEDYLDDYISNMQETGELDYLLGRVDQKHRN LIVSDEDSCLVSSRPNHRNDYIDHAADAGNERPRSRSRYDEDMTVHPILAPSGHLYKF PLFRGQVDFESPNWEQPIICRPKRGILGKNATLNDLSVKYSSGMNMEEIGEELRTFFQ NGDELISFPPMDLHARKIIHELANRFNLKSKSIVTCFCIKHHAHEFIASTPYSRCLLY SRKTPIDREDIAPIKQNHP UV8b_06347 MPPKAADKKPASKAPATASKAPEKKDAGKKTAASGEKKKRSKTR KETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTISS REIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK UV8b_06348 MTGGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTGSKSGKNASQEL UV8b_06349 MSTRKATLSLYRRSLKLALDWAVQRHLWRGQALYIRSLFEANRN VSDPRQQRALLSETEKLLDSWKHPEPYIPPTAPGGSKYERNLPSPILDPPPHQTNRH UV8b_06350 MFSRRIAAATRMAPSFLIRDVRPNPLILLPSMLQNGRTYADKMI KVPQMAESISEGTLKQFLKGIGDFVEQDEEIATIETDKIDVSINAPESGTIKELFASE EDTVTVGQNLVRIELGKVSAASSKDEPVPQKDEGKDKPNRETNQILKPAKSVSEPDQK ETKLTREATKAENPTITKPKPTENPSQVSTSAVGKREERRVKMNRMRLRIAERLKQSQ NTAASLTTFNEVDMSNIMEFRKLYKDSVLKKTGVKLGFMSAFSRAAVLAMRDIPAVNA SIEGPNGGDTIVYRDYVDISVAVATEKGLVTPVVRNVESMDIITIERSIADMGKKARD GKLTIEDMAGGTFTISNGGVFGSLMGTPIINLPQSAVLGLHAIKDRVVAINGKVEIRP MMYLALTYDHRLLDGREAVQFLVKVKEYIEDPRCMLL UV8b_06351 MLRLVHRPFAALSQSSGGSPDELKLIFSFLLSYPLAGLLKRVPD ARPYRKNLFIIGTSVFYLVGLFDLWRGLLTITISAGGAYLIAKYMRKSPYMPWIGFIF VMSHMAVSHIRRQAANTPSTIDISGAQMVLVMKLSAFCWNVADGQLSDDLLSDLQRDR AIKKLPSLLDYAGYILFFPSLFAGPAFDYAEYRRWIDTSMFDIPSCVDPSKKPPSRKK RRIPRSGTPATFKALTGLFWIALFGFLSAKYGQEQLLLDSYMQHALWRRIWIMYVVNL VARLKYYGVWTLTEGSCILAGLGYNGFDPVTGKVFWDRLQNIDPWAVETAQNPRGYLA GWNMNTNNWLRNYVYLRVTARGKSPGFQASLMTFVTSALWHGFYPGYYLTFILASLIQ TAAKNFRRIVRPFFLDAVSGEPTPRKKYYDITSFIVTQLTFSFATTPFLLLNLADSVR AWSRVYFYGAAWTLASLIFFASPGKLALKAALEKLQGRATGSKLVQSMSPNSLSGKEP ILGISKEPDQDMSEAMGELKAEVGARHREKSD UV8b_06352 MQPPFWRPCGTRLLAGSNFIRHMPRHILRNLGFGGEPAQAALLF QQTAAWASGDEGSASISTFTVAVIDDCTQDFGPLACLPTILSEILQVAALLNRRGLRV QDYPLSDPSPFLKLEELGLIISCGVRHIGALSSFAIYCIAFNTLVFATVTATPNATRS KILTRLIITFRSKSSRHFPTLQVHQVKRNRIRYAASGVLLSPQISSGTQSATLVKLTN TSLRSLKHRICAVHQVVSCSFQGDNAALCKYGILWTWPIMSIMTQPVSSSGERIGVAQ QASMDPDKLQIRMVPYSPPRISSVGSTSSRPVSYADPSISTSLVSQSETWNGAPYFAS RPNFRVEPHHRHQNRQTPDITSIYGAHLSDQARLTESCRARSVLPATLPSQSLASPPS PLRRPQRVITVNSDKTFSLNSEKYGASSTAESLRSPCRSSTFATLSVDRNFSESCVEE QSSPPLTTHQEDSLTPFPKRSIAPPTTISVADPSSPWNYNLVGGLRLVPDSTGRKKRD LSQVPSLSLSTKNQSCSSSGPLIRATQVSRKESFQSWQSNSTWSENSNIEAIISRSPC PSRTNFSRLSCDADPQSQSTSSSYLNFEVIGETSGEQSVICGSRPKTGESDCNYVLHE TKPSSPSGLAASGSLLKSEFSRESLLVAPLRPGRCFSQVNLSRQKSRESVRTGSFTSI STAFIEEARRSLFAGTAMLSVPPGIVRRSSARKTFTLSGHRQMNPAADNQPKTTLSIL LSGSDRDSEVPTRCFSQSTVGRLCSLPHLPDDRGYNSTAWIDSPECVHHIALNREAND SSLRLIEDQDEHGDGLAKLGALHRHSRTRHHRHLSSFPSDRNLCSSGSSRSNSFIRTY IPTWAKLYYGSGEGRFLTIQHSSDSLFSNFNASVQRRSVRSRPSTAGCPPPMNDAPRQ VSQHSRHAKTSSETASSTGNPLPSYRLAMVERIKKQTSSIWSPHLRRDKRAQVYSFWR PPFSMLQFCQGDLNRNRVQTVCFVLGFVFPFAWMFAAFLPLPSPTRLETHDKNYTSGH LDPEYGITHRSLDDPVASFYFRANWWRNLNRYMSIVGIFVIGAAVALANIKCISTTTT EGRPLNHQPVHKRISYLRSSHRTTFIDLHLIRSYPLNFFLQRRHLIQFPAYDYHDDKI IYETQTIFQCRSDSIFFYDLNVRHPDDFLASYIIHRTPPRSDYRVIGQTSYFCFGFLL THFPLNPIIKLGTKHYDPDL UV8b_06353 MSVRTLRIGLIPGDGIGKEVIPAGRRVLEALPSYLNLKFDFVNL NAGFEVFQRTGTALPDATVDILRNECDGALFGAVSSPTHSVKGYSSPIVALRKRLDLY ANVRPVKTVMNTPKPIDMVIVRENTEDLYVKLEKTMDGPEGKVAEAIKRISDKASFRI ASMAGEIALRREKLRLSSAASIHSEPLITITHKSNVLSQTDGLFREASKRALADPKYA SVNVEEQIVDSMVYKLFRQPEHYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGEG FAIGEPCHGSAPDIQGKGIANPIATLRSAALMLEFLHEPAAAAKIYAAVDANLEHGKL LSPDLGGLSTTDEVVEDILNRL UV8b_06354 MRIPRTARWLNSHLLLTGQWQAINLRRPAPLAANSECGQDRAFS QTFLQKSSAAQEALSKTKNDVARLTAEHMEANMAPEEVQRLSRVRNIGIAAHIDSGKT TVTERVLFYTGRIKAIHEVRGKDAIGAKMDSMELEREKGITIQSAATFCDWKKVENGK EETYHFNLIDTPGHIDFTIEVERALRVLDGAVLVLCAVSGVQSQTITVDRQMKRYNVP RISFVNKMDRMGANPWKAVEQINSKLKMPAAAIQIPIGSEDEFEGVVDLIEMKALYFE GPRGTKVRQATIIPDSLRDFAAEKRQALIEKLADVDDEMAEIFLEEREPTTKQIKAAI RRATIARNFSPVLIGSALADKAVQPMLDAVCDYLPNPSEIENTALDKSKGEKQVKLIP YNTPAFVGLAFKLEENNYGQLTYIRVYQGTLTKGSYLFNSRTDKKVRIPRIVRMHSNE MEDVSEVGAGEICAVFGVDCASGDTFTDGGLPYTMSSMFVPDAVMSLSIRPKRTVDAD NFSKAMNRFQREDPTFRVHVDPESEETIISGMGELHLEVYVERLKREYKTDCITGQPR VAYRETISKQAEFDYLLRRQSGGPGDFARVAGWIEPNEKPEENKYESRVVGGHIPDKF LTACAKGFDLACENGPLLGHRVIGTKMVINDGATHVTDSSDYAFSLATQMAFKKAFTD AGGQVLEPLMRTTITAPNEFQGNILMLMNKRNATIHDTEIGNEEFTLICDCSLNAMFG FNSQLRAATQGKGEFSMEFSHYAPAPPHLQKELVAKYEAELEAKRTK UV8b_06355 MGKLIRLELFNFKSYKGHHTLLFGDSYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSAHLKDLVYRGRVLKTYKINDDGSAESQRNGEDLTASDDKASRG DPKTAWVVAVYEDDAGDEQRWKRSITSSGASEYRINERVVTAQQYNEALEKENILMKA RNFLVFQGDVEAIASQSPQELTRLIEQISGSLDYKAEYEKLQTEAEEAAENQNFHLHR RRGINSEIKQYREQKKEADSFQRKTEERDAAIVSQCLWKLFHFQKAMDESSAAIQDHH EDLKELRRNVEYFEARLEDARRDQNSAGRLVSRTDKEIRLKEKSIEDKQSAFLPFEEK VYECTQQLEKLQGQSQRVARERDEQAKIVEKVQSDIASVEKAHAIFEKETREQMKKQG RDISDADRKEYNMLRAQVMARSGANQAKLKILERQRKADEVTVNNLRGKLDSITTTLE KSEAELQTISERKSSAEAVSEDISAEIASKKKEFNQMQSERIRTNQKRTELEEKLEDV ARKLREADDGRRQNDRETRLKETVIALKRIFPGVRGRIGDLCAPKQKKYDEAVIVALG RDIDSVVVETEKVGLDCVQYLKELRCPPMTFIPLDNIKVNAVNPAIKGFPGARLTIDT VNFDPTVERAVSYACGSSVVCDTLSVAKQICYEKRIPVKAVTLEGYIIHKAGLMTGGR GPEPKGGKRKFEEVDVQNLQRMAVKLKEEIDRLPKSNRRGSLEESLQIDLTGLERRLL GTQEELAAFSKNWTSKKREVDNMNRQLRELQPKYAEQVSQLENCSATIKEFGDAIARV EDEVFADFCRRLGYSNIRAYDASLGKLEQEVSERQNQFEVQKQRLENRLKWEVARLQD MEKRINRIQEHTRRLEQDIKTYSREKADIEEAIRLEQDEVDVLRGKLEQERAELKERN QGVSEARAELQRRSKDMEALHRDINTLETTVQKNSASKSALLRRCRLEQIQIPLAEGT LDNLPNEDDLLRQDADAMDLDGDDDDMMDIALDDHGIEIDFEGLDSELKESDDLGLEE KLSEKISLLTSELEKLNPNMRAMERLESVETRLKQTDHEYEESKAIAQAAKDAFGRVK QKRYDLFNQAFTHIQEQISHVYKDLTRSEAYPLGGQAYLDIEEDTDMPYLSGIKYHAM PPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDNANVDKIKKYI REHAGPGMQFIVISLKTGLFQDSESLVGVYRDQDVNSSRTLTLDD UV8b_06356 MDLAVTLIKSVMRAFYQTRDILVMDALILHEALRDDDLAYLMAI NTKDLHKICGKLREDRFLTVHTRSELREGNPRPSNRTWYYINYRHTIDAIKWRVYTID KEVQGAPVPANEKKEYFCSFCKAEWTAMELLDSVGPHGFLCHRCHHTLTFETDRTSTG HEQSTRLNDQFKFIGEILPKIDAVHIPECDFDRALAKARPVVRDATHQRAATTPAESG THRPMAVKGLVNTGPQSISVNISTSEGPSAAEREAERARKQKIAQQNALPSWMSNSTV TGESFSGSVGDISTVTHQEDEKKASAKSKAVDTTTSEQIDDIFERLKAEQAAELAKVA MQEGLDDDDMDSEEQDGDDDEFEDVPSASHVYNNTGAPSTANRKRAASVGWVDDGGVG QERLGKKSKVDAEAKVELDHEGGNSSGGEDEDMEFEDI UV8b_06357 MATGLQFPRIYLLSTHLLQEEIAYFREAIPSLTDNIDQAEIVLG RISKKARAEFELRRLQLHLTLIPEQVQAGKPDDANCAAGTRPAVRETSRSRLKQGQGQ GLGEAASGPRGSRIRVLSAESTGRGESSEQVVGHGRADNDDAETKDDRGDRGSSRTGW PSQSREPLRARHAPARLVRQSTSEHEAAATLPPIPDFLHTTYSCQRPTPINPPNEEFI KELKNIRTLRLLQGDGIGVRAYSTSIAALAAYPYPSTSPLEIERLPGCGSKIAQVYQQ WLNNGQSDESSMAASDSKMSTLRLFYDIWGVGDATAREFYRRGWRDLDDVIEYGWHSL SRVQQIGVKFYDELTQEISRQEVEEIAGLILGCARDIDPGFEMTIVGGHRRGKAQSGD VDVVISHREESRTAYVIGELVLSLEKAQLVSHTLSLSTRNSERGQLPLAWRGSGSTSG GAGFDTLDKAMVVWHDKRQPQQNKPQQNKPHRRVDIIISPWKTVGCALLGWSGGTTFQ RDLRRYCKREKGLKFDSSGIRRRSDGLWMDFEGLRLGTSENASSGALLPRRHRDVPPD MATAERRVFKGLALQWRYPTERCTG UV8b_06358 MDEEPFPEPPTSDSLDAPQQDTETLAHLNASSSEPATLESPCLD PTPTNSHRLSRNPSVSGSDSYHDDWDPLPPLDRLTVLDLLDNFALPQQLEKLQKGISA QTDKVRRSKDAFKSKTQLARDRMVEEWRRRVPSADEQLDRYRRRMRQRVEKLGRHWND TKAISAREKISFICGVMNIFISGYLIGGYPQHFHLWYTAQLVYFMPIRFFTYRSRGYH YFLADLCYFVNVLLTLSIWVFPNSKRLFTATYCLAFGNNAVAIVMWRNSLVFHSLDKV TSLFIHIMPCVTLHCLVHLCSPLEQESRFPAIWAVKNADSGSATAYANVISMLSWSTI PYAFWQLSYYFFITVRRRDKIAAGRPTSFTWLRRSYSKTWIGRIVLSLPAGLQEPAFM MIQYSYAVLTMLPCPLWFHSRWASSLFLLTVFAWSIYNGSTYYIDVFGKRFQKELEAM KAEVLRWQNTPELMLQSPLLTPHPDSVVAGTVAALPGQGNNNNNSNSNKTMSETQNSS STHDHSRFVGATGRTMSLDKIPLLDESSITGADCGARDVARERKP UV8b_06359 MSNSVRAITPPPAAERRRSESKRVLGSDSTRRTSRRVTANDVLP VPALHAEAVDRALLREFQPPHRDSTPAASPHRKRQRINGDRFIPTRSGQDLQASFSLL HEDGSPTTPSRQKKRTPHGELHFQKTEEANRTFSTLLRAELFENSVPQSSNASNLLPD STVRNMSMARAHDVTRAQTPPNSKPATSLPSSSLTPSTPHKNLFSYMSPRRHTDVAGH LTPSKTPQSRHGPNLDTTAEIYSLSPVRFGSQQMLLSPRRQPRAVSKVPYKVLDAPEL ADDFYLNLVDWGSANVLGVGLGSSVYMWNAQTSKVSKLCTLEDDTVTSVSWIQKGTHL AIGTGKGLVQIWDAEKTRRLRTMTGHTARVGSLAWNTHILTSGSRDRLIYHRDVRAPD QWLRKLVGHKQEVCGLKWNCEDGQLASGGNDNKLMVWDKLSESPLWKFSDHTAAVKAI SWSPHQRGLLASGGGTADRRIIFHDTVKGSVINEIDTGSQVCNLAWSKNSNEIVSTHG YSQNQIVVWKYPSMTQVASLTGHTYRVLYLAMSPDGRVVVTGAGDETLRFWSIFGRRS GSREEGEGARGTLANWGIIR UV8b_06360 MERKAQDEELLATQCSGQDALTHAIRAAELYMSAALDVSNKPDS ARLRRKCQELISYAENLKRRLSKTAPPTASPKVRPAVPVEPRAELPGSGSSRLHGNHF PPWDSDSPTVDSQTSSSLFLDDAIFTLSKTQLQNFEAWAKPLDLFKLDDIVDKSSRED AMMQVSNNSDLVQDVTTDCSVVASLSAALHVLVGKRALLSSIFHPFDHEKGRPKLSES GMYVMKMNFNGCARRVTIDDRLPMSRTNRNLFVVDRNNRHLLWPALLEKAYLKVRGGY DFPGSNSSTDLWVLIGWIPEQIFLQKEDSDLNDIWSRISTAYQSQDVVITLGTGRISA EEEKILGLIGEHDYAVENVDSYGGLRRLLIKNPWCDGPSMATLEGSTTQSAASLNQQS SLPGQSATGLSTGSQRSSSRLWVSLEDVAQHFDVMYLNWNPSLFSHRRDYNFSWDIPS KIYREVLSKNPQFAVASSTPGTVWIVVSRHFADAELKLARSGIQGSTAAASRQLGFMS LSIFDNVGHRVHTRGEELYHGPYVDSPQTLVRLEAKPNRQYTVVLDQHELPLPSYSFT MLLFSHCPLTVAEASEAMPCVVEEKGAWTRRTAGGNSSCATYFQNPQYKLSVNRATPV SILLSADLSEIHVHVDIVWAQGNRVTSVRLKDLVASSGEYRRGCAVADIPLLEPGTYT LVCSTFDAGQVAGFALRLASKTAISVTPVPADGAGRLLGKLPRLLMTEGEEKYRVPVD ASCLTRATVNMQAVSIPQDGGRTGPPASLMVRVSVVHGHGLGQAVIAVSGQNEFRDPM VPIRTPQFDMEPGRIGAEGLWVLVESIGSHNMAVAMEGHIFSDSPVRVGTWEPI UV8b_06361 MIKPDADGIYLHSIQQQFSMVVAARRLHPSLKNKPTLHILAPAP LLASCYLWTCYVTREFSLLLAFNKPSIQRYQSFVSQLLLLPVLPSSRISCSANEPPCG GRVYCVLMRQTRRNRIVRKQSQVDSAPLSTNRFTTDWPRWGVLKLKKVAEASANPQTL DYADLNLLIADPTNMTMAAGQHQLQSRLPVDTYDPDDVVPRGSPLMKALHPKLEPSPS PPPDIPPSQIRPNIGDAVLLASLDNGRRPEIARAGYRALPGIDEEEEDGSTGDLPRLC STGKSPSHPSSKCFAARSTGHMTGPSLQHRAADSLQAVSTDSKPSSVPGESPDIALSA RQLSLSDERVPSSAYRLASKDSTHQNAISEISNKSPIVMLTPSSSGLPPLQMDSPKFE SNGHSLPSIRSTLGDIDSIPPEPPTPADQDLSTLHGSGAHFTRSPPVAMRCLPPMSTS QLSPPISPNETFQLSLPSPHSLPGSSNYNSYASNGLNHQPGREHVAGVSSETPNTEQS ALTPATSTSVADRMSIDGITNPSIGLYICKYAGCRAPPFQTQYLLNSHANVHSSARPH YCPVPGCPRSEGGKGFKRKNEMIRHGLVHDSPGYICPFCPDREHKYPRPDNLQRHVRV HHTDKDKDDPMLREVLSQRSDGPSRGRRRRGGVAV UV8b_06362 MKAVVFEGPYRVSVQDRPLPQIQNSKDIIVKVEATALCGSELHV YRGHQKSSDGFIMGHEFTGTVHQVGSDVHTVQIGDRIVSPFTVSCGECFYCARGFTAR CEQSLLFGSAGLDGGQAEYVRVPFADSTVFKAPEAISDRALLLMADIFPTGYYGVKSA TQLSSAIEIKDSTVVVIGCGPVGLCAITCASALNPRHLFAVDAVDSRLEIAEEIGAKP LNFQKDPEGMRRQVLEATQGRGADIVIEAVGLSPALRTAFDLVRQFGVISSIGVHNAE IPWSGNEAYGKNVRLQMGRCPVRHVFAEALEVLEQKQKQLEFMFEHVMPLSQATEGYD LFDKMKVQKVIFRP UV8b_06363 MAAGQEGEHHAAAVLNLAVTVLQNLKDQHDWTDLKLVNDLGGPR SLIRGLPPKRIYIHPDDQIAALAQHASTGEQLFQAPQYEWVLPVHINEKWCLARFAAV FASLPSKDSHQKRIVLAILHADSTVVYYFMHEGIVKPRQN UV8b_06364 MAGGAETEPFPPINQDASELSQTSRSRSVSDNRRAASSSLSGRL RRVSQSFGHSDIPEGFFAATGGIASSIVSQQAAPRPFSNSVGSTKTRTATSEPLTTAS APIQSVLEESATENNRHSRPGHQNVTIVAAEPASAAPFPNGYHFPPKHSFGESLNLGT LAFWNYVLTPLGFFVTVYGLNVVAWGGMLFLLLCNASPAMCHPTCDDINSPRRIWIEI DSQILNALFCVTGFGLAPWRFRDLYFVLQYRLCKREIALRRLAAIHRSWFRLPGSNEL PPNLGPNNVEEQEFQSVFPSAIPFPETKLPEAPLTGMRAPETKVWKLDLVIWLMVANT FFQCVLSGFMWGMNRYDRPSWSTGLFVALGCIVAGVGGFIMFLEGKTVKGIEGVPVSQ MDMERLASDREQGIWHFNNIHDKKVEEKGRKGAE UV8b_06365 MGLSYNTYLNSSKIYGCKTCKAHLANHEDIISRNFRGQHGKAYL FHSVVNVEAGEPSERNMTTGRHIVRDITCRQCKETVGWKYDKAYENSEKYKEGKYILE AELLCNVT UV8b_06366 MASKGAIPFLVAMMLLTGVCNTLLTKYQDKQCVRDCDGRRPVLF EQPVLQTAQMFVGEMGCWLVIALLALYRKLVSKSPPESRGYLTVGTTDVFQDEQGGIP NATDTSVLRGFRILLLALPAVCDICGTTLMNAGLLLVAASIYQMTRGALVLFVGLFSV MFLRRRLYLFQWLSLVGVVLGVAVVGLAGAIWPDEKKPSQSIGSAESGNTSEGALSDA SRAIVGVLLIAGAQIFTATQFVLEEWMLENSSIEPIKVVGWEGIFGLSVTLLAMVSMH FLVGRTEAGKYGPFDMVEGFRQMSQRRILVSSLLIMISIGGFNFFGLSVTRSVSATSR STIDTCRTLFIWIVSLGLGWETFKSLQIVGFAMLVYSTFVFNGIVQPPFRSLRVDEEV EELLPEEPLGH UV8b_06367 MVSGVTRLALPPGLDLARFLNHSSNTIAKLSSCYSRTRPLHRPL LHDRLLPEFRLIPLRARLQDRAAFSTRSNEARAWASAPRPCRTTSHARLFSASSFALQ KAPSSDSDSKAIGANDSAVAEARADSRDGIEDREFEKSDKAAQAAQVNLSARLSKEGK PQSKAGFGEIWRLIKVARPELRWLAVAFCFLILSSSVTMLIPFSVGRILDLATKGDVQ DIRLFGLALNQFFFGLGAVLTIGAMATFGRVVLLRIIGERVVARLRSQLYRRTYVQDA EFFDANRVGDLISRLSSDTVIVGKSVTQNLSDGLRSLFSGGAGFAIMVWTSPKLTGLL LLMFPPIAVGAVLYGRAIRNISRSIQKNLGTLTKIAEERLGNVKTSQAFVGEVQEIGR YNRQIRRIFALGKRESFIAATFFASTGWAGNMTILAMLIVGGSFVRSGAMTLGDLTSF MMYTAFAGSSLFGLSGFYSELMKGVGAASRLFELQDRRPGIPQTVGIHVKSAQGPIRF DNVSFAYPTRPAVNIFNGLNFEIPSGSNVCVVGPSGGGKSTVASLLLRFYNPSSGSIT INGVDVSTMNVKSLRRRIGMVSQEPVLFSGTIAENISYGKPRATRAEIIAAARRANCN FVSDLPDGLETQVGARGSQLSGGQKQRIAIARALLKDPDILILDEATSALDAESETLV NEALAGLLRGRNTTISIAHRLSTIKRSDQIIVLNNEGRVAEIGSYPQLAADKDSAFSK LMEWQMSGGEIPEKRQSEFGPHVAESEEMEDDFAGEEHMQEEQMEKSK UV8b_06368 MSIASEGQFQCGQSSFYSKTWTPNGPIKAKVVFVHGFSEHVNRY NDFFPKLANQGIQVLGWDQRGWGRTVTKPSQKGLTGPTSQVVADVAAFIQDKLPPKDD VASAPPIFVMGHSMGGGEILTLAGDVKYAHLVGQVRGWILESPFIGFPAGEEPNCFKV YAGRLAARVLPHQQLKHVVPPEYLSRDEAVVQAVRDDPLCHNTGTLEGLASLLDRTAL LSSGQVRLGKQVRSVLLAHGTADRTCSHDAAVAFLQQQHDVDDKTTKSYQGAYHQLHT DYCKDEFAQDVISWILKRSGGTGVTDEHFDAKL UV8b_06369 MTPDASNTITHHPSVYHLQHLAPSRSSSHSPSRHNHHINHHLHH PRHHHPSSHPVAASTAVECVSTSNLEQDAVERHHSQATDVESQVNSSHNSRLPHLSVE SDPYGLSSSYKTESDLDKIKANSSRKRALSRTHAASSSQNPAPSGDRPGPKRDARKLR GFYENQNAAIERMLKSVEEHRAEARQEYGDGQLKFRIAIYGSLAANIVLTALQLYAAI SSGSLSLFTTMADAIFDPLSNLTLIFSNRAIERVDPRRFPAGKARLETVGNIVFCFLM TSVSLIIIAFAAQELSGGNKARDFHLPSVISVCAAFLTKFSLFLFCWSIKDKYSQINI LWQDHRNDLLINGFGILTSVGGSKLEWWLDPMGAILLSLLVSFIWLRTAFNEFLLLVG VVASVDMQQLITYVCLTHSPVIQGIDTVRVYHSGPRLIAEVDIVMDPSGTLSETHDIA EELQFKLESLPDIERAYVHIDYETTHKPEHAYKKDL UV8b_06370 MGHHSRNQGGCSGTTESTCPPPALLPPQAPPSLLMTAVEQARLQ SLTGKTFKTDDHGSNSMPSSPGDFSSISTSDGHHSLSASFSELPSRQSPAPASAFPET RDSIPQLVMPTVMVPQRRPFSDTGRGLGKLKILVTGQSGTGKTSLIRAIGQTCAHIVQ MDKITDAPNRRPSNIYASTRPSPWWKPHADNACKGRRPTITDQVLDKNLCFVDCPALS TDNEATSPAVEYVENHLSHLSVEYIDDADLIALLSSGIEANVDVVLYLLACTGPTGND VQCMRDLQNTTNFIPILSDIDGLSADEILSAKRRILCDLAAAKLDYFSFMPTESSKDV GGIYAVNSAVTLDGDAVDASFVMDSDYLPPLVETDLDRLVSAILSVEGSSHLRYAAAI KAVKWLRGKPVGGNSYALASTRRLLSRGAREILSNFANMSREAEHRGQVHSTSAWAEG LRQSLASERLNLSQRHTAQVMSSTQMTLVRPNCSRPPDSRCCHNWSCASSSNDQDPLG LLQLLRRFQIGSQLTLELLSSFGVLWCAATWLLWPKWPW UV8b_06371 MDGKRHPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLD SEEGTPSTAIREISLMKELKHENIVALHDVIHTENKLMLVFEYMDGDLKRYMDTHGER GALKPATIKSFMYQLLKGIDFCHQNRVLHRDLKPQNLLINSKGILKLGDFGLARAFGI PVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQI VRIFRIMGTPTERTWPGITQFPEYKPTFQMYATQDLRSILHAVDPTGIDLLQRMLQLR PELRISAHDALQHRWFSDLIMHQHPQQALHPHSQSRVFPVGVPAQNYDNY UV8b_06372 MAGTVAASRQSLSRRLGSMAVMGTVGILSRAFLYALNRVEVVGL HNLLETLDRRRADRSRGLLTVCNHVAVLDDPLIWGILPLRYTLDPELLRWGLGAHDIC FRSKASSTFFSLGQVLPTRRLWHSSFGGLYQPTMTQAIGLLSCPSPAGVAAAESCFST DGKDSFSAPAADPAHRGAWVHVFPEACCHQSPASTLRYFKWGVSRLILESEPAPEFMP MFIHGTQHVMPEERGFPKFLPRIGNRIRIVIGEPTNVDDVFGQERALWRRLVARGEPD LLTRGQDAIQLRIRVARLVRDEVGKLRESIGLPPEEDQTAALAETWSKEPNKRKFKSP VDGSLVNRV UV8b_06373 MSHSKRNTSRAVFTSYERALAKANWSSTSARLNRDSFLPFGSCR LCLNVAREPVACHSGHVFCRECALANLLAQKRELKRADKAHRQAQLKTAQDKAAKDQE DQQRAIRDFELTQAGLGNPHRRSASPTALPNDRRPDDDQGGPARDEQVGGEQAKKELA QSGSKRKFTIDNEELGRIAQEDRAKARKAIDAEKATKSRLPSFWTPSLTPDAHYGTLP LADKSTRTAPICPASTEGAEHAISLQRLVTVQFQEDAARSGTDKQHWICPACVKPLTN ASCPVMATSCGHVMCLSCVKKFLIPPKDVVASEPEAFITCYVCDVPISNKPAEKSSSP PELPLGLVFLKSEGTGFAARGSNTVQRNGVGFQC UV8b_06374 MAPDVEPPRTGAEQTIKVASKKESRPGTIKLKKPPPKHNKPGNW RDGSVIEDEKKKAVSSPCLSAPSPGPVVNQLDETARETFATGRPLEDSPDLQQCKHCK KSILTTAAKAHITQCLKLKKEKAQQKKEKREARERAKEAAREEEARKAHEDNADADSD DGDKKGGPAAKRVGKKPDDDKKAGGKKRKAEGEPEKGPKTKKKKDEAKAKAAKPPKGP VDVEKQCGVTLPNGQPCARSLTCKSHSMGFKRAVAGRSLPYDMLLAAYQKKNQAKQQK AALDANAPIEDDDANAGPVDSDEETAAIMSALSHWQPQPLIPQPVFAPIKRQYQLSRL HEQLQLATNGGRTNIFQVVGYGAQRVAEGHADLPPEGEDAPGKADIGPLGFPASARSS TFGGGVTSQRSSISSRA UV8b_06375 METIASMAQTAAKAVWGDGTEHCEPVSGVQGNVAKGEPYDAGNM EPDASSTTASQLQEPNRAGDTSAASRKLESESATRASRPVVAEHHDANHAIADATTTT EESSGDHVGSPVDTDNAPSVMGDGPRPLETVAKENGGDAGNLVVVPEAESTSSSQDLD GGASNPDTRNKTNKGTGEKYVKTSGLAADGGDFDATLPGAGREADRLLEEKGIQRGTG NNLKGSSSPGISHQDVDGKDKQSLKERLKDKLHIHKS UV8b_06376 MDGIVGTAPPGTMYVRALYDYEADDRTSLSFHEGDVIQVITQLE SGWWDGVINGVRGWFPSNYCQIISNPDDLPDHDRNGHLGPVDDETEAIEGYDESFEQD DDSEGDGPIDLPLEGADAADRSRADFWIPQATPDGRLFYYNMMTGDRSMELPLESPIS STETGPRDRANVNIPDKTRPPLEMMARGLSQDEDDDSATSASEAEGESLMIASQPYLE RNRASLGGVLSPSTSMDSISGASSSQRTKNDPLTVNKQGGGPGPSMASATSFTSTTYN LPTTATVPRSFFDDGSTPPLTWSLLVSNMKRAIDNYRDAISKNSRSEYVARAEDISDH LRLLLSAGSGTTDNHSGQPSIISTNKALYPHFRDMMSKFSKLVISSHIAAADWPNAES VQKCLQEADGVLLGVFNYVEVARQQRGEEIPRLFPGFVIGSTNGGSWQNNGLGPRDII TSNFLEDEEAQAEPTANLDGKLLERLDEQKRLLVSSIRELDKSLITPEKIVTTLRHVV IGNNVCFAGGKVLEMFKAWIAMIESIDLSSLGNSFHTPQLVDFGTNKQSLYDNISDLI LGCQAVAGPLADEWSEVRGESLESRLEYVRQCARVLETNASHIGFSLQLLSEQVQINL QQEPQPTGPRSREAAAPTTLQRSETMPGDGLNQRSESRSESRSESRSESRSESRSGPG RLPSGTASQSFSEGDGVSGTFRMGDYSKVKKIFGEDPSPQSGLPANDDTPDYLRLEFE ADVSWDSKTSPPTVKGGSLLGLVEQLTRHDKLDSNFNNTFLLTYRSFTSARELFELLV KRFGIQPPEGLGQADFELWRDRKQKLIRFRVVNILKSWFDSFWMEGSNEESKQLIRDV YTFARDTVKSTETPGSGPLMAVLDQRLSGKEAGARRMVQTLNQSTPTPIVPKNMKKLK FLDVDVIEFARQLTIIESRLYGKIKAAECLNKTWQRKVPAGEPDLSPNVKALILHSNQ MTNWVAEMILAQMDVKKRVVVIKHFVSVADKCRGLNNFSTLTSIISALGTAPIARLKR TWDQVPQRTQGVLEAMRRVMASTKNFGEYREALHAANPPCIPFFGVYLTDLTFIEDGI PSIIKKTNLINFAKRAKTAEVIRDIQQYQNVGYSLQPVPELQDYILSNMHAAGDVHEM YDKSLQVEPREREDEKIVRVLAESGFL UV8b_06377 MGKGTDKLYITHSEWSSSDAYSASTGAHASARHASDGTAFRRLP FNFCAASLQPFKNPVCTPDGVIFDVEVIGAWLDKHPNQNPVNGEPLNKKDLLRLNFTR NSNSDSLGAGLGDGRGDFIDPVTYKILTDNTHVVAIRHGTYANVFAWETVERMNIKAK MWKDLLDDQAFTRADIITLQDPQNAASRNLEQFKYLKDGQGAQLSKAQEGERDTTTLN AAALGSMGDKMLKAKGAVERARRMREAGGDVNRNSTTLAKSTAHQPSNSSSKSVVAVP HSSTRNKSLPANAAAYTTGKAAASFTSTGLTPETSGERALLTEEDFMLKPKRVKTKGY ARMETNLGDLTIELHTDTAPKAVWNFVRLARKGYYKGVAFHRNIANFMIQGGDPSGTG KGGSSIWGKYFDDEIDGPLTHNSRGILSMANKGKNTNSSQFFFTYKATPHLDRKHTIF GLVVDGKEVLTKMEAVQTDESNRPVASIFIKDIVVFVDPFEVFQREKKEQERKDKENE EARRKGGTDDDRITWTGKRIRGDSAVGSAEGKESVGKYLMGTAPVGATDEVLEEARDE PAGKKLKGTGGFGNFDSW UV8b_06378 MAPATLNVVLRNETGASQLYAHITGRDEHGIVMLSSDGKTPHHP PSPSDTLQPVGADCAIAVGAHGASRTVSIGRISGARIWFCKDQPIRFFVNPGPALVEP SATNPADANYNLAWGFCELTWNEQQIYANVSFVDFVSLPVSIQLKTGGGSVKTVAGLP SDGLDRVCDALADQARADGKAWDRLVVKSPSGANMRALSPNSGGVLSPDLFEGYYAPY VDAVWEKYASEDLTVNTQFRWGDAVGRVGKDGKLAFDGVGSFDKPAASDVFSCSTGPF AAGPGVSEEMLNLGARIAAALNRSTLLANSRQPEGETLGSYYKDAVTNHYSRICHLVS VQGRGYAFPYDDVGPSSGEDQSGFVNDPDPQELTVCVGRPLSD UV8b_06379 MHCGKGVGFFFMFAHVGAGTCACGGYSMPDPRQENKSLLFAESL STDFSAIRDVSQSADWVRQEFNVSAEAGRGRFGKSFLPDNVFLLPQEDVAAGKGTARH GQTVMALRVASRLTESGAVTSAEMDTSRRDLFWGSFRAMMKLARVAGTCAAFFWPCQR GRADTMQYMNDTQEIDMEFLSREFDVGNRVFPVNLVVHSWKSLRDGYDASKSGTLKRV NLAFDPTDDFHEYRFDYLPGLVTFYADGKQIAELTGSDVPSSGGHLILQHWSNGNPTW SGGPPSSDAVALVRYVKAYFNSSNPNHHQDAQGQEGVCRASNDASRNMCPIPEGNSTD NGQGDQASPPDDGAGGRSQENDDDDDGGSSDESDAARPPAMRWIWLVAIGMMGVTDG UV8b_06380 MPGASVAVLAPQAASTPSSRKASLVPERKYKCQFCNRAFSRSEH RSRHERSHTKERPFKCMKCRSTFVRRDLLLRHDRTVHAKDGGVPLHSDGKRRAGGPKT RAVGTPAKTPLALDTSTLEQMEAGNDGLFDVEAAAMLVADLHHKATAAMRVDEGNLED NPSAAISPHGSTLMESTVTYPSGAIALPQMQWEGFLPSSVHEPKSHSIASSISGSFES QQSVASGTTLQPRANQLPPRGGQHASGIVPALQSIIQAIPESSAGTPGAQSPSLLDPA HAGFQSPQIMGDEERNAILDHIRCYDREHAIPEGFRLPGLGSLNRYLSTYFGLFHHHL PFLHPASFNPCQVSPPLLLAVLSIGALYAFDQDQAYMLHIGSKVLVNQFLQNKENFSS RKCPLWTMQSSLLNMIFASWSGDPKGLEWACSIKSLLANMVAGNRYELKLRQEARGAA TPSRLEWVEDEGCRRTYYAVYIFFGLLTLTYNHTPAISFNEFEDLQLPSTEALWNARI VDDAAWPEHLGRSPIITFMEAHDNLFQGEKLRYSAFATRVMINALFLEVWYHKRSPEA LQDVVTEYKLRLALETWEQSLDMCEPESAAVMLSAPHKGHPLLFNAKAMYRNARARLE VDLKSVQEALRYHDSYEVAAAMSHARDRVKRSSEMLKVIQECYNCIETAVVQGVRWVA RTSPTNWSVEHPLCGMDLMIVLSLWLYRLEHDEEPATLEELAMYNKIRQLFAKDLDES YMSQMSSIVARLWGSMLDEVVVWGITRLMGESFKLHSQALVGYVDDLEASSNVSTPSM TSQGPDEDSVY UV8b_06381 MYSRTSWGGPVDPFILVKFLNSSKPEVSDPIVSLIIFEWQDKDL VGFPDAKTGETRLPICDEHYVKSGQCNSTDIGEYIIAQNATEKSNALISTQAVHLKSA GPVHYSIQKTGFYCVVTDGFTVENYKAVVEFRNAYGELSATQIPKLPFYGAMSIVYAL MAAYWGFLYYQHRHDILAVQNYITAILVFLVVEMLITWGYYDYENHGGSTVGSKAFLV VVGILNAARNSFSFFLLLIVCMGYGVVKPTLGRTMVHVRLLAASHFIFGLIYAIHNLV VAPESISPLILIIIMPLAATLTAFYVWTLNSLNFTLKDLRERKQHAKEAMYRQLWWSI LISIIVVFAFFFFTSFTFASASDPDFVPTHWKTRWFILDGWLHVVYFAEVAFVAYVWR PTAHNRRFAMSDEIAQDDDGNFEIGDIGLPDDWDDDEEAQAGKSHAHPQAAAAAAGAG NAPRAPPKSISRESVDGETIFAVGEDVDKFSDDGSDEENAKLVRK UV8b_06382 MRATTVAAVRKGLRRVFPCRSLCLCNTLAGRGRHCAASTWSSSP KWPISPTPPIKRRASTAVPGRQDRQWSTPLAKQLFEAISTTGPVPLAAYMRMCLTGDL GGYYTGAIGLGRDQFGVEGDFVTSPEISQIFGELVGVWFIAEWISQGRPRQGVQLIEV GPGRGTLMDDMLRTMKRFPAMLDSLDSVFLVEASPELRDAQKRLLCGPDAQFTSGHVG TRGHEKHAGKPIVWTESIKSIPLEADKVPFIVAHEFFDALPIHAFQSAPAEPPKSTAS RLPEQDRVASAPANPTSTPQPPRSEWREMMVSPVNPAAIPAAQARSKADGCSHEAPDE FQLILSSKPTRHSRYLPETSPRYRKLKQAPGSVIEICPDASLYAAEFARRIGGSALFQ KPTPSGAALILDYGTSDTIPVNSLRGIRNHKLVSPFSAPGMVDLSADVDFTAVAEAAT RASDGVEVHGPVSQADFLERMGVRERADVLVRAARAKNAPVDAIEKSWKRLVDRGPNG MGKTYKALAILPENNGSRRPAGFGGDVAR UV8b_06383 MASTGRSRWADTEADAELKARLKQEKEHRRRKKAERALKLDADR QAAPSPLPPSRDHDGEPPSKRPRLSPGAAERASPAGSGADDSGPVKLLRFEHAGTWAK SRSIDEYDKLNDIEEGTYGWVTRGMERSTGRVVALKRLKLDQSDRNGLPVTGLREIQI LQNCKHRNIVRLQDVVVGDDMPRLDNSIYLVLEFVEHDLKGILEDMPEPFLFSEIKQL LLQLTTGLSYLHDHWLLHRDLKTSNLLLNNRGQLKIADFGMARYVGDPSPRLTQLVVT LWYRAPELLLGTSTYGAAIDMWSVGCIFGELITREPLLRGSNEVDQMAKIFELCGVPT DDSWPGFRKLPNARNLKLPKNPQTTGSVIRARFPNMTTAGASLLDSLLALDPNRRPTA RDMLQHEYFRQDPKPKPESLFPTFPSRAGQERRHRMEPDAPIRGQAVSLGDVDLSGIF QGRNQQERGAGFTLRMV UV8b_06384 MRFPPSLLQLAFCFSSFGPVTTASGWPRWLPDLDSLVVRADSPD ASSATPISSNSPASSPASASGSATGSISPGAKTTDLNTAKATPSGSQSGSQGSSQGSS KGSNPVPVSVVGNHTQFPAQYPPGGISMQTPNIFLQPSGLYKISDYVTWSWNYTSLLA TPTAIDVIVSCSTGSDTFTLTSSMPFATDVNYVWDTKQQANNGSSRLFNAMYTLIIKD SAAAITQAPEAGYLGACPGYTFGMYAAQSYVPYAQWVCVGCSAASSFFGYQALGLAVT MSVITVMSFTWFVSGLGLY UV8b_06385 MRSDKRADVLHFVPSRKTPKGSVSQLFTSQPSRVTRCQRQHRQL RALQQLPRHQQENIDNLSFFRPFPSGPCGSGSHAHWAWLGAYQLTKQAVVWKLL UV8b_06386 MEITVAESQRDTMTADGMQLEDWLDDLCVRFIINLPQEDLSSVA RICFQVEEAQWFYEDFIRPLDPNLPSMSLRTFCLRIFQHCPLLASFSVENHTKAFEEF LQYKTRVPVRGAIMLNHMMDSVVLVKGWKKGANWSFPRGKINKDEDDLDCAIREVYEE TGLDLRAAGLAPDEKKPKYIEIPMREQQLRLYVFRDIPMDTKFQPRTRKEISKIQWYR LSELPAFRKKGVQNQGEQSTGPAVNRFYMVAPFLVPLKKWILSQRRIDDRRAAKEARN LAPLPPVVVDDIATTEDDTWAPTCPEPASQVPAIETLDGATRELRRLLKMQPPTQGIQ VQPEEDKGTALLSILQSNSDCTTQQTYPTPLSPQSPQRATGSAMPRPPGHAHHFNTAR APSTRQIPQHSPSVLSLQDYGRAPGNLPPGTVNHAEHRARFQSGLEPPHYVDSRNNPL FASQGSFQQPATPQPNSNHGPQSAGFVSRQSSLSGQLNQSLTSPSSARLGEASAALLS AFKRDAASPQTSLNNLDAMASAYRKSSSGQVSHDPLRSSSAAPLSQAAGGSASRQMME HSTISEVVGSSTYPAGVQHRSALLDIFNQRHTTNAGAHHSSEGIAESGPSDVAQRAGQ PPQEESASTRQLDHHHHDASTGPKVPLESYPAQENHGRPALSSTRQGGKPIPYQNQHR KPVGSPLVPGRTKQPSQVPRILKRGQSMNQFNDADNNQQSASSATALGFASAKPAPGH TGAMPEPTHGPSPGYRDGDSHGPREKKEQLLSLFGKQPVSGNPNAGSGRLEKETGNIA NTTQSMMPSKAPRAEEAPMSAAERTFLLDYLQSVTKNAGRG UV8b_06387 MASMVVKTAVKKLLGKKLQEKFGQGDPYYEKVPGVKSNGKPTGK QVKKKRALPPGLSDHDAKVLMRVRRRVHHLDWSLFTVFGVGFGWSTVIGIIPVIGDAI DGLMSLSVFRKCCKIEGGLPNSVKAHMMVNVALDFLIGLVPGAEAIFRGNTRNALLLE SFLRERAEHNVHKLDQDAAQGLEPRRRGRGTRLPEDGIITPEESLERRPNGRKRSGPL UV8b_06388 MAVEITPSKQAASALNSFKMESPVKKLDFGASNKENMLDVAAFH ALGKQVESEQKPDTEETEKESLTPSVAPGIKADEIDEPLLQENPQRFVLFPIKYHEIW QMYKKAEASFWTAEEIDLSKDLHDWNNRLNDDEKYFISHILAFFAASDGIVNENLVER FSGEVQVPEARCFYGFQIMMENIHSETYSLLIDTYIKEHAQRNYLFNAIETIPCIRKK ADWAIRWIQDKESSFAQRLVAFAAVEGIFFSGAFASIFWLKKRGLMPGLTFSNELISR DEGLHTDFACLLHSHLKQRASKQIIQEIITDAVTIEQDFLTEALPCALLGMNSTLMKQ YIEFVADRLLVALGNEKVYKTANPFDFMENISLGGKTNFFEKRVGEYQKAGVMASTSK KVDESSAVSDDNEVGGDFSFDDDF UV8b_06389 MPHFKSSQEWLEKSTLLLEASPSTTRITTRYSIEQVKSRKARAG STSDVEAPKPPRGSLVFTTYDTATGVTLKYRTTKAAEVTWLMQSSLGQLGRNMAAMPP VDRLDSKMPDAPAGAAEDGDGGNHAGGAATPALQAQPSQHPAGKKKKKGRK UV8b_06390 MSSTPMDLDRSTGPSSLALGQSLKIQRSLDSAPPVSHLKDVISS FRPVKLLRRQDLREGRPTPHVLSIDYDDEGELLMTSASDETIQIYNVRDGRHDKSLLS KKYGVKLAKFTHTNSSIIYASTKQNNAIRYLATHDNSFIRYFEGHESNVTDIAVHPGS DTFISCSQDNTVRLWDTQSKNGQGQLFLRSPSLAAYDPSGMVFAIACPSSGSVLLYDV RNYDRAPFSTVDILEQCYKVDQQHLVKGWTKLEFSNDGKSLLVGTKGQGHFLLDAFEG NLKAYLHRPTGGTRRQAPGETPPCDNGNAATDPRNLDSSGDCCFAPDGRFIISGSRQD IFVWDSLSSIPKGKVLEPMSTLHDRRETAVVAFNPRFNFFATADQDLLFWLPDPHG UV8b_06391 MSTTLGAFIAGGIAACGAVTATHPFETVKIRMQLQGELQLKGHQ PHYYRGPIHGVGVIVKNEGIRGIYRGLGTAYIYQILLNGCRLGFYDPMRKALATLFLR NEKAQNLGINMFCGAFSGVLGAAAGSPFFLVKTRLQSYSPFLPVGTQHRYRNALDGLS QIYRGECVRGLYRGIGAAMIRTGFGSSVQLPTYFFAKRRLMRHAGMEEGPALHLSSSA VSGFVVCCFMHPPDTIMSRLYNQNGNLYKGVFDCLGKTIRTEGFFAIYKGFLPHLARI LPHTILTLSLAEQTNKLMRSFERRLLPMVHGT UV8b_06392 MASRNQCRRCKNIDAPYDLRNDPTCRDCFVDYVETKGGRRLGAI ARDTRTPGRPGPRRYLAGLSFGPSSTAMMQILDRSARFHSSKKSSPAFEPLAVHIDAD LSHTPDESDTPARRLLSRHRQRFPNVSFECLHLSRIWTVKTIDWSSLSSVALHDPSIS DPVERLRRFFEKLPSVSSRVDVLRLFVRHLLLHVAFERSYTAVLFGHTTTALAALTLT EVANGRGYAVSSLVNDGLYAVRTHGSATSSSHTEGLTEAQMPIYYPLREVFKNELTTY INLVPSLKELVPEPESSAGRVVSHKDLSIAEVVGRYFDDVEAPYSGIVANVVRTTGKL DRGAGQGFCHMCGMALDERGDALWAGELGDDGLGALDHNQPAGLCYGCKRTASG UV8b_06393 MTTPKAVGVTPRKGSSVIAEDGGQVTAASLSRGRPDYGPLVNVN QNGCFESDRVIKSGYLEKRTRTKNWKAVYLVLRPNTLSMYKNEKETKLRHQLYLSDLT AVAFLKDPKQKRHNVFGLFSPSRNFHLQARTKPDAQEWVDLIRKEARIEEEEEEMFLA SPKARSVSPREMVSNVTTGSHATGSSDGPYVEGVLSSSPEAYCPPESNFTTSEAGRRK SSMMDSSGMSGTELVSQSDWSDTEPQRPKVAAKRSGSQLSIINAALQSGNGVPVEPDP DRVIWQGWLWLLRSKRGVKQWKDMWAVLRPRNLILYKDESEYTAQWIVELSAVVDVVD TDPVSKSKENCLQVITEEKSYRFCAHDEESIVQFIGAFKSLLAKRRGLEARAAATSS UV8b_06394 MASSPKARDPLDALQLLVNDVLVHTGKALRASRRDGQGNLPPSP PQAKLPDTIKDFHSALDNLENGIIRAKSVLLRDMDQLRIHKHACQQSHISQPHPVQAQ PNSPVTIELDSSPQASLKRETMETSAVASKPIAPFPDMGMPESTSSAVIIKEETPPSR AADTRPEGGTSSTVQPNGKPLTPAAPASAADPRMEEKQPALTGGNDGPVTANSDLNFT DMEFSLAPGSDNQTQPGAGAVAVAPSGPGEAPLEVVAYGAANVGMQRGSSTTTKAQQS TATDPPAVPPTARADTLTEAQASAQGRKQKELHRPEPAHAFTGGGQTDGMDFDFSLDN DGMGGDTFDDLMNDRDNTFDPMEHGDYDANYYFDKSDEA UV8b_06395 MADPAAAVASTQLPSQAPAGNGNPGQNGQGNSSHLPPPPLHIPQ NTNPIPTAITSPLAGGDNAGMMSPGGSNSFRRAAPEPNKRALYVGGLDQRVTEDVLRQ IFETTGHVQNVKIIPDKNAKGFNYGFIEYDDPGAAERAMQTLNGRRVHQSEIRVNWAY QSNTTSKEDTSSHFHIFVGDLSNEVNDEILTQAFSAFGTVSEARVMWDMKTGRTRGYG FVAYRDRSDAEKALSSMDGEWLGSRAIRCNWANQKGQPSIAQQQAMQAMGMTPTTPFG HHQFPAHGVASYDVILNQTPNWQTTCYVGNLTPYTTPTDIVPLFQNFGFVVESRFQAD RGFAFIKMDTHENAAMAICQMNGYNVNGRPLKCSWGKDKASNAQGNFDNAQPYSPQGS QTPGFPATPTYYPQYGAQYGGQPGNFAGPQGGSPAGYGGSPMGYSSPQNTGSFGRGQQ GPNGQWNQPTPGQNFNNNGFGGYQS UV8b_06396 MDSSWQQQSYNDSASASRRHNGGGQMPRDYAGQQPPASAGYAYD HPQHQHQHQHQHHGGAPVLPIVHPAGHSVVLHGANPAISPLLQAGPAPRDGNGDVAMH DAQDAHAGIKYPLRPHHQPHHSGGRSSTLQSPHEQQPSSAAQRYSPMDTLATTSPYAH KTSQFANPPPQTQTPNAQPEYPQSPYYAGRSACQNLPPIMPFNSPQDSYSSSGLASFD GASFPNGPKSPRRQNPPPQKSVPEFRKVRALTELRPKVNRQPPFRRANPEGGFISPLQ ALTSHLPATYRICNPSFKYETSRNPRRVLTKPSKGVKNDGYDNEDSDYILYVNDILGS EEAGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNRTAYFNQSMMEVSVLD LLNTKLDKNDDHHLLRLKDTFIHRQHLCLVFELLSVNLYELIKQNQFRGLSTTLVRVF AQQLLNGLALLNKARLIHCDLKPENILLKNLESPIIKIIDFGSACDERQTVYTYIQSR FYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVSRIVEMLGNPQNW MIEMGKQAGEFFEKRQDEFGRRTYHLKSMEQYAREHNTKEQPSKKYFQQSTLPDIIKS YPMPRKNMKQSEIDREMNNRIAFIDFVRGLLTINPLERWSPQQAKLHPFITQQKYAGP FVPPMNLKASSLNRSPAPGTQQQQQAEALSKQRAQAAQAQANSAAAHSAAQGAYPNMA AAGQYPAQPGHVQPPIYGNTPVYSPAGNSGSIAPSYGPQAGQYGQMVMSQAPQPIASG QYGSVAQSNMYQQGSMRASRQRASTMEQQQSGIPAAIQRVASHLDPNQPIRLQPSPAY YPPPEGMVGMDNTPGRAGRRGSRAQPAGRGNRDFIRNLEERTLEEGFMGNQNSWR UV8b_06397 MASPASDFQQIITDARERRKNSALADRIFNRDPRRSAPSTPKPI TTPGGSLASRVGVKKQRAAVPAARELFRNKAASTPGAANGESTHHLYRRDPARDASFG SRLSRPDAPRNHRSAKLAAAVGKVDAAQVRVVGNAPAPSPAPAAAPPGDAGLTIRGLA GPLVVRGQNFAPGTTAADIESAMTPVGGEMMGCKIIKHQPLLVAEMVFASREGGERVI QTFNNKTADGQILKLYPLTGGYRYQPSASSPPASAPASAPAQPRNSKGAHGADQVVDG SMGFPEPATSTRSNHRLYSDQLAGPNMSGRGFHRGGRGRRGR UV8b_06398 MVARANILSCTSCLRRTLRATTPSATPCPAAHLGRAHGRTYAAT PPLPAEAAAGAAAGAAAAHTPPALPSPRQPLADPLVSTQKLKSKLQRVVKKHLDYLDD DPWKIAQYVADALGKDRFDEALLLTQRASKDRQLVVAWNHLIGHLLEKQQLKSAIKLY NEMKKRGQLPNVQTYTIIFWGCAKSQHPKLAVAEAVKHYNILMADKRIQPNSIHLNAV LNVCAKAGDLDTMFLIAGTADESARAPTAYTYTTILNALRHHAMNNPVDQQLPPEQQV ANKARAVERAKGLWAEVLDKWKNGRLVIDEELVCAMGRVLLLAPDRDDKRRVLDLLQQ TMNIPNLAKAAPGSDDALLQDPAGRDVAAGAGAGAGAGAGAASAAAPAAAAASDAKAA VSSSSSPSCAKPGRNTLALLLTALASCKLTTAGIKYWNLLVRHHGIVPDNDIWLRMFG MLKVAKASGHAAALLDIVPADFIGPKHYQIAMQTCVRDNINPNASANSNLVLDSMLSR LQVPDLHALRLYLRVALVNHFHMRKRAQDGDKLSAKQEYGTQIARALARLWEPYKSVH HHYFKATSPPPTKRDQGMLYNSKREVIALARLMFSASNKVVKETMLPANELRQFRSSG AKINREIQAFYSNREQIEPKLSGSSSRTVLQESEESEATDLPDDRLHRPGGDFVWDTT GLK UV8b_06399 MRPDAGIHSDAIARSRHKSFHRTRAPSHVLFIQERGRCSLLAFL SLDPPSNGCYAILALSSTRSHSHFQPVSTHQHDLTANSWGEYSSTILRLIASSKTTRF LIHHFPSMLFSKQRSRPRAVQNKASDGPRIEQASIQCKVPDRRWFSTFRPGPQLYEDK SETSSAVSSHNGTGIVSKQRRAGTVNHRRSLSSVFQTVKARYSRDKLDKNENAAQNPD LSQSVVPPDMISQGSTSIRREQRHDSPPPRLDVPLEMTSQDMTNGSSTFRSSLERAVA DINSRYETPPSTCMAQSVRVTGASKLANSSQVAFPSRSSFRPRYQLPTFVDTPAPKAR SAAGELQEISSTISTESVPLENREVATATKDKNEGGSRLSSFFLDEVLGPSFGIGEFM KSQEVTSEERLSETRKSLAKRSVSPSPSKTSNETVLRGTNSGLLIEAASDSERRQRAS AIPMAAIGRTATVSKEANDGVSPYETMVRRDYRSRSNSEQPPRNPSGLNLGSLDADNQ LPEVGSETRRARARSNPHEPGSVSLALNRRQTSECSHASTCHEENVPSVSELVSKFRR MGSLPGTFPSPASETAGSHLGMRRVSRGKQFETYRSRFSNGSEVDSELFSNASDAFVD IHSSVVPKPVNRRAQVD UV8b_06400 MPKADRRAKLAELRALRQSGKKAFDNYRVEDVADIYDEVDEEGY KKIVRRRLNEDDFVVDDNGEGYADDGREDWDRVRRYDSDSDLEDLPVRGRSKNTVKKQ RDGNQSKQDVNDRNISDYFTKGAGKEQSKPKIVKTREDDDFLADLLGEVDTKIAPAAQ RLPKPEGPGDRRKPRALSPIQEPMHKRQKRTDTRLSSPVTGAIGSDDGFAPTYDDEIQ PTPDAPMSDVPASSPAARKAERRAQTKQGAADDGDDDMMEVAHAGAVDLPGVNITGSR PVKRLLDQAPQAPPTTSSPQKAVDGSVDSTSWNSLTDRLNVIHNPTETRGIGKIDHRD AVESDGSLNFFWTDYAEVNGSLCMFGKVLNKKTSGYVSCFVKIDNILRKLFFLPRQQR FRDSEATDETIEMMDVYEEIDEIMTKMKVDVYKIKACTRKYAFELPGVPKEAQYMKLF YPYTKPQIEAVAAGQTYSHVFGTNTALFEQFVLWKNIMGPCWLKIRDADFGAIKNASH CKLEVLAEHPSMVSVIPDSDGLDAPPLTLMSIALRTAFNAKENKQEILAISARVYDKV SLNDTTPAEKLPCRTFTVIRPHGQGFPLGFDQLAKKRNRGTIVLKRTEADILTFFLAQ VDVADPDVILGHQFEGVDYSVLLNRLREKKIHQWSRLGRFRRSDWPASIGKVGGNVFA ERQIIVGRLLCDLANDAGKSAMYKCQNWSLTEMCSLYLSGDNRRRDIDNEVALSSWAK EKQGLMDYITHMEADTHFIAALALSVQLLPLTKVLTNLAGNSWARTLTGTRAERNEYI LLHEFHRNKYICPDKQSFRGRQKLEDENGEENGGEGKKKDKYKGGLVFEPEKGLYDKF VLVMDFNSLYPSIIQEFNICFTTVDRGASTEDDDAVPEVPVDQEQGILPRLIATLVGR RRQVKGLMKDKNATPEQLATWDIKQLALKLTANSMYGCLGYTKSRFYARPLAVLTTFK GREILRSTKELAESKSLQVIYGDTDSVMINANVDTVADAFRVGNEFKKAVNDQYRLLE IDIDNVFRRILLQAKKKYAAINLIEKDGKFVEKMEVKGLDMRRREYCALSKEVSKHLL DEILSGEEAEVSVARIHEYLCDISSKMRDQSIPVAKYIIYTQLGKGPTEYPNADSMPQ VQVALRDIARGKTVRKGDVVSYIITGDGKSSSEPAPKRAFAPGDVKADGSLLPDVEWY LGKQIFPPVERLCANISGTSTSQLAEQLGLDIRRYSSHPAQQGGRADDVEIHPLESQI PDEVRFGDCARLSLRCRRCKLAAAFEGLVASSPGSVSSSGVLCSGCGAVIPTLSIVAQ VEYAIRQETSRYYEGWLVCDDSQCGNRTRQMNVYGTRCLGPKGLARDCLGRMRFEYTE RAIYNQLVYFASLWDVDKARARASDTNDSALSRQDRDRILALGEHNRVRFGTVKGVVD KYLEKCGRQWVAMDTLFAKLGFNKPSAVPQG UV8b_06401 MREKLHHCHLYVGQGHVLDQVQPTSASGPTTTNHDDDDDDDDDA REKKGSPGKEKTKLARTLQPSSLTETTKDATAWNKVSRTSTHMNDEAGHKQQQQQQQQ QQRPPARSNPAFHPVQITPCNKESDLDPARPSEKQNGTSGLVSQSDLAHPA UV8b_06402 MTSPANHQSSIPATNTSATTASSYASAAGAPKKSSQAPLVATGS QAPVVVGSASASAAQNAKTATLTSVNGKPVVAPAVPAVARGSLTNGFSVDHTRKSSVT MAANGPNSYAAKSGAKPGIQFGFDSPAMAHSTPQTGSAAPIPIPGAGNQRVPSPAHSP SPIPQPSASGGRPPSGLQQPSGQMTFGSLSSDGERHMRQGSVPSNTNAMGPQPGAHFR RESSHSVQSENRGNFPPQGGRGRAFTHNNQYNNQMGYPPNNQFRNGPGQSRGMPPSFQ PQPRNMAYPNSPQPARSPALVPSMPNTPNMAPATVQSSMPMQTPPQYHYPPPMAPQHQ QNYGYPPQQMDQYGRPISMPYNYNNVPPYMGPPQANSPGYSQPFAPAYHQQSHPMSRS PSQPERPSSANQQTQPLIVSSSSQPTNTPGKPANNFVKPRKSAAIQIKNAAGEVVDTS TFKQPVSPAPSGQQSKTPPAIASTPTPPPKSSTPSHGRSESTATPKTAKEIQDELKEK IKQATRVAANETAKAKEQAAAKEPAAAPGTAPVEASSSVSKVNPDTVKDASRNDEATK VEDDDGPAKPAADNADPDEDEIERMIREMEEADARREKEEEEHRKRAEAEKAAQKKQD EENRKLTAAEEDRKLREQEREMERLEEEKERRQKEAESSGKVLSVAEALAAARSGKDA ETLNVESVVDKLSGMKLADDKTASDGPGQKRGSKPAALNLAPLITKPVEPPQPSAALQ SLKSSRFLQVMDQDLYPTGIRSPNPALNAAVTKKGKSFKYDAAFLLQFQKVFTEQPSV EFHQQVKSLIGDPDRSARAQTPRQPSNRGSGGFSMGTFNAPPPGRTLPPGTSSEQRMA MSSGAMPRPPVGSIGSFRGPGGAFPGTAMSRTSSQSRAGAPNSPSGRQSSRSTRGSRR DYNAKDVQAAKTMPLTAGQDIKPIAVTTNGWKPTSIVGSKGGAAAITAVPSGYLDPEM VQRKVKAALNKMTPEKFDRIADQILAIAGQSKDESDGRTLRQVIQLTFEKATDEAHWA SMYAKFCKRMLENMSADVRDERIKDKSGNVVSGGNLFRKYLLNRCQEEFERGWSTNLP QPKEEEEGGGEDGGKKALGDAAMLSDEYYEAAAAKRRGLGLVQFIGELYKLGMLTERI MHECVHKLVDYKGVPDEAEIESLSKLLRTIGGNLDSTEKGRHMMNAYFQRIQSMVDLP ELQSRMKFMLMDMLDLRKANWMSKEANKGPKTLDEVRAEAEAAAAKAQESARSSTRGG PGGRPTMGRGDARNFSGGYAQQTSNQVGMDDLRRLKGSASRTTSQNLMLGPTSMFSSR SNSGRRLGPGGSLGRPGEESGASSRTGTPPNRDGTSNTNAFSLLATMDSEHPASPPSA GPSPALAKAVLDSEKKESE UV8b_06403 MPTAKRLKGTGVGAVTAGRPSESVPVVEPDRPTPAEVEGEEHQF VQLARKNWLKLGNKPPKVKNDVLKQGVWSVLEQDGFSYRLLLLLESLQILESYLWPGY TDEASNFHVLLIALIANVKRREHLETWNLFVGRLEDFSSMFRRILSLTLDRTLPTVIR TQLVCFLIHAFQSLDCAIVRKECAPLVSIGLWQNLCSEKLREGLLDQNPQLRKTWRAS QRRFDAADDSVKARLRFDRSWLYSMLLDFLNMLQDSKIPGCVLYCERFTEFLSDLQSQ LPTRRYVNTLIQDLNVLPVMKRSPVYNDEDNSLLRDLHALLSHYTYFAIDDQTGIQLT ATEVYDKHCAALGRLQRVALKHFKDKLLILALSNYGGIEKRDDLESLLEPLTGEELSQ LFHLLNIRSTYPECPSLPIDRKLLTEILLHRYERKKSFRDTARYMPLLPTERSLFDTG FQRADSYDGSRPLALPKLNLQYLSCGDFLLRAMILYRCEAFYGIRKDVEAALRRLRPE SNQSGVTNFAGFSKMALPISKPSILEVMPPLVGEDLPSMVRAEISIDVRRLGPNVMRE WDSLRPDDVVFLLAIQPPPTQPPVNDGGVRPEYEKLGISSIRAAEILLITDEKGRHVR DGSMHLDSKRRITVKLDPYMYSRDTERSAAAKPGVYDQMNLLLRRGRRENNFKHILES IRKPALSETPLPSWLHEVFLGYGDPAGANYNNLSHRVRRLDYRDTFLDWQHLVESLPG KTVDPGDDVAGSFGPPYVLEEVEDDSKGDEHNVGQVSKKRRRGAEPTTKSEVTSLKVS TYEPPNNGPYPSDAPKENSVRFTPAQVEAIMSGSQPGLTVIVGPPGTGKTDVATQIIN NIYHNFPHEKTLLLAHSNQALNQLFAKIIALDIDHRHLLRLGHGEDDLDAEGSFGKHG RVESFLDNRQKLLQEVRKLAVSLGAPGAHDNSAETAGYFNKVYVEPAWQRFENVVASA ETSTAEAVKAFPFYEYFADAPQPLFPEGCNRDEAVKIANGCYRHISKIFSELADIVPF EILRRDREKANYLLTSEARIVAMTTTHAAIRRGEIASLGFRYENVVMEEAAQITELET FLPLAMQKPVGKEMPLKRVVLCGDHFQNSPIIQSLAFRHFANLEQSLFSRLIRLGVPA IILDQQGRARPSIAKLYQWRYPKLENLPHVQNEPEFVRANAGFKYDYQFISVPSYKGR GESEPTPHFIQNLGEAEYAVAIYQYMRLLGYPAERISILTTYAGQRALVKDVLAYRCG RNPIFGLPKAVATVDKYQGEQNDYIILSLTRTSRVGYLRDVRRMTVAVSRARLGLYVL GRREVFEACPELRPAFDLLLERSDKLMLVTGEMWPTERRDVEGSTAVEGEVAMESVEH LGQYVFEMTNTKVKREQGQEAEREMELLETIPEGVDEEEAQTTHYEYDEEAVEPDILE VREGESKN UV8b_06404 MPALAMENPGVSAVARFSSLLDELLAEAAKAKPTPTIEPALKKS NLDDISGRISASISNVGGTANCQEPSNQQFAIIETAARDLFDSLIATIPIKSPDFVKM WNFLDILSILSDDGQCDPALLFWLVEELLDSQTILGCRIVFDYLESRRERITAKHFKQ KHLVILRSCNELLRRLSRAEDTAFCGRVFIFLFQSFPLGDRSSVNLRGEYHVENVTTF EFSAAKGVQQGESMDIDASAEIPKSSADTKGAKEVTFDSKDSQPSFDPEALYPLFWSL QESFSQPLRLFDARQFTHFKRSLDATMKAFHAIHQSEGSSKSLLESSRRNLKRKREGR EGYDFEDAPQAFNPKYLTSMDLFELEICDLSFRRHVLVQALIVMDFLLSLSAGAKEKA AGMNASNKSVIYSDELSEENAKWATEMKSTISDYLKRGIDGAYFYRMVETVLTRDKNW VFWKMASCPPIQRDPVTASLFNQARASAQKSATNKRLRPTPIGSVSLDFLNGEEDEMN LDQLESAERYQLPELGSFQRKLADDDFEIEMPASDQTKVAAVAGKASKSWRALRIAAR LRLAAFDKIDDPKKVNAIFEEPDEEDQQGEEAEQVAPEGDMPEDRSAVVLSGPRAAGK SALVKQLLNQHKGVFAPVVRHTTRKPLDGEVKGKSFHFVSAQEFNQLRDGDRLIEHGI RDDGTAYGTSWKAVDAVLDSGRVPIIELDMKAARFAKDMDFSARYILVQPPPYEALQA RLSAAGLGRETIQGLLAMSVEETEEIDDTGLFDHTIANDDDEEAVARSLSAYIFSKTH DTT UV8b_06405 MSLINVRRDVSDAFYRYKMERLQTKIEGKGNGIKTVVVNVSSVA ASLARPASYIIKYFGFELGAMTNNDPKDDRWIINGAHEAGKLQDHLDGFINKFVLCKS CKNPETDVKIDNDRILLDCKACGQRTAVDLRLKLSGYILKNQPSKKNKKDKAERRAAK KAKQNGNSNENGTGSGGEEGSENGSNDHEIENGDAGSDREFNKLQAEAVEAAVVEVKD DEWAVDMSEEAVKARQAQLPGDFKQKLSLIDDDEEGEGGNTVYDEFGDWIQTEAKEKG GIDQVDSINIYLKAKELGIETKHRTVLVLVQTVFDKNVCGQIPKRAGMLKQIINSERH EKALLGGTERLVGSLSVENPDMLPQIVKVLQLYYHHDLISEEVVTKWGTHASKKYTDK NTSRKIRQAAKPFLDWLEEAEEEDSDEEDDE UV8b_06406 MLLSPVYLALTAVVLLGIHILRHLSSPLRKVPGPAVSLFTSLLL KWKQVNAARTLYIHALHEQYGPVVRIAPNEVSFTSWPALKEIYCSGGSGYDKSDFYNL FKVYGRRTMFTTLNKADHARRKRILADRYANTNIMRSVSLDGIRKRSREFLDRCTAAG DSATDVFISLHAYACDCITHHLFHPNGSDCIGKNMDEEMMHQVAADDSLQNRLIAYYC PTLHRLFAGILSLFVKPRFVPLADNFVLEASKRTNAASFTLMSRLKEKTANLDQIDVA AECLDHMVAGIDTTGDTLCFLMWELSQPRSLHLQQRLAEEFQAHPDVSTHSLPFLDAV LCEGLRCYPAIPMSLPRLVPPGGRTIDGYAIPGGAIVSCQALSVHRINNHVYPDPEKF NPDRWMSPEGDADRRRLLFSFSSGGRGCVGKHLAIAEMKVLLRDVYSQYRTVPDASMT AESMTMSDQLISTRPLGKKCLLRFHALQESDLGSQTG UV8b_06407 MPSFARLSKLNNRSQPALPEQLSTGANCGVVQAQAAHEQQQQQQ GEGGSGSGGSASQADSRAAEDIAAAGLSPSALPSSTATLGCSDNLETTSLAEARPPPQ QLQQQQQQQQHQQQQQPPWPPPPPHPFNLQSGGVNLVPCPVQTSGPAGSPVFDGHQAP TPIDFDPAVDHSQTQRYGAPLNSHHHHHLLLHHHHHHHHHQAQQQQQQQQQQQQPQVY GAPSGSIDDLTTTGPHQQSPQQHSTSAAPTAAATQKRSARKLIKGLFGSNRESNDAQQ QQQQQQQQQQQQQQPQQHHPPAQPTSSQQTIIQNQSDPYDNTAGLAHRPSQRDSRVLL SNNFVRQVSPEHLETSPYNEVYQPPDYPSPRKQPPNQQPPLPLQDPQPQPLQRQGTIH IQDQQAQHGSPQQQQGAAHDQQVHQGRQQPFPYASRTAQGSYQHTGEAPIATSQHPTG PHFQNVETISQLSHDSLVTHSEARSSLSQHQGLQLQGFDHASAPSQDISTHTPLNQGA SVQEQDQAVMAPPTPGGGGQPTSRRAQDAEKALRGQVDAPPGPPPGYHRQGSISLNTV SPAPAPGQGVPSNTGYRGDRGSQYMDASSGAEQGRNSPQPSDRDTELEKQFKDLLAKY KHVKRYYFESKSQIEQLSSQVEQLQNAVANQRMSQSRTAWDDNEYSTRFNRLNGAINN LSFNIRKDWRCLPPWLDNLVSGEALKTGKQEMTAVGRAVVSRWLVEELFNRCFHPALE AQFSAELKEIELSIRGNSHTLCSPEEFDALTTKVVNWRMATLDGLQKRLGSAEAAQNR DMLTGKVTASLTAYLYHYLSSPPPHGVEGSTSVIAELAVAIAANLPLESRDVAITYPL PGNLVQTSFMEVEKTGLPSLDGQRDGEGDSDADEDEDDKDTNAKTRGDKAKAGGSIKD AAKVRFAGFVALEVRGRQVLAKAPVWTF UV8b_06408 MRTRVRGMSHYCSFRPTPTPELESSPTPYGSLSFPGPGLLHRMG AWIDGPCAHVATASHVHALSKGKLNYGHVHFCPRTASDASRVLCAGICPTMGGKRRCI YPPTRFAV UV8b_06409 MAVRAQFENSNEVGVFSTLTNSYALVALGASENFYSVFEAELQD VVPTVRTTIAGTRIIGRLTAGNRKGLLVPTTTTDQELQHLRNSLPDSVRIQRIEERLS ALGNVIATNDHIALIHPDLERETEEIIADVLGVEVFRQTVADNVLVGSYMSLSNQGGL VHPKTSIQDQDELSSLLQVPLVAGSVNRGSNVVGAGMVVNDWLAVTGLDTTATELSVI ESVFRLGEGLGPSNINTSMKDTMVESFY UV8b_06410 MTAPASPPSRLDPSELGTKEYWNKLYTRELSNHASDPHDIGTVW FDDSDAEAKMVRFLDGLAGLPRSSASILDLGCGNGSMLLALRAGDWTGRALGADYSPQ SVALARQVAAAEALGGGGDCPPLEFREWDIMSGPYAAVLDGAQESGWDVVLDKGTFDA VCLSGEKIQDRRLCELYCARVLPLVKKGGVLLVTSCNWTEDELTRWFQREGGGVRDAA DGAAAAAAAAAAADADADADADADAAAGPRFAVVGKVDYPSFSFGGVKGQTISTLCFR KE UV8b_06411 MTDATNANDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALG AQRTRDELIPFLDESVEDEDEVLVALSEELGSFVEYVGGSTYAHVLLSPLENLAAIEE PVVRDKAVESLNKICEALSPQQVEEYFIPLTIRLSKADWFTSKVSGCGLFTTPYRKVS PPTQEQLRQQFGLIVHDDTPMVRRQAATNLAKFVKEMPASIVIEDMIPLFQHLVQDDQ DSVRLLTVEVLISIAEVVPKEQQSSHGVLLTSLRNLIEDKSWRVRYMIADRFEKIAKA VDEEVVSRDLVPAFVKLLKDNEAEVRTAIAGQIPGFCALVERATLLNDIMSSVEDLVS DNSQHVRAALGTQISGLAPILGKQETIDHLLPMFLQMLKDEFPEVRLHIISKLEQVNQ VIGIDLLSQSLLPAIIQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEKLSNLCMGWL GDTVFSIREAATHNLKKLTEVFGVEWASEQIIPKVMGMGSHPNYLYRMTTCFAISTLA GVVSLTVVAKSILPMLDKMVDDDIPNIRFNVAKTYSVLINALRRLPDEGTLYSLEREG AKMMPSPKGQELIQQRVLPNLAKLQKDDDVDVRYFATTAAAEATGSMPSGDPMNTSP UV8b_06412 MPTISVDKYRLFEALGNSFTKPEFEDICFEYGIELDEDTEDQER PIVDGKQEPPQLKIEIGANRYDMLCFEGIALNLNIFLGRVSPPKYRVVEPKDATTQVI KVSPDTTKVRPFVSGAVLRNIKFTQDRYDSFISLQDKLHQNLARNRTLVSMGTHDLDT IQGPFTYEALPPKDIKFIPLNQTKEMDGQELMAFYDGDKHLGRYLHIIRDAPVYPVIY DANRTVCSLPPIINGEHSKITTNTTNVFIEITATDLTKLQIVTDVLVTMFSEYVDQPF TVEPVKIVSDHNNLTRVTPTLEPRVAYAEVDYLNSCTGLTESPESLCKLLTKMAYVSK PSDTDPNLLEVQIPPTRADVLHQCDIMEDLAVCYGFNKLPRTAPTRSATIGAPLKINK LADVVRMEAAMAGWSEVMPLILCSHDENFAWLNRRDDGKTAVRLANPKTQEYQIVRTS LLPGLLKTIRENKGHAVPFKIFETSDVTFKDESAERKARNQRHFAAAYCGKSSGFEVV HGLLDRILAMLRTAFIAHDEGLSAGKSIDYQVKESPSKADGYWIEELDEGTFFPGHGA SVHLRLAGKDRRIGEFGIIHPTVLEKFDLRYPVSTLEIDLGVLL UV8b_06413 MEAKQVIDNVQGIYGGHAVTGTLRLTDYHMVFCAPIQPQQSPQG SGSDSSLSPRMRERWLTYPILSYCALRPTPPASRQSPSIRIRCRDFTFVTFNFADNDV ARTAFEFIKFRTCRLGTVEKLYAFSHKPLRNEKEMNGWEFYDPKAEFRRQGISEKLPD KGWRITHINHDYSFCDSYPGVLVVPSKISDNVLKYAREFRSRNRIPVLSYLHPVNNCT ITRSSQPLAGITRKTNVQDERLVAASFSAWMPGGSEESTHPTSQQESLDGGWQGSNLS EMERFEDEPTSRYAAIYDEETGETRVYGRQQTNMIVDARPTINAMVNQVQGMGSENMD KYKFATKSFLSIENIHVMRNSLNKVVEALKDADISPLPANRELLHQSGWLRHIHDVLD GSAIIARRIGIKHSHVLIHCSDGWDRTSQLSALAQIMLDPYFRTMDGFIVLVEKDWLS FGHMFRLRSGHLNHEDWFSVQRDALAGSKVQPGETDGRNDALQNVLSGARRFFNPSKE EADLATVSEVASGKVVDDEATTPKMVSPVFHQFLDCVYQLLRQHPARFEYNERFLRRL LYHLYSCQYGTFLYNSEKQRKEARVGERTSSVWDYFLCRRQEFRNPDFDPAVNDRVIG KERILLPNLKDIRWWHQVFGRTDDEMNGALDAAAAAESGRHAAVSSLKESPGPRSVLR SASGTTPPPGLINSHSVLTAGETAHQTLTPETRQASLRHSASADSASAFAAIRDGLQG LGIGKAMRDPAGRADGSASAMPPSHQEEDMREMT UV8b_06414 MAHPGSQISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATQTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKDFIDTATRHVLLRQGVLGIKVKIMRGSDPDGKAGPQKSLPDAVTIFDPKE EQAVLQPVSQDYGAKAAAAQASQDARVAEQEGEVAEAQQ UV8b_06415 MLDDKYIGLALAMSSALAIGTSFVITKKGLMQAEERHGFEGDGF VYLRSPLWWAGIATLGIGEVCNFAAYAFAPAILVTPLGALSVLIGAVLGSYFLKEELG TLGKLGSAICLIGAVVIVLHAPPDEEIKTIDQILDYALRPGFLLYSIAVVSFAVFMIY KIAPQYGKKNALIYLSICSTVGSISVMSVKAFGIALKLTFAGNNQFSHPSTYVFMILT GVCILTQMNYFNKALASFPTNIVNPLYYVTFTTATLCASFILFSGFNTTDPVNTLSLL CGFLVTFTGVYLLNLSRADPNGQKLTAGRGGFDATPTDMVSGFQTRRSMQSRRSGDPS RHSLGGQHGDREGLIRAYDEEEAGGFGLTDLAEDSGDEDGRSNGAPNGKKEEHSNAIE LQNRKSAER UV8b_06416 MQINVESNIKQTGEGAAFACRRHVKFGLIHVPATKPIRWGPPVL TNKGRVLWPRLPLTSARHRLAFRSPGAGSLEGRVLLPQAPFISGGWLSKAIQSMAPSH TSCTYAEMIPRPPPRIGFILVDKFRMVHNNPTNARLNDLHLCSMGPVAYTSQALVYNI APIVVAAVAKQSTNKRRLLVDAMLPKPC UV8b_06417 MEVEMDFAPQLGIDAPDDDLIDYETDAEGELNDKEHNKLVQEIL AVGKPDFEFDSADEDAESRDDNVDDLETNMEDKDAPIRSVPQVSHTHASESRESAHEI DYDIEENVHVPVADDAAETGLAEAVEQQLSEGLLKVENEAGEEEQSPRTEDHEITWEQ EHSDAELDEHANEASAMAKDENASNVSPSEVALTSSEAANQDQAQAAYSSDDNISGTP SYDDDDGDDDDDDGDGDGDGDGHGEEAVPAEISASSPEQEGKLGSESKNVEFPSIVVH YKGEEFPFFSSTSEGFFSHLSVLDDNVRVLLAGLRAELSSEILEDDDLVFQVDELGLE FAESSSPDILTDFTLGQILEVFDILVNNQDYDDSRALYTSVFTRPNPARRFELLMEKA SVGTGLDKVIELFQPPDSSHVEELAAAWDGDALNALTDEDDDDNDEQITHDVEHAAVT TTTFSTEQVVDVNETVKGSEWQEKPGNMTSQVQVENGSHGGDAEADEDSTEAVSTKGL VAQSDVEDAEEQIQEQRLVKDAYQQSSHVDMNDQQGFEANDLIGFEFDEPIDAVSYRS PANDGEDVKDDMEHLVADIGAHDASTSTTPTTTNLQRDGDVSSSSTQSGAIGAAAGNA DLGDAALEDDVGEIDWRDEPESHSDHVGDASLSAAKRARADDEVRAEDTQNVKRRRP UV8b_06418 MVVLAASICTRGGKAVLSRQFREMPRSRIEALLASFPKHADSGT QHTTVEQDNVRFVYQPLDELYMVLITNKQSNILQDIDSLHLFAQVVTNACRTLDEREI TRNAYELLSAFDELVTLGYRENLTMSQIKTFLEMESHEERIQEIIARNKELEAAEERK RKAKQLEMQRKESARGNRGMPRTPVYPTYTPPARPSATDSYDSYEAEKNKTYSKSLAP KAKGMQLGKKSKTNDMFERVRGDLGGQVDDSPLVTPAHTAVTQPAEPRVSSTLDRDAV HVTISESISASLSREGAVNSLSISGDLALRISDPSVTKIKLGLQAMPSHGAQFRTHPN VDRNLFNSSKTIQMSNTARGFPVKNAVAVLRWRASPKVDDSSACPITFTVWINNDVGK YNITVEYELTGGDALRDVSIAIPYVGSEPVVSSYDATYEVSGDMLEWNIGSVDDENPT GSFELEAESGDENDFFPMTVRFSKTSPYVDVDVTKVSLLEEDEEITFSKEIKSTADNY SVE UV8b_06419 MAEPKRLSLLSETVFESKASSGFPLSCPTLDLSTTWDAPGKALF IIRPPAQTVSKIHQAGIPGTEAPEVTSATWKPDGHFLAVGWSDGVVRLMGLDNTKVAH HIPVCAHGGDAAPRISHIGWAICSLGNKMARDLPSRARETMAQESLHHEDRMPPLNLP QELGFLEVDTALPKVSPLPTGSAGIGQDATVFTTRAGIDFLFPLPKTQDFGQVHLMVV GTDDGDLQLNLYDSFLIGTFPNPVSDSAPKSRMISHAFHPQLPTHTLIFAEEEAEPQT LHLVPMDLSFISSSAINLSLLGTKLTTLQKLLKYVRQAQQHMQTEWKGTRDLPSRFLR NVQGDLEKLHSGPRGIVPALYHTVVTGHAYEPLREWLVDSLAERGHKRWDKAVVSGLE NLRGLIHENFLPALDRCAIILSRLRGLAQFHDDRDDIGFSVTQISRTLDIIGCLSFVG HEILSVVMDELEHFKAFSTWLRFQIDRFASSTTAADELTEKEATIDTSKVLRYIQRFL TNSPLDIFFSHVSKEDWQADWDYIEDGVSLLPILDSQLRKQESEQASRKALQRLDFLV SYATSWGNRIFDGIAEAKKRSVRFGKPVKLSINQPITAMDIRLCQKQENRGVSYVAIA SKAADDRVHIFRTAMDITNGISTNHPTMSVCVSLTNRKLIDVKFLNDETLVLLCSNDN KTISVVLLSTEAEHLHYLAYDESKPQDIHAIQRSDLTELVLPPEYVSRPVKMQVHDKT ALHGALPARIYLLAGNRMTWRAFTLPE UV8b_06420 MTLNPPIRQVLLAELGDDANFAARSSSGVLSPAKLTLQRIHMQV SAAVQNKEATKTAGPALGLSPKGKPRLLLMGQRRSGKSSISSVVFHKLPPNETLFLES TARIQKDSMASFMEFQVWDFPGQIDIFDNANFDMEAIFGEIGALIWVIDAQDDYLEAV SRLNATILSLQRSYPNINIEVFIHKVDGLSDDYKLDIQRDITIRIQDELSDHGFENAP VTFHLTSIYNHSIFEAFSKVIQKLIPRLGTLESMLTNLCRTCRFEKAYLFDVLSKIYI ATDSATADMASYEICSDYIDVIIDITEVYGTWQRSDDSRKKLEGQPWNSKLDEQISCS TAESCLVLHDSNKPIMLREVDRYLALVAIMRDDSYDRMPLVNMNVEAVVEGLTEFFNI TKPRR UV8b_06421 MSSSLKNELTVSDLEVDSHALRSLSRSAILNTIHSLRVVMTALA LSAGIAILGLSADTLFVYNSTHLPTDFLLPLWPENLDLRPTNALVAGSVIATAMNMAS ILASKAPSIRGRVKVHSSISLIVPLISFIASLVAMVLFYAINTSTTVDTFQSWTCRWK SVPMSSRPHFGTLCKESQAALALSMLLVPLELLILVAACFQTMLEKKG UV8b_06422 MALKAKETPPDSVDQDSTRPLPSFTLFFHLPPELRLKIWNLNLP VMRLVSLNCGGKSPSLKEPTSCATMAFMGCTSDAPVPVNLHVCAESRADALKTFRCAF GFARMPGHVFFNPESDILYFGPREGYMAADAQFHTCMTMCDRAHLAAVRRIAISDALF WVEGAYNSLAAASLTVHVIKQLALRMPFLEQVLFVPREQDEVAEPILTERRMKCQVRM AMQTACLELSCWRPPSWAIVSLRTLSSACR UV8b_06423 MSPANQIGLPNPLNPPNPPSPTCRHHAAGFHQLSSGSTFQQKQV SGLQVAPDRADLSNADFIQDQDEALAALQPALSAAASHMTSAHTPAAEKATALERVSG GSCFNNPNLGTRNGERAQVQAPGGAEDGQDGQDGQDGQDGECDMVHSLNIPRSEATQG VSPTMAKPGHPMAFATVAPSSVPPQSPSAGELPLPSPWASGLKTVAADTASSRSRQTK GLLESAFGPTRQRRSRSAGQEAFKRLQRALPSFSAHTNLLPSLPTSFLSSLTGDHKTS QHSSPKPGAACHLQSATLSHQSATPKTYSIGCPAESGKDDSHAPLQGPARRELASSST PEPPPSVLRRVTSDESMLYHSLSRQSSLGDDNKFSDVREMVNIRFMAIRDSLPDVPNF KMPSLTRLQVASRMPSISLNGLFSNSTVDHAGDPPKPESGGENVRSSISAVPKAVTPA AMDRVLEDLTGDLVILGGYRGSVLRSAEPPHQQLWAPVKLGFNMRKANLEVGLDDEDE ETMEERVIPSGMLKHVGPVDVSRKLFKKLRSSPNARSGKLRIWDYGYDWRLSPALSSK KLQSFLATLPSNQAGMPAEARGAMVIAHSLGGLITRHAVNQRPELFAGVLYAGTPQRC INILGPFRNGDAVLFNEKLLTAQVNFSIRTSFALLPDDGSCFVDKHTGQPYPVDFFNA DDWVKWRLSPCVAPPLPPYNRGKQQSLSSPLSSLLPNSLLKSRKPLDPGPRPSPGPGP SPSENLGAAPPPSPPQSPSTPCAVPGTSVLDHERYMLYLRRTLAATRRFRSELVHSKA HESSNAYPPHAVLYGKTIPTVYAAQVASQEAIAYADAYDDLLFRPGDGVVLAREAMLP GGYSIVRSGRVSTERGHLTMLGDLPAVCQALDALLRGRKRGIGLK UV8b_06424 MSTLVPRPPYSDAELAALYPPQLRLCQVQILLRHGERTPTNPRF ANTGLPAFWPYCSAVNHLRNAVLDPDTARFSVLEWRRRLETTAPDGSPVLASGPGGQI DSICDAGMLTDRGRETTFHLGQRLRKLYVDQLGFLPAKVQNTDFMYARSTPIPRALES VQQALSGLFPRHARADDFSPPTIISRAPADETLFPNDSHCRRFAVLARAFAQRAADRW NDSEDMAYLTDKLGKWMPPDRPRVAVDSRPRLSGIMDTVNATSAHGPGTRLPREFYDA RVKRVLEKIAVEEWYAGYKESEEYRTLGIGALLGDLVLRMVESAARVPTSNSPPGMAK QQQPDAVPSGILFGLSGCHDTTLAATLASLGALNEDEWPPFTSHIAVELFQRTDTDAA TPRHSWWDALLGRSPSHQHQIGRKPTQALSEPEKKRLRDFYVRIRYNDRPVAIPGCKA PGDHLDGDESFCTLQAFKSIVDRFTPHDWKQQCRTNMNAPPFPAAPEPAGYS UV8b_06425 MAVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYA VTVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVRSKWLP EVHHHCPGVPCIIVGTQVDLRGDKSVIEKLAKQRMEPVQEKDGKKMADELGLKYVECS ALTQYKLKDVFDEAIVSALEPPMPKKRSHRCLLL UV8b_06426 MNPDQQDIDHLLQRYLCLLEEYTRLRQELSRLQALVYRDIARAN FLAERGLRFGQDQYDDRMRASRRLTILQSEHQAPEFRTKAHPFEAQDGQETGEEGVVS DDEHGKGTRKDARRGLPHDPLRWFGILTPMPLRTARSHSARAVEDVIPRLASVDAEMR RVEIEVRRARKRQSKAGGAVSKGSFAVCKSAEGIQRQG UV8b_06427 MDQGAAQTNPSSPRQSPSQSQSIPDAATISRIQALLESKQDTQR FVALALLKSVLDNSPQLRQDASVIQRLWASTSPKFLERLVRTGSRSSGQNAKEMLDLA ISVLHTFAALLPQSSIAEPKFTDRIPGLVNAVLYCSNDSTTSLLQLLHTLVSIPEGAK SFIHVEDPSPLAEIAPSHALVLDIFRFAWLNGMAAVVEKHLLVNQITDTMRFLVSSFM GTDGVTLLDFLGSFLRDAHPDILPYEPQWLETISGFIKNLAASRPNQLARSAYTSAAA SMLQAYPSSAPRLLFADNKDDDKPFAYLLVDIILIDIRSSTPTLLEQLNQPGYTELSQ RLASALDVIAIFIGYLVRCLEDDSIDTFTLSPQSLLKLRKSISETMSGIAEYLRDRWD ATFAGAMGLHPDARVASTDTATGLYRSLTWDSMTTVADEDPLILSAIRSLSLWLREDE NEMLQKEATGLMDVFMELYRRSSSERLDFRPAVLVALEALITIPRGRKLFLQHDGWGV LSQDLGQVFQKSLGGDGDADADADADASRGVEIVRVLLTVAEEEASDTPEEWMDSVTA MARWDTPALVSSAKFQELQIAFLQLCCALLHGATSGMRSRHRHSIRTIQDVANQMTEN TCKKDKALADALDEVRVSLDRFCGEPTAGSG UV8b_06428 MLGTAQNHSSASASCSSPSPRTAHTPPSLTSDGRDEMDFLADDG HLDVDAGHDLLDDDDDDPLHQDLDDSPSFKRKQEPFVLPRPSRLLSALAASPSFSRGN QPSCSPRQLRQHKHTYPVVAAADSAQRTTRSSNTNAVFKEGLPNDWNAEGPGRRVGYE DMTAIDWIFEYTKERQRLRVLSSSASGLVGYLRYFLDASQVWIVLVLTGILVGLVAAG IDVTSDWLSDLKLGFCSSGPEGGRFYLSKSFCCLGYDQGFKCAGWKYWSEALGVHAAG GRWIIEYCFYLLFSVSLAYFAALLVQEYALYAKHSGIPEIKTVLGGFVIRRFLGTWTL VTKSAGLVLAVASGMWLGKEGPLVHVACCCANLFIKLFANIGDNEARKREILSAAAAS GISVAFGSPIGGVLFSLEQLSYYFPDKTMWQSFVCAMTAAVCLQAFDPFRSGKLVLYQ TKYSVDWHGFEIIPYAMLGILGGVYGGLFIRANMAVARWKQAQPWLPGPVVQVIAVAL LTALVNYPNFYMKVQSTELVSSLFSECSQVLDDPIGLCRTGTASARTIILLIFAAVLG FFLASITFGLQIPAGVILPSMAIGALTGRAVGIVMEIWVHNHPSFFVFASCAPDVPCI TPGTYAIIGASAALAGVTRMTVSIVVIMFELTGALTYVLPIMVAVMTSKWVGDAFSRR GIYESWIHFNEYPFLDNSEEALVIPDVSASQIMTRIEDLVVLTATGHTIASLNAILVT HAYRGFPVISDPREAVLLGYISRAELAYNIRTATQSPRSLAPETEAYFSHQPLADPAT TLDLRPWMDQTPLTLPSRTSLHLVVSYFQRLGLRYVLFTDRGALQGILTKKDVWYVLN GAEETRRTSSSAWTGGVEHAGMRGAAEGGGEDVQGSAHGLLRTDDNEDAASIL UV8b_06429 MAPSKQATLGKFFGARNPAPQQQTKLAFATKASPPAAKGDKNLR TKENVDPESDSKKRVRSSRSTGPVKAGLDEDEEPITKRTRRTRVKIEEEEDEPVVPNL KKQEVEDANNKDGKPDIKYIRARTSPKAAADHESVKSLDEENPNEAAAGSGSDVGEDG ELEEEPEVAARAREKVQTQLKSKTNKPAYPDWKPGSPVPYAALCETFSLIEMTTKRLV IMEHCSSFLRQVMRLTPDDVLPTVLLMINKLAPDYAGIELGIGESLIMKAIGETTGRS LQVIKADQKEIGDLGLVAVKSRSTQPTMFKPKPLTVRGVHQGLMNIATVTGNGAQGRK VDGIKKLLSAADAHSTTKADITKDKGGPSEAKYIIRFLEGKLRLGLADKTVLSSLAQA IVCHEADQKGKVPSTTEMAEGETILKTVYSELPSYDAIIPAVLEHGIMRLRENCKLRP GIPLKPMLAKPTKAITEVLDRFEGQTFTCEYKYDGERAQIHYVSKEATQEFSQAAVGA AKLAADGVASIFSRNSEDLSKKYPDILAKLHTWVKDDTKSFVLDCETVAWDVSEMKVL PFQQLMTRKKKDVKVEDVKVKVCVFAFDLLYLNGSPVVGKSLRERRALLHESFAPIEG EFAFATYMDGQELDEIQLFLDESVKASCEGLMVKMLDGTESGYEPSKRSRNWLKVKKD YLVGVGDSLDLVVLGAYHGKGKRTSVYGAFLLASYNPADDTYETVCNIGTGFSEQALE ELHTQLSQIVIDRAKPFYSHSSGNQHQPDVWFEPRYVWEVRTADLTLSPRYKAGCKEG VDPVGGKGISLRFPRFIKLRDDKKPDEATSSRQVAEMYRKQESVTKNKGPSVDDDFEY UV8b_06430 MFSRALRVPRGLPLRSRAHASTFLSKRSVTTDAASASLHNGVPQ SEDEPFQVTLSDESFETYELDPPPYTIEVTKKELKQMYRDMVSIRQMEMAADRLYKEK KIRGFCHLSTGQEAVAVGIEHAITKADDVITAYRCHGFALMRGGTVRSIIGELLGRRE GIAYGKGGSMHMFSKGFYGGNGIVGAQVPVGAGLAFAHKYKDSKTASVILYGDGASNQ GQVFEAFNMAKLWNLPALFGCENNKYGMGTSAARSSALTDYYKRGQYIPGLKVNGMDV LAVKAAVKYGKEWTGADKGPMVLEYVTYRYGGHSMSDPGTTYRTREEIQRMRSTNDPI AGLKQRILDWEVASEEELKKVDKEARSHVAEEVAIAEAMAVPEAKPSILFEDIYVRGT EPNHIRGRTPDENFYFA UV8b_06431 MKSKSALAGLVTSGILLLLQSSPVCQAAVLNPPHQSASSSGNPS NISRFPMLTRICDGALEFFWGRRRLREGSNQSPTYTASRATYANQVVLRFNITDDGQE AVLAAAASRLFLDVWALTTDFVDIRLHREEVPSLMGLLPSSLQAASTTLISDLASAVY NSVPHHDRGATAEADDLSLPRSLLAADSDNIFFQDYQPLPVIVRWMRLLEAMFPSFVE YISIGKSFEGRDISALRLRVSPVSVDSGPRKTIVVTGGLHAREWISTSTVNYLAWSFI MSFGNERMITNLLHEFDMVFVPVVNPDGMEYSWRVDRLWRKSRQQTSLRYCPGFDLDH TFGYGRDGPQSQAQADPCSESYGGEEPFQAVEARELAAWARNETLNKIKFVGLLDLHS YSQQILFPFTFSCQERPPNLESLEELAAGIAKAIRIANGESYSVSSACEVATTATEGR VAGHQSAFRIESGGGSAIDWFYHELNAHFSYQLKLRDTGSYGFLLPKEYIVPTGEEVF SALKSYGDYLLGNNGIERLLDDEESDFQINATSSDATLTTNQELRRRRL UV8b_06432 MDLDIEMGDAADGMPEMLADEPEPRQTEEILQLNDEPQEPGEVA EDGPTIAAGEEGGSESKTVVPNKIHIHGVDTLHTDEIKAYVRSHFGLVDRIEWIDDHS ANLVFANNMIAHDALAALSAMEVLDVTALAVGETLPAKPFHGKPEVSFQLRFAVESDK KQAGAALRSRYYLLHPEHDPEERRRKQQQDRARYRDREGSYHSLAGRHRRDGSTDDAE VFEASMYDDAPRSQRSRRGSGSRDATNAHLRSNHNHGKELFGNRSSLRKRSASPRRHL DGGDAHQDELERSNDRNRIEARSIKSGLADNSSKELFPTKSSGRGGQLDQLDQLEESI GSARLRIEDFPNVVITPDSATDDAFKIKGAAADERRNRGRGFAIKGAALGSARELFPD KLGNRNASHDLPSPARTKRRQKAHDLFS UV8b_06433 MSSLKQFIRNVRAAKTIADERAVIQKESAAIRASFREESHDHNI RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGHLATSLLLDENQEVLTLV TNSLKNDLGHSNQYIVGLALCTLGNIASIEMSRDLFPEIENLISTSNPYIRRKAALCA MRICRKVPDLQEHFLEKATHLLADRNHGVLLCGLTLVTSLCEADEEEGGEEGIVDKFR PFAPSLVKTLKGLSTSGYAPEHDVTGITDPFLQVKILRLLRILAMGDSEISEQINDIL AQVATNTDSSKNVGNSILYEAVRTILDIEADSGLRVLGVNILGKFLTNRDNNIRYVAL NTLIKVVAIEPNAVQRHRNTILECLRDPDISIRRRALDLSFTLINDSNVRVLIRELLA FLEVADNEFKPTMTSQIGIAADKFSPNKRWHFDTMLRVMSLAGNYVKEQILSSFVRLI ATTPELQTYAVQKLYANLKRDITQESLTQAGAWCIGEYADALLQGGQYEEEELVQEVK EHEVVDLFATILNSSYVTQISTEYIVTALMKVTTRFSDVSQIERIRRILQGNQTSLDV EVQQRAVEYSNLFSFDAIRLGVLEKMPPPQIKESSRVLGPAPSRRSKVANRKSKVIKP TEQDLLDLMDAPAAPSSNVSATTNSDLLADILGGGSASPSSMSASPRAPEQGDMASMM NLVRPNSGLFTSSPAPPPVGSDMAGSDQAPPRHAQADPGYSCYNANSLKVSIQVQRNA EGLIQAVARFQNVSTSISLHNVGLQAAVPKSQKLQLLNISASQLEPHAVATQLMRVSG CNGPLRLRLRIGYDHPSAGKVMDQVNWTEP UV8b_06434 MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SEAELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK UV8b_06435 MTSPALQKRGPWSSHEDNVLMDLVDSHGALNWVQISTTLGTRTP KQCRERYHQNLKPSLNHGPITREEGEIIERLVGEIGKRWAEIARRLHGRSDNAVKNWW NGSQNRRKRQDRRRVADSSGSRDPALLSGPLPILNPSSRPPSAYPTSPRSGHGQGHGQ GHGQGHGQGHGQGHGQGHGQGHGQGDSHGHGHSHSHDYSRSHDYSQIHGHENSHGHSR ENSHGHSRNPRAFPWWDTHFPSPCSSEPAESDSGSNYTTSPANRSQSLQLPEAPVELP PLCDIRRFSAPESQLPGVSAIGRSQPDDHDMNRLPPVLLERQVVTAPASPTLPQYQKE LQRQQQREQQRGRQRGRPLERQEQQEYRTSPAQPSNANQATINEQKSSCDISDRMKLE KLLA UV8b_06436 MNYSLPISNAFASLDVLSSVCEQYQWKWVEGMLIGGCLQYGLER YAEALEWFSRIVKVDECHVEAITHMGAALYCLNRQAEAEQSWLKAVKLQPCHLDATEH LVAHLYKNRGGEAVEVISSVQKALRLTTQEMACGDAERITSESRTDKVPIQSSNAGAG LSHSNKCQEVDSAGFGSSGYMLPGLKNGRILALVHAKGTILYGRRDVQRAAEAFQEAV LISIGRQVTNIQELICRIQYALTAQDGQQIAEGNRTHTPALPMLPPEKARHTSRLVFG SSGELPGLDSMSSGSSNSYLFRGSSQVGDILSLYYLSLSLQESPSTANNVGILLAGIQ QLPATSSLEATRVSSQTQIPGINPGSGLALALSYYNYGLRLDPNHVHLHTNLGSLLKD VGQLDLAIQMYERAVSCDGRFDIALTNLANAIKDKGRINDAILYYRRAVHANPEFAEA VCGLFTALNSVCNWHGRGGVLLESGRFDRWHVDANGGLVDVQASERGSGLTQRVVEIV TQQLRDACHWGLGVLHDSAISGLMEQLQHLCKDPSFKLDEALHEWAGKPWEGSRLVRL IERAAKVMQRNWYRDLHVTGRHGPSRYVRPRLPPSLTVPSAPTVLPFHTFTCPLSASQ IRVIAQRNALRISCSTLRSPWIPSMIYPPPSPPRPHLNVGYISSDFNNHPLAHLMQSS FGLHDTKRVRAICYATTASDGSVHRLQIEKEAPVFRDVSSWSPDRLLGQISRDNVHIL VNLNGYTRGARNEIFAARPAPIQMSFMGFAGTLGAEWCDYILADKTAVPATTLRPWRD NISIEDLFCDDNEGMKGDWMYSENVIFCRDTFFCCDHAQSCASGENGITWDEVERKRW EMRKELFPALEDDAIILGNFNQLYKIDPTTFRSWLRILSRAPRAVLWLLRFPEPGESN LRATARAWAGSEVANRIFFTDVAPKSQHISRARVCDLFLDTPECNAHTTAADVLWSST PLLTLPRYAYKMCSRMAASILRGALPRSVEGEQIAAELIASSEEEYEQRAVALANGAT YTANGTGYAQAKGRLASIRRLLWDNKWQCGLFDTQRWVNDLEMAYEEAWRRWVNGTGG DIFL UV8b_06437 METTDRSPSGRPSDDESDVDSDLEALQGDIARFDESVREFLASH RGASDAPQGRRSAARGRGARGPRKAAKPRGDITARLSKVNQAFLSGNYDQAIDIAFEV IRINAETHQAWTALSAIFSERGELDRALSAMVYAAHLRPKDVSGWLRCASFALDSMND ADSSNLNTARLCFSAALRADRTNIEARIGKADVCHRQGHLLAAIAEYKFIVKQRPYDL EIIRRLAEASIDSRNAASAILPAISAYKRCFAHIIAGESEQEKDYLWHDVGIYVELLA MVESYAEAIYELKRISRWLSGRLNETFWDDWQMDDRELDISDARRLSVTDYIALGETV QQPRRTLPLDLRARLAIYRLKLGDTQEALNHLSYLNPGDISTEIFAKDFPFLAYELGA ELNECGHFTQAIQYFELLQNMPGDQDAAVLLQLGRCYLGSGQQAKAEELFLAALDLDE ENIDARIELANLYEKAREDEEALILAAEALALRGAQGNVVGDASEELARNSHISAKTP QRAFRRYSLGNAATRVTPGGPAGRPMIPRRYRPKRLAGPDKRRQDEQARALKLSQYFH VVRDLKRKISAGQTDLVSQWMSCSKELIDDFRSLKRFYTWDKYLHFLGSNGPLNNGTG ESPDTELSKMYERLTRSIAPVPGQHTQQPEALNTTTHQGITFDDWLDLFLDYAIGLAT MNRWQEAYQVCAAAKDSTVFQSPRHEFCIYVAWSVCAIYANDDERCVAIARQLMRDRA ITDSYRMFALLSLLCQSPVSWYTSGPAQKYILRQIKAIDSSHETAAMQRGGAGKVEQG ETAPLDIDICLLMLYGHILFTSTSYSYALGYFFRARSLDPTNDMVNLSLGLAYVHYGL KRQSSNRQYLILQGQAFLSQYALHNSNNDGPSCAAERYYNLGRLFQLLGISYLGSSYY AKALDSCRSEAGKPELSSIIFANQLISLLTVGNREMAFSVLKANLKL UV8b_06438 MAYNDDSVLARLSSLNESHDSIATAAQWIMFHRRHADRTVQLWM QRLKDSSSTKRLSLIYLANEVVQQSRIRHKEDFVIAFSPVVAEASATAYKGAPAEIQA KLRRVIDVWKDRSIFEAPIQEAIHARLDEIDKARGVPLSGFGVSPFKGASVPPEFAPL VTAHQKIVKLNRTSQTTVSSAIQEYDSQVDPEAPVPSAPVYAARLNGLLKTLVNADNA VAECVKAREALVAGLEKMLEVHRSALVNEKKTSEDILERKTKIENQKQEVETAIMRAL GPPESNGAMAGSDSGSPHPEPDRPEMEALTPPPLQDDSAAGPSEGLDVADAVSAPGNN MDMSQEHAQPHRAMPESANGPNKRRRIDDTGDFIDLGGDDGIEPEVAEMLQDSPSV UV8b_06439 MADRFPSLDDFDSAGQIDAKEPAAEPSADDFLAREKALLGEDAS QFATSQDTIALAGPTDDLLETNVAGDSTFETQFPDLANPSVGTAGLQGSSSVTGPSVS YNSGFQAQAQAQALGDEEEPEVIKQWREQRNAQIAKRAEQLAAQREETIKEAQQNIDD FYDNYNTKKEKGIAQTRKEAETFLASHEDMVSSGTSWDRIAKLVDLSGKGTKGGAAAS GKERFREMLASLRKDEKAPGATGY UV8b_06440 MGIIDAKNKVPEHQRFYQQAYKAHTRLWMIGSRSRWYMTPYLIV LWGSFGATMYAAGRKITGHNTWFGKE UV8b_06441 MTSAAQRRSSSNRNPVQDLRKELDLALQAACPAAWLDFLKPIRR SQGYDGIWELFEYLLESGRIDVLSRSDAQLLRDEILTAALSIDSRLTSLVDSALRLLT QSGFSWPGLYQKVMHYKLENDKFEDSLRWHLALVPKFPPSMDVFGALLASHVVNPSPQ MQSSLRALYIVGGKRKLYDHIIPVLFETGLSNLARIWRKKLLIFGDFPVDRKSKPFLQ FLVRYYPSIVLTTHETAVLQGGPETSAQPDPPQASTNNNGSDKGQYSDGIVARLFASS WTSIEFAIHLAQKLGLREIGPRSLQSLALRETDAKSISWRISCIQKLGISISPQTYCK AVVFFANNGDDLLLKELLACDIHPDEFDSVGRRRMLMTASVREGHWRRERLMQGVELA IETDSLTGRLNALLDQALAERRMDLARGVLDRMEAMKVNLSQQHAGLLLEEAFSRLGK HPKRSTRAKEHALADSKSPLNRAIDMIRRVALHEVPIPIRYWKLLLYNLGRLGRLDEL GQLCEEIVQMYSPRMGGLMPVHPEDLPPNTFQPGLPPSNAHLPDHPTSTQSEPLDENQ ETPTPPGGPEVQTAVTWQPSDGMPEDASPDAQRRLEGRIPADLPFRHRQHPVQKIFDR KLQRSIIRWGFDQTLGTRCRSASFLDPSGGISSFDVASGVRLLACLRDQGVLIDCQAL RSAALARIALRQMPGHPREKFRDRHELSLQRMKVLVDQAWGSEILPDVISMAHLIGKQ QPKLWIRYSKLLGQFYDDESPRKYGKMG UV8b_06442 MWRDRTNLYISYRQSYGHRPKQRKPPYAHRSNPTSSEILSGAYS NNSYGADDRRGLLSGGELDDDDGDAVIEMDLLPPRWADVSDEIGDLLAEAACKGQDLD VLHQKHVLPGFNDDESKRMEEKQIELLTRQITGTFHSCHRSIKRVDELVRESKHAGGL SRAEETMAKNIQISLAARVQEASASFRKKQSVYLKKLRDMDGLASFPAAERSLTPQPG SYLDPSLQESDADRSFSQSTLLAASQQKPLGLDDATIRKREGEIEEIAQGIIQLSDLF RDLQNMVIDQGTMLDRIDYNVESMSEHVKGADEELQIASGYQRRTAKRKVILLLILVI AGLFILLLVKPRRRSQSVAKRAKIE UV8b_06443 MEVEALQAQLSHALQRASIAFQRVPGSAVLVRYIRSSYQNDPVR SAIELVLVLFFIRYLLSPSYSTHKQNFVKLGEDEIDELIDDWAPEPLVSEQTALEEAE SDKLPVIVGPTGPKVKLSTGRTVTNLASYNFYNFNANEQIKEKAIQTLRTYGVGPCGP PQFYGTQDVHVKAEADISNYLGTEGCIIYAQAFSTISSVIPAFCKRGDVIIADEAVNY SIRKGLQASRSNIKWFKHGDFDELERAMKSIVVEQAKAKKLTRRFVVTEGLFETCGDE TNLPRLVELKARYKFRIMLDETWSFGVLGRTGRGLTEAQNVDPQQVDMIIGSLSGPLC AGGGFCAGAKDVVEHQRITSSAYTYSAALPAMLAMTASETVSLLQSNPDILTQCRENI KLMRLQLDPRSDWVTCTSAAENPVLLLVLKAEVVATRKLGFDDQERILLECVDECLLN GVLIARLKTRPYANAIASRDSNWVPQPALKICVSSGLSRKDIDKAGATVRHAIAKIMT RKTSSKASL UV8b_06444 MTTRPTTPASPKNAKVKSPAPGIPVFGCEHVQLLLSQGQEVMNS SITHYKMILRGIFDSTPIVPQTSTSQEGRPVTSLTSNYLCLQCPTTVTEEDRLRHGSK KSHRFYVDSRSGSLYCQICDDLVWDPTLEELRVRKIGTGSFSGRKRRPDELFMDSIKE DPRYISSNTTISSCRANGLRGIYNAGATCYQNVVLQSFLHNPLLRNFYLSDGHQRNPD CLDSHCLSCAMDDMFQDFYALENTNGYTAANILSGFWISEKKAFENLVTTKEQDAHEF FQFLAEELHERNGDGKRPEIGSEHSCNCIIHQTFYGKMQTTTTCQNCKGTTNTVQSFL DLSLGLDTLMQRRAKKTGQKVPALTLNDCLDEEYVKFDKCEYRCHGCSSPQQAKRYTS IKRLPNVLSIQLKRFEYKQGRHDRAASKIDHGVQFPLQLNMLPYTNRVRNRDNRESLE LERSCMYDLLSVVVHVGEIETGHYVSYCRVADQWFKFNDHRVELATISEVLGAQAYLL FYIIRSLA UV8b_06445 MAEDDEPLALSAHTLAALAEFNAERDAHQSRFDELSAQAERQTP VSMDSFTEDWNKSQFWYSDDTADLLADQLLSGLRRGSSIGVVSAPSVFVALRNKLRRV SSDDCPKLVLLEHDDRFALFPEYVRYDYQQPLKLPGQLKASLDRIICDPPFLSEDCQT KTALTARWLLRPSRPDIPLPRVIVCTGERMEALVTKLYRTLDVRTTDYEPKHARGLSN EFYCYANFECPAWSWKT UV8b_06446 MDCYNRPVSLSERRGSILTEELALNTGNTALSNPRIEKLPQKQN RHMRGPPTPSIPTPATDYDQQLTGSPPPPPTPAASPGPAHCQPDWSDAADDEDFFLAK VRQHFKNCSGPQRTRVLADLLNLCTSQQLSFVHQFVSPLLKKDPFTSLPDELCLRILS FIDDPKVLARASQVSKRWRDLLSDDMTWKNLCVKHDYGRRLSEINASSPISLIKPAAH ALSSRDYEMAAGSGFPASHPLLGHSTGSRSFDGATCRPRLRSYKSHFKQRYLVEAAWR SGGTSVHRNITQEGGVVTSLHLTSKHIIVALDNAKIHVFDANGDNQRTLQGHVMGVWA MVPWEDVMVSGGCDRDVRVWDLKTGACLHTLRGHTSTVRCLKMADSTTAISGSRDTTL RIWDIRTGLCRNVLVGHQSSVRCLEIKGDIVVSGSYDTFARVWSISEGRCLQTLQGHF SQIYAIAFDGKRVVTGSLDTNVRVWDPMTGECLAILQGHTSLVGQLQMRGDTLVTGGS DGSVRVWSLERMCPIHRLAAHDNSVTSLQFDDARVVSGGSDGRVKIWDLKTGHLVREL IAQGEAVWRVAFEEEKCVALALRQGRTVMEVWSFSPPEEQLYDRPLSLRERELQHHPN RPHSAMDDDYRARDPTIAGSSRDNQDVDMQDASVAAGPSAASFARENKTFMHDD UV8b_06447 MAGIFLLLALCAVMAVTYERILINYLWALTPDNNPRPKSTTNMA AALRSFLAGALPLSMSLSQSQLRLMSSIGVGILVGTSLIVIIPEGIEAAASSPITSHA HKVRSLARRSPWSFGLGEGEIMEQIGAVATLRRQNPPPSEEWPAVVVSRATHPGGFRR DNEPAASAPPEGTKHDKPKQEGRKDGQGVEIPAFEVGFSMILGFVLMFLIDRLPKHAS ASLLPGPQPQHFSLDNLGGTGVAMASEEDEEEGLLGSLTPLSKFTRTLATTTGLVIHA AADGIAMGASSATSNVKLGFVIFIAIMIHKAPAAFGLTSVLLRQGLSKRAARGHLLVF SLAAPAGAVATWLMIKVLGGEHLDGESGTWWTGMLLLFSGGTFLYVAMHAMQDDSSSH SHDSHSGANGYSDATPASQRKPKGPEARDTLATVVGMLLPLLTQFGHHH UV8b_06448 MTTSPPALKRRHILSSINPLEREVMDESRPQIPAESRNATGRGD AAEEAEETHEPARGREQGSYNDTTRPRTSRIRLKTDKSSRHHRSQHRRHRNHQDERSS SRAAVDDERYSPRRKPSRRHRHRRHGHGTRSPTPPNPHEPPSLDPEAAFRESLFDAMA DDEGASYWESVYGQPIHVYPSEKVGPSGELEQMTDDEYAAYVRAKMWEKTHAGLLEER ARRREKQKRQEEEERRARKLRRDMEQSLRRGQVRREKKEWATRWQEYAASWAVWDGDP AKLSWPVVHDQHRGVNEKDVRSFFIHALDLESTGEQGFLAKLKEERVRWHPDKIQQKL GGTVDPDIMRDVTATFQSIDRLWGDIRQKTPH UV8b_06449 MKFSNVAVLALGAAVQANPQPADMSAVSAVLSDASTLVSGILSQ ASTLASGIKSGAVASSVSSDIAKVTSDAASKASSLNNIIATATGSAKSSAQSELSKVT SNAAAATSSIASAASSSSSGGAWNPAPTAAMAVGALMGGAAVLANL UV8b_06450 MSSAMDTDDIVYQTMFIDNASMPRDLMLSLTLHFNDIGDWRKLG GRVKRGQGRKWEIHVPKRFTADRPAVSYTKASFDMKMREHETLKDFPRSTSDASFQPT GQDFFSVTVPRSTPMSIKEVVKHGLPLGTLHVVTFEDATLVTITWPHLIVDGMSLSAI LRAWSSVLAGKEEQVPSLLGINDDVMYSVGERADNQPVGEETDGQQKWFWKSQVLAGW GFVVWVMLIIWSIMTEAVEYRTIVLPRARFAQLKARADEEIAEQHGGKDTKVPWVSEG DVLQAWILRMAARAQASRRTIFGIGIVSLRDRLPEFATSPQGEFIQNMVGGSIVSLPR EAAGESLGSVTLRCREALKEQTEPEQVRAQLRYLRELWDAGKDPQHLVGSPRAQTYYI SNWKKMDLHRVVDFSPAVRTSEDEATRSNPVGSPVIFMVNQRRVSALMRTVLVILGKD WEGNYWITGRCSRKFWAVVEQAIKQETLEGGS UV8b_06451 MSVIQLEELVSYQLRIAYLDEIADGVGERLLTVNDNLLNSASFK AAGWRPDSSHTKRTYSPPIPTAVASDYFQAPKRAGLTLEDGEDYGGMLTGGGADTIGP GTATKRRRRLEQMEEEEDSSDLTDESDEEHEQRAAQQIKFSKMPVRQRAGSSPSQVLL PARPPTSPRAHRRGSQSALGAVRERPRRDTVTSSEISSENEFDLPAAHRHREAGRAAA RAVRLQEKISEEPAQPGIKRVGTDLLPEEEEYDSDEVSDLSGDYVASLDSASILDGVE NPINASPTEQVVGTPPRNFVRQSTIRKSHVPAKPAVLEALPPPRPMSMIRPASLLQPT SLLSAALKAKEHRPSVPFQKFAHLSGQGTQGSLAVRIYAPFCKTPAKPFEVLIRPRAQ DGQGAERAVTVTDLIGLSLYQYHKERKEPQIPPRKYSVNWWTLRMVEEGGEVDDDFPA FDRCRPLAAFTTVNNASARGGGRMRSNSTAYDDFALVPASGEEYEENNVLTPQQDEAE AEPRQDAAASAAAASQQQAKRTAENLDDEAATAAPNAPAPPRKQLVEAARPRQNPIVT TTYRPNTPLADTPQVPVTMPNTARGQQKLLRVHIMSSDVAPGQMVTVDVTTDTYLAEV LDTVCRKRQLDKANHVLKLPLSGAVVMIDRPVSSIGNVSDLELYRRRFATDGPLSMTG SPSSSSPRTVGLPSSQGLAQRRDNRKGQLLGPHPLAQEGLKQDELGTANYKKYVVWRK QPMRLVSMSERILVIDGEYIHIVPASGGKAVHDGSGKTTTVHFSNVIGCKVARKHPTN VKLVVYRAAESKRYDFEARGADEAAEIVAELKKGISPYREV UV8b_06452 MGLVDYNSDSDSESDSEPKTSTKSVPKPPQPPAKKQAFQKVVDR SKPGKILVSLPQTTNPSSVADGGDEPPPKRARAAGAGLFSGFNSFLPAPKNTGKTAVK PSAGAPPRPGINLKTSAAPGFSREVDSSSAYEFPTSSALEPEAASAGSDHLLNPRNQQ PAPSIPEGQKPAEEVKLVGKPLKFRPLSVGAHAKKKPKPSTTRPETATAAVISETRCE TTSSSQPSTADQPRKSLSLFSMNSEEGLDVGSAFASNSGSNSNGAYEPLFETSYEPDA NAAYAAYADYTATQCTGQVGNSSSTGGTTESLDHIANDLNLSAVARRELFGRAGSNFT AKKVVNFNMDREYQHNEDIRAAGDQQIHNPVRALQGGKHSLKQLVQNAQNQREALEDS FAKGKSNRKEASSRYGW UV8b_06453 MSLKERISSPLEAGPSILDPHHLPPLLTQALEHASSGLARKSLH LTLVAVRRDHRLPSVNRSLGSSGRGTPATPATPASSPSGRFSFATAPLAALKQLVRTR SLDALEPPARRVGAGTSASSFSTATSDSAPSSRAAPSPGASLPWPLLSPSASPSSPLP TTPRTASTSSMTTDSLGRGTPGGAAWTFIHTHDLPLKGRRIQRAVLTKTAQKYSLSGS MLSAAVSPAAAGLSSQLITNSIVQNEVLFSSDGLTLLSLDRIYSIKSALAAYAKSKSP LRLEDAVDELRRYVLANSGAKVTKSDLLRSYDWLNVGTGAVSDLDRMYRRAYGGPDQV GAISGLQPTSRTPALTLRFCPDPDPVVRRSSDGLTWGLEHHGEPRTPQMGLVATTSET NKPLLKIQTTFEVKPKLLSARRKGGREQGQTPEKANDDIPREQSVTTPERHGEAGQGD DDGGLTARPLEHWPAISTCMPWRSDSSIEKTPLSAVTDSPRQGLLGRGPVTPNGYDDI TPVTRGEWGLLMVENALRGSRTVAVETC UV8b_06454 MDEPSTLPRTVGSRDSPDEHDNILPSPEPPTTRPNPFDDCDLSA RKRRRTSGSMSPPLSPDNKPSPTHIVANITTSPTNLHRREQHAPALGAIEGSDIICTP QTPASGSRAKSSSTPASSSRVTLNLKKTNSLPLVVQDLASPASMHGSINTDLLAEETT LVEEPHLEKTGSNTPQLSDSTSPSVEAVALSGNEAGESDDELTFQADKKITRVLGHRL PLVDPISQFPYSEPNEDPYAPLMRLIHYLSSHSPVDGKIIVQVQDWMEDYLNYVQEQD DAIVLDSREACRTFWLMFPEVIAQLSLRSLELFSSSVELRNDILGLCSTFTSLAAQMV ALDSAALRKIQLHRDVDCHQCPEVYSSKYLESLHDILSLDAWLTAGKGSDSAAYGWHR NEVRSHLLATFQSHQGGSVAHLSHLISSLISLTPRFPKLIDSLAFVAQILADCLQRAV YVLDNDSDSGCVAQVKNFLEMSTTAWNRIFACLGMMIEKNVTQLSVETAAILLQALTE ILKLSLRGDHAEAVDTVRHHMRQYPNLSDDDVAESIAWQWRIDILDRLIRSSQMQLRV MAISKLCADLVTVWRNAMNDVDESHSFFLRTLGTYLLQTHLIDYIFGPNCHPEIIAES SNILGFLIVIGMYRQDHTNRLWQGLTLCQDPRIAEALARMVVNITNLFDYDGLLSWCQ KFQTLPLEAFSPSMRTLWDHVMNGMISRCQSEQHVPSSDPYDLCLKLLKESSACTTGS QIADPELQRAAKQKLKDFLQLGPSPDGRKALYLSCINDIAAKSRTTLGSLWYLSIAIK GTMARDIPILTEQHDLAKLLVEELVQALHGGRGNGEFAVLSGDTNQPRRELLACIIQF QPTAIHDELGTTLLDILVGPKSPCAEDRKAGWSLITNVMRKSSLKNHFLQNCLSKYLP DLPASCFSDGMLEFVRERILYLAGDENNVALDDSQALFHSGIEQLWRIVLEADNTMLV SQAIATLAVDLYLESSAIKSYPFSRAKRIHNSLVGRCLDQMKQAASEILGPHDGLLSN GNENLIITAPETDVCKHKLIFKRSLQFLRFFVEKYQTHPQYGAPDLRPLMSTVPTHIK GKSAELKYQAFDDENGQSGIHNLQIGLQNTCAHLLGLIKTETGFENYRIYCRGQHFLP AEFQVSKTLHELQLHNNILLVKREEDISAMGARIKPGSSPLEIEILNHFQDLWDYLSM EDELAQEIYEFLVQLPANSDFTLNLESQVMTHEDIFLKGHAFKNLYAIHAIAEYVEVS RRSHSVTSLTPEADVDSTRSYHHALRKALRLVVHAVSDPETFGQVSPTLGLRIAGSLM QTFVKLVNDAKWAAPAFPLEDDVIPPATCLVDMLSKAGGIIDDAGFVLMESTCAAILS LGAVSPEFWSTATSNTSFLDDVINFVLKDPRKLVRRTVVSLIEDAMFPKDRLPSPENG ECAVIAGSGIKPMVFSFCSAFIDALPASMSYACQSQEYFEALLYMVEQSCTRYPSSVR VESLAARSFELLLEHTSIERIDEPGVTDLIASGLSSVLHACIQIDETLVASIRIDESS VSQLFWRHLFPRKRRSDKDRVPRVVLNPDTRAKLCDIVFHFVRYNTDCLSQILETLTG LVPFYIEDPDDPYLYELPYQFDRTRAIRASCGYVGLRNLSNTCYLNSLFTQLFMNVRF RSFMLSIPIRDPEGSQQLLFFTQKLFAYMQESYQRFIDPISVVTSIKTYDDTLIDIHS QMDVDEFYNLLFDRWEGQLQDDAEKKLLRSFYGGQLVQQVKSKECQHISERLEPFSAI QCDIKGKSTLEESLEAYVHGEVMEGENKYKCSTCDRHVDAVKRACLKDVPDNLIFHLK RFDFNLRTMQRNKINDYFAFPQSLDVAPYTVEYLSSQSGARQSDLFELVGILVHSGTA ESGHYYSYIRDPGHRSGWIEFNDDSVSPWDPVLMESSTFGGPDQQALHETNGMVYDRA YSAYMLFYQRASSQRPETMPEAASETTRPISVDIPQALKDHISDENKLFLRRHCLFDP NHTLFVQKCFSQAIFHLGESRLVESEPGRAGNDKHGVGDLGHGLQNLAMEVALAHFDQ VVTRAKDLPFLSSFSSLLKTAVVKCCDCAYAFFSYFSRRHAVARALIQRNPETSVRDF ASRTLILAVERIRSGLSRRYYNSSTTSTRMRQGEGGSEDDWEELESSPSVLQCTLDIF GHLWRYFHVHIRAWDEHFATMLAFARLGQLEVGHLLAEDYLLKLLRIISADAAADLPA NYHRMVANLGRRFHSKPPSYAAILALIDHLLAQLEPCLGAEVIVDEAKDRRGARRPFL WTSGEVDAVHRHPGRQAASIFVEKLLDMDQVPEVTSSIIGRLVESGEELDMRVFNTLR RSIQGETSTQPMDSSIRAAGRYVESSESVDRAQSLIRHVCGQSRGFQHSEGSAFLNFF ELVLQTRRPTESLARARRICAIQAIPTWAPYLLVYNDAQTRSNAERLIDHTLFNASSR DASEEEGGDAEQKRALMQSVIRQLGIQCLAYLREFHIKRRVQLERSSAGHISRVINKC APYYDARDDADGYEDDGVAFTLIQGEIRSPLQRLLVDELDDDGSDWDGSCGSSELMNA GVGISIQSPNDGYD UV8b_06455 MSEVGSFVPPSQRKYGSDALKAGWRISGVKNGSSAMAKSVRDFL CRTDQTSSVIQAEKSGPCDASPQLQEAASEKHALHATVRPTMSTSTRQTGEADVTFDA HRPKNIDEVNASQLSNLLRSFTFNDEEPQGDGKASILEDFLALLAENPELPKELEQGN RSCARKMIANVCPVEARPDELTKGVFSELESEPPSKKHAVKATFPAESPQTDSYLSPR AIEFVPGQRHIGDGSKKTLDLGHQAVAAATDEQGQHQLPFTGYFHEPTQDGLPSSWSP PDRQLPAVPTVDRVVRSPVQPVRSDGKSNDSITTTLTAAPMRPRPVQGLAASRWANHS UV8b_06456 MLVKGSKKRKLFQAELDAVSQSASSSRQAQRDFFKHATSWNLEQ DYENRPRKGRKSQEEKLRLPKKTADGRLEVAEDVGPNQDTPSIESDTEWLEGREDELE PETEDEQLEQDEQPVPESQQILEAQEELAKTAMSLNENPEENVGGLKTLAKIGESKIP TIRMLALMTQMTVYKDIIPGYRIRPQTEDAARENLSKEVRGLRQFEQSLVAGYQSYIK ELARCARSETARIRRGASLCNVAVTCACTLLTSVPHFNFRSDLLRILVGKLSRRKLDQ DAAKAIEALETLFREDEEGRPSMEAVSLLAKMMKAKNFAVHESVLNVLLSLRLLSEFS GKASQDTVDQSTQRVKKSKREFRTKKKRKAIKEQKDLQKDMDLADALVSHEEREKMQS ETLKLVFATYFRILKQRSPHLMGAVLEGLAKYAHLINQDFFGDLLEALKDLICRSGEN SESDPAAQDASANEEDEESIRNSTREALLCTVTAYALLAGQDAHNSRNDLHLDLSYFT THLFRSLPRLSIQSDLENTRLSSSSATSKINVQTTTVLLLRSLTSILLPHYNIRQVPP LRLAAFTKQLMSTALQLPEKSCQAVIALLNDVARSHGKKINSMWNSEERKGNGRYNAL SESVEGSNPFATTIWEGELLRRHFSPKVREGISMLEKVICG UV8b_06457 MGFGKPPVQALKAANKTKRQELYIQYKKASGKERREARHRRRKE ENREPALKQARLAKNKTLTLDQKRVWDEGDDDSLGAVVDLPQLRRRQMEEVEAEEQAE LDLGAEDDEDDEDDDLGSLLDSDDEDDEAKQAAAQKQREKRAKRDSSLAPSTTSTNLD LTPTSLIVKFPSLFNDVAPPDPKVLVTTSLNSTIHFEAQLLATLFPNSTYIPRSSHRY GHKYSLREICKFAINRDYTAVLLVKEDLKRPTGLSVVHLPSGPTFHFSISNWIEGRKL PGHGNPTNHYPELLLNNFKTPLGLLTAKLFQTLFPPRPELQGRQVITLHNQRDYIFVR RHRYVFRDKRATEKSIVDADGKELKGVQDIRAGLQELGPRFTLKLRRADKGIGRAGSV GEDATQWQWKAKMEKDRKRFNL UV8b_06458 MTRQFLKSIKPGPSRNLLGQVKMMLSAATTSSLSSGPEPANLKD VTDVHKVTGESVNGTCEYIRNANVWETAPILKGTTCFDHRADVKNIMVTGGAGFIASW VVRHLTLTYPDAYHIVSFDKLDYCSSLNNTLRLRDRRNFTFYHGDLTNPTQVLDCMER YEIDTVLHFAAQSHVDLSFGKAYSFTHDNVYGTHVLLESCRKVKVRRFIHISTDEVYG EVKEDDDDLVESSILSPTNPYAASKAAAEMLVQSYNKSFKLPTIIVRSNNVYGPHQYP EKIIPKFTCLLNRKRPVVLHGDGRPTRRYLYAGDATDAFDTILHKGQSGRVYNVGSPD EVSNLELCSKLLDMMAIEHETSAQLRQWIKYTHDRPFNDRRYAIDCTELRMLGWKQKT SLEQGLRITVDWYCKYGESWWGDISHVLTPFPVVREGHIMPDMAEPLIRDDPVDPGDE YHDQ UV8b_06459 MKASVEKPVVAFLGPIASYSHQAVRQVFPEEKWELRPVSTIDDV FDETQDGQVTAGVVPFENSTNGSVVFTLDNLADRDGKYKDIIVDGEIFVDVHHCLLGR RDPNDKLEESQDGSGTCTPTASDPSPTKPRSKPLSSLGHLKRVYSHPQAFGQCNAFIS TYLKGVEIFEVSSTSKAAEIVSQDTTGTWAAISNQLASSLYGLEFLGKNIEDRQDNTT RFLIIGTQTAVPEDWGLQKQSAATSGSKSLVSLTVPHTCPGALADVLGCFRDFNLNLT SINSRPSLVAPFQYIFFVEFEGHKHHDQNGRVKGALDRISQFAHGCRWLGSWERYR UV8b_06460 MTSPDQNDSHGPRGSRDGSTAISRSHTNSNQSHRAASTYPRRGR RFLPSTHGTMITSRHGRIYSDRGSSTQSLWARELDYREINGRTYCKEYYMPNDEVEQL RLTVQHQVFLQAFDGEVTNAQVSQPTNVLDVGTGTGDWAIRFAELFPDCEVVGTDIAA IQETRGVPMNVFFEIEDAEEWDRPLDHYDLVHLRCMEGAFRDWQALYKNIYDSLKPGG WVEIADMDGNEGLYQFFSKFSPGAPIFRLMDDFIAGAEKSGRRRGIFHLEPSYFREAG FVDIAVTEHTFHMAVNDESIGKLWLVTWLDGLEAYCLRILTEQMGWNADDVREGLRQT ASEIAERAHDEEACKAMCINMRIVLARKPFATDSAA UV8b_06461 MSFNPNDGFVALAATAHDDTDADQAPIFNVERVEMNFSVTAEFV AAQIANNVMILALSNGRLLRIDLKHPEDIDDIDLPKRASEIGVIRRLFLDPTASHLLI CTALGENYYLHSQSRQPRPLGQFRGVMMESVAWNPSLPTASTREILIGAADGNIYEAF IETSNEFYRREVKHLKNLHKLPDGAITGLWVDDLKGKPDLRRVIIGTQSRLFHLVGRV GRSHDGSGSIYTKLFESEQPVTHEAFGNSAGAHSAVAVSPDPPSQRLHGDDVPDRAYA WLSSQGVFHGRLFNEPADLSLGSKIFADSKTLTKSQIVTPDSADRRAATAEAIDSILL TQWHIISLVGSRIIATNRLTGKMVSEHDILVPGRKAIAFTMDAQERTFWIITSDEIFE IVARNEERNIWKIMMGNEQFESALRYARTQTQKETVAGAYGDHLAKRGHWMEAASLYG RSNKAFEEIALSLVDNSQHDALRQFLLTKLATTRTSAVIQRVIVASWLVEVFMAKLNY LDDTIITQAELSENLSWSESRTVLESVKKEYRDFVNKYKNDLDHKTVYDIISSHGREG ELLYFASAINDYHYVLSYWVQRERWPEVLAVLKKQTDTEVFYRYSSVLMTHVAQETVD ILMRLSDVKPRSLIPALLEYNRSYSGGASSQNQAIRYLNFVVFQLNSKDAAIHNTLIS IYASHQSQDESGLLSYLQAQGDEPRYDPDFALRLCIQHHRTLSCVHIFTSMGQYLQAV DLALSHGEVELASVIADRPMSDPQLRKKLWLAVARKVIAKSDSIKGAIAFLKRCDLLK IDDLIPFFPDFVVIDDFKEEICQALEDYSRNIDNLKKEMDESCQTASNIKMDIAALDH RYAIVEPGEKCYVCGLPLLSRQFFVFPCQHSFHSDCMGKKVLEYSGYGHSKKIRQLQT QIHKGLVNGAKREAVVAELDALVASACILCSDFAIKKIDEPFITADDDVEAWAV UV8b_06462 MGEQQTLYFLNATLGTPPQKLSFHIDTGSSDLWVNTPSSRLCSF PQKPCSDTATYSANKSSTYHYVGSYFNISYVDGSGAAGDYATDTLRFSGQNISSLQFG IGYRSTAQQNVLGLGYPANEAQVTDLGMQPYQNLLPRMRAQGVVSSDAFSLWLNDLGA ATGSILFGGVDTEKYHGNLISLPIQKVGDAYSQFYITLTGLDAGPKTVAADMALAVLL DSGSSLTYLPNDLVSRIYERVGAAYQEADGVAFVPCSLRNRNDSMTFKFSSPASITLS LSSMVLDLDESLTGANTNTTVNNEEACLFGIAPAAGSNSVLGDTFLRGAYVVYDMSNN QIALANAKFNVTATNVVEIGGSNGNGNGSAVPVATKASNPVTATSGFPSLERTSNDSG AAGISPSSPMALAGVVAGAAITVAIMLY UV8b_06463 MSPEPHPLDPSSSRASPGTGPLACVACRSRKLRCDRATPSCARC LKVGGECVYPDSRRRPAFKRRNVQELEARLAQVESYLKQVNNSANHHSANAASSSHPA SLSQGDFALRGQDALDMSSQDPAGGAFAFSHPSQPQDKDPFTGNGHGNGYDNGNNGAL LGLGYSETLPPFHVQEELHNIFFQKEYHLVPVVHSGRYYQSLYAGPLRKPPMSLQYAM WALAASGHAKYDRFAHVFYTRARHYIEADEMKDLGEHFITVAHAQALCICGSYEAKCM LFTRAATTCAKAVRLCQMMGLDRLDGGCHHLPPSLAPHSTWEELEERRRVFWGIFAMD SFSSISTGWPTLINPDDITTRLPASEEAFASGQEEPAPFMDDVFTGSSYSAFASTLLI SQIFRSIIKHMHSCKPDDLPEDVTRGPYWRRHRDLDNKLSTVFMFLPAKFRFPRSLRD PGAIHLNLNLHAAVIILHHAALDKAELHDLADDVVDFSLGRLKASAEEIVHILKMSTS TYIFKSPLCALALYCCTTVYVYLGKRNPVSGLTATDKSNLEVVMQAMEAFARKFQITC GFIQQACIDIERNNLTSLLKFPWLEKYLAGASGSHIPLITRSSVSKHTDIIPVLPGRL PLNNPEGKILGTLLDADKDDPPRPFEEPINNDCFQPVLGAATRAGPVSPTVGGMSRGR TATSPGVSPDAGMPPNAMPGGKAGQGLACAAHQATAMLNGNGTSGLGICDRLADASCV LPDRNHPSTVPQPGAYRLDDEGPGAAFPAGSHSTTPAGLGNTREENRIDLRDLQERMA AHLWPAAQAQPMHDAIFTSSVAETLFNMAGLQGNIEKTAVSSWDSTLDEPMAWRSDMP UV8b_06464 MSILAMSAPAPYRFFKNDSPWNATRVSSDSYPRTESKQVALPSI RQTFPDLHLESSLATMSSQPSSSSYKTSLPAASAKTSPPEYVHSPTAGKKRRRISMED EEGYFHARRIPRLYGSPELPQRRSNAPHHDQPSCLSSKIHWAESRARTTSFGPCASLP ASIDVSNRAEQRTIHPSLPPASKMDRNGPSIQRMREATAQPPVKVPGPGRANMAALAA DHAGHQGQEHAYAFHHPSRFQSLSTSSIRSHDRAPFSDAGATFPSQYQDATRYGGMGI AGDVKQRKRRGNLPKETTDKLRAWFVAHLQHPYPTEDEKQELMRQTGLQMNQISNWFI NARRRQLPTMINNARAESDAMNSRSSAGNGDGTVLASTEQPTGYGIKRDEGLPLSDGE GGSFDDDANDLRRPTRAHLERGSV UV8b_06465 MEYQEQPYFTLRSRRPADRNSSQLATASLSKGIGDAFARSATHD ISRTSFHIKHIGAFARRLEDSAARAFPNRGRSSQRYKVVQALLIHWGCDDLFVLPELE DLGKCLSEDYAFGTDIFAIPSENAHLELMMRVGQLIKEYESPDTLFLIYYGGHARIDE SRQSTWCATRDQSSPWLQWSAIQTLLERSKSDVLILLDCCAGAASATFPNGDSITETI SASSWDAIAPDPGRYSFTNALIEVLQEWRMRTFSAAMLHAEVLARLKHPRPVTINGKH FEARSTPVHFMMTANHRAPSIELSRLLLPAKLSETGTEPHPAAPQSTGRAADGGVPVP GGLAAPLEPSEDTPHVMISLALEGDQQLDINAWEQWLQEFPAMAKYVKVQGIFKSHST LMLVSLPVMVWDLLPEDPAVSFVAFIRSSNLAMQKREASQQVSVPVPTRQRSRLDDSG NRSVMTGASETAFAAMENLPLQQQPRAPPSYGSSSFGENHVLVSSPTARFPQSASTGR PASPSSSVPGSFSRAVRPVVASATSLTPAQQLATGPALSNTPTRQMILNQQQAMRRTT FTGNAPEPRKFSSHVERRLEEYYRQDPWPNDAHRASIASNLGIELWHLEIWFHHRREK DSITSNLASIRVRDVAALETNTNGPRTILPADLNRLLELSRPGDALLFDLRSAAEYER SHIYGAIHLRAPTSFLQPASILMVEQAIPDEQGRRQLSRWTTARCIVFYGRGLDYAWE CPAAHVIHQKLTASGWMGHCFVLRGHYREFSQSFGTYIVGPRMTQRARDWVSCQKTTP TTRRDDDEMMERQYLSWLDHVASEERARPFSNAPPALMAERSESLETREKDLEAEFKA RCGPLFDKVLGNQPKKTGQDVFDDKAGMVEYLDRGLDKIRELAYQAPTFAQGDSKTLP NEEEGYLGKRPRDQDFEAEYVEVTKTDGSTPVNSPVGQTFPAGKLSGEGQGGRGGGGL LNKMFRR UV8b_06466 MRQRITFVTPPGAGIGPESLDLQAGNLRGPSTTTTREDRLTFAL AELPAHMASLLPRLRELSLRWASPTAYDTIEPYSSRIPPGLHLTFTLADRGDAEAERK LCVALSGFLSLDCKTNETFTKRGDGRSFNLPDASLFQVLEDPSPLTTWAAGEFCREED TECHGRLQSLSTGSSLDISWDSTLQTLRVNSLLPLRHQAIGASGSPHTRTEVGILSKD APPNQQPHELGVSGLLVVLEDAAEPSGTLFSFPARHRLSNASFTAQFLQPTGLHPSLQ LRVSSNVPPVADEHCAAFAYFTLPKTIFTDRHQLADHVFLASKNLTALRHTSQPVDLE APAYTTKPWGSSVLLELAPPGSYDDPQAQEWTAQVPMHLRYLKPSRSGSVAVEIPYPA VFWACSSSAQADFSNNPFDRTRLGYDGLLDTNTVFWHVGPQPVAADRITASLSVPVLA DKATSWVGPGTLATVSLGFAWLLWKLAAAYSISGYGHTPRRQSAQARKQK UV8b_06467 MNTRMDFTDRAQKAVEDAMALAEQYGHSQLLPVHLAVSLLEPPN DLSKDQQNGPQNTAVTLFRQVIERAHGDPQLFDRTLKKTLVRLPSQDPPPEHVALSPS FHAVLRKAMDLQKVQKDSYIGVDHLITALAEDHSIQTALREANIPKAKLVQDAVQAIR GTKRVDSKTADTDQENENLAKFTIDMTAMARDKKIDPVIGREEEIRRVVRILSRRTKN NPVLIGEPGVGKTTVVEGLAQRIVNRDVPDNLKHCKLLSLDVGALVAGSKFRGEFEER MKGVLKEIEDSKEMIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIGATT LAEYRKYVEKDAAFERRFQQVIVKEPTIPETISILRGLKERYDRHHRVTILDSALVAA ANLAARYLTSRRMPDSAIDLVDEAAAAVRVARESQPEVIDSLERKLRQLMIEIAALEK EHDEASRARLLQAKKDAKNVEEELSPLREKYQNEIKRSEEVHLAKVKLDELEKRFEDA VNAGDNAKAADLKYGAIPEQQAVIKELEARKAAADRALNATGGDAGTAMVTDIVTADH INEIVARWTGIPVTRLRTSEKEKLVNMEKVLGKIVVGQKEAVNSVANAIRLQRSGLSN PNQPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHALSRMIGAPPG YVGHDAGGQLTEALRRKPFSILLFDEVEKAAKEILTVLLQLMDDGRITDGQGRVVDAK NCIVVMTSNLGAEYLVRPGSKEGKIDASTRELVMSSLRNYFLPEFLNRINSVVIFNRL TRKEIRKIVDIRIDEIQKRLEDNGRKVKIEVSDEAKDYLGNAGYSPAYGARPLSRLIE KEVLNKLAILILRNNIRDGEVARVELIDGKITVLSNHADSESMEDSEMMDDSDDAVEE LVRDDMDEDIYD UV8b_06468 MSSGSRSRVTQWSARLHSCIRQLHAGAGGQLGPSAGSLGRQSHG EKIWVFSHRRSEQTIYSLEDKLDGFHALKQLPFNGKKTKPAKLRKDYWAPMAMIQFPQ GQGEVGRSVYQKLRELKHLHEVAWPKDFRYKRPQEFTEADKKKIAEEKEKGRDYKPVR TKAERGIALNAQKKNAIADMATVLGGHGSGNKVAASPASHDDQPELVHVSISWANDED KNYAESWSTNVSHELLNKPVYNKLDREGEREGESGA UV8b_06469 MAPRPVFCVTHPRACSTAFERVFMTRRDQLASQHEPFGDAFYFG PECVSPRFKDDVERREASGVSDVTYGNTFEKFDKVEQEGKRVFVKDMAYYLFPAPEDQ NNPQIAGSLGGGEETGNPTVIPRHRLEKFQFTFLIRHPRRSVPSYWRCCIPPLDKISG FDEFWPSEMGYKELVKFFDYAMQTGLVDESQLTVVDADDLLDKPEPMIKKFCQRTGID FHPSMLKWDDGDQAHAEKLFAKWNGFHNDVLGTRELKGRTHAQMTPNVEAENRAWEET YGKDAQMKIRQCVDENTPLYEYMRQYRITV UV8b_06470 MAMLSSKSPYPSALTSSNLPPGANLPSVLPTSRRVPVMTTPPKN YASPTESDFSEGEGTDSVKHWSEDRVCDYLRSVKCGEYEKIFRKNHINGENFLEMDKE VLKEMGIDKVGDRVRLFLCIKKLRTRTYANQKKRNRDSFSALDAFSSPPPSTTIPAQS SAMPRSAPATSANRRYSRQMDVPASLETARQARFTAGLGYVSSVGQTQTSRPQASSSD LPTTRLVPTHTRNNSSMDGSLMAALPQGQDVIRVISTGGVTKVVKIAECNTCEDVMRV TLRKFALREDHERNYCFWVLTGIDPDPAQCRRLGDTELWRIVKDQRRPERNRLILRRV PAGEPGESELQRAAAIAMEEEQQKHAKALESVDKRSQLKVQKVLGENWNDQLQQPMSP IFFQHRERNATEVPRDLDRTALAEPRATQRQNLRRFGGLRPPSELIASDLTSYFPDHR REDIDRTARLSMRRSTRLSKVNSRLSVASSLSFASSIQDAPPIPTIADSWLSGAAHVA KVRTRDSQGRMLPPYNRDSIASSSLGTLQEESPVEPNRKSYVSFTTECGDDTTAADSA AAVSVTHSDGNTANTSYFDGDGSTGSGSLNDIRQALTNDGDELDEELESFLAGESWDD NKWMKGALIGKGSFGSVYLALHAVTGELLAVKQVQTPAPGATGQSETRKRGMIEALKR EMGLLRDLRHPNIVQYLGCSSSADCLNIFLEYVPGGSVQTMLNSYGALPEPLVRSFVR QILMGLSYLHGRDIIHRDIKGANILVDNKGTIKISDFGISKKLEQSNILGNAKNNRHR PSLQGSVFWMAPEVVKQTEYTLKADIWSLGCLVVEMMTGNHPFPDCTQLQAIFKIGGG TASPTIPEHASEEAKSFLRQTFELNHDSRPSADQLMLSPFLSHMT UV8b_06471 MHMLCGALLGLLAMAAVATAQQQPLDAVQIVRQIAPAAAAACPE SNGECRTAEQAAPWIARALLQHGVYSAGEMAAVIAHMAFESADFRYKHNVNPGRPGQG TANMQLARYNLLYARHFDALRDQVANISSVDGQADGVLNHILSLVQPDEYNFGSGPWF LTTQCGRDVRARLRADVDDGFGAFLRCVGVPLTEARRAYFERAKKAFRLA UV8b_06472 MLVKTLALGSGLIAASLPAALACGDGCYGPLNNVEYVRQVKRMQ PGAPNALYGPKKPLEWGQLNFLHTTDTHGWLEGHLKEQNYGADWGDFVTFSRRMKQTA GNLGVDLLLIDTGDLHDGTGLSDAITPDGTKSMPIFNEIDYDLLTIGNHELYVTEVAY QMFNEYAKKWGDRYVTSNVKVLNQKTGQYEYVGATHRYFKTHKGLRIMAFGVLFDFKG NSNASRIIQAKDMIQEQWFTDALASKKPVDLFILFGHNPVRPTDKYSTFKTVFDAIRA AHPKTPIQLLGGHTHIRDFAVYDDNAVGIESGRYCETLGWMSMSGFDSTNSRYKGVRK PHGVPNPTRPAKPGALSPFVYSRRYMDWNRKTFIYHTKKTESTYDYHSGLRVTGKITK VREQLKLGRVYGCAPQHYCIACAPFTDKSNIFPGVIYPAVSAVVVNETRKDKSRIILG NTGAIRFDVQKGPFTFDDNFIVAPFRDVFLYVADVPFDKASQLINQLNQGAVAKRYVS MSTPDDECTNPTLGYMNSGDARESRDMHESRGVVRRQQVVTPGYTTKDDWGTDGDDTE HSKIPDYAIPGYWEARASFPQDGGNPDKVDLIFFDFIQKYILQNLGSGYTADMVHPYI NSTFTSQDFMLPYAKMAWQKNVDKCPV UV8b_06473 MLSKQRLNRPVSPHLEIYKIEQTYLGSSAWMRITGCTLTGAAYA YFACYLVAPAFGWNLDSAAVADAFAGLPFAAKSLVKFALAFPFSFHLLNGVKQLVYDM GIGYKKTTIVKADYYVWLAAAVGGLLVTFGL UV8b_06474 MEWIDQTRLMRLYMHEESTVQGAKERAPGSRKRIKGFVHGYGID MQDFEPSELDKYSTFEEFFTRAHKPGSRPILDKDNPNRAVVVADSRVVVYESVEAAKT LWIKGKNFTVTNLVMDAELGEKFEDGSVASFRLSVQHYHRFHSPVRGEVASFQSLPGD YYQVDPVALQSDVDILTRNRRQCVVIKTKEFGDVLFVAIGATNVGSVHIHHQFQQAGA PIEKGDELGVFEFGASSIIVAFEKGRIRFDQDLLSLSQAKIQTSVEMGMSLGVAL UV8b_06475 MLVARPLVPRILKPQTIYSSCVPVRRAILQAAAMSTISEAITKD HRELEVYYNEVINNASNHDHQQRYGNQFVWELARHSVAEELVVYPAFEKYLGVKGKEM AESDRKEHHAVKELLKQFQQMSASDPNYVPQLKKLWGPLSQHMKEEEERDLPALEQRL QAEHGESESMARSLGRTKQFVPTRSHPSAGEHPPFETAMGLLTAPFDRISDMFRKFPE EKKSTL UV8b_06476 MTAAAGAALIGGTIYATRGRKEKSGPEVARNRARAKRELGLGGA GIGGNVLSGGPEAGAAPPRPDRDPERRAKTTADSRDDLPSGGVGGGEGAGGASARRSQ LPYGAPDSSHGDEKKSGSATKSAEPSEFVNSYPSVRAGKSTADRSEEKGLHDTRGISH TGPETPSKRATG UV8b_06477 MYIPDLQKIATASLAFAGLRTSSNIVSKGSVVDYAVEKCLDSDG HVRCTKPFLVSQGSCYKIEWSTDGALSHTTVEVRDAGSNEIVYYRDTNGEWRPDKGEL VYLDFKPKIWKTGNDTVDYQVSKCDKEEEL UV8b_06478 MQSLLHKVFGPEEVRIDNLTGRVALVTGGTLGIGYQVSRALAHA GCKVVMVSRKQDQGESAVAEIRRETPGADVEWEECDLGNLGQIRSVFSGLRRSLARLD FLVLSAGINANRYALDSDGIERIFGVNCLGHYYVANQLWPRLRKTSLLPGVTGPRVVA VSSSLHQAAPSRAKFASLEDINDPSLGPTELYGRSKLGLILMVRYGLFERVIKPTSDS IYALAVHPGAVNTAMQDQWKDAYPGITGRLISYVSKLAGRDPEQGSYSILWALTAKEI DENHQNGLYFDDPGKLGSESSQACDEKLGADLWQLNEKLVKEKLGDDALIPWDTRSLD S UV8b_06479 MATARGRETFCNPDMDQGQDRDQDRDQDQDQDHDAKINNDHSTL QCSEPRDQVCTGMPEVPVTVERPPFIQPDDDGQQEQTLQQRGIILTAQRRMTGLGGIN TKAFHALGFNVILSVLAVVIIHSNLSYPTVPGLLPDPFFRIYIERIHKNKHGSDTGTY DNEGRFVPQKFEDMFSKYSRGKDNLTIWDAMHLIKGQRLIADPIGWGGALFEWLFTYI LLWPQDGKMKKEDIRRVYDGSIFYEMAARNRGKTRVPMRG UV8b_06480 MEKSFRRLLPRRSPSPITDAAAPEGLASIQQTPTGRRATKSVRA ACESCRRQKCKCDGERPSCSHCLRQMIECMYTTNAGETRSSALRRRCSALEEELETLQ KLVRHIRDSPPDEAERIVAHVRSGAQAVAAYHSYFAQQDGVLYASVAAEPDLEAAALQ LDSASAPSPVLRNRVQLQAYPWTSIAPDDVVSNLIAQYFILERSILFPVVHYESFTNE MKNGDIASATCCSPLLVNAICAQQCFLSPGYSLGTSESRHLGQGFLDESYRLLQLRAG PVTLPIAQAVTLIYQAELAKEVFDVTSGV UV8b_06481 MSSTPRLFQMTGTCNNYPWGKKGRESLAARLRAKTPSHTDLTIQ HDEAYSELWFGDYPDYPARVVESGRPLAEVIANDREGLLGSDSVQRFGENMPFLPKDQ ILSIAKALPLQTHPNKSLAAKLHQLHPDKFPDANHKPEIAVALSRFELFAGWKEIDQI SPLFNLPSLRQFVPEGTREWDAETLRNVVRGLLKADEQTVQTIGEDLKQQSDHDLNKL DFRQSVADLVLRLQSQHSAIYLSGDILECMARSNNMLCGGLYPVADRDDIDLFCDSLL MDATTGVNNLRLEGKRSSDGARGHTTIYQPPISEFDVLRVDLAAGQEEILKRFKGPMV AMVISGQGKIVGDGKELEAKEGFIFFVGAGTTCTLRAHVSLQVFAAVVR UV8b_06482 MDPPSHPYYPLTTPIPSYVANESSVLRLLTTFGLMVCVVVGLAY WPTRRSQLQLRALDKFAVMWFALCGFLHVGFEGYYLLHRTNIPGLQTIFGQLWKEYAL SDSRYLTADVFTVCVETITVFAWGPLSWTTYFAILTNSPYRHINQVIVSTAHLYGVAL YYGTNWGDLHSLGVSYSRPEFQYYWVYYVGLNAPWAVIPFVFLYDSYRQTAKAFRLLQ TKESDRKAR UV8b_06483 MPKIKSYSAPWLSDSSAPGRRLFEPAADAINSRALAPVSRFHSA PGPRRTVARRGTEVFVAVGKEIRWADLAYLKDAWSTRHSKPRTGSAGVRIKREESLQP LETEGDVAEAVGIRIIKTPVADDIRQLVISPSANYLAILTTHTVHICALPDSSHLTSH DTSPLRPRIFTLGPTTHVTSRSPVMSALWHPLGVNGSCLVTVTADATVRLWELSAQDR WSFDSPTTSVDLKKLADGTTVDQDFSASASATNKTFSPDCFEMEVAGASFATSGSGGW DPMTLWIAMREGDLYALCPLLPQRWAAPPILIPSLSVSLVATVARIKDDPDVDQEEKL LVKQQLQWMGELDAQEPQVLESLPGEPIFHVFSRPSRPGIVPKLQGPFYLEFDAETGD DLDTTITDILVIGKKTETDELMMGEDDELDLDDGDREGLSLPVICLLSASGQVRVYLD LEGVQAQWLPPKNNKPRPGCPRPASASTSTPSLLAFQAIDTMSPVEVNDDSWPAFSTD VTSRYSFFVTHHAAITFISLASWIFRLESELVGGHEAGTDFRIGLLVNSQSSRDRIYA QPGADVAVPLAACVTIRDPDLGHFLLSSTPYEPVSLTFETPELDLDPIKQDSVVTREP RVASMAPLDFYEPRPVYQPPHVFDQGSALPTLLERLRTSRHKTLANQEVRLSPLTLQI FTDAHKVLSDETYRLGVAAAELFRRCEMLQAELRQQVIKANEVKGKIDAISGADGGGD DDDDDDEEAEAEAENAMYNRRIAEARLRQGRLSKRVDDLRKMVGKATTRGLSIKERAF ADEVRALESSVLGPSSPTEAGGEEEDGASTAAAERQPKQLWRRLEEVKELQKELLADA QGLDNRSEAVEAPSPAEMRVPQDIRRLKLQQVQGLLARESALVEAVSSRLEALQQTV UV8b_06484 MRHGPRNTVDRLDRPSAYCTSRNKRRRDRDDDHANGDAPPDQQP DPLASSTTLYVGNLSFYTTEEQVYELFSKCGEIKRLVMGLDRFQKTPCGFCFVEYYTH QDALDCMKYIGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREDFDEGRGGI GRALQRDDEDMYR UV8b_06485 MFGPFRISNPLSGGLLWKIPWRLSRFQKRRQRLRLRAVDSVVAT LDAALARKGYTLEALERWKAEMPTEADMLPKDKYTMFDRKAKRYRKGIHRLPKWTRVS QRVNPPGY UV8b_06486 MSYYFAIVGAQDNPLFEYEFGTSKQGGDGQSRFTDQLRHLNQFI LHSSLDVAEELQWAQGQMYLKCIDKFFNNYISCFVTGANVKFLLLHQPLVPTSTTSSR SSTAIGANPTSPATEEAIKMFFTEVYDNWVKAVMNPFYRANTEVTSPVFRQRVSAAGR KYL UV8b_06487 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRTVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G UV8b_06488 MVQIAYRFADGLLWVRLSKFHSTTVPPRWRLSQLLHETRLRCIC RITSSVIDLIRSRRFLHSAHFHRRRLLDEREIAFLRQPGLRGRPGMAPNPAAVGGLLR QVIHYHLDNASYDDALFFAERFAAQDVKAPDAAHLHALCYLRLGDYRSAYDVGKPMGY RGVNLGCSWVFAQACLELERYKDGILALEKSRGLWSPKCSVGKHTPTSRAAHPDSPAV LCLLGKLHRGFGDKKKAISCFEDALKRNPFMWGAFTALCDMGINVRVPNIFRSNDGLS HSFDLGSSPSPGTVTTTELTREASLFAPPDATSSKRSTTRSAPVELGSDPFNVGFMSA EVSSPVVDMFTEPADNDFMAKIQNARQRMAASANSQPYATVIEGLETPTGLSTTAADA SSRQTCGRDPPQAPYRRTRNAHGVDAGPDAPPRMNPRLGTKRSGRIGQDQQNTDPVSE PPMTTTNAAVSGMAGSTRAGNMVAMERKRTLSGHPVPRSTNTDEHSTRRSARLNMFKP SAKANSGPAAVGTSAGRELKKARPPVSRIIRPGSSGSSVGRVVSGNRKPVDDHTDMDH GESSRTREPPAPQPPQPKAAGMDTVRVEEALKWMLDLMKKFGSGYYSLSKFQCQDALQ AFGSLPAAQQGTPWVLSQMGRCHYEQAAYAEAEKLFRRMRVQAPSRLQDMEVYSTILW HLKREADLSFLAHELVDSAWHSPQAWCALGNAWSLARDAEQALRCFKRATQLDPKFAY AFTLQGHEHVANEEYEKALTAYRQAIAADRRHYNAYYGIGRVQERLGAYDKAYDHYYA AQTINPNNAVLICCMGGVLEKQKQMVPALHAYTKAAELAPKAAQTRYKKARALLTVGQ IEAAQKELMILKDLAPDEATVHFLLGTLYRNTNERQLAVRHFTIALALDPKAGPKIKE AIESFEDDVQMDDSITA UV8b_06489 MAQKAKKDRAKSNTTALNNLHIGSLLVHGLFLVSHLVFRSRSLV AYGLFAAPSFICEYVLEASGRPKYDAATGALKSAGEDLAAAGLTEYLFDVVWVTWASA IAVVLLGNWGWLLWAVVPAYGAYLGSGLWGMGRRNLAQMQDRDSPGAPPRGNRKARRA A UV8b_06490 MGDISAEGMVQNEEAPFPLTDADKWVLSQTDQEFKRHDWEELRQ VVETNNLSVLKRRPSDLRRYMAWAAETKARYGSMTQYLLCNRLPKSWGQPPFTPESRV PFEKPSDYAVLLNDWPYGLEPDIAHLVVWTRTPIPTDGDKGDMTPASRALVGDFVQRY FVEALGQGGGANVLWFKNWVALQSVRALEHIHVLVRNADHDSSDGLRDRCEGGKGKHL VACVNRLLCSG UV8b_06491 MILGPVSILDCLVAGVILAPQLVFTSGVFATLRLLYTALPLLLV HLPFHVISRYVQARDSQPFFIRTSSLFEDVVIRIVRYGFINFPTETLKVFLSKQFAYP LLKWRMFRSGWFTFPARLEEVVVEEGDTSVAGLWIKHDPKREPDVVVYYIHGGGFALG SCYFYLEFLLAMCHLLGKTKFNNPAIFALEYSLVPDQTHPTQVNQAILGYRHVLELVN DASKVCVAGDSAGGTLTLSFLRELGKGSKDEQLNGATLLAVPRLAVLISPWVTLVSST HYPSRVDYLERGRLWRYGEAYAGSMVQDAAASPGLCEDVHLWETVSPERGYFVVYGEE ETLAPDVEAFIRRQRRSNVEVDAMEFRGGVHAWPVASLLLSGTRGRRLQGLEAIVGQI RQKLG UV8b_06492 MSRDDSSSSSYAPKSPDLSSFYSSSPTPAASQLHPPAGQYKSHP PAYKAYKTYNSPYSPPLPPAALRHQQHIEPEFTAYTAYTAYDFPPSPLQYLASGLDSG QVATPVATPVATPRPYRLHSSSFLPETHPIPSQSHPPRHIQPQNPSGFHHSHLSKLQH LCAHPDSEMPPRRAAAAPPPAPFVEPSPVRTKFPTARIKRIMQADEEVGKVAQQTPIA VGKALELFMIQMVTKSAEVAQEKGSKRVTASMLKQVVETDDQWDFLRDIVSRVENEKE GSKSKAKTESESDDDSEPKKKTRGTGRRRKV UV8b_06493 MSWDLLQRFIESDVFNSNPFLPVSYLSRYADHVGIHYVLCQKLR QFPYEDIEFFLPQLCHLIISVDNESMALEEFLLDLCEESVTAALLTYLYDLSANPHSG AFQTCRRVYNKVQHIVFGLADRARNEKIQDNVLPVTVLSSFVLASVALPMIPKWAGPL AVAQARKPQAVTEPTRETDDMAKAIATAQTVTGASPRPKIVKEARSSLLGSEGGAQGR QSHRSLSHLPMSAPSPMVRDLADRKRPSALEMKSLEARLSSASLPLPSPKPTSRPSTP VSAGLGLRSGEGGIIIHRRRSHNGKAALNPADLSSAQRIKLLRQHYFRSQTQFLTALE GISNRLVIVPKQARMSALRAELALVARDLPAEIDVPVICPPTLANGSPGKSRHHRIVR LNPAEATVLNSAEKVPYLMMVEVLREDFTFDPDTPDNQHVLTKLLDPTSRSRRLFDLS WETPKLTPPTRASEPIVDSVFEPTSGDLGSSPMLVSDEELSLRSATRFGQSCGSVRLS SGISNQSSLESPSPRPTSGQSTPSSSSPGTRRKMTLTLPRNASSADQPDFSALATHMR AASQMLAQLDATSGKRPKHEVAAIRAKIIASMQSLEEKSFDMDEQGPTFETIMGKTSI IAASGSNPDLEEDTPIDPAINASAGRERMENDFKTGGVQRRGDRDDPSAAVFGEAWEA KKERIRKSSPYGWMKNWDLVSVIVKTGADLRQEAFACQLIGVCHKIWLDAGVNVWVKL MRILVTGESSGLIETITNGVSLHSLKRSLTLASIEAGQNPRQRIATLKDHFVKAFGQP DSEPYRAGVDAFKRSLAAYSIISYVLQLKDRHNGNVLVDSEGHIIHIDFGFMLSNSPG SVGFEAAPFKLTHEYVSVLGGLNSPGYDDYKTLCKQAFQALRRSADNIIDLVAMMGRD SKMPCFAVGVAQVTSALRQRFQLHLSADEAEQFVETDLIGKSFGSYYTRLYDTFQYRT QGIY UV8b_06494 MALSISEAQAELISSLSPDDIPIKLRCAICSKLAVNAFRLPCCE QAICESCQSHLPSSCPVCEHSPLSAEDCNPNKSLRTTIRVFLRTAEKKREASRPKETT SATPAEGPGPTAADAKTQPAGSDAPVNQKVNGEVSNSKDEPNQQQTSSLKNSEMDKPH GDGPAKPVGSANPTEVGTAGKAEGNAEQHDPEHEKYQQANKTDEHNGSHEANGFEDYG SGPTNTGFPINITFPGVGDFGQMQMMMAMQNGMGPNSFGNFNMMGMPGMGMDPMAMQN MYMNGGFQGMGMNGMGGGFVGGYGQGSNDNNWNGSQPWNFDQNNYNQNGIGMGSGDFG NFNAGFQTGYNQGNYGQFNDYRRFNYGRGRGRGRSFGFGLGFSGGHGRGGYQHNVTPN FHGQGQGPGPGPGQGHGQGQISPQGSHAVYPAQSQVAAAAGEPAADGRNSDKAPEQAQ AGEDGSNRATEAASNNVNNSNNNNNSASIANNASNAKNAATAANADNAGNNVDSSTPN QRKEPPRSDPPGNPGESSAIQSVLAASSVPINAPTGPKAMRQGLPNTSLHTLRARGYQ VDFEGPSKVTTSAASQPPSGPAVRPGSQGDSVDREQDSQAAHASREQSKGLERRDVRV SSQPHSITRSPSRSQRSARSRSKSRSGSRSGSRGGGSRSGSRDPVHSQKRSSQRRRRH RSESVGEDEYEDSHRRKKRREGKMSGDDDEHQAASEGKQRTERSRSRSADQAKRGSHR SRRGRDHDGRRERVRDPHQEADRAKSSGHRSRRDRDHDSSRRHDRDRDRDRRKDRRRE RDRERERERERERDRDRDRERDRDRDRDRDRDRDKRHASPSRRGSRSPEPPATTGSRS SNSRGQEKPGSGSGSKSGTPVDPHTLEREARNRERLVKEAQRMAGLGSLGGSKRGRDG DGGDGGGGSGGGGGGGGGDGSSGRRKGRRSRRRGEARDGADEEERMRRLEAEREGGRW G UV8b_06495 MADSNAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKEPT IGAAFLTQKCNLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPSSLVK AKHWVAELQRQASPGIVIALVGNKLDLAAESAGEGEGQVDDAENSGDARKVPRGEAKA YAEEESLLFFETSAKTGHNVKEVFTAIANAIPETSLKSARGAGTSGAANRAGEEQRVN LGARDAGPKDGCAC UV8b_06496 MTSSLPANKTSSLDPKSCKRNLLEESDSDSDDGGTPLESGDFKV NQEYARRYEFNKKREERHKLEEKFKTSGKFRDGGHKDDKDDDSSSSDETEDEDGFLAT EDLDAQISATLEAIRNKDPRLYDSKHKFIDMPNQDTAAKEQKDKPVFLRDYHRENLLR GVAGTSVDEEHAVPQTYSQEQDALKKSIMSEINAVEAGDGSDESDDDDDDGGFMAKKK SNHAKTQDNGVHPSRAAALKISELDVENADKDPETFLSNFLSSRAWIPEEGGKWKAFE SDDGDDDADDHAEEFEQAYNLRFEHPEKSNQVLKSYARDFAAARSVRREDKSSRQRQR EQERQRKDEEKRQRREERARLRKLKLEETEERLRKVKQAAGAVGKNLTEDEWIAFLDD AWDNDKWEGEMRRRFGDEYYALEDDDDAASASDDGNEQGDGEENNKKKKKKHPKKPKW EDDIDIKDLIPDFEDDATTPGAKLSENEGDGDGDGEDGEDGDDHADDAPAPKKRKTSE HKRIRLEGQKQARQQRSKLEALVDSKLELTNHHLLKPQPEVAFRYRETSPQSFGMTAR DILLAPSDKSLNDFFGLKKLATFRDPEKKRRDKKRLGKKARLREWRRGTFGKDYERDG PTYGFERLLEDQDGAAVHDPPPPPAGSNDASGDAVGDAGGSKKKRKRSKAKKE UV8b_06497 MYRDYYRLDSHQTRQVVDQERIGPAASQDVYLQPTPVALSRSPT AIMNADSFPDFSFPSACIEQNHMMSLPEIILDSPIAADFSRQADGLTDNGAYASHYLA SMSAESGPFFLDQQAHRGSSLDVLENHNCGNHSPAENGLQECGTDELDLPISSRADGT DLGLKTSEDRVGAPPAWSELKTKAGKDLTARKTAPRTDYMTMLDKRLKRMEDRLIKVT PKSDLDTTAPVTRALVKPAILGGNTGNKPASKKRGAEEAFGSDLAAWAKASAEVKVVV GAVEMPFPKHGESLEENLLLQEGAGALPSKETQEHLAEVFFDSVYGQAYHLLHKPSYM RKLRNNSLPPVLILSVCAVASRFALQSSNSSATTKPFLRGEEWASHARDICTRRYEWP NITVLTCLLILGLHEFGTCQGGRSWALGGQAIRMAFALQLHRDLEYDPSRQGSKEPLS FIDRETRRRIMWACFLMDRFNSSGSDRPMFIKEESLQIQLPVKESYFQLDIPAKTELL DGSLPQSEATDESGVFSEREEHMDVAAYTIRAVAIWGRIVAYFYHGGRERDAYPSYDE KSTFMALVQQAEQLHHSLPDSLQYSSDNLHLHSTEKTASQFLLMHLSLQQNILFLHKA AVSPTSGSGDQDPPSDFLPQMRAKTLMAANRISEILRDGEKCSCTVTAPFAGYCAFFA TSVHILEIFSGNSNTKTTAEMNAGINITYLRKAIRYWGMFHWMVENIRTQYRTALEAS RSGNLCLQGLWAWPLLQHADWFNRYSHGVSDSDVAEPAAAWSREKGEDAVLGQRPELQ PVQDFFASLASPSGTEGRAASQGSASKRKRSGDAVCSPKASNRTGEAVMNHVDAALPR ILSQQGAAAASQHASVSPGDQTTAGTGPAVFSPAALAHVQTQLFCAMSPLSPGQAGTF HQHDLDQAGFYSVPHMPQVSCDGSNHAANAPQNIEQQQSFGAYVSTANGHPIMGSITS SWQTKDTANNKILDADQGAINMREAHFRGGSPMHQGQSGADVLDGYPGSNLPPGGWFM PLSMEPPQSQNVIFSGGAEPCRTVGNANAMDPFNTVLGSNGSVPHQIMDGLRHSL UV8b_06498 MASLQARTEAVKAAVSSTATCTAATVVTLRELLLVEPEAPATVT KSASRPAKSAAITSRPRSTTATKPVKSTLGVEQLGSRDRAVLATHVINATLKALTEAS RALPPSTSSKPTENLPRSTSRRTLRRSLSAPLSPMQPRTLNRVTTSPSVSMFKSKSDS PTYSAECLAAVECARVAFFSLGLAKSPAQDSHYDYQLENGMSAFIGKLLALQLHDQAL KDMRVLKRRLDSLLQIENAKLPACVAAKSAPAESISSSASVAELLNFRGELHRSSLPI AATLQIQVLKLVCATKKPACIEALVPMLQEKNPSSPLNLLLKLADGGEKQIQKAARQL ASVTQTLLSMLPSISTQEDAIAAEPRLSPTPLVAFELQALAFRAQLRWWELAGHQGSI DDEVLAPFSRCIRAFARRFKSDDKLLLYRHLATEYEALMEMIRVGKYVPSTSSKSPLS TIYQVLGSIAHSARQYEGACCWFESLKASLCEKSDSAALICSVSARCLAAALKKPELD AAIKDSMQQVTDFLNGNLSGTVAELNELLESMSAVRRSVVGLLMKELDLGKMSMSSST DETTGALLKKFILQYPRFVRRWMGTPPGKDAPAKLFLQFDQRRQLIMQSINQTLDAAL MVVKCEIQSGALGWQSMDDVLQHCLGLLDSASDLSASPARLEQSGSYRAKISTLYFSK FVELRKISNRSKDDNKQLLQSLSRSIDTVKEQSSAVKDKAQLSMKLEIFADLCKRSGR SEDAIGTLRSICTSMVEDGVLSDVAIALANLPPVLAWAATEKASSLSRTLRSIAKLDK SWNDWTFFLPERERAAVLEHLMHLSAEASSPTQALQLHDPSPSALLRIYTLDKYPIRR FRVLLHLLQQNIGEEAEAADILAELGRAAEQIQKKDKAEDGSLSQFIPHLQTCYSSMK ALAHVADSIPFSVIRDDVSCWKGMLESCASKEDLYGRIDSPQVLIEFLQVLNTLANLR GEGRLQIAILELAISLSKAAAEYCGLSRDSVILNQCQLASQYVSIGRFSQASKTLGIS EELLNQNHGVSPSVVVDLYLSQAEYHAGIGNTTDALDFVEKANGICRESCSSWAHSKL QANLVLSMTALIQSNISLQTGDVEGALLQIKSSVRTLSHEWAKLEATLLGSDASISET SDTSIDVRTPTVRTSGPRFWGLAAPLLRCLLHISSVYAHIGMFQETVYYADSASKLAE STQSDLYRAQVAAWTGSVQLKAGKLSKALETLEAAKAYMPRDDCSSRVQFARRLGELY SEAGDEDGANRYFSIAEETLRLLGGDAGDHAHVQHSSTQGAARKPAPVSRRATTTTRT TKPRVPAAAARDLKRKTTAKGKLQPTDPASHLPKDVYQLSMLSGVSLSRAMGFLQKKD WSSALLILDGVRELPKLSETLSLERVVSATTLLGHSMDQMISDPVFSVMQDSTISFPA VASGSDGISTDRSSLAPSPHRRGRAATLKPREKSCPAFADALRRAQEILVEAHGSTLS TSDSATVHRLSTLLQNTVILLSATTVGKARAIPSSALATVAVDLARNTTWMREKSTIK AASRSETPANPINSSNSTPGHGSGSSPDIATFSKTFIEPLPQNWNVISISLSNNRRDL SITKMQAGESPFILRLPLERANSRDADSEVFGFEQGREELLDIIKHANETSHSARDFS AKGERNAWWAEREALDTRLKDLLFTIETTWLGGFKGVFSQHKRQPDLLARFQKSFQQI LGGSLPSRNRLRGKQRSSRTQSINLDPRILDLFIGLGDPTNSDNDYDEALNDLLYFVI DILQFHGERNAYDEIDFDAMVVETYDALRGYYSEARSDAEKEDGGAHTILVLDKQLHA FPWESLPCMAGLAVSRVPSLACLRQVMEACGVSSNSSGGPAGHVVSRHGGTYILNPSS DLQNTQEFFQPSFQTLEGWDRIVNEAPREAEFEEALSSSNILLYFGHGSGAQYVRGKT IRRLERCKPVTFLMGCSSASLAEAGEFECYGPVWNYMLAGCPAVVGTLWDVTDRDIDR FAGRAFEEWGLFARGTFGQQEKRRDKGNSSSRSRMASRNGGDGAARECGHVLGSGDDV AGSGAPLACRTAPSEKSPSIYSSSSLPEALAKARGACKFRYLNAAAVVVYGIPAYVAR DC UV8b_06499 MVCLGRPILAARKPVRRHTPVRLASSRPATIVDSGFWTSLIPKP LRKENRTNGTKSKEWNPATFFIVMFLFIGSMSIQMIALRNQSERHSRQSTIRIGQLRE AVEKLERGEDLDVDKLLGDAGESPQDTDWEAVLKALESDELSKKSHKDGAKRNQSQRQ EPVALEEKLEKKKLEDGDVMTANSSTKPQRANLGGNFF UV8b_06500 MRIPYTDVLASPTSIPPFAVSLQGGLTALVNFFRATSPDKLPKS TVVLTGAGISVASGLADYRGVRGTYRVNKSYRPIYHYEFVSNHEARKRYWARSFLGWS TLHKAVPNAGHYAVRDLGKLGLIHSVITQNVDSFHSKAHPQIPSLELHGYLRCTICTS CKTEFSRVEFQRQLARLNPRWATLLEEAEASAALGTETAEEPRFRGLRSNPDGDIDLP EAPYTTFRYPPCPKCLLDPPVASNGQKHIVQVDSDGAWAMPSTGGILKPAVVMFGESI ASHVKAAAEDAIDNAGKLLVLGTSLATYSAWRLAKRAKDRGMPIAIVNMGGVRGEDIF FADLDPQQEGEQAVRVDLSTDQLLPALVSQLRRAEAGPEHCHQPLGHSMDNSAVFKDM MS UV8b_06501 MPPQVGLGRSTGLAARCVSEITPTPPAGAVPRPVVLERVSCVGC RAGSAGTSPGRLRTHQPPAARLHDLTAPLPSASLLHTFSFRPQAPRKAQNLAFAIPSA TPPSSPPFFSTSSYASFSTTILNHHYSWYLSYRTSPLPLSAIYLSSSAADPAPATAAF TSRCVLLQPCPVRGRLCALPTAMGSALKQTRKIGDQGHDHDHDHDHDHDHDHGRSTHG HHHHHHHHHHDNTYLTSANTNDPGVRITRIGLLSNLGMAIAKFVGGWAFNSKAMTADA WHSIADLASDILTLATVSWSLKSPTDRFPMGFGKVESLGSLGVSGMLLIGGFYMGWES AISLYGHFNPEGAHHIFEHLGHGHSHSHSPADLGIPSIHAVWLAAGTILVKEWLYHAT MKVAKERKSSVLASNAVHHRVDSLTGIVTLAVILGANVFESAAWLDPVGGLLISAMVV HAGYENTKEAMYELVDRSLDDDVKKSVRRQAHRALANVSEGHEAELRDISGIKSGQNY LVDVEMGVPGAWTINDVKDLEDAVRMQVGSKVRGVRRLRIRFVSTDHAASAKFDEFIA GPEALQKPEAADTDGEDGCDEKAGKDDKHRR UV8b_06502 MPDADTRRNRIDMADPLYLGFDLSTQQLKAVVVNSHLKTIAQAK VDFDGDFSSHYGVQKGVHVRQESGEVFAPVAMWMESLDLVLQRLRASMPVPMSRICGI SGSGQQHGSVYWNREAEGLLKSLDHEKDLAEQLSAALAHPWSPNWQDQSTQKECDAFD AELGDRQKLAVATGSGAHHRFTGPQIMRLREALPHMYASTSRISLVSSFLASILLGSI APLDIADVCGMNLWDIPNQRYCPELLALAGGRGGGEALRSKLGEPRVDGGGSLGNISP YYARKYGFSQDCQIVPFTGDNPATILALPLRPLDAIVSLGTSTTFLMNTPAYRPDES UV8b_06503 MFMLCYKNGGLAREKVRDALPQPQGGQTAWDGFDKAVTDASPLD MSQDGRAKLGLYFYLRETVPNVRAGTWRFHCRADGSDLREAGEWPKAVDARVIVESQA LSMRLRSQELVHSPRDGLPAQPRRIYLVGGGSANPAIARVLGDVLGGADGVYKLDVGG NACALGGAYKALWALERNRGETFDELIAKRWKEEGSIERVDRGFKDGVYQQYGRVLGA FEQMEQKLLAEERP UV8b_06504 MTTAHRPTFDPARGKEALRGPAYHQRLQPAHTQLKFRQAGQGGD ADDEPERDLASELLAAEAAHFSNKNGGGAPIPLPEDDDADAQHHVSAGEKRRLPSTSS DAERLDGHQREDSETKRRRILEQTRDIDADDDDDDDNDDDDDEQDSDDDDDDDDSQDD EDAELQRELERVRREREEKKRREEAERAKEEAEARERNIALGNPLLNKQDFTMKRRWD DDVVFKNQARGTEDKNKKKEFVNDLLRSDFHRRFMSKYVR UV8b_06505 MPRDAEPSLSERAFLTKALEEGLRLDNRRFEQFRPLELNFGDEY GVADVKCGKTRVVAKVSAEVTVPFPDRPFDGIFSISSELSPMVAPSFEVNRPTEQEVL LSRLLEKTIRRSGALDTESLCLIAGQKCWSVRADVHVLSHDGNLLDVACFAVVAALRH FRKPESSVQGETLTVYTPAEREPVPLSWLHSPFCVTFSFFGEQGRAGGEETVLLDTTL LEELLRMSSCTFSLNKHGEICQVSKLGGGDVDAPVLVQCAQVALAKAKELTDLVDGKL VEDGKRRNRGGLMAELRAENDR UV8b_06506 MSATRSSQLAARNPQPGGPPSPIDRPRVPTIHHVMYHLTKGLYL YATSKEEYSVILLGLDNAGKTTFHEQVKSMFLPSAPEPKLKTVPTVGQNVSTITLPDM YLKLWDVGGQLSLRNLWQSYYASCHAIVFIIDSTDIGDGTLEDDNVRLDECRLVLEDV LQHSETEGVPLLILANKQDREDCVETIRIKEGLVKRVMEGDKAGSIRDSRVLSLSALT GDGVGEAIDWVRTRVQWNKESRPPVMR UV8b_06507 MLPPAGIDPPNMQSSGAQVAGLGFKMLRREHSDGDEDNGAQKEF FAAWTLFIAIMLLIAAFFTSYMLQIKKVTAIHETVISIFAGMTVGLILIVTPGDSIRN LINFDYQIFFNLLLPPIILSSGYELHQANFFRNIGTILTFAFAGTFLSAVVIGLILYV FTLIPGSLKMSFVDAISVGATLSATDPVTILAIFNTYKVDPKLYTIIFGESILNDAIA IVIFETAQKYNKGKATSYGILSFFEATGIFLLVFFSSLLIGVVVGVGTALLLKFTYLR RYPKIESCLVFLIAYATYFFSHGIHLSGIVSLLFCGITLKHYAYFNMSRRTQLTTKYI FQVISQLSENFIFIYLGLALFTDNALSFEPPLIMVTILAVCAARWVAVFPLSKAINWF IRYRARRRGIEVGDELPYNYQAMLFWAGLRGAVGVALAALLTGENAYPLRATVLVVVV LTVIIFGGTTARMLEILGIRTNVVEEIDSDDEFDIETLAGGFHAKRSGGGIGYMPPRN SNIALGSLKDEGRSAAYVSGHRSPHLDGGGGGGGRGSSQNNRGSSVGRKNPTRGPDDL ERDDLLGRSAEDSDLDDIDTSDLPPPARRARARARASGSPQPPPESDSGFLGPGAEAS HSTPITATGAIRQLWSAEDPASLLRQLDEDFIKPKLLLHGDASKHGGGPSS UV8b_06508 MLIRCSAIISSRKRKLLQLFAVATHVDTLPNDSFANPDAPAPTS AEWKFLQANDITQGKTLNEVNIPTRQRISVDLLKRSLASQISVTTPQPSLTTTSFNNA KNNHFARPGSHPPAVPLLRNGNGTPKSRFSPPTAGAVPGTPVTPSQTTSRSPKPPRKS VPSLSRPISINEPPKDEIGEARSVAASEIATGTPRHSKGSSGEQAKPRPPAEAINAGS INSDALDSTRDLPRQVKITDGAGNASMTTKPSAEIVKAAETLSSPASTIHSVTTPVVR AVSADTSPDKDVPKLVDTVPRNNDRDDNKLDDPKLSADAQAQGSRQESRLLEGKPSST PAKPQVIEQVADKVPTELGLEPVAAAGGPPSADAKTKIEHVSDFQDGNSGAMDVDAPP TVQTAAQPHKPSSSPGPDPPQPVSSATSVAATQDQPPQHLIAERAVTRVSSGAMPLKS VGEIVGGSLSRPPSAAERDATKGSHEQLTDTTTTPRLPASRLRNLHKRDRSKSQVSTV VFGKPPKREHEKSLVAGHKDALRASDDYYTPLFVQNFTGSSSWMQPIEKILFQANKTI ATPDANLVIQDQQACRVLRRVYHLQQHDKWSLRQLKRCPEPTRPPTQWDVLLKEMKWM RTDFREERKWKMAVARNLAHACAEWHGATPDERKALQIRVLVPCTSGTVRGDVSMAEV ESDVVENQPTPDLVSSGDVDSPQATDELADSFAEAVPPSAIFTLQEDDVVFELRKSSA SDALLGELPLYGSPLRVPKPDITSPDYDPDAHWRRPALPLSKYVEGEMKVASPGPPRK RSRFDYENEESDDERESAFVAEAAALNVQLPPSTDEVALFQSDSKHIRDRLHAGHQFR PPSEYPMPVQSFYECRGPSQWTLVEDDELRSLVREYSYNWSLISNMLSTKSRFQSGAE RRTPWECFERWINLEGLPADMQKTQYFKAYNTRIEAAQRVIAQQNQVAAQQASAAGGA VTPVRRRPSTPLRVERRRNQKHLTLIDAMRKLAKKRETILQKQQHSAAQNSANKKAND SIAQRPRKTPRDYSLLRWERDQALAEKMAQYAQRQEAQRRAAAAQARAQGQLAAAAAA GGPNGTTTGSHVPSANHLQGGSFATAVARSNMPSQLAAAAAAAAAASASQNRPRVPMQ APVNGVGAAAVQAQMNGTGLSAPNSMNAVQQAQIQAALQNQQNQQRMPMPMPMPMPMP MPNQQPDANLMLRAQRLSDQQQRVQIQQAQQHVPQQQQQQQQQQLQLQHQHQHQHQHQ HQHQQQQQQQHQGNAGGAAVSGQHTSPPLRNGINGVNQQTFMTSAQAMIAQYNAAAAG TNGHTSPPTPGLHMPGSVAAAVSPGQRVHPQLPPAIAAQLAQLEAQFRAKNPNLTPEQ ARQLATEHLTRAMMAQRQSAMNSAAGGGGQPGLASSIAATTSPHQYAALLRQQQQQQA ALQAQQQAQQQQQQQQQAQQQAQQQAQQQAQQQAQQAAQQVQKQRAASHAASPTPGPA ASPVPVHQRQSSGSATPSSGQ UV8b_06509 MTESTRGRVAIKFGASSTAPSKPASRTAPPSSLGKRRLRTHLGD ESDSDSGVHDHRSTAGRHEAITGFGADGAETDGAGTDGAKGRAEAARRDYVIARQANR DWRAQAKAQRRGRNLLAEEARPRGRDAGGGGDGRAADERGRLGWGLTLATRSDDDDDD GQQPGHPSPPPATAEAPKDPPLRTADEEAMDALLGKDKGAEAARTIAAPTEDDVYRRD AAEAGVASTLEDYEAMPVEEFGAALLRGMGWDGTHTGPKTKEVRRRQNRLGLGAKELK GAEDLGGWMQKKGKKSRTSLADYRREESLRKEEGGGKDSYKREREKERERDGERSRYR ERDRGRDGHKDDRRDRDRRESG UV8b_06510 MATPEPTTSSSSEDNIRRLQESRPPVTDKFTYLTIIEKSLSPEI LPALQDILQDVELTTDIGWDLVEMLIAVPGSEACLESIARLGNPREVILKVLQVMETT AGEGEGGSRGNKYFVILCGMLGILHKRLQVKAPSRFLHTTLDTVYRSYDPASPESTAA IISLLQSLSAQKRPPLPARQSSTILETPLHATDGAENAPDPEADKSDRPNDAEPEIMN HLLRSFITCVIEAYVNSNSLEWASRILEYTYPQRIVPTKKTMMQAFNEVDELRAMDAL VGQLTAVAGDLGFTKLTYAELRLLLLAPVVRDPLAIEFEPSNANDIKLSGGGLLCLIA YWVVAGDVFDADQPRPEMFTFPDHHELLRHYLGDDPQSEILNQPGTVEALIVLAVWLH GRKRIAAGGDSGSADAKDSYMPYHHLITLVSVFHPNLRVRNASTVLAGAVLHADPDED DRLAILEDLLENCMFSSLQACAVAWLKEEVIAARKNNSQGHFSSPDCFEAIQYTLFPS LTHLREADIETLMEFWAESSSFHLQVANFLVFLFENDYKDLAAPGMAAAIDYRYVQPL SQAARTLMDAIENGETDMSEDCRATVMELGILKTTLDRVPLH UV8b_06511 MNPLCPVAGQVQRASTASSYVRIARHLAHRRLVRRGHVRWLADA AQEDGAKTRLTLAIPSESRFNEIGVQQVSTHVFNQVFRDAYKPPHEELVRLSKDHLRR HDLLGKNTDTSKPIAFELPCLQGRTLDEHFYKLGSDCAEPHLAFAKQFARANVPPKPR KWVRQSGWTKYYPDGRSEPIDVPQERMLCFDTEVMWKESSYAVMACASSPTAWYAWIS PWLLKESRNKRQLVPLGDPAEDRVIVGHNVGYDRARVLEEYDIKQSRNAFLDTMSLHV AVNGMCSQQRPTWMKHKKNRELRERVASQTPDHELAELLSNRSMHEEEELWVERSSVN SLRDVAKFHLNVSIDKAVRDDFGALDRDGILAKLEELLDYCAADVAITHRIYQIVFPN FLEVCPHPVSFAALRHLSSVILPVNESWDAYIANAEATYHRLSDAVQERLIGLTEKAL EIKDNPERWRNDPWMKQLDWSGQSIRMVKGKKKDDPPRPAARQKKPGMPQWYKDLFAT NTSPMNITVRTRIAPLLLRLAWDGHPLFWSNKHGWTFRVPRDDSAKYSAKQMIKCEFD EADPSLGHDRDHDYFKLPHKDGPTARCANPMAKGYLSYFEKGALSSEFTYAKEALEMN ASCSYWISARDRIMSQMVVYEDYLPKNSTDRRKGSKDKAQKTGFILPQVIPMGTITRR AVENTWLTASNAKKNRVGSELKAMVKAPEGYCFVGADVDSQELWIASLMGDSTFGIHG GNAIGFMTLEGTKAAGTDMHSRTASILGITRNDAKIFNYGRIYGAGLKFAATLLRQFN PDLSEKETMQVASKLYANTKGTKTTRRVLHDRPFWRGGTESFVFNKLEEFAEQERPRT PVLGAGITEALMGRFISKGGYLTSRINWAVQSSGVDYLHLLIVSMDFLIRRYNIGARL AITVHDEIRYLVREQDRYRAAMALQVANIWTRAMFAQQVGIDDLPQSCAYFSAIDIDH VLRKEVDMDCVTPSHSTPIPAGESLDINGLLDKGQEAFLDPNIVPDAQHAPKPEKVKY TPRTAVMDTILGSSGNSHVFIKAQIVSTDEELRDIVKDLKKTATAASVGKQKPADKRA MKHILPYHANPQLIPMEEPMSVSEALNAKYRHGPETKNKWSWSKAAAKSPRTTTRL UV8b_06512 MSRATPSLFPCTITSPTLSVAVASAPSTPKFDVMLARSPRRRSP ERASVRRSILPPLPPDRSAVQPSSSPRPHASTSSASAPPVAKKTRRSRNPPSQTNPPS TPGRRRMQSPPCWQSLRQGTRTILSPVQWGEDTITDITDITDRESFTNGTSSARLSGE DEQFLLSFEDQDFSSPSSYPSFSTSLPAGKPPELHQAANDFLQASVSSPSSVASPPCR SKRPLAASSRSTTQLTSSTVDESRSLLFFDETDGPFSQRPPTTSSFSDTIQQPSRMQH FLRDISAANKRQITPQSNIAVNYASVEPLALPTIRDGESFGDSVTTHGSSDLVGGEDL AAIDLTEANEVPGHLRKPEVVDNMTKISQFQCVICMDDATTLTVTHCGHLYCAQCLHS SLHVEATKGKCPMCRAKIDMKPRQAYNSKTKGFWQLELKLMTATRKGKRKADDLS UV8b_06513 MAEITVLDALQTFHRELLALNAGYGGAPEYLSNEVLVQIFENEL QKIWQHPTRNEKSRDKVKSGKLSIEDQEFAINDVFQQDALLLSDELDLDELEASKCLL ESQHDPSVLGRALLECAIIRFHQQRKYALDILRLLLDLDSADDDPEESAALEPIKMFV AARILPSRSGAVRYPPSCITAMTTIKSWLQRISDKIAAAQALNQAKPGQLSEEMETIE FSRISLIQQHELLGVILCRLVEKRQSDTNDFLDFVSTLKKADKYDNLLAHFIPAMGAY IFVFGSEEGGYDLMKARQLHNKLFPASDESTWPLPHLHAAFRAWWLAEYSGFYLDDPP ESAIPPGTDLDDEDRQRSKQFLDALKDGAFDFLLSVAGDVKPVDWHDSVRVGMRNWLT RKSSALAADSAQFTGFFQLCLMSQIEVFVDAFISNLPDVLRKLRVEEDEQRQLSQAHE QDLDLERFLLIIAYSYEGRPDAASNFWSDPDSNLAGFMHWASRRASTPLVTAFCEMLL AISGSEECATAAHEFLLDDGHQSSGKMKRTQSLTWTQIFRELEFFSEKIRQKPTATQM SRFRGSKPCGDQVETEPESAMMLECYLRLMTKLSSESETTRLFLLQNANYNLVDMLFE LASGPIPPRLRGCVFSALRALMSRKTIRESHTMWACLENWITGGYAGTSAGSLRQAQS APIISTDRILDEMSTGFEDPESFIQLLLALMSPAVDSSPLNDSLPFPETLGSNSRNPG VEIYIDFVMGLVFAGKAQELQDKHQLRILRLSCLDFVLTCLSTFNEDLIVIANETSIM VDSVISATDLVTYVRKHPFARVMEWMLNDKIMAALFYTIHQEPADVGNAAPDSPLILG ILRSVEVITKVLDLEATYLDLVRPLVKNQPGHRLRPVANAAYASFQDGLVTRLSLVVD LGNYCGIGHPELTLACLKLLEKMSSSSKIAATWSGSGRHTHRNKAIVAMEANGEHQSI ARSFASELMAPLEITREAESANYTTKIYILDFLLQCLGTAPKEPTIAHLLLGFKCGVD ALSIENDGAFAQQTSLFHTLLRLLMEIPSGDTEGMRRWLVAIKSKVMRILHILWSSPL SASIVVGELRANEFLFHLLIRETVIQPDLPWEGQNIATLQFPMTDGALTLVDFLSSRS LALEYLAMELCMISQGRIPSIKRRIFDALNGQIIGDGNQPIETPTVFDLFDFLLPEGI WELPPPPVQAFKDLDFAVALEEDADGNTVYNLDRVKEILLLKRRESHGQGLMISAQDL AALEREEVSIIEYLVSLNRQKQIATQCASVLKSWTRLLLVMIECSDYKGTSQTAFFLQ ALQAVLPSLEAFASDRPSEALELANVSRLLLTRLDLTTQDATDKHSQSVGNLVSDKLY QLFQVCLQAIGKWAGAPELRCVYYEICYRYLVGMSEQGALSPARPKTTKTVQIYGERM LNVICDDAYCGEPTYQAGALVLLTALVNVGRLENDSHVVETLNRLNFVGIVVDSLRNV LQEWRDAYASGSTDQQNYQNARLALLLQIAQSRTGAKYILYANLFRAVEASGLFTADP ELQSDVQSPRSLVQHYDLLAKVSRIIGATLLSRGSHNAVQGRKFLTGHRLLVTHIFKR SAGIGGGGGGARDEPLEDKIAEVADALVVVIAATGFLEYENETVVESAKPDHALFH UV8b_06514 MIKMASSHLSTVMSLASDVKSGSAKDRDKAVEELITLLNPRNRT VNLNDLGDKSYHQIFEGLFNLVVREKPIYYDKRKKESVCKAAGTRLTKCASAVRMTAA RGTPKLGHRTIKAFIDHITQTLPGPADDFVPPLIQDYIKAFSEVLAKPANVEYLARQD AAPWELCVDFLLDVAVYILPYESHSSLPSIARASPAPGTSTPRSTLRSSGSTQTHKRG GQNDGDSLRDVLEALQYLIQASNAPVTRRARLITDLAVRVLNMKHLSLGSLQTMSFAI CNTIFARTQADDVQYGLSLVYDLIPLMSHWWRAERVSQDELIKSLRNEISKTLFLAHP HIEHICRDGNEDTKTKIESLAEPLWQEYSKRSEPNRLQLQDLTFNPSLLPKESMQIGL FGLRNHNVDGESHWAVVQNLCLLESALLQHDERAAKSSVDATEHPRKRQRTREHLSRL RLKLHSKDVGIQRTALQLMAFLIDSCGLSDEDLPLFLEILTTCVGDKSPITASWALVA AASCVALCQASNVRADQWRVVWHLAVRSVSIPATCRAACLLLHRIAEADLLPYNSISE DINSIVTTADVNGPGMVCDTSLLLMFHLFHDRNTRLPNASQATSTHIVRWVFFRWNPA ESLFASSVSQLSHPMEIVNLLCVCCGVEPIFWTGKAAGSGTALGEAWRIKEEGAQFNE YLLLLNRERQERRRRQSMERYCYKQGSVSQPLTARDSNSFHPLKKLVFELFSPKIDDL AELCNAWTKKPNEGGSQISLERFHSLLSACIVGCLLVPQFADLNSPITDSLESVIVNL AEKSLRVAMNSIEPIAFVHASLGLLRPCMPGLNTANLSRLHSHHFSLLRVFTVVSTVL DQKEPESAFGTTSDNIDADDEFSSQSSRVTSSSILPQVPRSNNQLSTSPRTFYVETKR RLALLRAIQEDSSQIGLLPAVWITEFLSMTDDDLLYCRALLLEITYSDLVVGAEDALD IIQRLGAIISASDYQYCEVALTTCLDVLNGLHSTWLNDSHDLGEAVGDLYNYFIKVCL PSNLFSARARISMARLLFTLLGANPSYGTNLDLDSCRTSLLSILSSSVMQVKCFIAEK IADVFELFVLMLHDEIFVDVLASLPTNPDDASGIAFRLWTLSKLACRWPTLLRRCIYH IFEAPGKIVQAMEYAKWCLTDISKALDLKSAVELFQLFSRQLLYTWMEHDPIQDMPFS IFGFKDLGELLQTAQAEASALAIMRVEKAASVALANTLGLSESDLIKKNFATVLSYSM AYGDAFGDTGNDKGENYIKNVIGHQAYTESIHINFVDIIAALFDLIDQEDHVEKILRK YEAISYAADNLERIKKFSHSANELPPNQQPMFRAKYIIHVFFRLCQRTEYEFQDIWAP ALVLAVTRQLFNTVHPALGPLHACSVLRKVRLVVCLAGSVVLESYCLEMLLNSIRGFM VDPEGADDALGLSQYLLSGGSQYLCQRPSFVAGYALSTLASLRVFLESSQSSTTQEKQ FKATMNKAQKFHDWFSEYLSGYTSKVFRNDAQLALFKSVTHSAALITSSGNAEKGTSE SKLLLDILKDDAAEHHLLNESSRALALKLLCGDFTIPETVARDIIDTDDAAVDQAPRV WKSCEAHDLSKNYLSWAGRVIGRSFAATGHIPQGVLEESDLCLFGRIAPGPNGSDMGL LYLLQDLTADQNSEVAGLSEAALRTAVSRAIAHEDEALTVACQRSLSESLFTASQWGG LRSPPSEIIHDLARLDEPSVWEADVSSSEWLRKISTYLVSTASESILLSSLPSIVAGV TGFAERAFPFLVHSVLCFQLEQHQTVKKKLSLSLKEWLQNESPKALTNLKLLINTILY LRTQEYPRESSIADRLHWLDVEYTLAARAASRCGMHKTGLLFAELVSSDTSRSSRSSS LHKEPDLNVTLLTIFENIDDPDTYYGLPEDASLCKVLARVEYENEGSKSLAFRGAQYD SHLRLRRKAAEADGLALVKALGTLGLSGLSHSVLQRQESAGTNTSSIESTFSTARRLE MWNLPAPGYSSHHAVILYQVYQSIHNSTELSAVREAIHNGYGRVMQAMGGCSLNATAV RSRLAALAALTELDDMFNISELAEIEGLLEKFRARSEWMRSGIYGNVGQVLSCRETSA SMLCQHHGLLRSSQLSTGAWRQMQVESMILASGIYRHHQATQESLNIATTLTEMIPLC EDLSLHVDAAIKIEVANSLWDHGEMGTSIRMLQAIDKDSSLAKQSIHVGRSDLLSKIG HKVSLARLENPHDIQKTYLGPALKALRREGNSEAGSVYHQFAMFCDEQLQDPDGLEDL ARLQNLKKAKNDEVKDLKALIDTTKDTQLKTRYSHVLSKETQWLELDEKELRRVEQTR SEFVQLSLENYLLSLMSSDKFNNDALRFTALWLERSAEDSTNKSVMKHISLVPTRKFA TLMNQLTSRLQSQETTFQKVLFELICNICVDHPYHGMYQIWSGTKAKAQQKDDVAVQR IKATEKVAQRLAATKSVADIWVAIDKTSKYYHGLAMDRNPNKYKSGAKMPLKGSTAGQ YLVSCLARYRIPPPTLHMEISATKDYSNVPIISKLEPNMTIASGVSAPKIITAVGSNG ERYKQLVKGGHDDLRQDAIMEQVFAAVSSLLKLHRSTQQRSLGIRTYKVLPLTASSGL IEFVPNTTPLHEFLMPAHERYYPRDLKGSQCRKEIFSVQNRTAETRLSTYRKVTDRFH PVMRYFFMEHFMDPDEWFTRRLAYTRSTAAISMLGHVLGLGDRHGHNILLDTKTGEAV HIDLGVAFEAGRILPVPELVPFRLTRDIVDGMGITKTEGVFRRCCEFTLDALREEQYS IMTILDVLRYDPLYTWSISPLRLAKLQKARDDDEGDEGPEPSETAEPKKGKRGASRTN EPSEADRALEVVRKKLSKTLSVTATVNDLINVATDERNLSVLYSGWAAYA UV8b_06515 MISEPLHSTSPSRRRQRLSASSSSSASEGPKRPRLADPPAMASV RARGKLPETVDLTTGGPSAFQPYSGAKKLVIKNLRPPVNRESQAEEYYTRTEKGLEEA LQAIFAGRRPAVPLERLYRGVEDVCRRGNAAKVYRLLKERIDSHLQLTVLPRMKRNSG QSSIDGLRSVRDEWRIWNTQAVTIRSTFSYLDRTYLLRESLPSINDMTITCFRRMVFP PSRPITGTPGEDVIAGVCQMIDHDRRNDERLEPSLLKESVRMLHVLGVYVKHFDAVFL RQSQAYFKEFGETWSASGLKEYILACETLLNTEEHRCIAYNLDSTTEKQLMDSAHDIL VNDYSEKLLHADNLSSLLSDRDTRSMKGLYDLLRLSGIQKRMKEPWAEYIRTTGAAII SDREKGDEMVLRLLELRRCLDLMIRDAFQKDEDFSWGMREAFGKFMNDRATASCWDTG TAKIGEMIAKYIDVLLRGGLRTLPKELLSDVKDRAAAEREGQASTADEDAELDRQLDQ ALELFRFIEGKDAFEAFYKKDLARRLLMGRSASQDAERNMLTKLRSECGSNFTHNLEQ MFKDQELAKDEMDAYKQWSESASERKPAVDLSVMILSASAWPTYPDVRLNLPDEVATQ VERFDQHYKNKHTGRILAWKHSLAHCAIKATFPKGSKELLVSAFQAVVLMVFNNEPPK NGFFTYQQISAATGLQGGDLDRTLQSLACGKARVITKHPKGRDVKPTDTFTFNKAFTD AKYRVKINQIQLKETKEENKATYERIAQDRRFETQAAIVRIMKSRKTMGHAELVAEVI NLTKNRGSVEPAAIKKEIESLIEKDYIEREDGAYTYLA UV8b_06516 MTAGITNIAISSSPTSRSLLQQASQLARIHTPALSSNAVVRTMF QKANQAHKNAAPSQRPALAKQLFPSSSPSSCNLDIRDQLKRPASTSSGAAAASARTNQ PYASPLDNRPVNLAHTTGHSATGSKGSLSLLYSGHVDSFESGGIDHVDLTGSAAAPQK IHESVYFAEDDFSDDADLDLDFEAPSALPQQPKPQVAQQPAPLPIPSQVENPIPWSSS PASHMHPANPPRLSEATSELSNPSLKRDSSGDVECMESTVQKKAKKRVLPASFRTEAA HEEEVLHLESWKTPTRKTKAPWDPSASVIKEQMKLLKSQRNPRSADSDKEAVPEKVHK EQEQDVKPVAISLSSEQEHVLDMVVNRGQSVFFTGPAGTGKSVLMRAIINELKKKFSH NPERVAVTASTGLAACNIGGITLHSFSGIGLGKEDAPTLVRKIRRNQKAKTRWLQTKC LIIDEISMVDGDLFDKLSQIGRTIRNNGRPWGGIQLIITGDFFQLPPVPDADKKRESK FAFDAATWSTSIDHTIGLTQVFRQRDPQFARMLNEMRIGKISQSTVDAFKALSRPLSF DDGVDLAELFPTRAQVEGSNERKLRELPGKIYRYDAMDSGDQAVRDKLLVNMMAPKAL ELKVGAQVMLIKNLDETLVNGSLGKVIAFSDEKTFEMGGLNPLDAAMEDSIAKAKRKL AAFSRDSGSGSGLNARKFPVVQFISTGGLPRVILCQPEEWKVELPNGEVQAKRTQLPL ILAWALSIHKAQGQTLERVTVNLGRVFEKGQAYVALSRATSQDGLRVLGFDRSKVMAH QKVIEFYGKLYSVEDAVRGQPTTIADFIANKKGVPSHHRVKTSDSTERNHEEEAMASY GH UV8b_06517 MASQFIGLHMLVVLRDPSGYRLTGTVRDVEAGSSLTLTNVYIPA TKERTAQMKINASNIADLSEMPAELASPEKYGPCASERDLTLRPSPASHAHQPQLQQL QQQQQQQQQQQQQQQQQHDQAFMDPAIVKVGKRPVSVTSPALSSGQRGRSTRAGQEEY VELKPAAAAAASPDGRRPEPYILDSFQGMRGISLGRSSHTVLQQTPSALDTPPQKKKN RSKHGKQPRSNTTSHHPGQDSNGANASGHGKGWRQTPLLQSTSSFQPFNSLKKNGKGR KGLADNGWASEDVTEEMGDFDFENNLAKFDKRTIFDQMRKEDEVDDAERLVSHNRRPK PGTASGKNLHYTEMVLGTPPPVGGQEAGFWNSEAEVGVNGGSEKLSGGRDGRDGREAR NSVASTVKGRAESISGRTRRSQSRKSSAVATSQPLSRVNSSVRLSQSSSRRHTPRTGY RNADDHVQQQQQQQQQQQQQQQQQQQQQQQRIDQPGFYLVPSNRRLEAISTLQMLNLE NIAANELGFTEALMAENAGRGIAEVAVIALSDPAIKVRFELALASSLVDDASSSLGSA TVVVLAGNNKSGIRAIAAARHLRNKNLDVSVCLVGVEREKDLLEDLRRQVQLYRAFGG KVHSKNDFFEHMRKSSASGSPAPVSLIVDALLGLTISFEELRVGDQATVYELMEWANR NEAFVLSVDVPTGIDPSSGKIAIIDGAHLYVKPKYVVAIGAPKKGLLESVTPAADGEP GVGNPVASDDEWRLYIADMGLGSAVWRKAGTKVRKGVDFDGKWVLEMRYRGADARL UV8b_06518 MSTALVPLGTSSLLTKLASPATLAATASAIMSATTTTTAPDVAA ATRTSQGESSLSSHDQANQPLVSNYRDPFFASTFPMCYALAATTVTAYMLLVMLFITP RSFLDGGVVYLGRRSGFTHSSSGGENIGGRPWLQKVAALTVVVSLTIATYDTFKVAAH QYSYGVQNAAIMQTEVMGSMELKVTRLVSNFFLWLAQAQTLIRLFPRHREKVVIKWVA FALITLDLIFSAINGFKPGESGSSGGNPVAGSFDYPVPALSYMFQLLLGVLYAAWVIY YSVMKKRYAFYHPLMKNMCLLAVISLTSILIPVVFFILDISRPKFAGWGDYVRWVGAA AASVIVWEWVERIEALEREEKKGGILGREVFDGDDVLEVSALDYPWDLKRRHRKDDYP RGRGGRGSNGRRRGTRGQGDGNGDGSGHADGDGDGDGDGDGNGNGNGGVRHSPQRKPA QNHAQPNVWPVVSTLTGRQREPSRAATAVARTTTTTAAAAAAAAAEAEPLAQRTIGGI FRPPWPARPSAAAMSPVSRTDTPSAASTVYAVRYQLSPSETTGPTPDPFSRRPDPQPA QPAQPPQPAQVHHHHHHHHQHQHHQQLQMATRAHSNAAELAARPRCSTQTSETRVGST SPSQQDGAPSNGARNSSIDPSNVEANTPRRSRPSRWRVLPQSSGQRSPAADGQQRRES SGCRDALQHESMNSRWDIKSRLEMFAARQADRVRERLQPTTDMDNLPVTYIPAPARRG AALREVLEEEEAHQGGNSRDAADGEEARRRCAAPHLGQHEHGLDEAAAAARPGAEEAV AWTSTKPPLWPGVRRRPAGYDDIDEDDDDDDENDENEEELLYTDESSGADDSGGHESE QDSGPSRSGSAGADGRS UV8b_06519 MAEPFPLLSSPAEQLHSLEAQGARRRRKSSGLGGDIRAGDTGAP ALASSKMSLDQHRHEPPRPGCSGSSTSTSTSTSTSTSGSSTSPPSSSSSTSRKLSKRR RARGLLSRLRQTCLKHTWLLPLALLAVVLSGYALHPSEANPLHSLIFLSYPLPAAGGA PPLQYGKGPRDLALVAFYTVVLSFTREFAMQELLRPLARRLGLKRSKHARFMEQAYTA LYFGFLGPAGMYVMSRTPVWYFNTRGMYAGFPHRSHEALVKFYYLFQAAYWAQQAIVL VLGLEKARKDFKELVGHHVVSLALIGLSYRFHFTYIGLAVYTTHDISDFFLATSKILN YLDHPLVGPYFFLFMCVWIYMRHVVNLEIIWSLFTHFRTVGPFELDWAAEQYKFWLSQ YIAIALLASLQALNLFWLFYIVRIAYRFIRDSTAGDDRSDDEDDDEPDETDERERERE PKAKAKAKAKAKAEPKAVQPVPALEVNGKAVDASF UV8b_06520 MIVSDAIRPTAREAAARSSPRSSPHGRTTCATLSRDKPGQARRL ISPGLPDTSCPVVVIVVVAAVLMTVIITVVTATASIITRPRDMSCPSRKALPCTNWKK RPIRR UV8b_06521 MGATDENQPPDPRQAGYARDAVSPSDAILRQTQYLYALVLLVAF VGGAACYSVYNAKKEEDVLQSSVKGPGGKPLPTTKRRKRDDGERKIGPRFGPSAKIVF RYLAAVLFLSYVATGVLMFVHAFWHENPYKWSREGLPWAGEWSVAHVTGSTFFYLYIL FSLFDWRKGPNIVHLAVWVLGLIGELVLFTTTFLAAADCHFVRSVRTAAKEPGEKTCI DKWAKLDLVLYFVRIMHLTAVISLFCIAWVLKVRRNRQEIGAAQCNESTPLLNGCGAA LLDNTNGQLYASQERRSRKRALSSATRASAYGTSRKDEQAAFYRPEKLPRRTWWEYVR GYSLFFPYLWPKDSTRLQFQVLLCFVLVVIQRLVNASVPWQIGRVVDSLDKAIQAARR GEPLTTENFPLTDFVILGGLWILQGQTGLLGALRSLLWIPVSQYSYRGLTTAAFNHVH CLSLDFHLSKRTGEVLSALNKGSAINQFLEQVTFQVVPMLFDLFLSIFLFYNYFGPFY AELNLVNTCWYLYMTIKMASTRADHRREMTNADREEEAVKNDSISSYETVKYFNAEEF ESGRYKDKVAAFQRAEAKVQIGMVLMNICQTLVFNLGRIIATLVCGWQVAVGVRSAGN WFTVVSYLTQLQGPLNFFGTFYRTVQQAMISGERLLELFKIQPTVVDSPHAKPLENFR GHVRWKGVSFAYDRRKPALRNISFDCAPGTTTAFVGESGGGKSTLFRHMFRYYDCDEG SIEFDGQDVKDLTIDSVRRQIGVVPQDTTLFNESLMYNLKYANPAATEEEVYAACRAA SIHDRIMGFPDQYGTQVGERGLRLSGGEKQRVAIARTILKNPKIIMLDEATSALDTHT EQEIQDNVWNIGEGRTLLIIAHRLSTITHADQIIVLHAGEIVERGTHDELLDANGRYA SMWEKQIRAERAMDAAREAHLKAARAIRRANMGSKTPDEATMEDYHSLGSSGTLSGNA TSRGIQGEETTSASSSASGSSASSDAGSTHTADHGDDGH UV8b_06522 MSQPSPPLKVPSSAASNTPATLDPDLRSQINTLLLRDGHVSKIQ EALLHALNSHSTNWPTVIQSHALALLRSGEITTYPALLRRVVDDVREASASAACPNGD AAAKVNGNGNGNGNGPAERANLAVPDAVVEEALRVTRESLEAVCEMDEDGGA UV8b_06523 MASHPEEHKKKVNLTDPSGADVKHEDDTATAILKKKKKPNQLMV TDAVNDDNSIIALSEATMDALQLFRGDTVLVRGKKRKDTVLIVLADEELDDGSARINR VVRHNLRVKHGDMITILPCPDIKYAKRIAVLPIADTVEGITGSLFDVFLAPYFREAYR PVRQGDLFIVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGDPIERDEEENNLNEVG YDDIGGCRKQMAQIREMVELPLRHPQLFKSIGIKPPRGVLLYGPPGTGKTLMARAVAN ETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKTNG EVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGVPDPTG RLEILQIHTKNMKLGDDVDLEQIAAETHGYVGSDVAALCSEAAMQQIREKMDLIDLDE DTIDAEVLDSLGVTMENFRFALGVSNPSALREVAVVEVPNVRWEDIGGLEAVKQDLRE QVQYPVDHPEKFLKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGPELLS MWFGESESNIRDIFDKARAAAPCIVFLDELDSIAKARGGSVGDAGGASDRVVNQLLTE MDGMTSKKNVFVIGATNRPEQLDPALCRPGRLDSLIYVPLPDEVGRLSILKAQLRKTP VSSDVDLGYIASKTHGFSGADLGFITQRAVKIAIKEAITADIERTKAREAAGDEMDMD EDAEDPVPELTKAHFEEAMQMARKSVSDVEIRRYEAFAQQMKNAGPGAFFKFPEAGAD GQASGNGGNGFGDAGNDDDLYD UV8b_06524 MPLIIVSGLPTSGKSTRAKQLHDYLATRIAETDPPKYRLHLISD DSLSISRAVYDLSPDTVRLHTRSANSSEKDARAAMYGAVKRVLSDRDFVVLDGLNYIK GWRYQLHCEAKAMRTPNCVLRVACPLEQARQVNEERLRRAKPRPTRTEGSPEQGAGDD HDDDDDDDDNDDNDDGGQKDHGDADPTTTTEPYERSNWDNLVFRYEEPNPMTRWDSPL FAILWDDSEAQKTRTFDELWDAMAGEGRRAVKPNQSTVQRGRDAGGDYLYVLERETQD IVKRILDQQSDQGGGQVKVPLHASERQDLVVELPAGTKVGLPQLQRLRRAFVGLNRGG IGLESVGNMASDGMREVFVRYLNDAFDKDES UV8b_06525 MPHLDAYDVISVVGIPVYAVFLLCAVYLCAKHGFSKSSGWRFLI VLALARIIGFGMRLGLVNDPTNTSLWIGWMTVTSLGLGPLVLVLLSLLSRVFESVHRQ GQVVLKPIHQRLIQTLVLAAIVVTVVGGTQSSFRLDNGSVAVDYGTAARVGVGLMAAA VASLVLETFVACLNRRFVAQGEHRILLGVAASLPFVAVRLVYPCLVVLAGTSADVWMY LCMCVLMELAVVFMLTVLGFTLDKLTDAPKTDPEQAASQEQQQGHS UV8b_06526 MEIPMQRLGARALRSGDNDDVEREYDRLRDQARLEMGRSHRASI RSQEAFRAGNHEEAHKCSEESKQHYAQHEDYNRQAVAYIFRENNAPGKVGEDTIDLHG LFVDEAARVLEERIRADQQRGQEHLHAIVGKGKHSAGHMQKLKPKVEALCRELGLKYG TEENAGRMYINLQGRQPASAAHHGGGQQQHGPQHHGGQHSGQQQQGQQQGQEGLVGKV LPKIVQKLEKACCAVM UV8b_06527 MGWGMGHGAWSMGHGAWGEYGQERARPRVGQNQTVSPGNQTPAN CRNLYGYGYTQDSSAGRTSLPDQSVIGNSPRVDDNSKLEASSSEIDHGTVYARCKLHL VGFASSPNI UV8b_06528 MGCGMSTEEKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMESLELPLEDQRMEY HVQTIFMQPAQIEGEVLPPEVGGAIEALWKDRGVQECFKRSREYQLNDSARYYFDNIT RIAAPDYMPNDQDVLRSRVKTTSITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGDDYAAACDYILNRFVSLNQHETKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI UV8b_06529 MDGRWTCRDRSQNADCFQVNAANMGQLPAAVTAAPRHTSLSTTA HDQLEHYAQQVHSGIAGDGSQLGPSVADRTSHLDSSMHLTAAGGLNNDPNSLSGLSTS FQGNFATPERYDEHDQHQHQHQHQHQHHVPCNASSPSVGSMENPDESVKVDHASPGQT AADIIMRVYPSVKIDSTLCKKLAGEAARREPTQRRREQKLNIERRSNVEALLAHVTGE IASEPCKNCRKGHGPWTQCVVYDGQMCGSCTNCWFNASGSRCTFRETNNPHAALFPQP LPATARQSVTSFQQPSALPPSGPSILGPLQAYLAQWSLVDPARRMVSHALADMVMLSK NDWHLARIEAAVKELGVRIAEYDEFLRTPEGLVEQQADHQEHMVNHNPSGDISMSENS PGGALA UV8b_06530 MPHLPPGPSAAAHVGGELKFPPVSKDHIQNCSYDAWFPKYRSSC LKSKIIRLPPSFVAYLQEDGILLADEDATVAPADPEWHSSAAVGNSRPKPHDGSSDEE EEDEAPRLPPNRRFPEAHNEIKIAISELGGAVAPKLNWSAPKDAKWVSPHQNTLKCTS PNDVYLLLKSSSFISHDLDHAFDDCTPASPTRPFTPVLVLRPFFNPHVALEFRCFVKH RSLIGITQRDLNYYAFLNELRPQLRKRIQTFFKEKLRHTFPDASFTFDVYIPEDPVAD DGLGRVRLMDINPWAPRTDTLLFSWQELVDAKVESPLYGSAAADDFASLSGDETGADD AEADAHTAETVPELRLIDKDDPAAFNFSTPQYSAHKLPKEVVDASMAGEGGLREFAQQ WKEITEGRAGGLWDQQVSHG UV8b_06531 MSENVGLSTPRGSGTSGYVQRNLAHLKPRDHGAPYPQDRDSLRH KQRQPDKGILEHDRKRQIEVKVFELRDKLEEEEVDEEEIDRRCDELRDRLHAEKDSGK KGSGSQRAFKEHQVHEMADAKIKESERLRRALKISSDYEEGSHWRRQEERLGAAVEKG ADNEK UV8b_06532 MTAWLVRCDSLTMERERQTREWPGSQNCAANEIKSWDMNSCCEL RRALLFLVTSMAALDSWGVYSENTLIDDARHAEEDADREAAARRRQDYFPHVSSDFTV LDTVLDTVLDIVLSSGRTQVPSPPRRDSFRCQPTPGLDLLVVVWSQVQVQPPGRKVCW TNVYGREARGKTH UV8b_06533 MARLAQETALPEDVAASRSDEAELVNLTRLLISLQRNILHPTLE QERRLRVSELERTKVERILEYARSVLTKLEQDALQVQAPTKRAKIQSLLNENRDRLES LLDRLQDFRHMAVDDDGSSDGEDVLEHVIPTPSESIDSDPPAAQDEGEESKTRAEQVS SCRTGAPLPEQSAVEATPTLEPHGSSQQPAGPIDTTTCPSPATQTTQAVRLRSRQAAQ PSSSTPDPVSHSTARAALFANRSNSVGPRTSNATAEAILDQQRAEQDFLSESILKMAG ALKESSQKFSTTLDADKDVLEKAGEGISKTEQSMEAARGRMGTLRKMTEGKGWWGRMM LFAWVYGLMFGLILLVFVMPKLRF UV8b_06534 MLINAARLLLPAAALVHLSLAWATSDRCNGYSSTLIQIKHAGDI YKQPSVPGLPPPGVNPYSLIDTLLKNGEDWCKHCASPRVSVDAGRYKAQMDKLLSYAH PYSASSWDSVQSLGDALEHLCKASRKEN UV8b_06535 MANRSLSCPKPVFKGLVIAAADSLPRGFTLPQLRSWTEARRGRF TEDFGPDVTHLLCTREQFDTCVPRVKEGLVRKKLHIVDFDWYELSATGLKERREPESS YEFRKALKKQARARRLAAHNFVDPIQGRVDPSREPRGSTSGGKLFESHAKPHLYWFAA KLLRHRGDTQPLYYRPSSFATKWRSQMSEFMAFFHLKTGIMWEERVVRFNTTPPSLFH YVPPDGGKPVGRRTLFSNYYCRELNAALRGLTATWGRDGHGSGSNDPEADSSGSSAKD EQECVGIAEQDGVVSGVVSGVVSGDAAGAGNKSDNAHGVAHLPK UV8b_06536 MSTSALVDHSPHQPEPAAPVPTASNLILIDNYDSFTWNIYQYLV LEGATVHVFRNDQITVEELVKLNPTQLIISPGPGHPSTDSGVSRNAIRHFAGKIPILG VCMGLQCMFDVYGGKVGSAGEWLHGKTSPLTHDSKGVFAGLEQGLPVTRYHSLAGTHV TLPECLEVTSWVAKEDGSPGIIQGVRHKEYTMEGVQFHPESILTAHGRKMIRNFLRMQ GGTWQQDSRFQKSASTDGAAASATAPRKNNNILQRIYANRRVAVEAQKQLPSQRMSDL QAAYDLNAAPAQVSFVSRLKQTPFDVALMAEIKRGSPSKGIFALEINAPVQAQKYALA GASVISVLTEPEWFKGSIDDLRAVRQVLDGMPNRPAILRKEFIFDEYQILEARLAGAD TVLLIVKMLSPEVLERLYRYSQSLGMEPLVEVQTAEEMETAVKLGSKVIGVNNRNLES FEVDMDTTGRLRKMVPENTLLCALSGINSHKDVLMCKNDGVNAVLVGESIMRAPDASA FITELCSGVKPADSKKAPKPLLVKICGTRSAEAAKKAVECKADLIGINFVPGAKRCVS HETALAILAAVGSSANNLTDKDETPVPPKSATDYFAAAESRLRGSRTRLVGIFQDQPL DEVLQKQKLYSLDMVQLHGDEPVEWAKFIPVPVIRAFKPGHPGIGLRGYHTVPLLDSG AGSGKRLDVSSVKAALDRDAGLRIILAGGLNPDNVAEAVNALGELSDRVIGVDVSSGV EEDGHQSLAKICAFVEAAKAIR UV8b_06537 MAPVSDSNYFPSLGDCLSDGRVLLSWKNIATALSDSSGRRQRSA EVVAFLSDEYVHSLLKDPAIAFAPTNDATEKSFATKTAPINVTSASTEKHNIQLLKED AQWLSKSAKVNPVAALRIALLELQTRPSLHLSSPLSSQDVTNLQEAAGIQNGQRTSFM SDLGPNATLDADEVAAEFDKDHSRKSRLFDVFLTERRYFFMTIDYLQSIRLYGRLPIV SSGDSELATLYKLKSSSSVKDESVSLLAAYLKAVTTNVTSLGAGLKSVTDDTLLLRDE VELDWLRTLLTEVTHSLSVVFQVVDSLADDFAPSSFLNQWFSLMDLCNFFDDIQPINH VIGELILPIKTLAAGVSLALLKPARSLTFLAEREEEPTPMDDMYDSYLILSDVLEQIH KSVINAASVDCESATPVIFAWTLLLHRMNFSYQNRIDKRDNLLQQNARETFESGGVVR PTARRNSAGSIFSIESSKFDGFLENSATARDLQVVEQLASGATAHGKVFDIMANMARS LGPSSEGSMTPLLSSRLRNTFLEMLKISYPIVGYQSEPVSSLLAQLDQGRDYWQLVPG QGLAPSQDVLTSMLQDDYSMDFYFQQALDRFPYEFLPFISMCRALCTAAADVDRSELI LGLLRNTPTVTFAIPDSFQGYDLVQEDENTNAFVLQEEIFLISPSSSWGRRYVEDDAY RLPIGSYGRFITDTGRVALMEFPHSSLSLLGRQLEISLIKEGYRCVLGMLQPEEIAEV ISLFATLLRMESLRTGTHPSAALMHAESDILAEASKHISGGKDVVTVICDTMDYFMQD ELATSEEATINVLTSCVKFLSSILPSQPSRVWSYLARSELLNSDSRAGKLAKITGNLD LVSEKFEFLFSSLSLFSELIDTAMSSAVQRRVGNKPATRQKAEPNPWLGTADKVLAKV SMSIAHASVDVFESTCTWRFDTEIKRVSLLGNVISVLSRLVEYSYAMGESPTSDSLLS CLRPAGFYVVECFITPTSGTLRFQPILSSLITTLTMAQSTLYSTRTAIARHQASSILQ FSTTLLRTAKYLGKSSAAFETCLFKSSTLVARMCAVSDAFRGEAIALLEALVVNAAST NSEPPSLLGYLGPHISKSFIQVLASLGKPFNLTREVGTTWEFFSSVLRNRQQWMSNCL LTGQTPREAMKQENKKSELTADSLFATALGKLKRLKEMEPQESLAILDFVASAQNYWP WTVFTLLRDTSYIDGLRSYARDLKPWQLTVRSDAVRTSIDARIAAYIAEILAMQLYHS RHQGASDALAKSLVADLDYYLRDGVEVAGFNQSLHNNLARNFANKYAGCSLDNFKRTV LQHRDLGKNYYYDVERANDMLSFDPGWLGRKGNGFRNEMELANANLSLVDAQIALFHA WEFLLLELSTSLPGHETVAKQMLQVAQQCLNANQGAPGPESIFVKLVDSRASLALVLV QRLAKLSTVAVQDINQLLGTLVGTIHSVEEPFGKDSISYYRTLLKALFVTLRAYHSCG NKGVGDSAVDLGGSTVTVTQTVLNLLDHVVGRGFRSLVSLIHDSDSDISPDDLALLTA ILQACLSLPNLDQSPTQILNIMAAHDVVNAATSLFSWADKLSQQGDPVYGELSLLFLL ELSTLPLVAEQLACDGVLSSLLSANLSKYMLKTTVSPYAETPVAQRCYAIWVKGFLPL MLNLLAALGATLAPEVTYVLNQFSHLLRASVDRFEAPGASRTMSRSAGQHLTLLATSE IHSLALLTRVLSALGAKNNRDVPAVEWDANGVLENVEFWLSSKRLLKERLLPLGSREL DWKNTRREAGAAGGSENLLEEKIVSQLETVRDVLNEELDG UV8b_06538 MDLLTQSEQRMLEQRMQKRQVKEFLGAFGGLVEHCFTSCVDDFT SKAVSSRENGCINRCVLKWMATQQRVSERFQEHNAQLTQQMQNK UV8b_06539 MASPISAANQHNSSRHSSLAAATPSSPPNKRDLKSWWKGFKLPS KQHDPLAESRAPGIFGVPLRQSITYANVAISLIDENGQSYIYGYVPIVVAKCGVFLKE KATGVEGIFRLSGSEKRIKELKQVFDSPDRYGKGLVWDGYTVHDAANVLRRYLNDLPE PVVPLALYEQFRDPLRGATKQPLGDADGPQFVENFDEQAAIIKYQQLITELPPLNRQL LLYILDLLAVFAAKSDENRMNPQNLAAIFQPGMLSHPNHAMAPEEYRLNQCVLIFLIE NQDHFLIGMRGTAADENTKKEVESGTPTTPNVKGVSRTASNASAGANSVRKEGQLRRN RSVSSKHSRGEGSIGSHSPSHTATTTGGLGRSNTVPSKKSPALAGGKFRKVESPGQQQ PAARLNVMTPIRPVMAAPESPASSPNYVGEGSAKSPTPLASQASGPSQERLLDIIPQE STPVPSKERNLPSLFQRSPAEGGEKKKPNKLKKKRIPGSVNISAQSSNASLIHSNAAS PAFEMSNPMESIQPILEQITPALATLAMTEPPQSAVPVPHDRAPSKLTESIEDDKKAY PTKSSAPPYQSNDVSSELTPRASQLASHYDTLHSDDNLQSTKSPPTSIYSSYNEGSDL DQIVEGQTIGHADGPDKERKKRWRLSRTRRDDNSGSLHSLGSPPPTNLWSNTGAETST TTVGSGTSRPRKSLTQDESSEPHPLDMLSNHHEDDRDNGKDDARGPIGWIKNKYREAK GNAEQRRNKSPAADRHADRQGISAALYSRGRSMDIKREKEEGEKPAVDRPSEAVLSPE PAVLQSPPAGPKMVEEVKPCNPDFPLRPVPEASEEPSLRHAQQLQPAYPQSDATNEAR SQTQAAESFEPIPEASPAPAPEPPAAAMMEAQTEPTKRE UV8b_06540 MPAPAALLRPLQRNRPPAFLLLVVFRLLNAWCVRTFFQPDEFFQ SLEPAWQLAFGPGSGAWLTWEWHHRLRSSLHPAVFAAAYRLAHLPASMLLPPGHVLRA AAVVGSPKLLQAVSAALCDWYTWQLAVNIFGPDGNLASSVALLLQLVSPWQWYCSTRT FSNSLETTLCVAALYYWPWRLFAAAAAPTKENPRPVNAVSILGSTRRLRASLSLAALA VVLRPTNLLIWATVAAMALARPSLRGASPVTRPVVFALAREAALCGSVLIAISAASDR LYFGSWAFPPYNWLDFNISKSLAVFYGRSPWHYYLSQGIPLLCTTSLPFALVGLCRPA AASSAPAERNVRRVLSCTVLTTVCALSLISHKEVRFIYPLLPILNVLAAPHAAAFFFF TTATTPPPPADAANGPAPRPRPRPRIRRKPWLLAALGVNLALAGYLSFLHQPAPLAVV SYLRKEYERLRPAPPPIPGDELFALFLTPCHSTPWRSHLYHPGLNAYALTCEPPLHTR PNTPERDGYRDEADRFYDDPATFLGAELFSPRRNMSIPRYIVGFQGIEPWLRDFLRTP RGSALGISLRRVWSGFNGFFNEDWRRAGRLLVWDTGLYEGAPFGDSV UV8b_06541 MAPHAETGSPAVAVAVAVAGTNSRYHAASTESAIKAENEYAAHN YHPLPVVFSRAKGVHVWDPEGRQYLDFLSAYSAVNQGHCHPELVKALTDQASRLTLSS RAFHNDVFPRWAEKIRQVFGYEMVLPMNTGVEATETAVKVARKWAYKVKGVEKDKAFV FCVTNNFHGRSMTAITLSTDPESKDNYGPYIPNIGAHCPSTGKPIRFNNVADLEEVLE AHGKQTAAFLVEPIQGEAGVVVPDDDYLSKVHALCKKHHVLLICDEIQTGIGRTGRML ASEWSGIKPDMVTLGKAISGGMYPVSAVMSSKEVMLVVEPGTHGSTYGGNPLGCAVSI RALELVEEENLISNAERLGNIFRQSLAALKSPIIKTIRGKGLLNAIVIDESAASGRTA WDLCLLLKQKGLLAKPTHGDIIRFAPPLVITETELRKGLSIITAAIKELPTVEKSAAH UV8b_06542 MARNHLPVRPAITDTRHEQKMSKGPHYMPCSRSGIAGDGDSNLQ VYLSSGQPLYDEKLHLVSGKLTSVFYLYAPSKVSVLPPKRERQCQHAGLERPVISRFY SSLKKLGSSCPYSIHDHWHSGLPLSIHNANDWLSVDGHTSSPLCKTCPYLRLKGGVSV AERMHELAFGWYR UV8b_06543 MASQALLDVGHSRVSLSRAIPQLGCATTEGPACLLIQFLSAAVP KPWVVVGPLVRLASTAWSLSTTSQAYILPPSPGCHQGVLLSHDRATAQTANSPAGFLS LGLKSSPCASDGIQAASL UV8b_06544 MASRQDGSSISSRRSNGRAIGQFVIDKEIGKGSFAQVYMGWHKE SKAAVAVKSVELERLNKKLKENLYGEIQILKTLRHPHIVALHDCLESSTHINLIMEYC ELGDLSLFIKKRDKLSTHPATLEMARKYPSAPNSGLHEVVIRHFLKQLTSALEFLRSK NYVHRDVKPQNLLLLPSQAFRNQRALPIMTASQDSLIPVAGLASLPMLKLADFGFARV LPSTSLADTLCGSPLYMAPEILRYERYDAKADLWSVGTVLYEMVTGRPPFRARNHVEL LRKIEAAEDVIKFPREVIIRPEMKSLIRSLLKRSPVERLSFEAFFSHPVVTGDIPGLV DDDVPTPPKRELHPIPQGQEFPPSSGSTAARRSDANAPQQRGLSQSPREAAPTSPPPS LMGSAGDGRHRRQPADMQRPGSSPRASETGLGIQRPLPQHAFSMPNHPRVTHRSSREQ VAARSQPGRESSRPGVIRQSSSSKQLAEQEKAAQDVMFERDYVVVERRHVEVNALADE LAANEKLAGYDSGPRSTAMVRRSTQQGAPNSTTGAIPTPSSRTALVAQGRAVQDRRSA YEKALSASPGSASSAISKAIQDASLRLFGFRVAPVRGNGLSPPMYMPFPAYPTPSSPG GLIGDGKSSPPADEDVRTKETMAEYAERSDCVYGFAEVKYKQLVPLAPSMDHGLGGVA VDQVSQDEDDLTAEAVVALSEEALVLYVKSLTLLARAMDIASVWWTKKSRAEGSSGVS AVVAENVAQSINAIVQWVRQRFNEVLEKSEIVRMKLTEAQKLLPEDHPSHPSNQGMES VLSPSGSATNQVFLTPGISAEKLMYDRALEMSRAAAIDEVTNENLPGCKISYVTAVRM LEAVLENDDDGASRRLPYGKEPARGAGRESASDLDGDEEAHVRKMIHMIKGRLAAVAK KQQMIANANSKEHQAQLPAARHGSGDVTPRSVPSHGSA UV8b_06545 MVKNPQGIIRHIQHHVSRLESKFCHSVVLDALVSFPPGVLLQLA SIGAIPERRSCTRPSLSHSYTSLIMPAKHHSPNWPLEPPSTCRDPIPAFRLNSFFRHA QS UV8b_06546 MADASNKKRKRDDGAPPSQPKKKVALHVPGSRVAVSSLLQPKTC PPIIATAPGLQVPRDAAFHLYQSRQGSRAESNESNGSKNAGTQQILLHSTSHPSLDYT AKEEGNRGAALGVRHFVAIYDAKSGKLQVVEAKKMVVRGAVRAKQAPASAMGEVQSQP SMTDRRADLGQTFGTKKAKKALRDTVINAIAPQSKGGDAEARIDDAGRAVFQAVGQAT SRMATREALQAAVDAAKPVPRANVDAQAVEDVYDPEAIIGADVLNLVPVREWQEKAEH KESIRTISRFVAARVNALATATSKDAVTRLRVLRYYNLVLTFYLGTKPGKQRGTRLVA PREKLRELLAPAPEAVVENIRRRFSDAGVVRKYHLDLLMAYCCVLACIVDGFEVDTQN LREDLRLDQKTINGYFHEIGGRVKPVGSKAEGGRLTHVGKLALPLRFPKQRQMARRRK UV8b_06547 MAVYDLATKLNEVAARAEVFVTVLVGTGRFFSAGADISSPRESP SLPADAHRLWLQSFVAFNLNVTHAFAHHPKVLVVGLNGPVVGLSAALVGWADFVYCAP GAWLLTPFSTLGLVAEGGASRALAARLGPAAAAEALLMSRRLEPQRLLQCGFANAVWD DVARGDDAAFRARLLREVDDWLGERLNGESMLRIKKLLRRPDALAHDAQNVREVFAGL DRFVEGVPQAEFRKLASGEKRHKL UV8b_06548 MSSPKAPDPATFACSADIFSGNHTLPQIKSIHKSLHGQIEEKAA RLRTRVGSSYRQLLGTADVIVQMRGDNERVQDVLGRMGGRCGRSVISSKITGLSNFSA GERDARTNRTARWKLLELCGLVAGRILKGRGGVGREHEKQADTLVLATKVFVISRLLV KSLKEDASAGNGRQAVEAASSTLESLRRRIQRSVERLLENTDQRSGTGSVTKALCAHS LANSSGAKHAIAHFIRVRTRAMEVVLKMDSDESQAPTVAGTTTDDVVRSLRLYTKTLL DVQALIPAKLPQALAQLKSQRLLADASLQQLEGLRLDLYGRSCGEEIQDFTPFIPHDD LSAKQAREMLASFAENGGRVVMSGLKKTLRHMADLEPIIDLRRQVLQLWIRDGGRAKG LDPQEMQDELRETINARLLCVADAKAAKLRLVGSEVKATLEGWREGVSDKHKSLWDDQ GYDSALSDGAASFVGEVISRLHGRNDAVSRAAHSYRCWFHVVDDVKAAVERVRKQRWD KDDDEEIEDEETIEQRQKALSQEDPSRLQGRFNESLDQSFRDVEAQLQKLWTQHSGGC ASSGAKAIYLVRVVREIRAQLPDRAGLRDFGLALVPALHSRLATTASQSAAEEFISSG LSDRGVAMKPLWEGDPAVPSQPSPALFHFLRDLSLSMTDLGVDLWTPAAIRELKQQLS RRLVDAWRRELEHVGCATAARDGDPDEKDKEKEKERGQGEVQEGGGEAEPDDAAAPAS TAQEVCTQWLFDISLLRCCIGNAPGPASSSFDELEREAWQRSGLDEAPRQRIAKLAGD FWGRVNLLFGLLA UV8b_06549 MAKKRKAAGRSAGPKGPKEVDAADARLGPISTYEDVADSEDEYF IHKDRIMLEDEPDSKRRKHLDEDVELSDEEVLGYEDSQSENDHVDDDDDDDDDDNNQE EPAPRSKSKKTFKRNNDDEDGEKIPAEDDPGWWGSSKQEYYDADNIETEADALEEEAE AKRLQQKKLSKMREEDFVFDGDEWLTAEPEAGQDEPDVVEVLKDVEVTSDMTPDERSR LLSTRYPEFDHLARELRQLQPVLLGLREAAPRESGRSIQTVKYWVLGCYVATLASYFA ILASPARDAGKIRKALSPADLRDHDVMEALLRCREAWDRVKNLTAANNIDETTVSPAA ETDGEQVPGESLAQLDQRTKKPKPEPKKPTAGKDKAAAAKQAKRLQTAKAVESSIADL YDLPLSLKASKKSTKAVAVAVADEGKDGHHSDFGEEEALDARAAADKAARKKTLKFYT SQIAQKANKRAGAGRDAGGDVDIPHRERLRDRRARLLAEAEKRGKRDSKHGADLGEES GGDEVATADAMRGQEDEYYDMVAHKSKSKREDKAARHAAYAAASKADRVVETEQVGED GKRRITYAIEKNKGLAPKRSKDVRNPRVKRRKQYEAKKKKLKSMKPVWVGGEPKGGYK GESSGINTSVVKSIKL UV8b_06550 MADREGANADSQPPSPLASRIPFNLHRNSSGVDPDERSPLLLNT SRSRVRIDGAAPSPRIPQVSRNQSFSGSARNTRHHSRHGSLGQRLIQAFSDRATSMSE SKGSLFPDERVWYDQFTSTDWVHDTIADSHRAKALRSRKDFWGRARVAFDGCQGWILS ALCGFVIALIAYAIDVAESTVFDYKDGYCARAWHLNEKNCCPRGSCEDWKSWSQALSW SPLSDIWTDYLVYLGCVVILSCLSCWVALWTKTVVPSAYQLTTLDENLAAVPQRPNVD SGDDGSSLRPQDESRQPSPPMVYYSAAGSGVAEVRVILSGFVLHGFLGLKTIIIKSVA LVLSVASGLSLGKEGPYVHIATCVGNIACRLFAKYDRNDAKRREVLSAAAAAGVAVAF GAPLGGVLFGLEEVAYFFPAKTLFRTFFCCIVAALSLKFLNPYGTHKIVMFQVRYLAD WEYFELFSFIAVGILGGALGAMFIKASKYWAQSFRRIGVIKSHPLLEVFLVALVTGLL NYWNALVKEPVAKLLLNLASPCDGNDANTDELGLCPKSVDDIPAILLTLIAAFLIKGF LTIITFGIKVPAGIYIPSMVVGGLLGRIIGHLVQWFVLRAPDWAVFGRCATAADGSCI QAGVYAFIAAGATMCGVTRLSVTLAVILFELTGSLDYVLPFSLTILVAKWTADAIEPN SIYDLLTNMNAYPFLDNKHKPVFTSDLADILTRTRRERVIDISNSPLVPASTLRSKLE LLHRAGELDGGLPIVRHDVLVGLIPAPDLEFALDQLDNEQTSLCLMDRVPSIDDDDDD DYIDPTDFAQYIDPAPVSLDVRSPVDLVYECFVKLGLRYICASKDGQFKGMIHKKTFV KYVRELDENGG UV8b_06551 MSALRASGAAGPALARTARAALTTRHFATALYKPKNQEPAAPVV KTSIPGPKAAKAIKELDRVFETRSINMMIDYPQSAGNYIADPDGNVLLDVYAQIASIP VGYNNLRLRRVARSPEMINALVNRPALGNFPSTTWANLLKTGVLKVAPKGLNNVYTAM AGSDANEIAYKAAFMYKRQQERGGPDVDFTPHEMESAMNNQAPGAAQLSILSFKSSFH GRLFGCLSTTRSKPIHKLDIPAFDWPQATFPQLKYPLDQHAEENAKAEQASLDEVEHL IKTWHLPPAAVVVEPIQSEGGDNHASPAFFQKLRALTLKHNILLIVDEVQTGVGATGK FWAHEHWNLQDPPDMVTFSKKAQAAGYYYRSKDLRPNKPYRQFNTWMGDPSKALLFRA IINEIERLDLVNQTAKVGDYLFGKLEGLAAKYPEHFQNLRGKGQGTFIAFDNPRRDEF LVKAKEFGVNIGGSGASAVRLRPMLTFQEHHADILIGALEEVVKAL UV8b_06552 MLKSSTVDVDHLIAFVKANGIVPDWMNMQLPLGRNMSQCISVVE HMTAESRLPKRWSTGSSGESASNGLRGGHVAGDAHYPRAKNPSTPNTATPVAILPRPA SWLDQSYSIANPPQRAQPKKRGRPSRADKAKRDLRPNLPPHLAPRPYQATAQRPILPA VGPNGDLVRSRAQATSGYALGMDEGARSSKRRCLGAVAQKQPAGSSSAAHIPVTSSSA L UV8b_06553 MKGFEPWTNNGKWGHLVYDGLKLDSGCRRQRGGFGALRAVKDDS RTARNRSDVCQLAVSVQVGEGKPEADVTGKPQ UV8b_06554 MKFTAAIASSILLSGSTLAAPTTTNRSWPFIITSMGSPTPVHYG RLTAANMGFTINGSNQGAQCDKENDSATFQLQGSQLFLYSANNEVQQVFVDRSGMGGG AIGYFDNTKSAPGSHFELDGWSINHKDQLVFGNSKLAACPPYEEGGVWTVRVISTENQ AESKSCIHFDARVTPQDNPSSCIYS UV8b_06555 MDSGTLASVQASKNSLSPVSLNGLKSENEAVKPRLSRKLSQKLA EPEPRLYHHLANVTDESCRSFQVIRDCLYGSKHLGSTDNDALDCECADEWRDGENHAC GEDSDCINRATKMECVASGSNCGGGCQNQRFQRKEWANVVVIKTEKKGYGLRTDSDLE PNDFIYEYIGEVINEPTFRRRMLQYDQQGIKHFYFMSLNKFEFVDATRKGNLGRFCNH SCNPNCYVDKWVVGDKLRMGIFALRTIRAGEELVFNYNVDRYGAQPQPCYCGEPNCVG FIGGKTQTERATKLPTAVVEALGIDDSDGWDTAVAKKPRRKRPEEDDEEYVESVTARS LDEDGSRKVMAALLQCKEKWIAVKLLQRIQRSDDDRVIRSVMRMHAYQILKTTLNTFR EDHNVVLQVLEILDKFPRMTRNKIQDSNIEATIQGLIDSDDEQVASKSKKLLEDWSKL EMAYRIRRRKVDPNAPVQQNLFDDRRGQAREEETALSTPKTASPRPIDAPKGPKNSVP QRSLSFQHNPNRPPRRFEPLPEGWFTAKDARGNIYYYDKQGKTTWSRPTHPVDEGPKA PSKAIQEQLAIQSIIDRVTKEGTPKQTLSQPTRPAATTHDSPTKDPKREKWKSLPLDK QMKIYENTVFPPVKHVLDKFRHKLPKEELKRLGKEIAKKLVASDYKNRRVNDPTAALS EKQTLKIKKYVKDFLTRAVEKYDEHQKKQAPSSEPTNESRNAGAVRNKASFAGNSPKD IDVGDVADVADDDASDADADADADADADADADADSPSSHDRKRKREAGCPRDSAGATP SDGPDVKRIRPEDAAEPSPPPPPPPPPDSATDDGLCEEQKALKEQEEALMRENEEAQR LEDEANKARSMEEQVEEMPKDIIALVA UV8b_06556 MAKRRRLQRQTARQPHPKPKPHTKPQDARPRASRLVLPGGRKAV QANNANNANNANKRHGRHTPPAQVPVIPFQPQDRILLVGEGDLSFAASIVRHHACVNV TATVLERDRAELLAKHPTAEDNIAVLLGTAPDAPPATGASRSDVQDAEGATSPSTSSS PSPSPSPSPSPSPSHQPSKADRPAANNTNTNRLLYNVDATRLPAHVARPPYHRILFNF PHVGGKSTDVNRQVRYNQQLLVSFFASALRALAPGGAIVVSLFEGEPYTLWNIRDLAR HAGLQVDRSFRFQAAAYPGYRHSRTMGAVRNSKGETGGGWKGEHRPARSYVFRRKGEV LEQSGKRKRDDDSSDSDSDSDS UV8b_06557 MTKSTTASRGRGGTRRGRVKNFSDSRVQAAYERQRDLKATYQAV SFALKPALQELAERSVDEMLQKPDSYKQAGEYFPTVAQLKDKLDNKLRECDRRLECDL NLAKHTFSAEKYVTEKEFQNGVCDMLEQFYEGQENRLRILGSLHSKKLPVDIRDDQYE YRVISDAYLDNEFGIYECYKNGYLVPYPSRVIGTEMWRKHREADAAVTATRESSNSSA RGRGRGRAGGGVKRRAADQPDGQSAPKRSSRNTDDSQLLAHAPIAPAPMKGLLASAAN VDNDPEAALGEEESVPASPEPPLLNGNASSFTAHSPSRQLAREKSPQLPKSIGEPDEY GVRTYSQRPSMREKGINSRFLAPRPFLFDAWDIGFRDSSNDSTKGHTRAKRGKYLDTP NSNGIHFDHWCNGYDYSAARPEDFDRDLVKKHGVHPTYGIFLPSSKNESEPPDPYVMP GKPNVFVANPSGRISHASRSFQSTTNERRSEELPLRTKMKANLRRFCKISKIDVEDLS IADHVESKDDLRLKSLGIAQKELSSRPRLSETTSEAEAEAGAGAEVDSETATGAQAEA EADAVAEADVEAAREAEEREEEKEEEEAETGEGEQEEEKQVEEKLPSKEPEQPDGILS ALSVLTYATAYVAAQDVSRAAPPPAKPARYDAIRDVFTDSKPDPAPALESNSMKLKFL SELCNFESRLPGSTPERESSGQSHAGLVPASDNRAPLQRQEPPPVASYGRAPIPSRVS QEPPPPVYMQSQADRMTLPPAGPPHDRPAHDRPAHDRPAHDRPAHDRPAHDRPAHDRP AYPVPTPQQEYKPSVLSSGPAMQELACYYPPPPPPPPPPPPPPPPPSNGSYPPDPRPI DPSYNPRRLSSYGLENSQQPVYSRVYWPQQPPAPAPPGPLSALPTAGPVPPLSHQAHP TLPPALPASRMSFSQTLNAEPLPPLRPPRGRTQSVPEELSYDANMRGGMYSSYYPPAP SRSYSRGYPITEPHQSHLLHSMSGDRTLPKPQQGGHSYMGSPPPPAYASHIMSPTFCT ASGLTGGMMHSPPGTPHGGPPGPVHRHRSTPSGSSDAGSNKYRKLQPAPVPAHRAWSN KPELKTIPYDHKETGSSAALPSSGPTQIRGWNVNQHRKRGKQEKGDSSLDRDESR UV8b_06558 MDQQNRGQEHVGTNPDGGARARARALPPAQQILEPNGNAPAFDS SLLQHGSLLNPMASVMADSGPLLQDADVGGIPVPDASRLAPAMAANGAPMQIPPSTVS PDDVALYDRQIRLWGMAAQQKIQNAHILLITMRGLAHEIAKNLVLAGVGSITLLDGSL VTEADLGCQFFLSEGGESLVGQNRAEAASHALRKLNPRVQVHVDPQSVTAKGPSYFAA YSVVIATDLGPDTVNIINTATRINSRPFYAAGTHGMYGFIFSDLIEHDFVIRREASNV ATAPRQESRTRSVVHVSTETRGNKPTELVTKRELYSTWYLASDAAVLPAEYTASKRRL RCVTPALSCLRALWEFQLLHGGRLPDILSREDLRVFTQIATQKHKALSLPSETLKPCV LRKFLQNLGSEIAPVTAILGGQLAQDVINVLGQSQQPIQNTVIFDGTTMEAPMYPLHP EMDLGASLLSVSNLNAHAAAAANANPTVGNGSNASIVAC UV8b_06559 MASRSGAGSNGNRQPRPRDARRQFRPVSLPTLPSLRTLNIERRR PAPPLPPPPALSAANHSADVATNDSRPATRYWSAAARRAERIRNLDDRAPSLDDLESN AIDQSWFSTARASLRLRPIHTTTASSQHHWAPNLDELDQSLDEANSQLRSLLDMTNHI NLMTPLLPAGLSPVTRSHDFPDDTIRNKRRKIDSSRPVPSFKGFRYGKYGQVEPGQLQ MEMVSCDGGMFSNESSYAAENILKDDNSVYCTKGNRCNIVLRHQGATVFTLQELTIKA PTSMSYSHPVREGMIFISMDQDDILSRTAQYQIQYPPSSHHGNWNSNDSSAASVSNSR QAERDPTPRHIISIRHHDDGTTSTRVHRSHPPHIFLHDSRQSQHGNRQTHQDEDAGPD HSLPEMPQEFTTNQPDFNITTECTSDEEEGYEVPHVLRRAPNRIGSLPFETETSDSED TANPFSASELAEAFPQQIPQLPPMLAIQGPSHTVPHEREGERDHSSLSLSEAWEAHAT ATQEAVRAVGGTLLVPHARFFIEKKKSKCTIRFDPPVSGRFILLKMWSSHHTSHGNID IQSVMAKGFAGPRFFPSVQLS UV8b_06560 MAKRSISIACWVIVFTPQLIANWRSGSAEALSIQFVIVWLIGDV FNIAGAVLQGVLPTMIILAVYYTLADIVLLAQCFYYRGFTLRDEPTSPIVKPCSQTNG NANANANASANANEQTSLLGHADHAERRGSDWTGLSPVVPHISNIEQPSPPPSKLQTV VWNAAIVFMVCVAGAVGWFLAEQATRGEKEPLAGGQDALAFSPWGQFFGYLCALFYIA SRVPQLILNYRRKTTEGLSMLFFIFACLGNVTYVLSIFAFEPQCKHHECKPGEAGRIY GKYMLVNLSWLAGAAVTLAMDLVVFCQYFYYNQSEKDRHVEHIHGACFPHGQEPQDRD DPPYDERPILPRVRSENV UV8b_06561 MLTRRFGPEVINYFAGSRLNRYSFLRSDAAFLQRAAAAPSSRFV VLSDLNPLVAHDDSSSSSSSSSGKLALLTWDDIKPLAGGAPFAKTDAEAVERYDSTAP SPTLLVFLGAVDDNASHQRHVGGAVCTTATSDHGHLHGQPFFAIDATPRGKRRDATRA WLESQEAKGLRIATDTRRLTLRSEHAAIFAQARSIMDWNTRNTFCAGCGNRNISVEAG YKRLCPPTDLKGGPEPTPLNDCPTRHGVSNVCFPRTDPTMIAAVVSADGQRLLLGRQP RWPPHLHSALAGFLEPGESVEEAVRREVWEEAGVRVGRVVIHSTQPWPYPSLMIGAVA QALPGDGETITLNDNELESAAWFEMDQVRAALAQTAATLGSPAPKGYKHGDLRVPPPR AIANRLMTAVVEGYLSGHSKI UV8b_06562 MSRQPTSSERNDLFQKLKPCCVQISQLAIREAEAPGTSHQLQVL IQQVLNILRQQIQSNPSILDEKIAEYVFFPLYHIFRQMDRYPALLTENCIKCLELLIH HGWKSKISANLVQQIFSLLVFILDGVPGAQKERRDVPEELQLEVLRAFGALLSTAGQS ANAISGLTQQDFIPALGHGITVMIDSAIDGINPQVQTEALGVLQAVFTRLRDQATLAN FLPGVVSAMAKLLSTPNRHKTLVLAKCLAVVQTALTQVLGDMRTRSVLPKEGNKVPEV GHNTKEVPLTPDWVKETSAQVKRALASMIKLRMHDSETVRDALGSLCISLLDECHASL SNCSTLLVETAIMLGVEDNEFPASTATQVDLSYLATIYPELADTVKSTIYSWMSSLPR LMQTGDEDAKTAAVVNLSKGFQLLQQLAVESSTLEDSMADTLRDSLVSLMQDSRQPRP KPHLQLLDESGNSKESSPEQAFEPILVASESQRKLRQEITSLIRRIGSSSSGRNLAVC MMDHARESKSADQVASLWLCFEIVRAANVSNAESERYLDFPAFSSPSDDVELVSEDLY SYSVQILETHEDSPSSDWRLEAIALEVVAYQATRQGELFRPHLVDVLFAIATFLGSKN QILQQHAIASLNTVALSCNYANVAELIIDNVDYMVNSVSLRLDSLDISPASMQVLLMM IRLTGARLIPYLNDVVESIFGVLDSYHGYTSFAENLFSVLKEIVDQASHADGLLTEGE RNMITHKKEPRAIRGMSDLMEHLDRREARRLRDQAEQTTFEEIKGHPKEPWKSAFSDD THGEAEASQPPQEPEPPPNSPTYELLHRISCLTQHYLTSPTPQLRRSLLELLTTASSI LGGDEDRFLPLVNAVWPVVVERLRDPEAFVGIEACHALSGLCAAAGDFLSTRFKTEWG DWLKEWCFKVKKQQGGANAKRPTPSSHRERGSNIDKGDGIMIPLRSGNGTEIRGVVMK SAGASAGSLGQHASQAKMWEAVVQLLTAIALHVRTDGDMFDDMVELLVDVLESNQEVR QALETVNADAVWLARYEKGRVEHVATPVMEGVKFADMKVVAPPS UV8b_06563 MTADDGPFPVIVHPYPSPKHTACAYELAASAPKNAVIFIGGLGD GPHTVPYIRAVAKHLEAAGRHLSYSVFEIRLRSSFSGFGTSSLKNDVEDIAALVKYLR DIGREKIVLFGHSTGCQDCIEYADYFKHGNPQVDGFIMQGPVSDRESIIDSFPDCQES LALADQWIADDRAQDCLPSDKVPRALGAPLSAYRFKSLVSKGGEDDYFSSDLDDKTIS IFWSRFDRPVLVLHSEKDEFVPKHVNQASENKRYQDANSLVSPLSGVIPGAGHTVLEE TAREWLGQRVVDFLRILAK UV8b_06564 MISYASQQRGLLHTGSFTGYRPFQQLGSHYFSISGSNQALCGNE EGWGPISKYRYDFTPCFVDVWVATVSVYALILGPLAIWWLLRKKQSTESTTKNAHFWI KQSLLAFLIADVAVQLGIQILGMPGLWFGDFRVLTTVLTICSLLVIFSIQWIEHSRLR HANGVVLFYWLLLIISLTVKLRSLISQQVYDANLPYFTAFCVGLGFSVVEFLVEWLWP RQHVPSGYEAISEDEECPVEYATVFSRLTFSWMTPMMRYGYKVFLTEADLWGLAKSDQ TKTTGLSFEKAWARELKKRPKSPSLWLVMLYSYGGPYAVAAFFKIGNDISQYIQPQLL RLLLNWVKSYHAEDGTKAQPVIQGAAIALAMFGCAVFQTVMVHQYFQKTFETGMRIKG GLASTIYRKALRLSNEGRSTKTTGDIVNYMAVDAQRLQDLAQFLQQAWSSPFQIIICM VSLYNLLGWSMMAGIVVMIVMTPAQGWVARVMKNLQKDQMKNKDARSRLINEIITNMK SIKLYAWGAAFMNKLNYVRNEKELKNLRRIGATQAFANFTWNTAPFFVSCSTFTVFVL TQDKPLTTDIIFPALALFNLLTFPLAVLPMVITSIVEASVAVGRLTDFLTAEEVQPEA ITIGPAPQEMGEETVIIRDGTFSWNRHETKEVLKDIDFTAYKGELSCIVGRVGSGKSS FLQSLLGDLWKVKGSAEVRGTVAYASQQTWILNATVKENIIFGYRYESDFYEKTVKAC ALLDDFAQLPDGDETVVGERGISLSGGQKARVSLARAVYARADIYLLDDVLSAVDSHV GRHIIDNVLGPRGLLSTKTRILATNSIPVLRQASFITMLKDGSLVEKGTYGQLIAKKG LVADLLRTAGQESNDASGSCSPPSSDASTINEGETSNSQGSQDSQDSQDSQDKEEIDE ALEEVPEMEPIKGAQETGKKPRSSSMATLRRASTASFKGPRGRLTDEEVAGHSRTKQA KEFVEQGKVKWSVYAEYAKENNLAAVAMYMFALLAAQFAGIGGSFWLKSWSEHNEDAG TNDHVGKYIGIYFAFGIGSSLLTVAQTLILWIFCSIEASRKLHERMANAIFRSPMSFF DTTPTGRILNRFSSDIYRVDEILARVFSMLFVNVARSCFTLGVISFSTPAFIGLIVPL ALTYYSIQRYYLRTSRELKRLDSVSRSPIYAHFQESLGGVSTIRAFRQQERFELENEW RVDANMRAYYPSISANRWLAVRLEFIGGVVILAAAGLAIISVSSGSRLREGTVGLAMS YALQITTSLNWIVRQTVEVETNIVSVERVLEYARLPSEAPEIVAERRPPVAWPARGEV DFKNYSTRYREGLDLVLKDINLDIKTHEKIGVVGRTGAGKSSLTLALFRLIEAVTGSI DIDSINTSSIGLLDLRRRLAIIPQDAALFEGTVRDNLDPGHVHDDTELWSVLDHARLK EHVASMEGGLEAKINEGGSNLSQGQRQLVSLARALLTPSNILVLDEATAAVDIETDAM LQATLRSPLFANRTIITVAHRLNTILDSDRVVVLDKGQVVEFDTPGELFKKQGVFYGL MKQAGLEIE UV8b_06565 MPAALVGRAPSRNHALKLSNGRQAPRSSPLPSLLCGGGEGGRDK RQSAPRSVGPLRETMSYNRLGTPYS UV8b_06566 MVRDWPRDSFPRQPSFQQHCFPPTPRHQFLQQDRYVGDATQRSD SFQQDRYAIETTEHNRFPEACDMHRRHPPMQIDEALRSHCGIAAKQYFVAAISEDGKP MTFFSPGQKLNNAVIRQFFDMGRFQHVMARIDAGADPILDDGFAAEDITANRTGSRGR MRGSHPRRTSIFEEWDNPLRPAGRKRPRPRHPLNDDDDVPMTVSSRKGIKINDADAVW NFYEQRFKNCQQTACKLIAKAWVKAVEPKKQSTHPYTGSDEKAPDWWPKPWGPTKDDK VRHKEPDHLYKRERVHLLVHILRLVVEPNVRQHGDIQKLGLNVKKLEETSYEALSSFF MDNDTNARKRPYLNEIFKMARQEERFRNGEIDGSTEVYVMAEDKIPDNYASDNEEGPF VKEDDDSDMSRIKVNNTQQHCVAQTPTTGPTPTQPLHGGHGSFMSELPVRGSSFTPTM LSTEMAPQPHSFVDSSGITVTDQAAVTAPNPALTMDIVTSPHDTSRRPSVFSEYTSPG GSNLYTPQWQQSGSAGQTQSPMYAYAPSQANASQPPFLGQTVHMGQNQSFIGGSFEET SRTDYDAHGAPIFRAGDLPHPPVSQQQGYYVPNDGRNGLRGLTQVVEGVPRSQM UV8b_06567 MSDPIVTEETDLSGLTGSPGHKRKREPDSPGAQRHKRAAPPAAM TADTASFIDSAIEATHAAAANGVNVDDFNALQQAAAADHADHADASDPSNATSTAQAA LGMYPTLHVPPSTEEQFAAQATADGDHHSHEHDATFNPEAPQADMMDPSAAVNPPQQP PPNGVPAAPGHRYSTTTAAAAAAAAASSSSSSSAPSNPKPTVGSEEWHKMRKDNHKEV ERRRRETINEGINELAKIVPGCEKNKGSILQRAVSFITQLKENEQQNIERYTLEKLLT EQAITELSASNDKLKQECERLYRELETWKRVAQNAGLEPPQPKPEPTAATSN UV8b_06568 MLMDLHSALEAARSSRLEAQKHSLCQRQRRATASSVLREANGKQ GRIASRADGVNPGPDQMNQARDGDDAHAVSFHHHVAMGWGVMMMMIRCGRRTPVSGQG GGLRNQRGRQASPSRIAAAIMRGSCKPSLNGL UV8b_06569 MADHDQSTLKHSEHLLLDQPLLRLPHELLRKNFRSAHFTIEKDT SALRALLKESATAAVSGRASQQDVLRNLDAMISRMRGVKRKLAAYADEEARLHHQTAA RIAHLDELYTMRSVDDVRYEAWSRRRLDRLLADYLLRHGFKETASQLAEDKGMRDLVD VDTFANMSRIRESLLKGSVAEALAWCAENKKELRKMESKLEFMLRLQQYIELIRTQAE PKLVEAIAHAKKYLLPYWTTYPKEVQQACGLLAFPPDAPASAAYSNMYKPARWAELAD IFTTAHSNLLVLPAVPLLHVALSSGLSALKTPACHSSATHHGQGTSTLGHGVCPICST ELNELARNVPYAHHTKSHVEHDLMLLPNGRVYGSQSLQDQAKKAGLPETMVKDLQTGE VFAAEGLKKVYIT UV8b_06570 MPPQNRGFGAGPAVGAPFHQPGFPSHGQPQGVPMGSNQYLNTNT QISPFAGANGNAFGGGLNGTGFADTGFGSQSARMGFAHGPGTAGLQQAQHVSQVQHAG LLEHPAMRTQPNKGRIREVWKHNLHEEMAVLRDLVDKYPYIAMDTEFPGVVARPMGGF RGKSDYHYQCLRTNVDMLKVIQIGLTLFNEDAETPPARPGPDTGLGPAARRTASLGPF PYSWQFNFKFSLKEDMYNEKSIESLQQAGIDFAHLERDGIDPHEFASLLIPSGLVCFD NVRWISFHGGYDFGYLTKLLICTPLPNDEVDFDHKMKLYFPTTYDVKHLMKYAIKLHS QGFLTPSDPGSAEILNKFEHKSGLENIAETLKIKRIGAAHQAGSDSLLTGKVFFQMRD KIFNGDIPEAHIGRVWGLGVPDGPMGPMALMMSQAGNDGGMNGGGAPSTPNTAPAGLV NSPAAAQTHNSNGMPHMGPMTPGGGGGVFGSFAFAGNSR UV8b_06571 MRTAVPQARLAAAKGRRHADGSMAPSKPDADVAAGDDAVPDAPH EDDDTNDAVSNAPGDWKRRSSGCPDSCSFGYSRTGRPGEG UV8b_06572 MQKPRRLAAPGVSMEASEKRREAARSGEKRRDDEGVQEAGKSRV ADGLLRAEGLVDVVDVVDVVDLVEVGGDDLV UV8b_06573 MRAFKEAAGQPRYDPWERAEAWRYTGTFSRWNRFKNALPGFGTA TVAFAGYCVYEHFFMQEEHHGEAHH UV8b_06574 MSSPSPANEQASPCETSAQGKNASCQGLGISIRSNRGSEPSFNE KRTGLTQFSQTALPATTSGSPLNRPEPHSPVKQHSPLGKSAVPGQSADSDDQDESFSS RTAAWCTPDALRKRQGRMSLTGDKIIEERSGYAQERPGTWYARHNSAGPDRHTESQHL APDATPSKPRPSSLVTVTPAPAASNAGRPNVKSPASIPRFSFFNMKVLKGFATGPVSP VKDDELVNLDIYSALFPKGPPKDGEPFSPAAFKNLEMSAVGLLRRFQSEYQDKAAACQ ELRAERDAQQDERLENETRIAHLKLQLEDMAQRAAKTEATMRGLMEELKREKKLKREV KMARANGVITSSGTSSISEDLCAEDDQREKQRRRRSGGTTKSDSASGDTDDESVDELS LFSRPRSPTLAVSINCSDPIEGSTREGATNTHPFPQISKCAVTLEPPRVARQSEPPMS AFQKLVRGISGETAYLRAGHGCESCQGQDASIAWDTASLLRDENRGLKRRVGELETAV EEALDAVMGFNL UV8b_06575 MHVPKSLPLMTSHLPDSELLGFKDQGNHNHDGGKAFIEKTQTIS RRSSKHIHDSRALTTMRQGQLTPWIVRVELLVRETAKMASNHVAITPTRTSTTKAQVR DSSKSP UV8b_06576 MSSLWNAFTSGNKPRHEHRPEQAHAVHSTPSPATPATPATSSTD SFDPSLGQGVEAFLHSSTFSDPSQLHPLAGLNKDTLEYLSLEDSALSELPGGQSVLPS RGFTDDLCYGTGITYLAALSIGGAWGLKDGLQKSVGQPPKLRMNAILNGMTRRGPFLG NSAGVVAIVYNCVNSLIGSLRGKHDAANSVVAGVLSGMVFKSTRGARSMMISGGVVGS VAGIWAIARRAFFPLPETAASIENL UV8b_06577 MPQAGTDPESFNPKLGRRKSGDFAPPRQVRFEDPKLKSNPPPPP ASAPAPAAAPPPPPPPPPPPPSSPEHSTETKTQPDSHPQVGLPHLNRPPSAPWFTAAD PTRSLNCQPLAPAATAATAAPVLLHQHPNFPPNLQYPLFTNLAHHHQSCVPGIACRLG LGHTSASNMGDYQNCMPPPTGVNFQPPAPDTTFGPIPHVYVPRFDGVPVAGLHQPAAA AFPVAQQGYVGIAQPSYVPLAQPQPVVINGQAYVSAPGIPGQPMMAPPVMATPGGGIG VAPAGAIPIIPGNASSNIPDVAGVGRTPNEETLRQVEFAYNNRLFEPQDFKPADDDPS RFYYVREVDGNWTQRNRFTIDHMGNCRWYVTDEGWFYAVRLPD UV8b_06578 MVLPRRMSRLVALTAIALVVLLLVRLMPGDVVPSPLPPTADQRE AANGPKAQEPASSGEGTFSSSSSSSSSSSSSSSSSPSKSKSKSKFDWSKAKLFYPPAS IKPLPTGTPRPMGRVQASPDAFVQTPETKRRQAAVRNVFRKSYNAYRTHAWMKDELMP VTAGFRNPFGAWAATLVDALDTLWIMDLRDEFREAARAVAGIDWSVTPDGAANLFETT IRHLGGLLSAHDLSGDKALLAKAVELGEMLYHGFDTPNNLPGFWLNYDEARTGALRAG TNDPSASPASLCVEFTRLSQLTGDSKYYDATDRVTRFLQRTQNSTRLPGMWPIALDFR NEAAGADARFTLGALADSLYEYLPKMHALTGGLDPTYEQLYRGAADVAARNLLFRPMV PDGRDVLFSGDWVAGSGGSGGSSGSSTGETGHVVPESQHLTCFVGGMFGLAGRLFAID EHVALGERLARGCAWAYAAFPTGIMPEIFRLIPCADAGHCPWDESAWQRDGDRSLPRG FAGARDPRYQLRPEAIESLFVMYRITGRQELQDMAWDMFRAIVNATATEIAYSTISNV NAKGETEKTDSMESFWLAETLKYFYLIFSPPDLISLDEYVLNTEAHPLKRPAVYQHER AKAPP UV8b_06579 MPQQQQQQQQQQLASIGATVEDTVPVFSTLLFEAKTAKNLTFAQ IAQHLGRSEVACAALFYGQARASPEDVDKLSSLLGVPVAELAEQLTAFPNRGTSVPMP PTEPLIYRLYEVVQNYGYAYKAVMNEKFGDGIMSGVCFKTDVDKEVDETGAAWAVITM KGKWLPFARF UV8b_06580 MLLIGLTGSIATGKSTVSSLLKAEPYRLPVVDADVLARKVVEPG TNGYRAVLEHFAATTPDLLVQPSDSMPANGPDGKGRPLNRPALGRRVFGDSEERRRDR AVLNGIVHPAVRKEMLKEILGCYLRGHWAVVVDVPLLFESGLDRFCGLTMVVAVREPE TQMKRLMGRDAHLSRQDAENRVRSQTDVRIKAQRCLERGDGKGVVLWNDGPKEDLAVQ LDDAVKQMRARSPEWWSWVLLGCPPLALMVSAWRLWQNMAINRRWEESQTDAKAKL UV8b_06581 MARKGRADAPSLFCEWVVGTTLAAALGPIPKKPPRQRDVVTVEV LTDDEAREDTLRITYPRTGARENKEAERAGGGSAKKVVRFHHDSRKSALKKDDTTDSE ADTSDGSDDADAEAERAKAAKAKGESFDSDEDESEPHSTCTCTHCIRDRRKKQRQGRK CAKREKAAPQKPGREKKSSKSKKKEHKKKKKQKKRSDSSGESASEEEESRGSETEESA KDTEDDSDAVKSRTPGAASRGQAGNKSKDTDKKKDETPTQEPDPVKAAQAANDKEDKS ERDSQKEQQAQAAEESKKPSTPEPSNAVKYPAAAFHAPHAPHARRPNLIEPIRAQVVH TERVIETPEDPFPNAYYDSENHIVRIYHGPVYGHHGPSLYPRYNPPVAPAPAPVGVPH PLHNPYYYHGFGRVQDPSGLAHVPIAQGVPAAPPWTTTAAFCPPPGFAAAACPGRPAD NHAANGNRSRGAFSTFDAGPNAAAVAGGNNVFPTANDSNNNNNNNNNNNNNNNNNSNS NPYYTKKNRSPFSTYGGSKRAASNASTKGSRPGRNDQPKPSSAPSPQNLPEDAWADSN ANAWNAAGQDAWSSKHAQRSNSHPAAAFDATRRDSGWNNAPSDAAQLDPGQSSPWGPV PEPPPQGNDNAGGWGETTQQDAVPSRHEAAAWGQPGQGDRSQALVDNNNVMPGGWVTP SAEPSSAWADPTMAASTGGKIDLW UV8b_06582 MSPEQPPLAQTRSDADADADADADADADSQNATGNAQHLDRATA AECHLARDETSSEDHKPDPGREQNQTILTQSHHDHESARIRDRELRPEHIRHIVRLFQ CPHCSKPFKHPVTLPCGKTMCKACVPETHLRPSITYPAVPDRLQGFRCPFEECSKVHA LSDCGLNVVLNKAGELIEAEISRAKVAALELGLNTTIAFKYPWNAHADDFTTKTSPGG RLVSTWTLAASGELAIQSDATYLDTSSTAMQTNLPDSDAKSLRFIQSTIRNEMDCQVC YALFHDAFTTGCGHTFCRSCLHRTLDHSHRCPMCRRTLAINPLMNPESCPSSDAITRL VALFWPDEKLAREEAVASETAARHEDMDLPLFVCTLAFPAMPTFLHVFEPRYRLMIRR ALEGNRTFGMVLPKRPRDADDWHFHRLGTLLRIINAQFYPDGRSLIETVGLTRFRVLR HGELDGYAVAKTERIDDVSLEEEEAMEAAEVAAAIAAGRAPDISVGSVGGSDDSASGQ GSRSADPGADDASATARPAAASASDLQAMSTQNLMKLATDFVGRMRSQSVPWLTARML SIYGECPNDPAIFPWWFASMLPVKDLEKYRLLSTSSVRERLKICCMWISEWETTRW UV8b_06583 MECMTMVQPTHHFTCRLQYSSLTSVAAASPKSPKPTQPMPTSRA PTPTPTPTSTPTPTSNVNVNGFPLALRFPQRISTRPCPARLLFPAPLQWRIRQLPAFQ HRAAAAAAAAAAAAAAAALVLRRVCVLTHMV UV8b_06584 MDQDSPPVVRPIPRRPFHADLANVTPPDDDGADQHVSVSGQPNG QRSSGLLTTSLDRSESIPPSLISPPSFKNLTSSTLMGIYSEAAANTRESLLDDNEELD TPWGTGARTPIQRPSIDEATYRLMRGRSHVPPQCSSFGPYAKADTAVTQSTSEAALSL LLRGALLFALGLGYGVLITRLHSEQNHTAPMRDDSIMKPGSNWKYLNSWGVAGVALGS LLPWFDTVWEGVFGSGTEKSARNKDAAPGTDWALVMRAIGAFVGIVFAIRKIPWVSTL QVSVALALVNPTLWWLIDRSKSGFVLSAAVGLAGSVLLVGLNPEIMPAPSGLPAQNAS GWFGSDARTLDGFAHQQAVETGVWILSVLFCSCVCFGNIGRRLTWNRSSGRWGVIR UV8b_06585 MASAKSHPDVEQQAVADAHLGNATVRSISWRGVTVTVKDRASNK PKKIVRDAAGVVGAGEICALMGPSGSGKTTLLNVLARRPAGAGDVHVEARVLVNGTQL SESAFRQVSCFVEQEDALIGSLTVRETLDFSSRLASTSSLPRKERLVRIDSLLAAFGL TAQADTLIGTPLRKGISGGQKRRVGVASQLITGPKILFLDEPTSGLDSAAAWEVVKYL RAVAKKHSLIVLCSIHQPSSATFNLFDKLALLSAGQTHYFGPVAAVVPHYRHLRVDVP NYVNPAEFLVDLVNADFSHDAVSASRTLSDLHARWVASPQSTAAAAAVAEAEADRRAV SLAELGGPSRARVTLTLLRRSFIKSYRDVVAYGVRFAMYTGLAVMMGTVWVRLGAHQD SIQPLVNAIFFGSAFMSFMAVAYVPAFLEDRLQYVKDRRNGLYGAAELVVSNLLIGAP YLFLMSLVFSAVSYWLSNFQPTAAAFFTWILWLFLDLLAAESLVVLVTSLVPSFVISL ALVAFANGLWMSVGGFMVPPTILNPFYKYAFHYWDYQKYVFEGMMVNEFSRRTYSCGD GCRCKLESPLARECKIAGQAVLDQYGYSDETTGRNVGIMVAIIAGYRIASWVALKLRG UV8b_06586 MTFQAEADTDPFSPPQTQPASMSATSSPASQAHSTSSTVPIDAS DRSSNTDPGNDGRSRESTVPAACLACRSKHLKCDGQAPCARCVSTQSECVYVASRRGY KGPRRGAAQNPNKRQATSPPDLSPGPGVSDCPMLLGARTGASNMPTISGSAFIPPTLP SQAVTATQYAGHAQPTNMELYKSYCAVNEIDPAWVVNSSGSRIFTHSTGPSIEEKCFD SFYHHFHASHPFVLPKPFLLQMAKEVSTKALFAAIRWVGSIFIDAGASTRERLFDEAF SLVQDPGRSKDGFLVQAMMLLIVGLDGGCQQEKARLILNDAETLALELGLQTRDFAIS HGRGIAVLEESWRRTWWDLFVIDGMIAGVHRVTNFLLFDVPAGAALPCEEDQYLTASI PIPLYLDDLEDQDFSGEDREFSSFAYRVLCARNLGKFMRVGPIFGSDDENLAKIESLL TNWRLHLPAAKRDALQKNGKLDEMMFQAHMMNQATSIMLHQPHSQLDSTPTQDINSCA PHQAIQAGDLFNSHTRHTIQSANSISNMITHRVPLLSHTHFFTCVITLSSIVHLSRWA LFFIPHDDDDLRQQVRLNIGALNCLSEVWGAADRARGQVKAVAQEIYKAKKQQRENSQ FWLGLSQQEMLSTIAADDSIISEIESYEIMPTLLG UV8b_06587 MSMPAVDQGKGTTGTTTTTTPEPEPAPAVVPQASPHTEQPSPAK PMSVDSVHLRGGDDRLALCCGICGLCFLDCCC UV8b_06588 MAAEAKPEIGAIIGGTVGGVAAIAIGVSCPSSSSFSPSPAMQQQ EQQQQQQKHRRIHRTNNISKSRPCLNKRQSVFFAAAAAAAAT UV8b_06589 MASYRHQPMPHPGSRHGPQGGYAPQSTPHHGAPPAGTFSPGTKI QVGSHRVVIQKYLSEGGFAHVYLVKLPSPVDGTDLAVLKRVAVPDKESLRGMRTEVET MKRLKGHRPIVTYIDSHASELKGGGYEVFLLMEFCDGGGLIDYMNTRLQHRLTEPEIL HIFSDVAEGVACMHYLKPPLLHRDLKVENVLIVNCSSSKQFKVCDFGSAAPPRPAPTT VVECRLADEDVQKHTTLQYRAPEMVDVYRKKPLNEKSDIWALGVFLYKLCYYTTPFED QGQLAILNASFKYPSYPVFSDRLKKLIGSMLREDMTVRPNIYQVLNEACDMQGIKVPI HDIYRNQSQGQGQAASKASPTNPPQSTREPIVGAMYHSPAEEKKDIPTVVPMRRGRPT VQPQAQKQGSRSPAPKVTNGDPFAALDSKAGRRLVEEDEIASRFPTLDQFTLFHEKGS KFDFDTSASSPSSQDHVRNKRLTERLADEAFSLSQQTPEKPLPSSRPHSATPAVQQPQ PAPSPPAERPPSKTPTTLLGTETHRSGRAPSIVHNNRTTSSQTCPEYVSTGTMTSDLP SRASTPQANSPYAQVLPERSASKPSSDAPLPSVHPPHLRQPSPSSRPSLEDNRHQAHQ AQTAEAVERSTAFNTRPRPASTSFESSTPAFLRENRSANSQKISLHAGSRAVPQPSDT HPTANSQSRADSPNITEESSFEIGGPNAEPRGKKFVIGKRMTLPTPRVLNTHSRKFDD PVNQLDATSSHGSGIANRADSPSRFVGPPNPAAAINREPAADQSVNALDDMNEDGSKP EIRRELERRKLEEEEKRVTAAQAEYRNCVSSSGRPVPGPKNVGGPPRTASTIQSRMQS LLNEEHRPVSIQRTAQGYGKYTDAPSECTNQKPPAATKRKSVGAKPIAGAPSKAVNAI NSNKSHVSKMAMLAPSTSPKPAGSKPPAPKKKPTHLNSFPTTGHAESPVKQVLTAQSE QLIAIDLAGQPILEMSAKDKEDYIQDFTKRFPSLSSIELESQQGRGGGSR UV8b_06590 MAKRKRQANSGGETTSEAVQPKKPRGASGSKSTTSTTTQAETLQ IIVGSYDQVLHGLTATVEGESAKFSDTFLFNAHNSAIRCVAVSPPSAAAPGQTQKVLL ASGGTDERINLYTLSAHPPSSKRKNALADVAPRPILENPKNRELGTLLHHTSTITALC FPTRSKLLASSEDSTISVTRTRDWSVLSTIKAPVPKPQGRPSGDTAPFGGTPCGVNDF AIHPSMKVMISVSKGERCMRLWNLVTGKKAGVLGFSRETLQEIGEGKHSTGEGRRVVW GNVDGTDEFAVGFDRDIVVFGMDSMPKCRIMQQARTKVHQFTYVTVDEESGRALLAVA TEDGRIVFFSTRTEDLSPPTEVQGKAGTLQVAKQVGFVGGKAEGISGRIKAMVMIPSE AARGTVYLVGASSEGQVRLWTIELRSLLAGMSKKKAGEKPTGKLLGTYETQNRITCVA GYLMISRPDGAEDSEAEDPFDEDDEDDEDDDDDDDDDKDDSEDDDE UV8b_06591 MAPKGDQFSAPGSASYASDTMTVGDGTWDFSKNTFLLPNLQGVN FDTMRYNGMGNRFATLPQYHRIVLAHGIIAAIVFLLLVPLAVMLARFYSREPGYAIVY HAQLQVFSGLMLLSVFILGFFAVGPERNLTNPHHGIGLAIFVLFLLQLVGGRLVRHIT KLRSLRITIHQWSGRAIALLGIVQVPLGLALYGSPKYLFILYALWMTFLFIVYFILNY RAEARRELFMHEARSEAGKTRVTESEYFSQGPRHDRSGRMKWLGPLAAGAGLWALMGG KEKSKDRRHRSPARSRSFVSSSRGPEVLPSRRGSESYVSEKYSEPPKKGRGGFWKAFG TVAAGIGAGKLVSGLVNRRNDRRDEDYSAVSTETPRRYASRRGGETVLSEFSSDYTEY ARRPDETQTSLLPPSANPAGRGGPRRPITPEPLHSRGRGDPEVSDYTSYVSPSRRPRD ERHGGGLAKGILAGLGMGWFAKKLADRRARKEEDRLREEEETRSGVSGSRFTGDGYPS PARRDSRRPLPVRRATGHPGTTDISEMTDVTESTMEPGPGAGSYTAGPSTHTGTAPAV VPLPGPKGHSRSRSRSQVRNERVSMPTMPADPHGILHSEAASHVPSGDGRPERRSSSR VMRRAEEGAAAATAAAAAPASELAASERDQYGSPTSQPVSVKVKMHDDRDRNVTLRRL TEEEARATRSPRNRGDSESSLSGLDSPSYGRRYRRDSSQRRAERSAEKRVEEEDQLAP LSPPNPAFAKGTRKKKDSAYYSGQPGPSGSSPMGQRTVSSLGGESMGTWSGMSQSPSG PGRGPESVAADDRRRRRRLERRGAGSNRPSELDMFG UV8b_06592 MPQRGLKTKAKAKTSNPAHPPASDYKTIANEWAKAKRIQAASDA EAEALGLPSQREAAQALRNKLNRLRHDSYQRRWWWTVAFWAWLLVIHAVGIWLFTSGF LLTRLVLEDKSSCAVPPIDGEMAPLSIDKGCWHPKTFERAVVIIVDALRYDFTVPQDP AQQHDFHNAFPFLYETAAEFPRNAFLRPFIADPPTATLQRLKGLTTGTLPTFVDVGSN FAGSAIDEDNILMQLKKAGKKIAHLGDDTWVSLFPGYFEPNISRAYDSFNVWDLHTVD DGVIDNIFPLMNPEAEGQWDLLIGHCLGVDHAGHRYGPDHPAMTAKLRQMDDFIRRLT SEIDQHTLLVVMGDHGMDSKGDHGGESDDEVEAALWMYSKTPIFGRTLPEFLTPPATA KIRPVNQIDLVPTLSILLGIPIPYNSLGRPIEEAFCGPNGDDWANLAAVSRMASAGIE RYQASYFRARGMTRGSEADSPAALWAEATKVPTPPHHEDAYKAFTRFQERTLGVCKDL WARFDVPRMMSGIVVTAVGAVLLMMYGSREQEDEFVVMNDVELDYAEARLEVVDFKGD GADPHVDQFYHKKMLRRLWDPRFVFMVGSLTAAALYRQQQRIDAVATAVVLLMLTAVG VSLHGCGKTLGSLVPRTFWGWLSVIFTLSHSLGFASNSYTIWEDQILLFFIATFGLAA IVSSLRLESKVDRTMAVYHSVVFILLGRLASYSKLCREEQMPFCVSTYYASVTTTVSA PWQLIIPFAVSVALPSIVKSFLTPSGSYEGLAPTWIGYVMRTGLLMSAAYWTIDAANN GSWLDGRLTEAALKSIGVYLAQVTLALALVAGTTAFIWAPPSVSIVSTFSKSGQARVA ILGYSNVLGSRYLLLPLNMLGACLLLTKPMGSGALALMMWQVLSLLEIVDLGAIKTEP IGPVMLAILGNFYYFKTGHQATLSSIQWDSAFIPLFSIRYPWTLIVVTLNTFGGQVLA AVCVPLLTMWKVGPKQKGVLEAVTRSLAVFVAYYVVEALATMSWAGWLRRHLMLYRVF SPRYMMAAVVLLTLDVVVLLVALTGVRTNTLSVGEVFGWAD UV8b_06593 MWAGGEVVFHPGWGDKLVLDGRPWSCDERIGEVVLRNDKVFVDV WRRYGLGHGDPGARPRWDIEERRTLVFLKSAVAPSTRLIRHGHRDLLVHGPLTLALML DALPPVASISYRNYAPLYVNERLRVCVRRPERPRKPWDVWVEGPDGGLAVKATAEVLS UV8b_06594 MDRYTFPCDPKPNPAAVVSGPHYRFTLINDTVLRYEWSHDGVFQ DRASTFAINRNFPPPKYTVRDSGHGHLEIITPNIHVTYDRRRFSRSGLAVGFTSKVTL WGADWHYGDAAKDNLGGTARTLDNVDGRCDMGDGILSRAGFSTVDDSRSMLFDGRGFV APRASGDRVDGYLFCYGHDYKAAIKAFYDISGHQPVLPRWALGNWWSRYHPYTADEYL GLMDAFASRQVPLSVAVVDMDWHQVKGDHVPHAGWTGYTWERKLFPDPTAFGKALHQR RLKITLNDHPHAGVHHHEDLYHVLAEALGHDASTKAPILFNPTDPDFMHAYLTILHRS LEKDTCDFWWIDWQQGPISRIPGLDPLWLLNFFHFQDHVKEKGPGRAIIFSRYAGPGS HRYPVGFSGDSVVTWESLKFQPEFTATASNIGYGWWSHDVGGHLGGSRDDEMAARWVQ YAVFSPIFRLHSSLSQWTSKEPWGYRKESCDVMQRFMRLRHRLVPYLHTMNATGGAEP LVRPLYWEYPARAEAYEKPNEYFFGSSLVVAPIVDPRHARTNLAKASLWVPPGRHVDL FTGLVYDGDREVQMYRPLDTIPVLAPQGSIIPLDADRFPKNGCKNPTTLEVVVVVGKD AKFTIIEDYDDDDEPAETGDVSEKETPTARGEIELEFNQSQGRLRTTPSSKQWQFRFL GVMAVPSTLEVKVGGAPARCDAHVEQDSECPGLVVEVPRPSIAKAVGVEITVDQDMQL SVVDTRARLTSWLMSAQMKFDLKDRILGAAVKEKTPLASRIGGLLSLGLDHDEVGPAL ELLTADSRS UV8b_06595 MHAADFVLLLAMGASAVVAKDPSTIILKATTTRTMILTHCAASY SGCPLGQTSQTSDSATSALATPSTSSALASEVLSTSTPVVSSVSIPAVSSNSVLYPMA NSTIPVGSTGVSSLIPGSTSPVLVPVGAAGSLQARSGAAVAAVAAVAAVIALVPFTSR LSLKPTSSSFSRSFFFSRTYYSLRGRKFGDQSFVYPYLGSRILEGCFFVLATVFSSAL IQTLCSLPSEPWCRDTCLGKESICIVWRTTIPSRSQTATDQDTT UV8b_06596 MTTFSTDPALYIFTSLTAGSSHIVTATSRLETILRANRVPFKAV DIATDQKARMLWGRRAGKDEGGRLRKLPGLVQEGVVLGDLVEIEEWNEYRELKQHVKI YYDEHTIPSIHDIPPEPPKLHKPADKSAAAKSAATAPPAPPLPVAKSTAAPTSKASDK TPETGDPTVLPLRSVADEAAQKAKDLRLKALRDKVDGKGTKTESESSSSNKTTLPGQG NDEEKSKANKDDPRPGMQSPTSAAWKKQSEQGVTREMEQSPSSGKWRSGSEGETVTPK SSVTQPAIKKETVEVEVEQRMQKEKGSDKLEEKNQRRIGKVKDDEEDDGDGEEADESD ESEDDEDDEDDEEEDDEEEDHEADEAAKVSKNKCTVEQQTKEVALGTDGQKLNKSSAK UV8b_06597 MAVANGGESATLKKSVRRRRQDKPAISARLVLDDRIKGDVGIVS EDIATDLLPHLRHGLEHDHPNQIHYIALAPWAPNAAPAETRWSIIPVQKSPNLAPSTV QFSPSSTALQSFAATLQEVAPSRLSSHSRSGIEVLVLDVVPLPLETVFVSLESESTKR LENGEGTFFREHLSNPSIKKTANMSAEERLISAIRTSLSGLKVAHAGDLFALPLAPHS VTHVPPNPGKIMLCEPVAQGILSESTKIVLMRGRHRRRTRGNAAIINSHRLNGGVGEE DDDGNTDQFFSAAEERYRTDAQADATVTESESEPSAAEHEGEMSDDSMDDMISLEAPT LSIASSSGMGTMQSGTPMTIGTLRGRKMNGAATPESVFSNFTSTTARPDRQRGRLFKA QGLTQHIPSDLLHPRPSPEEDEEARVYVDVSSLPKIGCFSGDWARIEVADGDAFGFGS FGSAEPEVANWRPVRVYGLPEGYSQRPVTRIPSSRTGERKMSFFESQVQRPSSPVAYA SPILLANLDGATHLRISGLKKSFYQGKGTLPRFTSASHPPYARDIAIHHIRTPISAER AYQTAVLGGLKRYFARKIRLVRPGDLIAVPVDAQLGRALQEATGNASSEVDDVVALTA DESRPGQPSSRIDSVAWFKVGHIQIQRADEDEDGTAEAFWGSVACIDSSTVGMHGSGF ETSRIPGVDQSSWPYYLGLKKASKRTHDAGPLAVTHQRDNFVSPLRRQLREQLAASTS PRAIHLNMPPVAILVTSTHRNIGKATLAAAACADVGLHTYSIDAYDILSEGGGSGSDV KTEGLLRTRAERAISCGPECCALLIRHIEALTADRIESTMKEILEDVRVLIATTNEVD RVPDGVRALFTHELEMPAPDESEREAILRSVVDDCGVSLEPALDLNSVALKTAALVAG DLVDVVERASLARLARLEDLSAKNSRGSVVVTMRDVEVAGGPLARSLTASDFEVAVEA ARKNFSDSIGAPKIPNVTWDDVGGLSNVKDAVAETIQLPLERPELFAKGMKKRSGILF YGPPGTGKTLLAKAIATEYSLNFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVV FFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMSGGDDAGGVFVVGATNRPDLLD AALLRPGRFDKMLYLGVSDTHEKQQTILEALTRKFTLHPSVSLRNVAQRLPFTYTGAD FYALCSDAMLKAITRQSSAVDAKVRAINAERAGKPEISTAYFFDHYATKRDISVMVTE ADFMAAHDELVPSVSAGELAHYEKVRATFEGNRDKQPKASVFSAPGHGPSSAAGRSKV SAKAQGKRPALDNGAKATASATDADGGDEDSTSDIPGPPPGNGRSRGKEKMAMGFQDG TASDDEGLY UV8b_06598 MARTLPAFQSQVCEGKQTPSQIEAGAEILQDDAATAATRSSLTA GQDEPVASGLCSQTSHDKCCLSGMSTQASPQVHDSEPNSHREIGHNSVENAIGPGADV RYARFGREGQVEKEAQSDTNEKSMQQDELGTMAALASKPLCPPLRVRGPPSFPSPFRA LFFRTSAFVLSSTFLAVVVVAALITSIPTLAKKVIYILTFRDINTLRPFYEEECRRAD TRREKQRAWEHYESAENIGGDQEGTADDYPPTEGGKDRLLCDVGYYAKRVGLEVDMFH VCTEDDFVISLWHVYDPKEYLVQDASEADAGVNGEKVPRKVLKPQIRKPKFPVLLLPG LLQSSGAYCCNDDDSLAFWLCKSGFDVWLGNNRCGPLPNHKTFSSSDPRMWSWTIRHM ATFDLPALVSRVLAETGIDKLGLICHSQGSAETLIALAKDQRPELGEKLSVFCALAPA AYAGPLVDRMCLRFMRQIPAFMFQMTFGIHAFIPLILQMQSLLNPRIYAWLGYRVFSF LFKWSDLRWDRELRDRLFQFAPVYVSAEAMQWWLGQDGFARHRCILFAGEVVEYEERR DDVAVKTSVETFMEPAIEAKADMPYLNNMGRDSSRTGDNVASTWWYNEQVPPFAMWVC GKDRLVDGQRLLRRFESGREPHVQLVHSKVIDEYEHLDILWAIDAAEQVFYEIREVLW KTCHVRDRCRVPEGCEGLRRKHQSTIAIPEVSMGEP UV8b_06599 MPIISEWTVDIPIIDTWAMYFAAPEAKEYPDNHVLLVDGDTDRS YTYSDIKQRSIDFGKGLKHIFKLNKGDVLGFFSSNCIDIPIVTCGLHWAGGVASPVNP TYTVDELARQLTDSKATALVTQKPFLAVAVQAARKSGIPLERVILIGDGKDEAGKHRH WTDITAKGAWIQPAKADLDPKKDLAYLIYSSGTTGLPKGVMLTHYNVVANAYQFSRLD SKTLNWDSDRHLGVLPFFHIYGISISLNMTIKTGSTMVVLPRFELEKACQLVEKHAIT FMYVPPPIVLALGKHPIVDKYDLTSLRWINSGAAPLGVDLVEAVWKRLKIGVKQGYGL SETSPTTHLQLVDEWWKFQGSVGRLLPHMEAKIVDLDGKELPRGQDGEILLKGPNVFN GYWNRPDLNKETFTQDGWFRTGDIGHACKRGHFYITDRMKELIKYKGFQVAPAELEAK LLGREDVDDACVIGVWDNEQHTEVPRAYVVVRPDVKETDELAQDIASWLGERVGPPKR LRGGVKFVREIPKSQSGKILRRVLKDQVVREEGMDTKAKL UV8b_06600 MPGIRIPTLRQLTTTCPLTSAQCLHTQRRWAQVHDVRFLATRQP SQAVLEKYREKLDRKARKEGLGGIDALKSAYADRIAAERKKGAIEHPIPPIPQAPDTP VSQPNRGPVPSQREQPCADEPPASSAAAPPGTRGKVAAIRSLDDLVDVAKIRELPEKE LTAVWRLRHAGAPQKLCAVIPASCYKAMEEMARKSPRFVLPVPHESQGAEIHFLQWTF DAASQTSTVLFTQLAEFKARGEFAQPHTTVTHHLDLVHDKGLVLMQGQTMEGRGVSPE HARWLVMCLQRFYGAWDGAVAEIDPLRKERALERRKLVEWFANGDARFSVEKLLEEAE RMG UV8b_06601 MADFRQLAVEFVLQDDKAESARIALRAAKEIETAATSSNPVARW VESVQPWMSANQDNDVMQDAGDTPDWTSRAKSLEFLSQTLFYLNLETLKPSQVNLLVA FFGSMFDVDHKAGILASANALSRIIAMKSFDPKSGHGIIEKISKLNDDFPRQLPQSRL AVYELMRSLIQNPAVVKDLRLRDGDDAPFMKGLVRLCGSERDPDCLMVWFDILRIFLS GYSPSAETLEEVYGAFKAYFPITLPRTAQSGVTPEELKLQLRKCFSSTHALASLTLPF LIGKLDQGDGVTVNVKVDILRTIKACLEEYQHSVESIAPYTNRIWTSLKYEVRNGEIE DSIWATLEVLKTLATRLTGDDLRDYTLTVTRDCVADLATPMYTTSAGRLLVGVLSASS TTFVLMVAPAVTHVKENLRHPKSPTHTLNLLKILRIILETRLLLTNEEMSEPDTGDFA AVDSIFTTLYEDVYKAPLASASKPDALDEDFRLSAEAVQGVGALIGQRKMRSLSAEAV SGQHLGLLISGSTCFEICEAMFAIATQSWHDEARRSGSDDLGNEATKALQRSIRAFPQ GFHPLVVKGMSSLRHSIANLDRQSLETIQSLGPFLAYVGCSAVSDNAAETMKRFLELV HAFAAELFVAIGHKTSPKIWCFLVVGIHTAARHFNDACLEKDAAADQGEGEPSLADIG IKYPEIAALGSPGEVSSTAMPPSFGSIAEARSDALLICFHIAGLFYKQATRKGPVSSQ LVLSDDFTASEPEYERQYLYLLSELAGFAVHEMNESQQALLEVEKPALNLFREMEVNT SATSWHWLTNSPLNVLSLGILGALRPSRVAKLYDMGVAQQIIFEDAPTESDSSPARRA ILAVLANKYSIETLDSVMTDLERSLDDALRNLHTSDSPTHSLGLSLSLFALLGGLLRR HSGSRVQRILQILQTAPNNAAFGSRLARGLETIVAPQKLLTKESFAVVRLLWLQRVYI GLIKPMLEVAVGNDSTITDAPIRANFSIAVLLMIKHVGFSVWEEDAAKILRIAIVVAQ NLGTGLYVLVALQAVKSILVESSDKVEAHIPGLIKICTSVFTGRTASFDGRPERLPTD AADVTDSLEVQAGCGKLALEIVGGLPRMFEPRHLLAYEPQVQRDLSTACGHKQEALVE TNLREIFVRIALDRDMGLSRYAFMPP UV8b_06602 MATEKISLYNLADLKNTSDDALPNYLNSLRFKQSHRLMDVRLAL GYGAFAIAAACFGWDYKFGWDSTKYYTAAAVALYALVNGFLTFWMLRVERGTVYQGAA PTGEKVTVRSRTKKNDPTYYLTIDVEGASGKPQSIETSGSFAAWFDETGRFVARPFQE FLASAVPAIGKQDPAKVKSVAQDMLGANPELLDAVLASGVSGGGEATSTSTAAETAEK KGQRRKN UV8b_06603 MAQLPAQTLHRDPQLFYWILIPITVVMILTGVLRHYASVLMATA PKKLDAKSMREQRALARGVALRTNHHVLSQKAFESRRDHLSAGYESGAFLKEPDRQGQ PPANPLSDPSQMEGMMGMMKNNMAMIIPNTLIMSWINAFFSGYVIMKLPFPITIKFKS MLQAGVQTKDMDPRWMSSISWYFLCIFGLQFVYVFLLGSDNAASQMAQQMAAQQMPVN PMGGPGQDPDKQFKAEAENLAVIEHYSVLDHVEDRLLEGIKS UV8b_06604 MFILTKIADLVQIAPEDFSKHSIVAIEDNINAKYSNKVIQKIGL CICLYDVLWSSEGLIGHGTGLVNVNVEFRMVVFRPFKGEVMLGRIRSSTPAGINLRTD FFDDIFVPFEELPQGAEYNHGEQLWIWNLDGDDGDRLFYDNHEMVRFQVIDEEWHDQT PAGPTQAEDAPAKTPYKVKGSMAREGLGVCLWWDGA UV8b_06605 MSGYGYGPPPPPPSSSGYSHTSGSYSHQALPRGGGGAQGSRGRG GQYPRPRGDFHASPPQAHYDYAGQPYPTHQASYGGSHPSVSGYSPHPPQWPPEHGGHA PHGAHPHAPAPLSNSNYHPNYAPQPYPSTAQHAQHAQPPSYGSILPYGQPYASTPPHW PPQGPPQASHYSGSRVRGGGGYGDRGAGKPPYPAVHGRHGYEHEQSSAAAAPYGQPYP HDPRAPQYPPSSFPYAGPPLPPPSTARQENHLGQHSRRGRGGGHRETARGRGGHHASN HHHGKNRHNKTNHNDDKTKAEAPPVGKKKKRKVNTLGLTPGLDSESEDDEGEEKALTD LIGTETLQISDVAAFLAERRKNYPTKARVEAKKAVQVAQKSQNKTAELEKQADKLRKQ LAKVESSIKRKREQGDEGDEMRDPAENSSGDEKPEVMSSRVQNAAPPPAPATASAKKA DVSRHCKYYSTGGTCGKKGKCRFVHDPEVREAAIKEREANNGRLTIQQRLILNDKEQE DLAVLQSIQYLRQKGLMMACTGGEAEEGRGKKDKGDEEEEQAEKTSAETGAEPALSLS LSLSTCKPSLLPAAPPSLPAPPSKRKMSRASRKPPPQSTDASAESAGQDGAKPHEGWL LQPYSRANGDKSKMDDLP UV8b_06606 MPIGDLLAQISGEKSNPGPDPSPRGTNPLPAKRKPDDDLRSRLG LKAPRTNQGLRPGGLENQAAPHVPYTGNARPSSNVVAGRASASAPRSGRLGQQREPSH RPGAPAAPSAAPTGPPKKGSFAEILARGQRAQAVMGQVGKIQHKKVEKGAAKKTQGDA VAPTASSSKARAVSGYAGTAKPPAQRDGPNGSHGHKPLTKDSPRKPSNVRTVAVGRRK AKEAEESEAAKKVKKAAQATTGYTGTARPKPGNPARKKDAPRGGALLNAPRPKVSKRS RYEDDYDEDLDEFIEYDEDDDDDDDERIRRGPRYDYASDGSSDMEAGMGELDDEERRA EFIGRREDEAEERLERSLKTAKEERKRKALADLRARRR UV8b_06607 MKHLAAYLLLGLGGNTSPSAKDIKNVLSAVGIEADDERLSKLLS ELEGKDISELIAAGSEKLASVPSGGAGGAAAAGGAAAGGAAAGGDAPAEEKEEEKEES DEDMGFGLFD UV8b_06608 MLLLLYKMFLVAISTPAFNNGPLEQDFDMLARLLSPMLAPLAKA PQACHHSFMPDGPAADNTNLPLSGRASPTTVSPLPEGDKPSSARVASTTPRDFLASRT RSVGIRISLSGRDALTNTETTSSPPQAPLFDGRESQQDDRPAAAKQDTSVLIAGIVAA SASCTLLLGALIRWLQSRKTGYCLRHNRLEMGTRADVLGPTACHQVAWPQQQRFPNHQ SQGVLPPLDMATPATYQSTMVSPVEQRNEPPSQPSQLSPIGTIDLQLQPPAATYQMKP PVELPAALEETCRMPLPPYESWTEGATLPRRFSWDSDAEASYRPSSPVKRQRTWGSHC SGKTAARHSKT UV8b_06609 MAAASPSPHKPRRKAMPGNGNGNGNGNGNGNGNGNGNGSDTLVR RRSEALVRAPSFPLASILWPARTSSTQWEMLPAILMVAGLFRWAAGLWGYSGFQRPPM FGDYEAQRHWMEVTTQLPISQWYFHDLQWWGLDYPPLTAYHSWIMGKLGGLIEPAWFA LYTSRGSDDETLKVFMRATVIISEYLIYVPAAVVFTRRYSRLNGVTAWTSSVALAAIL MQPATILVDHVHFQYNTVMLGLVLASINSMLAERYKWAAVFFVAALGFKQMALYYAFS VFAYLLGKCVWPRPNVIRLVSIGSITIASFAALLLPLIVGSLYQKHRGMDSRSEPDGS LPTLPLFHFLADYLDTRSAVYAVVEQVVQMIHRIFPFSRGLFEDKVANFWCALNVVVK LRRFPPQVLQRAALGATLLSIIPPNVVLFLRPEKKLIPLAFAATAWGFFLFSYQVHEK SVLLPLLPMTLLLAGKQGLNGDTRSWVGFANLLGAWTMFPLFSRVHLAVPYTVLTLLW AYLVGLPPTCWTAPFMEAGPALKQWTTALIHNVFYVLMGLWHVVSAYVAPPLDKPDLW VVANVGVGCAGFTLCYLWCLCKLVVDSGLVTPEKLPKAKTQ UV8b_06610 MPFPFVLPTTSSFAFSSSLSCDSHPSLPLTASAQRGVVRDALKK HKRLPPNSRGASLATVISSIDSYLPYLLAVDAGVSCQSLEGGEVMGVILRAAPAITWR PTLSAPIVPGKERPRVKITSLEYEISFVLCTLAYAYTLTARSALQPLYAINTSFLSLG ERAVAITTATKYLLDAASIYDYLSFRSEQIFSSAPCIDVAPSTVRALSSLALAEATLL AVLKDDPYPAVVAQGRSNTDKEWMFKAPDLPKVRAHLFARLCLAASEHAARASSLLRA AGSGGSRVSPVLLKYLDDVRRTSRAKACRFFGIDADLGGHTAQGIGWLRAGLQELGVQ VKESNKVFSLSRLKMDIGGKREDRRVEKETDWGSDAGRYEETRIIEFLDGKWTKLNDT MNTQEIPPVGALLPKMPSGREIHAVKPYQPPTLSRDTLEAMRSPPDMEDGVLDDLSSD DEREGESAAPPGAFPGLSMDVGSSRSGSGNAYY UV8b_06611 MAALRSSASRILGMRPGAVFSRSMASVSEVAKPNMKTFQIYRWN PDTPSEKPRMQSYTIDLNKTGPMILDALVRIKNELDPTLTFRRSCREGICGSCAMNIN GQNTLACLCRIPSENSSDVKLYPLPHTYVVKDLVPDLTHFYKQYKSIKPYLQRDTPAP DGKEYRQSKEDRKKLDGLYECILCACCSTSCPSYWWNSEEYLGPAILLQSYRWLADSR DERKAERRANLENSMSLYRCHTILNCTRACPKGLNPGKAIAEIKKQMSLS UV8b_06612 MAVSRARAVASTPTLLWASSARASACPSNYRGCRFARLPKLHLF EPSPPPPPQSRARAIHSSRASSSSSSSSSSSSSSQSSRASSPPRPKFSYHIAASFTGK DGPYDASSHVFHFNPYNRIQPPRARRPSSRPDSGHDAFFVSRVSDSGSVALGVADGVG GWVDSGVDPADFAHGICDHMAAWAYAHDPARHPPLTARRLMQSGYEAVCGDRSLRAGG STACVGVAAPDGSLDVANLGDSGFLQLRLGAVNAYSLPQTHAFNTPFQLSLVPPGLAA RMAAFGGAQLCDLPRDADVSQHRLRHGDVLLFATDGVLDNLFNHDILGVATAVMLSTG AWQRTTSGGVRVAHSLDALTGFFAAADADAARGPSSVRAASRKARSTLQSLLATEIAG AAKAASVNAKLDGPFAKEVRKYYPHDPWRGGKVDDICVVVAVVSEDSGAAPSKL UV8b_06613 MSSALDRPTQLPSTPQPHSAFASIMTSNRSRVASMDTYAAGAAA AFTVDVLVYPLDTLKTRYQSQDYLATYGSSRQQALALKGLYQGIGSVVLATLPAAGLF FWAYEESKSVIGRASPLHQPLVHASASAVAEMASCLVLAPAEVVKQNAQMMHGARHVR DKAAGASTSLRAFRQLAAKDAPRKLLTGYTALVARNLPFTALQFPIFEHVRRRAWAWE RRKLHGRKDRPAGVLETGLVAGGSAAVAGAVAALITTPSDVVKTRMMLMAGSGGVGAP GRNASGHDADLGAWSVSRRVYQERGIRGFFRGGLFRSGWTALGSSLYLGTYDAAKLWL RRRKKSLERDDARVL UV8b_06614 MAAQGVETPLPVEVPSFVREKEAISDLRDNSLDESRFIIQSPYV DREHLLDLETLDNENALLSQALSRLRAVRQDYALAPYVESFNWQEVLDELKRLAQRSG QDFKETSFYIVAFRSRIKPSTDYSHLGELDKAAHAEAVASGGFLKYWFGSPDSELQNL ATCIWRSRQDALLGGKGPAHRKAAGATRSLYASWKIDQHRLTVRHNVETWEITDWE UV8b_06615 MSDASKDGPFQAVQVEALVVMKIAKHCSSTFPTIATGSIVGMDR NNLLEVTNTFHFPTLDNTTTEGHQNETSLVAAAAPRQKANIVYQTEMIRYLKEVNVDA NNVGWYTSATMGNFVNMSFVENQYHYQKDNDRAIALVHDTSKSSHGNLTLRAFRLTNT FMAAYKEGKFTTEILQKSRLTFRDILTELPITVHNSHLLTCFLHQMPAPPATEEIEQP NSISELKREPVKAPLYPSVDNLDLAIDPFLEKTCDLLLESIESHYTDLNNFQYYQRQV TREQGKISQWQSKRKAENAQRAAAKQPLLPEDEWQRLFKMPQEPSRLEGMLNAKQVEQ YSKQVDGFTSNISAKMFAVRENLMPQ UV8b_06616 MAAPAQKFKVADISLAAFGRKEIELAENEMPGLMATRKKYAADQ PLKGARIAGCLHMTIQTAVLIETLTALGAEVTWTSCNIFSTQDHAAAAIAAAGVPVFA WKGETEDEYNWCLEQQLVAFKDGAKLNLILDDGGDLTSLVHSKYPDMLKGCYGVSEET TTGVHHLYRMLKDKKLLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMVAGKV AVVAGFGDVGKGCAEALHGMGARVLVTEIDPINALQAAMAGYQVTTMEKAAKIGQIFV TTTGCRDILTGEHFEVMPNDAIVCNIGHFDIEIDVAWLKANAQSVQNIKPQVDRFLMK NGRHIILLAEGRLVNLGCATGHSSFVMSCSFTNQVLAQIMLFKASDEAFSKKYAEFAR TSKLDVGVYVLPKILDEEVARLHLDHVQAELSTLTKVQADYLGLAAEGPYKSDIYRY UV8b_06617 MAGKPKVLLLGEIEHAHDAWAKVAQVAQVVTPTAANRADFVAEC RSGAFAGVSIAFRTLDSFALTGRFDDDLLRVLPGSLKFLCHNGAGYDQVDVAACTAHG VRVSNTPCAVDDATADMAIWLLIGALRNLSPGMAALRAGDWRGRPPPALGHDPQGKIL GILGMGGIGRNVAAKARAFGMRVRYCNRTRLAAELEQGAEYVGFETLLRESHVLSLNL PLNPQTRHIISTQQFNMMKPGVVIVNTARGAVMDEAALVAALQAGTVASVGLDVFEQE PAIHPGLLDNPRVLLVPHMGTWTVETQTKMEEWAIDNVMMAVQDGRLRSIVPEQKDLA WPTTS UV8b_06618 MSAACYPPSVALVIMAAEALPRFLLPRLSWSAPLHSARPTRPFA SFASFASFASFPAANALLPRQRWPSPSPSSAAAAPTSPQRPRRFSALPGPAASRAFHA TAQRPRDHHFDTLKFVKRLQGEGFTEEQSVAMMKVLNDVIEESIQNLTRTMVLREDAA KATYTQKVDFAKLRSELLSADSTESNTTRSAHERLTNEIAKLSSRLRDEITRTQASVR LDLNLEKGRIREEAVGQELKIKETETKIEQEVAALREKLEQVKFQTLQWLMGVCTGFA ALMLGAWRLLM UV8b_06619 MAQSTAHRRLLQEYRALTNNPPEGITAGPVSEDDLLHWECLIQG PEGTPFEGGVFPAELKFPKDYPLAPPTMKFVADMWHPNVYPSGLVCISILHAPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRDRRSEYESKVRDGV RRMLGL UV8b_06620 MDDLSGLHWSKPTGSQPKPPGPANVNCSYPSLRPTPSPAGSGRS TPASSSQGLAIPPSLANSANPAGKPTQDSFSKLVSFGGQVKPKQSLSLAQRQAQLEIE KRRKEEERRRRTEAQFGNAQQLDALASRNFPASPSMLTRGSSNGLAAAAADDDDDDAA DDNDFFAAFDKNTKVDNASHYPPPGRQQPTPAEVKSLLDLSNPNAWGTPASTAADNTA NGGSFVDDDDPFGLNDLKSAGQQPAPASAVLVDDDDFLGDLGKPIEEVRMKQQQQQQR NHLGSEIHAEDTEASSENDGFFTRQQSQKITGQRNAHEELDLAVARLLDYGFSPEDAR RGLRESGAGLNVQAAANWLLDDAHRQAKEKAQARGSSARPGRTDRRVDDAAAGSSGRS GEPDLAKSAAAVGNSLFKTANSLWKTGQKKMQQAVADFQQDGGDSSQPKWMREAQQSQ THDAKRAPPEATAEALALESRGRPTHSRPSSRPRADPRLDLQQSRDSSPCATSARSQS RHGAVPRWQQQAALATSDARSRLSKLKDDDADSFSGYVSANRRRVAAAQSPLEATKPQ DPEPDLLFNTEAPKPSQPIPQRLAQRQPPSFAKPSQATPPTSRNSTPKPLRQVPTISP AALQASTRHRLEGTAHFKRGDYASAHSSYTQSLSAIPAAHPLLIVLLTNRALTALKTG EPKQAISDADEALKVIGPGNGQGESIAVQAENGKVENRDMKDLFGKALSRKAEALEQM EKWADAGLVWQRCVETGAGGKNAIKGRQRCQSALTPRPKSTPKPAAAAAAAKPRPSPL ATTSPAPQKSSEAVTRLRQANEAAAREDDEKFALSEKVDAKVSAWRDGKRDNLRGLIA SLDQVLWENSGWKKVGMHELVVASKVKISYMKAIAKTHPDKLPQDASTEVRLIAGLVF ATLNESWDKFRADNGL UV8b_06621 MMLRSVTLSASLIAGANAFWRMECPGRVGLTRLDPIVNPNSVSP HVHAIHGSSGFSDNSNTLDLLEGDCTSCRVTQDKSSYWTPALYFQDNTTGNVELVPQV GGMLAYYLLYGNNVTAFPQGFRMISGSNDRRSYTAGDPSQPDPPKSQWASLGQTTQSI LAERAVGFNCLNYARNPEGTLYRHFLPEQTYLDANCADGLRLELMFPSCWNGKDLDSK NHKDHLAFPDLVMTGNCPDGYPVRLPSMLYEVIWNTAAYKGRNGRFVLSNGDTTGYSY HGDFVTGWDTPFLQRAIDTCTNPSGRIEDCPLFNVVDQETATSCKLKPSLPQLLFGED VIGPMAKLPGGIPVGGMAQAPAPSSSTQAAPVPILTYRPGSTPSAPASPLPGDVFKEK IDTSSAAAAEKQPSSSSVATSSVTTSSATTSAASSSVPTSSVTTSSATTSAASSSATT SSTTSSTTISSVTTSFVTASSVGAPSVNPTTTTPPSSATPSYYSTQYITDGNVVTEIL WEESYVTVIYTGGSPAATAAHPARRKLRRRAARHGHLHGKFR UV8b_06622 MEEFEAVRRDGESGGLGLGGLLGIALGGGILLFTTVGMLMAWRI KARQNKSPGRAYAIPDEFSQTRLTKRSLLMTESAVSGFSSRFSSRLSLTLPPIIPLPP MPSYSSFSFFFRTPSRKEKAGRRSWVGGDDFPGPPVNHKNARGGVFSRDGWLGHVPTV PSMTSIADQEDHIYDKVSESTHVRKNHAQRPYYEEYRKQQQKQQQKQPEKCHVQNQQR FHAQTDRVVSNFQNSKTAPNLGSPGDFPRGRPSIICVPAQAHIRPSITMTDLDLRNIL RSTDERLREGHSRSPTKQPAQPPSTSGSPVKTPRARYSSSIRKVERRHGKQTPSTPSP AKGEPMSTSPRKASVASISSAATSLIAEATHQLELLGGMCSPSRPRAREWEPREKQIL SPSAPGLASPLFTPSHSPKRSPQTSPRRNAQQGSPQRPRSRDSDRSSSLSTLYSVGEP ESEKADAQGRQRVGKGRHSRQELEQQILSAAGDQDDPFVENRRGTASMGGETLSGQPR RPRPLRVIKSMSPSHFVTAGNESLIPRPLRPKSAMAKGVAGRGFDAGLGPPGPLVLQP LGARHSLEMPPKREQHVERGQTPQAASRSSFTSAYIPSQEPEAKAMLAREVRDPVWQR ESNAGRTCAAGSPPPIPTRHGDRRSAMSASRSSRKDVPSMILANDAQRRAPSHRPQAT ASAPDGPVIPAKLSPRRSVHRSSGGRSSRRGSSGSSPGCSSSTGVPVRRVTSSPSGDA PALGTTIAELRRMNSVVSSNSATSIASSTVLETRTDAATRTRSTFNDKTVSPRQVPRP PPAAIGMKHYLNIGNANAEIDRHKSMISLPRTVPSPSSSSPSKRPQSAGPLKAGSPAA KERGKENYSSGVRQGRQEELPRLREVPQSPVRSPREVFKPKFTIVARSPPPRGGSRAL KHGAVANLLGHEQRGDAGCRDSAGSLGLYDKDGFLLPSPERETRKRSPRM UV8b_06623 MKAGIVLMLAPAPALAAAAPVVIDSLRGITYHGLSRNAVDVFLN IPYGQDTSLGQRFRPPRPHVPPRGSAVHATAYGPACPQPLGPWEPPISLTNVTRVSED CLNLNVARPAGTREGARLPVLVYLHGGSFWSGSNQEATVQPDAMILESVRNGLPVIHV APNYRLGVFGFAQSEALRAEGSENAGLRDQRLALEWVRDNIGVFGGDAGRVTIFGQSS GGLSVGMHILAYGGSRPAPFHQAIAQSQALEPAMTGNLTLEAMRLLVHRAGCNKTHLH APGTVRCLRRLGTAQLLAAAVATYRSDVAHNIGDVWLPAVDGDFLPAAPSRLLRQRRF ANVTAMMGWCQDDVAFFTDPGIASAPATRSFLAAYAPGLSAPNLDALLALYPVSDFAP GRRAANPSAEFYRAARIFRDILMTCAPLGYAEQLAAAGNAVYLYDWNQTVLDPYLSRV LHRPGLGAIHTSELAYVFGNFSPYDTGTGSCHHLNPGPSDHRLRRRGSRSWSTFASTG RPGIEGHDTFQGFAPAFTPGNQVDIFVVGPHEGLSATDGPRAHPAMLEQKLRQRCAFI NSPEVIDQLKY UV8b_06624 MLATTIRSPPSVDDYVSLSQYQTQTPASFSDGKPVLHFHLPEAL ASVPKSQCGILALFPADTPAAEPRAATNGHEEETAELKVEVFSGVSIPYPSISIHAVR QVPAAPDGGSTCTIWMQLEFLDGGADDDGPSTMELTIVPGDAADAQKLYDAIASCSNL HPDPVDGHGDDEEEGADDDGGDYDGFVFEDSAAREAVDGFTGVLRGAADGGLPPPMPG SSGWITADNVGEYFDEEGNWIRGRDEGQGNGAGEEPGQGQGAGRTRRREEAPADGENG GGDDAENKRPRVE UV8b_06625 MHNSRTPIERSSKTLEHYTSPKLTTNTGPHPLLEQVPLTVSPFV SLPTATTLSYNYKTMPSNIPPSSLGIPTSSQGDAQQPKPSFVVSGSGHAAHPDDISAS CRALLAHVTDLQQNAERELQEFEERVRQRDLAEKRRVAPGWLDSESKLLQPERKSGQA GTREGVPSPPPPVSEKGKQRETAEAEDQGAQLDRAFGGMALR UV8b_06626 MDELLQCDLLQQVLAETQGDPPPRPPSPEHKNKPSNQPGPVAPF SFSGLPPEIRLSIWEEVFAGWSVWAVTSHGAREPEPLLYGPPNRPFLTVTPVGHAPAL CGLVCREAREQLAKSYGSPLRALSFAAKDSGVYWVNMDRAVLYLGNATNAAGVLDGFA PEELAKVQHVVLLWDNASPAPVCPQLAASCPDLKTIVVQRLEGPGASAAARKYPQPPP ASMAPACLSLVRSSGKQSTLMQLYAHYFGSQVLVHFAKPRPRLLVLSIDVAS UV8b_06627 MRPSCQCLAAGFLALVSWPVVLAYPTERERDGPVDGAAKVRAAV VFHQPRVQEAQDLLMDISNPTSARFGQHLDKEGIEKLFSPSRGSQDKVVGWLESAGIP RSSMRMRRAAATLEFVTDVATLESLFKTKYRLVRDAGTGKPRLDSGSDERVPAELKDH VHFVTSAALVEDRPELRKRVAVVKQQRVRAPAAAADADGSEKPVNLRDCNLSWTPACV RELYDIPLGTKAAPNNSLGIFGFGDAYDQANLDNFWNKYAPFIPRGTAPKVNSIDGAH APGEESDGEELLDLQMAYPISWPQGAEIFQVPYNMNGGIGNEFLDAVDADYCHYDGGD DPNLDIHFPIFQGYPGPAMCGTYNVTNVVSMSFAVDEASLNRHYVERQCHEWMKLALR GVTVLVAAGDRGVQGNFGCSLGPPHTNISAFSPLFPGTCPYVTTVGATQVNFDGGRAE EVAVFDPKHNFYSGGGFSNINARPAYQDQAVADYLASHDPHYPKGTFNPQGRAIPDVA LLGANLTISDKMEITVSGGTSAATPMFAAMISRINDERLLAGKRPIGFLNQILYRHPE VFTDIVQGHNPGCHTPGFQAAKGWDPVSGLGSVKFPKLRHLLVGLP UV8b_06628 MSDGHVPASAGGDGEKVQPVASASASASADSSVVAAPQFAATFQ QEDFWTRCGLSGKSFQKRHYGRGVVELDRAMKTRHLHMIAIGGSIGAGFFVGSGGALS KGGPASILICFSIVGFMVFNVVFALGELAVMYPISGGFYAYATRFIDPSFGFAVGWNY LFLWLVVLPMELTVSGLVIQYWDRETSVAVYITVFLLAVVIINIFGSIGYAEEEFWAS LIKLISVIVFILIALVLVLGGGPKDGRYGEYWGARYWNDPGAFRNGFRGFCSVFVTAA FSFTGTELVGLAAAETANPVKSMPSAIRQVFWRVILFYVLGLFFVGLLVPADDPRLLS SQAYTDVKASPWVLIGAYAKLSGLDHFMNAIILISVLSIGVSAVFGGSRTLTALAQHG YAPKLFTYIDRSGRPLPSVVVLILTGPLAYINLSASGPTVFEWLQAISGLAVLFAWGA ICLSHIRFRAAWTHHGHSVDEIPFRAAFGVYGSWIGLILCFVVLVAQFFTAIAPPGLD GLTNVEGFFKSYLAFPVLIAFWVGGFVWKRSGWLRLDQIDVDSGRRELDYDQIRAHKE ELQAMPTWRRAMHRLF UV8b_06629 MSKLASYFSRLSPIPTFPEYTGPYKVGTVDVEIPVTDLNAPSPA PPNAVDIPTVQFRIFYPAVPESEKPIPWLPNPQRRHVSAYTKFVGVGSTLSDIISFFP RHLHYATIPAHKNAEAAVPSAETKRWPTMIFSHGLGGGRNSYSYVAGSLASHGVVVIC PEHRDGSAIVSFIRLATHSSSPLFSRQNCRVVPYKRISHDVTPAVYEAREAQLRIRMW ELGLIHDAILAIDQGAPFTNMNLTTPTLEQLVGRLDVHEPGRIIFGGHSFGAATMHQF LKCNYYAGVAQVASIKKPLFTPAKDSSIRKQITERSVTMLLDMWFLPLNAPNSAPLFK LPLPVYADVPSAPGGRALLAVESEAFYNWTEHLHAKAKVLSPDPSCKTVTPQLYLRGN GMKLPEPNFFYVVNSAHLSQSDFGILFPWLTKKIFDAEQPERALRLNLRAQLQLLREN GIPVARTCAADLVDGVVVDKIRSTPSDGIQDDKAIFDRSGNDVVDHWKWIDMTGLGDF VGENFGNKTVEEQVEEGEEKMKDEIEPGETTAAWSAAQTLSAAAA UV8b_06630 MAPTLSEDEIDDVIYLARIGDDDELNDVLTALAERENVTQAEIL MAAKNDAKSTALHMASGNGHAETVRKLLLRFDGRPSEEKQAFVDEANEHGNTGLHWAA LGGHLETIKLLTEHGATPALANESNYVPLDLANLNDKREVAEFFLASAGMLESKNEES GLASAAESIELDGDDDQRDQAQAA UV8b_06631 MPLSQGVAKIQYSAMSALFRITTKNIFYQHEDLFGSQRVVDELV DDIAVTLDLDRSDLNIVATAKGVVAGPLIIWLRDGQSVDASSGDVGVMIPPSDSIQGV ELGAIRWILVLEKDATFRSLSASRYWQRCLWGDGLLITAKGYPDMITRSFLHSLSGRR PDVPVFVLVDFDPHGLNIFHCFLHGSGNVLTDSAIRNGSMRFLGIKSRHLFSINVANP ASLARVGAPAERQASTSPSGATAAMSAEHAQVTTSVLDTGDRKLICHTLNRLSPSHAA DSTCATLVQELQVMQMLGYKAEIQALGDGGNLEKWLDAHIGEELHAL UV8b_06632 MATATGRDVGKANSRKAYSNGKLQSPAKPEVWANTDRTRWRIKD DDSRHTWQYLTDEKAAKDWPQSFAEKYFLGLPLDLAALPKPETPLQAASNALEFFEKL QLPSGHWGCEYGGPMFLLAGIAVAWHVTKTPIPPAYATAIKDYLTARAHPEDGGWGLH IEGESTVLGISLNYTVLRLVGVDPEEPVMVKARGTLHKLGGAVNAPHWAKFWLAILGV VSWDIVNPVPPEIWLLPDWLPISPWRWWVHMRMVFLPMGYVYSKRWSCEETDVTRGLK EELFTEPHAEIDWATHRNSIASVDNYHPKSWVLNKLNWFIVNVWNPYLRPNYIKNWAE DWVSQLVDIEDANTDHADLAPVNAAMNTVVCYIRDGPDAFSTRRHVERLDEFLWVTGE GMLCNGTNGVQCWDTSFLIQGVFEAGLAKDERWRPMLMRALEYLERQQIRENCAAQEK GYRHPRKGGWPFSNRDQGYGVSDCIAEALKAIILLQKDGGFPKVLEDQRIFDAVDTLL LYQNGNGACSSYEPRRAGEYMELLNAAEVFGRIMIEYDYPECTTACVTALSLFREHWP DYRSDEVKTFIRRATAWIKSNQRSDGSWYGSWGICFTYATMFALESMASIGETYSNSS ISKRGCDFLLSKQRADGGWSESYKSCETMEYHEHPMGSLVVQTAWALIGLLEADYPDA EPLKKGIKLIMERQQENGEWVQEAIEGVFNKSCMISYPNYKFTFTLKALGLFARKYPG EKIGL UV8b_06633 MSHLTLAHKGLRALEEKSWDTAVTMLSKALLSSTNPAWLLARSK ALANLKRYDEALVDAEVAFLTAHDRNIRDLMFQAQHRRALVYFSLGQFANADCCSIYA MRLCKGLPALEKDDIRALHSDETGYWTATLEEATKEAQSEWFHQSRDEGFARDAKNPM PLFKEWQRASLLRIQSLKAMSKLPEDDVARKVTISADPRHKDLAVTKTESNAQDKIPD AVDPQPATRKSAAPCNQPLRMQDYQTDSAIMVSIFTKGVDKQKLHVEFFDDSVLLDPL VYPNGEEKELVIETHSQIKPSASSFTVTPSKVELRLGKKSPGRWPRLTKEDPRGQEFD GGKNKLEGLQDAGKIVGEAAGAEAEQSISGKEAEQVDGKAGTSEHHGDKSSPKATSAK AREAEPASKPGGPAYPTSSRSGPKNWDAIGADSDEEESNVDDFFKRLYKNASEEQRRA MKKSFVESNGTSLSTDWSDVGSRTVEVVAPEGVEAKKW UV8b_06634 MFCRTAACRAAPGTGTPASRIATPPVRALIRPNPAQSPRRLRLH KTTRCSSSSSSSSSSAEPSRTRPPYRSQHRLLENEDEIPEAAAAAAAAPRLLCERKPP DGGRPITVTWTSDIVRIAQDGLGGRRTASLNPAALRDSCACGACRDASSGQKTFASVE IPPDIGFSHVRAAEDGLAVSFANDIERFARQAGGRHETTVPWASIEAALQRRGTHDAA ALSRRKRSVLARTGVRYWDRQTLAKHVRKIDYAEFMKPESPSFWNVVVDILRLGIVYL RNVPRDERSVVRIATRIANIRETLYGRTFDVRAKPDAENVAYTSGHLGLHQDLCYLSP PPMVQILHCMDNSCSGGESLFSDGERAGRLLWPFVRTSSRLAPLAEHRVPYQYDKRGY LYHADRSVVDRDADGAFAGVYWSPPFQGRYEDASVDLERWLAPARVFEALINHPDAVH SYKMEPGECVLFDNLRTMHGRNAFDADAGGARWLRGAYIAAEDFLSRAAYIPAGQAEL YRGPEAWTPEKAQKELSEGDWHRDVVERVRRIDPSVEG UV8b_06635 MAETQTKSNQNGHVRVPPAESGVKRASPRKKGPSIHQIYALPAP IRTFPLPAFYPNNPLSFFTVAYAWLRQTVSPPPSEPSLIHQGTWSEGTSSVHVTDEKS VRALWEQGFYGKGSLSRSEPNWLKREQVRRGHIETHVSEVLTVQRREERAKAKWERAR LEQEAIRQTRLREAQEAESTKQTDLATWMPPLSPPVSGSAPVGPLELLSLPNSHADMV SKSCLRDARDSVDAAPQAVLPSLPVNLKWPNALELTADKPAPAAPEAVEECQAGGTTA NGASPQSSETSSACDVTEEECLKRRKSVRFSPEVESTTFSLGDPPNSNHSANGKNKPP FSHAVVTRSAAADVAESSLAGHEADARTREIVNKEHLQLTPEEALFLTFGFGALMVND PKTGKPFTTRELLTMFRQHSFFPPRTGPDDPDLEPDDNFLVHYAVYHHFRSLGWVPRA GIKFGVDWLLYTRGPVFDHAEFGLIVLPSYSDSWWKASGKRAPDRTWHWLHGVVRVLS HAIKSLVLVYVEIPPPPKYDAALETGFAEALQLYKIREVTVKRWSSNRNR UV8b_06636 MGSIIETVASVCLVWAVIVVVVQSIGIAAIFRYFSRPSPPPVSS KLGQNAPTVTIIRPVKGLEPGLYECIASTFRQDYPAEKTSIRLCVEDETDPAYPVLQK LVQDFPASDVQILVESQDPVLHGSRGRPDNVGPNPKVRNISRAYREAKGEIVWIIDCN VWVARGVLGRMVDKLMGYAAPGSFAKPYKFVHQMPLVVDTVDYTLPETDDSLALLPSA SEAQAVPAVPKTPCGDIITRISRHGGGRLDEMFFATTHVKFYGAINSVGIAPCIVGKS NMFRKVHLDQVTRASSNPILPQGENKPTGVDYFSHNICEDHLIGDLLWRSHIPGHLNH GIVWGDLVIQPMAGMSVVAYAARRCRWLRARKFTVLAATLVEPGVESFLCCVYLAFAL TTLPWFHHYLSIPQTWGSMCAIWLLAVVAWMFLDWRTFKLLHSGVSVQVDRDSPPFAR GTANMAGMSRRKFSEWFLAWLGREALALPVWTWAVLCGTTINWRGKTFQVNMDTSVVE LEDGQEPRKPLRTPELERARQSSKDRSD UV8b_06637 MSGDEAAFVYGTLMAAEVFFSVCYGDKHPPLAIQNLHTFTAAIL EDYCRHRVRFADYPAAVPEKGHNIRGIRITGLTDANLEKLDYFEGSEYERRKVKVRLL EKDGDTEVVGDEMSASVYVFLKAEALEKREWDFDEFRREKMNSWTRGDWSYDENDKAA IHCAV UV8b_06638 MSGTIDDVVKRLSTADIDARLKLEAATALRDSLDHYTGGHYYTP FLKRLMPIFINILRGPCIFQSSSPDQKLRHCILEILHRMPTAPSSPEPFEPYAEEVVD LLLQLVRTDNEENAILCVKVASDVMRHQHKVLQGKVQQFLSLIQELFEQIEKVVREQL DNASPSALSQAGAPSTPGGSQTNFQSPRPGSPVASVSDLGVDPQQQNRPLCKGMQSFK VLSECPIIVVSIFQIYRASVQLNVKAFVPLIKRVLLVEASAQKQAHADAAAKGQVHTG VSQGIKAEQRAAYGDFITAQVKTMSFLAYLLRQYSSQLSDFLPGLPDVVVRLLKDCPR EKSGTRKELLIAIRHIINYNFRKIFLPKIVELLDERTLTGDGLTVHETMRPLAYSTLA DLIHHVRDLLGPEHIRKTVLVYTRNLQDDFPGTSFQTMSAKLLLNMAECIAKLPNKVD ARHYLMIILNAIGDKFASMNREYPNAVKISKQYQQADAGAPDSYLADKETQPDWDETD IFSAVPIKVHNLKDRGADPVIDNKFLFRNLMNGLKNTFYQLRTCNIGTPIDPQNAPSH WQDVSYGFTAEEVNIIIKLFREGAYVFRYYEIEKPATESQYMSPVEYMANFYMVSSTK EEKDLLETFATVFHCIDPATFHEVFQQEIPRLYDMIFEHTGLLHIPQFFLASEATSPS FCGMLLQFLMDRIDQVGSADVKKSSILLRLFKLAFMAVTLFAGQNEQVLLPHVVNIVT KSIELSTKAEEPMNYFLLLRSLFRSIGGGKFEQLYKQILPLLEMLLDVLNNLLMASRR PSERDLYVELCLTVPARLSHLLPHLSFLMRPLVVALRAGTDLVGQGLRTLELCVDNLT ADYLDPIMAPVIDELMTALFNHLRPHPYSHFHAHTTMRILGKLGGRNRKFMSCAVPLT YKGYADDSSSFNMRLVASKKDRAFPADLGIDSAIQKLMEAPKIGKNSQSLRLYDDYYK KQSLHFIVAQVKLRIGYDQLPEDLARVVRLQAQDLLARKIDINFAPFEVTNREKSIAK KDEQDRITRQLLKAIMFAQSLPGFKAESDTFLMDICRHFAVIEIGRSLVDLKRNFSPF DPKAGEGPLSIDTRVLSDAIVESLASDHPDVRDAAKRAIRELYKSSAILFGSESHVGR LPLFSHLSSTFCHSCYEEEWFTKTGGSLGINFLLTELDFGDAWVASKQMEFIRALMYV IKDMPQDLPEKTRCLAQASLEVLLQRITKNIKKEDALPVQSQPGHPPVKQSRLTQICM QFNNELSHMNKFVRETARSSVELIAKAAGCEVWELLEPYKERFLQPIYSKPLRALPFS TQIGYINAMNYHMGLKNDWVKFDENLNRLLMESLALADANDESLANKPAEYRTHEYIV NLRVSCIKLLSTAMSFEEFANNPTKAKILTVFFKCLYSESKPTLAAANDALKSVLAVD RRLPRDLLQGGLRPVLQSLSDPKKLSVHGLDNLSRLLKLLTSYFKVEIGARLLDQIDL IVEPGAWQQISFTFFEQNPQMKIITSILNIFHLLPAPAEAFKDRLIDCFLGLEEKLRR TIHSPFRQPMYLYLNRYPKEMWTYLLGRLDEFKYGRLLAQVLGHPDSGPLREAAMEGL SAMITRCNALGGDIDEKRAISVVNAVHILDSLSRFENTSSWMDKKENISWLKTVGKEL EGQHGSDTLDPDLRIPVHQAADQVTNILVKSLQRNPKDLDGLFNLVECVTSNDLRSTH SLFAFIYEKVISNDSVEFWRSAFLRCLDIYSSKSSSNKTKHFLLRYIANPIIAMDVMR YGNQPEQNKSSRLMDRSVIDAVSSKIWKVHPDMSPDDQSQPGIDHTRFEVLQLSAMLV KYYHTALQEARKDIIKFGWTFIRLEDVINKHAAYVVIGYFIAHYETPPKIVSQVYLSL LKTNQNEGRALVTQALELIAPVLPKRCGSGPNERNAAWAVAPRRILADEGQNVQQITS IFHFLVRHPELFYDVRDKYAVLLITSLRKVAAPPNPSHDSKRLALNMMWLIWTWEQWR VEGKTTSSVATRDMSESPKSRKRKLDGDQAMSSPPTARQSASGDRSDYQIPPVVRQKM IKYLVEFVAQLNERYKLPYAKPREHSASMPLPPSSFDLCKKSMTLLFNLMQPHYWGDL DFDLFPNVTDVILGSEKTHTVLHADPSDKEKYDEKFFTSIVNTLQVVRIILNFKSDDW IQKNFAAVQKVLEKCLKFENPEIQDCLHLADKEYDDGRELRPIIQRILDAVPEDAPME DADADGEAEVQTSEIISYVSRAATEAMNSNSYISGVSLLWSLGNRKPAVIDQHITALM KALQSKHAREHVQHYNAAATQAANQNNRTQDGASSPGEMSSYDLEVQTKLMIKEIQTV ALRMETLGDNRRPFLSVLATLVEKSMHIELCTEILNMVEGWVFRSEGTWPTLKEKTAV LHKMLSFEHRADPTMLSKFLNLVIRIYEDPKITRTELTVRMEHAFLIGTRAADVEMRN RFMAIFDKSLSKTASIRLAYVLCSQNWDTLADSYWLAQASHLLLGAVEMHTGIQLHQD DFKVISASQLAAVYLREKDTRDPNMMPDDKFESFMARHRRFVAELGDVKVRDIIEPLM QLQHVDSQLAHQLWVTIFPLYWSATVKDERADLERGMVALLTRDYHSRQIDKRPNVVQ SLVEGAAKTWPECKMPPHVLKFEAKTYDAWYTALVQLENAAIQPEIESPTVRESNLDA LVELYASLQEDDLFYGTWRRRCQFVETNAGLSYEQNGMWDKAQKLYENAQIKARTGVI PFSQAEYMLWEDHWVLCAQKLQQWEILQDFAKHENFQDLLLECAWRNTDMWQDAQHRE ALDNVIKGVMDAPTPRRAFFQAFMSLLKYHNQQESGNDFARVCDEAIQLSIRKWHQLP ERLTNGHIPLLQNFQQLVELHDASVICQSLANTNSSNLDVKSGELKLLLGAWRDRLPN VWDDITAWQDLVTWRQHIFSLINQTYLQLLPPQNQQNAGGASSFAYRGYHETAWIINR FAHVARKHSLPEVCISQLSRIYTLPNIEIQEAFLKLREQAKCHYENPEELSSGLDVIN NTNLNYFNPQQKAEFYTLKGMFLEKLNQKDEADSAYGTALYFDIGAAKAWAEWGYFND RKFKEDPSDLNAARQALTSYLQAAGSYKNAKSRKLLARILWLLSLDDSKGTIAMGFDD FKGETPVWYWITFIPQLLTGLGHKEAPRVYHILLSIAKSYPQALYFQLRTNREDMNLI KKNQEAKERARQQRAQSIASNGKPSSSPAPSKAEPLRTEAGASRPGTATEGEASGLLK SEVGDSNTVSLSTPAAMNAPTPTPAAAASGQKHDQTPGPNPNPTLNQKKMPWELTEEI MSVLKTAFPLLALSMETMVDQIQKHFKCPPDEDAYRLIVALLNDALTYVSRTPASFAK SVKLPAATETNITRFAETILPNHIKKSFEADFVDVKPTMYEYIHKLRRWRTKFEEKLD RRVSHAPLEAFSPHLSEFRYQKFDEVEIPGQYLQHKDKNQDFIRIERFLPNVDLVRSY SSSYRRLKMRGHDGSVHSWAVQHPAARHCRREERILQLFRHLNQTLSRKKESRRRDLQ FTLPLMVPLAPHIRIVQEDTSYVTLQAVYEDHCRRMGLSKDEPVLFTLEKLRGVLESK SSQGKPELTPPARLEVFNAVQAKWVPSTIALEYFQQAFPQFAEFWLFRRQFSYQLASL TFMTYILYMHNRYPAKLNIARGSGNIWGSELMSFMSASKPFFHNPEPVPFRLTPNLQV LMGPLATEGIFACSLMAIARCLTEPEHELEHALTLFVRDEMIFWLTSSHRNGISESQL RDSVQVNSDSIVKRAASLAHNPSGNLPANQTVIDAIAKAVNPMNLAQCDALWMPYL UV8b_06639 MDFEKMPRKLWEHPDPKSTAMWKFMQAANTKYALDLQDFHALYK WSCSCRNQFWSLVWDTVPLIYEGSYAQPVDESVPISHLPRWFEGVRLNWTENFLWSPS ATDGPGTRTQLNKEDAKVALTEVREGNTEVRHLTWGELRARVAELATALKERGVEKGD RVVLVGAHSASTLVVFLAAAWLGALFSSSSTDMGVGGLLQRTVQIDPKFVFFDDAALY NGKTVDLRHKIRGVVEGLAACPSFSKAIIITRFREPYDTGAIPKTERLQDFVLSKGNK QPPPMVRVDFQDPAMVFYSSGTTGIPKAIVHAVGPLLISVGKESLLHGCVTPADVSLQ FTTTGWVMYLASVCHLILGARAVLYDGSPFIPDATALLKISEQQRVTSLGISPRWMAE LMARNIAPKKVADLTRLRKVVSTGMVLPEQAFEWFYDVAFPAHVQLANISGGTDIADE ARLKHAGCFMLENPLLPVHAGGCVAPSLGVPIAAYDHDLPDGSRGEPLPPGQPGDLVA TAAFPNVPVLLWNDDLPAPGKKYRGAYFDRFRDVWAHGDFFVTHPKTGGLYLLGRSDG VLNPSGIRFGSSDIYAVMERCFPAQVAESLCVGQRRPKDLDERVVLFLVMKPGSRLDK AMANKVKDTIARELTKRHVPRYVFEIAEIPVTVNGKKVELPVKSIISGKTVKPSGTLL NPECLEAFYKFQKMEELEEPQARL UV8b_06640 MSRFFPHTAYAEDQPRPYAILTAHVLARGFTAGSLVGLAAAGVR QAVPAWRRPGPLAAAVLRSSSTGSLVGVGLMGLALGGRMYGRSRIEWQDRSWRLLENR GQVEVDDWTYVGMAAGAAAAAAATGHVRALGLRAVVGGAGAGSVVGMIGCLAWRQGLN GGRFPEKGREGDL UV8b_06641 MPGWVGIRVFMLAVDCTARFFGVVVSFYGAASYFCSCSCSCSYS YLYSLFERSRTILTWRKELPVTGWPRLPPEVRSQILRSIVYERHSLTRYALVCREWQR FIEAHKFSHVRIHDRQLRGLERRMVDRQRRLVRHIWLNIELREYTCWECDRPETEWEQ EANGRIIGLAVERVFAILSGWRATGRGLTLEISVQSPSDTLHWFPAWFFGGRADVPRG ICIPPCVLREQRPKPGGWGWYWFPDYGAVSRIWDQRSPLRLRQALPTVAAVTRLVMRR QSRRRFAATTLGLMLQNLPALEDLVYEPWRAWNTIDQAEFDHEYGLLMDGRCLPTSIR HISIFEDYNEFCMKQLVRSTRGASIRAQRRGRRPDTLLAIAVADATRRLERLEHLSVS FMIDALHFCTVAQPSWRWPELTTLALTSTMLHEATDTQHIWLLLRGAAATALRMPKLE TMTLWNAQHGQACSFTYSRRARKLVWRAGWRLRVDLAKLLAAWNEAYALSGELEFEHH AIEAARTETHAQGMQRLRMPLGGVVDAVSWWQMATELEMDVDGVPARGRVGSSSGQ UV8b_06642 MEKFLREWRQDALNKAQYESAIFIGDKLLALTNDDHDAFWLAQV HFATGNYTRAQAFLSKQDLVSRSPSCRYLAGHCLIKQSRYEEALAVLGERNPTHLISN GGSNKRKSQPRHHGSRRRDAGVDEEDAANRRFEAAMCFLRGICYAKQNAFDRAKECYK DAVRIDVRCFEAFQQLMKNSLLSPDEEWQFLESLDFDSITVSGDVSSSQEAADFTRML YTTRLSKYRNPAAFQTAHDSLSTHYRLSANPDLQLARADLLYTQCRHQDALAITNSIL HEDKYNFSVYPVHLACLYELKMNNVLFLVAHDLADTHPDEPCTWLAVGIYYFAIGKIA EARRYFSKASMMDAHFGPAWIGFAHTFAAEGEHDQAISAYSTAARLFMGTHLPQVFLG MQNHALNNMALAEEFLKTAYGLCKTDPLLLNEMGIVKYHQDRPKEAVQYLTAALDVAE EINSEPSAWLSAKTNLAHAYRRLRHFNRALDMFDEVLRLGGKDAAIFSAKGLILMEQN RPEEAVAVLHQALAINPQDSIATELLNKALEETVLTDVAADQDADHLAEFEHHLDERK MEAAQRLNGHDVGIGQGVPDKGKGRVTTRGALLDDEEDGGESIMEMTDDDQ UV8b_06643 MEPSPAVRVRSAALARDTNETKIQLAINLDGGDFPTATDARLLQ AATAHASQSSKSQRIAVDTGIGFLDHMLHALAKHAGWSLALCCEGDLHIDDHHTAEDC CIALGYAFHQALGSLAGVARFGYAYAPLDEALSRAVVDLSNRPYSVVELGLKREWLGK LSTEMVPHCLQSFAQAARLTLHVDCLRGDNDHHRAESAFKALAVAIKMATARVKGKEG EVPSTKGTLSA UV8b_06644 MSFTRAISTSLGGTIPAAKAKYVPTSGTYPKGFSAAGIFCGVKP GNTSKPDIALVTSDRDCSAAAVFTKNKFQAAPVTFSRKLLQSKANAGVRSVIVNSGNA NAVTGIGGLRDAAQMAEATDQRVGSKSSTIVMSTGVIGQRLPIAKILHHIPMAVQQAG HHHSDWMSCAKAICTTDTFPKLMSRAFRLPSSPGVEYRIAGMTKGAGMLAPNMATLLT ILATDAPIAPAVMKNLLGHAVDRSFNSITVDGDTSTNDTVALLANGAAGGKQVSSEQS PDYDALQTVLTDFATDLAKYIVRDGEGATKFVTIRVVDGASEESARQVARSIALSPLV KTALYGKDANWGRILCAAGYALISKPGQPISDVPEIVPEKTHVSFVPTDGSKELKLLV NGEPEQVDEERASEILEMEDLEILVKLGTGDKAAVHWTCDFSHDYVTINGDYRT UV8b_06645 MATEAGQPATAGVEAAKKEQKQPGQPKAQQKHEAAQGDAPGGDK KLSNAELKKRAKEEKAARRAQAKAAQPPPSISSPSGAHGAHGAAGDAKPAAAKAKANH PKQVGLPLHGHHRPASRSVLPTSASKEAVAKPKVPECFSHLSMARRIDLTHADKDVNP AVLALGQQMGSFAISDSTARLEATLLAFKKVIDAYSTPHGNTFSRHFTSHVLNPQIEY LTACRPMCFSMGNAVRWLKLQISKIDIDLPDSAAKKVLCQAIDNFIHERIILADLVIV KTAAGMIADDEVVLTYAHHKLVEGALLQAKTDGKRFRVVLVDDPFDRVGVAHAKKLAG AGIPVAYASDLGALRANLQEATLVLAAAEAMFSNGSMYARAGTCDIAAAATDLGVRVV ALSETINFTERVSTDSLTYNEIDPERSTDEGFRLLFDTTKDKFISVVLTELGNSSAMS VPAVLRKLEEL UV8b_06646 MVHRIAFWSCFGLAVRFWQVGIEMRPFFNKSSLWAYPLYAAGGA SFGYWLQGVDDRQSAMLSERKAYLLEKRKRKAEREAEEAGDAAAAAMQ UV8b_06647 MDYSSLRAAALRDGEDEEAVTVDTRALIDKVLARYSGEWTTLRE LIQNAADAQATTVTVKWETIPSTQVPLPSSTSRSDLLKHVVANHTLRRLVVQNDGQPF TKTDWGRLKRIAEGNPDETKIGAFGVGFYSVFADCEEPFISSGSEAMAFYWKGNSLFT KKSQLPDGKASRHTTFVLDYRNTTTPMPNLLSVSQFLATSLTFVALQTIEFWIDDYQI LSLRKKSSPAAHMSLPRDLEARTKEGLMKVVGVERISTQIAASYMSAIGWKPQSQASI TKCSESYGSNEPSSLRSFFARLTASASQAGLKTKSQSEDSLAQPQIAEDVTKVHSSSI YLSATSAAIQTNISSSFASELERATKKLPPKATKISILTSSFDEAGAPEVSAADSAFR KATDVFATVLPNKKPGGRIFIGFPTMQTTGAGFHVSAPSVIPTVEREAIDLNARWVRT WNVELLRAAGVVSRLAFSNEMSDLSARVRASAGSSKNIPSSTISKYMPDALHTLKTFT FGDSTPSNQVGQVVEEAFWTCFKKASIEAYSSQGVLQTTQVRLGSAELDGFVESIPVV PEDMKSSPFVKKLIDFGLISHITVTDVKRELEAKALTKAQMIKFISWAGKKSLSGELD PGSRAALIEVAVATVSDQDSGEIIALGSITNYLSDSILPASVPVPPTTIPFSFTINSN AFELQALGWEPLEVLPWFRYLLDTSNSRAEAESVTKTPKFSLQVLMILSKNWDKISNK DKVTLASLVQSHPIMPTKMGMRKPGDSFFPSVKLFDDLPIIQGCENMKEKFLAAVGVR KTVDLETIFTRLLNDSGDDGQRKWSHMELIKYLASVQNDIPSDDLRKLRESKFCPAEA GPQGMTSTQGSKKLYRVSELFEPRDALRALGLPLLKWPGPPGSFRPGSPEARFLSLLG LRVYPSVPELVEMMSSKDDALRTSAMAYFIANHHSNGYAAFHLGDTQKSFLPLQGSTQ LVSPSKCFTNERAAILGFSILRKDLHIHANKFGVALNPPMVDCVNRLLASPPRDKHSA TALFGYFASRITEVRESSLAKLRNASFIPVSRPSPTQSSKSGGAGASHACPTRVYLGS STTYGEIFDFVDFGQEANAFLFHCGAKSEPTKIEVAYMACSEPARLLSVLKSPEKYMD LLKSLADSSSNLRLDKELWRKLKTSPCLLAYKELATNKADLIEFEEESEAPIKQYQLA SPNQIVVVDDIISYRLFKEHLICAPEEDTLESFYLQLGAQQLSSIVQEDVRIGPHTTR QKVAGQLCKHVVERSKIFLHEYASYRRDAVKRDSKWLEKNLRVEMVRSVALRRTLQGQ RQSHTEKRSAAGAYEEGAWVLYVADDGPPDMYQIGQAVCQMVLKRPSQQAYLFFEPLL TLDLYGLRARGYNVDRILRAKAAEARIAEEERRKALEEEQQRIREREQSWAQQGNTNT APGGDVREPPGAAQAARQVARTPEPSKPPMPGAWGSPDDSVADGAQQPGKSGGLFSSL SRRLGFDSQDDSRDGSRKQLQQFVDDAPPAGPSRPGDRPNDAKHPQKDDGRVTSPAVV QQNLLNAVGATRAHGSDHVFSEPTINKVKEQATYCDSTPAQNIALAAEASNSIKVYVA KTMHTAAADFLAANIGPINAFARLLVEVGGVYSLSPTVLHIFYDESGSTIAFNTGGSI FCNLRFFLQLHAAQVNSGAGRAEAATWWWVVLAHELAHNLVSAHNSDHSYYTESFIQQ YMSKMIAKVSEVAGATSNASAAAPLASMPSAASPQGPPPPYSHGSQRAE UV8b_06648 MAHSNDPPLDEIQWRSPQTLAGMGGLHSNTILFYFAESPFFERT SNNAVIMSQAMNNMAMYHYIQTREAFEGRLKTMSGLEFIVGEEPAETGPGMGTGVWVI RKQTRRKQQYQEDDEITVHASFFVVGENIYMAPTVADILASRIMTISSSIAKALPAAE SARKWRPSVGHVYQVPSNQPSRNKTQELKEGSPTADNANKPTPAATHKNDELSLERSA EEAFMIHMRHGGEYVDENPITGRPGEFQLSSTGRKAVPLPSKGPEAGVGAMNGPAIIN PKTDLKKDSKSPKTPKSTTTPKLKRKKTKMSAANTPVAS UV8b_06649 MSYSSEAQVDDAFDTVSNTFKANTTKPLAWRIRQLRKTWWMLHD NRERIVQALHADLNKHRQETLPVDVAGIQNACLEALANIRRWTRDETPRRTDPLNLFG GARIRKEPKGVSLIIAAWNYPFMELFEPMICAIAAGCTAVLKPSELAPASERLIAEMV PEYLDQSAIRVVTAGPREMNYLLERRWDHIFFTGSTAVGKIIYAKAAPHLTTVTLELG GRGPAIVTPSADIDLAAKRIANAKFMNAGQICVGVNHVFVDPSIKQEFISALSKYFDQ YRSGQSCQPAYATRIVNDKHFKRLDNLLEKSRGKIVYGGERNPENRFWAPTIVDGVTT DDSLLSEELFGPILPILDATLDQAISYTVKHDHPLALYGFTSSQAEKDKILRLTNSGG VTFNDAILHMIVKDAPFGGVGASGMGAYHGRFGFNEFTHLRTVVNIPGWMELLLKIRY APYSDKKAAAMVKFTGAKTTVPFDRNGDDTRGFRGLFAKSVILVLVLALLKWKGWSVS EVMRVVQRT UV8b_06650 MSIPNAGIGRLVGVRGYSVLVPGLWNGPGQTGPTKTEIDRWGSL EDFPSTQPQRLNVLCNSHRHRLTFDDDLKVASVVFRINPGAEANIFAPEIAPVTIDQI WSTEALA UV8b_06651 MSVDAYTSPAMDLDLGIAAAGQETQPPSLQDSHRGYSSAHRPKK ASSTCSTCRFRKVRCNGARPICSNCQRLGFPCSYEDPDSDNWSMSLPRRRVKQACLSC HSRKARCSGHMPACDRCRTQGLQCIYRPGKRVKGPRSRANPNEFPSSRSYDGDERDRQ KDGDHDRHEDSDAPLTDPANTPSTLNHDLPGTDGSFESLTSRTFDQFFRHVHHIPMYS FLHRASLMEQYNAGKVDEALLLALIGITSCLTNMGDGVREYGDRCIDRAEKLIFSDYP RPSTFKVQALVFMIKHRILSNKYPSAFMLLSMASRFAAALRLNHNSPNLCFLAQESRR RLMWSLYCIDTGISAGYRDFALWRVDRIFVSLPCNERNFEFDLPQPAEMLDPDRNSPE ALHPEDVGSLALHVRILHLRQKIIEFTKDVLVSRVITDTSSLQEPVLALHKQLDDFAG RLPASFQFSESSLRLRAYSPRICVFVMIHVWWHQCHCDLYRMTMAGFREALPEATLQS LDPAFLTHCRRQCVDHSLAMASIFESMQKLGAKPVADLDLALCAYQCARMLKYALHGG GEGLGLTTEAVTDKARVCLQSIKQCCMGPAAGAIRSDLERLISQGLGSEVSSPRDVAA ALARTRAATTDNDESPRHPTLRNVEATGDPDVLSTTSIAPDPASSDPPGSFVAAPVAA SASQASFPVQAFTATAPWSSASNLPPVAEAIPAAPVAPPDVEPTDLAASSNKPESASG ELNSAYEGALGGFDHDRGLARAMGIELSPWNWSGDLQWPDFLRDGVGV UV8b_06652 MPTATRGRTATAAPNTPVVPDRPLRDYRLAFSGKFPGYSHKKLH SLVTPLGAAVTTAVSGQNTHLICDEKDYQKNAPKVADAKAAGVAMVRLDWLEEVIRLK AKVDPKDYLWLTGKEEEEEEEEEDGQEATPAVGGDGRTKPSVEREKRPIAAANSNTGN GAEGEAHDFEPKPKRHKGKGKAAKMEEEQADQDGKNVAEPVTMEKKLPILGNGQCLRR KNILIPVDTHCPLYNYSVYVESMSGMIYDAALNQSNTSNNHNKFYRLQILTNGSTCKT WTRWGRVGEMGQHAILGDGSLDDAKRHFEKKFKDKSGLAWRERNNNPKPNKYAFVERS YDDDSDDDQGGDADGAKEKDQEGYKPPECTLSEPVRQIMELIFNQNYFYATMTSLNYD ADKLPLGKLSKTTILRGFQQLKDLAALMDDLSLASSRWNMMPRDAMENLSNTYYSLIP HAFGRNRPPVISDEVRLRKEIELLESLSDMKDASNIMKIDRSKASTVHPMDSHYLGLG MDEMTPLQHDSNEFGLLAAYLNGSRASAHGINYKVHNIFRIQRSGEQERFNGSPFARI PSDRRLLWHGSRCTNFGGILSQGLRIAPPEAPVSGYMFGKGIYLADMSTKSANYCRSN VSNRQALLLLCEAELGDPLQKLTNASSSAGEEAKSKGMYSTWGMGSTGPCKWAEASKV HESLKGVKMPDPEAAPGPTNVEGSCLAYNEYICYDVAQVKLRYLFHVTI UV8b_06653 MADAAQSAPVPAVVLPIQKIPLEVLLRISYHLTTPELGSLRLTC RSIEKSLHTTFVKEFFTQKQFMMTQDSLQAFIDVSRSRLGSHLRYVHIGLDRFPEGVQ RPLSDDEKERKYRERYANNFMLWNTGHHRDMLAEAFGNLENLEEIIIRDFNSRRRSRD GMHAEWHSYGFTTTFNETGVSLSQGMAGIWNSGFPYQYCSQVFASVLCALGIAKARPK GIQIMSRNSNHLRDFAFSTPGFLEPSVVPIIQGLEKLHLCIDLAWRCPSMGLSPTQTT TNPDLFIRKFLAHATNLRNLRINEHRSNNTGIAALLDWIVGDPDPPGGPDDELPDLPR PMLSMPQLERLSLGTLVVDAPRLLNVVRKFAPSLKSLELWKVTMIRNMPPDDTRNPPK TIFWTAFLQKLTEIPGLNLWHFKAGMLQQHWVERPVPALVSFKGRGPVREYVGPDWKQ FVTDIASLLDVQWPLEPELNGDDDSDSGSQTDSELSE UV8b_06654 MHGTTMISMQRSLSSPVRTCSSPTASPTTTCQPTSVNPPPMHAE ARKDVAKPSRYRSRSPSRSSDSTQPALSLRHADEAKRWTYQRTLSGTAASWKPLVAQT DVVSQGGERRDPGDQPTTTPSMSSDTVARQSRTQPLLLDVTQYTNPHFTKDDESVTLQ ELAHVVRLSKFQERKRANTRVRLQRSLISTALSARLTRCGDIAHRNLVDSFRKDDKDG FTLLCNAIQDVRQSCDELRRYAILEPDMGPLSSAALGSSESTDSPTSSTVAKSPLQSI TSFLHDISASARETFLAFLSDLRTDPDYLATRICNLSSSELCAFLSFHKGMEPVESVL PFHGRSASRHHASASSRSNAGPDIERLLSFQRHDPLSILIHSCFANSAGPDSSEDRRR TETWATVLARLIEQPKSSSEQFLISVLNIWIAMRDWSGKSNMEWYLMKILEDGAFILD RAEDQHGTRFNLSDWNSSDEEAAKEFYDNAVLGLFELVDDEDATGIPEGLLELGNAIL RKLDNKYVENTSRWLVWRCLFFVFLLGVIVHPESHGMLAEYHITPYAREKILKKVAMK AHEYVSSMWSGKPSATCVPVEVPTPIKGHVESILARFQGSRSKPSTAKLLPARSVTSL RETVEVHPYLVLSPSDLVTLINALFPERRPTSSMSNSLRSGSASVSQQVPTAANLRNV NLETASIISTSFSSVVSDGTTGPRDGLQDDQSSGFSQRYSPPSADPEDQRRLNHYDDD GYRLRLALHELRQTLGHGETKGLCHPCAERWTVLFISSDGGKLSTTMTYDPDEDMDEG DDDSSSTDTDEDEDDHGPELDKDYYQLRDAILRMVEEYDLPRSLEKAGDSAPQLTNRA SRLRRYKSKNRIITAEKSMSSRNPYRSHASAKRTNPSAIAESGGTYGATSGTNQPPND QEDEPVLLQMLRAASSQSKAQADFVSSHVYWKALKNLSNLESQSLRANGYAVLINIFS RGPRDSMRRSAAAIEEYDAWLVWLKQSQERHEALIDQMMRRVRAMRDKMWFVTDVRNS KEYGHSRDICQALKKMGMPRRWNSLQRCRANATRAPTSFYLYRTESQIMDLLAAPEEQ GGPNKLSDDQAEMTSLWLQQYGIENFCQGEERIHRFCCEVDKCISKLVGETIRDAPVL WSSDLYKREKLVYDGMRAREKDQGWANDDTASVISDCDRRLGSASGRPSWLGRETRNT SHSSVSQHSSDASRINFARPTASLSDVVDSQDYFEKSSPANTIDSVSTFWSPFQPVLS PGSGISRAYSPTTSLANFSTTFSGPLNHAPLPSSSSVSTGRTGTSTSSNETVFQQRYE DEKTRFLADLRQTLTSLILSDLGSQVLARGSETDFWFHSLGQQCIDRKDALDCRARRF SEKKDKDAGSRSGTRPRVIEKKKSFRNLRGVGGGDAAGDRSSELPSISVCEDTDTPLG INGQTSGTRPSIAKDGGIDFPFKKAYHRLLNMFSVNPNPFAKLNALNELENLIVASLM WNGSKKLRANRSDAGSSSAEDRGVHRQTPLDGTIDNVKERRFQALQSGFQTTGYGHQP RQANPETRSVVSTSAATTDLITSELQRLFRDAGIRPKSLFRDLQLIASFVPPSILDRP ERGKAFWNAGLAALKLKSEVCLTMVEMADEVIAAHTRSRKSAGDTNNNNSNNNNNMPP APQSPSLTGTPPPPSTTYKLDDVGKMWAITAREGYPTAQRELALFYLSNPEFVERTTL PLSKPRQVFKQAVMDRYGRPERARGNVGVAGPAALANGGTNARAMPAGPNAAGVGSAA VNGKGDVRNDVGLMCVAVHWMEAAEQGGDDLATSFLRQNEFMTLA UV8b_06655 MHGHTQFPELDSTSEVTRLIFGLPPAPSGGKSQVGEIWRCWMKW PAISVKGQQFGHLAMAVRQGHANQALSSREGGNADAARSVGIPAVELIDVAFRYSTLG TFAKGFTPVFGVCRRKLPNYLLGKIPRSSRWPAAAVHMNRRNFFKETPAPTTIASFAN ESEVPLVSPSSCFEMDSQTASRYGDEETEIQLGFGGKEQSLVLVTVPKRSNISIQVNQ HTTKHPERHSCHAGFPMPELAAKQPRSTMVFIHTCKYVKP UV8b_06656 MSSTPTPLAPSPPTQDAASVCRAANDPARIPSASAPRRSPKSAA AGSATAVPAPPFKFRAPLPSAQQQPETNTSAKAAGRPAPLRRLTAPLPTDKTAVAAAM GPASPAADMAKISMTSKEWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAAR VGELEQQLDEIREAHQADEDELKDQIHGLELDLQSFRSRCLLLESMLDRERQERIRAE TEAEALKRSHGNDLLPSQNMSAPRPSLKPSLYPNQHDARHHNSVSEGRNGQPQLGGKM PISQVITPPDSYISSDNPEADAAITCGNCSPNGRCACAEQVLASAASGCGKCGFGLPC QCLDDFVIGQGQDQKRPSSPTALSPGGKRQRSVAVAKPATETDFTAIFSTRFTASAKA SQSSHPQASSSGSQIPSGGSGSVAFKDSCGFCKDGTYCVCAEDAAGMATPAWTPTESM AIASHQTQTPPPSEPDVLAAPLAMEMTAEGAVKLPRRVAGKRPAATSSSSRSSGCGAN GPGTCAQCQADPKSGLFCRLMNAKYSREQGISSGGCCGGKGASGGCCKSKAQPEKITL PSLPSLGLSCAEAYQTLSSHKNFSRAADDISSWLPKLKATTQDASRGLVTGRHAIEVE AASIMSVLKEFDIRFGRDA UV8b_06657 MAHPSAASNHPRPTSPTSIAAHDFASDTSESGGDAPLPFPAALP RSDFLVPDFDPAAYLSALPHRHQTLEDLRSDLRDRSSTISSELLELVNSNYTAFLSLG SELKGGDEKVEDVRVALLGFRRAVEEIKANVAKKSVDTRQLNDELRHVRTSIEQGRKM LELSDRLDALEERLTVNSTPAGAVAETDWESDSDDDEGGNGPAEGFLASSPSKLLKSA AECGDAVILQSSLDQQHPFVIKMRERLTKCRSTLLLDLGNALKEAKGAGAKGHDRLLK YLDIYRMLDAQREAVKVLRSR UV8b_06658 MMPIDRCLASMARLSMSQASRPSANSIPRFLAAAVSQQTRLASV VRIKKVNKKRALPKDFKRHNLDKRQFPRFSLCEAMRVLRAVEVGQPPASVKYEVHINL KTARNGPVVKNSIRLPHPVQSDWQIAVICPEGSDIAAAATAAGAVAAGEESLFEAIRH EKIEFDRLICHESSEKALNKAGLGRILGPKGLMPSKRMKTIVSDVNKSIRDSAGAADF RERQGVIRMAIGQLGYTPDQLKSNIQALLKKIKADCAEISEDVPKEVHEVILSTTNGP ALSLSGKFNHAEDDVQPEALAGVM UV8b_06659 MKKEELGALANNLSGDFRTIEPHLRDLDKHLTLRTHLEGYTLGD VEKSIWQTLRSNKAAIGFIRRNGLPNLTRWFTFLEQTHPDLQEDSGKSKTVANKGGAN YNLALQDTEKGVVTRFLPEPSGYLHIGHAKAALLSDYFGHVAYKGQMRLRLDDTNPAK ESEEFQDAIVEDLALMGIKPDSLTYTSDYFDYLYDMCKKLILMGKAYADDTDQETMRA QRMDGIPSKRRDRRVEENLRVFEEMKNGSDEGRAHCIRAKISADDPNKAMRDPVIYRC NVENAHHRTGRKWNMYPMYDFACPVVDSHEGVTHALRSTEYTDRNPQYQWFLDTLSLR QVHMWDFSRINFIKTFLSKRKLAKLVDTGKVWGWDDPRMPTIRGVRRRGMTVAALRDF IIRQGPSRNVVTMDWTSFWAANKKEIDPVVARHTALLRENLVKVKLTGPEVPRGVTTE QRPKHPKNPDVGLKDVVYSPEILIDQEDAKSFGVGEEITLMGWGNAFVRQLPSEDPIT TMTLELNLKGDFKSTDKKITWLSAEGLQPVPAELWDFDYLITKDKLEEEDNLEDFLNP DTFSLVDAVCDGGVGKLKKDDIIQLERRGFYRVDKGMDDWAPGEAGLKGKRVVLFYIP TGKTGGK UV8b_06660 MPDVTNSTTGRNGNGNGASVNRKQSSPMAPTFMVSAPGKVIVFG EHSVVYGKAAVAAAISLRSYLHVTTLSKSKRTVSLRFPDIDLLHTWNIDDLPWNVFQQ PSKKKYYYSLVTELDPDLLTALDPHVEVISPDRAEDIRHIHRNSARAFLYLFLSLGSP SSPGCLYTLRSTIPIGAGLGSSASIAVCVSTALLIQLRTLSGPHPDQPSEEARLQIER INRWAFVYEMCIHGTPSGVDNTVATQGKAVVFRKTSDPKSPAVRPLWDFPELPLLLVD TRQPKSTFNQVAKVAKLKAAHPKLVGSILDAMDQVAGSAAQLIAGGGKLGEQGADSLR QLGDHMSINHGLLVSLGVSHPRLERVRELVDHEGIGWTKLTGAGGGGCSITLLRPDVA RQELGRLKERLHAEGYADFVTTLGGDGVGVLWPAVLKNGMDEDDEGGMEIDVDLFLNT QGTEGVERLVGVHGDGGEREGWKFWQVEGP UV8b_06661 MNKDSSLTQIHMHRASDADLFEDFCKDKLPCDDIYVAPQHQPIN PEDEDDVVPDQHAAFGIQRATQKVREPAWRDLGLGALMTKGPGRGQRVAGKAGGMPR UV8b_06662 MSNLPPAAPGAKESGLRVPSNGKTIYHRPLNRSKTAELSQASFA YLFGEMVTYAQRRVKGIQELEQRLNAQGHPVGLKLLDLLLYREPPRSQLRPLSIIALL HFIKQSVWQHLFARQADRLEKSNDPDAPGEYMIIDNEPLVNRYISVPREMSQLNCAAF VAGVVEGVCDGADFPARVTAHTVAEGDMWPGKTVFLVKFRAEVVEREGFLGVKS UV8b_06663 MADAEEASKTPPAVRKTPIEAPSPLSKPDPRPQLTQAQQAKYDE LLARAKALGRIACSPCPSGETRQDKSGPVTERERAWLTRECILRYLRATSWSVGESEQ RLRDTLAWRREYGIDGFTPEYISPEQETGKQIIVGFDSKGRPCQYLNPGRQNTDPSPR QVHHLVYMVERVIDVMPAGVEKLNLMINFKPSKQRQNTSVPISIAREVLHILQSHYPE RLGKALIINVPWIVWGFFKIIKPFMHPVTREKLKFNEDMRHFVPAEQLWSSDWDGDMD FEYDHSVYWPAFSAMCRQRREQRMARWRAAGSQIGESEDYLAGGTDVSVTGFTFSSTD AAAAAPVPATTTTTNTTTTLACDAGARDGDDGAQEKLLAGG UV8b_06664 MEPATNDDGPDGSSIKTVSSLLARFETLNQSTPAPPPSLNSASR PISPAPKPDRLRNFKLSQDATLSSGPTPSLSLSAPVKSAAKPPVKPPQLHASESPSTS RNNEASTMPHAPSQSREPSRRMSTASAMPPPPAVKILPPQSPPKGHAMVVAAEEQSPF LDPATAVSEDLPGASSKTVKPPSQPVTPIHPLSAPRSPRLSISQPPSPPPPRRSGELK REGKQPPPPPAPRLDKSKNITPSCSKSADAHTPRQPSKSSIRNEMSPFNSPPTSAKKE IAQQVPPQLPMRPRPNVNDALSNRSKAPFSGSDSSPVHPSAGARRLEREPSVSGPLKP VVVPQQSIQDGSSSSSLRRRPTRDLSADTRNSAAANADIPPPKPPRPAQRDVPRSDAS HTSISPGLLHQDSTTHQYSAPPSRGQSLSQKAARIADRDPGPSTSFQPTTARSTPRSL SPEGPTAGTEAATHVTAFPDNANVNRRPPFVKKGCYEVNTKYDPRVFDVCGERACTSG QLTRVWDIVDGEQLMSLSHTEGVRATAVAFKPSADPEHEGSFIWIGTNVGELMEVEVA TQRIGELRSGVHGKAELMMIYRHSKELWSLDEGGTLNLWVPDSDGVPNLRSQPVVQPT RLPRGHTFSMVVGDELWHATGKLIRVFEPTVDGTKPFQVLVRPLTAEGCGDVTAGSTL VPAQGRVLFGHVDGKVSIFSTSDYSCQQILNVSSCKINGLAGVGRDVWAAYNTGKICV YDTTKQPWTVKKEWQAHDHSVIKLKVDPSSAYRMDRLQVLSLGVDSRIKIWDGILQDN WLEDDLKSKDVNYCEFEEIKAMVFTWNAGASTPHSLRYSDGDSSFFQNLLQSSGSPDI LIFGFQELVDLEDKTATAKRFFKSKKKEGSEQERMSHQYRDWRDFLLKTLDDYMPSND LYHLVQSSPLVGLFTCVFVKSNMRDRIRNLHAAEVKRGMGGLHGNKGAVAVRFQVDDT SLCFVNCHLAAGQSHANSRHNDIAAILEASLFPAERDPDIRLDTFTGGGDGSMILDHE LCILNGDLNYRIDTMSRDTVVKAVEQQQLRKLLERDQLLVARRRNPAFRLRAFEELPI TFSPTYKYDVGTDTYDTSEKRRSPAWCDRVLFRGQGRVRQLDYQRHEVRVSDHRPVTG NFRLWVKKVDPMGRAKAWLESQERFENVRAQIIANEKLTYLVETCGFDRHTSQSFLEQ GSLKRAHR UV8b_06665 MNRFGNRDGMDVGRVLSKTVPRKNSQTIVLDRRHEHGEIFLVSA EISMLANLDSLESSTSAKCVTHRLGWGSVCVAGAGAYYLAKREITADKQAKLEAARRK RQAIRTMECADEVESGSSWTADAKVPTTDTAGLPSQEASFDPAATSHAAATEPQQINE RSKYESQKVYRSPKGDRFS UV8b_06666 MASPELAIAKAALSASLFRADPSSISRPSVDSFIQLINSTLAQC SRPNVQNCKDYIASNISHSTGRTTSLAKYLVALAKSQSDNSSLPRPSAKRRRLHLLYI VSDVLHHVVVRKNNRELSTPWEPYLGPLFGLAGSLDNGPKHMKKLESLIDLWQERQYV AESLIPKLKDALASGASSGPAPESEAEPTSIKLAKEAPYILPSFHGDSSTAWYDLPAA TWLPHLVPNSTKPMLPDLIRPIKLAPGPADEALVAAVKGLLTDAERIFSKDCVLDDDT RVDINELGERVVLDEITGDVISGETYYGWSRQFCQRMKDRKKGNRDDRRGRSDSRSSS RSRDRGFSRGRRRRSTSSSSRSFKRRRVSRPRSRSRSYTPSRSRSRDLDRGGDRSRSR SRSRSRSRSTRGFGRRSRSRSRSRSRSRSLSRSRSSPRSPQVRDAPFDHETHFPPSTM PDFDMSMAPPLPPPPPPPAGYQGPWPPPPPPPPPNMSGLVPGWVPDPAFAAQMLGAWN TGQMPCPPPSQPHYNNHFGQRGGGGGGGFDGGYRGRGRGHHRGGGGYARGR UV8b_06667 MKRFGKGLLARGKDASKSSKKNKDSKDGTSSPSSRDSNQSPVLT PTSSSTNLSDIRNKPLPPNTSGHGEHAAPNQPSSAGPGPQSSLPDRFGSMGGASSPNG AASNPRLPPTVVISPTPGHIPPPGAAETMPHDLAPPKAGQKSLMIHRGIDNRDAIPEG LRTPKRQHSSRFDISAHRELEKLPGFHEVPPNKRQELFMQKIDQCNVIFDFNDASGDM KAKEIKRLALHELLDYVANNRQVITELMYPRVVEMFAKNLFRPTPPPINPQGEAFDPE EDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDHSFVLQLLDLFDSEDPRER DFLKTTLHRIYGKFLNLRSFIRRSINNVFFQFTYETERFNGIAELLEILGSIINGFAL PLKEEHKIFLTRVLLPLHKPKSLSMYHPQLAYCIVQFLEKDASLTEDVVLGLLRYWPK VNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKSVASPHFQVAERALYFWNNE YFCNLVSDNVEIILPIMFAPLYENSKGHWNRTIHGMVYNAMKLFMEINPQLFDDCSHD YTEQQNSAAEREALRERKWAALAEKADQRRASAGAGDAPARPQAGRVLSRVDEVDPAT EDNQKRLDSLKLQDASGKRAGGHERQSSQSSARSR UV8b_06668 MEWSPEDESALPSNTGASEVGSRGEQGAPRFRIPARELTAVEIP AVVENLDRAVRAFGRVPTLAHVLDPTRTSIPIYLHPESPFCKPLMSHNAASHNVVLQV TVPRRTGRRRKRGTHGPWEGDIDDADMSTSSNAGQVRSVARLDEPKVLRRKLQDNVGK YQVDAVGVIKLTHRFRALADFYWDMKRSDFAQRYVEQVLPGDVEKLRQFAFEGGTDRA PNVDVIPPPIFTHMSLPFNYFYSQNPYVRTTEDGDTFNLTAVKQVGYFIGAEDPAPDG PQLPPDMADSRMLEVVEQLQDAFKIRPMWTRRSLMNHLQGKLQSWNELKKYLNYAAYQ FKGGPWRDSVVPYGVDPRTDPRFRIYQTLMFKLKKHRRTIKDQTWQSVRKSQMGASNT MADKASDSHIFDGQTYSTDGKVWQVCDINDPLLRELLDNAAVRPECDVNNSGWYHGGL WAKVKAIMKTKLVGIQFGRQLGKEDFASTLECGDMTPVRTASNTLHLPLPDLNLTTEE LTMLRGREPSKKKSRGYNVRFRDAGNGAAVAAEQSPAPFGGSSVVGADGVDSENDDSG SEGEDEEEEEEGGEEGMEADNNEAYEDDNLFDERGAEYAYRSYEDVADMDDGTAMSMG HVAYPELD UV8b_06669 MSATNSTNPDEGEFLCKPCHRSFATWEALLQHKAYKRKTGAKDH IHCQFCGRDFETEEAQGSHIKQNHPKDQVLKCPGCGQGPFPRLSSLISHIETGDCARI DTCTIDELREKKLEFPRRLERLTKEAVKNNYTNFALPKPSAGSYRAEPDAKDSALQWA GSDSLHLPVESGKNTAAGNDGGNAARDGEAVAWNEQMKLHPDAQAVEKKPAPSQEGAE RVLHHSTDPDDPDSPGFNAARYYSDIIGQYICPKNRCGKVFKKKPGLVNHLRSPAHSD RQYRCPYCLRPFKSLTAITAHVESSSVSCRIRETDGYGAFVDQLTAGMVDVGKSREDG TLQYSTSDTWLQG UV8b_06670 MPRRLARTIQRTCCVAATYVPLVFVYGLTTWAIWMVVEMGFKAP EASWLGTGSSTVGVVLYVLLNWSYTTAVFTDPGSTTNSNGYGLLPTAQQHRGPCAASF TVKSNGEIRYCKKCQARKPDRAHHCSTCRRCVLKMDHHCPWLATCLGLRNYKPFLLFL VYTTLLCLYAFAVSGTWVWSEVVQEERAQQQLDDLLPVGFILLSALSGIMGVVIGVFT GWHVLLATRGQTTIECLERTRYLSPLKQPYHAAHDPANRLPQAAQQFVDFHANALPGI TRPEEGEEEQRPSAGAGAGPLPLPLPLPLPSPSPSPSPSLSWEERERRQGRRRYEEYL DEQDSTKLPNAFDLGWRRNLLHLLGSNPWLWGLPICNTTGDGWSWEASPRWLEARARL SRERQEQRAREVSAGWGLDDAAPSYAPGPPAQRGLSLSLSLSKADRILGRDANMYADG SQSMPMQRLSAKGRSLDDELLDTDDEDAAQAPAPRAADDDDDNNYDNNKNSSNNSNSS SSSSSNSSDTSARRAAPDGRLNGPKGRAWTRGGASGMLRKPGPKGTFGGRASVPDEGV D UV8b_06671 MSITSMFLDPLPKASSPNSTTGSISGSGNNMAGPVIPLQLQRGT WLRKISKKNRSKRICLVYERETNKLAWDRPRPNKFLHVDEIREIRLGTDIQQYAREFN IPEWERSTWFSILYTMPEKSPRTKLMHLMADSVETTHLWVNFLEAMLKHRQDVMTALM TFNDKAIQQYWQNEMWKQYGDQSRIEKEGELNIAGVMRVCQNLHIYSSQSTLQANFRL ADARHREALNFEEFSDFVRLMRQRPEVQRIIRGIAAKPDKGLTFPEFVTFLRDTQREE VDANVSLWERHFAKFVRKHRSDVLDGPEGSQDTAYMSEAAFNGFLASRLNGPLPDEPQ GYTLDRPMNEYFISSSHNTYLMGRQVAGQSSVEGYIRALAQGCRCVEVDCWDGSDGQP QVVHGRTLTSAISFKEVMTTINKYAFVKSSFPLWISLEVHCNPLQQALMVEIMKEAFG ARLVTEPLDPTSDKLPSPSELMERILIKVKKPRANQDEGCLPAEPISRRRGNSVSSPI SRPAILDTTTFPPSQSLPQSPLLSATHQARRLVGKPRVNTIAEGAVPDMPSSSTSDTE SGSEMGPSVRRSQNKTTKYLGALGVYCAGVKFAGLEDPAAKTFNHIFSFMESSFAKHS RTKDAKMALDIHNMRYLMRVYPDGLRLSSSNFDPLIYWRRGVQMAALNWQTFDLGLQL NNAMFQGGKDESGYVLKPAELRNIQILPYNSAIAEGKKERSVVSFAVDVISAQQLMRP ANLAAGKPMDTYVEVEVFHANDKRDKKEVGSGLTHALDSPLKFQTDIVRENGFNPMFG HGRFKFTVTTKHPELIFVRWSVKLASYGESYNSKDSAAIATFTAKLRNLKQGYRTIPL HNHAGDQYLFSTLFCKIGVESIETRLFDIAAAGQDGSKLNRLGGKVFGRANTSPRTTF EKSNEKNSLDGPV UV8b_06672 MRTGLGAAQRMLVQAGESRDEPQHQPSSLIAVASLTTAANLESC FTAQTDLLFPFDTPVADRGNNDQRSTRPPHVTRMAPPENNATRCNEGIPSCNDTLLPP SNNMEPRRGPYPMTYHATAFLHLGPMNEPDSDV UV8b_06673 MAMAAATEPQRLSDAIVTFSLEGMFPEDISVLPPVPDIDLEPAI EALDRSKAVLEAEIHAINEEIKTDVSSWAQNAKTLQDDIVRSKTIANDIVRQSEAPDI SGELVRDAQDKTRFLTQEVQYSQQLHAVLTKIAGLSQLLDQTEAARNEKRILDSFRLL EQSRNLIDEVGVSKTCRIVRLLDHRASELKSSIHTVFNNIWKELVQLDVDRGGMTIHE SLPEGGMTLSEAVIALNAYKETDERMEQLWRNLDGAIVSARMDQSKKPAKAIEVCGDE LALTGHSDGTIESLLLDLEAVFSFVSRKLPAELLNILGGFMVTELIPRLIQQWLNPAV PCSLEEIPRFQIMIDRTQIFCSALEASGYAGFEELREWADKAHMTWLTRRRETALDTI RTRLAGGIGRPKQVERIEKHMVTMSEGKELAATGAGAGAAADANDWGAAWDDAWDDEE GAEEPAEEAKSHTQVTSATTAATTAATTAATTAGEDDGVAAWGWDDDEAADRQGNEGA EASKTDAAEDEDAGADAWGWGEDDTTTGDPEPTKPVGKKPKTKAAAPEEKRELVLREK YHISSMPEPVMDLIVTVLENAAVLTQGREEYRLIAPTAPGLFSLPTLILALFRAISPY YYALAEGGNMYLYNDAVYLAEELSKLSEAWKERQDVTARARNMLRLGNDVKTMQNFAN RSYANEMHVQRTVLQDLLGASQSVVQQEDAAAALEAGTRRIREMATLWEGILARSVWL QAVGSLVDALATRVIADVLDLPSIGQDEAYRIASLIAAATALDDLFLPSKLGAARASR DEASTTDQYAPNWPRLKYLGEVLQSDLGGIRRLWCEDRLSYYWNEDEVVDLIHASFED NARTRQTIREIRSTPCPAVDL UV8b_06674 MPSTTLSSQFRKVQSFKIDYAPCTITHYVSERSGMHVVVADRQG PKINGYFTLATEILDDSGAPHTLEHLVFMGSKSYQFKGLLDKLSSRAYSGTNAWTATD HTAYTLETAGWEGFAQVLPVYLEHIILPTITDEAITTEVWHIDGGGNDAGVVYSEMQA VQFRSAEIMDLKARRLLYPKDVGFRYETGGMTDALRVLTPERIRQFHRDMYQPRNLCL VIVGETDHGNLLQILDDFEESIKDDIPPPDSPFNRPWLDSAQPPALGETIVTTAEFPE EDESVGEILIGFFGPNCVDLIETSALNVLLTYLCGSSVSVLENILVEKEELASSITNW WEARPNSVIWLQPTGVATDKLEQVEKRLFEVLRDVASKPLDMDYMLECIRREKRQVKF QAETSESFYSTNIITDYLFGKRDGSTLRELASLREYDVLEKWTDEEWRAFLKKWMADA HHISVLGKPSHELAKKMKSDEEAFIAKRKQELGTAGLEKLAARLEQAKKKNDQPIPAE IIDRWSVPGTESIHFIESDTARSGYARSIGLGTGPAQTMIDSARDGKLPLFIQFEDVP TSFVHINIHLGTSEVPVELKPLMSIFSDNFFNTHIMRDGNRVDFEQVVMELERDSIGY GIGSSRSFGDADGFLVQFQVEPDKYAAAVKWLRTMMFDSVFDPQRLQAAVNKALADIP EEKRDGRGMAAEIDAAIHMNKSSLTVAKRTLVKAVYLKRLKKLLKTEPRKVVDWFNTI RKSLFTFQNMRFLVTADVAKLQDPIVTWNALAEVLHEQEDMVPIPKFVDLLNDEGRRP GSVGATVVPMTTLDSSYSVSTASSITSLSDPKFAAIMVAIGYLETVEGPLWNAVRGAG YAYGSYFSRNIDSGILSYRVYRSPDAYKAISASRDAIQKIATGEVAIDKHLLEGTVSQ VVVMFADEQATMSSAAQRNFIQNVVRGLSKDWSKEVLKKVRDVTADEIRSVLREYILP CFEPGKSNVVITCAKLMSENMETAFKGMGYKVQTHELSHFHDDYGLTAGQEDGDDDDD DDDDDEDDDDDDEDGSEGSRDSDGSDED UV8b_06675 MDFLQRLARFLDRPLFPWKKLILGFSVGQYLFESFLTLRQYRIL QKTQPPAVLAKEISQHTFDKSQAYGRAKARFEIVSGLYAQIQNVAFIHYDVLPKLWSW TGSLLLKWAPRRFGGEISHSIVFVLTFVVLQQLLSLPTRIYNTFVLEEQFGFNKQTPR LFVADMVKTNLLTVALMPPILAAFLKIIQKTGSQFVFYLWVFAAALQLFTTTAYPVFI QPLFNKLSPLQEGELKTKVERLAAGHKFPLQELFVIDGSKRSAHSNAYFYGLPWKKHI VIYDTLIEKTQTDEIIAILAHELGHWKLGHTTRLFGIAQAHLLYVFSLFSVFINNASL YNAFGFHTQYPIIIGFILFSDALSPMDTVIKLLLNILSRKFEFEADDFAKGLGFRTEL ASSLIKLHAQNLSTMDADWVYASYHFSHPHLSERLKALDWKPKEALTSDKDKDEQGSA KATGRDEL UV8b_06676 MPASEVETALANGHDAHVQPAPVAGKKAKGKKTMDSSEASRLLQ ARISQLEQDAAGEKDQELEIEREVKRANRDLMQQVAKMDDMQKIDHLTKRSSELLADM RRLEKENQKNKKRGDTLQKERDTGRTELSKTVGLKEKLEKLCRELQRDNNKMKNENKE LQTTQKRNNMAWDEKYATLLSKLEGYQEEKDTPRKQVVDMEVDELFRVRFKSFIEQYE LRELHFHSLMRTKELEVQYHQSRYERERKSAEAETTKARHLQAQVQAFTKTETELRTQ LNVYVDKFKQVEDTLNNSNDLFLSFRKEMEDMSKKGKRLEKENEALKRQKEATAANII RMAEERQGWKKQLDTAQKKVDKLMSIIQQMQHQGRKGPPGLASVMESAYADNQGDAEG DDESDYSNEGDDEDLSEFDDDTEEEPQPAEKRSTPVTYGPERPPPASEQQTAPTPNGR UV8b_06677 MLRNAASAARRAVTDLSQYPKPGDKLHGFTLLRSKHVPELELTA LHLQHDKTGADYLHIAREDSNNVFSIGFKTNPPDDTGVPHILEHTTLCGSKKYPIRDP FFKMLPRTLSNFMNAFTASDHTFYPFATTNAQDFKNLMSVYLDSTLHPLLKQSDFTQE GWRIGPENPTGETEESKKLVFKGVVYNEMKGQMSDAGYLYYIRFHDHIFPDINNSGGD PQKITDLTYEQLKAFHANHYHPSNSKLFTYGDMPLVDHLQEIDARLEAFDKILADKKV HTPITLKGPREVTLQGPLDPLVDPDRQFKTSISWITGDTADVVESFSIALLSTLLMDG YGSPLYRGLVETGMGADWSPNAGYDSSAKRGIFSIGLTGVQEADVPKLKGKVQEILQE ASQQGFEKTKIDGALHQLELSLKHKTANFGFSMLNRLKPKWFNGTDPFDSLAWNDTIS AFETKLAQGGYLEKLLEKYLLNDSTLTFTMAPSVTFSEDLVKEEQDRLHGKISHVIQK AGSEAKARKQFEKQEQELLLEQSKTNTEDLSCLPTVHVKDIPRSREPVVICDEVHNGI PIQWHKAPTNGLTYFRAINALERLPDDLRELIPLFSDSIMRLGTKDMTMEKLEDLIKL STGGVSVGYHSTASPTNFHESSEGIIFTGMALDRNVPVMFDILRKLVLETNFDSPEAA LRIRQLLQASADGVVNDIASSGHRFALGYAESSLTRSAWLRQQVAGLSQVKLVTRLAG RSEADKLEDVVDKLKRIQKFALSSDNMRTAITCGPESVSQNSKSLDGFAKALPRGVSD LQNPDPRKLSTDRKTFFPLPYQVYYGGLSVPTASYTAADGAPLQILSQLLTHKHLHHE IREKGGAYGGGAMYKPLDGLFGFYSYRDPNPQNTLNIMRNAGRWAVDKEWTERDLEEA KISVFQAVDAPKAVNQEGMGRFLSGVTEEMRQAKREQLLDVSKQQVREAAQKYLVESV EKEEECLAFLGELQPWVDASWKRQEMNVATVGAS UV8b_06678 MVMATAILESHNQNVNHVSMKKPLLADYRHHHALVDAKKLVGDA LHQRVESVDHELCEPGDEDTFYVADLGEVYRQHLRWKKNLPRVKPFYAVKCNPDAQVL RLLAELGTGFDCASKGEIEQVLRMGISPERIIYAQPCKTNSYVRFVKSVGVQQMTFDN ADELYKIAKLFPEAELFLRIMTDDASSLCRLSMKFGAPMDTTEGLLAVAKTLGLKVVG VSFHVGSGASDPLAFYKAVRDAHTVFQQAGEIGLHLRTLDVGGGFCGDSFEQMAGALR GALDEFFPAGNSVNIIAEPGRYYVSTAFTIACNIIARRTVEDPTLDGKGYMVYVNDGV YGNFSSIMFDHQQPVAKVLRCNGRTVYNTPSAHACSAGEGVEYSVWGPTCDGIDRISE SIRFDRVLDVGDWLYFEDMGAYTKCSATQFNGFSNSHDVLYVCSEPGARALLSM UV8b_06679 MGFADFLSDAGLTVLNNWVRTRSYITGYSASQADVACFKALKSS PDAQKFPHAARWYKHIASFEDEFASLSGDASKPYTVYGPEASELTLNPAKAPAAAADD DDDDVDLFGSDDEDDDAAAKIREERLAEYRKKKEAKPKTIAKSVVTLDVKPWDDETDM KALEESVRSIERDGLVWGASKLIPVGFGIKKLQINLVVEDEKISLAELEEQIQEFEDY VQSTDVAAMQKL UV8b_06680 MAPGRRSTPRGAGLLEDIAGLSEHNGIRRTRLASSNPTNSDALV VPSESTTPVKRPRGRPSRASLLTSNASSAPSSPALNSSKQQRTTRSLAVSSMSVSSTS TPQNRSSDDEYSTAATSKVPTPLLANGSALSTSSKPSASFEVQLPAKIRVGDYDDDRA LRNSIYSLNQSKRNTPNEIADSDSDVDDFSLDTNRDVKTARRLQAEEYARIEDAPLLQ AASMRRSNRGAVSDTSLSPPPTRSASKRGRASGCSSVQLDPPAKRDRIVPDSEDPPPS DIDPDAEIAAAAALEYDGSELTDFSSEDSFVVSDSEPIEVGEPDETESEFQSSDDEPL SAKKGKQPAKPKRTTAKSRGSARKRAPTRSDAKTSVKRRRLGTHATDVARDSDGEIDL EADDDYAVGVINLAAGASPVSVSSVSAGIGSTSSSDAEVDLAALRRLSARRRAYRPGN KRRLKNERGRLETHHPEILTMWDDLEKMPVLQAGQAPQPQTISRQLKPFQLEGLAWMK EMEKQQWKGGLLGDEMGLGKTIQAVSLIMSDYPAKQPSLVLVPPVALMQWQSEMKSYT DGTLKTFVYHGTNQKTKIITVKELKAYDVIMMSYNSLESMYRKQEKGFKRKDGIYKEK SLIHAIKFHRIILDEAHSIKTRSTMTAKACFALETNYRWCLTGTPLQNRIGEFFSLIR FLNIRPFALYMCKQCPCSTLEWNMGEDSRCSRCAHAGMQHVSVFNQELLNPIQKFGNL GPGREAFRKLRLMTDRIMLRRLKRDHTNSMELPVKEIYVDRQFFGEEENDFANSIMTN GQRKFDTYVAQGVLLNNYANIFGLIMQMRQVADHPDLILKKNAEGGQNVLVCCICDEP AEDTVRSRCKHDFCRTCVCSYVRSTDSPDCPSCHIPLSIDLEQPEIEQNEGSIKKNSI INRIKMENWTSSSKIELLVHELHKLRSDNASHKSIIFSQFTTMLQLIEWRLRRAGITT VMLDGSMTPAQRQASIEHFMNNVDVECFLVSLKAGGVALNLTEASRVFIVDPWWNPAA EWQSADRCHRIGQTRPCVITRLCIEDSVESRMVLIQEKKTSMIHSTINADDKAMESLS PEDMQFLFRGT UV8b_06681 MVCFTLVPANRQHKILTKRFPQSSTSLMSRRRLPRGQNVHQLGN QGESSRPSRNITGPQSALTDFLASHNISARRILDDADLRRQQASTNNRANGEDGVIEV EATVTESRTRKRRRTSPNCAADESKLKKKQEAKAIEKIKASKAYKKRKKHAADDDDDD DDDEIARAIFESRHAPLPGQMENCAICDKRFTVTPYSVSGPEGGLLCAPCGREVARER QGSQPKKRPKKQAGGVGSRRSIQSRILDGDVGTKSLATLCVQTLAKNVDMAESLGDLP EHLIDKIARIFSKRRLLRPETLPLFAQPSTESINIYDGAKLGDRDYVSIFQVAPNLRR FKARAAIQFKDEVMDYLLSRDIALESFYLHGANLLSEEKWHEFFMKKGQSLTGVQVYY TDKHFGDDTIDIMATYTPNLRRLKVENNQKMTSKGVESMGGLSSLEHLGLQLQNSPSP TAINGALSKIGPKLKTLSLKIVPDADDSVLQTIHESCSSLTKLRITESEKMTDRGFVD LFTNWRNPPLGLVDLQKCRYIDATHPRTNDDGVGLCGEGFKALMAHSGRHLVDLNVHA CRHISQQAFEDAFNEKAHYPELKRLEISFCEEVTDFILGSIFRACPKIKEVNVFGCMK VKEVRVPRGVILVGVPNAQGMITEGID UV8b_06682 MFLQSLLAFAALVAASPITPVDEYAALIEQRDDISVQDYSSLKF YVQHAAAAYCNFNTPPGQPIVCQNSACPLVQQDQPTVVASFVGQFTGIGAYVAVDNAR QEIVLSVRGSNNIRNFITDLIFAWQACDFAGNCRVHTGFAESWKEIQDAASLAITAAL GQNPGFRVVATGHSLGGAVATLGAAYLRQQGLSVDLYTYGAPRVGNEDFANWMTSQPG GQWRVTHDNDPVPRLPPIFFGYRHLSPEYWLANGQDAQNDYPIGQVQKCMGIANTACN GGTFGLDVVAHLHYLTYVSACTGFPLKWKRGDPSDEELEQRLNAWSQKDQDLTK UV8b_06683 METSKPLGAVNARFFKRVAAWAFVFSLSAGVVLALSFFATERHQ RQRFSDAAAASAFKTSPIPKCGAFTGSDQIWEESQLRYRHLRDDKFTIAMQTYRRPKE LNETLRALLDEEIPSLAEVVVVWNDVESPPPGNFKSRHGVPVRYRQSPENSLNQKLWP DPAYETQAIFLSDDDIHYKPKDLEFVFQAWRKFGRRRMTGGFTRCAVQEANGRWKYSF CSADQGQDYYNLILSGLAFAHISFMDYYSSQDEIPKRIRAYVDQHFNCEDIAMNYLVS LLTGEGPLLVKGKDAYVSFVPANGISTRPGHIEARSQCLNDYNELFKCMPLINETVHI EPGVIIS UV8b_06684 MACIILSKAAFLAALSLVVMGSPTPDVTSQRASVQGGYYVPAYY PAPYGGWVQDWQESYRKGRELVNSMTLAEKTNITAGSGIFMGQFCTWSVPSRLALGME GNAASKLAADIVGQGTRCNGNTGSALRLGFPQLCLNDAHNGIRQADHVTVFPDGITTG ATFDKTLMYRRGVAIGQEARGKGVNVLLGPTVGPIGRKPKGGRSWEAFGADPSLQAVG ARETIRGIQEQGVIATIKHFIGNEQEMYRMYNPFQSAYSSNIDDRVLHELYMWPFAEG IRAGVGSVMMAYNAVNGTACSQHPYLINGLLKDELGFQGFVQSDWLAHMSGVASALAG LDLSMPGDTQVPLLGNSYWMYELSRSVLNGSVPTDRLNDMATRIVAAWYQMGQDKNFP KTNFDTNTKKKEGLLYPAAWPDSPKGVVNEFVEVQANHDVIARQVAQDAVTLLKNSGN LLPISTSQRLAVFGTGAQTNPKGANACPDRKCNTGTLGQGWGSGTVDYMYLDDPISAL RARAGHIAFYNTDTLPPKLETPEDGDVAIVFVTSDSGENTYTVEGNHGDRDASGLFAW HNGDKLLQDVAAKYKNVVVVIHTVGPIILERWINLPSVRSVLVAHLPGQEAGRSLAEV LFGDVSPSGHLPYSITKKEEDMPESVTKLTSFEFLNQAQDTYSEGLYIDYRWLGQKGI EPRYAFGHGLSYTTFSYCDAKIRKVAQMSKTPPAREPKSGILDYAQAVPGASEAVAPK GFHRVWRYIYSWLSESDAKAAAADAGKKKYPYPPGYSTEQKPGPRAGGGQGGNPALWD TAYTMTVTVKNTGSKHSGKASAQAYLQFPRDVGYDTPVLQLRDFEKTETLAPGQSTTV ELHLTRKDLSVWDVELQDWVIPAVDGAYNVWIGAASDDLRVSCHAASLKCDNVIKGLG TCG UV8b_06685 MQSLTRLVRPLLRTAVSSPRPFTTLAPLRPSLTPLRRRNGALAS FTPSAPDTAAGAAETADLVSRSAVSSHPALAGLQLRFGPRNTMNGHTRLVQKRRHGFL HRTRSRSGRRILLRRRLKGRRQLAQ UV8b_06686 MKANQAVAIVTDKVLLVPYEAHHVPLYHAWMQDAAIRDATASEP LTLQQEYENQQSWRASHDKLTFIVCEPLLRGDDEAVVVRAGRADAEGRARGDVNVFVH RDGARLTGEIDVMIAEAAHRGQGCGRAAVQALLVYLWRNWGTLRAEYAAGEEGRSAPG RGPAGAGMEAEMEMAGLMAKIQQANGASRALFRHLGFAQVGEADYFGEVTVAVAWDQV GRLVEGWLDSASASLYREAEYMRQ UV8b_06687 MKQSLLRTVNGLRQSRFPLLIRPGCLCSGSEPRRALQLRRASSQ DPSTRSAAKPYYVTTPIFYVNAAPHIGHLYTMVLADVVKRWQQLKGREAFLSTGTDEH GMKIQSAAAKEGVDPKEFCDNNSRKFRELAAAGDVSCDAFIRTTSPEHKESVGHVWLQ LKHALPEKLGLYKGTHQGWYSVSDECFYPESLVRPHIVPQTGKKILVSSETGSEVEKV EEETWFFPLTRYRDALLAFYDENPEWITPAERMSEVRNWVEKHLEDLSVTRPAARLGW GIADPEDGKQTIYVWVDALVNYLTQAGYGSKWHSASDDMGLWPADVQVIGKDILRFHA VYWPALLMALGLPLPKRILCHNHWIMSNRKMSKSLGNVVNPFSALQRWDVDTLRYFLM RDGSLSKDMSYSNQLIGLAYKKELQANIGNLLYRVSRPKVTAKWSTREAVRASREGMF DRTAEEEGSEPVVVVFSSLDEHLQAAPLRFADKMERCDTAGALREIFHLLRETNRYIS DTEPWNLVKREDAESRRLLNLAIYNSAEALRIAGILLQPMMPSKASVLLNELGVRHDR RGLAFAARGKDADYGTEGRAGGGAPRPTKWDTIFPPVPDASDAAVEMPDPLRRDLHAK TRNKMNQMAEYLAMEARMGEGPPAATPSDPADGSSEGGGMKGGKEI UV8b_06688 MPLPDADTEVKASSEAAESFVSHYYQALNSRSQLLPFYINSSPK YSVAADISINGSVLATPADYSTLLDAQGQGVRYDIESLDAHVINPSSQYGAPESVHDN SKVEKNGGRMSIVVTTMGRVQFGKGREAPQKMFNETFVLVPNWDAMARNPPRGLRRWL IQSQNFRAL UV8b_06689 MPPKAKHVATKATPPEQPNAPQQAPETVNERAAQRFYQTNPVEK RFQEVGYFGLTAQERKIYTHTKLILPVADHTVPLSNKTEREYWKQVTKDGLPIRRLRS NYSWGKDRHSRDIGTYGLADFEQRWFKQARLSALDILHRQFLSQRRQARLSGKDLPAQ DIEQEKSRRKEMAALKLDLYGEIAGTIAGNPEWDDVIPIPHSEPDDALARIAYPDDYA EAVSYLRAVMAAEECSPRCLRLTEHIVSMNPAHYTVWLYRFKIVKTLELPVPDEIEWL NKVALANLKNYQIWHHRQLLLDYYYPSIASDQDTVGKLARTEVQFVNTMLEEDAKNYH VWSYRQYLVKKLGMWNVGELAATQNLIEDDVRNNSAWAHRFFLVFSDPSIHTPDLPAT AHDPKVPGSLIDREVSYAKEKILLAPQNQSSWNYLRGALAKGGRAVSDVAEFSQQFVS NLGEEGEDVRSSHALDLLAEWYHESGDATKARLCLQRLWEKWDPVREGYWKYRASEIG IGNANA UV8b_06690 MATSTIAPSPQTVTSLVLKLSDADPDFRFMSLNDLLQLLQVAKP DFLLHDYNTAARAVDGIIKTLDDQNGEVQNLAIRCLGPLVGKVPLPIIAPMIDKLSSL KLRNSVDNAVPSLALRSVIIALPRPVPGIAAAADVQTAYDAIHRVLIPRLIGPAAPAQ ARQGGKSTSLGAPPGILQEGNDLHPEAVDVLIEVVRCFGPMLQCVEVEAMQQVVMQLL ESEKGTSVVKKRAVVAISMLAVYLGDEHLEHVIQKLTSGLADANTGAVTRRLYISIMG SMARSIPARFGPHIAKAAPFVLQALSDEELQRHLEKISDGDDLGPEFNEVREAALVSM EAFLASCPQEMRPFTDDVMSSALRYLKYDPNYAVDDDEDMDVDEDEDDDDDDDDEFDA DDGFEDDDDDASWKVRRCAAKLLYTLISTRGSGDLLENGVLYKQAAPSLIKRIDEREE NVRLEIISALALLVRKTGEGLHIADLSLDDSEAAAATQAPLNRKRRRQSSAGAATTVS RFMAGSGLASPASEKVPATGPRADLAQLTSTIVKASIKQLKSKTVSTKQSIVNLLDDI VLAQSGGLATFFADLIGPILEAAKATGHGAAASSLATAGGSASATPSTLRVATLRLLS DTLKTHSYSALQPYLAKIVAGVTAAVHDRFYKISSEAIRTAEELVKAIASPRSRNAGS TTHRAELESLYNVLMDRCSANDADAEVRQRAIHALGVLVSRTLGEDAGLLPAEKRQLA LDVLRERLKNETTRLAAVRAVDNVAAFASSPGQLDELWTQDVALELSAQLRKANRSLR GSSVNALKNLILAPAAKNQLKRATVEGVVSALMPAITDGDTHLLGPALLILASLAPEN PEIVVSEDMIGALCQLLTSHFAGIVLDQLLELVARIGESGASKPLMKGILAKVSISGD PSVVGKVIGTLLVRGDASAGVSIDSFIAELQRSSRSGDEARVCLALTVLGETGMRLGP ASPMRPDLFLSQFHAEPDKVSLAASIALGRAGSDNVAHFLPVVLDKMQAGGNTQYLLI QSIKEILQSVTGQSVADLLEYAVPIWEQLLRASETADNRAVCAECVGRLVTLDPGTFM PKLQTLLKDKSSGIRGMAVQAVRYTLPETEELFDAMLKATLISMLFTMLQDADTEIRR LAMTTLNSAAHNKPDLILPHLGELMPFVLAESVIKPELVKEVMLGPFKHTVDDGLEVR KSAYETLYALMETAFTRINNIDFYDRVVAGLKDDNDIRQLCNLMVTKLIVIDPDETAR RLDSIAEAYRGVLSVKLKDNAVKQDVEKQEEAIKSVLRVTLLLGEKMKAMTGNAGAVM SNAGAPGVWASYWERVNKEFEKQLRGLRDETKELQTRMI UV8b_06691 MYAFRVSPPATMSAENPFITGAPSNPIHPSWRGYIASTTDALVL IEAYMVGLINGIPRRPRYAEHHCLIRSGSILIFEESRSGIKRWTDGLKWGPSRVLGNF LIYRELDDDSVTPVRKSAASKPRTSRVNRRRAAGISTANVVGSFGDWYQFKKDGLIKK TISVLVQDRTVHLVSYFTMEDIMLGRLSTPTMEPDLQNIIPRMELIKSESIRKPIDGA DYLPPSTHSSPPSSSMIPTTAVTTSTTTTPTTTALTAPGWDPQQWKFLQHLSSYQPMD MFPQNIVPQLYNF UV8b_06692 MPVKESSVTKPVGMDDTETLPFSPKHASLKKRPPPAGHGLRITI RSRDELGLSRETEGHDTWAILHTVRTQDSGLRIDDDDDDDDDVQVPSTNQLIVRTSRV PRDKMPAQHEPGKRKAAGLHASQPPVDIVLIPRHSPHHLRRWGRTARPGRSGQLFADR RSSASSIPLMSDTAACHPELPPSPGATPTCRWSRYHALLTASSASTALPPPIAPHCSL TRLAGTVQEYRHHAAAA UV8b_06693 MRRAQQNIPETRESLARLALFLWAASDPPPVAVLGRAPENRGIW DSCFCSASFQLARRLEGFARASSWDRMAYATLLACGNSEREKGFVKIWDAFGDGGWFR QVDGPEHTHPPGGFYTERGSIIPR UV8b_06694 MPGRDQTVQQPDGRPDGRPDGRPQERKGGGISYRKLLLGGAIYL GINLGLSYLFAKDQRGVQTADPNTGRPITVASNLGDIPPFELRPAHLNQGAQYQRIPR KIAPIWPQDSHLDITVTLSPSLNPVSISDTPPQYIVLQEKNFHMSNSSDKRAVDTKFA VPKAVQRNGTLWGHFYVGLSGSNLDPRQPGYDTAKAYHFAYPLTQYLPKKRIAKTRNL LDARVAQDEAEVDEEPSLGPVFASHYHPNASFSFVPAVGVKDFTQMHPAVKHFLQLEA TGARDGSGENGWYYPLLFINNFWQLTSHMTVLNETVTELPLHIDLTNMPFWKFSTLAS IELNSKENARRAALGHSMSAGGDGSEIEMVKEVLMDTNPILLGVTVLVSIAHVILETL AFGSDIAHYRKKKDNVGISVRSILANVFMQTVIFLYLLDNSQNTSWMILGSQVVGIVI EFWKVTTVVNVRFRPAPPGSWLPYSVVFEDKHKLTETEEKTKQYDEIAFKYMYAGAVP LLIAYGVYSLVYESHKSWYSFVITTLVGSVYAYGFLMMVPSLYINYRLKSVAHMPAKA MMYKFLNTFIDDLFAFTIKMPFLHRLATLRDDVIFFVYLYQRWAYKTDYTRVNEFGQG GDDEEEPLQRGQGQKAVEGKQVEGEQIDAKQADAKQADAKPKGSASGVDTGKVTKRK UV8b_06695 MEHEKGTATNGASNGTASAQAPRTKICVFCGSSAGASPAHMEAA RQLGEIMAKNNIDLVYGGGTVGLMGEVAKTVCSINGPDSVHGIIPEALVRYERDGTYQ TLSRDNQFVPSESMYGRTTVVKDMHTRKKLMAEEVFSGGPGSGFIGLSGGYGTMEEVF EVITWNQLGIHTKGICLLNVEGYWDGIVQWIAKASEQGFVKPGNDNILVSATDAEGAV KALRDYRVSEATFKLKWGTQ UV8b_06696 MGMQGPLTAQALGRSPYDEVVNGDGPEDPRQTNQQYDQRGRPIN PETKRMNRDIIRAHNEVMLVIGVAEPENPFAGPEADSQRRHESYEESTGLRLGDSARQ SVEAVGIFGIHGLRQRILIYKRYSQIPFWGLFKQSQSNVSVWAGAPSSWLVHYTEQIV APLWSHDRNMQFPLRIVQKVWPYVKVHLELYVALQRLGIISSSMWFPHPSFFIPFTEA SPIPAPPLPLDYTVPSLFAWLGGALVSSAPFLAWVMTQRMIRDWRPAVWSQIYRRLPN TVFHRKRLPPPPPIPPPPPPPPPPPTLSTTYLDPAEAVDPNDDGRTSTGNNEATGVGP AEGAIGADQASTSPPTEHSRRPSGLSARGDDYPSDDEDHDGVSATLISFDVEASESQD APSGLWSAELRPSAGPDSRSGHGGNQEPVYMDTLLTHLPALIASHLFADSLTRIIMAP YEATALRLVARMFRARAGLPSSDIVDANFLSGLTATSLINFFGTELLHVTLASECWAI FSFLSQWFHMTEEEWKAEDGKA UV8b_06697 MATKHSWKTTFDVEHVIRPIFTGGSIALDNKAHVLATTLGEDAV LTNPTNGKLLAQIEGDGEMISTLTLTPSASHLIVCSRSLSMKIFALKRSLDGDAIETS LVRTLKPHSTPVVVLAVDRTSTLLATGGTDGTIKVWDIAGGYVTHTFRGPSVLVSAFH FFEVAARSKDSSRSRGTKKEAKGNDDHSEVEAGGPSATSFRLASGSQDGKVRIWDLQK RGCAANLDSHVSDVQKIDYSPEQHALVSASRDKTIIWWDTKSWKIRKIVPCLELVETA GFLDNGRLTFSAGANGCLRIWDTDSGKELTPAQSGKSEEEGIVSGIHRPGLPFILCVQ VDHTLAFYRTPSKDSAQPWTAPEPFRRISGTHDEIIDLGYLLPDKSLMALATNSEDVR IISVAEPEQVQETANSPETGSTPYFGQDVALLRGHEDIVIALDVDWSGHWIATGAKDN TARVWRVDAANNSYTCWATFSGHAESLGAVALPKTVPADGSAARTDPLHHPPEFLITG SQDQTIKKWEIPRQPQHQGLKPRAHFTRKAHDKDINAINVHHSGSLFASASQDKTVKI WSVKEGEVQGILRGHKRGVWSVQFSPANMAAVQRDDGPVTGKGVVLTGSGDKSAKLWN LSDYTCIRTFEGHSNSVLKVAWLKMPCQQEQQRQPVQFVTAAGDGLVKVWDANSGETA CTLDNHEDRVWAVAVHPETNAITSGSGDSTVTFWKDTSAQTREAAAQATMKMIEQQQE LENHVHAGSYREAITLALQLNHPGRLLSLFTSVVTSNSPDEDSLCGLKAVDDVLGSLS DGQIFLLLLRLRDWNTNARTAPVAQRILWTLVKSYPASRFSNLSVRGGRGQKSLSDVL RGLRVYTERHYKRMEELVDESYLVEYTLREMDSLAPVEQGDVGMRELDDGQADTVMAG UV8b_06698 MAKRQASEALEHLAGVASPASKKPRTAAAAAAAAALGSPPSPPD SRGQEDEEEEEDEEEDEEAAAGATASAPLRQANPAEGYADLYLDTIDRHVLDFDFEKL CSVSLSNINVYACLVCGKYFQGRGPRSHAYFHALDQDDHVFINLETQRVYVLPEGYEV TSKSLDDIKYVSDPRYTRREVMALDRGSGSGSGTSASSADAHGAQAGPAGRSKAWTLS GKEYTPGFVGINNIKANDYLNVVVQTLSHVPPLRNFFLLDDFAKTTTTTTTTTTKSEL VKRCGILFRKIWNPRAFKAHVSPHELLQEIALRSNKRFTLTNQSDPVEFLSWFLNNLH LGLGGSKTRPGSSVIQRTFQGKLKVESQAITARADATDRLRFEEAAHVKVDVVRFLLL TLDLPPAPLFQDELEKNIIPQVPLTTILSKYDGKSAQEHHSQRKRYRLLHPLPPYLVL HVKRFSQNKFVSERNPTIVTFDARNLDVSPYVEPNPAEWPPSEPIWYDLVANVVHEAV RTREDVADSGDERKTWKAQVIDKATGEWVSCQDLYVEKVQSELLYLGETYLQVWERRR DQKDGERQGNKQASMTTD UV8b_06699 MATDNSNLWTRRTNTGKLSLTTSGAGLNGSSATDASRNGVFSSK RFGGDVSAHGKASPFNTPGGGLVSPTSGASSAFGLGSGAFASFGSAKTPKSAANPFDT AMGSAVPKTTVAKDGNKTMSKVPSMASIAENKVSAPSTLASKSHPLKDAWSFWYRPPI SKAHGFIEYENTLHGIATIRTAEEFWEIYSHLKRPSSLPVVSDYHIFKKDIRPIWEDE VNRKGGKWVVRMKKGVADRYWENLLLSLVGDQFGDAGEEVCGAVLSMRNGEDILSIWT RTVGGRVLKIRETMKHVLSFPPNTRVEFKTHDSSIQQRTALDEQRREKANQNQSGERR YTSAGQRQSLD UV8b_06700 MAASKTRTVKNKHAASKGAPAKGAPKRSATDGISKSKKPKGPVV SQQVKEKNRAALLKKPKKKVYTEAELGIPALNMVTPVGVVKPRGKKKGKVFADDAESM STIMAIVQAEKNGEIESKMIKARQMEEIREARKAEADKKQQERKSKLEDAKASLRKKR KRKSVAGDDDEVSVKTMASTGSKAAKAKRKVAFA UV8b_06701 MEWLGRAKIEFTHAPTPRAIREKSGNETDLLKIVEAATPPCHLN PLLFNGHLQTIWTATKPHGPPVYYLRKIFDADHKPYQGTFAVDFAVPPFEDHDETLPR RTRYFSDQEFASIGSDDSKPMLVVLHGLSGGSHEVYLRHTIAPLLGDGGWEVCVVNSR GCARSKVTSGFLYNARATWDIRQTVRWLRETFPNRPLFGLGFSLGANMLTNYCGEEGE NCLLKGAVACSNPFNLEVSSKILQNRLIGREVYLRIMGTALRGLVETQKDALLKYSNL DLPSIAKVKYLHEFDREVQCPTWGYPTETAYYRDASSTDAVLNIRIPFVALHATDDPI AVREALPYEEFRLNPNTVMVTTSLGGHLCWFELGGARWHPKPVCNFLNHLAFQVDLDS VKPSAGATMPENASQGPNYQPMRRRLEIFRQ UV8b_06702 MASRSSYRGGYSTAFNHKQPPPPPPPPPPPPPPPPPPPPHLPFG SDSRGYSQSPQHRGPFHHSQSPSPYPSGRPGRNGQFSPEHQHQSQYHNGSGYHGVPTG PSDHYHSYSSRSPPHGAPSGPMNPYPPGNYRGGPRGCVQRTSSFSNRGGFRGPIVKVP GPSSAESSSMAQTQSHTHDESNPRQQSAAAAAAATAAMASSKDEAGLKQGDRELDGET TRRPPNGSAAEHSPSTEKSSLGPAKHAPTRPAATGGSASSQPGSSGKFSFAFKASSKP TPTAPKPEISQKFNAPAKRDPSANSGGGGEREQEPARVAPAPAPTEPASARQRTELGN RSTPEGPKVVVLPRMRKVKKMMRRLKSRPTLEADLMGSESVFYRKPGNESVVGSGTYG KVFKGVNCYTKGLVALKRIRMEGERDGFPVTAVREIKLLQSLRHTNIVNLQEVMVEKN DCFMVFEYLSHDLTGLLNHPTFGLDSAQRKDLARQLFEGLDYLHTRGVLHRDIKAANI LVSNTGVLKLADFGLARFYAKRHQLDYTNRVITIWYRSPELLLGETQYTAAVDVWSAA CVMVEIFNRTAIFPGDGTELSQLDKIYSILGTPSRQDWPGLAEMAWFELLRPTVKRRS TLAEKYGDKLTPAAFDLLAAMFQYDPAKRPTAAEALQHAYFTTEEPLPRQAVELAEID GDWHEFESKALRKENERREREARKAKDGSREKDKKRGAEGGSDGDGDGDGDGEPEAKR VHTDEK UV8b_06703 MSPSTTSPPRLCCSPPPCSPPPCQKPPRPCSPSSVVASSCRRVH DSRPFPLPFSVTRPFFALPNLTSTSVVAPSTAQGRAIPVDDTTAEHRTSALRELSSHY PSRHRHRPRHRHDNSTGAPQSSTYAEPVVVRSYYPAALTQHDKPARRRAGGRGRSGAV PGSDAGGPATGAARTLPFASKTITLANGTGMMSTMTRAYNRKRSAAAAGAQHQQQEAR LPPVEAFSFRSFLANQEAGAGAGAGGADLNSDLDRIAEICARSRYSLSNQYEVHHTPH GCGASPLASAQSQQQPRGGPTLQVQAVPSDDEGDARAARREEEEKKKKKKKKKKRQAA GRNSRAVGTLETIMSSSRSSDEDRSSKRCAAPEPADKARGRSARKAPGPSSSSPTACL CRSGRRPSTSLALMRGSRQGGAPTTDAAAARTASAAALLSEPALPQASTRQLVTRTRM DAEAARQPDGQDVAGAGAGAGAGAGAGAARSARGDAPAGGLVSALAGWLPWMPSSGRA KPDRGRAEGSLRNLFHQAEQGKGKSTV UV8b_06704 MGAASAVLVVLITILFPPLGVWAVAGCGMDLFINICLTVLGYIP GHIHAFYIEYIYYDRREQARQGQYAAKRAPGVYSENVQSGGQGYGTIAQPTR UV8b_06705 MQLHCTARRGAAQPNVPRLNCPAQLPSGWLRGRTSPIPDPDTDP ATDPATNTNTATGIAEAAPTRSIPVFLAPRGAETLLCRAADGHSRGSEKQKATDRLHV TPTLQSLAQLQATLVPRPWLPSASSLPATRSAFLGQKFPFALDEPNRSDTCRRVGVPV PHTPRTVKAKAMHATTSPASTAAAATEQEPQKDAAVADKNSQVLGLSALPLCMPRSPA UV8b_06706 MLTLQVAPRTTSSSTTLAQSDSDTYSASNNHLQPTSVASGNTSF ARSSRPGQHKDFYNSSSVATSQSASSTTPDVSETTPLSTTHSSDGSLGDLLSDILAGL TSTSTAIPTAPSGSGVPSVTILPTTREETPTTTTPEGTRTTDSSDTGIVIGPTGIVSS SSSQPSQATVEPTAMPTTQATLPPEPVSLSVSLSASESAPLSASESAPLSASESAPLS ASQSAPQSTSETADPQSTFETADPQSTAQSAVQSTSQLAPQPTYQPTAPQSTSQLAPQ STSQLAPQSTSQLAPQSTSQLAAPQSTSQPTAPQSTAPDAQPVNNSTAPEPTRSSGSG LLDPVGTLISNLLPGPSTSQPEPVSQNLTSPTSATVPLISRPSSALPTSATGPLISLP SILPTILPTSATGPFISRPSPTPPQATTGNLTSSDYYPSPTPPQSAHGSSSGMEASSG YPPSATSLALTGSLTTAVTSGQLLPNATSTDLHGTPAASSGSMVTGSMTGAPVSATDV PVANSTAHAGHSTLPPTSETDLPVTNGSMTRPPTTTGTYLPLPSLPGDNSTAIAVPST GASSKPTGATPSSAAASEPTAAVSATSAPTNPPATQTPPPGSTSAAEGVTSIRPTATV SNTDNWLPTTIVIEPTSFSYSAPTSTSTATTSQALPATIPKIILPDDPNKPVPDGTVP IQIAFRFPLNYVFVSNNTVAAAQIFKYLPEALSGASGLPANKLQISSLMPLNTQTAWG YITTLAHLNYPATLVDTLQMDLWSPNSALYNNPDPIVRNLTSVINNGIDIHGNINGGS SNGGGGSGAGGNGNGNGNGNGNSNDAFGSGNSDQSSKQKATTAGIAVGALSISVIYGA AMFVIARRYKRKRQGHRRTSSVSGSQGSSEMRYNGNGSPALMGGALLSRDASSYGGVA GGRESHGSGGHSARTANISAPVATENSLGWN UV8b_06707 MPGANPSHTAAAEHKVPADKYQTVQRRRPSAITRQKSLRLHRAF NPLNPPARDSVGVRETQGDKCTLKTDRCLRPSPNQPSKKKNNPLGDVSDHLDCFSNVE TNLMPSCRSFTTTDSTVPSF UV8b_06708 MNGWIWAWQSYCAVNWLDQVKHKSAHDPLWRRPGCSAGSTCTRL AVPTRPQMPAQVPAQVPAQALSPLKPPPKTPLSRLLSHQAARDVPPPSIDPPWHEVVW SRRIRPPTTATGLFVPARQHWTKPARPMALQVSCASCAYMDDGTALSNRRRAINYRCG ITAT UV8b_06709 MARRQHLSLTMLLAVVVFFSITYLFGTSGARHAVLSSNKSSRDT QPADAAAAAADPKSTAPPPAEPKSDLKIDLDSIPDLSDGDSIAPKLENATLKAELGRA TWKFLHTMVAKFPDKPTDNDRKTLESFFHLFGRLYPCGDCARHFREMLSKHPPQTSSR NAAAGWLCAVHNIVNQRLDKALFDCTKIGDFYDCGCADDDNKAKAGAKDKREATEADG NAALSKPLSSKLADANIS UV8b_06710 MEFGHKGVLNEDGIHVDMERLKKGEVNLGTSIMAVTFKDGVILG ADSRTTTGAYIANRVTDKLTRVHDTIWCCRSGSAADTQAVADIVQYQLGLFAMQSGKP PTTQTAASIFQEMCYANKDRLSAGLIIAGWDERFGGQVYSIPLGGSLHKQAYAIGGSG STYIYGYCDAHWKEGMDEKEAVEFVKGALREAIKWDGSSGGVIRMVVLTKEGADRHLY LPDSEYKIRHE UV8b_06711 MAAIIGDLVPDLSRFHDMIVNPSSRQNQRQQHQSSPPQRPRSHS RSQARDSPPRPSLSRPERAAPSTLRSQRRDITEGSPSSRRRSSSTRAATRAPPSTGGA VSTENTRLFRIPSPPPSSSTRAASDSASPPDDATVASMAPQIGDIVSAAQQSLRNDAN PTSDAAYQQQQPSPPSSQSFHVPDTSLASSSFSHVESISTEETSQEPQQTVIHVRDLA HMQSLTDAKMLGQGSSASLDSTAQIKYEISGMPIADIIEMVAALLTKITTTNDFQHDA MQRNVAHQQQASQSNDSGSHMSPLSHSVLAFHGKNVPGITIMSYLSRIHKYCPTTYEV FLSLLVYFDRMTERVNELVVRSERARIRAPPRSQPASSGTWQRDTTMRDDTHDFDESD SDLADDDDNDDDNDDDNDDDNDDEMTDSPTAASGPTSRSADDQGAIVSPATYFVVDSF NIHRLIIAGVTCASKFFSDVFYTNSRYAKVGGLPLAELNHLEIQFLVLNDFRLAVPVE DLEAYATMLVEFYAREVMLKQSAPGA UV8b_06712 MSAQFWIRFPFCLLGTFTFLSLVSSQSYDFGIDASNLGRRDDAG APIVVGRLPVPSNGSLPLRLEVRQMRDDRYRWDLFILALSMFQYADQENPLSWYQIAG IHGVPFTTWNGVEPLPGANQSGYCTHSSVLFPMWHRPYLALFEQQLYAMANSIARMFA NATERGLYEKAAADFRLPYWDWSLKAPASETFLPDVFWSPFILQSGPNGVQNIRNPLY SYQFHPLDKEALIWNPLKQWNETKRAPETAVSMTAPPSNNDEANTALLSKLPEIQQRL YVLFSSYRDYNSFSNKAWAASQGLSQLDSIESVHDVIHIYGGSKGHLTYVPLSSFDPL FLLHHTMTDRLIAMWQVLNPSAWITPMPAGETSFTAVKGTVQSSASPLTPFYAGEDGT FWTSDMARSTDAFGYGYADTIAPPGYDEDVRQDLIRKINNWYGSASPRGLMSGAAGRL GKGSEPWTRPTSSGPSHYVEWIANVRVNVEALDGSYVVHFFLGEPPPPPPPPPPMATT TTTRAGWTLARNLVGSVAIFAMDRSTGSRSQISGSVPLTSAIMGVVSAGEVADLSPQS VGPYLASKLRFAVQRSNGSEANPAHVDGLRIHVTTSNVRIPGGETELPEWGAPVTRLE LFHSS UV8b_06713 MLPHSAPRGAVMNPLLCPQRCRLIRRWTRTKSTLEGRLSCVSSQ HSRLLSCGKSMSLAAQVRMASVTGCTSGNDKARNAWVGAKGPSALDLRSDVMTTPTPS MLAAIQSCTLLDDVFREDKTTMDLEAHVAALSGKEAGLFVLSGTMGNQVALRSLLTQP PHSVLCDHRSHIIQYEAGGVSSLTGATVRPVVAENGTHLTLEDIKQNVVLSDDVHSCP TRVISLENTLDGIIMPLAEVKRISQFAREHGIRMHCDGARLWEAVAAGAGTLPDFCAH FDTVSLCLSKGLGAPVGSILVGDEKTLTHSRRVRKSIGGGMRQPGFIAACGRVAVDET FGKKADGSDGWLKASHDMAKKVEALWTGMGGQLLHPAHTNMCWIDLDSARCSHDRFIQ LSRNAGLAVFGPRLVTHYQVAQNGHDVLQRLERVFKQVFAASEHIEPPAPAAVKGIYG KA UV8b_06714 MRGNAILTFSFIGSSLVCLYSPLSPTSAIVHKPHMRFRQRNHSL RATKRLLFDDLGEKRLVSPYAKKYLPMATQSSPILPAEYSKSHYVRSRGRRHHLVLQA VTVVTGHHCRQKVMSNGAK UV8b_06715 MAGIFRKVYDWLLRTFWAMEMEVTLVGLQNAGKTSLLRVLAGGE FTLDSIPTVGFNMKRVQQGHVTLKCWDIGGQPRFRTMWERYCRGVNAIVFIVDIADMA LIAQAKEELHSLMSYPTLAGIPLLVLGNKSDLTQKLSVDELIDELDLKSIQGREVCCY GISAKEETNLDAVVQFLVKWASR UV8b_06716 MPSRASISRDDSPGLGSSAAVFASGGQARLGPFMTDNDSHEPLA GMWLQDEPGSWRRSERVNADWAAESCVSRGTRCPFSNAESR UV8b_06717 MSSSSKSAQDDPEPDLHGGFRPNVRSTLAEVGSDGNRVVVQDFF AASANPPPAAETAAPSSRVDAAAAAAAARREKGRVRFNSNAGASQVPLVNLRPPSKTP ERQDTPSPKLRPSILRANSCGAVITTKDLRMGSGVDSEKAISAVAAQERAQQVAARVL RDSPPGSRASIESSSTTVGERDGALLPSDIPLRRLAESAVATDRQPPTPENDEDEREA TEEEAYDLVKSHTRRFASSNSPSSPADDEAVAGPSRVTPSDLNGGLYDGVYTVPAPKQ YRGNVLSQLLKLYKPTDSGPQGAHHSRNWSSSTAAGSWTPPGLESGTATPNTCRRKWY ENNRSQDTLANLVEASTRLANPNMQVPEPKSPGKPKRPGNKRRTSNGRLSAYWHKEEA RITVHIAETLSRQDYIIKLCRALMLYGAPTHRLEEYLTMTARVLEIDGQFLYLPGCML ISFDDRSTHTTEVRIVRVAQGIDLGKLKDVHHIYKEVMHDVIGVDEGIRRLDELMSSR DKFGAWTRVVVFGLTSATCAPFSFSARLIDLPVVFCLGCLVGFLQLIVAPMSKLYSNV FEVTATIIVSLLARAFGSIADGKLFCFSALAQGGIVMLLPGYSVLCSALELQSRAVVP GSIRIVYAIIYSLLLGFGITIGASLYGLFDNDATSATTCHDPMSSSYGFIFVPFFILC ISILYQAKWQQMPVMILIAFVGYIVNFFSSLRFVGSPQISNTLGALSVGILANLYSRL RHGVAAATLIPAIFVQVPGGLASTGGLLSGLSTANRLTNSSTVINGTSTVHFNQAEPL NTVIFNVAASMIQIAIGIAVGLFMSAMVIYPLGKRRSGLFSF UV8b_06718 MSAFLGQPFRSVISEEHASFKPPGTNLPDDVQSVTTSDKIWLLP PGRLMGQRDPVFVAEFSPPASTTKRVSFGARTRGPQKNST UV8b_06719 MAPSATDTIWDHEFHTLRRQALFQNPPKDHSAYPALQLAVNPHI ESFNRILGDNGEKGLAAHALADIGVKTFLDGDERTGPEGKNRLDIRIKDVHLQKPQVP PSNKTARNREILPAECRERHATYRGKLSATFEYSVNGGDPVEFVRELGQVPVMVKSNR CYLENNSPEQLVRRKEESEELGGYFIVNGIEKIIRLLQVNKRNFPMAINRPSFQNRGV GYTPYGIIVRSVRPDETSQTNALHYLNDGNITFRFSWRKNEYLVPVMMVLKALVETND REIFEALIGPMGSKATENTFWTDRIELLLRTYKSYRLYTKSQTRAFLGGKFRVVLGVP DTMSDYHVGTEFLRRIVLVHLGNADVTEEHDHDKFRMLLFMIRKLYALAAGECAVDNP DALQNQEILLGGFLYGQILKERLDEFLGVSVRASLRDFLRRHPTTPFTSEDFRKEFPV AIFRKANENLGNALEYFLSTGNLQSPSGLDLQQTAGFTVVAEKLNFLRFISHFRMVHR GAFFAQLKTTAVRKLLPESWGFMCPVHTPDGSPCGLLNHLAHKCKIMTEPVDASGVAA LVRELGIVDTSTALTAENVVVMLDGKVLGWCTPKESRRIGDCLRYWKVEGTHGVPLQL EIGYVPPSEGGSYPGVYIASTPARMVRPVKYLPLQKEDFIGPYEQPYMSIAVVPHEIE SGVSTHVEFEPTNMLSILANMTPFSDFNQSPRNMYQCQMGKQTMGTPGAATRYRTDNK SYRLQTGQTPIVRAPLHNTYGFDNFPNGMNAVVAVISYTGYDMDDAMILNKAAHERGF GHGTIYKTKKISLKDDSRVRATKSVTKAFGFAPHSFVSAQYQGMLDDDGLPHVGRLIQ EGDVICAWHTVTPDYNGNLVNLDGITHYEKYKDAETGFVEEVRLIGAESGNEPLQTIS VKFRVPRSPVIGDKFSSRHGQKGVASQKWPAVDMPFSESGIQPDVIINPHAFPSRMTI GMFVESLAGKAGALHGLAQDSTPFKFDEDKTAADYFGHQLMKAGYNYHGNEPMYSGIT GQELGADIYIGVVYYQRLRHMVNDKYQVRTTGPVVPTTGQPIKGRKRGGGIRVGEMER DALIAHGTAFLLQDRLLNCSDHSKSWICRRCGSFLSVQPTVSQFAPGKRKAPSMVRCR NCAIKLDETEGVDLTEVQGEIWEDGQGNCWVGGDQTTQVVVPGALRYLDVELAAMGVK LRYRISKADEPRKGPMRPTGPPKAIQAIKA UV8b_06720 MPGHQNKHAEDVAHVPPQLSDLHCFTETEGVITTTMMDLPGYRV VQVLGTVYGITVRSRNLAASLGMILKSLAGGELRWFTSMLYSCRNDSISRVVDECKRR GGNAVICLRFDAGDMGGFAQTAAYGTACVVEKVDDGVKAPPQLA UV8b_06721 MDKKDPAVGTGLVGAPACGDVMKLQIRVDEETGTITDAKFKTFG CGSAIASSSYLTELVKGMRLEDASKIRNVDISKELCLPPVKLHCSMLAEDAIKAAIAD YHGKNPKAKITDLAGTAKTIRETMQQEAA UV8b_06722 MAPFTHHPDGPASPEPGRPSHDSLSSVSTTSLVFDRLQEEVEKH PSARRTSARSRLPPRVKDEGEYDDSETGPFLGEPETAPGREPKPMDRSLRRILVAASA VFVTAWLAALGVFLATASYKHARGSEHNRSAYAERQGKAVTLDQILTGYWYAASHDIS WIADPDGDDGLLLQRNAADGYLVAEDVRAHGDRAGAGAGTHESSGTTLAKSRTLMKTP HFTYNGDVKIPEWSEPSPDLKKVLLAVNWKKNWRHSFTATYFVLDVESGKAEPLVPRD PNATVQLADWSPRSDAVSFTMDNNLYLRRLTGSRDVVQITQDGGPEYFYGIPDWVYEE EVFSGRSATWWSKDGNYLAFLRTNETAVPEYAVEYYLQRPSGERPAPGEEAYPDVRKI KYPKPGAHNPVVDILYHDVSKGGVFSVTAPGAFGDDNRIVSNVLWAGREVLVKQLNRV GDHLQVILVDPSRRQAKIVNDVNIGDVDGGWFEISHAMTYVPADAGNGRRHDGYVDTV VHDGYEHIGYFTPMDNPKPVMLTSGPWEVEDGPSAVDVVNNLVYFVAARESAIQRHVY SVKLDGSALTPLTDTSQEGYFRASFSSGAGFALLSYQGPGIPYQRVVSTPSGPARYNR TVEDNKSLADKAAGHQLPLLEHGSLQLDTGVSVNYLERRPPGFTPRNRYPVLFHQYSG PGSQSVTKRFAVDFQSYVASALGYLVVTVDPRGTGFRGRQHRVAVRSKLGVLEAEDHI AAARHYASLAYVDPSRIALWGWSYGGFQTLKTLERDAGNTFSYGMAVAPVTDWRFYDS IYTERYMRLPRDNAAGYDASAIANATALGCSKRFLIMHGSADDNVHFQNSLKLLDSLD LQAVENYDVHVFPDSDHSISFHRANRMVYQRLNNWLINAFNGEWLKTAHPKPVDTKRR RGQ UV8b_06723 MAPIPIKFQELVQLSNVGVDTQSIGFNSCTLESDSFVCLREKKN DAAQPEVVIVELKNENSVTRRPIKADSAIMHWNKQIIALKAQSRTLQIFDLEQKKKLK SCTMNEDVQFWKWISESTLGLVTTSSVYHWDVYDASQEAPSKIFDRNPNLNGCQIINY RTNEDGKWMVVVGISSQQGRVGGAMQLYSKDRGISQSIEGHAASFASIRLEGAPQDTK LFSFAVRSATGAKVHVVEVDHVDSNPVFPKKAVEIFFPPEAVNDFPVALQISQKYGII FMVTKYGFIHLYDIETGTLLFMNRISSETIFTSCADSNSSGLVAINRKGQVLFVTVDE TTIIPYLLENPANTQVAIKLASRAGLPGADSLYAKQFDHLFNSANYVEAAKIAANSPR GFLRTPETISRFKNLPTQTSQLAFILQYFGMLLDKGGLNSHETLELAGPILEQNRMHL LEKWLKAGKLDCSEKLGDMVRPRDINMALSIYLKANVPHKVVAGFAETGQFDKILPYT AQTGYQPDYIQLLQHIIRIDPDKGAEFATALANGEQGPLVDLERVCDIFQSQSKIQQA TAFLLDALKENRPEHARLQTRLLEMNLMHAPQVAEAILGNDMFTHFDKGRIAQLCEQA GLPQKALELYEDSEAIKRVIVNIPGSPNFNPEWLSNYFGKLSVEQSLDCLDAMMKHNI RQNLQSVVNIATKYSELLGPVRLIDLFEKYKTSEGLFYYLGSIVNLSEDADVHFKYIE AATKSSQFNEVERICRDSNYYNPEKVKNFLKEAKLPEQLPLIIVCDRFNFVHDLILYL YQNQQFQAIEAYVQRVNPGRTPAVIGGLLDVDCEESIIKQLLSTVDAHQINVDELVSE VESRNRLKLLLPFLEATLQAGNQQQAVYNALAKIYIDSNNNPEKFLKENDQYDTLTVG KYCEKRDPNLAYIAYSKGQNDLELVSITNENSMYRAQARYLLERSDNELWNFVLSENN IHRRSVVDQVTSTAVPESTDPAKVSVAVASFLENDLPLELIELLEKIVLEPSPFSDNQ NLQNLLMFTAAKADKGRVMDYVHKLDGYSADDIATSCIDVGLFEEAFEIYKKADNKAA AVDVLVNHVVSIDRSQAYAEEVDIPEVWSKVAKAQLDGLRVPDAIDSYIKAEDARNHT EVIETATHAGKNEELVKYLRMARKTLREPVIDTALAFSYARLDQLSELEDFLRGTNVA NIEQSGDKAYEEGLYEASKIFYSSVSNWAKLATTLVHLGEYQAAVDCARKANNIKVWK QVHEACVQKKEFRLAQICGLNLIVDAEQLQTLVKEYERNGYFDELISLLEQGLGLERA HMGMFTELGIALSKYHPERLMEHIKIFWSRMNMPKMIRACEEANLWPELVFCYYHYDE FDNAALAVIERPENSWDHQQFKEIAVKVANLEIYYRAIKFYVEQHPSLLTDLLAALTP RIDVNRVVKMFQKNDSLPLIKLFLLSVQSQNKRTVNEAVNDLLIEEEDYKTLRDSVQN YDNYDAVELAGRLEKHDLIFFRQVAANIYRKNKRWEKSIALSKQDKLYKDAIETAAMS AKSDIVNDLLRYFVDIGHRECYTGMLYACYDLIRPDLVLELSWRHGLNDFTMPYMINL VAQQTKEIALLKSDNEARKAREKEQEKTEDNTPILGAGRLMITAGPGGSMGSGNSTAP FQQANGFAAQPTGYGF UV8b_06724 MAATTTIKVPHLGGINAGYRLSNGGKLDPSKPTVVLINSMCTTS SLYNGQFENQTLTDAANLLAIEPLGHGATSSVSEQFTYWDTAIMALQVMDALQVKKAF ALGTSQGGWMVVRMALLAPDRILGLLPLGTSMDAETSASREKGCWDPQTQLAPFYDSW CSNTETPDFVVDEVWRGMVGKLGFTAAVPQATLAFWDETVKTVYSGDQGRRKLRMALA CLMERDGLLFRLRDVRCPVYWLQGSADPVFGAVVPTEHIKLFTNSPEATLTFVDGGGH YLNATNPKEVDEALLSMIKKYA UV8b_06725 MSSDDKSQLPGLPGYDKDNLQPWLVAVTVSMTVLALAAVGLRLL SRRMKRQSLWLDDKMIIFSMVWNLAVIGFIFAMYSSGMGIHADKVETSSIIMMAKWLV VAEILYAWNLGWTKISLLLMYYRIFRHAYFKKMAWVVGIFVWAWVICITFLFIFICVP VQKLWYPQIPGRCINQVGTWISNALSTILTDLIILLLPLPQIWKLQLRKTEKMGLTLA FSLGFFVVFASAYRTSVLFTYTNTDPTYTLAPTVGWTVIEMSAGIISACLPTFLPIVL CCARACGLGRAPSMPIQDSNAPPTFGGSGNKGNKNGVISFNSFASRTEDHDSEKATDG PFYRLQDIRETESVASRPVGSEAETHTGPLEQPPLRPDVEGYVRSVHTYTVKGNESSE DDIPLQGIRVQKDFQTGTLRQG UV8b_06726 MLNSSARGQRALRTWQSAMNRPRNRQPRPPRQNHSPGGHQADST RRWTPSPATTPQQAAGAVPSVQQVVPGASVFVVLKKDQPTGKETAGVVADLLTRGDHP RGIKVRLQNGQVGRVQRMGLGSAAASGAVSPAGGTGVASGSSRFGRRCTDVRGDLDYP SEPPARSLADFLPPTQESVDEMSPGPESAASYVSVRCPVCDVFEGDEAAVSYHVERQH LN UV8b_06727 MRFRSTLLPLMLRRQRQYGRGPNLGLCETRVPVGCVSLLKFRFT GLVIAGPVRVSVAGKQPLLHRVWLSRLFVYHRRRGSETRLHFATLRNPRLPCCQKMQL QSSYRLYKRETSRLVYLLVTASNALLTAKRLQQYVNKSCKLSPSEFIPAAQLIAKHVK NVPSEIFRVLDSVIKLRTACCNEFRRRAATHGSNTEMEESNRSHQHFVDILRQTFGIL GGDKWLEQEKSSRAKPAATDATDSDGFQANWSNVFSRLSLDTTSDTDEESRDDDEASS NLPETPRPRRVRRKNKKHAKKSGSRRRRQEPASHIPLEQYGLAHDDEHKAAEYFLAAS AILEQCIELRLYCQRVWANSAYHGKNLVVTTAIAFQAMAVVRRSQAITFADFPDGDSY LDLLNAYTNGGTSRLQNDTVIRFCCNSSDENCCKVMKEKAVPPHHMKELLMEYSYISL VEFVQDYKKTSSGSPTKAMQATFRKWDPDLTLKEASLDQMLEWRRVYTIKWLYDLVAS FLSQKRIIQEKSTRDRRRGKPSPNKVWEEKFSFFGLGDFAEAVVSLTQESAEAGSERS ITPLLVFQLQCIVDSFTITRGWSIRAAGSILWDVPPEDFDAVSWLHLFGGREACHARP GVVGAAAALADSILDRPTDEGLAPVGDALREFCDSFCSHYKTMMELDRGAASGRGRRN SAKWEADELALWSLSPFLCGSGLMQAIELVYRFSMFIWGLTPELLLMIDLHHELTVHC ARLEPIPVLEKMFDWFGNDICRLDVARLLRLRIRLGHGASHQLEPFQAHTAFFKNFHM ASSVMQTRSDLLVLHEADWQTNAVTPSRHATLQPGSALRPLVKNLGEYSAFDSRKHFT QMPKTGESINIPHFDPAEHIPEITLLGMMDYALIQDADTLSGVNFFHVMATLLKVWSG LGEGLKRAGNLSCWTGSSDIPGAAVIAGTLSAMTHSNDAAMALAAEVFEQHRDGFAKE CVMYFGTDRMRTDWDTGDFLWRPEV UV8b_06728 MRLSRSVVVVVPAFAAGVCAGPCRPADPVYSILRTPLQSLATPF CSGFLGYPVTATGTAVGDAATVTQTAYQEPDTVTAQATETVVATSTELLVVTTTPDPV TRTNTVVNTVTIWARQEALPPLLASYPASKISSACSRIVTPSTTTVTATLPNTNTATV TATAPATYSVTVTQVVTVSDTVASELTAEPATATVTVTATDTATRPKVCGANGLPGPN AFNYGANFNTNQANCIATCKTDPRCLSTGFYQVTSPGGTITGTCRYYDKSVSNSASLG VGYYKFNDKAC UV8b_06729 MESLKVHNSLKPGPPVPFVPMEPGKVSWYVCGPTVYDKSHLGHA RNYVASDIIRRIMMDYFGFRVKYVMNMTDVDDKIILKARRQRLLDLEKKKPYSESDLG ELVLAAFRAYAASNLPLLADDASASTLTETNYVPKRDAAYGRVLSGGTLSGEGKPGDA EAKVKMHAGNMDSAAEALKTSVMFPGADEVLLPYLDALYKETIDTRDQGMFTDLTKSM EALFLEDMDNLNVLRPDVITRVTEYMPQIAKFVEQIVDKGFAYEAEGSVYFDIAAFER AGNTYARLRPESRNDKALQEEGEGSLSKSLGGKRGPGDFALWKRSKAGEPSWPSKWGE GRPGWHIECSVMASDILGSRMDVHSGGIDLAFPHHDNELAQSEAYFCQHDKGEHTWVN YFFHMGHLSISGSKMSKSLKNFQTIADALATTYTARSMRIVFLMGRWNDGVEISPDMR AQADNWESTISNFFTNTKSWLAETGVAANCVKPPRSSQDGPATGLLADLEQSKRDMEA ALTNSFDTPRAMLVLLKLVNTANVYVKENKDFDLGQVEAVARWITKMVGIFGLDSNAN PPYDGLGWASSVAADVDVRLAARPYSQVLDTIRADVQQLSLSSDRLRSLLSQDAAKDF QTIAASGSRDIERLALPYLRVVSQIRDELRRIVASQTAETKKAILEMTDRIRDADLTN LGVYLDDRPDNQPSLIKFIPAAELIRAREEKAAREAERAAKREEARLAREKAEREAEE KAKVAPEDLFRGDERYSAWDEAGMPTKMKDGSDVPKSQLKSLKKMWDKQKKMHEQLRA KGGL UV8b_06730 MDSAPVAQQPSPSPFLQPGLKTQQNSLAIISLSKDRFQPITLQQ SAGAVDGYAEGVTLNTRFGSFPHSTLLGIPWGSQVRASVVDTGSRGRKRRRGEVDDEE PTSSNADDGNDTPTSSAPAKKAIAASSGFIYVLRPTPELWTSCLPHRTQVVYTPDYSY VLQRIRARPGSRIIEAGAGSGSFTHAAARAVYNGYPGSDADVRGKVFSFEFHEPRFRK MQAEVQHHLLEGLVHVAHRDVYEEGFLIDGKSPRATAVFLDLPAPWEALHHLCRRKTL KGVRLDERPGDWESPLDPDQSAYICTFSPCIEQVTRTVAEMQTLGWADIDMVEIAHKR FNVMRDRVGASTTPNDVAEAVKKLKGDLKRTQDFHRTQASQPVSDASESKMEVDGPTP DLTGKGAAKDEEETPPWLQGNVVHRTENEIKTHTSYLVFAILPREWDQEAEEAAMAKW PCGNESKTIGNLDKQTRKQEKRELLAGKRQKTQKIVNDAPTAL UV8b_06731 MTSTIGIPIKLLNEAQGHIVTLEITSGQTYRGKLLDAEDNMNVQ LKDITVTARDGRVSHLEQVYIRGSHVRFFIVPDMLRNAPMFRSRNLRGRGVGLARGRA TVSRARAGGRR UV8b_06732 MAPSSRPLARPGQYRLLCVPSSRLASIAHACPTCASAALRRRRD AGSRRHHSNAISPRVELEKALLQLQKKAPHLTDTSRLQLALQGLRAAAGEELVRVAIL GLGTGSHAGPTARRLVRAILADPLQDEQPWEKELESCDGRRPLVVRVGPPRKLHAVSL VIEREKDWDEMHVSSPQFDDYNLEFILIEGNQAPGDAGLEGLLVPAADIRTAAGRSAP APAPLPVPVHQALAVADGFRGAVSVSLLPVSLPADMVNAAVQMEGVTGQQLKVPFPIV DVSLAERGVGLFRQGPQHAMEYERLWSSSNVPALTRWLKDGATSAAGATKPAVRNLVG SLLQNTLDRAQLLVAQSSARDSAGTLAGTLVSGDRYPGVLGSGPADWAQRAHTELQEE LDLAFSGRRWRKLGWWKLFWRVDDVAMLANEMLCRRFLPTAEQELVYLAGRVAQTSRS LPEYPQPASAARATRTDRPLASGERAPAASHASFSALPKWPGHVAFARRYLQNETVPA LQSLAQKLVLQALGTSATTTSLAALLYISSLSSTVYDAAAVAALGIVYGLGRLQRKWN AARAFWEGEVREEGRKAVRAAEESLATALKNHASKKAAQGLEGAEEAKQLVAKAQDAL AKMK UV8b_06733 MPPIPPHVNPLPRLITYYQTHHDSSGNHISILPLVTQPSVSITH LILAAIHVNSDPDNLTLNDHPPDHPRFQPLWAELRVLQASGIKVLGMLGGAARGTYLR LDGPDDQFETYYAPLRRLVRGAALDGLDLDVEEEVSLGGIVRLIDRLRADFGPGFVIT MAPVAMAMLDPAKNLSGFDYEALEVMRGREIAWYNTQFYCGWGNLGSTLMYDLMLRKG WSPEKLVVGVVTNPANGTGFVPWEMLAAVVGILHRRHQQFGGVMGWEYFNSLPGDAAR PWEWASNLSSLLRPHSLEPVPDSATTRGTRCDQECQVDPDPVTSLSLPLELPTEFDYH SDGHAQD UV8b_06734 MALSRLATNLAAGLVLASVVSSQNPSQGNLVLCDCGIGDDKEHP DWSTSRQMNWYNQIKWPDSASTYPKAPDMAVQVPYKDGIYPWLPQGVTAAMPNGEVWT AYIEDGTPDGFKAGSAVSSKDSKAVLNCWAYRGRPISAAINKTVSHDAICRTAFVCNH EINPPARPKDMNPHSSATSSPATPPRTTFYTKPPPTTVTVTPTSSGQPAPTHNPNQGK LDVFASVNPRFINWQDTWQAFINLFVWDKSTGRCISGSVRGSGYNITIDCAGIQIDED THMTLLLIKALRDVGLNSLWFNQNPVIPGGSSSNQTLPNWVVMPEAFSLQAIDVATNH VVGRLSYKTHYDVFLSTPCSTCETGRFNKSFFDQVIGAVQGSYPRFNNFTVQAQCDPW MACE UV8b_06735 MLRRLNPSKWGFRLPIGRVAPRWTHNIRHQTTQASRLDRITARL PRRLQKYSRGLRNAPVSHIMSFLILHELTAIVPLIALFALFHYTTFVPITYMTAHFGD YVQSGISRFEKYFRSKGYFGFRPKDFDEYDIEGTYAVEKWNTGEEKYKILVEVALAYA VTKVLLPLRIIASVWATPWFASVLLRMKRALMSVASARSHRRK UV8b_06736 MSSSEQTFIAIKPDGVQRGLIGPIISRFESRGFKLAAIKLVSPG KAHLEAHYADLKDKPFFKGLVEYMASGPICAMVWEGRDAVKTGRTILGATNPLASAPG TIRGDYAIDVGRNVCHGSDSVENAKKEIALWFKEGDVVSYKQSQFSWIYEKE UV8b_06737 MRSRVGQPDVWAALLCLSAFLVCLISPVASVKEHDFKKCDQSGF CKRNRAYADNASVHASTWSSPYDVAPESAKFKDGQWHAVIVKTINNGEKARFPITISL LKSGVARVSVDEEKRQKKNIELRHNSKARKERYNEAEKWVIVGGLDLDKKAQIVFQDN SQANIEYGGDRKFEVVIKFSPLQVEFKRDDETHIKFNGRGLLNMEHWRPKIENDKTEE GENKAEQDVKKDEGDDESTWWDESFGGNTDSKPRGPESIAMDISFVGYQQVFGIPEHT GSISLKQTRGGDGNHNEPYRLYNTDVFEYVLDSPMTLYGAIPFMQAHRKDSSVGVFWL NAAETWVDIVKERDAKNPLSLGKASQSTHTHWMSESGILDAFVFLGPTPRDLTQKYGE LTGYTAMPQEFSIGYHQCRWNYISDDDVKEVDRRMDKHKIPYDVIWLDIEYTEEKKYF TWDPHSFPDPVSMGKQLDAHGRKLVVIIDPHIKKVDDYSVSSDMLSRDLAVHNKDDET FEGWCWPGSSNWIDCFNPEATELWKSLFKYDSFKGTLENTFLWNDMNEPSVFNGPETT MPKDNLHFGNWEHRDVHNLYGLTFQNASWEAMVSRKKGERRRPFILTRSFYSGSQRLG AMWTGDNQADWSHLAASIPMILNQGISGYPFAGADVGGFFGNPEKDLMARWYQAGIFY PFMRAHAHIDSRRREPYMLGEPYTEILTKALRLRYSLLPSWYTAFFHANRDGSPIVRP MLWTHPSEESGFAIDDQLFLGTTGLLAKPIVEKDKFSVDIWIPDDEVYFDYVTYEVMK TQKGKTVTVEAAIDAVPLLMRGGHIFPRRDIPRRSSAAMRYDDYTLVVTVSKDGSAEG ELYADDGDSFDHENGQYIYRKFHLANNILKSTDAEGRDAKWVKAGTWLKAMRQVHVDR IIVVGAPSSLNQEEVQIKSEGRKWAVKVDYHAAKEGRAAFAVLGRVGARIGEDWSITL A UV8b_06738 MASSTNPDLDHQHDLNQPQGGSPQGHHGDAEAFQDHESYAPRRI HKDGEWQEKASSTLGAFQRRASAATERRTTSRSSNRALESRFADDLELLRAERSVSNQ EHDVAEGHSKTRVLDEDQEDAFNPIVHKEEKTSKRNKNTKICKLWASVKKFPRFVRYI VYLVPGALAILIPTLIGKYSKDNIFVGDKRGVDLMWLGIWIEIMWCSAWISRMITCLM PQVFHGIASLLGAVSAKRWRDMGVRLEVHTAVFLWFLAILISFESINNHREEKLDGED PENYWVEIVNKVVIALFVLSTLNFVEKLLMQWISTSFHERTYSTRIENSKADVAHLVR LYEYAKDKLGETGQHELGKSNRTGTGGQSSMANFQEGARNVLSKLAHIVHKIANDLIG RKVNTDFAHKVVSELLRDTPSALSLARLIYRSLVREDRENVDAEDMSAVFATKKEADA AFAVFDRNFNGDVSMEEFEAVCNDIHLEKKAIAASLKDLDSVVEKLDKVFIFIIIIIS AIVFVAIYSKATAAGLASVSTAVLGFAWVLQATAQEFLQSIIFVFVKHPFDVGDRVTI YGSTGATMRGDDYYVTEISLLYTEFKKLQGHIVQAPNSILNTLFILNQRRSNGISDAI PLEFKFGTPTWMIDELKARMLEFCLANKRDYQPTVIAEMTGVEDVRACKMKIVFMHKS NFQNELLRLNRRNRFVTELLSQMEQIGIETPLRVDPGGSKEHPLYYAGSSPPADVNSK QQSDDDPAMTAQAPAPTRSSMQRLHSHSTRGSRSSISTEEAIVRFQDVFETRRENAQD RRLKSIWEKEEGSRSRDVDEERQVSTTGAALSPTQSIESTSRARFFDRYRRRAKTLQT HPRPDMV UV8b_06739 MVVLRCNGELQLQSPKRFAKVGKVYVLGTSATSRPNVDPSCRSR AALVSTEKEGDEFPDFVQAAAPAPDLTPEECLESQRQLARQSNLNGTAGAAAGDAARR GADGGGTTSKFGVSSAMAEPINTVWESDEREAEDAVFQPENFMFLGPGAFTDVSPLNE EEEEAAKRTVVGGIGERVEGAPRGASGSAAAALAKGVSPVGSGESTPVMRKSKPSLSP KPNVVGDPVENTAAIESPPDYETAPVTERTPVVESEVAAALPPVAEADPSPRAAEAPD TAAAHMIDSREMPVEMLGDTMHRPDPVGLVAEMPTEHTPASHIELHPDPVEIADNSIL APIETAKAALAGTAGFPGSNSHIDWGGVRIVQTSERKPPSEVEQILLTGKTTGRETRG TGHEEAGQAVVRPADSHQEERAFQPGRRDPQTKPITPSPALDDPQTEPTVRSPPKLEN LRIAREHRGVSSAPSTPVRTQPLYRPYVPGQTVAPHRQAQLPTSEKRQSVSLQREASL AMNMKRGSLAESICECGGTSARRQGEDLAVEFEFGGCAVGVAACQKSWDLSTGCSLAA AAAGLAGSPIIRTISRREI UV8b_06740 MTRLDSIVLVTDKAAWEGTKESQDTQAILDAHKAELGVGTLRTQ HLQIDNLEAPDDIPDTAPLDEHEAYIVRPDDATAELGYSSRSPLRADETSSAVPGRKK MDVSQQTPTQINDERDYAAFCEPLGSSTVDDDTQNTTHDEPHTLNPDDTGAVNFGISS ELPRPSSQVSEDGGFENTRGEWRRPDDIPRAALNSAFTPHKPQDLLPETPALPKNPFG NKSDGAVPFGGTQLFGQTQLLSSAAKMASPTSSRPSPNVFLNSISPRVMGTSPLKNRA NVSSPTDIRTSSPARLHEIPATLLKDKDLGTVAQETPMPARSQKDELIPESPTYQSPL SLAGRKPMAHYEPMKQSQERKMVNRKALLQRLASESDSDDDTLQQLERKKRVERKRAL AAKEMNKVRLTRTPRRPSDEEPNKKRRKVDEASEKRRNSGLQAVDVQAAPTLLGDSQK AASQSTQLPSLRSTKATPGTLEEVESDKMRATSANAQNAAEPEPDPIDDEMIPATSPI CSSPNMPQRDVPSNSEPELPLLRRDGTEQPGDGVDCSSLPLVRRRSLRTYGKRGREQR SRLCVISSPETAATENAVGCKADEQVSAEPRIDADHRAQGSQDAVKQADMLPRDSRSE LPAPMGARSRRGEAKIQTPRPYRASRPAPATTSSMTNFSSTPAASSKTTPGTQLGTQD SHASERSESVSLLSPAKGAKPPGRRGGNTAESESPKPVTKAMRLSRRLLRMHSDSTDD RHRSSPCATAPNRSTTQSKSVRGFRASSGPVSRARRLFDCMIFALSFSENRGQRTKLE AKITQAGGAILHEGFHEMFEPLAIIHSANTTLESDESPLKLAKMYADCGFAAVIADGH SRKTKYMQALALGLPCLAPQWATTCLERGEIVDWVPYLLCAGASQVLGNAIRSRTLAP YCATAAKLPDVLRIRGRLLEGERLLIVVDCRKLRKETKQQYLFLAAALGPCSVSRVST VQQAGESMRQAEQGSAPFGWIYMDPSIGTVEDVLAAAAAAAAAQQTTGRRKRKLAAAE PAGQNVSVLTDELMIQSLILGRMVEAGEMG UV8b_06741 MSTFGQFLRVTTYGESHCPSVGCIVDNFPPGVPVSEDVLQPQIS RRRPGQSSITTPRNEADLVSIQSGVEGGVTLGTPIAMVVKNQDFRPADYKGDTMKQYP RPSHADFTYLEKYGVRASSGGGRSSARETIGRVAAGALCEHYLKLAHGVEIVAWVSSV GNIHHMPPTAEHPCTSHNPKFVQLLQSVTREAVDKSPVRCPDPEVAARMEQSIADFRD RQDSIGGTVTCVVRNVPTGLGEPVFCKLEATLAQGMLSIPATKGFEIGSGFEGTEIPG SAHNDMFIRNPAADSDARNGFAKPKLTTSTNNSGGIQGGITNGAPIFFRVAFKSPATI GLPQETVTFAGASDGLLEAKGRHDPCVVPRAVPIVEAMAAICIMDALLLQGGRRMATR AP UV8b_06742 MAVGALLEPLTVVSLLFGGAWLNRDKEYCFKESQATWAAVDGVF KKNDEFGKRNPSPGSVASWSFDWSLSASPTLLGKPPKYRSRKLRLFGHETTVTSPNTL IFKDRLLSRVLRKFPFLVEVWYWALIYWVYQLGRAFTALTMMEDTVKTARRHALQVIR LERRLNIFREPPIQQFFLRRPALMHWINRIYSFIHIPGTILFLVVLYYLATVPRHRAA ATDRVAFTSPALYEARRRAMAVCNLLAFAIFTLWPCMPPRLLSDPLYDGDNASEAKSY GFVDTVHGPAGESSVWTSNKFCNQHAAMPSLHFGYSLLVGLTIATLPTTGARPARWNR MGVVALGVSYPALILTAVVATANHFILDAMAGGLVCGIAWHSNDVMLNLCVLEDYFLC MVRIHKPVNSTDTETAMDTEFQSGLTSPA UV8b_06743 MTLARRLEQTYQGSERSASPSSSFYAMSDDEEGGYNTITHAETG RGVKLLFSKSKVYVHPTPSAKDNIPGYIALLHQKPSPSDRPTSSSSRDSASPASSDLL LAWIPESALGDSASIYVKVDLCDGDSPPRQSYLVPPPPTVTTHSGSVGGYSFAIPVSA VYSLLVRPPSLGWWYGSIIINSRAGDSFPALFFHDNECQSTILQKKKLARDNFDPFGE GGQMFWGADEVLRWVKRYVHVERSGAEPNIYLIEPSHDDLQGFGGKFATTPKRGGGAN TKKDGRQGGGGGGSSSRDAEMDPFTRFVKETGWNIMNQFSKVTTFTRRTAQDFADNSN LPPQVKRLLRNPEVQTLQNEFDSARIYLARWAMGIAEQSERDRRGRIWTVKDVVDLEA TDVGEFELLEGASALSVEERRRAVTMAEWETFFDPETGRLSVTVDEVKERIFHGGLDP DDGVRKEAWLFLLGVYEWYGTADERKAQIASLRDHYYRLKHSWWERLEGDGGEGEAGE WWREQRGRIEKDVHRTDRQVPIFHGEDTPHPDPSSPFADVGTNVHLEQMKEMLLTYNE YNKDLGYVQGMSDLLAPIYAVVQDDAIAFWGFQKFMERMERNFLRDQSGMRRQLLTLD HLVNFMDPQLWNHLRAADSTNFFFLFRMVLVWYKREFEWPDVLRLWEGLWTDLLSAEF HLFVALAIMEKHRDVIMEHLKAFDEVLKYTNELSNTMDLESTLIRAEALYRKFQRLVE AIDKKQNFPAPRQGPSLPEGQPPGTKVDEDARNRPLKPGGAGKGKDKDQDKDQDEQEK VISPELRRLLSRKVEVLPRKTVAQRGDGMPGK UV8b_06744 MTSWYSSILTRTTSQISSLRSTLLSSDNDGDTDDDTHVCRVLRN YYADKGRPLPAWLPPDPKTAAVPQPLYAQPQGASAGVGVGVGQPSPGLSSLWDSAPPA QPQSLRAGRRPREDVLPRPLPSQRAGSYQSAGAGGASAQDRLKQRLWGSGRQSPSPGG SRG UV8b_06745 MASDEIIWQIINQQFCSFKLKTDKNQTFCRNEYNVTGLCNRQSC PLANSRYATVRQHPTKQTLYLYMKTVERAHLPSRMWEKIKLSSNYSKALEQLDERLAY WPKFLVHKCKQRLTRLTQVQMRMRRIAAEEERLGERLVPKLAPKVRRREEARERKAEA AAKLERTIERELLERLRQGAYGDQPLNVSEEVWKKVLNAMERDGEGERDEDMDEGVVT DEEAEAEAEGDSEGDKEVQYVSDVDESEVEIDDFDDLLDSEEEEEEEEDDEDSEDSEA AREATGGKRKRGKAVKMSSKKRKPEMDKLALTNDLSW UV8b_06746 MPAAGLKTIIALSFVLAVGFLLVILSCALFHSYFPLLVVGTYVI APIPNWICGRCANPDDFVESSGAAVLDAGRFFTGFLVVMGMALPVVLAHSDLIRVEAM IMSIVGGLLIYGTIISFSLFFQEEQEF UV8b_06747 MGLVSAGSAAVDVVGDGDGDGDAPRAARPDHGPEAGRVGRRILF LDAYDSFTNNIVSLLKDALGSSVQVHVLHMDLRTVDADPTPDWTRQQFLHRLADFDAV VCGPGPGSPLNDADVGAFKLLWRLPREQSVPVLGICLGFQSLVEHFGGTIRRLRRGLH GMVRDIDHVQVPPADIFDGVPRFRATLYHSLCADIGQDDVSDREWPDARWLPPKQAPE LLPLAWISEPSQEEEEEEKGGGRGGGGEKVPKAGPERILMAVRHANRPFWGVQYHPES VCTEPAAQQVIRNWFRQALKWNDAARRQVRPVPSINHVDSLNPSNRSLPGADSARQLS SCPWWEDLQTGLARRGIKPGYSCRQIKLPGGVDAADIAQVLGLDSGSDTIILDSSSTG NGDPLARSSVLALGVEEALRLEYHVGDDHLVLRLPASGGRQEECQRIRLGHDADPWSP WHVMSEYWRWRRVPQDSQVPVFKGGFLGFVTYEMGLSTLSPGSVPAERGHQRPDLCMA WVSKSIVLDHRAGVAHVQCLTTEDADDSWISQVVDTVQSSHAWKHPGCSRSSADAKPR RSNEALLDDIGQRKDRLHVSVPDCRGYEADVKKCQDYIREGESYELCLTAQTIMTRPP GNDRPHRPGTEPSTDGTREERVAKLGKVSHPDGQGRHGTPWQIFRTLRARQPAPFGSL IRLGGATIISSSPERFLKHDCKGLCSMRPMKGTVRKSAAVSTLAQAKDILHVPKEEAE NLMIVDLVRHDLYGVCGAQSVTVPDLLRVEEYATVFQMVTAVHGQLPADKLAAGTDPS RGDLPFTGMDVLASCLPPGSMTGAPKKRSCEILQTIEPAERSVYSGVIGYLDVQGSGD WSVTIRTMFRWDDETAPAQDGETEPREVWRIGAGGAVTTLSTPEGEREEMMTKLCGPL GVFSDVA UV8b_06748 MSSDPLLPIAPARVKALLLPLGKIRAERFAAFAERLQLEQVVHL RDISADGRPNRNMFSPLAYPDGAMLYDLITHVPPPSHLALTPFDLYRQPLVLIALADG TELRDALFSSRRSGSGATAASTVERNIRALHQELEDLRDNYPKALVHHLLIFDYIAPE GGGFAMPESGIVGVPPLGLCKRTTIKTIMCGISSLLLAEMATLAKSFEAMTTIESPGL YPSSNYLHSTGWGDGGGGGGGGNGNPVTSSRRSSQLSMPQQRLSRSSSVPDAVDKVQS RTSTPPTVSRSSSNAATTGRPSTPPQSEPSTRPMSPEGGQGGSSTAPSTPEQKPQRVA SDLDRTRDAGRDRVSVHGFGSGGANDRWRLRGKGRASVVIGSMYLQAGRWSDSLKELS EGATAARSLNDHVWHGKALELILINLLLLGWSKLEFQIPTVCLPHQERALTGSSHAKA DELADAGQPRHVRHLELLLPELLEKILGLYSRISGENLPPLPLAETTIRFCKILSALH LNDGKLSQQAFGIIVTGQSPLYKLTTSRLSISPSRQQIVGILFKAFPSSSPELLSVAD RTSILSGIAGVLGSLGYNRKKAMVIRELVSVLIAGLVEARTRGAAEAGVHPAAGLVPL IPRPDKTNVAGMALDLGQGDIEHGIEAFLDLLCKSYGVVGFDTLAGGQKEEAGQTRDD SDATAKARVLAQTGARFIGFTEIKLNILRACINFSEALPDFNGVLRFSSDLMRTAGSG VAPGPKREDAAPRIHAEEQVRLVTNIARTASLAARIGLGDLTAEYWDEFLVRGIALEP LPKTRTPIPHAKSVLPGAVASRASQDVNPFIYNPFLREPDEVPAENLVAGELSTFRVT LQNTYDVEIDIESMRLVAEGVEFEAVPEAALLGPYRTQLVRLHGRPKSSGPIEITGAM VKIRGCRERRFPIFAKHWIPSRPEKVKARGISAVEDRDPDGAAPSSPTTPDTKVLALN VVQPQPLVVVKSTSLAQSSVMILEGERQVFSVTMQNTSATPVDFLLFSFKDSTQEPLQ AALRNRDATPAELYEYELILMKKQALRLPRNDQSRYIEPGGEATFEFEILGKPGLTSA TIQADYTYLGVSRDEMPEQFHTRQVLLNLTVTVNASVELTRIDAVPLYGAIPEPLRRR LGAKHPSDPCQEYSLLALDVRNAWPSQMSVRLEGEDGMVAEEDILPGKTSRLVIPVKR VGVEDAHAAIPSLNPSRNRQFVVSTSKISPDRERANREAFWFREKLLQSLRATWRTTS SPRRSGAVELRNIRLTPRMIEAIKVDEVDVRVSVEEAPDRVAYVDELLHLKVKITNRA AKPVLLLVRVMPALRHRPLNVALDYTRKLAWNGALQQLLPRLGGHESAEVVLGIMALC RGEFELAASAEEVEAEEVEVAEDKPAGGGGEQDAGRPDDGSDLQQLVDAALGVRDRRM WHTRQPLILTVRDRS UV8b_06749 MYVFACLPPHETDHEQRSAESRDLIGECKSDRRDRQGWKDRISG IQCDGNMSSCPSAVVQAEQVFGRIDILLCCSLEGIPRGSGAPYQFLFSQGNFIKATFP VPRKQHTGHLIALTSTCGHLGTPGLAILSAVTGDLEDYCDSLVYEVSVQSADSVSLTS RLTFAPQTAAYVDAYPSAPNVRDILINVLDSDPETAVPESSRARARNHALHTGQHVVG AGARPREHLFSPQQAVGPRCGCAPRRDHPRAHSHWWATNPPPAWHVVGADAALAVREK LKTVTEEMEDFVDASLSVDGFESDLLCMMKRCSNRYPFPLGNRD UV8b_06750 MGFAAFLRAVVRNDAMRSDSEAVYNGRTVALVCCACFGGMLFGW DTGAIGGVLAMDETRRRFGYADRSRADKANLDQNIVSTLQAGCFVACLVTCWFADAFG RRPSLVASGLVTCAGVVLQAASAANGTLAVMYVGRFVAGLGVGAASALTPLYVSECVP RAIRGGLTAFYQLFNVFGIMIAFWVNYGCVLHVGAPAVYIVPLALQALPALLLIAGML VSPESPRWCARKDDWDGTTRILVKLRRLPAESAYISQEVQEMADQLEHERRLVGDATF KTLMREMWLVSANRKRAVITVVLMICQQLTGVNAINYYAPQIFSNLGMTGTDSSLFAT GVYGVVKTVSCILFLVFVADSLGRRRSLLWTSPMLMTVLFIIGIYGRAQPPVEGEPVS AFGYVAITCIYLWAAFFQFGWGPACWILVSEIPTARLRAVNLSLGAATQWLFNFIMAR TVLTMQNTMGYKGYGMFFLFGSFDFFMGVFVYLFVPETKGVSLEKMDELFGMTDRVKQ LDEEPEAGRAREERGPSVR UV8b_06751 MVSLGYDDTHPFTNVALHDRASVQQLLRTVLDPLEPFFSPAKAR VRCPGGTAVRFDQTASEVEGICRPLWGLAALLAGNGEYRGTEWWIQGIRSGTDPDSPE FWGFPRDNDQRMVEMCPLGFALAVIPNIWDKFSSRERSNIEAWLGNSINEKNMPNTNW LWFRVFANLGLKKCGAKYSQDRIDSDIEHLDTFYRGDGWSNDGPAGVHQMDYYSSSFA IQFLQLLYAELAGEEARHRAAEFRKRAQTAALDLVHYYDEQGRAIPFGRSVGYRFAMV SFWGALAYANVELPAPLSWGMVKGIVMRNLRWWQTQPDMWTSSGTLSLGYSYPNMYMT ENYNSPASPYWACLAFICLAVPESHPFWTSKEEALSHAFPRVKELPHPGHIMSRLGGH CMLLSSGQACGYPMKATHAKYGAFAYSSAYGYSVPPGLFSLEQHALASQMGLSDDGGE SWKTRRLCEYAALEHREGALPVLVSRWKPFSDVHVTTTLVPPEESTPNWHLRVHRIRA GREVVTADGSFAIRSADSVNGRLLDLYDEARCEGTMPRLMGNYDTDTAEGWATGKAGA FAVSKGAVGIKALESGLVGERRAMLVNADPNSNLMDSRTTIPTLQHTVDKGQTAWYVS AIYAKPGDGVPRSTYLDGWEKPPAVPSWLADEMAKEAVVGL UV8b_06752 MPRRKPTPRKRKSKWDEESILTDPKSPLATADLRSVLANPLAWS SLTVEERHEVLALFPDMAHTLDVGTDDARPDFASLMNDDGFRADCAAYVENLAQGRHD PQWLRDAWAAHGRRKDGEFDDFLAERYERDWGELPEHMKPQKERSDVETAAPKRKQNK KPRLEQGKQGKQSKQGKQGKQGKQGKQGKQGKKRKPGDGRQEEDATPPHTPNIPNTPK TPNNPNAPITPDTPASIGNATREEDVIYCGL UV8b_06753 MPPENGALARSHSLLLLQKLLNLRDAVTPLTLILDNLEQPAQPL LHEFISRATISKAKVILLSFTTIKKPQDVDVIVKAAGKDLRLVTEDLVAHYPKLDAER ETERSAQRVVIVIDSLNRLASAAASRTSGFLGGILAPAVSIVAVYHTDVPVVPPRSSN EYEPHPLTILSHQASAILRLSSLAQETERKRARDRAVQEPEWGLKEGREGVVIGLITS SGAGSGAEDAGSTRDAGVVIDMELRRKSGRRVADRFVLLRSQDGATAGAAAPRLGPGL GLGKLMLLADHPVFAKPQDDDDGPPGEGGGGEPVSTFSLGLTEKQKKDREGIVLPYFD AQTDIGSGEGGRILYEMGREDDFDDEEDEI UV8b_06754 MILDTQITRLDGLMLCASVDDPAPAAAAASASLSETKQHLRQIL RKLTRASEPQASIQSGPHTLHYLIEQDVVFLAICDASYPRKLAFTYLADLAREFATTH PAQQVHSPALRPYAFMDFDNFVAKTRTTYADARAAQNLDKLNDELRDVTKVMTKNIED LLYRGDSLDKMGEMSSRLRDDSKKYRRAAVRINWELLLKQYGPFAALGFFVLLFVYLR FF UV8b_06755 MGSAFDSVGTLLADMANLLGEGLRILGHSDKRHWGQDEHEQVHA LEQALDEAKKDYQELCPLVNGQAQYRHDRNHETVGELRALRTEFQAHMQLLRDWSQSG GPINPIWVRETHSLQRELHRAQRRAARRIYSSSQESSQRCLGAFLVRRAQRNLAARPA DALSPRDCAKRQLEELAACSQVGSFDRFGEDDVAFVCDFCDGHMVWADLETVPAERTR PYVPAPRANDPHWRAAGRASSTAKDKHVVFAPLVVASHMEPLRGDWQARLLCPFCDED AQRPRDHDDEDDLRKPQGEFDDVAALQEHLEWQHAAGGLSAIAGASTALPSKDGGCLV M UV8b_06756 MTKQAESSPAEGDACSKDNNTSEDKALVEKLATLSIEDDEAASS VGEPCSRRAPPQPSSSASSSASSSAPLCASPSPSPSPSASACEKRRCIACMTDYPASE TMHCPCSHDYCRVCITELFEAAITDESLFPPRCCSQPIPLARDNTFFPVELIARFRAK EVEFDTSDRTYCYRPTCSTFIPPALIQGDVATCVGMECNAKTCTICKGPSHDDDCPKD QATTELLRTAMEKGWQRCYLCRTMVELTTGCYHMTCRCGAQFCYLCGVKWKKCECVQW EEDRLEDRANVIVDREQAERARVFEMMQRRALVNIARRNLVNNHQCRHRRWRTLRGKN RCDDDPSSPIEPRQLDFLSPALLTPTRVLDKPPAANPRGPIFDTTIITLRAIV UV8b_06757 MALQPGRALARARPPPRCPPPPHPRRQRPYTTDGATPPSFRIPE PPTAASSPPRWSQTPAGMRAPIQLDFAKSPLNKVWAVNNSPAKLDDMYNRLLGAGGSK MLPEELKWLAVTHKSFDQGRRGFNDRLALMGRLTLVMEATKEIVSKAPLEGSRQTDEF GRRPFEHEQLAAVDNLNVQGPKDVAGKEKLYGFAKNMGLLDVVRWKPRLPRNLESSGV QVVLNGAVMAIIGAVTLQHGSVVASKVVRERILARLPS UV8b_06758 MARPSPWRRPAQRFPLFPHLAVVPALLAIATAVSLFAHSDVNAA LLWSQCHARALLPALSRVPVLGTPSCFLVGFLYAALDSLRSRAVMGVILAFVGALLTV STVESARKVNARSPVVSRPTLPWLVFNLGGGALVWQLVIVPAFIQAAQAWPPARREGG DDDDDDEAVPDGHGRESEADAGRHVSRADVVAIPVAVALGYYVPSALMLGLPSPVAIG SWLFSPAYVSLIRRFVRHSLRQWPRFRPARAIHLEAHARALAAVYALPVLGSLLAHGF LIGNLAAGADDRREMTVSAMALIVIEFQYIGLTLLYWVFVEVGWRAPLAIALLSVLLG PGAGTAVGWVYREKLIASHLVAQPLASAGDVDDQERGPGQADEQTPLLQ UV8b_06759 MRPHAAANPARDGISQPSHHHHPQPQRQASSSSSQTSHTSRTSQ TSQTSQTGIKVAAETQGNHPGDEDAPSPFTIDPEGPLTHDKTTVDVVAVPCPGGHPLR SWNRDGLMGRYYGALSMRDAEAKSDDNDRPSPSWVRQGIRGEADRARILLYEHPEVGE STTLSALADALLLDLQRLRESEGQERPLLFVAHSIGGLVVKMALTKAKGHARYENVLR DCHGVAFFGTPHQGSSYFAMPSLASSIQSLLQLSAPLPASITDDLRVGNILLGRIDED FKAVSHDLQVWTFYETIDSRLSGGSSTPTASEPRDVYFTAPLTSTKSAILGMRQERIF PLQSDHANIASFGRHNVHTLRLFVSQLALQIELADRSLRESQAGGQAGGQAGGQWKLG LEHKVTVEVHGFFDGPPANQGHHQEAEEPPIVRAWSTRLPLREFLSKGPEESLRERLN EVEASPGQGQFLRRRGRATRTSQASAPAPAPVPVAPPSPMPVKNALGIQYAVSDAGFV PSPASPVMLPADAAPLGRVPHSALVATPLRLIAPPSRAISSSSSPIKVPSPLIRADLE QDLAVDRLSPPLRGRMGRSVSRSFSLGSDGSRFGYRGFSPSSLASRAVPRKAHLSDDD DDDDRGDDAKENEAQASPRLPDAAATVGKVAREQEGPASETVIVDGVAMAFATPDVKS RKFVWVHVPFNNPSWVKGAHGGADIEAQSVLQSLERSYKKDYSALYGHDFWMTWHTRG RHAQHYAYHAKPGCYFSAPRYLSSRSQSISNLSVSPPRRSSDSAYTCLFLPYLHFDSY KRLIRRRKIIVERLSRGRSHPVPESVAESDSLELQVIWEYLGHDPPINCRRTLDQYGY PSLRDTRSRDDDQMLYKLTKERAAGPEPKGPLAFKQGSSNAAFSESGSSEPSSGSSWG DRIVGRDVNNDNELEKVLNGNVLMVDQLWIWVLQSHTIVSMFPKRESDPIEGPLYQQA DLRDSIFNEVNVDLTRQCENALDLAALAALHAVSVLLDRSSHPDLEVFRIFEEAISIL TERLTASLKGFRAEGFRDKAFDYERVEDGPRSIRKRHKEEGRRAERENRDNTSSLLEL RDIEDELQTLLHLFERQSKVIISMHAIYNRPELRDQAANGRGFLDEGIKRLGEYAQKT NEMIQRVRATRDDYDKLLQMVQRQAQVDEVRLSRLHADLASSQSRSVMIFTTFTVVFL PLTFFTGLFGMNTQEWGGGGNLPLRTIGLIALPASAVLVVASLVMAFNTNARRLFRWL GSVYRRCRRWVCVRAWGPLMASLSELGRRVVGDGGGGEGEGSRRRRKLETEASDFWER NRPDRDKGYRIPEVNKRRRGVARTTTAAGGSR UV8b_06760 MAQFAQAQPLQDGGDLWLQAFGAEDEGLTEDAVWKSGGVETWGS STGDEDDEDDDDVAADAAETADAADVADAAGIADAADAADAADAAGRSGPSVNAGAEM SAMSCEALIQAQLELPKNVSNKQKLSTHLGILASQNQWKAQQKQMQRDATEDGRSSLS RTLESRGGNGNHANAPGPSMARVQTPVPLPTVAMAAMGAGPSSTMGPTPTTTSTTEAN RSVVKMTVVRPPGMNIGEGPLSQPTPVVPSPEVRTIPPMSQGHSQQQQQQEQEQEQEQ EQEQESSESQLESESESESESESESELDSESKLESMSEPKDDSKSEPKSESESDFSSA APWRGPSESPWPDVASRNEATGSYKPRHMTKKAPAPRNPIPLATDGRPYSVYPDDNGN WKSTRGALIPAGYQLHSDPEFPFICPIRHCRCILRSMNSLGSHFTGAHCYALINDNLD GTFTQIGPYKSPSGGSPCIVISQNPPAADALPPVEPKLSTQLTNALAAAQKRRATTSL GAAGPQKKQLVPDRLSHGPGRVTRHNMAPPPKIPRLDRAEIENPIEYLAQATHAGQRR PVGLDMQATEKLPRKRDLPDYWMRHHGGRIVDRSNYIFALWYITGDELTGRRTCSQSQ GGSFARLSDLPIAVPPVPNKSKGRVWETDTCVGCAYLSYNTGRVNNCWLRNPSYLKGV ADDSENFIVSDLVSPASSSFAPELPERTLASRRGVRQMVEDRLRADEESRSKFKMPKP LPEPPKRAQPRRPESSDCEMEEWEMAPGRIMNQGSSHNVAFSGSFLKSSTPITISPDI FFHVLIINPGQVHRASRQKDRMQVFSVASGKVKVTTGGKVIQLGPNGAFPIRPGDTCT IENKLYREAVVHCTTVKDYELAQDIDSTGEAESF UV8b_06761 MRFLTMQRPLLAAAISCIVRPTVNNKTAPRTVQLANAAVALGRR HASVKAQGAYKKKSKRGIPKKLGAKRTGDQYVIPGNIIYKQRGTHWWPGENCIMGRDH TIHSMATGYVKYYRDPARHPDRKYIGVVFDKADTLPYPQHAERKRRLNMTAFPIAPAA EKPALSPSGIPFQVRRVEPGEPVRILKLQPDYSYREENWRIGRLVKTTGLRTKKFRTR KQWFRNRRWRRERELEGQRKAETARAEGGEVKAVKAISKKAAKKAAKKAAKNSK UV8b_06762 MQLLLVFFSLLSAAAAQFGFFEHMFGGHNGGHEHQQHQQRNNPS DASFYKAQYTQSVCDNYLCPDSLACVHFPHHCPCAWDANEEKFELGDGKRICISKGGF KPGEAARKVELARKGLL UV8b_06763 MSLQSLSSYVSFRGQAPDGQHPNQDGASPPLPNAPPGSPNLLRG ISFSSLRSLTSFSRRRFEESAAVQPPTRPPPIPEPGSPAGLSLLSLSSLRSLTSFDKE TATVEPRLPKVAIIPPTRSSGPPRRKRSILSRKKIVHALLHFPIVIRRRVVMVDAGTQ TIPPPTVAESSPARRSDYRLIITAALYFYFIV UV8b_06764 MASTVDRSPVHSPPGHVAKRPKGILKHSTSHTSPPPVSTASPRQ ARERSMSGKEVTLANTKINAGHRRSSSSANRPPGSRRSSTHDPDNQEGSQRLKWDEAN LYLTEQERTSTMKITEPKTPYAKHYDPSEDPSDDEDYLAAGGSVSKASGARGEDDIPG LSLGDPEEEITETGLPGNARQPSEKTVHVDDQSVAPSAEDQMVGLSPEEREKHHQFEV ARKKHYDMHNVAQLLGTPESIPDDEDDEQEMPPVPSLPNGSS UV8b_06765 MGGKQNDEVQGHIPGQANKPLTAPAHALEIQDVVEQLAADVEVG LSNVEAKKRLEEYGYNEFGEQKGVQPLKIFIGQIANALTLVLILAMAASFGIKSWIEG AVITAVIILNIIVGFLQEFKAAKTMDSLRSLSSPTAQAIRNGNNETVVTAEIVPGDIV ELKTGDTVPADIRLVEAVNFETNEALLTGESLPVRKEPLPTYDADTGPGDRLNVAYSS STVTKGRARGVVFATGLYTEIGQIAAALRGNNSRRREPKRRKDGTTSIGRYMQAWTLT LTDAVGRFLGVNVGTPLQKKLSKLALLLLGTAIVCAIIVLATNQFDTRQEVIIYAVAT GLSMIPASLIVVLTITMAAGTKRMVQRHVIVRNLKSLEALGAVSNICSDKTGTLTQGN MIVRKAWIPGRGTYSVGTTSEPFNPTVGQLGLNPSQPKDINFRDDNADGEPIGGDDSV ANDAILREYLNVASLANLASVHKVNDEWRGRGDPTEIAIQVFASRFNWNRLRLSTGEG SQWHQVAEFPFDSDVKRMSVIFENRETKKQWLFTKGAVERLLSSCTRYTVGDEIQELD ENIKSDVLGNMETMARLGLRVLAFASRTDFAHVEDNEAELERGEFEKDLTFRGLIGLY DPPRPESAPSVRKCHEAGISVHMLTGDHPETARAIALEVGILPTRMNEIAADVVKTMV MAASDFDKLTDEQIDELPLLPLVVARCAPQTKVRMIEALHRRRCFVAMTGDGVNDSPS LKQADVGIAMGQVGSDVAKEASDIVLMDDNFASILNAVEEGRRMFDNIQKFILHVLAE NIAQACTLLIGLVFKDKRGISVFPLAPVEILWIIMITSGMPDMGLGFEIAAPDIMQRP PQNLKQGVFTPELLIDMMVYGLWMSALCLASFILVLYGFGNGADDLGDNCNNEYSDEC KAVFRARATTFACLTWFALFLAWEMVNMRRSFFRMQPKSKKYFTQWILDAWRNKFLFW SIVAGFVSMFPIIYIPGLNTVVFKHSGISWEWGIVFVEAILFFLGIESWKWAKRVYFR RQARKMSDKRADLEARVFGQYFRAGIESSDVESGSNSDGGEALREKVGCHTCSDEDGK RAQ UV8b_06766 MPPKRKRIEQHPTPPPPPPPPPERLRRSSRRTKEDDAASRNRSP RGGVLCSREAVERAMHTLSEMEHRLLEDAKKQRLAIESSDLWDVTAKEVAKQDEKQVA KQNARPEARQGFRREAKLEATQDAEEAAAARIVMLNSSKKRPPLRNGTNGSSMSVKTV EAEPDSQADADLLDDEVGDRGPRRPPPLNSNILPLPWAGRLGYACLNTYLRNANPPVF SSRTCRISSILDHRYPLQDPTQPEHPTKNRRDKTKEPDVERGLKYVQDLGLANARDIE KMIRWNERYGIKFMRLSSEMFPFASHEEYGYNLAFAAEVLARAGKVATELNHRLTTHP GQFTQIGSPRREVVAASIRDLEYHDEMLSRLCLSEQLDRDAVMILHMGGTYGDKEATL DRFRENYRNLSAGVRKRLVLENDDVSWSVHDLLPICEELDIPLVLDFHHHNIVFDSSM REGTLDIMSLYDRIKATWTRKNITQKMHYSEPTPSAITPRDRRKHSPRVKTLPPCPAD MDLMIEAKDKEQAVFDLMRNFKLRGWQLFNNIIPYERDDQPRKAVKKKKKKKARKGVR TRTGEDGSLAEEEIETPEQVVAPEDVSMGGAEGRVYWPEGMEEWLKPKKRDVAKKCIK IDEE UV8b_06767 MSSADFDRKSASFLEWFNKLPGASFSDAIEIVDLRFRHAGRGII ATQDIPADTEIVSIPRNAIISSETSELKNILPALFESHGDEDDEQALDSWSALVLVMM YEFFLGDQSKWKPYLDVLPDSFDTPMFWSDEELSHLQASAVVNRIGKASAEDMFRTRL IPAIRQNPDVFAASRDYGDHDLIKLAHRMGSTVMAFAFDLENDGAAADDDEADGWVED QEGKSMMGMVAMADMLNADAEFNAHVNHGDDELTITTLRDVKAGDEILNCYGPHPNSE LLRRYGYVTSQHSRYDVVEIPWEAVQDALMSELNVSREVLARAMEQIDPEDLEDVFVL ERESGEPNPDGTLDGVARVEGMPADLKEQLKTVVKQLQKMNQGLIPDKRKRNDVLQSI VTGALRSIASRYTTTVAEDQELLKRDDLPHRQRMAITVRLGEKLLMQEAFNQLSEAPA QSPETDPSASKRARRGD UV8b_06768 MPATSIQASMSDPAGRGDEVSCAAAARTNPRELYHRSRIEPTEL AAFHALLAVQPRGFVKRGWSIYAQRVPRSATNQARPAAAVPNFGQGERGVLADTGGPI YAAR UV8b_06769 MASAIFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSDAEEESS AVPPCFSHEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKVLEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQARPPIAVTNSVSWRS EGIRYRKNEVFLDVVESLNLLVSADGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRTTRGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKARAQFKRRSTANNVEVIIPVPDDADSPRFRTNIGSVHY APEQSAIVWKIRQFGGQKEFLMRAELGLPSVRGDDEHGGGMTGGFGGSMGGVGGMGKG AKRPIQVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRLPDVV UV8b_06770 MLSASKCNVLDIHPAIGKYHGLSQTSRHNYLRGGKRRLLFTIPV RFRWKHQHWQLRPICLVDFPPICPGYALDIYALISGNDVRLL UV8b_06771 MYLYIIRYLAIQYTVIQQPSDDIQQQPSTKELLISSDATFADDK KTRKSTQSSFFSLFGGPIGWEATKQHTITISSTEAELLALSSTAKTTISVTRLMEQLG LKLDHKVTIECDNLQAIRLVTTTMPRINTALKHVDIHNSWLRQAY UV8b_06772 MQFSPPPDVDALSTRLPPLTHHDDDKMLPSISTVTGVYPLCRDP IRDGLNFAPHPSQQQWPPLAGPLAYRQPPPISAHRSNSPATMDLDGTNSVASVPSPGP LSPRNSVTLDDPDVRLAAEALGDLRADFISSPPDSSSLASPKVNGHQRAPSSSSQSRS PHPEPLLSLLTTAHPFVASTIGGASSAYGGAKNFSPRFKCGAEYVEGYLTPLAKTVNS VGRVTGVEGGVRWFLGNRRQNNSSDANGHCKKRRKVGEEDEGANKKTKDTANADAGMS PPTPRSDRRSSSASTVDTLPAYDEMRSPAYSETAPKFQETPRSSAPNGSWPSRLIMST SGLSVAMSAESLRSLKYCLKWLRWTNDHMSQVIGTLKSTLEEYERTAEAHQESADQTE PQQTGPGSSGKQGQVGTHAAEQARSELTAKINILKVDVLKTLQETINTVSKYAGGGLP ENARILVRRHLTSLPQRFRMASMTDGASTGGDRDSDCSVREGAQKVLVLAREGLEMVT QITGVLDGTIVSAEQWCERMGKRRRPAHDGDQPESPAAAAAFNDDVKMAA UV8b_06773 MSETSQSGLNINPRNVVEAKVAARLSQIWDQESKCWTAETKQNF VEVASLARLSYGTCTPSSHKIHRRLNGRAVTVSDYTVLAAMFGTNSLGRLNWWKDFQR SNPRYKHYEGVVKPEDRDFLLASKRPYKAAEPKGPERECKKEATSTEDPKGAESTASE SAMSDTQHEADTISTAKVSADDVSGNLVDNCSRQGTRTAQPVDSNLDWKRACPIVPTE ESSDTHSTFTGEPTAAHVGASEAVKLEPCAKTPEANPPKEDLADSEPLSPRIKRFRES VEISEDDVWQKLTKTLRAPNTLQARIEAIEVRIKAQEEEIDVLKATLSRTSQKLAQQS TMIEAVAKYLAGSS UV8b_06774 MHSMKSLVNPNYLPGVHTTSPCVRSARLVSSQHLASSSSMDQSI NQTMATTSEPHYRPRLTDMPPEILYMIASLFCQHCLDRQSKKGKAATVDDKIIGSRRD LERLALTCSKMYAIAQSVIHHRVGYEELCLRFADYVATLGRLSRSSHLREQVRQIGPF FLSFEDLSLDGAVEMFRRVFTNVDAVALLLLPHPLKWLYSVFSNGILVRPPAQIFVYL LMALAPNLQSLSIVATDLTSHRAMQFPDYMDLRFELNWKANNSSWYCVSAKRLHQLVV CAARRGMAASLLDGRVHSILASAPRLRCLEFQNLSLDREPAWIRILVPPPGLQIACTQ LTTLVLQSCYLADFADFRSLLQQVDNLQRFTFVLERAYENPYYRLLALHRGHISPSLL VSLLARHQPRLRHLVLDFDAVLPPVGMSPINWAIQPTVLAQGFRRLETLGISESCYHQ GKLYYFNLPVATGALTPYLPSYLPPGLRELRLISGHVGSVLPQLWALGIEAGRRNQFG ELRLVKAMFVVLYHGQANRILCAEPTRRHTGRIHSLFCERRIEFVLEERPWSSDGMFP QWPKYN UV8b_06775 MVRVTDDLALSPDALSLYHASDPLLGHLPVLIFHGPSTTANYTL NSSRIQIHILSPAGFRSFPRIPISPSSPFYSVVNFLPREIQVDEVYRGLAFGLFKYFS ELPDGVKKYLRNLYPTRGVRPGSDSMLFGERHAADLVEVMVKSENTAEVIAAVQDALQ TQHVNNVDVDLVLPPGAIIPLQPADSDHVPENEDDILDPTLRQYGGYTPLVKLLGEPV FLPTSKLRRAPSKPTSVNRARTFTRDQKRQLRMKLEELVDTEERYVMKLRELVHHVAK DFRQHSRARSEASLSPSEQELERLFPASADQILELNSQFMQQLRKIMDETQEEALMDM DKVGANISGFNGSGPARSRDPSGALGMAKLFHEWFPRFTKSYQNYIRASQHFSTLLNT FLDQQSSFRQRVMQTGEQTIRSILIEPVQRLPRYSLLIDQIVNSLPITHPALQPMLKA RDIITNICSMDDPLTDGTHAAHRLRNMVESWPLHLEPEGRLLVAADFVELAPPFQSAD ELNNSGLLLLFADCVVILKKNGSNMTGRDLLREFDKPSAAELLISMTNAAGGAASYEF AFAGWHDLADLRFTESADGSLVWMTSTKDLRDANLGSSFKASKGATSRCFLLQEAFQG RASKLSEDIVKARIEARFSEAEREDPCWTLRSVRIQDNNLGLHVAVFQEGADQLIEGR KDPAPIRVVVDHEKGTKGAPLGHYGVEIVINLSSNHLKRISMLTTGLHGKQFHDEIEL EDLIPTMSRRLIQLLSSQFHVRNTQLTAPMISYYTKTLRGLSFSASRTEKTRSFLTAS PVKLFSSLWNGGSNANNPDVAVSGTKTQQPPSLHRANSFHSMFGSIRGKDSRPNTADG KPENPLLRLEQTFTGFVAALQSRKGFVIGRSLLNRSLVDELAVNDLYNRFIENPLDYR VASDVGTEVVFVAYEKFLHIAWAEQMGPVMTTQVMDTLLERANKRVPGDFADFVNYLL KDMAPQNRRAFISLIKLLADLLDGCGNDSDRGALTLAFAELLVTSGAAHNYINLLDRL VQDCDRMFDDQNASQGFHPTVTGFDSCALAYRPGKAHTGSITSNASSLRRKFGLDSIL RQGSKDERPSMWRTLSKHRNPATGEASTLTSDMLDVNRPVDDNSLPRRKHRRAMSRDR PHLGGAFDELPERPSSSHRLDFPLDTIGEPCSPERALSKSWKKKRRSSLSDLKSLMAA VTLEDASYQPLQATKPTSGKVNNTGPPASPKPALLSKIPVNPSVAEALKNTRQREKER EKEKENSSSPLKAAAGTADQAATPNGPGTPSRLPRHSKSHSVSAIPVLRPAKSASSVE PAIRSGSPTRSNAQRLRMHSPQKLRERLQSEKQIAQEVDSSLQSELTKIGEEMAWVDP CPPTTPSIPTTQTGGFATSLQELEDKIQVMMRELHAKHATTQHELDITLRNTEAKVRA IDQLHKEVVAENELLYEKYNGELGRIIKAIKGKSREDKEDLVAKLKEQGEETARLKRE NARLKREVVGLRAMLKGGE UV8b_06776 MINLNCKLRASAPNKTRRRKAPLTPPAIPNAPGSVPPMPRAASP DDRDASIAADPAPAAPGPLLLAQPQAAALLSSFTNFLAVAIHSILYYRKLYPQTTFLT ARAYNLPVHQSRHPGVCAWIQDAVAAAAAQVRSGSVRQIALVVHAPRSFRVVERWIFD LRSFPAAWGDGEAPPAAPHADDWNPRADHAAVAADDAVSMADVHEALRGALSRLSDAC KRRPCLPEGCTFTLGVELRDQALPPTHHPQLWIPSQPSLQAPSGNRPEPGRAQGAKSV MPVRSVQAGPLFFECWVEHSKPETTSDEAPGSTNESSV UV8b_06777 MAASLSVPAVIQGMADALPTHHPEDHGSDVASSYEAIALLVHSY LTALSFRLCGFTEDKPIPECEALAPRLPLRWNAGFGSLSFVYKHKQSSMQFLIKVDRM GSKVEIRGLAIGHEKIHRLERTVRDVVQSSNLPVRITMKDGREDRTDLAEKLSKVFVS EEAVAGILEDLKVKVVQKLIPKLQVAGYEETADAEEIAREERRAHEARALNRPFIGEP HPSLTPFNPEPLPQSARPRPHVPTPDFPPPRFEDEYEIERLRDRQLSVPGRHPYNIGH DDLNPPSLGPYDPLRPSLTGGGLPLPGGPSGMHPTFDDPLFGLHGDSRRSSTGGAFDP QVPPGARWDPLGPGGDPRFPGRGSGGGPSGGPGSGGGFGSFGGGFGRGLY UV8b_06778 MEDQLVQLLANTQLPDQGPRQQAEIELKRVRTNPAYPVSLAKIA SHASVSTAVRQSALTSLRQFIENNWAVGDQDEEPIVPIADDTRAILRQSLLDLALNQE DDRKVKISASYAVGKIAIHDFPDQWPSLLPTILSTIPSGSDAQLHGALRVLGDLVEES LSEDQFLSMARDIANALTEVALNDNRKPMLRALAISVFRSCFDLMNMAKDDHAKEVKG FAEEILARWNPFFMTVLKTRLPDADLSEGSQPDSWNHMVALKVQVVKALLRIRKVFPN LLLPQSTSLFSAVWEELNHLQAPHEELYINTNAQGRLEDSDNLPYTLDYLVLEELDFL NQCFRSPTVKAELDGQLQAQPCAYDVPWMVEIMKMLVSFARVTQEEEELWDIDCSLYL AEETSVTANYTARTAAGDLLIKMGEWFNEKAVDGLFGFTKTLFPGDASVWRSQESALF LFTMLLSDFQDLNKTVPDAVASACLELVDYTINKPDEPLLRARGYLVAGIIGRSFPTP PELLNRMIHAITNEESEVVQVACIKAIEGLINSGRVASDRQFPIITSIQSYMNGKDPA EMEEADELLVVLAESLRAAIGLDTKIALSADIQSTDLLFLLAKLGASNFQVTMLVSEA FEEIVGSLSDKESFKALCGRTLPTLTGAFDVANVTEDNPLVTVATELLVVLSEHGSEP LPDGFVAAVLPKLNRLLMESNEGEVLRPGSEAVKWILSHDHQQVFNWQDANGRSGLEV CLHIVDRLLGPSIEDNSASEVGGLAAELVEKAGQDRLGPFLPQLLQAVANRLATAQAA AFIQSLILVFARLSLIGAQDVVEFLGQIQINGESGLQVVLAKWLENSVSFAGYDEIRQ NVIALSKVYGLNDPRLSQITVKGDLIVGPDDGRIKTRSRAKQNPDQYTIIPAPLKIIK VLIEELASASGAGAAANAAAAAMAATDFDEDDAEDGWEDDDDTLDLSLASAKADLMSF MEGGPQRRPDDETHSYLTEFFVQCGRENVANFQDWYGMLSEDEKVRLNQVAFSAGR UV8b_06779 MPLPSSFKGLVDKLAVETEEGLTHSQLMLINNDLKPVEKERRQW SAWNFVGFWIADSYNINTWMVSSSMIIGGLSWWQAWICVWVGYSIAACFIVMNGRIGA VYHIGFPVANRASFGIFGSLWPVFNRAAMACVWYGVQAYIGGNCVYLMIRSIWKSWDR TKMPGPFDPSASSTPDFVSFVIFWVFSLPAIWFPVHKIRHLFTVKAYFVPPAGVAFLI WALVRAGGAGSVVHRSNTVHGGDLAWEMVKGIMSSIANFSTLIINDCDFTRFSRKPRD ALWSQLLAIPLGFALTSLIGIFVSSSSTVLYQEPIWNPLRLLENFMDDGGAAQRFGVF VIALAFAVAQLGTNIAANSVSAGTDMTALVPRLLNIRRGGYICAAVGLAMCPWNLLTS ANNFTTYLAAYSVFLSSIAGVMSTDYYLVRKGYLNVKELYSARKSAPYYFTCGVNWRA YAAYIAGILINVVGFAGAVGRDVPKGATYIYNLNFFCGFIVASATYWGLCRVFPVPET SDRWTEIGDTIDGDFRVAYDASEDGYDAEKVAATRYGNAGKQPVNFS UV8b_06780 MPSNSITKPCHNCRRRRLRCDRSWPTCHKCASSGQECLGYGKVF VWTQAIGSHGNPRSLPPYARRLGETGEARIKPQVGFQVVPDGNASGAWSIGELDSCSP TRTSRGDQTLAQQHHYQVPQVPKQPQVFPGALPEDDDDGPAAAKASRRCSNDIEASQP TLLDRNADKTCVLSQGNLIDPLFQDLDRNSRYYLAHFADSVCKDLVARDSPDSNPFRQ LIPLTNKHPLLLQILIATSAIHSSNMFRPITAIPTNLTDPGGYLAQIRSQDLVSRQAL VDALTAKQKAMGHLREVLDTLDPAGSEVALAAMHFFVKFDLIDLEQTEGKGWQAHLAG ASSILALLTTESSRSASSRMLHDCVIADCFIYHILGSTLGSGAIASRIARYAFEFLPV MKRVEVNSYLSCPPEILQIILLASQLSYESPCIDWNLSAADQALALIDQALAFDIPAW ADRLRQMPNITDIESRIHIASAHRSAVCLYILQALPLSRAVRPVDTSFLVSDILGHLG QISEQDPYFKATSWPTFIAGAETRDSEKRSWAMTRILAIWKICSWGYIFTAIEMLRAT WQMQDSRPDSAVNWLQDLKDRGFDYLIV UV8b_06781 MVAQRFGATPQDDLTTEPDRPLDNIGEDTHLLGVDVDGAPIKSA GKGWDNLVDFEDLPWWKRPSVFWLLFPYALYTLAFGSMIVPKLNLILNLVCQHHFANQ KLQDPRPSFDSIIIGSDNPQCKGPEVQKLAATFMLVMNLCTGMHSAFAAPKIGHLSDR HGRRRLLALACCGGLLGELITILAATFPQTVHYRWLLLGSILEGFTGSFTAVGLLIQS YTSDCTPPSKRAVALGYVHACVFIGFALGQFLGGEIVKLTGSLISVLYVCLVAHSVFI LLVWFVVPESLSKKKQVAAQEKHHQETQQRAGHVSSSWISWAREKNPLAALSILWPRE RGTSTRLRVNLVALAACDMIMMGSAVAASQVIILYVEYVFDWKTPEASRFIAATSLVR VVALMGVFPVINYYFRVRPAARRRRESGFVPLDKNNGADNVDIWILRLALLSDVLGFV GYTVARSPALLVASGMITAFGGLGGATNQSVVTKHVPQDRVGRVLGAFGMLQALVRVV GPVLFNSLYAATVATYPQAIFVALASLFSLALICSFLVTPFVHWEEDADQEPESLDGR STETFGASGL UV8b_06782 MPVVKGGVWTNIEDEILKASVSKYGLNQWARVSSLLARKTPKQC KARWNEWLDPSIKKIEWSKEEDEKLLHLAKIMPTQWRTIAPIVGRTANQCLERYQKLL DEAEARESYSLGLTGPDGGETQAPSADDVRRLRPGELDPDPETKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQQEESRRIASLQKRRELKTAGINIKILTRKKGE MDYNADIPFEKRAAPGFYDTSEELRRNELQRKALDPQKQNLATKRKGDDDEDGERKRR KNDKEGLTQSQKAAIKAGQMQKIREAEQSSKRRPLSLPAPQVSEGELEEIIKMGKMGD AASAAASKSENDATRGFIRSYTTLNTNAPIRTPKAPEQEDHIANEIRNIRALNETKSA LLGGENTPLHQGAAGSTGFEGITPRKQVMATPNPLATPLRSGAAGGATPGRPGQTPMR TPRDSFALNRADGTAAGATPRDLRMQQLAVRNQLRSGLASLPKPKDTEWEFEIPDEQQ DEGGVEARREEDAAERDRREQERRAAEEELERRRRTQVMQRELPRPTLVDLPALLDRA ESLPDAAQAMIARETALLMANDAAQYPLPGSQVRGDVGPLERFDDASLADANLLILSE SRPRPSPEAIQAFFDSRADDSLLLGLGCYDADEQQQEAAMRGAFNAAEISIMASAEHG AKLQERLALRLGGYQKRQKMLKDKLGGAADALEKARDALSAFKTLAVSEDVGIERRLQ ALRDEVTHVTRREREAQDEYRRVRDELDALRAGAVAGGGDGRNGYH UV8b_06783 MTVPGHGGNQNHLHHQDSRGNGQLDAPDFDVLSRSLPSSGPVLE PEPADLAMLRPVRSRQSSQSRRGCEEGSCNVVQGVDPVDGVSERSPLLRPRGNSSAAA SSIASSATDGEETPLFLNGISPGRFWYIFCQVLTAHFIGCFDGTIMASSHPVITSYFG AANSASWLSTAFLLTSTAFQPLLGRLSDALGRKPLFLMALAVFAVATLGCALATSIEG FIVARAFCGLGAGGSMTLGSIITSDLVPIERRGAYQSYMNIIYGLGSALGAAIGGAMA EALGWRWEFGIQVPPLLVCLLVSAIAIPADLGVSGERKSVMQAVKEFDVKGSILLTTA VCFLILGLSFGGNILPWSHPLVLSSLLVFAVFFPTFLWVESRAWKPIMPLDLITHSPR ANLVFANFLAAFITNAIFFNIPLYFQAVLLMSATDSGLLLVIPTLVTSAVGASTGFAI AWTRRLKWPLMCGTIGYLVGTVCLASLRRDLPSAAFFLTLLPSSIGGGFQFPGTFMAI LASSPQAEQAVVSSTLILWRSLGMVLGVAVSSLILQNALVFYLREYVQGDLKEEVIDK VRSSVEAIPRLEQPYREQAIRGYEAGLRLTFAFCVLMAAVSVMLIIPVQLKRLPARKG GGRLGAK UV8b_06784 MAGAGMRCLAATADVVCMYVRTAQGSSIVKNPWSDVQLQPTQVT KLAAKRTARSSRRRESKDGLTGSGDK UV8b_06785 MASTPEQQALLDAFLLPINIDIDTCHILSQQFLQNFTHLSAESL DQFLPTPISESILRPVTSLGHGRHLAIDIGGTNLRVGFVEFLASEPNAEAVNGIKKTD DAGGANGTCPNGVGGRQCPSTSESCPIRLQRRLEKSWPISHHLKNNNADGLFLWIGKC IAEVVEEGCRAFDLANDVSLSLGVTFSFPVEQPSLEKAITTSMGKGFAIPANVDLGEQ LQKAYDRERGARLPPIRVAAIANDSVSTLVSFIFDYDGGKAAHHRRAAMGLILGTGSN ATIPVKLSLLHPSKRPRNVHVLPGESVDDAKIAVNTEWSIKGTAAPMRELGLINSWDE ELSEQNEKPGFQPLEYMTAGRYLGELGRIMLVDYLARALKLPRAALPARLFKQDSMTT TFLSHFKPSAPAALLSKLKKEFPDASCPAFRWTEHHAEALYRIAKAIEVRAAAIIAAA IVALLTLGGELPSEERRAPAPDADIRELGVGYTGGCIVHFQDYLVDCQYFVDRLVCRR LGADSRLRVALTACHDGGITGAGILVAAALCSQTSHSSSGEGCGGDAANVGTTHAV UV8b_06786 MDEKQRLSQGLPLLQTQPRSPPPVSPFGRFRRFLIAVLAVLLLS RGLFDISTQYIARQVPTSRLVPLEAHIISKCPDTRDALRLLILPVMQRVHDKVDFQLS YIGTPAADQGVDCMHGPSECMGNIIELCARKMYPDPKINLGFIMCLTKDYEHIPERAL IEDCALEHAIDIRAINECATRDDGAYGMDLLRTSIERSAQVSWSRFPPLPHGVLRAQK G UV8b_06787 MTDLNSRAEALVPQFQLERVLNQDQAGRRASMYGTIGGQPALLV VERAPFPTSDAYLGRLPRSLARLQNLGANDIYSWSMARTGDDDEEQARNEKAGFFADL KVNLIYPCTESHVKKYSKQRVRVVTETPEMYRDKVRPYMQRKRQEGRLDWVFNIIEGR TEVEDVMYRTKLGEAGDQGFLLLPDLNWDRSTLDALHLLAIVERRDIWSLRDLKKKHM PWLHDMKDKIVSAAVESYPTIQRDQLKLYVHYQPTYYHFHIHIVHVALEAGATQATGK AIGLDSIVETLRVMAGDEEAGMDAVAMTYALGEASELWTEVYEPLK UV8b_06788 MVVSYPFLQFVSDTAAFDYQLSTYVKTTYVKDKYQSLLGCGNIN FTDPSNLYARYTTTILCNTLVQKSIGACSLTGNATRPLCADTCAEFAQSEAYITADKD LCSNPGPDLSKLIRADFATCSLPEDALTANCIAGYTNEPGNCGFGNSTIGLCSYCAAG GINSTDTCCYSANVDKRCDGVKLPSVTATMTFTAPTATSTSTSIPTSTSTSPVTTTPP NPQADRGVGKQLSGGAVAGIVVGCIAAIALLALLLFFCGRKISSRRGSQQGSIFNQPS PARRGPSMIQSKQMAPEGYEVLPGGRVARMAALEGHSGGSPPQPSRSNGATAFAGGRS KGTDTQSSSDEYIDSPERGAVLHRPPTSRRHGSLSSGSMLASDAPQSPFSGGQSSPLG MASQQSEQLPFFKDYYSQDDIHPSDKVAVLWGYQPRAADEFALERGDMIKVVGIWDDG WATGVMLDERAEEWEVRRRAQRDSGVSNTSGIRQESPEAQGEMKAFPLVCVCLPEHWR KTIEGEGSTESTSSPGPIGRSNGS UV8b_06789 MRHVRCLASRLFASPLVQEGLKRGISGASGASSREAPTIQILSP DAPYLVFSLETLSRRYQPIEPNQASHAAFASADAKGKIRYSTQRATPDGSLFSATRRN NTNQGVLD UV8b_06790 MWTASSSSSSLDNSRKPGPPYPPEDAGLGGIPTNSVDTPISAVI LALFIGSAVFYMTIFQRNRRRGHKFVLSGLLFGLSMARIAANVMRIVWAIYPRSTQIA IAATIFANTGVVLAIAQRILRAHHRKFSFYTLDPRKRSQLRDMQLFASASLAVLSFLP IPIVLASVLVPSKHPVGQLGTKGGMRTNAALVLLTSSILALGASFRASVAFQLRPASR LAWFDSKSLLLLLHIRRGARRRLPERAACQAQTATPEKGEACVT UV8b_06791 MDTNMEDVGRAPADVSPVLNEPATIPTLDGWIESLMNCKQLAEA DVQRLCDKAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFKIGGPNPDTNYLFMG DYVDRGYYSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANV WKYFTDVFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRALDRIQEVPHEGPMCDLLW SDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLIARAHQLVMEGYNWSQDRNVVT IFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL UV8b_06792 MSEERSKISLRSGKKRRPARPVISAPKQISSHMPQESPLVGAPS LVPETVPRPRLRPPPMAGGKTSDLVKQRYSTRFFNNVQTDFDSAAPPIPPVPALAQYD SINSINNSNNSNNNQQRPPPSRSGAAPVIDIKALRDPNLVPDQYVSAVLGDATEGQIR EFETSLRKLKARAALDLQQNLMQNRSQFIKISKEAEKLKGEMRALRNLMAELKTNTTA LRAASMKNDEPATIPGESPGGLSKRDRRSSVADRSALWSSQMQALYKNVEGSQKFLPN AVGRHVVQNAGPWVELDNATYKSRRAMQIFLLNDHLLIASRKKRKVDAPTDGRGPVIK LVADRCWSLLDIEVVDMPGTAESSGGRNKLADAIMIRGGGQESFIYRTEKQEADEKKT LLLNVRKAVEELRKGLQSEMEASNKARETINYFASRDPGLLQKTELLETLSDIKDMLI DVDGKQQNLRWVESQMDELDIDIALQKFDPAVVRVEKLKSLARGLRSNVVAQDFVNFK VEERAARLACVIIRELESTHNAQSKTRDNVSWLTKLGFEDRAREAYLAARTGLIHKRA RQCIFQGDLHLYIWQLSFVYFTIIHNTVQTFQSCFPLPMMSACVKWAKEEVEAFNKIL SRQLSSTERGGPVWTQCMERAKEHARKLSDVGLDFVNLVGYEAGMTTPPLEEVAAPVG LGLA UV8b_06793 MVQTSTVVTATVATAATALVAYALYFDFRRRSHAEFRRDLRRNE RRQVRAEKDEAEASTRRQREAIKARVDEAKEEGFPAGVEEREVYFNEQVMSGELLSSD PAKTVESALAFYKGLKVYPAPGDLIKIYDSTVPKPVLDVLALMIAYDSSLDIRSRPSG HAGINLADIPNVGLD UV8b_06794 MDPLSGISDVGTRALFDDIVGVWHEYRTPLILSALVFLLAVRVY IRKTTEPNPSETIRNAAEKKLASSSALVAREWNAIVAEKRGAKPDGPRRIKGGVSGKP IVQEKEHRHDRDRPVKVLVFFSSVTTKTEKIAQQYLTRLQSSNADMSKAAERQLLTPE LHDLADVDFEDFFVNPAKSPENAQLFYLFLIPSYNIDTINDTLLQHLEETHHDFRIDT APLAPLLGYSVFGFGDKEGWPDEAEGYCFQAKELDKWMSKLTSGKRAYPVGMGDMKSD HVERFEEWARGVQDAIASVARNGSLGEGAPGSGDALESDVEDNAEEEYGEAAARQEGK TSAAAEEPSRPLDDMEDLGRMLQTSSSKEIAAGKKAPLAVDFTSYASSAKKRPQPAPV VKEMVAKDSPTYAALTKQGYSIVGSHSGVKICRWTKSALRGRGSCYKYSLYGINSHQC METTPSLSCSNKCVFCWRHGTNPVGTTWRWVVDPPDMIFKGVKENHYKKIKMMRGVPG VRAERYAEALRIRHCALSLVGEPIFYPYINEFLAMLHSERISSFLVCNAQHPDQLAAL KAVTQLYVSIDAADKDSLRKIDRPLHRDFWERFQRCLDILRAKRFRHRTVFRLTLVKG FNVDDQVEGYARLVERGLPCFVEVKGVTYCGTSTSSNAGLSMSNVPFYWEVCDFVRAL EKRLKDKGLRYGIAAEHAHSCCILLASERFFVRGKWHTHIDYQRFFELLEERGPDGDW TPEDYMGEPTPEWALWGNGGFDPRDDRVDRKGNRIENVVVREAPPREI UV8b_06795 MAARKRSSQVMVDLTDDRSEPRPKRRAMHSTGVNASARRNPSPR SSNQLQAFGVNDDQSSLLTSPRLTNSRIASHEHETMDPTQETNEEVRELYGTFNTKIV GIQYYNGNATAGEEVLCRREPDNQYDRNAIRMDNVMNRQIGHLPRKVVERVAPYIDSG DIFLEAQLTGFKGTYDCPVRLYFYGPSDSSDRSRIENKLKSDKLVKSTELKRTRREAE TRRAALGLKAGGLTYGLGTNGYEDPEISLEDILKHSQSVEFRNGKDVIKTLAMDELYL SQMPYCEQPSALKATLLPYQLQGLAWMISKENPILPTKDADNLVQLWKYDGKGYWNVA TDFISKSPPKLFSGGILADEMGLGKTLQVISLILSGGVGTTLIVAPVSVMSNWKQQIE RHVKPECLPNVLIYHGDKKMSPQDLMKFDVVITSYGKLARERDANVPQVLLSTAMQWR RVVLDEGHTIRNARTKVALAASMIKARSRWVLTGTPIINSVKDLHSLIKFLHITGGIE QAEIFNSRVTRKLSVGDDAAEAILQGLMQDICLRRKKDMKFVDLNLPAKEEYLHRISF HAEEKRYYDSLLAEARGALAEYQAKANNKRGQFQGVLERLLRLRQTCNHWTLCRDRIN ELMKLFQDQDVVPFNEKNTALLQEALQLYIDNQEDCAICYDSPRGPVITNCKHVFCRA CIVKAIEMQHKCPMCRNTLSEDCLLEPAVEGQFDGNFDTETQSSKTEAILQIVRATLK KPGSKVVIFSQWTSFLNIVGRQLDISGLKWCRIDGSMGTEQRDRAVQALDNNADTRVM LASLAVCSVGLNLVSADTVILSDSWWAPAIEDQAVDRVHRLGQTRTTTVWRLIVEGSV EECVLDIQKEKRELVIKTFQDEDKKGKATKDTRMADIAKLLS UV8b_06796 MAIDVLASSLSLGAGKAAVGMPQSDRFEELVLEMKKVLGPSSGL TSDDVDVDTLTSLMIDYDSNAMEWGKYAYGDVSRGYTRNLVDEGNGKSNLLVLVWSPG KGSPIHDHGNAHCLMKVLYGNLTETRYAFPEDDAEEKPMTVISEKTYKENGVTYMADE LGLHRVSNRGSDFAVSLHLYTPPNVAKEGCHIFDERTGKSSHVPGCHYFSAYGRLLKQ QG UV8b_06797 MPRIASAKTDKSVVTPRIGEGRDLRRAPTADSCDTRPGRRQYAI PGMKEQEHQPHPAAESSAMARYLVPASSLVRKVILTHPIARNPGEDIRSHCGLVAFHR ALPCPALPRHVPDVPESTEPRRTTRPPQPNRQSVAADNGRRANPSDETRTR UV8b_06798 MSLVSDQVRRLDAYLDRLPVISELSSDDGHSDVEERTFVAADAF SSPRLDQLLRVVQSLSVTSASGSVLPLQRVRNLLQQSGLPSLKKQQLHGLAQDVGQPE PKSAYEDEIEWLLVTKATVHIYGAILNSLLDHIIPLNNDIWYWSDVLNSYTYSSLYTV QTSPIRLWVWTQEVYATSKTRFRSLSIRDSPSDLVDSTAAKVSQRWRQFYAIVRDTIR ERSFANIQTKVLSPVAFCRSEARKKKAQLRKLREITASGLGVLMDEGLQFGHDDDKAA ELQDSHDLKGVVEHSVALMDMVLKEVCTLDENIHDFEDKVFAGVEEDPELSVHLEDSV IPDRPAVLSRRLLRIIDKTLPDHVHRMQNLARENGRPGRIVRYWLPSLIGVLSSTTVL RVLVNRQDQIINWITNFGCTVRDFWLNWVIQPTEKVIKTIRHDESSEIAIMSRDSLKV DRESLERMVVDFAKDKPHFATGGNKSVSDAQLADIRSKVAQGDVTPVLRAYERDLRNP LAGAIRGDLVRSLLIQVQKTKVDLEVAMTGIDSLLRSQELVFGFVGLTPGVLVSFGIL QYLRGVLGGRSGLRQARKSGRAVRILRNIDRILSEARPTENNVLSYKDHGLLLCEVHV LRSYSRNLMPRDVEKEFLEDLEDLANIKGVQVQTKALERIRWAYAKWLK UV8b_06799 MDVVNATAKAAFNSTQDYFSVLDQVSKLNGSLNLAERLWAAWYL FMQNDTLATGVMSFIMHEIVYFGRCLPFMILDKIPYFHKYKIQDQKMPTLKEQWDCAA IVLISHFTTELPQIWFFHPIATYFGMDYGVPFPSISKMAMQIAVMFVLEDTWHYWFHR CLHYGPLYRAIHKMHHTYSAPFGLAAEYASPIETMLLGLGVIGSPILILLVTGDLHLI TMYTWIILRLFQAIDAHSGYDFPWSLCHILPVWAGAHHHDMHHEKFIGNYGSSFRWWD YMLDTEAGPEAHRRRREKKLKAIRASKKEQ UV8b_06800 MPPPPSALSILRRRRRPRPALPSSPSASPPRRFSTNMQARYSPG TDEPAAASALAPLLAPSGGRWTLSSQGQALERSFKFKSFAKAWDFMTAVSLQSKVKNH HPEWANVYNTAFVRWTTHNPRGLSRDDIAMASICDSIARDFGELDPGPASCDIKTLAD QVTVSAGDCCTPKK UV8b_06801 MQRPPSSGSQDQHLRAQLELLQSHDTDASTASESRDSRGALSHS PPPPPPPPPPRAANGYEALAAVSHAAARALEKSAAEAHIHPDLRATPGPSANMMSIGP APGHSPDTSPAAPAPPNPSVAPAPSSPALEPGSLAEGRKAKRELSQSKRAAQNRAAQR AFRQRKEGYIKKLEQQVREFADMDQTFKSLQSENFALREYVIHLQSRLLDAQGEYPPP PPNINLSQSTSALPPAAAQEHASSVGAGTPLEAVAQAVAGLAAQEQLAQSQQQQRFVS PSPFKRETGEDDARSADEMDPQFQEQQDQVPAS UV8b_06802 MAPSAIDARKQTGPLDKSYPPARIFPVKETRFEQFIQPQADGYK KALEQPGSAAIVIDNGSSAVRAGWSFESKPRLSVPPIMSKYRDRKLGKTFSFAGADCY ADTTARGHIRNAFEAGAGIVSNWDVMEHVLDYVFLKMGLNESTEGAVNVPIVMTEAVA NLPYSRKSMTEIIFECYGAPSLAYGIDSLFSYRHNKGNTGLVISSSFTSTHVIPVYNA KAMLGQAIRLNWGGYHGAEYLLKLIRLKYPAFSGKLNISQAENMITDHCYLSKDYDEE VAGYLDWTGLEDRDVVIQYPYTEEVVVQKSKEELARIAERKKESGRRLQEQAAKMRLE KLMKKEQDLEYYKNLQGKLVDETKKEIRRKLDSHDIKDEAQLDKIVRELEKAIKKART KDVGGDPEEDQEAPVFDLLDVPDDQLDEAQLKKKRQQRLMKSNHEARARAKAEKEAEK ARVAEEERLDRERRETDLDNWLQEKRRARLETLHKIKERDRLKADLGNRKSLASQIRM KSIANLASDNPTKKRRRGGDDDDFGANDDDWGVYRQIAVGENSDDEHEEEDLAASLRT LERELLQYDPDFDYEQTQEAQSDWSKSLLHAFARGPRPFDAASQAQLHQVHLNVERVR VPEIVFRPSIAGVDQAGILEIAGDMANQRLAGVPDRDAFLRDVFLTGGNTLFRNFDQR VREGLTALLPAGSPVGVRRARDPLLDAWRGAAGWAGTPAWKAAAVTREEYHEKGPEYI KEHDMGNSYA UV8b_06803 MESPHEHQQNLLLSRIITNVEKLNEAVVVMNKSLQEINIQNMNV ELVAQMFKNYQSNVLFHLEATDNLKPPS UV8b_06804 MSAAAPKEATEAQIQHQQLIEQLDIHAIHKNFRNPHWKPNQRRN KNLKAIVGDASRREASALATPRDGSGNATPARDDGLSTSGSSTPATSSNGRDHPPNLA QASRSLSKLVLEKSLKAPGGIASAPTATYTNIESAPSLAHSKHYCDVTGLPAPYLDPK TRMRYHNREVFALIRSLPQSSAELFLEARGAHTVLK UV8b_06805 MSMWMSDSQKIGVVFCSGGGLFLIGGVMLFFDRAMLAMGNILFL IGLTIIIGPQKTLLFFARKQKAKGTAAFFAGLILILMRWTIIGFVVEAYGIAVLFGDF LGTIAGFARGIPVVGPYIGMVVDRIGLGRRNADLPV UV8b_06806 MSWQAYVDSSLVATGHVDKGAILSAAGDSSWAASPDFKIQPAEM KVISAIVSGEDAAKDKAFADGLYIAGNRYVMARADGRSIYARSGRLGVAIAKTKQAIV VGHHGEIQVAGNTSSTVEALADYLIAQGY UV8b_06807 MDRIKRAASRRRQPVSRLPKSEGTDNATEITKEHINRPVIQDTD NNIRSGFGSNHRLLYSKPLTEGRDTTSAVRSGPLVKEADTAGIGASDVAPASPAAHQN WHWNPSRPQVVTTISATGDNRAEGQHQKNLLSRSKSWKRGIFARSRPRRIKDDGPPCD LQKPVFAASTNPSRADFTAEGLSGAGFERSREIAFLLEKKPLERPCTEPTASDLRRLD HVSETPGIVPLISEVPGLQQAAQGRTGAALQGEIQQLLLDVEIPAATLERYSVMFASL LEQRRSLVAPRQNTSDDLRALGKDNSCNGHQREARIISRRNLTRDRDLPPIPPLHLEH IQAAPPLQQLGSRLRSNTSPAIMTPSSEFYANAEQYDDEQSKHPLIVRLASAQLQNSC TEDDASLHEGARVQLRSKFHIQSPMQQTFGSNSTASLFDDPDGFANHTGPSSSPPAAQ IDPESSTRTENSACSSTTDIAQTQPQPAQHATWSPAFKCSSDAQESLTSSLSSRTEEE PVAEQEKALQDAIQVSIARQISISREQSRMLGSWQMRSSKRSRLAAATTATPRLVKLK UV8b_06808 MKLHYIGVIRNESKPAHELVAEKELSAYSRFTRNNYGEFMTLFA KTVAERTKAGQRQDVEEQDYTFHAYGRSEGVCGIIISDHQYPALVAHQLLSKVVDEFL SNHPRSSWQSGTPQIPMPELKDYLTKYQDPQQADSIMKIQKELDETKIVLHKTIESVL QRGEKIDDLVAKSDGLSSQSKMFYQQAKKQNSCCVLM UV8b_06809 MASNELTAAEAEWRLQFSAMQAALANLHLPVPSQTDEVSSDDDD WEGCLSGNDGQEDVWDFISGRDADDYSCESVEAETAGEDVPPRGDISDWFLDKSAVIA FENGLAPDVFQSQVINLLASGRSDDELQAELTDLIGFDHLEFIIDILPRKGRILASLK TDGRGEAASSGRLLSRAEREAALTQRDFEHKTASLAPASKREPQYPHVYRAYHAGNTL SYSGKKYGLPVGSERRQFEKYEEYYVPAGRKGVLGPGEKLVKISDLDGLCRNTFKGYK TLNRMQSLVFPVGYKTNENMLICAPTGAGKTDAAMLTILHTIGQHVLPNPLYNPEATE FAVDMDDFKVVYVAPMKALAAEVTDKLGRRLAWLGIKCREYTGDMQLTKSEIVQTQII VTTPEKWDVITRKGTGDTELVQKVRLLIIDEVHMLHDERGAVLESLVARTERQVESTQ SLIRIVGLSATLPNYVDVADFLKVNKYAGLFYFDASFRPVPLEQHFIGVKGKAGSKQS KDNLDIVAFQKVREMLEQDHQVMVFVHSRRDTMVTARMLHQKAIEHMCMELFDPTSHP KFEQASRDMNSSRAKDIRDLLSKGIGIHHAGMARADRNLMERLFAEGVLKVLCCTATL AWGVNLPAAAVVIKGTQVYSAQDGKFVDLGILDVLQIFGRAGRPQFEDTGIGMICTTQ DKLPHYLTAITEQQPIESKFSSKLVDNLNAEIALGTVTSVQDAVQWIGYSYLFVRMQR SPTAYGIEWAEIRDDPTLVQRRRQLAIQAARTLQQCQMIIFNERTEELRSKDIGRIAS QYYILHTSIQVFNTMMQPHATEADILKMISMSGEFDNIQSRDNEAKELTHFRDNVVPC DVDGGIDTPQSKTNILLQSYISRQQPEDFALSNDMNYVAQQSGRICRALFMLALNRKW GHQCLVLLSLSKSIEKRIWPFQHPLHQFDLPKTVLNQLDSKENLTVETLKDLEPAEIG GLVHNHSAGKTIAKFLSSFPTVHVEAEIAPLNRDVLRIKLHVIPDFSWKDQVHGTSES FYVWVENSETSEIYHYEFFILNRRKLHDDHELNFTIPLSDPLPTQIYVRAVSDRWLGA ETVTPVSFQHLIRPDTESVYTDLLNLQPLPISALKNPGLEEIYGQRFQFFNPMQTQIF HTLYHTPGNVLLGSPTGSGKTVAAELAMWWAFRERPGSKVVYIAPMKALVRERVKDWG TRLARPLGLKIVELTGDNTPDTRTIKDADIIITTPEKWDGISRSWQTRGYVRQVSLVI IDEIHLLAGDRGPILEIIVSRMNYISSLTKNKVRLLGMSTACANATDLGSWLGVEEGL FNFKHSVRPVPLELYIDGFPNIRGFCPLMQSMNRPTFLAIKNHSPNKPVIVFVASRRQ TRLTAKDLINFCGMEDNPRRFLRMDEEDLQLNLARVKDDALKEAINFGIGLHHAGLVE SDRQLSEELFLNNKIQILVATSTLAWGVNLPAHLVVVKGTQFYDAKAEGYRDMDLTDV LQMLGRAGRPQFDNSGVARIFTQDAKKDFYKHFLHTGFPVESSLHTVLDNHLCAEVSA GTIVTKQDALDYLTWTFFFRRLHKNPSYYGLELSPEEHSTVAAQQLANEYMVDMVNKS LDELAQSRCVEVLPNGDVDATPLGKIMSYYYLSHKTIRHLVRHARANASFLDVLSWMS RAAEYDELPVRHNEDRVNDTLSANLAYPGHAFGLPMWDPHVKAFLLLQAHMSRIELPI TDYVGDQTSVLDQAIRIVQASVDVLAELGHLSSCLQMMKLLQCIKCARWPTDAPVSIL PGIEPDSTEDETTLAEVGAAKPSEVASLGKRLGLGSSQLLSRFAHAASLLPDVSVSAE HVTWRSLTVALRRVNALVDRGARIYAPRFPKPQAEGWFVLVADVQRDEVIAVKRATWT STGVPSARVVMKLPEPQQGQARKVDVLVVSDGYVGLEYRLLGVDIPAPAATQDDDG UV8b_06810 MSSLEAKIVVLGAQGVGKTSLVMRFCKGAFNSSQITSTVGASFL TKRVVDNDTDTMVRLQIWDTAGQERFRSISRLYYRGANACILCYSITDAQSFVEMGAW LNELRRNLPSDVVLHVVGTKADIVARDPSARQVPFERCIAYVAENLAPGLGSTPPPTA TSHSGLPGSAPAPAPNVVEPRTPSSKRSSGFWAQEVGWDACHEISAESGEGVEEVFRV VARKLVEQNRKMQQALLLATASPGTPGYEAGMDGGYFDGVNPRGSFRVGRDRRSWLFS PAFSPAVTVDRNGATPQGRDDADDAAKARRRCC UV8b_06811 MKIGRVSAIFPLALAVVSFVLCMLALFAGHKQGFMEDYAVVRLN TSMIGHTLFDGNNTSKGKDGDKKGGLLGDIQGWWHDAKDDAKDKLHDISSHVADKLAE KLGISEWYSLHVMDSCRGMFSPNTTASNPGLNVTNCTVSSPDSRLNLTAILDHELKVG PVKLNLADLDWPDSIQDAVNLLNNALLGLFIVYVLGAGFIGLSVLTSLVALWKPDLRR VALLNFPIAALGFLSVLIGSIMVTVAANKAVRGVNKVGDKVGISASRGDKFYTITWVA TGFMAAVCLYWLVHFCLVRKERRRGAFGEKAGGARR UV8b_06812 MPSDTDTEPGRTEADSLLGRTGHNVKRFFDGFIDFAFQGNILEI AFGLIIANIFTDLVKSFVSDVLMPPLSVVFPVNRNIEQKFAVLRPGPGKGRNATGGYN TLAQALDDGAVVLAYGAFVYQLVSFAAVGVALYGLAHVYTWVSRDPVIKHTKKCAYCR KRINEKCLRCMNCTSWQDGREDRDG UV8b_06813 MARSLGLLASLASLAALASAETTVKLLVLGDESPFVGSVVKADP SVTTVLVKCLHETSDSCGLPPGGATITQGLSTWHWGYSFSSGDVTPVTLQVQADCKLD PDKELASCAVMLTQSSVESSETVETSYSTLMYPVTITAGADKLPASPAAVASDTNSMG PTASAGSGSQPSKTAASVGTGSGSQPSKTAASVGTGSENQPSKTAAGVGTGSENQPSK TTSGTASQATASSASASASASASTSTSTSSKGTNAAGPAVTQNAVLAGVAAVVGGVLA L UV8b_06814 MKLATTLASAQAAAAASLSGIVAPKDLDVTSQISIRNVAATVAF EAMSSYSGNKTDPKSREFGNVSKPYYWWVAGALWGALLDYYHYTSDPSYNNVVLQALL APTNLGAGKDYMPAEHADEEGNDDLHFWGAAVLSAAERNFPQPNPSLPSWLDISANVF DQLAGRWDTQFCGGGLFWQILPSNPNGMKYKNSVSNGGFFQLAARMARATGNSTYLQW ADKVWDWSADVGFIDNATYRIYDGAGIDSQCRTVNRKSFSYTTGIYLYGAAIMADHTG DDKWVRRTQRLLDEAAAYFFTGSDNTTMWEPACEASDSCNYDMITFKGYLARYMWQSA RIMPSIKDKVRSLLVPTSRAAAATCTGGASGHQCGMKWYVGGFDGVATLGGQMCALET IQGLLSGDAPAPLAAKDITWNRNATFQPIDTYANLDTAAAASASQSSAQSSTQSQSST QSPTQSPTEAPKPVPTSSQPKKNGAAKASFTGLAGGAWPLLIGATLAAALLGWA UV8b_06815 MSPFNLETCARPNILTLQPYRCARDDYKDDGSNILLDANENAFG PSIDAAAVGSRDGLDLGLDPLGLHRYPDPHQHRLKQLLCDLRNTGTARPLTPDNLFVG VGSDEAIDALLRCFCVPGRDRMLICPPTYGMYAVSAHVNDVSLVAVPLTPAPDFALDV AAVSAALAREPDVKLAYLCSPGNPTGCLLAEHDVRRILAHPTWNGVVVLDEAYVDFAP EGSSLAALVAEYPNLVVMQTLSKAFGMAGIRLGAAFASPPVARLLNSIKAPYNISSPT SCLAQHALGPRGLATMRANRARIAAQRERLLRELPGIPGVGRLRGGTAGNFLLFEMLN GDGQPDNAAALAVYEKLAQHDGVIVRFRGKEVNCVGCLRITVGTEEEVTRFLGSLRKA LSEF UV8b_06816 MYNAGYGFGNPAFNQPGAPQQPQQQPQQPPQPQQQQPQPQQPQP QQQQQQQQQQQHSPHSPHQQQQQPNPQPLYNQQQQFAGMPQGSFNPGNNAQMMQGMPA GMMQNSAMPSMPPNGQMPGYPQQFPGAAYGQLMPGGVPPNFAPNNYMMTGGMQAFPMN QTGMNLQQVQMMQRMQAQQAAQQAPPPPPQQQQHHPHQQYQQPQPQSHQQQPQQPQSQ QHPPQQQHPLQQQQPQSQQSQHPPPHQQQQHRHHHHHQQQHQSQQEQPQQHQPLQPQH QTLPGVSQTTTPQRPSSAAQGTPTNPMLQHQPQFATPQPHPHNQPSQNAQQAPSLGTP QTPTFSTNHTSGAGMPTTGTPLSPRSESREKERFALLLDINHELLYESIQIQSTQQEL KKENPPTSGSQGDRKPTEEENLFQQDYLQCMRRLQANLSYMAALADRKPEVKVPPCPA YLSAPPLNLSLRLRSPSVVPEGQDANIDTVADRQERDKSIRDLYKRLQAVFPGFDPKK EPAFRMPGPGQKSGGQGSNQASPTVQQNPQMPTMSAPVGNPGVMG UV8b_06817 MAISALPPSSARFSSVCDDGLVCLELEDGSAYTGYSFGAKKSIA GELVFQTGMVGYPESLTDPSYRGQILVITFPLVGNYGVPSRETADELLGDLPAHFESS KIHVAGLVTASYCGEDFSHFLATSSLGTWLKEQGIPAMYGVDTRALTKKIRQKGSMLG KMRLQVKGAQANGVINGAVAAASAEQFAETEWVDPNESNLVAEVSIKAPKLYKPPSSV ASRKHPSGRPIRVLCLDVGMKYNQLRCFLKRGVEVMVCPWDHDLAKEAGDKYDGLFLS NGPGDPSILDVTVRNIQAVMEKNKTPVFGICLGHQLLARASGARTSKMKFGNRGHNIP CTSMVTGKCHITSQNHGFAVDANTLALGWKELFVNANDGSNEGIMHVDKPYFSVQFHP ESTPGPRDTEFLFDVFIDTIVKCSENPALLQQPVSFPGGTVEENERLHPRVSVKKVLV LGSGGLSIGQAGEFDYSGSQAIKALKEEGIYTILINPNIATIQTSTGLADKVYFLPVN AEFVRKVIHYERPDAIYVTFGGQTALQVGIQLKDEFEGLGVKVLGTPIDTIITTEDRE LFARSMDSIGEKCAKSASASNVEEALRVVKDIGFPVIVRAAYALGGLGSGFANNDDEL LDLCNKAFAASPQVLIERSMKGWKEIEYEVVRDAQDNCITVCNMENFDPLGIHTGDSI VVAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSREYCIIEVNARLSRS SALASKATGYPLAFIAAKLGLGIPLKEIKNSVTKSTCACFEPSLDYCVVKMPRWDLKK FTRVSTQLGSSMKSVGEVMSIGRSFEEAIQKAIRSIDFHNLGFGETKALMSIDDELQT PSDQRLFAIANAMHEGYTVDKIWEMTKIDKWFLRKLKGLSDFAKDMSRYTTKDIVRSP GLLLQAKRLGFCDRQLAKFWDSNEIAVRRMRLEAGIRPLVKQIDTVAAEFPAFTNYLY LTYNASEHDVSFDDHGVMVLGSGVYRIGSSVEFDWCSVRAIRTLRSSGFKTVMVNYNP ETVSTDYDEADKLYFENINLETVLDIYQLEAASGVLGAMGGQTPNNIALPLHRAGVKV LGTSPEMIDMAENRYKFSRMLDRIEVDQPTWKELTSFSEAREFCNAVGYPVLVRPSYV LSGAAMNTVYSEKDLESYLAQAAEVSREHPVVISKYIENAKEIEMDAVAKDGVVVGHF ISEHVENAGVHSGDATLILPPQDLEKTTIERIEEATRKIGAALNVTGPFNIQFIAKDN DIKVIECNVRASRSFPFVSKTMGVDLIEMATKAIMHQPFEEYPATTIQPDCVGVKVPQ FSFSRLSGADPVLGVEMASTGEVACFGVDKYEAYLKALLSTGFKIPKANVLLSVGSYK DKKELLPSIQKLQRIGYKLFATAGTADFLQEHGVQVQFLEVLGRDEDISSEFSLTQHL AKNMIDLYINLPSNNKYRRPANYMSKGYKTRRMAVDYQIPLVTNVKNAKILVEAIARH VYLDVSKRDFQLSKPAAPAASPWSRSAKTPEMKATLPSIGQVTSDSSPRRRTVVDGIL GSPPRGGHIDELSLLPAAVQAFSVSPSVLKLLSQPSVFNNSHVLSVKEYTRADLHLLF TVAQEMRLGVQRAGVLDLLRGRVLCTLFYEPSTRTSASFDAAMQRLGGRTVSVPTSQS SVRKGESLQDTLRTLACYGDAIVLRHPDENSVEIAAKYSDVPVINGGNGSREHPTQAF LDLFTIREELGTVQGLTITFLGDLLYGRTVHSLVQLLRHYQVQVQLVAPRGLELPGDV KEQLVRSGRLLCESETLTPEIIARSDVLYCTRVQQERFERKEQYDLVKDSYRVDNAAL KHAKSQMVVMHPLPRNEEVADEVDFDQRAAYFRQMRYGLYCRMALLALVMAPS UV8b_06818 MGLSAAAAAPPSKLIFAPAGSAPHGQAEQFTRATLSRRQLDASP TRQFGRWFAEAQAARAPQPEACVLSTAELPSGRVSSRVVYLKELDAAGGYVVYSNLGT SRKAADLATNPHAALVFHWPALQRQVRVEGVAARCSRADSQVYFDTRVRGSRIGAWAS RQSRVLEPRRRNGGGHGHEEDDHDHDHDHDQGDDDDDDDDGRAQLDEWVAEAERRFEG CDDIPVPDFWGGLRITPSRVEFWQGRESRLHDRFAYVREGDGGADGGAEDGEWRIERL SP UV8b_06819 MSKEQQSCKSTQVSSMRRDEKGIPVAAAGTAAGTAAGTAASASN YDASASTYFTYRPLSNLPTPPPTYKENPSPSAIASSGLSDGEQFNAKYRGPAIHLVNL IPSSASLTTASVPVVQAILSRADLPIETVALAVCILDSLDKTFSRAWRLCCPLVPGAP AQSSATSKRHSLPPAPLLYQHQQHQMLHIDSVPPEIIVVAALVIAVKFTQDPQQLSRY YCDAWGRGIWSYEQLNVTERCIMESLDYRIMPLCDEDCLADAMVDMQLAGQQHEWHAR ELSPPDSISSSHGDDAGFVAGHKRSRTAIPAATRLAAGPGLLLTPLEERCRATTEVDG TCYF UV8b_06820 MPPHLHPRSRTTSSLFATTVVASFLVVALPHLLPCPAPRTRYAD GEVVVDENGRRRRWRRREGLPESRDGIVQFDQTTDECVVDSASERTRRECPVPKPGGR LGEWLGFKRAGDGECGKQARK UV8b_06821 MSDLDKAIAQLRACRPIPEPQVRELCHRARELLIEEGNVVTVTA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECLRKYGSANVWRYCCDVFDYLALGAIVLGASNTL SPVPGSGPADEDTEIELRDQHGSLMSRFSRQRRQQQQPSSSQASSSQEQVPNGGGGGS SGTGDKTGPPGTGASGSSNGSIGNPAGAVLCVHGGLSPLIDTVDKIRLIDRKQEVPHE GAMCDLLWSDPEDIDGWGLSPRGAGFLFGADIVKVFNHRNDLSLIARAHQLVMEGFKE MFDASIVTVWSAPNYCYRCGNVAAVLELCEDESGTGLFARSNGDVNRSDGGRGGGLML ESDGGGGGVGMAKSGPARRYRVFQAAPQDSRGMPAKKPVADYFL UV8b_06822 MTTPAQKANLARIRDNQRRSRARRREYLQELEQRLRVCELQGVE ASAEVQMAARRVANENRQLRELLNRCGVSEEVIAHFLQGGTGPADNPDSVQGQPPQLG EGGPASHSLQQLMLPRRAAHLESGIQLALPSQSSREASIASGSTTNSSVWEPTMTAYS HHPQQLGVSSSSMGPSDPHQYSPSVFPAQPTSAQTNLFHTSPVGNLMNDPRHGLATTQ PISIDNRAAMGYHFQINPFNDPTNRYGPPGGGSC UV8b_06823 MAVLRARHLVATTRHGAARSPSTSGATAVVSGDLQARFFSQVPG CKFDSLPDPSAPSRGEAVAGAGFSALMPLPRKLWFDAEKHFWQSKTSRRGRGQCDRTD SLAVLSRHKVPKAPRVRVVGIVNPLG UV8b_06824 MRDGVVRTAALHRMRLEDFSTPRLQHIVRTYASQCLRAQHPPHP TRRARASRRFPASPSPSPSLPFAAVARTAAAAVHDAPQGGGPLAEYDRRVEAGRLRND EHQRGIIESLQHLHNELRKYNASAVVHPSLDLLKPSKKSVFSSLFGRASTKKSVIDEI PDDLPRGLYLYGDVGSGKTMLMDLFYDTLPSSVKTKTRIHFHNFMQDVHRRLHQLKVQ HGSDVDGVPFVAADIAEHGNVLCFDEFQCTDVADAMILRRLLECLMSQGVVLVTTSNR HPDDLYKNGIQRESFIPAIKLLKNRLHVINLDSPTDYRKIPRPPSGVYHTKLDDHANS HAEKWFRFLGDPESTEPRSETQKVWGREIYVPRVSGRCAWFTFDELIRRPKSAADYLE LVRSYDAFVVTDVPGMTVRERDLARRFITFIDAVYEGNAKLVLTTEKPLTELFVSRDE IAESLLEGNSRGRQSREQAVLAAKEMLDDAGTQAEQLKNSALFAGEEEAFAFARALSR LKHMESREWVERGMGLEDQGGKQDRDSWSKTRSRQMEDSM UV8b_06825 MTRRHRHRHGARDAVGHVESWLHNQLGGDDGDDAGNSPRDKVDG DGRATVVRTVYQTLEPTFDGPVAGYSTLNEDPDPDPTTVPSPTKTPGPKPNTTSKAKQ NSAVPTAIRQPLSVSVPETILAKATGDPALSTTEGLAGQLSSTPTPGPNPTATSVSDP STATDTGDGASAGAKAGIAFGILGGVLIVGLIAFVLFTRRRGKADVSGEQGHDEKHRA KNDGNPLETVTVQSDPPAPRISLRPVTQFLPNWNLDKRTSRGVNAASAPGPAVGASGQ RAGSRERPATSQSMHPANPFGSEAERVLEPPIEEENTAAPPSDPFTANGPVIGAATAA GSLTRKASMRKEGSKHLDLTVPRTLGPTPPSPAGTEFSMTSVSPGSTVPQTSSAAAVA AAGGPPNSAVHRVQLDFKPSLDDEMEMKAGDLVRLLHEYDDGWALVIRLDRSQQGVVP RTCLSTRPVKPRASPGGPRTGPPVNPSGQRPMTPQGMPQGASQNGGPGAHGPRPSSRQ SGRPRSPEEGCNGPAKSPGSRPQGAAGPPSGAVGRKPVPGLAY UV8b_06826 MIRSKARPLEPLELRARPIAPHAIAWSCDAELAVAADDNIYIFL PEYFGTNRSADDAAEDDLVKPQFSLALTTCGIIRPNPAINGPLCAFAGVSLPAGNFDE PTIFQGVGSGECTKSGSSLGQAVRVEWSPNGLGQNLRPILSVLTTSGSIVSLGEHVDN RTAATAASARCRTFKHWKILWGLGARLPIPDGASADGLRYMNERVVSFAWSKEIGPGR ALLAYVNDQRDVVVMAVQLVQKTQGQGLSAGEDESGWEIFEAARFDGRGRHDAGHAMD PDFVPSGGAYSVSWSPWFASGDFQTATIAYVAPHHVGFRRIVIEGEWRRGQDPAIQIE PADTVSICTFLSADAFVEWEDAIWHEGRAQMARGIIATPFVIKPFQVNLCGRPSPSAA QHSTTDCATLVPHAEETCTNPITGLVIHRPNPSNKPPEPLYSLVRLSATPTNQDWYQT NAPPVGKLPRWVGKIQRATSREVSRVEALAGVDSLSDTDSEFDEPEVDMTVAAEEEAV SKAHAHRYRLWGIAASPGGGCTAALVSKHATQHADRRPGSTVLFSWPSDARPAPADSC APPLLTTEGRAWEAMHGAASHPGGFSSPPADEPPVGQESPLRALFRAVVPKQKCVFCN ADLLSAGDESVCERGHPFATCTATGLAIMAPGVSRICAVCQLRCLNPSELLRIARQHL GAGAVVQSAGEVCGGCGGKFLV UV8b_06827 MKFHGVHYPSTVARAAMMAMVLFSSTVLSEHTSNWAVLVCTSRF WFNYRHLANVLSIYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNSDRAVDLY GDNIEVDYRGYEVTVENFIRLLTDRVGDEMPRSKRLLTDERSNILVYMTGHGGNEFLK FQDAEEIGSFDLADAFQQMWEKKRYHEILFMIDTCQANTMYSRLYSPNIIATGSSELD QSSYSHHADNDVGVAVIDRYTYYNLEFLENQVKDLNSGKTVGDLFDSYDYEKIHSHAG VRYDLFPGGADGARSRLITDFFGNIQNVEVDRDASSALEEQLLSLSRSITFLRQKEAE EGASPRNSNNNRDNNNNNYNKTTSSESETKIQSATVLTSDKWWTKKVIGASALAGSGL LWGVGSLLKI UV8b_06828 MSASSTTMFPWECRDREDQARAAYNHPLRRTNQLLVEENMRLKR MLRENGISWSPIAQAHMLQQNPAHRRRTRASKSSHDLGCPSLPTEVILRIMKFALKSP YPLIDPLSPLTPEHLTEREKSRGNQIAIHFLATCKALHVEGTRCLWESNSFVFTTPEA LRHFSELSPQYRNNMTRLNFRVIACYYDDQRVKHRLERSYHPDLKKDQLLKVQLRPKE PPLIRGGFRCYTWSQIADFLIALRAPYDPSNKDKHKPRPRLLPSLSLLRIDLVNFSET LLPFSGSELHEIASHELGCTLNELQVTGMPFDDAGMKASAELSGMLKDEGLYLDGSAA FIAHSRHLQTLSGKKWCARVVRAYKGKHGAGNNDENEDDDDDGDDDDDDDDDGDDGDG EDLLEEGHFHPRMGAMPAAPAEEGHPSSTRDEDKVIWKRVPISREGQERQWVQFSRLS GYEIADQEWETDDEGLCPCCGEPHPNSSLLNFLMGDDDDIMDLD UV8b_06829 MLYLVGLGLSDETDITVKGLEIVKRASRVYLEAYTSVLLVDQSV LEGYYGRSITIADREMVESNSDEILRDAQTQDVAFCVVGDPFGATTHTDLVMRARELS IPVRTVPNASIMSGIGACGLQLYNFGQTVSMVFFTDSWKPASFYDRIKENRHIGLHTL VLVDIKVKEQSLENMARGRLVYEPPRYMSAGQCAQQMLEIEEQRQEGVYGRDSLAIGA ARVGGKTEKFVAGTLEDLCSADDELGPPLHSLVLLGRRTHELEHDFVRDFAVDKAKWD RIWKESYGKQL UV8b_06830 MTDSKKGSAYGAPAGDTDFRKTWDLDDYAAKAKEREAKEKEEAK ARYEAKLAGKKYHKPLTGDETYTTARRSVIDLTAQVGKTQLVPAGAGVGKRGRSAGFY CEPCDLTFKDNKQFIEHLNTIQHLLNTGQTTEVKRATVEEVHERIAYYIRKKEELEKD KATSLQERLQLREEEREKELEERRQKRRDEAEKKRHEREEAAKVKKEYGDDVRIDGEH DEDDMMAQMGFTGFGTSKK UV8b_06831 MKRFGVTRYVLLAIEHIRCIGWKQLPYTRAARLHGMTPPSCLGR SLKIRNLKLAFSYKPRAPWQDPVARPSGKTLWQDV UV8b_06832 MATAFVLSVLAGIISAFVLKYGLKTLGWLASRLCDMIPSRYHPP EKPGDNHIQILVLGDIGRSPRMQYHAISFAKHGRKVDIVAYKETSRHPDLIGNERVSL YALAPQPEWIAWGTLPFFLNIPCKVMQQFWTLLYTMMWATPAAQWIIIQNPPSIPTFH VALLVSWIRGSKVVIDWHNYGHTILAQKPLYAILVPFYRWYEIFLGKFLGNVNLAVTD AMARELRGARFNLKNPVHTLHDRPAHLFQPITSRKAREEFLSRLPQTKSHFKDIMDGH MRLIVSSTSWTPDEDFSMLIEALVSYANPHEGDGTSEPPSPILAIITGKGPEKEKYVE MIKQMQEGGRLPGVKILTAWLSNREYASLLASADLGISLHKSSSGVDLPMKVVDMFGA GLPVAAYSAFESFGELVKEGENGCGFETADQLKNIFGRLFSEEGQDELAKLKRGAIKE GSLRWDEEWDRVMASIIGLSD UV8b_06833 MASATSPAASLLKRQLKEMQTCKDLPGISCGLVSDSNIFEWEVM LMINDDCKYYGGGNFRAILVFPPTYPHMPPSLTFQDPIPFHPNIYPDGNLCISILHPP EEDEYGYEAASERWSPVQTPETILLSTISLFHDPNNESPANVEAARMLREESQGKHKD FRKRCRKCVRESLGED UV8b_06834 MKGIEVTAYVKSPTELKVSELPDPVPKDDEYLIHVRAAATNFFD VLQIAGKYQNQPPFPWVAGAEFAGVVISTPKDSKNPKFPKGARVFGATQGAYATKCAV KEASMLLVPEGWSFSEAAGLFVTAPTSYGALVVRAKLKQGDNVLVHAAAGGVGLAAVQ VAKALGATVIATASTPEKLQVAKSFGADHVLDYKDPDWPAKVKGLTPKGRGVDIVFDP VGLVAKSTSCTAWNGRILIVGFAGGAIEKVAMNKVLLKNISLVGIHWGAYSIHERETI PVVWQGINKLVKEGKFRGTEFKDEEFVGLDRVKDALVALSGRGTWGKVVVKIPHDGAS RL UV8b_06835 MTLFCPINISISTSISTRTSINTSISSNNNSNNNTTNTNNSSMQ PIKPILAIRITHSIRHILNNKRITNSMQVIKPISNISNISNSTNNTNSTNNTRHIRRF KLIRHTLAIRAARAPPQPQQLQQVQQINQVQASPQQPAANIPQQQGNQADSEMDVDGH QDGDTDTNGLDSKLMSPSYIPSQPMGEMMSPPPEGGSYPTLEAVQKAVLRYCTSVGYA IVIGRSKKTVPGLKKVLFVCDRAGKPPSRVSPESRKRKTSSRKCDCPFGFFAIEQRTQ WTIRYRPDPAHLQHNHGPSESPSNHPAARKLDSKMVAAVKQLKENGAGVSETLTILQN ENPDCHLLPRDIYNARAAINRNPQKVATGLAENRPAIYTKPQQSPEDRIRADLRRELA KSREDMQKMEEENRKEIESLKSQLAEKEKLIKRFEMFIDICNERVMVQRERLAGTDGV SAIGGNPGP UV8b_06836 MEVSSAPAQSPVAAANGSSAFPTIEPERVIEHLVAVCQVALGTT RDELEQPGNLLHNSRYAETLSRCTRFANDTQNVLYIQKDIVHSSVADNGTESLVATSF CYTLSTEISSSATTVASLVLLKTPQPIDPTRPLTSQIFITNLPGPASFGTSAGEQGAS LSPWEVLHSQVHYALVPYFDANTRSQHLANGSRGRSDVDAKTGIPVTKKRLNDLELSL LHLQQNVDIPEISLAFHSIVQSVLDESEARHTKPSIEAIPQNLLQDSTFLNRLQANVN TWIKSIQGITKMTKDPSSNASQEFSTASQEVNFWLSMESALEGIEGQLRSDGVLFTLE ILKHAKRFQATVSFTADTGLKEAMEKVQKYNQLMRDFPLDELLSATSLPKVQEAISQI FGHLNKKLRICPYPIRRALPLVEAISADLDDVLHRLLPGTELVNLDYQQFQALTNTCD GIFRAWEENVKEFTNVAREVTRRRNEKFIPIKINKKHSELESRIKYVGTFRDNHEQLQ RTIVNVLGPKATIPGVTEMNGNNGVLVEEIGDVDAVEEVKQAWEALLNVDLLDVTEHG KERWVRAENLYNERTTRVENSIIARLRDRLATAKTANEMFRVFSKFNALFVRPKIRGA IQEYQNQLMDHVKQAINGLHERFKQQYGHSEAHAMAQLRDIPPVSGAIIWARQIELQL DGYMRKVEAVLGPDWTLHAEGHKLQEESELFKHKLDTGRIYEAWLTDVSRRKISISGQ LFEIARVRSAGGILELAVNFDPQIITLFKETRNLTWQSYSVPHAVATVSKDAKRVYPY AVSLMESVRTLSQTLRQTSAMGEESVLLNGYRNDVYKLIGEGVPLRWESFINSHELFY AEQRQTRPILPGGPEFALSKNNESKHGMFIRTFAAAVSVLQSKAVALSHIHVTIEQAL KEIGSCTYEPSSFQSRLSTIQAAVDQLNLEQYVNLDFWVRDLNQKIRSLLLGRLCDAI NAWVKAFEDETAVDFRRKKATEEEVKPDGPTIKRLVLELAMRNQVIYLDPPLEFARAS WFLQLHDWLGIVCNQQKIKAARYQMTLSTTSLDEPRFTDLPTQCADMLHRVHVSVEKK LEEIGAYVDKWLQFQSLWDLQSEQVYDMLGDHLPRWLECLQDIRKVRTTFDTQEVSRS FGHVTVDYDQVQTKVNAKYDQWQHEILIKFASRLGNRMRDVNAEIERARKQLEGQSTD TSSTAQTVQFITAVQSCKRNVKLWAPEIDLFRQGQSTLVRQRYRFPNDWLHIEQIDSQ WESLREILEKKSRIMEEQSDAMRANIIAQDKLVNERIVETITQWNEEKPVSGTIQPDV ASATLTVFETRIASLQDDFQQVAKAKEALDIPTGGDSTLDATLEEVRDFQSVWSNLST IWSSLNETRETLWTAVQPRKIRSKVDDLIKSTKEMPSRMRQYAAFEHVQSVLRGFLKV NPTLSDLKSDAIRERHWVKIYKKIKPQKRFSPSSMTLGDVWDLNLVATEAIVKDIIAQ AQGEMALEEFLKQVRETWQNYALEMVNYQNKCRLIRGWDDLFAKCSENLNSLQAMKHS PYYKEFEEEAVSWEDKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPIESGRF QNINSEFLAVMKKANKTPYVLDVLNIPNVQKSLERLAEMLNKIQKALGEYLEKERVSF PRFYFVGDEDLLEMIGNSNDTLRIAKHFKKMFAGLSGLVMDDETVISGFTSKEGEVVQ LKKEVSLAKTPRINDWLALLEGGMKATLAELLAEAVDQYTPILESEIIDSASLNLFME AFPSQIVVLATQVAWTTAVEESLAAGGQTLQTLFEREVQVLRALAETVLGDLGVIQRK KCEQMITECVHQRDVIEKLIKNNANSNGHYLWQLQMRYVYAPEKPFLDRLHVKMANAR LNYGFEYLGVPDRLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGVQL GRFTLVFCCDDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQLG LKQGAENHTSQIELVGRQLSVNQNTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPD KELIAEVMLYSQGFNQAKQLSKQTVPFFDECSRKLSKQAHYDFGLRALKSVLVSSGGL KRTRLNDSNLGVGEIVEPEIIVQSIRETIAPKLIKSDVDILTSIEKDCFPGVNYVSAN LEELEEAIRTIADERHLVVTELWMTKVLQLYQIQKIHHGVMMVGNSGTGKSTAWRLLI DALQKVEGVEGMSHVIDSKVMSKEALYGNLDATTREWTDGLFTSILRKIVDNLRGEDS KRHWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVETLKYATLA TVSRCGMVWFSEDTVTPDMMVANYLERLRSVPFEDLDEDSVATGQTPAKVLVVQGEVA NLLKTYMSSGNFLLQALEQAEKYSHIMEFTVARVLNTLFSLLNKAVRDAIEYNGRHSD FPLEAEQIEAFISKKLLLALVWALTGDCPLTDRKSFGDDLCAFASFGSPPLDGSSSLI DFDVSLPKAEWSSWQNHVPNIEVNTHSIIQTDVVIPTLDTVRHEDVLYSWLAEHKPLL LCGPPGSGKTMTLFSALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVML SPTQIGRWLVVFCDEINLPAPDNYGTQRAISFLRQLVEHNGFWRTSDKSWVTLDRIQF VGACNPPTDAGRTPLGARFLRHAPLIMVDYPGELSLNQIYGTFNSAVLKIIPSLRGYA EALTQAMVRFYLESQQRFTPKIQPHYVYSPRELTRWVRGVYEAIKPLESLSIEGLIRI WAHEALRLFQDRLVAEDEKQWTDEAVRRIALEHFPNIDEAKALGGPILFSNWLSKNYV PVDREELREFVKARLKTFCEEEVDVPLILFNDVLEHVLRIDRVFRQPQGHLILIGVSG SGKTTLSRFVAWMNGLKVFQIKVHGKYSGEDFDEDLRDVLRRCGCKGEKICFIMDEAN VLDSGFLERMNTLLANAEVPGLFEGDEYAALMTACKEGAQRQNLHLDSPEELFKWFTQ QIVNNLHVVFTMNPPEDGLSSKVATSPALFNRCVLNWFGDWSDQALFQVGRELTQSVD LDRSTFQAPDTIPVAFRGLALPPSHREAVVNSMVYIHYSLQRYNEKLYKQQKKVTFLT PRHFLDFVGQYVKLYNEKREDLEEQQRHLNVGLEKLRDTVDKVRDLRVSLAEKKTQLE QKDAEANEKLQRMVADQREAEQRKNTSLEIQAALEKQEAEVAKRRKVVLEDLAKAEPA VEEAKASVSNIKRQHLTEVRSMGNPPSGVRLALDAVCTLLGHRINDWKAVQAVVRKDD FIASIIMFDNGKLMTKPLRSKMRNDFLSNPEFTFEKVNRASKACGPLVQWVAAQVNYS DILDRVGPLKEEVAQLEEQALQTKAEAKAVENNIAELEFSINRYTTEYAALISETQAI KAEMSKVQFKVDRSVRLLDSLSSERVRWEEGSKSFETQISTLVGDVLVASAFLAYSGL YDQTFRKSMMDEWCHQLHLSGIEYKSPNPVTEYLSTADERLGWQVNTLPVDELCTENA IILKRFNRYPLIIDPSGRVTEFLQRECKERKLTVTSFLDDSFTKQLESSLRFGNPILI QDAEHLDPILNHVLNKEYQRTGGRVLIQLGKQEIDFSPAFRIYLSTRDPSAQFAPDIC SRTTFVNFTVTKSSLQTQSLNDVLKSERPDVDERRTNLIKLQGEFKIHLRQLEKQLLQ ALNESRGNILDDDNVIETLERLKTEAAEISAKMSNTEGVMAEVEEITQQYGVIASSCS AVFAVLDQLHFLNHFYQFSLQYFLDIFHLVLHGNKSLANETNHNARRDIIVRDLFVNT FQRTALGLLQKDRITLGMLLAQASPFPMDKSLIDIVLDKRIDGKDLSSTPELRNEAFG EAKKLRVLKEQMDGVATSDWDRFFSEELAETVVPRVWADGTSPVDQSLLSLLLVKLFR MDRFVPAAERFVGRVFGREIFDVVDDLQATVTQVSATLPVALVSSPGFDASYKVDNLV ERMKVKCTNIAMGSNEGVASADKAISNAAQNGSWVLVKNVHLAPTWLQSLEKRMEALN PQKDFRLFLSMESSPKIPVNLLRASRVLMYEQPAGVRANMKDSMSSLSTRATKSPVER TRLYLLISFLHAVAQERLRYAPTLGWKGFWEFNDSDYECSAFIVDTWLDGVAGNRTNI APQNIPWEMIRYLVTETYGGKIDDEGDFKLLGQLVTSLLTPDAYEVEHKLVDGPDGGL LVPSGTSLEEFRAWIHKLPEREPPTYLGLPANAEKLLLVGLGRSLVRDLRRVTELLDQ GENLVTDP UV8b_06837 MANLDAWNPFGRRESYSGGSILTYRLLTLLTWLLSVIVSVYYVL HEPKDGFTIRRRIWDQNALYRTAFTMNPILGDVYWVGLFILQFGYITHLFSRKPEVVN WAASVGSHFILNNLFHFAFVMLFVRSHFVWAEIILIANFINLSILYFRHNTSPRFIHA PVASGPLAWTFVAIYWNGAIMVTHHHSLVARIFGNIFIWSILAYGLFFIVTFKDYTMG FALSILSAAIGVAQFQRQVIALQWIFAFVIMSILFLATVGIAVPAWTGRDHLWRRSPP ADAERAPLLNE UV8b_06838 MPTNHDNSSYVGLEAGLLSTREEEKIPFVSSGQDEEQSSPGPRQ LETLPSGKMSPLKRGVMIFIMCLLAGLGLALAQDTSFSKCLSWAPGRGDLHFISARSL HAKRDQASLNNSTAPSSTAEPQQSTSIAPVASASSAQTSVAATPSTTSNDPPPSSSSL VLVPSSTSTPSALPATSLPTTTNPLATSSDAMPSSSSLVAITQTTSRDSGTSSSPGTS STRQETVSESSSSSLAQPSSSTRSTTEQPSSSTGLASSAPISTTLSTTLSSSASFASP TSSKSTATSSKPAPSSPKIQSTITTGLVATSSPVAQTLTTTLPDGGTLTITSTSWVAI QPTGKATSTSQPKLQNAAPKCNDHWTLSLCAAAAALGLLLI UV8b_06839 MPSDDYTAAAGGALKIKGAKIHKKQKQKQKQKQKQKHKEKTTSK ATLEKGLSAAQEAVVAKSSPSSEHGAEETNAPVLKTEAERRYELVRKKRLQKLAESSS ARPELLKTHKERVEELNTYLSKLSEHHDMPKIGPG UV8b_06840 MPSYSVQSQSRDSLELASLVSSSMLNEGAASEVSSRPSISSSRR LSLVEEEDPLDGGNPAAGGLGRSHSGSSTFDFAATLFPLSSTTGDGGYAPIGASTSGA RPGGGALGGGSLEKRKTLTYLNGLSLVIGLMIGSGIFSSPSQISAKVGSPGAALVVWV VAGALAWTGAASYAELGGAIPLNGGAQVYLAKIFVELAGFLFTWTAVLVLKPGSSAII AIIMGEYFVRAIIGAQAEHVNPWVNKSVALVGIFAVTLFSCISTRMATRINDLLMFFK FAALLFVTVVGIVVAATGHTFKGEKDVAWRAKDWFSDTSLDLSSWAVALYGGLWAYDG WENTNYVVGEFRSPGRDLPRVIHTALPLVITSYVLANVAYFLVLPVEEVNGSNTVAVM FGSKVFGPVGSVTIALMVSASCLGSLTSSTFTTGRLVYAAGKEGYLPEVFGRIGVGNG DSSLSTQRTRGWLSRTAHRLLGDGEMGLFFTPIYALVLNGVITAAYCVVGEFGTLVTF YGVAGYTFYFCAVLGLIVLRVREPGLERPYKTWITTPIIFCCVSLFLLSRAVFARPVQ TLAVVGFVIAGIPVYFWRIRQRDGLRRSVGDDGQRRPWWRFWRR UV8b_06841 MFACLWSHDDASQACFNWFPCSKRFAAMVKVLLVLYDGGQHAKD VPELLGTTENELGLRKWLQDQGHTLVTTSDKEGADSKFDQELVDAEIIITTPFHPGYL TAERLAKARKLKLAVTAGIGSDHVDLDAANKTNGGVTVAEVTGSNVVSVAEHVVMTIL VLVRNFVPAHEQVERGDWDVAAVAKQEYDLENKVVGTVAVGRIGERVLRRLKAFDCKE LLYYDYQPLSPEKEREIGCRRVDNLEDMLEACDVVTINCPLHEQTKGLFNKDLIAKMK KGSYLVNTARGAIVVKEDVAAALESGHLAGYGGDVWFPQPAERNHPLRTAKNPFGGGN AMVPHMSGTSLDAQKRYADGVKSILASYLSGKHDYRPEDLIVHQGDYATKAYGKRG UV8b_06842 MGFHGMATMSSSVSLGPIIATSTQRPNHGSAALQPGLWLSVEGG DDERAWHHRGLVGMVDGVSV UV8b_06843 MEFMPPMQPNEVGYFVGPPIDYPFWQDEPWNGYMESCGPAFANL FEENSQASSFSDNMAWLSNNTHDPFYATSPESPWTTSPTTEEAPVIKFDIQTQSSQGS GSKRKRKQPAGVKQAQQFQKPTGKRVRRTRSRSLSSSGKSVIKTEDAASAKPVSHQTS FVSGSGGGGGGGGSTIITTTTNNNSSSSNSNSNNNSNSNSSSNSNSNSNSNSNSNRNS NSNNSSSSSSNSNGNGSSNSSSNNDSNNNIISSIRGSCSDLSISKGNSIYKTKSNSNS NSNCNSSCNSNCNSNCNSNCNSNCNSNCNSNKEDVYQERSRIASNKFRERKKNEIAQL ESEEYNIEDVNRQLRSALDELTSEILSLKMQILQHTNCNCELIQAYINKEALHFVQSL ESVNA UV8b_06844 MASSEGIRSGLHLDNDHGLGKRSHVLKDAVRNSMVIVFGEFCGT FMFLFLSFLGAQTAIKYNDPNDPSAPLLPFSLMYIASSFGSALAVNVWVFYRVTGGLF NPAVTLGLLLVGAVTPLRALMIVPTQIVAGICAAAVADAITPGPLTVANALGGGTNKT QGVFLEMFLTAQLVLTVYFLAVEKHRATYLAPIGIGISVFIAHIVGTSFTGTGINPAR SFGPACIQGFVGYHWIYWLGPFMGSLLAYAVYSLFKWLAYQSANPGQDADDVERAFPP VSSESGRLPTHKHGAGAHASSHLAPGSGNAHDRTNSEISGGTLQGPSS UV8b_06845 MTTMQPDQRRASTSKRGGGSGSKLDMARLCATVLGASYWAAGRR RRALACCAVAWTFRDGEPVMGCQTCSGRDSPWFAVVGRRWPSLTVVDRR UV8b_06846 MKFSLATLTAMLAVGVIAAPVDAGEADVRSYTRSSEHPPPPGGA IVYDPGLSHVVKRSSGPPSKEGGEKEAKRTEAVPCDEKKKNDCLAKWKCDGENDTGPN FLQCRLCEIYTSECAAKGPQHEGPFLAGPGIPPGPA UV8b_06847 MPPSPSFFLAAVHFAAHALASPSDADGCAALSKSLRIPNALIHS AHRLQAGGSFPAQSCGPHQQVVDANLCRVSLTVTTSSTSKVSMEVWLPDKWTGRVLAV GNSGLDGCIHYDDINYGVSAGFATVGTNNGHDGGGAAFYRNPGTVEDYVSRAIHTASS VVKEASRAYYGKRHTKAYYLGCSTGGRQGFKEAQSFPDDFDGIVAGAPAINFNSLIYW SGHFSNLLGRPGSPTFLTPAEWNLVYADVLKQCDHLDGVVDGVLEDPHLCHYRPEALL CAAGRTNNCLTAAQAGAVRAVFSPLRSSQGDLMCPRMQPGPNFANIFAAQPLSYSTEW FRYVVYNDPSWAPSQLSLKDWVAAERLNPFNVATRDGDLSKFQNRGGKILHYHGLEDP VISSENSIWYYDHVSRTMGLPPAKLDDFYRFFRISGLGHCAGGRGAGSIGNTKSTVAS LDPLRNVLFAMVKWVEQGVAPEVIRGTKHANGDASSRAVAHRDHCKYPLRNVYNGHAD AGDAASWKCQSSA UV8b_06848 MATFSATFSQSFMIPRPVLTEKNLPDQTGKVHIVTGGYSGVGKE LAAILYQRNATVYVAGRSEAKATTAIKAIKDQYPHSTGRLAFLSVDFSDLATVKPAVE SFLAQEKQLHVLVNNAGIMVPPKGSKGKQGHELQMATNCLGPFLFTKSLVPVLKETAA VSPPGSVRITWASSLASSALSPQGGVSLGKDGAPVVSSTQWVNYGQSKAAGIFYASEF SRRYGSHGILSVSFNPGNLKSDLHRHLDLLSTLAAKIFSHPVRYGAYTELYSGWSPDI QPENGGMFVIPWGREGNSTLRGDLRKSVEESRTDETSVVRRFWDWSESETAQYA UV8b_06849 MTRRSPMPSPHAPMLPPPPPSLPLPLRQQPSPAPASSSASTTLP AKAGKSKNFILADMDGRSSRDNRACASCISKLGPSEVGQVNWHLAEDQACRLCQVAQC KPEAFTKPFCDFLRENPTVFHAVDYFKRKLNDCGFAELSSRDAWDGKVQPGGKYWVSR NGSTIIAFTVGEAYKPGNGVGMIAGHVDALTARLKPVSTRPSKAGYVQLGVAPYAGGL NQTWWDRDLSIGGRVVVRDEETGKTSTRLVNLDWPIAKIPTLAPHFGVGMFGNNNPET QAVPVIGLQGPPSRTDEPSRDEPLGPDGAFVATQPPRLVQLIAKELGITSYASILNWE LELYDSQPAQTLGMDREFITAGRIDDKLCSWAALTGLLAADTSKHDGYIKLVALFDDE EIGSLLRQGARGNFLPLVVERAVEALSGDAFGPGLAGQTYARSFLVSADVTHAGNPNF LENYLDGHVPQLNVGMTISSDSNGHMTSDAVSTAILRRVGEIIGAKTQNFQIRNDSRS GGTVGPMLSSAMGVRAADAGLAQLSMHSVRATTGALDPGLGVQFFKGFLDHWEQIDGE WS UV8b_06850 MKFAKELDRDAVPEWRIKYLNYKAGKKYVKAVSRAVNRIPKGFP NRTPSFFRPSNIERTSVLPSSTAGPAPAWTPQQSDAPPPPQPVPIAVAGNAGEDEALN DKTNNLQYGSFVPTPRACSPLQHRLDGSKDFALPEPAMEAPDSQVLKRPTEAMQRNRS KLPLQRRASIAAEPAPTADKGNRSSTLPRRSTAASFMTLSPSPLRRIFSHAHSPMHQD MSKMDSNLSDFGLVREREREFYEFLDSELDKVETFYKLKEDQAGQRLSVLRQQLHEMR NRRMQEIYNNPYDPGMNGRHDTDAEIPKDNQNGWVQPIRARMFPPGPNSKALQTMAPT QYLPAGASAGDAARDYSRRRQKEEVSYRTAKRKLKLALQEFYRGLELLKSYALLNRTA FRKLNKKFDKAANARPPLRYMNEKVNKAWFVSSDVLDGHIKAVEDLYARYFERGNYKL AAGKLRSITSRSKSESGSSFLNGFLIGTGLLFSVQGLVHGARLLFDKDPVIRLHTSFL LQLYAGYFLMLLMFSLFCINCFIWSKNKINYPFIFEFDQRSLLDWRPLAQFPSFFLLL LGLFMWMNFSRLGADRLYLLYPVFLICATVVLIFLPAPVLWHKSRKWFVYAHWRLLLA GLYPVEFRDFFLGDMYCSLTYSMANIELFSCLYANDWIDPAQCNSSHSRVMGFLLTLP PIWRLLQCLRRYKDTRNVFPHLINGGKYIMTIVSSAMLSRYRLYGSRSNLVLYIVFSI INATYASVWDILMDFSLLQTEARHWALRDILALKRQWPYYLIMVVDPVLRFAWIFYAI FTHNTQHSTVVSFSVSFVEALRRGMWALFRVENEHCANVSQYKASRDVALPYRIEPLT ERASLETSPMLTTEEQSHGGTARPSGSSTALAGRRLGTWRRGRDAPRGRSFSKVLAEA HKQDFVKRRKPGAVEQAEEAEGQSDEDDDGDDDDDDEEEEEEEVASLLEAGNAGELGW RAQDQQA UV8b_06851 MLETRRPMHQMNTYGHSRSRTSSSPSGTFPAQQQQQHPQQQQQQ PQQQHHPQHHQISSQYAQAIPPHVHHSRRSPSVNTFSTTSSMQPPAAYRTSSSTDLRR SISNRSRGTISQPVDYVALLRRQKATVWCDRAQYEDPRVTAQQRAAKLRANLEIVGGG RAVATGSRSNTGISTTGKVAAKIRHHGKTPVLGYTPNDTNHVGVGGVPLRLSATEVEG GSSDEDDALTMSRSQHRRTGSSERSSIGSGRRPAHYRASAGSATSGAQQRRSPADTPE RRGSLVERSEDTTKPIDDASSGRAESSRSVSSSERADNVGELGIVPKLAINSHKHAAL TRDKSVMNAEELKRRGSVDERTATLTSGRLYIANPD UV8b_06852 MCHRPASTSNISDSSKTTNEPVVLTSGGVTIESSCSGRQKRVSW VTQAHQGRMEKGEKGGQQNTVDLNEFCIHVRQSMKNVVPSHMP UV8b_06853 MSHNVLEVQLTSRKRSHDEYSDYVNMDQEQEQDAKSLRATSLRP AENSLLPPQVLLAGVCSSPGGLGSPALNEAGSSTPAPNSPRPLTPTKQPTPGKVAEGD DAAPVTARVSKDAAPKRKRLTAEEKETKEKEMAEKKKEREELAAKKAAEKAKQEEEKA ARAKEREEQAAKKAVERAKQEEEKAARAKEREEQAAKKAVEKAKQEEEKAARAKERDE KRRKKEEEQRRLQEEKDKKARSQPKLNAFFTTPVAPKKNDGDDGTETGSLPNINSPSM AGNPAATHYDKLFKPFFVRHNTRLAPPSTQMDEQTKNAKSQILDTLIAGQTRGSDVQK QPFDPVELLCLASKPPRRGRIHHPVKHIMETAYREAESSSGTGRVAGHMYGEARQKLA GIPQKIIAFSRDVRPPYYGTVTLQPYILGRRTMSKLARLPIGRQLPLDYDNDSEAEWQ EDEGEDVDMEDDEEELDDEDDMDGFLDDSDDAGLSRRVFGSTLEPESTGICFENHHRL GPSSTMYEHKMEFICEGLQSSWGVDPFSAQYWEPETKATRAKTAQAANGEAAEMAPPP APANAFAALDGEVANSATSAKLVKAELLNDVKQAILDNRALSKAGIIDFIFHQFRNKA SRAEVKNTLELVAEKKGSGRIKEWDLKPGHEIEW UV8b_06854 MEDPWSSPWTTERTARDNARLPPEPKQPDIQTPLTPRFLTPWSR DPGEGSVDGAWRSWDDADPGGDASATWSASLPLGPTGRERATARLASADAWGLSQGAA NHAARGEGADSAVGVGEEALLGDAASTVMPRSEGGSDPLHPAGAEDGAWVEDEKPEPP ERAFGSKGGGEANRQACGVQEPAEKYNGTDKDTLISGLSRIDAAGKRDVVDQVAAEDD TDLPAASRSAPAAEPPATPRPARCPIDLSQLDALFPGVPCSSNGEHHERPHVADEHAF TSASQRSAWHRLSRLGSLRRHGSSQGENYVRVSWANSQTRKDTLLHVRRWMGAHPAAG PAALGAARCGRAGASLFNWDTGGPCIKIDELLLGRKQEQSRSRGRQLSAGLDATTTTT TTTTSPTAVSSGWSAGGPASAVRNGVEESGRAHEQPPGEPTGVEGTANRAPAETADEL SGRADDDDDDDDDDEDDWGEMVSCPREADPLGRPGMPAARAGERSEHGHQPGGSGASD SGVVESIVRGLPDLSYMMK UV8b_06855 MGSKPAAALLAAGPGRRIRPAYLAANPGPRADKQSAPQQHGRLF APRRRAATSSRGRVCIVFPPWLRPGGIFERAAAHAVEKRSRKKEGSGGNEAKEKKYDE FHGALCGGLRLSRYSTWQ UV8b_06856 MPSVFCHPSSLGTNREQKEARDESINVQPPRANLGNTVVLPYSE EEDAVWYRATDWAPPTPAAVPVPAPRCL UV8b_06857 MTTPLDPHKDQDQVAHYSSNWYPPRLQSQYRLIPTKTKTKSLMT PQACITEPRPLATLIQQRHGRRRGQRRPTRPRRRRRKEVKRPKLVTLLACHSSAARVC PRQAPPGTACRASTTRGCESRTRSRCPPCSRPGKGIALAGHRNKAACCRAPAQCGPDR WDMEEAR UV8b_06858 MAQSLRITALGWLLLSLAHTVGAQDWQANAKFQSLPRRASACAR AGWFQGSGFFVMNALVNYAWSRDPALLGDPVHRSVAGAMVAIMWVSGWWYARNGVAPN AVAVGVMGALQGYSALLR UV8b_06859 MCGVGLEPEMDSEHEEAPLLARRDGGRAGRPQSADTGFSAGALA NEQPDQSLPRRPVIQAAPWQAKTPAMIVFLAAVMMFGITSSGMMLLVPIYRLIEDALC HVHYKDDSPGLMDEMKCKVDSVQSQLASLLGWCGLVNSVMTLIVAFPHGMLADRIGRK PTAVLAYGGFAVSSCFAPLMLAGFQHHVRRNPYVLMIGSLWVLVGGGVPVLLNTLYAM AADVSTEQEKAASFLYLTFGATLGGLIGPLLAGLLMTTYGPWIPIHVALLATPFMLAL FFLIPETLSLETKAQENPDQTMLQAFRGHLGKGLEDLSHSLDMVKNHNIPLVLLTFFF QSARFYAYTSLLAQYISKHFGWKLAQTSLLLSPLGVLNLVVLVALPKVSDMLVSRRCR FTVFAKDLFLTQASTLLIAAGALVEALSHNVALFLCGLLIGTLGAADSPLARATVSHH VDAKSTSKLYALVGIAEVAGSFIAGPVLARLFNIGLERKGIFVGLPWFYVAFLSVVAL LALLFVSPPKKAALAEEACQ UV8b_06860 MQKLISAVVETANHPSSTKVPAVAGMPPARSWACPSYLPPKSLT ASQKVPTLFINLRLHRHTRIFSPQHPTFRRFNLSGTLQTAGYLSQLCTCRNQSPRA UV8b_06861 MSLSIGYVPEHFSTPLHFAHKHFSLDANLVSFPSGTGHMITALR AGEIDVGIGLTEGWIAGLGKEDIEGDGGYRLVGTYVDTPLRWAISTGAKRLEIASVDS LKGKKIGVSRIGSGSYVMGYVLADQQGWLSENVSFSDTVVLHNFENLRNAVNSGEADF FMWEYFTSKKYYDSGEIRHVGDIYTPWSSWQVVASTKLASGSAVDLRVKTLFEKLDEG VKYFIENQEEAVKYISTELGYTEPDAREWLKTVNFTTKTAGVKLDVVKGCVNVLRKAG VLTEGKGMDAESMVVEP UV8b_06862 MTTSNLRQAAKDAPASSASTIPSDSFRLSVGDEEAVELMASSGS LQLQRLARVKQTGFWASMGLGGVARRTLGICLLLVTVFLWTLSNFLASFIFSDHTYDK PFFLVYVNTSVFAVSLIPMFAKYAFRSGIQGVRSDLAQIWAEHKQRGAYRKAATEDDA SRGDGSMASAEGAGGHVLADGQEQLSFRETLVLSLEFCMLWFLANYFASACLEFTSVA SVTILTSTSSVWTLVFCALMRVEAFSVRKLVGVMASLVGIVLISTVDLSGESDENRGS FPHKTPGQIAIGDAMAFFSAIVYGMYVTVMKRRLGHEDRVNMKLFFGLVGAINLVLLW PLFFILHWTNVEPFELPPTGTIWIIIIVNSLSSYISDMSWAFAMLLTTPLVVTVGISL TIPLSLIGEMIQYGQYSSFVYWIGAAVVFVSFVFVNHESREDEGRHAAAGHQDGHTV UV8b_06863 MSATPLDSFRHDRPLAESIAAATRPIHAKLNKLIIARLPLALPP RAADPFPYTHGLLHVAPIYLTFESLWLDFLTNPTGPRQESSSSNRERGPQRPRESETL RQTLDRLYIPRLMRSDRLVSDVRVMTGWSEKVTREQMDAVGETGHLAEFVKHIRRAIK NKPHVLLSYSYIMFMALFAGGRFIRATLESAGAEFWAQPVPAAAPGHHPSEPSWAPSS GRAGPSQPASREAQGLPLRFFHFDTPFDGEDLKREFKHVLAESEESLSYRQKHDIVQE AICIFENMILVVAQLDRVVARPDGRRESTSSLATVITHPVANRFRDSVLVTRERNARS CTRSKASAEEQLEAGDGEEGEEEEEEEEEDQEEEQQEQEEQSALYPSWDNHPTIPAAL GAAAIELCPAMSKSVRFERAVPQASRTHRKAGDAATGDMAESLGMASKRLGREQVATW VLGIVIGVIILGAVFSGRRASTG UV8b_06864 MHHHHASSGPEAWLSSPTTTPSSPAINPFPSPTRSCRRKEKRNP SVTPRRFGRFFTPRSALPLENRTTLGLLSASATNRQPISPQSLADDPLSSDPICPSSP LDGMEYLDGSGDKRKRCERTQPAVKRRRGLVVDDMAPPPLRLPTARSFHMSRDGHVQM TETRVEPEPTDDLDDRRRATLSHFFKASRSGGGVGSKAQSFPAAPSVQPSELKTNLTL EGYQPRPIRKFRNRGFEAQLLDREHGFSAHTGRRHLAYPAGDARMHTASFCSRSHDVH QCTSYDGQGNTIPFSLASCHGAPVTAIGDEQGYVRLFNTETSDSRVESKVDAYVKVHD NAIMDLDFSHDDLRLATACGDRCGKVVDVVTQTVAVELAGGHWDSLRQVAFQPGSANG NALATSDRAGRVQIWDLRCSSMPTNCFSAAAALFGRGSRNTMLDPFAGKTVNTMDNAH ERTIQGITSSASVTAIKWLPAGREHLLLTASEANASIKLWDTRYVKPRRQAEETPLAV TQQPASHAWRSYGITSLALGTDAARLYAVCKDSTVYAYSTAHLMLGRAPELEDGAAKR KPGGAEGLGPLYGLKHDMFRASSFYVKCSLRASTGVNDHQPELLAVGSTDCCAVLFPT DERRMGSAWAKQAHEQQPVGGPGPGPGPGPGPSSPLAIYRSGTALVQGHSREVTTLSW SYDGKLVTASDDYIVRQWQSDGDTARHLRQVGDFGGERHMAGWAEAGGGDWDADDDE UV8b_06865 MCRFLVYKGSDEILLSKLILDPTHSILKQSFDSRLRLDTRRGQN NADGFGIGFYTDPKLGAAPCLFTSTIPAWNCTNLQRIASKTASRLIFGHVRATTEGSL SEDNCHPFTHASLMWMHNGGLGGWKHIKRKLGHRLADKWYLQVKGGTDSEWAFALFLD TLERLGHDPSASPETGFGPTVLRRAVEQTIAQINELTDSIPADVLRDEDVDTRSLLNF ALTDGHSVICTRYISSSKDEAASLYYSSGTQWVTRTSDPNDRQYQMERKDKGADIVLV ASEPLTFERENWVNVPTNSILTIHRQTVMVHPILDKYYERDPYHVRSSAFVQTKGLVS NEKAPSGTSISPGNGAPPTHFDGYKRLAGQTLCSRSLSPDATSAH UV8b_06866 MPCCTGVLQHLLCRHHSEYKIGCTAAGCRGRRRCLLVSQQRRLV VARYRWACEKCVAKAFRKADGERARGWDDKVRRINQDETLSKEMKRFMTQAARAQEQY EDVKTLDVHMQQLDEVQKVGEWAYEYGLAVFEALYPLLPWGGGSGGGSGGDDDADKSS AGDDDADSDVDADDDVDDAAAAAAHSGEGPMDVADSDETMDVDSDESRAGRGAAREAQ LQPPRAPGAARAIRRLEPGPVSEDDRELLCDLVRTKPRDVEIARDATGTAAMEASRAQ QQQQQQRRRHPSPRAMLPPGTLYVDAERRRPVDTRQLLRRMDLFLAR UV8b_06867 MSDKCPAVGAAAQNAETAAPRCQGPADPSREPSQNRVWCLPSPG QHGSREGRKKGKGKKKKPPKATCGLSPRYGAVSSIALRLPYPPTAKAASIIIVIIVVV VVVVVVVVVGCKRSVGRRPRPNRFWN UV8b_06868 MARFPRGLGIRLGGGGGGGGGGGGGGFLVRQMGPSLKLELEHEH ELELELELELEHELELGADTWLDLGLETGLETGA UV8b_06869 MTAPLSQQTVSVKDAAAVRKRRRRTPAGGAADDCFTCSKRNVKC DRRRPYCSQCLEIGNECSGYKTQLTWGVGVASRGKLRGLSLPIAKAPPVSREPKKSPV VARGRANSTAAVMAAHWVEQEELQRHRGPIDIPSVSHPISTPPSSYPGPGYGYLSMSQ PEQHSWGGAAMHYSSGFVHSPDAIPRYSKFPLPLITDGLSSSLDSVSDVDYLSPLSQT YSRDDMSFGRASSVMYDGYSGSQPSPIAQSPPSGLVLDHARVPTTSCPGLMYATSEQS SSLAAHMDPFDTHHLSHKLMRECDSLSVPPQVDVFEASCSPTDHGWASSHPREDELPS PRSEHASTRWPGGLREPESARVSQDLVARMPFFMDYYENTIAPSMVYIDGPHNPFRGH MLQLAAHSQSLQHAICALSACNLRMRRKLSLGQDTRELFDKLMAEKNMIDNLGDRQPD DPSLAEEFQHRNLAVNLLNEQLNDPVKSTYDSVLATILILCHYRMVESGVAKFHTQFA GVKKILAMRTERFGPSRDSAWVEALFTYFDAISASINDREAQLAPGADGDSPLLPLGA ENLVGCDRELFKTISKLGRLNLLSQHRPVQNMASSSLSSSTATRPGGNTPSPRLMVAS SPLGHSYKGGGGSVAGIHMQQQQQQQQHSHHSEQHHQQPQRPQLGDVYAMPPAHRFDG NGFGTMLDDDEMLASAMCTSAAFDDRRSLFWREWKDARLALQNWHFDAAAVAASLPGC PSASQVRDLGSLSEAFRYAGLLYAERLAGPGTPSSHTNLQNLVSQVVYYATSLEAGSA AEKFLLWPLFVAGSECVNELQQNIVRGKCRDIMGRSGYMNNLSALDVLERLWAGDFKA HRGGCRGPFNWAKCLGGPGTEAEWIMF UV8b_06870 MLLMDAGCQDRPLLVRWSVEGRGGMRGPRCNSDCRDWTGSRLAA DWQQTGGACRYSACLKFGPRGGEDSGIRTKDLSKMWDQQGHARRAATPPPRQTPPDPL PSNQPFHCGAPDDDDYTPLPPAPSNRPTRWSRSIANDLAAGDLVVAARQSCID UV8b_06871 MKSQSPLPCAFGPDSSPRTVLPLGFIPGAEMPNAKYASRWVFAS KDQDAVHSSILGFASARGSLKIYGETTKFPLQFLELTIPWTGTSDKQARRVLVTNILE SGTHERCQYPLEKSFGEIPAYCTSLSPPYRPFNIGLYSSEWPNRTRA UV8b_06872 MCLRKVCMWPCTPGSVPRLTRHAAEFSQTLSIVPKGNPRQVSRQ KPTPASAAAYVCDPTTPAFVLHAPATASLGAMGNSSSPPTPTIPIKRNPDSWALSC UV8b_06873 MLSTALLSLAALPLAALAIELPLDNQLAQQPGLIRYPITVTPGA PHKNSFLRRQVDVAVRPEQSAFFYSIELQFGTPPQPVSVNFDTGSAELWINPVCKKSN DAAFCEKLGRFNGSQTYVDLKFANRINYGSGYAQLEYGYDYVQIGSARIAQQIFGVAT DSEFTVTGVFGAGPEPKGWSNDYPMVLDNMVTQGLIKSRTFSLDIRSIGSARGSVVFG GLDTKKFSGRLEKRPIIPADKSPDGYTRYWVYLDGISLTPGDGSSVVVFDQVNGQPVL MDSGYTVSSLPTKYFDKIKDAFPGVTAPPKGDDSGMYRVPCNVGDENATVNFKFGKTE INVPYRDFIWKQEKDGSCVLGVVPDDKFPVLGDTFLRAAYVVYDQENRNIFVANNEDC GSSLLAIGTGADAVPSIEGDCSKADGTTSSAVSPSTSIKASISPSTTAPTGKNSTMTT TSKAAVLETTTTTLSTIASVEAGKNSTGVVKTDPTMTPGVTPAPFPTGSWYNATHLPH TYTSTFTTIELHNITSCALHSDGCSIGAVATRTIIGTTTWCPEKDGNPASKPTENTGP TVTAKPSLVSQPVITSVFITTKTHKIPSCPENGGSSCGEGGVSTEVLTLTTSVCPPST GIFTIPRTHTCGNTETGCTPGDRVVNVYVVTVLPQTTADKPTPVPGCGDCILPPPART LPPTTPISTTQPSMETPAPVPTGLAGTAGGITTFTKPAGTSPCRTCGSATNSLPPIAT AGAAGAGRVSGMAGGMLLAVLAVAVL UV8b_06874 MASATSFYDFKPLNNRGQEVSLADYKGKVVLVVNTASKCGFTPQ YGGLEKIYKSIKDKYPEDFTILGFPCNQFNGQEPGTNDEIQDFCRVNYGVSFPIMQKI DVNGDKASPLYEWLKSEKPGLMGLKRIKWNFEKFLVGRDGTVKGRWASTTKPESLEKT ILEELEKKE UV8b_06875 MEEKRNIVIIGGGVVGCTTAYYLTRHPRFNPACHSITLLEAGPT VAGGASGKAGGLLALWAYPASLVPLSYRLHAELAAKHNGAQRWGYRNLQCGSLEAVVS SQKLGRLQQAQDQGKAWETLPKQDGAAKELLAEAILPRELDWVDRDIITSWAEMGDPG TTETSQVHPFQFTTSIGELAQEAGVRIKTNAKVTKIATSKTRLEKVEYLDRNTGETVE LTDMTDVVVTAGPWTGRLLPRAKVEGLRAHSVVFEADVSPFAVFTNVQLPHDFVPEHR AKLGQKRLHRSRVDPEIYARPFGEAYACGEPDSSVPLPDTVDQVQCDEAQCDDIISYI KTFSPVLGSAPIKAKQSCYLPRHMRFGQESGPLIGPTTVPGVWVAAGHTCWGIQNGPA TGKLMSEYIFDGAPLSANVDKFDPRKFKV UV8b_06876 MGRELQKKKRRSNRQPVRQSNRTKKILNPRGNSVIAQNWDKKAT LAQNYQRLGLLARLKAPTGGTEKKLGARLGASKDDPFAIASVGRAVVSEAKVERDADG RIVRILGTADAKPNPLGDPLNGPDSGSDSGDEWGGIAEREAREDDGTTDVVKALIEES HNPAPRVARHQSDAEREWLGRLVAKHGSDTGAMARDLKLNPMQQTARDIARRLRKLQG A UV8b_06877 MGLEFDPDLVPRIKLGLHCAQIVLALVAWCMAIAVFSGKDAKIV GNNGWAFGVFFLTIPAWTYLIMTPRFARTRRFAEPHAMLAVDVVFTVIWLSAFAAQAA YNTSGLCGQVCGVSKGVVALGIFVCLFFGATTFVSAYTLTYWKFHGSLPGYDNRKLRG GDSNIDPDKAAFSMAPHGEEAYQRVDANDQDGSGSGSAYADAGRYGHAKPYSHGGEEE DDDPDRYGALPPRRTELFSQDTEYSSGGAGLPPASHTYGALRDDYDQEPAKFPAANYD RVVR UV8b_06878 MLSGVFSDQYEVGGHGTWGQTTGVTREQQREQQQARAATGTGKA STGPSASGRRCLVLATLDLIQLKHHAPHSTEP UV8b_06879 MTGSEVDASPVVGRADEESGQIDSSTERTPLLRPTRRDGDAQVP HANGSSLPSSREEEQTIIVKEESFGKLAVIMGTTWVGVFLGAIDSTIIATLSAPIASE FKSLSLMSWLATAYLISNAACQPISGRLTDIFGRGPGLVLSNVFFAAGNLICGLARDE KTMILGRVVAGMGGGGLMSISTFLGSDLIPLRNRGLVQGLGNVFYGSGAMLGGVFGGL INDHTRLGWRLAFLMQVPPVLLSAVAAYFLVKVPPKQSDKSYLARIDFPGVFLTSSFL ILLLLGLNSGGNQVPWTHPLPLVTIPLSVMLFAAFLWWESRAQQPIIPVRLLASRTVL AACFTNLLGTMVVLTVVFYVPLYLQVLGETATNAGLRILPFPIGGALLPVAAGYAMKR TGRFVALGIASMTVLIAGIVFLTLMGRESSVWLTTASFFCVGGGYGSMLTITLLACIA AVDHSQQAVVTSATYLARSLGGTIGITVGSAVYQNVLKARLWDHFGDLPNAAEEIGRI RDDLSELLHLPDGWYDGVIESFMDAFRGVWLTTLGLSIAGLICVSLMRHYTLHATLDR RS UV8b_06880 MLLSTSLNSCQKDSKFEASLFNVVYTPGNNSADINVVATSSVQG KVVFQLSVVVYGLPIITKTVSPCDIKGLDGLCPMIPGKTKLSFNVPVSSDATKDVPGI AFSIPDLDATVQVRMNLTDSNTQIACVEAQISNGKTVDVIGIKWATAIIAGLALLASG VVSGRGHLNTAAHVASNSLSLLGYFQAQAIIGLTSVHLPPIAQAWTQNFQWSMGIIPV HFMQRIFTWYQRATGGTPSNIFSTLQTISVQVQKRSLEMVERGGALLLPDAVSSVAAR LFRRGNTMTESGAYVVFGIQRVAFRSKIESTNLFMTGLTFFCLLIAFTAVGLALFKGV IEVCASKGVLAKHRFIEFRNGWRIILKGVMFRFCLIGFPQIAILCLWELTQIDSSAEA ALAVVFLASLSVILGWGTSKVIRIARRSIAMHRNPAYILFSDPQTLNKWGFLYIQFRA SAYYFVAPVLIYILIKAMFIAFGQKAGLAQAIGLLLLELAAVIASSVMRPWMDKPTNS FNIAIYVINFINAVFLLVFSDVFHAPGIVRGVVGVVFFILNATFSLVLLIMVIISTSV TFFRRNPDARYQYMADDRASFMRSQNHLNTTTELDALAVTARGDKGNFKSGLDLEDDD GHLHPQHSVNRSASPVSPSMPLFPASREPSPFRSAPPAATTTTHTSPPPAGPRQVNNA SPWKRGAGYE UV8b_06881 MSARRPLPCGIYAPTMTFFDPETEDLDIPSIKRHAKRLAQAGLA GLVTMGSNGEAVHCTREEKLAVTRATREALDEAGFSSTPIIMGATEASVRGTIELSRL AAGAGADYTLLLPPSYYRAQVDDASVVGYFTAVADASPLPVVVYNYPGAVAGVDLDSE TLVRLAAHPNIVGTKFTCGNTGKLTRVAVGTDAKTPFHQGSGYMAFGGLCDFTLQTLV SGGSGIIAGGANVMPKVCVRVWDLYARGDRDDAQALQKILSRGDWSLTKYAVAGTKQA IQLHYGYGGHPRRPLGRLDDAKLRLIEEGIREVMEIEKSL UV8b_06882 MAGLNVLMVGTGEYTTGFVDGGMSGSDKKVGVVGLTLFDLRRRG KVGKLGMVGVNGTKFPAIRQHLDKHITQVYNGLDTSFESFPADDQRDAEAYKSAIDGL RPGDAITIFTPDPTHYPIALYAIERGIHVLVTKPAVQRLDHHQDLVRRARAKGVHVMV EHHKRFDPAYADARFRARSLGAFNYFYGYMSQPKSQLETFRAWAGRDSDISYYLNSHH VDVCDSMVGPLGYVPVKVAAAASTGVATGLGCAAGTEDTISLVVTWAQRRGAGAGAGA GVGVGVGVFTSSWTAPQRAGVHTSQHFHYLAAGGEVRVDQARRGYDVADDAAGQVQWL NPFYMRYAPDEDGNFNGQSGYGYVSLEKFVDGCRAVNEGRATPEDLDAKGLPTLANTV ATTAILEAGRRSIDEGREVGIAVDDGVWRLT UV8b_06883 MTIETKKEKKEKKEKKEKKEKEKKEKKEKKEKKEKKEKKEKEKK EKKEKKEKKEKKEKKEKKEKKKKKKKKKEKEEKEEKKRDEEGEEGEEGEEEGEEGEEE EEEEEEEEEGEKDKEKGQEQD UV8b_06884 MAADRPRPRPLAPDDILFPPPPSSLSALLGSLRRSALSTHNRLA SILADADFVQRAASSLRRPLVANQRCGAWYLPPGAARASAYFKSTDGHERAWKFSTRR LNLHLVDLAEQHDGLIVVDSTRRGKRMPDALAATVPIWCAVLNQVLLPAHPLSAQLFL PPHLLATTHDQIAALIPSFVAALRDLRLPSLPTSLTKPLRPLWITPSSALPPCSPSSS APIFRDYRPVICLTASRRVPHGADPRDGYVQGAADDTENWAHGLTPALFWAHADLLLR TDEPLLPDLIARLVRQDQLARQEQAHDAGSRVPLAPCLSVCCLPLAAPGGSPDGSPDG CPDGSAAADCHVALTADAPTPSATWVKSRNYMQVALGRSKTASRNLRLALPDICRFVA AFFERSGGPPPEQCRLVVACDSGRDISVGTALALLCYLFDERGVFRAPDGNAVFTKTL VKTRLGSIMTAYPAANPSRQTLQSVNSFLMDWTR UV8b_06885 MAKINTLLFDCDNTLVLSEELAFEACADLINKICAERDIKKTFT GESLIVEFVGQNFRGMLTTLQQENGIEIAPDDMEKYVRMEEDAVIAKLKASLRPCPGV DEELEKLAASGKYLLAVVSSSAFRRVKASVEKVNQARFFKSPGGEYLIYSAATSLNPP TSKPNPAIYLHALQALGKEAGESVAIEDSKSGTLSATRAGIKTIGYVGPYADDKKADM EKVLTDAGAVVIMRDWSEFPAALQKIESAQSEAR UV8b_06886 MVKETKLYDTLGVKPDASQDEIKKGYRKAALKWHPDKNKDNASA AEKFKECSQAYEILSDPEKRKVYDQYGLEFLLRGGAAPPPDGAAGGFPGGGMPGGGFG GFDFGGGGMPGGTRTFHFSTGGGQGGGFNFHNPDDIFAQFMKQQGGGFGGMAGGGDDD FGDVFSSFAGGRSGGGRPGRTRMRSSGAGEPRQREHTPEITTVERPLPLTLEELFNGV TKKMKIKRKTFDEAGKRVQTDQILEVPIKPGLKKGSKIKFNGVGDQVEGGRQDLHFIV GEKEHPLFKREDNDLVHTVVLDLKEALTGWKRTVTTIEGKQINLDKSGPTQPGSEDRY PGLGMPISKHAGQRGDFIIKYKVNFPSSLTPAQKQTLREIL UV8b_06887 MASRAPPSEEEISQVIDFAGLNPHDDRIMVIQALKENGRNVEAV VMQYFDNPESFRQKFTQLWNDNMFSADRDGTVNHTTGISFHIESVNQNDVIRGITPPP DAYGSTAPSRPPSRTDNRSPLGRMVDWQAADAPTASIGPSREDEDMQRALRESAQEAG IGLLDQHTGVMDASTSSLPTFGPANRDEYDQESWAMVPSAPPESRAPTAPPPSRRKRT PGAPAFLIQGVSSVGNHGLGGMLTVLHEIPLARNALLEVGAPAASYGFNSEWWKGQEI LPPHVLARLQAGDLQWGQQSEAKPDMEEEIHRLMAFLDSTDRSYGSVSVLTDLLPCPS LGPEKQLYEYLGPRNEEKLKPLIHSAVLAPVIGDELGDEEARFGLLEMEHLRGDYSYI KTMYESLDHTMWSDAFSYNEIHEGSKMAMFKDMGEVLAIKLNGDGPEDSIDIPEKLYL EKYQTNRKDEARRIQAAWCETKSAIADIAKQEQKLYEWRNDWNDDVFDRKQMIQRAIG QWQAYRDYLQSLGRFRQMEASGFDTDKYPDYRMAPCVIPEDMEERCRTVEDTLQLNER MLGDMEAKMKELTAQQEQIKVRQRFLGQLLTQPDKAGRPRPMTCKEFLLRGVVTQSDM VYVCQRAEPDLIELGNQARGKECDQWWRLAYNANDGQEVKTEKVEMERVLREVWHETK APLMIYATEAALDAARTTLSAPLQRFVRADNKAFQQELNQEMVDTSENEIKPTTGLME PMSPTKRKHRADSVDSMDSNRASIGSDDGRSGFDNPFEDSQTSSATMTATGTPAATAV ADCSIDAGPKFLGSIDR UV8b_06888 MAQKRLMQELQALQKEKWVYVEPDAHSLLLWKVGLWVVNPDSTW HGAYLKAEIRFPTDYPYQPPTFKFLTQQVCHPNVFTDGIVCISILHRPGDDEQSGELA SERWNVLHGVESVLRSVLLLMDNPEINSPANVDASLTYRDNRDVYNSLARQVVARSQK DIPGGARMPTPAELAPAPLKPVEDDADFWNMTDEEEDFGGSDSDEGMGDFEEEEEEEE EEEEEEDFDDEDEDEAEAK UV8b_06889 MAWQATGAWKTSLNKDPNEQDSSFPGLKPTTPDTTAKIEYEELQ QNELLALEAIYGDDFVKHSGTRTAWKKTEPEFDIRIRASTDHDFAVTVGFVMTATYPK TPPLLTVKELDSLREPTQFKIQNYVDTEPKEFAKEEQEMVDRIVEGIRDILEDAAQVK ASGKQLPSLEEEREQHEARLAILAEQQKEEEERKKLEETKEEERVMSQMLRQQIDRQR QKAKESRTNRRPNGLHGQPSDHSVSDSEQIDFDQLCHTTDKEGNILNFRSVAGKCDPR EGKVATVYTVRPILGTGQGNQTLALKEATLRAGIKEPKEFKKQLQSLESRLQDLKSTK RIHHRHLVDVLDFKVESGTLTNAAASNAWTVRVLMPMAEKGSLGELLELAGHIEIGKV RSWTRDLLDALNFLHNHNVAHQDIHPGNVLLFRESTGEIVPKLSDAWYQREIHDAYST RADLPGLSSAKSAYWLPPEIAGQSNPQYTYKTDIWEFGIVFVQMIFGLNVLQKYSSPR NLMESLSLSQSLRELVSRFFKDDKQKRPRPFELGSSEFLATDAPVFRDDSSATLSTTP SIMSLHVLPANLRRDSMTRGAAVSRYTEDFVEEGRLGKGGFGEVVKARKKLDGQIYAI KKITQRSHASLTEILKEVRLLSQLSHPAVVRYYNTWVEEVADVTDAGDETSIDDFTGE TRGTGSAGIDIHFATSTGGLDFISSNAAVEFGYDDDSDNSDNYEDSDDDSSSTGGAEG NRASSPAREKQAFNVKRARFQRPYRTILYISMEYCEKRTLRDLISRGLHKNAPEIWRL FRQILEGLAHIHGLSIVHRDLKPENIFISSGIDGVDNVKIGDFGLATSGQFSVDRAAA NTLGTDDMTRSIGTAYYSAPEVRSAVNGMYSTKVDMYSLGIIFFEMCYQPMLGMQKAD VIGQLRRPTPVLPSDFKPAEKTQTEIVLSLVNHSPKERPTSSELLKSGKLPVQMESET IRRTLAGLADPSSPYYRKMLSTLFARPVDAAKDYAWDLFASTPNNTELLHQGLVKGIL MSIFRHHGALEMPRSSIYPRSGHYGDNVVQLLDPNGTVLQLPYDLTMGNARMVAKQSG APTVQRTFAFSNVFRDKQDTGQPNMFGEVDFDIVTTDALDLALKEAEVLKVIDEIINS FPSLSQSQMCFHVGHSDLLQLIFEHCGVETACRRAAADVLSKLNIHSHTWQKVKVELR SPAVGMSATSVDELQKFDFRDTPNKAMSRLKTLFEGGDMYQRASSTMAHLKEVAEYSK WFGVGTKLYINPLNSIKESFYTGGILFSCVYDKKVKDVFAAGGRYDHLIKEQRLKTGG NYEERHAVGFSLAWERLARAPKPGAKSFLKKQETEPSAVFNERRCDILVASFDMALLR STGIEILQLLWDHDISAEMAKDARSPEDLLAKHRDENYSWIIVVKPESMLKIKTMSRK DVPDVDLPLARLMSWLRSEIKERDARAFSKLRGSSAQAGDATGSNSAERNQEQDVKVL VAGTKSKKFNRRQVVDQAQASAANLVRSFLDGPILAIETTDQVIEMIRETDLSDHESW KKVEHAVTMTERKYVRELHVQLDTWRTSFERTGSCKHAFLYNFRTGTCIYYDLGG UV8b_06890 MLGWMLKRGGDNAPELGDNDGDTTQLEQPDTPAPVFAARALKSA LFGTPSKRTDDRRGSRNKTLAGKVKPASRLASETPLKPQGILLTPGTGTSKRKRVSFG QDVPGGSVTELGRISELEEPTEASEQTAPDQANASDDEWEEEEEEEEEEEGDGNSRDV TVDLNEPHSQSGQYWKEEFLKYHQEAKAEMEKLLKYKQLAKSYAQQKDAEAIQLAERL RDEQQKVIKMEKKIAENATQIVSQSQQSSAEESAELMGKLAKQSTLAAQYRHRVQELE VQMEELRVQRDNPSHNETPRRRLASMPSISATQKTLTETRRELRRARSQLKELDSLRD EVFSLKMQLKKAELRLVVNEKEQAQAGSGPRAQELRTLLKVAREESKRKAEEIRQIRA DFEKFRTESEAHDADTRAVLERAHAKISELKKEVKSLKAAGATQNKPPASHPSRAGQE EVRETKSDELIRDTAQTVERRSLDGTMGRKERPARGSRTLREKFKDDAAIAWDSRPVV TGQGITLEQPKWQPFVPRSPRNRALVSANPASRPPGEKSTRKGIAAPARASLSKTWSR DTDKAGSDGEDPIDLLSDRFARLGGPEANRHVNSSLVGNTSKSTLPPERRAAALARIE KRMAEKKRLRSRSGACDKENVRP UV8b_06891 MDPIPQGAGPEDAADAVRHEIAQLEERLAAAKARLPKTAKTAAT PPPQPPLDSPTHYLLLLSDSQLPIGSFAFSSGLESYLAHSHSRPPRRPSFSAFLPLSL SSFAGTTLPFVLAAHRDPSSLVSLDDQLDAAVVCTVGRRASVAQGRALLSVWERSFRA ALPAGATHPPALGELGALLRQAPAGGGGADGVPAASAHLAPLFGVVCALVGLSLRQTA YVYMVSHAKALVSAAVRAGVFGPYQAQNVLAGEQVQSMIARVIDREWDTPVEEAGQGV PVMDLWIGRHEMLYSRIFNS UV8b_06892 MDNADSPSTGTAPPPDATAPTPDATADKKMVRKVIRRKKRPARV QMDPSEFSSEPPPQTGTTYNIWFNKWAGGDREAYQQTKARGRCNVARDSGFTTADKVP GSYFCLRFARGICPRGQDCTNLHRLPGTFDLFNPNVDCFGREKFSDYRDDMGGVGSFM RQNRTIYVGRIHVSDDIEEIVARHFAEWGPIERIRVLNSRGVGFVTYTKEANAQFARE AMAHQSLDHDEILNVRWATADPNPMAQAREARRVEEQAAEAVRRALPADFVAQIEGKD PEARKRRRLESAYGLEGYEAPDEVHFARGRNAVNPVGRRGYELEHQQRLMIADGQAGS EAAPEAGALSSSQPPAPAPEENGIFSGSTLAALSKANLAAAPKPKATPSAGPLVSYDS DSDAS UV8b_06893 MVNITEKIKEIEDEMRRTQKNKATEYHLGLLKGKLARLRAQLLE PGPGAGGGGGSGFDVSKSGDARISLVGFPSVGKSTFLSKVTKTRSEVASYAFTTLTAI PGVLEYGGAEIQLLDLPGIIEGAAEGKGRGRQVISAAKTSDLILMVLDATKKAEQRAL LEAELEAVGIRLNREPPNIYLKPKKAGGMKITFQTPPKYLDEKMLYNILRDYKMLNCE VLVRDESATVDDFIDVIMKDHRKYIKCLYVYNKIDSVSLDFLDSLAREPQTVAMSCEL DLGIQDVIDRCWRELRLIRIYTKRKGVEPDFQEALIVRSNSTIEDVCDRIHRSLKDTF KYALVWGASARHIPQRVGLGHMVADEDVVYICSGWRA UV8b_06894 MVLADRDVNAPVEQPQQAAKDVKSMEYHRQVFHSKMATEPTKQY VSPSDNIMSPCTAKINALRNKHASKAKPKSLFAQASAKKLTGDNALGARSIQQ UV8b_06895 MSARIPAVVSHLVSDEAKRMIDVVAKFVEEDCIPCDPVLEAQVG QGDDRWEAHPAIMEELKHKARKLGLWNMFLPRGHYAESPGWTNLEYGLMAEWLGRSRS ASEACNCAAPDTGNMEVLAKYGSDAQKQRWLKPLMEGRIRSAFLMTEPQVASSDATNI EMEIRREGDEYVLNGQKWWSSGAGDPRCAIYIVMGKTDKANKDPYRQQSVVLVPADTP GITITRMLKVYGYDDAPHGHGHLVFDNVRVPVSAVVLGEGRGFEIIQGRLGPGRIHHA MRSIGAAEVALDWMLMRVNDERKKPFGKLLREHGVILEWIARSRIEIDSARLVVLNAA HKMDVLGPKKALKEIAQAKVLVPQTALTVIDRAVQSYGGAGVCQDTPLASMWAGIRTL RLADGPDEVHLQQMGRNENKRGKEATLKIRAQQAKTAELLKKHGALTAQPGTRIRHAA KI UV8b_06896 MSSRKKVLLKVIILGDSGVGKTSLMNQYVKKKFSASYKATIGAD FLTREVMVDDRQVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNAKSFEALDSW RDEFLIQASPRDPINFPFVVLGNKIDVEENRRAISNKRAMTFCQSKGDIPYFETSAKE AINIDQAFEVIARNALAQEESEEFSGEFDDPIHIQLDSDRDGCAC UV8b_06897 MASARTAAAAAAAANLPDILSKVHHLSDLQLALLLCLVSREHAI IATPSALTGTLVRELSLIATTTFGLAPSVVRCTPRTSLDDFVSALSAPREPPPPAAAA RRPDYFVHDARLQSSAAAAAPRPGPGPGQVAGCVVAENLHLAPRPVQLQALELLRTRR VFTHTAVLAAPKRFLFIPVLAAEAPGRGGRLNPHLNDAFSMAHWHDPGQGFVHVEEAE EHAERASMESVVRKDAGAPPGTVSISEADVSLLARLSQQVEMDIDVVRYQMNITSFLR MHRAVRDGISPAATKHFDKLVRCLAPLHNMDYVTPALVGMAAKKIYLHRIRITTPENE RSMQWGSTLAAVAALLKDVGPEQVVDDVLDMMSSVTDCQAGLPQPKNSSCLLPRQRLT CFMTRTTKDNIIIPPSAELSQSLSRLPHSVILREHHRGSFSAR UV8b_06898 MRKQSVSLPTRHFAHDPYEKPGRYENGRPQGALARMKEQWMTQS QRARWVKTAAILFAVCLVFYWLSPRDVAVHDAVAHKTPSTGGSSSSSSGSGSGSKFNN NGKDVTLSDGPDGTARCSKSYSKDKPLVQYVLMIDAGSTGSRIHVYKFNNCGPVPELE KEEFEMTKKEVGGLSKYADDPVAAAKTLDPLLAVAMKHVPDRLKGCSPVAVKATAGLR KIGPEKSEAILKQVRQHLEHDYPFPVVTDEKGGVSVMDGADEGVYAWITTNYLLGKIG GPDKSETAAVFDLGGGSTQIVFQPTFKPAKNGGMPEKLAEGDHRFALDFGGQKFELYQ HSHLGYGLMEARNAIHRLLVNDMAKAKNGDKSWESKAIVHPCITPGRTREIEVEFDKE TKKTYNFTGPAEPSASHASQCRSLAERILQKDKDCKLAPCSFNGVHQPSLSKTFAKED VYIFSYFYDRTHPLGMPDSFTIRELHDLAQTVCKGETGWGTFDSVPGALEELKGREQY CLDLNFMTVLLHHGYDMPIDREVKIAKKIKGNELGWCLGASLPLLEAGSGWSCRIKQI S UV8b_06899 MFRLLTAPRARSSILFNKMPEIVVRSRHLPGKNKMLKCLLLSGL AGLSAAQFPPPLEGVKVLKSKLHENVTISFKEPGLCETTPGVKSYAGHVHLPPGLLDD ASGEKQNYPLNTFFWFFEARHDAENAPLAVWLNGGPGGSSMMGLLQENGPCFVGKDSK TTVHNPWSWNNHVNMLYIDQPNQVGFSYDTPTNVTVRTHLDQSLEIIPTDFSETSPRV NLTTRVGTVSSQKSLYTTNSTAQAAHALWHFSQIFFTEFPHYKPKDDRVSMWAESYGG HYGPGFMRFFQQQNEKILNGSIDVAHAHFLHLDTLGIVNGYVDAVIQEEASIIFAFNN TYGIQAINQTVHDALMHNYTRSGGCRDQIIQCQKELVGLDKSIVHAAKELPRTLCSTM QDACLSSGEEAFQESNNARFDIAHPKHDPFPPPHMHGYLTDDKVLAAIGSPVNFTWVS ETVSDNFMSTLDIVHGGFLDAVGYLLDSGVKVHMMYGDRDFACNWIGGEMASLAVPYS RAQDFKRAGYADMVTSAGVGGLTRQVGNFSFTRVFQAGHEVPMYQPEAAYEIFMRALF NRDIPTGKVPVHDELSTVGPSSTWHVKQTAPEPPSPRCYVLMPETCTPETWERVMAGE VTVKDFFVAEDEHHDGEL UV8b_06900 MEVQLLVYDLSRGLARQMSMGLLGFQLDAIYHTSIQLSGREYVY DGGIVAITPGSSHLGKPMERIPLGTTNLPMDIIDEYLDSLRPIFTVEAYDLFRHNCNN FSDSFANFLVGRGIPSHISSMPQAVLDSPMGRMLLPQLVQGVNARRSNGSILGLEQGA AAAAPSHGAKAHGVKTASTSDQLSQLLHGATQSCAIVFFTSATCPPCKMMYPLYDQLA DELGAQVTFIKVDVSQPASLDIAQNYSVRATPTFVTLLRGKEENRWSGADQAALRGNV QLLAQMAHPHHPHERLRLPTFSNPAVKPILYSKMPPLAKLDAKMGDRIANDARLKKLK QFIETRDSVGPQDALLPDLEELSGFIHEAVSAAAPEIPFAVIDLFRCALADPRVSAYY AEESSHRTIEAVLGAVNSQDSCPYALRLVTVQLACNMFSTPLFHAQALRNATLRTSLV RLVSTSFLDDAHNSVRVASSSLLFNIALANRRARKGGADDALPEKDEVELAAALVEAI GQETKSMEALQGMLSALGHLVFGTSLDGELADLLRALDAQELIKAKRKQFPKEKLISE VGDELLGNGLRKP UV8b_06901 MAVDADAQDSLPPTLKPQLDQAAVDQREREHTPKPNPVVQKITE CFPPASKMLGPKDDKAHEQAHPPGPPDRPEHDAKIERFVRDQHRSKQPGGDLVEVAQD GGS UV8b_06902 MAPIPITIITGFLGSGKTTLILNLLPQLRRKNPAYKLALLKNEF GDLAVDSQLAASSAIAGVQEMLNGCICCNLVGQLGPALDELARTVRPDRVVIETSGSA FPATLALEVNRLARESGRYSLDGVVSVIDVENWQGYEDTSYTARIQARYTDLIVFNKW EAAGEDRYERCLDRVGDLEVDVAKVKSAKGYVDAGLVFGVDGALARELTEDGGGGGGG GVANGTLPHTHHQSEVEVLSVELRADAPAAVVATDKLLALLRAAPKDEAYRIKAVATL SSPPADSDADAPPPAGHPAGRYILNWAFGRWTFTPVPQPRQEHESSRDATLRMTVILG RCESAKWKKKLEAGGYIALQGPDRGELSMNDDMTTRQRQAIPQICVDSTIEQYTQAQC PTGRETFFSPPTKAIPYIPRLSIAAAVAHQRNPLPRVLRISKTPFKNSDSHRTARWPC PPAHSVCTPSRV UV8b_06903 MPASPCDKGDIAVNGMGTTKGSKLGLVSGVYIPVCLNIMSILMF LRFGLILGQVGFLGILALLVTAYCVDLLTTLSLSAIASNGEVKGGGAYYLISRSLGPE FGGSIGTLFFLAQALNTALNIVGLLDCLQLNFGSAFAQGYWTLYGLQTAALLFCTGMC LLGSATFSKASNLLLAILTVSVVSIPVSAIFKAPFVDRISGVTFTGVNLNIFANNLFP NSDKTVYRGLATFRDLFGILFPATSGIFAGASMSGDLKNPSKAIPKGTLWAMLTTFIV YFLVALSMAFTIERDSLLANANIVSLTNLSKGIILAGECAVTLFSALMGIIGSAKLFQ ALARDKLLPGLSILGRGTKKSDEPMFAILLTYAIAQVALLADLNQIATLISMGYQMTF FVMNLACFLLKIGSAPNFRPSFKFFNWQTACIGSLLSASAMFFIDETYAAIAICALVL LFLLIHYLCPPKRWGDVSQNLIYHQVRKYLLRLKPEHIKFWRPHIILLINDPRRQARL IQFCNSMKKGSLYVLGHVIVTSDFDSGVHEARLQQQAWSRYISEFSRIKAFVQLTMSP SIIWGVRNLILAAGLGGMRPNMAVLGFYNMEDLRRSNPSVPVPGVPASPAAQTSRRTR TQGKTPNRRRRDTSALLLESVLPTDAIRTEGMMSPTEYMTMLEDLALKYRLNVAVAYG FDKLETPRHDETKSKKYIDLWPIQMSAEVSANGQNVLTTNFETYTLILQLGHILRSVR TWRRVYAIRVMVFVEYEHEVDEESARVKTLLEKLRIDAHVLVFCLASANLNTYELIVN GETKDDDTEIVVAEALRDEGWWEDLQGLRRQTGGLSASQELSQLAHILDAAGNVGYNP HEENGGHRRRQSMAEVMEMPRRPNIARLFKLGVNMGIHTTHINDEVLLDSSSDADTDT DTGESSDDEAYSMRHDCPLLVAGREGRGPASHGLRRPTTQADRQSSYPAQTIASAKYG RGRKPGSVDTATTRLSYGTMSASQTIAPAIPETAAASHVPGMAKTGVETPEEAGAKES SHMESFPTLNPLHCSEPTSASPGRSRSTSPPRGDGLVSRDDNATPTTRPSISRQLSAA RFSSRPVPETKITAEAEGSSKISFAPCASNPPTPRAADRPAFSRQSSLGKFSSRPLPE TKVSGEEGARTMSFAQRPADHSRQNSQLSTAGQDRPHGRPQHGRATAESAASPVSDAG GGGGGVALSFNDLPSRAQHLILNELMCRNSRDSAVLMTTLPIPCEGTSLDEVSTVQYL SDVEVLCKGLPPTLMVLSNNLTVTVNL UV8b_06904 MSLPRDPVSQGPRPSSPLPGQFRSFSSSLPRDEVTARLAGPVHG GSPARGASPALGAEASHTGETAPLGGSFGQGPGVSALAAALSNSLGQSPPRYGTPAAR ISTPPRSRSPAVAGRSATPTQVGSFDSRSRFALGGPGSTGPYEDPEIVKRHLVRPNEA ENISEESSLQGTIKGKQSSDTGIGNANDEEFSSLQLQGGDVTRGIYKWTEQAEAKSRL NRSKSYDEMRPEPEQEVLDINSIKVPGGFRRDHLRRRAFSPSGQLSEQGGNGISFPGT LDQPRLFTSSFLEFLTIYGHFAGESLEEDDENLGPNEIWDSGEDHEDLDDEPTEDSAL LGPSKRKRKRKPRGGSGQNSPMNAALLLLKSFVGTGVLFLPRAYLNGGMLFSNLVLIF VSILSYYCFVLLVSTRLKIEGSFGDMGGILYGKWMRFLILASIVISQIGFVAAYTVFT AQNLQAFIRAVSNCKSFISIPLLILMQTAIFLPFSLLRDIGKLGFTALIADAFIMIGL AYLFYYDVITLNTNGLADIIMFNQKDWTLFIGTAIFTFEGIGLILPIQESMKHPSKFP RVLFLVMVIITVLFTVMGAISYAAYGSKTETVVLLNLPQDDKFVNGVQLLYSCAILLS TPLQIFPAIRIIETELFTRSGKYNPWIKWKKNIFRFFMVMLCSGIAWGGADHLDKFVA LVGNFACIPLVYIYPPLLHYKAVARTRMWRVSDIVLCIFGLAAMAYTTCLTIMSWANS GPKSPGYCDGKGR UV8b_06905 MSSYAEQVLVCTGRDDWSSRIEDERGGDNLAADLKELFGRGGTY SDPYHNISVLNSSFPSSPPPRTQAQSASAYLLPSFKYIPFLPRVSFDSVQALAKGYLL PEKLHPAHDCLSPIHRDRLTRKTAYQRLLLGVQDVADVLVLICGHGGRDPRCGIFGPL LRDEFEDKLAKARLRVARDAVRVQLGQAEDTTASAHARAIGDGAVARVGLISHIGGHK FAGNVVIYIPPASRTRAGEQHALAGCGIWYGRVEPKHVEGLVRETILGGRVVEDMFRG GIDSKRRLLSI UV8b_06906 MGRPALHAAAASPDAQAPRPDRKFEARAFPTTLIVAAALLGGAS YHLFAPAGRPATLNQDTFVPYAITGRDVISPASVLLTVRPRRRDTAPPYLTPGPEARW KHALWSVEFKQPELQIARHYTPLPARDGHDDDDDDDDARAGTLRFYVRAVAGGEMSSY LGRLPVGSDVHLRGPHAGFDVLRRLGARRRVVFLAGGTGIVPGMQVARAVLDADAGAD VQILWAVRSAGEVRAAAGAGEDAAAPWPPRRGFWGGAARPADLTPGMEGATAIAAELQ ALQARYGDRLAVRVAVDELRTRFTAGDVQSAVGRGGSTPAAGSGRACKLHDQLLHQQA TELEPAAMPCRCAPASSPGKNLFIVSGPEGFVAHYAGQKIWRDGVLTQGPVGGVAAQL QRENASFANDWLVLKL UV8b_06907 MSSTPPYRPTEPPESPTQDSDSDLDIDVNELDPISTENLRESHR NQTPSAADPNPSRIALRNLRMGGLRRANKRGGRGYGELGQNRDDVNEYARGVSDDPDG TGASHIEDDAPLLGRHSRSHSRQLSISSIRLPNFLSGKLQQQQEHGEEGSVEDPEDDP STSRRVAVGSTQFSRYPANIVSNAKYTALTFLPITLYNEFSFFFNMYFLLVALSQAIP ALRIGYLSTYIAPLAFVLCITMGKEAYDDIERRRRDNEANSEEYRVLTFADPGSRPAI VRPARRLLKSDSYSKRASRRQREARHDLTDIQEEDDTYHPSSFVQEMNRKSKDLKVGD VLKLSKGQRVPADVAILQCFSAESTTTLTPPKEPAEEETLLAFTDNESQSKGKQLGID TKKGDADGGGGSGETFIRTDQLDGETDWKLRLASALTQNIPAEEFVRLRVTAGKPDKK VNEFAGTIELLDSKQDAASHHSVIHRGDDSNSAALSIDNTAWANTVIASQGTTLAVIL YTGPQTRSALSSSPSRSKTGLLEYEINSLTKILCALTLALSVILVALEGFQNTSGNVW YIKIMRFLVLFSTIVPISLRVNLDMGKSAYSRFIQRDPGIPGAVVRTSTIPEDLGRIE YLLSDKTGTLTQNDMEMKKIHVGTVSYANEAMDEVKSYVRQGFHIQPTTDPSSQTMLV TPSLNFSTNMNIGATRTRREIGSRVRDVVLALALCHNVTPTVDMEDDKEVTSYQASSP DEIAIVRWTESVGLKLSYRDRKSMTLQSTETGRPVVRVRILDVFPFTSDGKRMGIIVH FLDDMERNNASLDTGEIWFYQKGADTVMGSIVAANDWLDEETANMAREGLRTLVVGRK KLSHRQYQDFSSKYRAAALSIANRDAGMQHVVSHYLENDLELLGVTGVEDKLQKDVKP SLELLRNAGIKIWMLTGDKVETARCVAVSSKLVARGQYIYTVARLKRPDAAQEHLDFL RSKPDACLLIDGESLQLLLTHFRIEFISMAVKLPTVVACRCSPTQKADVAKLIKGYTK KRVCCIGDGGNDVSMIQAADVGVGIVGKEGRQASLAADFSIEQFHHLVKLLVWHGRNS YKRSAKLAQFVIHRGFIIAVCQTMYSIAIEFEPEGLYKDWLLVGYATIYTAAPVLSLV LDKDVDENVANLYPELYKELTKGRSLSYRTFFVWVFVSIYQGGMIQGLSQILTQVDGN KMVAVSYTVLVLNELIMVAIEITTWHPVMVLSILGTFLVYIGSIPFLGGYFDLKFVIT WGFIWRVFAIGAVSLIPPYAGKLIRRAVKPPSYRKVQNT UV8b_06908 MANEPARSWHPAMMPNSAADLVEKVPETEHKSVHGKVQSNRNQD QDPGDAWPGQQEETEGHAWLDSEEDYPMQVGESPQLPEVTRNAEAEKASQSSQTPTPK AATEVESTVNASVTNEDDGVGGAWLLDEEPTIEPAPVAEQREPSQETLAGHPDLESSN QEPTLDMSPINVKPKPEAFTPSAAQHSSSMSFARTVSHEINFGDDDDTELSSEKATTD AFQFMPPKERTNSFPPVPPRVPQSDARDDLPLPCNQATYIMEEDEKDAEIAAKDYLMR SPEGESSPSWRRLDASHPHHATVSRSVGGDLDEAAPTAEESRFGEGLPLIPHAPAADE HAERNVAAKPDAFHDERETEDDFFGQIQDKPAEPVPEDGTNLLQRKSTLQVIEALGDG GLFIRQDSPHEAIGAHVDEAAQGVALGTLETMKQEPEPATEDLASKWEQAFGEGDEDD FLLEDSAEENKDFDATAFLGSDDEGFLEDDADEPNTASVTAQPYQQPAVNPYAPVVAT PLQTAPYAATASATAPTQAYGYRQQTPAAPNGIAAQHGLAPEPPRLDATTRTESFADK SKGGYSSPYDLPTGLIKAVKPRKRPSLQQLPSERAPPPPRSASMYSPGMSPAASFPPT SSSAPPPTSQQPQGQPPLSQRTSATSLHSKSSFFEELPMSSRPRPASRQSHSSPTVGQ YMPAKPPQAVPLPSPSHIVPPPVSRIAPAPGPEAVHALPATVQSHGTKEEVQKSPGIA NLVAPPKANPYAALQSHPTAMTPSSSNSSRYSPALPGQQGGGVSISSRYSPAPSAGSR PNSSYGSGPSHNVLPHLPRTSSPLAHFETSSATESQNSERRANASFEPRLNRVASLPP TREVDEEDEEGVSTGNRSFSASNAARSPGISATETRYSPVPGDVSAQRTPLVSPGVSR PIGASSPPKRASLSHVPQVSAGSVNPASSFASPSGGQIQSSTAIHPKPLRGSKQADYG PRPPSAHSPTAPGMAKPYQPLHATTNPRNRGQILASSMPPTDGRQHDPLERWRGAPVM TWGVGGTFITTFPKSTPRYGIGQTAPTMIRTVGEVKVQNIKDIDLLPDLLAKFPGPLK GKSKKKEAIAWLSAGIESLEKEIPDVSFQPQLSLETKRSFERLLLWKLLRIFVEHDGV LEGTPAVDKAVREVLAPETTELRNEGVPLFTGAETAGSADTSMKADGVDSASIEKIRL DLLKGDRESAVWAAADKRLWGHAMIIAQTVSPELYKQVSQEFVRKEVNYPGHNNESLA ALYKILSGNYDDCVDELVPSHARAGLQLVSTEVSSGPTKDATAGLDKWRETLTLVLSN RSRDDARGLHALGKLLSSYGRTEAAHICFIFSRSLSVFSGSDNPECDLVLVGSDHSRQ QEQFAKEAQALQLSEIYEYGLALGGSVAAAAGAPHLAAYKLQHAVVLAEHGFREKALQ YCDAISSAIVAQTRRSPYHHHIVEVCVEDFMTRLKQAPKEASSSWISKPTMGKVSDSV WNRFNKFVSGDEDGNGHTGADGDNGPFARIASSPSISRPPSTSKFDVYGSSPSYHTTL AGAATGVAASRYGPTSTPLYANDNANPYAPVAQAQHAPASTPGITNQEYASSPYEQNY PGNTHAKSYFDGYHPVSYSGTASSGYQTVESPAMAHAGPASGPQPTLTDGNRPHQLQD SPVIHHQQPKGDNSNNGYRPSAYGYEAHQAGAAADSNQQEEAGSSGYEPPSSQSYGYE PPSYQPDVEEDDAPKPKKKSFMDDDDDDDIPALRRPQEQSKAEKDRENEEMFRKAAEE DAKRAAAAAATKKGWGFGGWFGSSKKPEGSIGESSPGKPIKAKLGEQSSFVYDPDLKR WINKKAGAENVEAKKATPPPPRAGSRSASGTPPPPAGAPPATGRASVPPMAVPFRSIS STVTSTQSTDNSTARSSPPSAMQRSASATHVETERPPTAPPSRPGTSMGNASSIDDLL GAPGPRKAGQKKPRKSGRYIDVMAK UV8b_06909 MRFRTELKNIRTFAKLTAALSSLEKIAWVRLSDDIARFTVIPDM GSQVWASLSMDLIFESYHIQSADSHNTINLELPLQPLQRALKSALNSISASLRLTKKD GLPILSMTITTTTSHASSAAAAAAAAARSATDDPYGDDVFEPEHLETSLRREHEKVIT QDIPVRVLHPETVETIMQPKVREPDVHIQLPPLLQLKAISDRFTKLALTGANPSKAPK LELSANMHGSLRLRIATESTDICSVWSNLENPQLDPAQLNRPVEDHPSTKFREEGPDR WATVRVDGKDWSRVLSVGRLEGRVIACFADDHALILYVYVPQYDGESAEDSVVTYYVQ SYSM UV8b_06910 MGPAKDALRRLSSKFGRDYLERTLLKKDAPSAQSRDLLLTGQPA EGGPAHDGLPFYVPRFSTSTLDEGVFADTTPSGGTVTLVVRNPTERTSGDSQFMLPPY ESPATESAATESSATESPVAEPQHREHDDNLGPDAITAAPPRRTHSRSDFSEATTANT NQRLDSGKPRYCFMCGNALATKQSPNGEKLAYLPCGHAFGHDCLFTWISRPESLGKCP DFPCIPMRHFCEHWTLPKETPPAEPFKDADAGVLPWNYEFCSTPKALKILKVINTSGD KVRRLDAQKRDRKKSNFDLAMQSRLKYHSTIVEQAERRLDEAQKIWWTNCWKEFGDGE KKKSRGWLWQRARRSNANTD UV8b_06911 MGASSPMVSLLKTLLIPAVISLILFLFLTFAVVPVWRRYRNRYS QYLPIETISSHTSGLRHRLLNQLSRLILPSTWSRNRGAVEDAAEEDFSEDGEELGDVD EATLNAISRHMMSMGHDDTRRLSRDLEEGFMDDSDDDRSRRP UV8b_06912 MKGKLYLASQVADTSVPAALSPFSHVPTTFAAECPGRNARLPLD GAYMGPYMIGAATEEASTCCRCCVPVVPVTLWCFWQGTRALPFARRHRLPPPAVNAAP HRVNNCHELVRTEDDRKSPEPPSRHALVALAPAHPGPSCLASSPRSKPARGMATEQST NLRILMLPQAFETGVPVFCSFRTPNKGNPSLHFAALPTFHDRDSRASRSAVV UV8b_06913 MSAADKSRQSSAGRSLFSRSKNKDKKATDVEHLDAGGALSFRSS RHKRDSSSVDIPTSPDPGVNMMAGVITSIPYDNMPSGLRSPIPVDYLPNPDQVPARRE HLPHQLNKNAGDFHQYPSFDPATSRPRESNMTMASTGRQAQYQQWGPGRGSVASTVNG SHTSRFDSYLGANGGRSSGDNLSIFSGNAGVRDTGRLSRSSQVALPSASSQSSYGSHH SHRESHRLTKFPGPGANHDAFHFPKPDDDKVIEQMFLQLMQKRGWHNLPEQARRQMMA YPPQKKWTLLHQDRLAEWQGEQKRRQTARANQYAAPDITTYSEEEGAPEWYVRKVMED KLDTKGMGSLEVNLRTQQIGWVKRFVECQGQVALVTLLLKINRKTAAGPGPENTRQEK NLDKEYDIIKCLKALMNNKFGADDALMQQKVLVALATCLISARITTRKLVSEILTFLC TWGHNGEGHVKVVQALDEVKAQSGENGRFDAWMRLVEVTVDGRGKMGSLVGASDEVRT GGIGMENLLMEYAVTTLILVNMMVDAPENDLQLRIHIRAQFTACGIKRILTKMEGFQY ELLDKQIERFRTNEAIDYEDMLERENSSIKDSVEGDVRDLTDPVQIADAIQQRISGSK AQDYFVSALQHLMLIRAQDGEERLRMFQLVDSMLSYVAMDRRLPNMDLKQSLNFTVQS LLDKLHTDSEARQAQDEALESRQIAEAAMAERDEMRARLELGADGLVKKMQKQLDEQA RFIEAQRRQADGLKAEISGLQTIRAKEAQRNELETRELYLMLRDAQDIAASNAIKGSK PGETKANENAAQMQGILDRQRLMERLQMQLERQKTQYKLEGRVWGEAGGPSDRLRALR EEMDDDNLVSPVGGGTPPRDLTNSVLGSISRQTRTPRKPLMTSKDADDDAIDEGEDAE GEESVIYERPRIVEMKRPVIDPKRQAGLVLEIGSKIKRFDGSDSEDVDDSITPSHPSL ESSTPLTSADGEASKAEGPAAAAPPAPPPPPPPPPPPPPPPPLPMSGQVLGLPPPPPP PPPPPPPPPMPGQITGNPPPPPPPPPMPGQITGNPPPPPPPPPMPGQIPGNPPPPPPP PPPPPMPGSVPGFIVMPPPPPPPMPGAMPSGHFLSQKSAFTAPSSIGLSVARPKKKLK ALHWEKVDTPETSHWAAHAPSAQEREEKYNELSRKGILDEVEKLFMAKEIKQLGGGSA KKGDKKQIISGDLRKAYEIAFAKFSQYSVDKIAQMIIHCDPQVLDNQVVMEFLQKDDL CNISDNVSKQMAPYSRDLTGPDAKSHSREQDPAELTRQDQIYLHTAFELHHYWKSRMR ALWLTKNFEADYEELNERMTQVVMVSESLRDSVSLMNVLGLILDIGNYMNDANKQARG FKLSSLARLGMVKDDKNESSLADLVERIVRSQYPEWEGFANDIGGVLTAQKVNVEQLQ TDAKKYIDTVRNVQMSLDSGNLSDPKKFHPEDRVSQIVQRCMKEARRKAEQMELYLDE MMKTYKDIMTFYGEDPTDENARRDFFAKLANFLSEWKKSKEKNIQLEEMKRRNEASMR RKHAAQKGAAAGALGDGSVSPTSTGAMDSLLEKLRAAAPQARDQRDRRRRARLKDKHQ VRVASGQAIPDLGEIPEAESGLNSKGQAIDEEGRAVVSPGLSSPRDGEDDVADRAAAL LQGMRADGADSAEKRESLRQARRQTAEEERRLRRRRRENASMAQSSSTRAKEAVAVGE EVPPDVPETVDEEVEAEQEAEGTEPTEHMEHMEQQDLLQDKEEPGGRGA UV8b_06914 MSDRRSRRPDSHQLRDDSDRHHRPRDRDRDRDRDRDRDRDRTRY RSRSRDRRANDRSRSPDRRYRDRGGGRGRDGPRDRAARRHDDRGRGRAPDRRDPRRRS ASPRSASPPRATLPTRSRQDDEKLASAQRRSQSPSKAAQAADASLPGNSSSSNARPAG EDGDADDHDLAAMQAMLGFGGFGSTKGKKVPGNARGALRKEKKTQYRQYMNRQGGFNR PLSPGR UV8b_06915 MARIAQLATALALAVAGTTATGDVSVLTSEIGRQNNQSLFWGPY KPNLYFGLRPRVPQSLWTGLMWGRIDGYNDVKDGLRYTCEQGQDIHGYGWDEYDARTG GVQRIHDQGNKIDMTTSFVKIPGGFHGGSWAARIKGELHRDAPPSSKTVVYYYMAQEG QGALEAQSEGSEVGFEGDVSFAGSSATLGEYKIVFTEGKGGHPTSSHKISSSRPGHVT LVNSANVSDEIIWQAPQLLFQQLQAATVAVKESLGRDDPPPAWQVYRVPHKPGVGNSQ IVQRTYEGSFEFDVIFSSGSAGKELTSDDVSRAIEANSKSFAERFSSIFDLKAPFQDE DHQRFGKSMFSNLLGGVGYFYGEQMVDRSYAPEYEEEDESFWHEAAAARERGLQKLEG PYELFTSVPSRPFFPRGFLWDEGFHLAPIADWDMDLTLDVIKSWYRTMDEDGWIPREQ ILGDEARTKVPEEFRVQYPHYANPPTLFLVIEDFMERLRKANGTQAPAREQMPPRGSG NSPRTAHLDNPELGEDFLRKMYPLLRRQYDWFRKTQRGDIKGYGRQAHSSKEGYRWRG RTETHILTSGLDDYPRPQPPHPGELHVDLMSWVGLMTKSLRNIADALGLEEEAGELGG NLEAIERNLDDLHWSAEEGCYCDATIDAFEEHQLVCHKGYVSLFPFLVGLMRPDDAKV GRILDVMGDEEQLWSAHGIRSLSRGDELYGTGENYWRGPVWMPMNYMAARQLQTLAKQ EGPFRRRAADLYTRLRKNLVETVYKSWKETGFAWEQYDPETGAGQRTQHFTGWTSLVV KLMAMEDLGDARGEEA UV8b_06916 MRNRERGRAGASGEVYIRLELSAEECRPSQQQQQQQQQKKKQQQ KKKKKKKHTTQQSSLHPRREPPPQTASNPTLAKMGFLADGQLVDAGRSGYNVVSGAPG TQPPKEGPRR UV8b_06917 MLKRSLVGRLPRIQPRPSSRRAPLLQARNPFRRTTDEPQRRRRA AALSSLSPSTVCGRNGDATSFPAVGDTIYALSTAHGRGGIAVIRISGPSCLQIYKALC PGKAPPKPRRATIRTLHDPAGPGRGNEEPTVLDSEALLLYFAAPKTVTGEDVLELHVH GGPATVKAVLSALPRCAPAAARVRHAEPGEFTKRAFIHGRLDLAQVESLGDTLDAETE QQRRAAVRGNSGALGRAYDGWREQLLQARGELEALIDFSEDQHFDEPQSELLGSVAGQ VAGILRAIELAELGGQRSELLRKGIRIALVGPPNAGKSSLMNLIVGREASIVSGEAGT TRDIVEASLDIGGYLCSFADTAGFRGQRHEDGPGGGARISAVEEEGIRRARRRAQESD LVIVLASVEQRAPGGAAAAAAAAAAAGSFIRFDPETLSLAAEAQAALVVVNKRDAVAV ADGDDEAALATLVHRFRRHVDERHPALRGAPPVCISCTEDAGGAQDVVRALVARFAAM TDMPADARDLLGVTERQGQLLGRCRRHLEAFMAEARREDRADADVVLAAEYLRYAADC LARITGRGEAGDVEEVLGVVFARFCVGK UV8b_06918 MFRNNYDNDSVTFSPQGRIFQIEYAAEAVKQGSVVVGLVSKTHA VLCAVKRNAEELSSYQKKLFSIDEHTGIAIAGLTSDARVLSNFMKQQCLGHRLTYGRA IPLRSLVDMIGEKAQANTQMYGRRPYGVGLLVAGVDEKGPHLFEFQPSGMTEEMVAFA IGARSQMARTYLERNVEAFADCSREELVKHGLKALRESLVQDKELTVDNTSVGLVGVQ APGSKAIEHFKLYEEFEVKQWIDSVADGQASGEAEEAMEVDG UV8b_06919 MDRQSVYSAHVFEPSIGENGDTRLQLQQQLETFILDFRLDNNFV YRDQLRENALLKRFYCDVNISDLINFNEELAHKLASEPADIIPLFEAALKKCTHRIVF PHEKKVDLPDHQLLLHSDAQDVSIRNLDSMTIARLVRVPGIVIGASVMSSKATQLYIQ CRNCQFQSVIPVLGGFTGVSLPRQCGRERVPNDPTPKCPLDPYFVMHEKSQFVDQQII KLQEAPDQVPVGELPRHVLISADRYLTNRVVPGSRCTVMGIFSIYQNKASKNSSTAGA VAIRTPYLRAVGIQTDLDQTGKGSATFSEEEEQEFLELSRRPDLYNIMTDCIAPSIYG NRDIKKSILCLLLGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFVEKAAPISIY TSGKGSSAAGLTASVHRDQSTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEA MEQQTISIAKAGITTILNARTSVLAAANPIFGRYDDMKTPGENIDFQTTILSRFDMIF IVKDEHTREKDEKMARHVMGIHMDGRGREEVAESEIPVDKMRRYITYCRTRCAPRLSA EAAEKLSSHFVSIRRQVHAAEIEANTRSSIPITVRQLEAIVRITESLAKLTLSPIATE EHVDEAIRLFLCSTMDAVNQGSNQGSRELNEEVNRLEAELKRRLPIGWSTSLATLRRE MVEGKGYSEQALNRALMVLQRRDTIMFRNSGAQVYRHGA UV8b_06920 MFTSQTAGKSVDSLSPLSPGTRPELPLHSIRPGQGYFPAASRRS STASSVHSIAGTLDTSAGVAVNSVYETGRNAISTLLQPPIVRTGLQPHTSAPSSSSHK PPTARDIPPVSLTNIPIIDAAEFSPYLAQVGTLYEQLRRVKDSEDEAVGIRRGSKAHA SLDAGSDTLLRPTGKSHRRASTASIASLASIEAPSPSRRLSSGFARRALQGPAPLSTI PTVYFDDDFHLENPRTFDVVSERSEVIRPPSSGTDSTTAPAPRKALATNAILQEKLSW YMDTIEVHLINSISAASTTFFTALGSLKELHSEAAESVDKITTLRQELRALDEEVATN GLLIAQKRRRRENLRQLHDAVAQLRLVVDGVAKCESMVDAGDVDQALSSIDTLEKLIA GEREEADKIPALGAMALRDLRGASALQGINQDLSTLRFRAGKAYESQFISLLLADLRR HASSVSASDVLLRWSNAVSRQRGGNHSRSPSMFPTYLASTEELKSQILPNMRGLHRAR CVVTAALAYRDTVLREVRNLVRRPLPSSNDEDNESMLSVSTTSGSRHLSSQEKSANLA RNLRALDPEDAEELLVKVYVGVAETLRRLTTQVKVLLDVASSLSNPAQQPDGARSPSA RSPVASPLPDRHAANPMNDVDMELQQELHKSLEMNNLLTQAVDVANEKIVKILRIRTE QATNLPLVFFLRYFTLNLYFANECEAISGRSGTSLKTVVNGHIKDFVQRYRDAEMQKL AQGMEADQWNAKDFTDSDSRLLGLILGSSTNDDDSVWTGNSKIWIPRHEAEKLTVTTT AETAEANGTNGTSKDKIRSAVIESEKFILPNSARLCLDGIGNFMHLMAGIPSMTTDVA SSLLAYLQVFNSRCTQLILGAGATRSAGLKNITTKHLALASQALSFVATLVPHVREFA RSRAGSGAAVSGLMGEFDKVRRLLQEHQSSIHQKLVDIMSGRAAVHAKSLRAIDWDSA DGGAAHPCMEILVKETCTLHRVLTKHLPETSIHAIMTPVFASYKEQLGVPLREAAPKT EAGQQCMLRDVEFLASRLCKIEGFGDAGDYLTAIVKSKAVKASPSAEDVAAVRDDEAA GASVKEGKEEAAAAAAAAAQEMGAGGAPAASAAAVETQGSKASKEGQ UV8b_06921 MARKTTLQEFESVYPKLEEAILEHARSYELPEAEMAWLKENLEI NPLGGKCNRGMSVPDSVSLLLGAPLSDEQYFQAAALGWMTELLQAFFLVSDDMMDGSI TRRGKPCWYRRDGVGMIAINDAFLLESSIYVLLRRFFRRHPAYVDLLELFHETTLQTE LGQLCDLLTAPEDRVNLDAFSPAKYRFIVVHKTAYYSFYLPVALALHQLDLATPRNLA QARAILVPLGEYFQVQDDYLDNFGLPEHIGKVGTDIRDNKCSWLVNQALEAATPAQRR VLEDNYGRKSDACEAAVKKLYDDMGLKQRYEAFEEERAAEIRALIDQVDESEGLKKAV FDVFLAKIYKRTK UV8b_06922 MRFATSALALVASAAAASAASISFWTLDKLTRTIHFTPNAGLPN IKSVTVNNKRRTKVVFPPDWVGNFYAVQEGHDNIPGMLGEVAFSSRDHKTYFDVSGIV NADDVNNVKQIWPASGAPPMSGCEVFPCSNAYWLPDDVQTKVTSELDLIATLGTGSTG MNFVESD UV8b_06923 METTRAEPWTKKVDDMESNGRTRPSSPGRQTARPPDRQTARPPG CQACQARQARQARAPACTRRHKARNTESRLRCIPRTIFAMSCTTYHAPRTTHHVPRSA ALPSGLILRHCHQRRASSAGSRPGSWPWLDQKSLQAAALFARRPPRPAVAGARHQAPG TGTWGRPPSDPPGRESI UV8b_06924 MALSAQEVSHLRAALQDAVVKCSERCLYQSAKWAAELLNALPEP ADGQDEADGAQRASPVFTANPDPEEAGLEAKELSKYLLAKSLFDCKEFDRCAAVFLPD SLLSSVLASHSEATASAASQRSKGKAKAATETRLSGDAAPLPKLSQKSLFLALYAKFL SGEKRRNEESEMVMGPQDLGTVANKQLLVVGRFLSAWFRERTTSDDEVLGSQGWLEYL YGMVLAKEKNDAQAMEYFVRSVHKYPMNWGCWLEMTGLISRVEELNQIARHCPQNIVS FMFHLYTSLELYQQTPSLANSLEQLLSIFPTSSFLLTCHALLAYHAKDLMAAEQHFSR LLALHPHRLDALDHYSNILYVLNMRPKLAFVAHLCSSVDKFRPESCVVIGNYYSLLSM HEKAVQYFRRALTLDRSCLSAWTLMGHEYVELKNTHAAIESYRRAVDVNRRDYRAWYG LGQTYEMLEMHTYSLWYYKKAAGLRPWDGKMWMAVGSCLQKMGRERDGIKAFKRALLA DAYYEVGSSFGSGGDAAAGAGAGAGARGPTGHMDPEVLLQIAAMYDQLGEEEEAKAYM ELCVAQEDGGAAAAAAAAAAAAATLADTNLGDSVMIHNDSSTGSDDYAEGDDRAPGSS GGEGTGVTAATSKARMWLAKFAMRTADYASASRLAMELCQDGVEVEEAKALVREIRSR TEAAGTHGSDG UV8b_06925 MTHMAPDARATEAGPPPPPPRSFSRYRTLRGKSVSSPSSSRDNK SDKNNKDIKTSTKTLDDAGAGSGCGETQSSSAGAPDQVSSDSRSRSKSLSSFRLSLKA QQQALSVPALPTRVLSPKPVSIAAQSSLRFLESWRLLAGSSKPANGGAATGRDGGSSA AAGPTHQRDGPHSSQEPIQEPIREPDQEPGQIPVQVPVQVPVQDQQDRDHDRDQHKDQ DQDQDQVQTSLTLQQTPANENHPQAEETKGKLDVNADTKGCDDKPLLAKPPPPAAKRH PPPPQQQQQQQQRNVDCDGHVDKVADEVARLEAETDRILAEQKKLDLARLQAQLVTLT PSPKPRRQILDKLSFFSRNRRSSVLSIQPGTPSTVVSAVLSPTFSQYSRESSLEDPPS PPLSTGKMKFIEQGGKGIVPQTDAPLSAINGGERRVIVRCLSSTINLPVTADTTPMDI LKATAETTRHQLTPASSVIIECYLLLGLERRLRRYERVRDVMNSWDKDQQNSLLVMSR DGSQTDEDLDIESVPRTDEPPSGFSLQMYHSAKPGKWNKRWVTLLDNGQMYAAKSAKN KPSDKESIVLCHLTDFDVYTPKESEMRRHLKPPKQFCYAIKSQQKTVVFHNGENFVHF FSIEDAQEAEHFCKKVHGWRSWYLASRLVDLEKRSKPPQLVLDAKGGGTSGPKRTVNR SSASAPSSTVAGEEESEEAQEGADEPLMNVDDFRISKIVLDDATIQKSLSRAKTSARR PNASKKVSSGAVPEIPNTVDEEEPEFSAGGLLGDEYKKRKQAEVASSTKTSSSSSDGP FTETPSLLNGGICSSSSSSSDAKKQPDNKPESPSWFPSAAEHSARVRDQQVVHVQQQQ QQRQRRPMSSGGVVRRERHPAPLLSFAADFPEPPRFHKGPNPGIKHAPGQPLINFASG GTLREPRDCAPKRNVSRRGMPAGNDLAPPPPPPPCPQRLRSKSSASHPQRRYNGNEGH QKNGPLLHMRRSQHGEPPSHPHPRGPPLEPLVNRAR UV8b_06926 MASSKRYSALVTGATGLLGREIASALRQTPGWSVQGTAYSRADG VDVAKLNLEDADAAALGKLVDDTRPHVIIHSAAQRFPDKVDRNPEAARALNTAASRRL AQVALARDILVIYISTDYVFPGVPGDAPYEADAEPRPTNLYGQTKLDGERAILEVAES LGKVGSAVVLRVPVLYGHAETPAESAVNVLMDAVWKAQTEGAKIKMDHWAIRYPTNTH DVGRVCRDIAVKYLESDAASHRKTLPSILQFSSEDKMTKYEICRLFGKVMGLDVTNIE ADTRGNDPRASVQRPYDCHLSTSRLKDLGIDVSTCGFTDWWRREVRAFSE UV8b_06927 MPPKRMTANPARPLRHRAGKPGGRESSSDSDESSDQDEQQPPQP PVPPPPKAASAARIAGGARHEPQGQAERRRAAAAAAAAAAAAEAAEAERLAASQGFVT EEEDANDSGGSQDDDEDDNDDDEADGEEEEEEEEEEEEEAPRRLMLRPKFVPKSQRGP ANAPTPRDEEQARLAARQAEQAARKQATDALVEEQIRKDVAARAAGKKHWDDDENPAS DVDTTDGADPAAEEAAWKVRELRRLRRARAAVEQRERELAELERRRNLTDEQRRAEDA AHLARQRGERDARGRMAYMQKYHHGGAFFRDEAEAAGLRQRDIMGTRIADDARNREAL PAYLQRRDMARLGRKGATKYRDMRSEDTGRWGGFDDDDDNDDERRRRDAGGRGANALP LGGGGRGGGSKGGGEGTRRNTSRSRSRSRSRSRSRSRSPRRGARDDGCRSRRRSSSRD GLRYAEKRRRVDDR UV8b_06928 MAVVDIQARFSPHHPLEPDLLYEIQSILRLHGLSVDDLFFKWDA YCIRMDLDAQAALSLANVRSLKQSIQDDLEKSHRSTTQVRSERKVAAAPKAVSGGDVY GMLDGLVPSTPAAGGKRSRGVAAGGGGSGLKKKMDSLKMNSSPAGMKEQLSAFNGLPA TSFAERANAGDVVEILNAQLPPCEAPLAPFPEPRIKLTAASDQKKMAYKPLAVKLSEA SEVLDDRIDEFAALVQDYHGLEDSAFGSAASQGTTEVVAVGRIASDAMEGKLNAAALV LETSRRTGMGLRVPLKMHKVPSWSFFPGQVVALRGTNATGGEFVVEQVLDVPLLPSAA STPSALEAHRARMSGVPPGGGAAAATTDSDAAAPAPAPAPLTILYAAGPYTADDNLDY EPLHALCSQAADALADALVLAGPFLDIDHPLVAAGDFDLPPEDEAALDPDTATMSAVF RHLVAPALNRACAANPHLTVVLVPSVRDVLARHVSWPQDAIARKELGLAKAARIVSNP MTLSMNEVVVGVSSQDVLHELRNEECSRACPPGDLMGRLCRYLVEQRHYFPLFPPTDR ARLPRTGTQSGLATGAVLDPSYLRLGEMVNVRPDVMVVPSSLPPFAKVVESVLAINPG PLSKRKGAGTFARMTLHAPPVGGGSEMTSHRVFDRARVEIVRI UV8b_06929 MASPLPIAPMGNGSRNGQQAQYQQHSRQPRHQRQHVPPQVDGDE PGLHLRPMGLKVHYTFDKEGKINCLARSSQTLHIQTVPIDETNLVGVIDLRSCIHTVM ECSPELAGQEVDYNVYAVDFSEPDTPLVGQGMLSWILDAMRNDSVTQQPKMVTGRVTQ NLFGVFGSGNRETLEVRLRLSAATRPNSHLRRDSTDGPQHQQHQQHQQHQQHQQHQQH QQHQQHHQHQQQSRPVETAMTPTGSSEWSSFLQSNPQIGQSQLQMSNPSRVASPALSQ SDLARRRDSFGPASQQMMPNQDVQRIAPMPADSAESRADVAAPSSRPSSRASNSASRR KPPTGRPRGRPRKKLMEGNNSGYEDGTEGEEGPAKKRVKTTVIGKASPNPFANEPESL RVAASTSGSLRSFRPVLANNDGASGGNSHLQEMPRAPTPVPDGPLRGGGPGKCLASAN KLRRESALSRQPLSTNNSSYAEPGRPLSPSQEEDGRSPDSIAPTPAFSEDSPADIGSS PPVQRATPFLRSSPPPSSPVLPPMPPTELRCDVGLATDDLDDLFGDEPAPMVGKRVVA RNPAEARNAGAIPPIQVFRLQDGPSGRDPAHVGGNSAPQLNSTPAAASPCESQSLPPL KRAPVRLAAGKKTKPDSPPGMAPTPPPTTDAVEKPASPVLTAESGMDEIGGAMMAAPS PLGLPKPAVEPTQVHDAPKEHPKAAEAPPHVIPSSKTPRQLNRSQSAGPLVFPAIPAS EPAGPSCLSQSAAAEPGRRPAPSVATALRRAASTGPLSLPIPASDPVMATAAPAKRAP PSFAARSDAAAPPSSPPPRSNKNFVKKHAIKQRLEEAVSNGEMPPYCSNCGAIETPTW RKIWVQDNEGVPEYCEYSVEPGRVTAIEILRRDSDNKPTLHRLIKKSLSLDEDRTKWQ ELLLCNPCGIWLTKCKSHRPQDRWDKDLSRLGQERRKRGSGRSTSRAKRSRGKGGDAA MNPTSEAYLPTDALGPVEPSSPKQPGTEGPGDQGAGQDVGNGGEASGALDGLDRQSNP GSTHSRASGGTGTANSPIDVDFDAAVGNTKRLLFPSPRKDGVLKTLGELDVNVVQTPD DSRPKGSPTGKENVAAASATDQVSGAAEDLDALLKSPAPAAAAAAAAAAAARPSTPPP NPGTNPNTTASKEPFKTPTRPTPSHRPITRSISRSIRSMRSISSPNQLALAQQTPTRT PQVPFGAAGSSGRRRSPRNHSGGFDVLDTPISLTISQMFSDGHGFGENDLDLDSLPAL DAGAGGLIDFGSLLSTDAVMPSSPPKDGNLFDYHASANVWAQWDLDNGGGMDIS UV8b_06930 MPFRALNLGRASAANAASRSLRSNLVMAQHVRAPSPFAAARPLS NEATAIREVAGDEANDVVFESKYGLRTVMLNRPKKLNSLNGSMIRKIVPRLVEWEKSD MANMVVVKGAGDKALCAGGDVAALAQYNQEAGDGWKKSADYFGLEYKLDHYIATYAKP FIAFMDGITMGGGVGLSVHAPFRVATERTVFAMPETTIGFFPDVGASFFLPRLNGAVG TYLALTSERLTGPNVFYSGIATHYLHSASLPDLEARLAELRFRDDDGLAKRLAIISDT LEEFSTGLPFDQPMQPSGAVRQAIDRCFGRDSVAGIVAALGEERGETEEWARRQLATL HKRSPTSVHVTLEQMRVGGRWDIAETFRREHRIASRFMRHHDFTEGVTALLVRKEKPR WQPESLEAIPPDQNVAQPFFDFDDDDGGGVAPLELFTDRTYSEYPHERLGVPTEKEVK GVVSGSDRRYTPRELVDAIVASRRGRQGIADVVNEMISRKTTVDGQGKVRWLDEEEDS LANSRL UV8b_06931 MADPKIQELLNKPRNELTEYEIAELEEHEFTSGPLSILQTAVKS HTQVLISIRNNRKLLARVKAFDRHCNMVLENVKEMWTETPRLADGKKGRPVNKDRFIS KMFLRGDSVILVLLS UV8b_06932 MSSKHFIHDPTHLVNSALHSLTITNPNVALDSASKIIYRRPSHA PTRVSVVSGGGSGHEPSFAGMVGPGMLSAAVAGTIFASPSAEQVRVAITSRVDAAEGV LVVVMNYTGDVLNFGVAVEKAKAAGLKVDMVVVGDDVGVGKAKAGKVGRRGIAGTVLV LKIAGALAAQGRSLEEVAKVAQLASDNLVSVGASLEHVHVPGRSVGADSESDGEVEIG MGIHNEPGSGRARLDLPQLVGEMLAQLLDPSDADRAFLDVNSNEVVLLLNNLGGVSAL EMGGITTEVVSQLGSKHGIRPVRVICGTFMTSLNGLGFSISLLNVVNTDIGGPGMVEL LDAAAEVTGWSAPIRKETWEAKNTATRTEHPGANEESKPSGLATDKDAAQARLTTALE RVAAAEPDITRYDTVVGDGDCGIGLKRGAEAVLKHISQRPLTGDVVVDLSSIIPVVES AMDGTSGALYAIFLNALAHALATLAPGTASPETWAKALRQSCDALSRYTPARPGDRTL VDALYPFVDVLEETGRLEKAAQAARKAADETRGMQASLGRTVYVGGRGFEQVPDPGAF GLACFLEGLAGVKGNAEDGWEKI UV8b_06933 MRGSVAAAPLALAFWSSCALGLTVTPNDENSLKSTAASIAYGLM KFYTGNNTGDTPGNLPDPYYWWEAGAMFGTIIDYWHLTGDASYNEATMQAMLHQAGPT RDFMPKNQTRTEGNDDQGFWAMAAMSAAENKFPDPPSDQAQWLALSQAVFNQYVLRWD PTECKGGMRWQIFQFNNGWNYKNSISNGCFFNMAARLHRYTGNSTYGDWAAKIFEWER SIGLVTADFGVHDGITIDVDGTCVRIDLLEWSYNAGILLHGAAAMYNATEDAKWKAAV DGLLQHAMSKFFKDGVAYEQFCEFNKICNNDQQSFKGYLLRWLAATTQLAPYTYDTIK PLLEKSAAAAASVCVGTTGPPKFKGTPGTACGFSWIPAGTFDGLVGVGEQMNALDAVM YNLVAKSPPPVTAKTGGTSKGNPSAGSGGTEDPTVLKPLTTADKVGAGIMTVLLVVGA IGSTAFMLLERG UV8b_06934 MSLFAALATLLCFAAAQQTGVHPDWPRWCGKAYEPQYPSFAPGG RTVEPAARPGGPVLDIQFKPRYSIYLESDKEAEFVVNARISAWHGQSWPNLASPATAP RLVFTINLVSNNHVLVSNLVNVSTTGNLFAFSLESLAPSLQAYQVVLFGATDQGTSNV TATSELYYLPEKKTGSVTKLDNLNGGFLFRSPATGNKFEPFLPFGFYASCDNFLCDKD YVRKVRTFKDLGLNSMVSLTTVQDSRATYQYMDTLDLRYMYDLRYAYRNLTSVTEQVS VIKDFDGLYSYWGADEPDGHQDPFDLLPKARDTIRRLDPYHPVSVTLNCQNFYFGEYT AGADLIMEDVYPIGINSTFSHWGTPCNATYGDCGCDNCQGSVQDVPRRLDDLARYEAW LGFWPKTKAHNPQAFDGDGYWARHPTDEEEVAMNALAFNHDAKAIVSWVWPTSDSLGR IMGRFGSAVANPPVRDLLATGKPVRVAVGGYGVVDAACWVGEKQVLVSVVNGGYEPID AGVEIALPKGLSVESVDRRVWGSGRWALVDGAVKLSGQGAMATNMVMLGLAGVTRGPG QA UV8b_06935 MAAEFRPLVAPLDEPPAGDDASLTVRAVRAVLAHRHKVFAAAAA QLLVSTLFPGRFAVVPIATLAGLVATAELVHLLTPAPPKPPPQLRAVVPGRATPQLPR ADGSFPAPPASPGQPVVLFLLGVQFNHPRGRAGPHARALALRFRRLNASLHERRAELG LLGCSEWAGGGGGGGGGGGTLLFAYYFRDVESVHRFAHGEAHREAWEWYAGAGAEHMG IFHETYAVPAHAWEAVYVNCRPELLGAGVVRCDGVPEGQGGGWRNTLVSADHPALRSQ WRRMNRDAGGVPRG UV8b_06936 MPPPRLPAESNAGASSSLTRQSRSDSVARLPNRLSLTLPIALPS SDPSRPICTAANLTPSSASPAPENKSSLPCPSNVNEFIIAIAAKERKVLELKEELARE EAELASLKKQFSCANPPHQRANTHRMDLGNRSSSTCTADLEAASPRRSIDMERKSQLL QTQGTPNQNKRRVLRGGHTRALSLLSPARPSSEFSALHDQVQDSSRFPPLDGRANHVA HSSPPKRASWQPLSQQSSPVVPQLMQDLKLGLRAFVEDIRQITIGDEPIRGQPQQRSP RGSHSRTASSSQALYAEGASRGRTAPAASQTASTWEHAAAAAAAAAAAAHAPAPAPPT PSRRREHVSLENPKPAKSKHFSWTPLGFDSMDDTDWANWESPVPSKTTRWSGSTIHGD VMDDMQSIPENAAESAKPIKTSGGREASILSPTKLEELLPNVVNRLSPSNLKRTANNL MDEWEKSLVAPPAAGEVANKENAA UV8b_06937 MPVSFALVCDLLEECQKLRILKKPSSKAVVAWFSRHRKRIDAPD TDLPALLSTLLPEKRTDRVYNIRTNTLEKLIGKALMLGASRVAELARYKRPGLGFDLA DCVERILTATPNPTRAESHEITVEEIDKVLHELASKVIWSSPSIRCAQATLAPRRPCD VLGDVYRRLSAREAKWFTRLVLKDFQPLILDSALVYRSCDWALPLILKVREDFSTAID TLQSLRRGILRNGVKLEPIKACHVAALRPRLGIKVGRQSWRKGRSIKHCLDMGHGRMS VENKVDGEYCQIHVSLAHGVSRIQIFSKSGKDSTEDRCKLHGVIKKSLGLGLSSCSVK QECILEGELVVHDDVENRIMPFHKIRNHVARRGRLIHVEMDSPPRTHENLMIVYYDML LLDGQSLLATRHSERFKLLKRVIHCEEGRVQLVTRTLVDFHRRTAASELRRAFSRVIT AKGEGLVLKADEPYFNFARPGGAVSGTCIKLKKEYIGTFGDVGDFAVVGAGFNAAKAK TYRIPSLKWTVFYLGCLENREEVRRWRSRPEFTVVSAVEIAEPLLEAFVAHACSSPAP LGENGSTKLRIPPGIEADAPLTVAFQKPAVFDLRCFSFDKPGNVGFWTMRFPAVTRIH FDRDYSDCVTFDELQEMAGKARETPDPDDSQENLWWIARLESADPGGRAVDAASQLTA TTMPTPSPRASQSPSSFQRSPLESSTSAESTSNSRLGKPSSAASATCPARPAPASPSG AAVSDYAAKCKSPAPLSPATSTPKRRASSAPQSSPASTSKKPRQALQDVDGNASQPSA SSCPLSAAAGAPRDRLRHGQEPVGSLAQDTRAREPTAASPADPVEKSRLETARTLLAM PSSPSRCSYAGGKTETLEYCKFAGRKCRLADCKILLATKALGALSRPVELMEAHGAAG AAADMDGWLAANGFGAALGSGSLRVIILVDTVAKADETRQVVAAVERARRDLPGRTRG WIAVFDWRMLDHLSIMEDENIKKKYYDGFHDPWRRWYCGIV UV8b_06938 MPSASANLPIIDLSGPQSEVAKQLVDAAADHGFVYIRNWGPDFP ATNVDEAFELSRKLFAAPFEEKQACSIQKNNRGWTSMHAETLDPKNQTVGDFKEAFNF GEFVNAKAQQPIPPTIAADEGKLSAFADLCRSLCRTILALLGQGLGVDGFFSSAHFQA HSGSGSILRFLRYPPPSTTSHSPNDFRAGAHSDYGSITLRFRLRGQAGLEILQKDDQW APVPVSPAGTENDPSPPILVNIGDLLSYWTNGLFRSTVHRVVFPTNAQNGTTDGVRAE DTSQTRYSIAYFCHPMNNALLDPVPSDMVRSFAPTAGAKDANPYAERKVLTAGEHLLT RLKESYGALYSEEKH UV8b_06939 MPFLDEDSYVNAQATSYDISELEDCSIAVDATYYLNQLLDTPPA HEPLLSALGGLTGIQTHISRNLDLWEKHGIIPFFVFDGQSISGQDEVGLKRRRAANKE TDEAWTLYSRSEAERAVTTFGANPGAYIVQNLYPLLQGILRKRGFHFLVPPYNACAQL AYFELIDSDQCAGVMGPQELLLYPIRDSVIRSLDWETKSVTALSKKKVMRALSVGEPM LIDALLMTGTSFLPTFPPLLDSTMYPAHFTIGDAVNILRTSDKGVANACASFNDILQA QDAGWLDKYRKARMAVHHFIYIAENGEVTVNDFDHLTKDNHEYLGLQLPEELFHYLNT GLIGARNLNSITHGQILVQPTLDGVVSDEYKKLVTTKLVSVKEQALGLMIPRVHRGIG HKDITMRVWFDPKFSYTINHRALQPPPSQLVGTWDVKESDLRSVFPADFAGPIYLEVL ALAKSDFVEKTVAKENKIRGIDSTEMVTSVAIWRFLHLRGYVNDSHTLTKWGNALATT LLALKDATEDRPGVPGLDEAALLAFELIRLGVLGAKHQLGIAGLPRKGSEEEKASLVL ISQCGTLLKLRHQVYGYTGPLNKSLLAFRSLSTAVREADRDLIEAIVASMFMYGQCKR ERDDYLDISQKLPFLQEPDIGLGIALRTFFDEDEAADSKERRAKRLEAFPETFVPFAE ALTDDFRICVDFVSALNQGVQTLAATDELPRADKSAWAKAQAYLDARPF UV8b_06940 MEQQPQQQQQQQQQKPQPGVAGPAGRRLHIAHRRSPSELTPLMS MFANPGMEQLAIQQQIDLLQQQQQQIHATHQQYVNMGMIPPGQPLGPNGPFNPLQPMA NAFQFPNQIGQQNLTPPNQSHSHRRNQSAMPNMGMGPPPAPSSGASGSNFGNFEAAPS QNRENTGGRGGRGGSGGGHQRRHSLALADAKKAAEIAQQKRTTSGFQFPAPAASGSEK PEDENKPITSSNLDVPAAQVSSRGRGGHGRSQSMAVNGRGGSGLRSSAFNSAGGDGEF RRGGGHARTGSRNFEGNWRTQGQNQDSSANVGGQNSSFQPGHRSHGSINQSVSSIGAF QYTPNQPQLLQLPGQMIMPQMYGQQLNQLQLSQLQALQAAQMTGQPFAGLQTSQHAGQ LGGQQQQQQQQQQQQQQQQQRKTLFTPYFPQASLPALLSNGSLVSGILRVNKKNRSDA YVTTPDGLLDADIFICGSKDRNRALEGDLVAIELLDVDEVWSQKREKEEKKKRKDIID TRSGSTNQGSQNSGNNEDSKPSEGGIRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEEE ISDEAKPLYAGHVVAVIERVAGQMFSGTLGLLRPSSQATKEKQEAERAARDGGNNRHN DSRQQEKPKIVWFKPTDKRVPLIAIPTEQAPRDFVDKHQEYADRIFVACIKRWPITSL HPFGTLVEQLGRMGDLKVETDALLRDNNFSSDEFSDAVLRNVGFEDWSVAKEEEAEPS SRRDFREETLFTIDFNGGSELGNAIHVKTRPDGKIEVGIHVPDVTHFVKPNSLVDREA KKRGTSVQLINRFCALLPPKLSGELCSLGPDQERFAISIVFSVNPNNGSVAEGDSWAG KSIVKSSGKIALNEIDQALKNSQDLKSEIVPVKSLQILQAVAQKFREARLGAGGEPIA PLRLFQQLDDENNPVQHNIFDSTEALELVEELMHKANAYVAQRLAEGLPEKALLRRQA SPSPRRLQTFVERMNALGYDTDASGSGALQNSLFKVDDADLRKGMETVVLKSMHRGKY FITGKTPKQLWPHYCLNLPLYTHFTSPTRRYADIIVHRQLTAVLSEGKCEFTDDLDSL VKTVESCNTKKESAQNAQEQSVHIESCRTMDKKRQEANGDLIAEGIVLCVYESAFDVL IPEWGFEKRVHCDQLPLKKAEFRKEKRVLELYWEKGVPSSAYVPEDERPKAAASQRMN NAMAAARQAEEAERAKKEREEAARKQTVTGTISTDDVDALFDDDDEDNASDMTEAMAG ASLAERPTQSVPGSPARPSPNAGKLHRARSDSKVPVAESVEARLTNKEKYLKLFKLRE EGGDYIQDVTEMTRVPIILKTDLSKSPPCLTIRSLNPYAL UV8b_06941 MAGQNTMANSSPLRRSQLLSSPDRSYLSNLLLDGRNTEFKHLDA LAAAQLEHDRVREAAIRVYELHELREEHNRILEQERWELERLKAEAAVAAEEKRLQEL RAKSIPKSPPEPEPETAKPSGAPSPPPSAGGTLETKKSEPAAKAETRALPNGLVFSLG KPAAAVSGPAGAGDHNSSQSQQTTLSPKQDGLSRAPERPFAAQQPTAQPQVQVQKQQQ QQQQQEQQQQQQQQQKTSLDPVSERYAEIHQALKQLRRDVVAASKVAGSPLKGKVGAI RREIRVSIGQLTGGKGANAQPTSRIMALLRQSLDGQLPSPPVDVNRFVVVPREASAQD VPHNDATLPSFFIYLVNILAKGVINQFINECGANPKAADPIGVFAAQIFSHWDFSWRG ESIIDILVAKLRVACPVLFGSRGNDTTERGRRALGWKKDGPSWVPEQNHNDRMAGLGA GFAAISLRDFSKASNKANPFPPTNYWRAFACIVNCPAGEISNTQLVVLRSMIDGHEQR FLNFYGNAALAALRLALVEFPKRAPANSPAAGSLRALADILRSDGGLVLA UV8b_06942 MMSSTSDEDPFLQVQQDVLAQLASTRPLFASFLRIRSLAASPSS PELASARADLEAALAALADDLADLAASVHAVESTPAHYGVSAAELSRRKQLVHEVGGQ DDDGQDDPDALAELQQQQQMDMMREQDEHLDGVFQTVGHLRRQAGDMGRELEEQADML DVVDETVERVGGRLQVGMQKLGHVVRQNEDRWSSCCIGVLILVLIVLLVLLLIV UV8b_06943 MPLTYLDVAAQAVQARHRIRSHIYETPLIPSRTNAHRRDGTRLL LKAENLQLTGSFKLRGAVAKMTAPGTAGGDPDDAPLVTASSGNHGIGAAHAARALGRR LTVVLPETVVPAKLRRIEAYGARVVLHGAQAGLAERHARGLAAASSSRGCVYVSPYND ADVVAGQASVGLELLEQCGGRGVDNVFVAMGGGGLVGGVGSVCRAFSPRTRVHGVAAA RSMALAASMAAGRVVDTEHLPTLADAVAGGLDDDAITLPLAAAVVDRVVVCDEDEIAA ALRAVVLRENMIVEGAAALAYAGFVKLEAELAGQTSVVVLCGANCDHDVVAKTVHGQR LHEMQHPQ UV8b_06944 MAADIQGNGGNGDNGHVSQSGLNGLNGLNAKKLPPRKRPHHADS PYQSVGDFLSNTDNFQIIESTLREGEQFANAFFDTETKIKIATALSDMGVEYIEVTSP LASPQSRRDCEAICKLGLKAKILTHVRCTMEDARVAVETGVDGVDVVIGTSKHLREHS HGKDMASITKTAIEVIEYIKSQGCEVRFSSEDSFRSDLVDLLSLYKAVDAVGVHRVGV ADTVGCANPRQVYDLVRTLRGVVSCDIETHFHNDTGCAIANAYAALEAGATHIDTSVL GIGERNGITPLGGLLARMIVGSHDYVTSRYKLHKLKEIEDLVAEAVQINVPFNNPITG FCAFTHKSGIHAKAILNMPSTYEIINPADFGMSRYVHFASRLTGWNAIKSRVEQLGLS MTDDQVKQVTQKIKRMADIRPLAIDDTDSIIRSFHLDIQSQNGQAREQQAAGAAAGAA A UV8b_06945 MGMGMGMGMGMNGNGWEWMGKDGRWAWAGCILSLPPAAPERVGP FALCTCTSGQLDCQTLDLLCSEAHEAGKRRGIGK UV8b_06946 MTNPSLVASPDGIIADVGRSRDLQPGRLLLFVSLALLALYIKAS RHDGRTDCPLLNPPRWYECKIIKQLHFLFNGIDELVKARHLSKGNPFRLLTNSREIVV LPPSYAQVLSTEDRLSFAKYFADEFDGDGKTPGLEPYALIADPCKRVSKLVTKRLTRS LNAIPIKMSAEASFAVEHNLGSRFDWHETRVHPMLSDVIARMISRLFWDGDEVCRNQG WLKIMKDWSVNSVIAAFMINMAPRFLRPCIRRFSKRVHQARDDYQAARDFIEPLIEAR RATRQTALASGEALPSFNDIVDWIDSENDGLACDPVALQMVLNVAAVHTTAALVTNTL VFLASDPSTLTPLRKELQAELQSNGCQASALNNLKLMDSAIKECLRLKPPGVFGMHRA ALQDLQLPNGIKIHKGDRVFVDIPHMRDPNVYESPDAYDMYRFLRMRWRPDQANKAPL VNTSPDHLAFGHGVQACPGRFFAAVLSKVVLSHLLLKYDWQLAPESDTTSLAIGLTRR INPNLKLLFRRREEEFDLK UV8b_06947 MIPAKPLPFKGGFASADDYVASLLDFVYTTDMFQILCGGTHILD FFTSQPGLFHTALPAEWHPFLLQCDTMRLLDILLRDDLDNLDNLELDEGLPRMPESLR RYVRSIRDLSLGRDFAPSRETSPPALSATLRVGMKPKKIHEVTHFAAYVDRLSRDVCG EQVTHFVDFGSGQNYLGRTLASEPYNRRVVAVEGRENNVAAARGLDVSSGLASKQKVM RNKKLWNKILQAGGPDPHGDPDALADALKQLGGADGFDFRPREQLGSDIAVQDGKGYV QYISGRLDSGDLSDVLARVDSGGCCRSPKGDSHRPGKRPRLTMMAMSIHSCGNLSHHA IRSLVLNPDIRAVAVVGCCYNLMTEKLGPPSYRHAYLRPTLDALNGRVGRESSRFDPQ GFPMSRRFTTYANDGIRLNVTARMMACQAPRNWGRVDSEAFFSRHFYRAVLQKMFLDR GVVKQIHHRKTQEDSSSPLPSPANTKADGTHDAKPGPFDTSTQPVTIGGLRKPCYASL RAYVRGCIEKLTTSAECRQYADVMNEKMAGITDEEIDAYEAEFLPRKKELSVIWSLMA CSAMVVESLIITDRWMFLKEQPEVKDAWVETVFDYGQSPRNMVVVGVKTEDKTR UV8b_06948 MSAQVSFLDGTYTLIHIPLTLYTSFLQPILRVLIPQTQNLHIGR EAAGHPEHLQGLSTENQHGFLNISVTPLECSIVCHTAWAKNVFEPVISSLPAREARTV SVFTDSYMILSVISAGFDAATRVLELTSPLALAGIPIFFITTYYSDFVLVPTAKRQNV IDALRERGFELSENQSSFVASRKNSTSLHSASPPGTPLPSNNGELQSRTFHLLKTRKV SPYVDGGLELVQCSGREISQLADAYGHRSSVSRHASVDNRDAWIENVDTKLYTSIISV LVSQPRFLSMTLVHEDPPSLLLDKSLLPMFSDSLVGDTDTILIPIFLDLVNLPSEVTG IVCGVSGRLVDDMKMTATSELSYVSTARAGVVILPEEQSTRALGILKPLLETD UV8b_06949 MSEDLVDEIEAVNSIYGPGSLEPADRDDGSYILRLPGDASSLRL QFPGAYPASPPAVLGTHRSSGGVRGAGARDLRLFEEALGRVFRAGQVCVFDAVEELLA ARRGGGPGAAAGDAAGDAAGAEIAADADADEASGPAPEWIVSEAVVEKGSRFVARVAR VASVEEARRSVGHLLASDRRVRGATHNVTAWRIKGDAGTRFQDCDDDGEAAAGGRLLH LMQVMGVWGAVVVVTRWYGGVKLGPRRFAVMNAVAREGFVRAGVAGGEG UV8b_06950 MPSKTSDANDDGAHRGKIFSISGPVIVAEGLIGIAMYELVRVGH DSLAGEVIRINGDQATIQVYEETAGVQIGDPVERTRKPLSVELGPGLLNGIYDGIQRP LEEISKVSQTIYIPRGISVPALDRKKKWEFTPTKKVGDHISGGDVWGTVFENSFISTH RILLPPRARGIITKIAPKGEYTVAENLLEVEFDGQRTDYPMMQSWPVRVPRPSTEKLA ADQPFLVGQRVLDALFPSVQGGTVAIPGAFGCGKTVISQSVSKFSNSDVIVYVGCGER GNEMAEVLKDFPQLSIEVDGRKEPIMKRTTLIANTSNMPVAAREASIYTGITVAEYFR DQGLDVAMMADSSSRWAEALRELSGRLGEMPADQGFPAYLSSKLASFYERAGRVQALG SPERKGSVSIVGAVSPPGGDFSDPVTTSTLGIVQVFWGLDKKLAQRKHFPSINTSVSY SKYTGVLDKFYEKDYPEFPRLRDRIKQLISDSEELDQVVQLVGKSALSDPDKITLDLA ALIKEDFLQQNGYSDYDQFCPIWKTEWMMKLMVGFHDEAQKAIAQGQSWAKVREATSD LQAKLRQLKFELPDDGQDKVSKKYEAIQQEMTDRFASVIDE UV8b_06951 MPRASKKSKVSKKLKVSKKSKGPKGSKGSKGLKESAELTESKES KDSIGTELDVKTLKPKKLILQPVEEDESPKIAELCHDLDRVLFNPGVYHLQDPRSGVF NFDPSLALIMPVQEFDFDALQEYITSSKDSKLRDMCIKHKLKYCGSTSSMTSMLSHFH FLLSAWRQPNYENLSKALTPDSLNFSALIRGPAAAFAHFKDGVYAIDADKQYDTENVL SMLGKSMEKLLTLPNEDFEKYRRSKSHQLSEEEKNAGEAYHYTSMGDFLMRSQLDALD SRLPGSGVFDLKTRAVISVRMDVRGYEKGVGYEIRRRFGQWESFEREYYDMIRTAFLK YSLQVRMGRMDGIFVAYHNTQRIFGFQYISLSEMDNAIHGTLDTRLGDQEFKCSVALL NDLLDRATQRFPGRTLRLHVETRPTKVPLTYFFVEPVSEEEMRKIQEAGKPSAEQLEQ EIQGLRREESEEETVAAAEAAETEQGEGLDAEESDEAGDDFSTSDTQSENAWREMMAK VDETVENESLGVGSVREALQEALERSGLLQDKTELEGETCLDELVSALTAHSSKAKEA REARVAKAEQGDLHEMPAAQPASNDTTLASLILKVTEGINDKNPNLRTFERKVADLAA NSKKAESISTEQMTCQHETEDTADETDLLDAAADKMDALDSAPHEMDAFEATVREMDA LEAVDHEEDAAEAAAHEEDSSETIAHEEDAAEATAHEEDAAEAAAHEDDSSETTAHEE DAAEATAQEDNASEVTALETDTSEATGHDTNTSDAPADKVQVDPEAMEEKVPELLGMY VSIRSQVNGAFVERPEGGGSHSNFDWAVEYTVKELSDEKAQTIYANMKKRRKKVLDID PKTRSTEWYRMFHGQLPVSTKKGKEFRKKREQQELGAPVLVSYDKNPLPRADGSQRQS SLDAVRTSRTDEEKEETSR UV8b_06952 MGASDSKIVFKQGIFKLSEERHIPADDPYWTSFWELPDSSEDIF SLFSPTDIRRTRDKALENIETLILALTSRLFILRHHPSFPDPELAPEKDALNCIRVLT RILPYLYEKDSLATWEQRFFWGTRRKRTRHAVIANEVLFDEADDDKPEPKQNVDEYED AKPLAEEIIDTLIDLLFFSDLTIARQPHGHDKVTYAIWQSGVGCNTAVATTKEFESNR AEVLRLLLAMAGQSMYMTPGVLTQTGVRTLTYMCTSQDKQIVLSVLCSLLNTTLKYNP ASWRVPYNNLVFRDSKQSLVTYSLQFLLALIVYPIPEHASQSAPPLKNYYRHFLGRLH RPQDFQFIVDGMSRILSQPLTEKSSYLPGSQASSSFAPEILMLFWETTQSNKRFRSFI IDTDRVYDFVVLTMFYAVEYKNDAAKQGVVRMCAFLLQTLSVDSNFGPCLNRKFEGQD SLPAGIRINGFRGTYCDFLIHSIYNLITSSQGSFAAIYPALLAVINNIAPHIEGLGAS GSSQLMHMFSSMSSPSFLLANETNHQLLHSLMESISAVIDNNYRKNPELLVAIVNNRK RVEALRSFTLESGQEEIERRTRMRKDRGDSLDSGSLRNSVDSSRSSSVVLAKSPSSSD EVPEDGTFAIGGSDEESDDDPQPTPAQSTASENQSQSSSVFNVDDAVPVQLRGMSEKA RGKMPAGAGSFSRQNSTTSLGTQSCSSRARNGSFEPTSQWIDGWLPELPLHSILTVIQ QVSSVLPRQAGREALTTEVTRRIKGIELVGIEPSPARVHSFEWSPLALGWYESLLWGV IFSSEVQMAKGTMGIWNGTAIKLFRVQETAPTGPSLTSPRGAVDAVGSNIVSRIGQIN LRGGGSSSAKNLTSGHSPPPGP UV8b_06953 MAGPGPALSPTPEEEVKLYTIHISSKYLNLTRQKLELTRLPHDV PQPDKTSWWEPKSTIEPLVDYWLEQYSWRDQEAQLNASVPQFRTAIRTDGAEAPVRVH FIHSRSTQADAVPLLLIPPFPFTNLSMTHLIRPFTAPDDAANGTSFHLVIPSLPGLGF SDAISSNQRMVPLICEMLDALMKRLGYRIYLATNTISSPNSVADIDFRIINHIAYAYP DSCVGAHLLSPPCQAPTWQAAPLEWVKWKMMTSLRTPGLGYTRDDIAALRKHRPPRQG KQRGLSLVPGLDSKAFESNMLAYALCDSPTGLLLFVIMVLRLLGPKHDFSSGDIIQLA ELTWLPGPEGTMRLWAHCSSERDELPRPSRKPKVGITAFSGSTDGETGTQQQTCSAAS SPDVHTCLAWAKTTYNVVSWQKAPGSSGLLAWERPEVLVAGVRALAKVVFPKDDSRRQ AAKEAAKKPRITSLERVVLGENESARAEGSGTTIRGDAPPTLASDDDVERAQTKQGTS TDAKGAKRPPTPLFPLAWGQRSEAVRESRHASRSSSGGSPTTMKPLTSG UV8b_06954 MSARYGGGGPMRKGNDFGHWGWPDERDAHGDGRPRHRAERYGSL SHSPRGPPPAARNNMPSRARVHDSSAERQIASVLENIRSEWPSMCQSNSVPVQLALQL LDTSSVGRAHEYRKFQDSHKYLQDSLKNIVHEHHQGFNSSIGTFHKIQGSIQASQKRV RGLKESLASAKASLCSTDPELRKLSQTSREYDELLQTLNELDDLRAVPDQLEARISEK RFLAAVEMLQNALRKLRRPELDGIGALNDLRLYLVNQETVLMDILVEELHDHLYLKSP YCQERWQILAKTQGASADGYGDASIVSPFHAALEAIDLEKAVAEDPMKNPEADTFNYI GLLVEALNKLGRLQNAVETLKQRMPVELFGVVNETINEVDQRHPSSLRGAHGKSDGIL VYNNRETQMRADVIYDLLWTLYAKFEAIAEAQRVFHEFIKALIRREGAGNNGALLGSF KELWNLYQNEIRSLLHNYVTTDADVYQSDSPVPGAHFNGKKDAAREHLFKFSETENKA LDLATEFDALEVIIQATVPGLTSTSRKTGPDSKRNRVALDGGLVRRDTDQGLVGSGKP CAGSYKSLVEPSVFNMSLLLPPTLTFLQRLKTIVPPGSDLATSTLTTFLDNFLVNVFQ PQLDETLSKLGDTVFGEASSFVQDQAWSQAAPRPIFKGTTLFFQVVTAFCKMLGTIPP DQALSSLILTQMLRYFDRCFRWYGSLVTKTGDEGVEAKNLRAAARLSMKSGSLQAATK QLWSSPDAQAELIDQEIGELIEQTNESKLDTGDIIQDRDTVSSLCLLYTSMRWLAAKI SGLRHITAHETDSSIQAPRQANRRWTLTADHDKAAYDQGQVHLPLTEESAQKFDNIVA SYEELAMTALLTLHMEVRCRIVHSLQNVLCPDLAPYLLDQEVREPDPQILGLNHELVI LDETIVRHLRDKEVSFVRNGLGRLINTYLVRNARLASPMNDKGCGRMQLNILVLQQNL KNIEQGVDLARSANYFALFEQGPDAIVEKARQAKDKAAAAADGDGDGDADAGAGAGAG ADADAFTYEELGALMELCFSEPMADKERGIASAARRRMDEKLQELREHLGQS UV8b_06955 MKRGDQEQRINARQGLTPDLSERKRKAAALLAKGGSGWGEGGGG EQGRLCRGVARPDEMMVGLGAWLVGRPGSRAARCMGTQDACGAWARDGHGARKGPNPE PGGANQANQANQANQANQANQANQANQRRAAELQEGLLQSHSAAAPNTPVIRRLCASH AHGPGKTAPRAPARLAHPPDDCISISIGISISIGISISISISISISISISISISIGIS ISIGISISIGIGITISIGITITITITITITITITITITTSISISITTTISHSRPTITA PSCFAHAKAPSPHASSTPVRSRSRSHQSPQPAGT UV8b_06956 MANPLGNALRSFWHSMTSYDRHSSFDSPQRTGRHVPLHNDRNGI LTGVATASESRADVSSPYSDEAGRGSPIRNGNQSPIARGYSPGMRSQSAHHGDGFEVQ SPGDVPLQPFQDGLPPPPPVRYSWQRIDSWAEENYPELRDQLCEGATNNDLNDLEHQL DCSLPQDVRDSLMVHDGQERGGNPTGILFGSMLMDCEEIVQEWETWRRVNEQYLLETT MTKPTTPSKTFGGSGEASSSKQPPPRPSSSSSSAGDGEWRQSLLARQGSVPPNAVQKA YAHAGWIPLVRDWGGNNLAVDLAPGPNGRWGQIILFGRDYDTKYVVARSWGALLSLVA DDLNSGKWFVDEDSGELKLREFKDARVEPAYFNILRWRMDQKYGRRGPASKRQSTAAK KATSPRGSRSASPYGSPVEPNGGDARGRSLQRINGSSPLVSPMGAGGYGKAPLSRVTE ETTIPEIPSAQIEPSQLVEVETPRQSEEAKNSLASTATLAPSESDLFKDKENENPDKP FLNVKVPAIKDDTMKTIEI UV8b_06957 MAAYASAHMLLANPAPYDKSKLTNGPLLDNGSDFPCKVGKVTYS AEGASNVYPQGSSQKLEFTGSAVHGGGSCQLSVTTDLKPNKNSVWKVIKSIHGGCPAK NTPGNLGDNPSSKDPFTYDFTIPKEMAAGNYTLAWTWFNRIGNREMYMNCAPLTVTGS GGSSSFLNTLPDMFVANVNNGCTTVEGSDVIFPNPGKDVDSFGGAAMDAFKGARFTGN CQKPAAGGGGGGSGSGSGSGSGGGSGGGSPPASPPASSAAASPTIPGGVFITQSQPAE TQPAPTTPAAVPTGGNTPPPSGSNGTTPPPSGSDGNTPPPSSSNGNTPPPSGSNGNTP PPSGSNGNTPPPSGSSGAFPAGTACSPEGQWNCVGGTAFQRCASGQWSAAQPVAHGTS CSPGQASELKLALASKRSRRARRARRALRFVA UV8b_06958 MSSRRVVELSSRRRVAQGSEGRRIVTGMPCRAHAMPCYERAPAG REPMTLDDNDALAPDPAASVTPATPATPLTPVTPVTPVTSLTDMNC UV8b_06959 MEVVAGWWRFPRRSGEAGAPVCIKGQHDHDWCFGALIGGPHCAM PSEMNQRADGPWATESAAPVHVERFFRAATGTPASVGRTKKRTENEPAKTQQMQQTQQ TQQTEQTQQTQQSNRIPLL UV8b_06960 MASPTTDAVKSPLESVASASHHGGKTCADSQQYGDQIPHEMLEI LAPNGEEDFILSKINSMPEEEAVAIITEALKFHSDDWNFPSDMRQRMHKLLLGPKTYG EHYGRDLRVDATMMKWSSPYPAVRAVASPVDDAQVPIETLRAYFLGICWAIIGTFTST FFNSRFPGISLGNSVIQILLYPCGKLLHALLPDWGFHAFGVRHSLNPGPWTFKEQMFA TITFNIAIYTTNSYGMILVQRSPVYYGLDFIDFGYQLMLTLFVQLMGMGLAGYLRRFS VYPVKALWPTLMPVIALNRALTKPEPRERINGWTISRYRFFFVAATAMFFYYWLPGFL FTALSQFNWMTWIAPDNFLLAVLTGSNMGLGLLNPVTTFDWNVATSSYAALAQPFFST CTMYVGSVLGGFIILGIFYSNMYNTGYLPINSSSAFANDGKPYVVQKIVVKNKLDIGK YQQYSPPYYSAGYVLTVGANFAFYPVYFLYIMVNQWRTIGRAYVDFYKGLRYGKGNYE DAMDVHNREMAKYKEVPDWWFLAIFAGAVVVSVIWTEIYPVDVPVWLIFLIIGVNLVF AVPLSFLSATTGTNLGLGSLMQIMTGFLLPSNPNAFLFGQTLGSWALAGYGDNYVQDQ KMAHYCKIAPRAVFRSQVGTIVATCFVAVATQNLILDKVEGLCTPDQPSRFTCANDGA PLYSNSLMWGLMGSGRMFDSVYPLFKWCFLMGAAVAVVFLAGQGLGPRYLPSARERLR RRLSPARFAWLDGTLFRLVASLMWLNPVLVIQGIQHWAPSNLAYKTPGFILSFVFMHW LPRHRLAWWSKYNYVLSAALTAGVALAALVMFFAVGYHAKSLRWWGNTVSTGGMDGRQ VGWLAIPARGYFGPEKGQFP UV8b_06961 MRAGRRNTADGVPFPHRRQSSTLAARTASIPNLVNAAGVEDPVR GIPSWRWRERKREKQRKLGAPNCLRFPRGESSPRACRVGRKHHLAPKGFPARVSCVQQ EGVDTYVWFESPPRRQPCRPAGACRRTHRENAWH UV8b_06962 MAPRGEEPADDLARGIVPTARQSFRDLFIWRQRVVISNEYGETR CKWKDPDRFVNPISLLAQLSAKNWLFFLVGFFSWTADAFDFHALSIQTAKLAKYYGRS KTDITTAITLTLLLRSIGAACFGLAGDKWGRKWPMVANMIILGLLQIATIYSHTFSQF LAVRSLFGLFMGGVYGNAIAMALEQCPPNARGLMSGILQQGYSFGYVLAACANLGVGG GTDTWKTVFWAAAGFSIGIGIIRILFPESQQFLDAKKEGKQHGSPGAFWRETRMMLAQ EWKMCVYCIILMTWFNYYSHTSQDSYTTFMLTQKELNNDGASRASILMKAGACVGGTI IGYASQFVGRRRTIIASSLVSAALIPAWILPQGERALSATGFFMQFFVQGAWGVIPIH LNELSPVAFRSTFPGVTYQIGNMISSPSAQIVNAISEKTFVRLSNGHRVEAYGPVMGV ATAIIAVGIIVTTMVGPERRGRDFENRVAGVNSPVSSDKVLGEAEDEEKARVAVKE UV8b_06963 MASHPDQTPVETGTSEVRSEVKKPKKKKVLLMGKSGSGKSSMRS IIFSNYIARDTRRLGATIDIDLSHVKFLGNLTLNLWDCGGQEAFMENYLSQQRIHVFS NVGVLIYVFDIESRDVDRDLATYVSILSALLQYSPAAKIYFLIHKMDLVVPSARESVY EERVRTVKQKTTEYVNSFGGDASAMELTPFATSIWDQSLYKAWASIIHDLVPNLAVIE RNLANLGLAIEAEELLLFERTSFLAVSSWTSPEGRRNPTEDRLERMSNIMKHFKQSIS RFTGTPRNAEQFIRMEHKAGMRFNLFILKFTTNTYLMVVLPPGEARFNAAMLNCQIAI EHFKFLDGPAPPPSATSAVPATAA UV8b_06964 MADHVGPSTSGRDELVQQFLAMCNTTPQQAAQYLGANQWDLEAA CNAYFLDDDEEKVQAGAGTPHPEREPEYTGPRTLDGRPAPQAASSSRSRGGPPKAKAQ PKRKGVATLGSLGGGHQHHDDDGDDDDNSGEADDGDGRGNLFAGGEKSGLAVQDPNQD AAPRTIISDIVAKAKENSSRPDPSSAAPGPSAPSRFRGAGVVLGGEGVESRTIPDLSG GPYGSSAELQERVLHIWLDGFSIDDGELRRFDDPANQADLQMIRSGRAPLHLMNVQHD QPVDVKLHQHDTPYKPPPKKYKPFSGAGQRLGSPVPGPGAASPAPPPGAVPAPSAAPS AASSARGPAADSSLPTVLIRIQLPDGTRLPARFNATETVGDVYDFVLGASPDTRSRSW VLATTFPNKEHADKGLALAEVPEFKKGGTAVVKWT UV8b_06965 MASDDPSSSLTESGITMHSDSEQYSPGEDNPSASPPSTGSPVIL YRPPTLWSLLRGAAINLLLPFINGMMLGFGELFAHEAAFRLGWGGTKVFPLSRRRAHA VGPGIEVRERRRNPGPSLDDLASLE UV8b_06966 MLPSRAIVRSVPSLGLRGQAPGGRGANPPTPALLRRLGRNLSSV RRGSSPVLLARSAAGTTVTLGSGAASARQLSLWGYGKKKTAGDGEAAPAPDAAARSPA QDPLAEHAAPAPPPPLEPPASTPAPEPDVSPVPDAMADGAAADVASMPEGIGYLKALG LEYGWGPTSAMQWCLEHLHVHTGLGWGASIMLTAVLLRCAMLYPQVRSLRFNGVMQTM RKDPRAQEAMKMVQQGLMKGDMAMRQRGQFVNKKLKAEYGVSNLGMLWSFGQIPFTFG LFRIVSSMANVPVPALESAGYLWFTDLTATDPYFILPAAGTALMVAALSVNSKYTPEA QKKMLQKLTYVFGFVGFIGTSFLSAGVNLMTVALGASTLVTSIVLNNPTVRSKVGLSP HPRVETPSSSAAAAAATATQASYEAPRQPLRDRLNNHLDEVRKGFSEHLANYTGAQGA TQQEKAEQKRRELIRKLEDTRKQQEREEFERKYKGKS UV8b_06967 MGLFSRADKTSSKAASSIEASPSPSSSTSAHSRAVGNRVSATSF TSAASPSSPMPPVKLPKIDLPRPPDPQLDPVGYLRSLGAVRERSTMVLDKAKRNKLQH FDVDLDKLPDVVNFVSGLIKRDYDAPFTSIPGHGRYQHFGVGGRDRIADLLSLWPDSV DSTERCRRLVDLFLVSVLLDAGAGTRWSYKSSQSGKTYRRSEGLAVASLEMFKEGFFS GNPDNKYQVDKDGLEALTVEKLRDGLQSRPGNELAGLQGRTELLVRLAGALAEKTDYF GRDGRPGNMIDHLLSHPSTQASSMLIVPLPVLWDVLMNGLTSIWPPSRTALNGVSLGD AWPCQAMTQPGADPWESIVPFHKLTQWLTYSVMQPMQSLLKMHFAGQELLTGLPEYRN GGLFVDLGVLNLKKDDMERGLQNYSEYCRRMGTKEVEVAPMFEPSDDVVVEWRAATVG LLDLLCAEVNKALKKELAGNEMTLPQLLEAGSWKGGREIAEIHRPNTKEPPILIDSDG TVF UV8b_06968 MAEGAGGIDRKADERMEFSTSKEVTVHPTFESMSLKENLLRGIY AYGYESPSAVQSRAIVQVCKGRDTIAQAQSGTGKTATFSISMLQVIDTAVRETQALVL SPTRELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHIVSGTPGRVADM IRRRHLRTRHIKMLVLDEADELLNKGFREQIYDVYRYLPPATQVVVVSATLPYDVLDM TTKFMTDPVRILVKRDELTLEGLKQYFIAVEKEDWKFDTLCDLYDTLTITQAVIFCNT RRKVDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQ QVSLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTTEDVRILRDIELYYSTQIDE MPMNVADLIA UV8b_06969 MYVLEEQRYIHEDLERLEQGIADRVWEEPKHIRDRLNRDHEIAQ LLNQIQSQSSKLLRLYKDEHGSRAAEIQELGTGDPFDAFYKQLGSIREHHAKYPNEQA ENSEQRYKPRKATDATGPVASIVDTIFSGEEAFGRFFDLNTCYESYLNLPNVKRHTYL QYLEHFDNFTPAYCGVKLVDKLTDQYFSYVGELTQYLEDFLRRTRPLENTNKVLSSFD EDFSNLWDTNQLEGWQLETATGVTKPAMTSAQSVSCKYCEKEFKNENVYNSHLTGRKH KKAEELWQQRQQDASGDSDGIKANLVSPTRLKERAVAEREYRVKRLANAMSTERSDTH VNVERKQGMTKREREQELENLLNMSDAPQEATENGEGEDDDDEDKIYNPLKVPLGWDG KPIPFWLYRLHGLGVEFPCEICGNYVYKGRRAFEKHFNEARHIYNLKCLGITNPTLFR DITKMDEAQKLWEKIQREKKQSKLDEGSVVQMEDGEGNVMPEKVYYDLQKQGLL UV8b_06970 MNHVAKPIPALYTVYVLRSTVRHASLYIGSTPNPPRRLKQHNGE SKGGASRTSRYSLRPWEMILLISGFPSMISALKFEWALTNPHLSLHIPDKDRVSVSTQ RKKNGMPRRPLHSLKSIMSNIHLLTGVPSFARWPLNVHFLAREAYTAWGTRIKSTQQP SGQGLRVLTDFGETPEAGARGGLGGIHALPLDYAPMAEYVIKANDVVRFEQQGNCVHC AHELEAGKGLYAMCPNGSCKSMGHLVCWSKHALSGEDGGHVIPNRCRCPSCGGEIRWV DMVKELSVRVRGEADVEKILKAVAKANKVPVS UV8b_06971 MAPMSSTTMTTTGGNSTNESSRNSPTLGVSAAQASSRPKRKVNT NGYHPVNTHVPLSAMASMGLDLASVERRGQPTAIREPLKKKLRPHGISEAPTYCPTEE EWRDPLEYMKKITPEALQYGICKIIPPDSWNPDFAIDTEKFHFRTRKQELNSVEGSTR ANLTYLDGLSKFHKQQGSNLHRLPYVDKKPLDLYRLKKAVESRGGFDKVCKLKKWAEI GRDLGYSGKIMSSLSTSLKNSYQRWLCPYEEYLRLAKPGVHQQLEQEYGGPLTPSPAQ TPARKSHVNTPSSAKRESPARRASDSLQKNINGLKRETERDTAMADAPPAPTSGSSAG FKAINSGGFTAASSALKSGPGEKESSTPDTKRMTSPAASASNTPEARGTGPNPPAGVK RQLCGDATEPTRRDVETDLDDGENSSRRSKRLKKDAVPTVAGSHMTPFRPTVPRIPKD ETLAPGEKCENCGHGEDTGILLVCESCDNAYHGPCLDPPLKRRPDAEWNCPRCLVGDG QFGFEEGGLYSLKQFQQKANDFKQGYFEKKMPFDHTLNCHRPVTEEDVENEFWRLVAD LEETVEVEYGADIHCTTHGSGFPTLEKHPNNPYASDPWNLNVLPLHPESLFRHIKSDI SGMTVPWVYVGMIFSTFCWHNEDHYAYSANYQHFGATKTWYGIPGEDAEKFEAAMRDA VPELFETQPDLLFQLVTLLTPEQLRKAGVRVYAVDQRAGQFVITFPQAYHAGFNHGFN FNEAVNFAPYDWEPYGLAGVERLQIFRRQPCFSHDELLWTAAEGSSSTGVTIQTARWL GPALERIKRRELARRAEFITRHKKASPHDCPIDGSGKEPCPLAFKVEAAQILEEEEQC CAYCKAFAFLSRLKCERSGKTVCILHAGQQPCCDQTEHQRFIGEGHTLIFRVTDEAMT ETYEKVLEKARMPESWEEKYTKLLDEETTPSLKSLRALLHEGERIPFELPSLPILKEF VDRCNDWVEEATNYIVRKQQNRRKNEKAWQSGLRRSVGSACQDQKEREPRHVSNIYRL LRDADHIGFDCPEIAQLQERAAAVKEFQLNASRTLENPNAAPMETIEELLEEGRSFNI DTPEIDRLARVLEQMRWNQKARSSRGLFLSLQEVRDLIEEGLRLEIPSYNDHLKFYRD QLQAGESWEHKAQQLIKAEIVHYPQLEALSSQVQANALPVSRETLAHIDRILHKQREA HRQIMDITQRCRVSDFRSRPKYSEVVDVTRNLEELNSKPNGTLDLENERKRHEDWMRK GKKLFGKSNAPLHILKSHLEYVLERNTDCFDVENDTPRLPAEPVSREVTPEQGASRFG DRSRQVFCICRKVEAGMMIECELCHEWYHYKCLKIARGKVKEDDKYTCPICDYRERIP RDAARPKLEDLTALADEISQLPFQPEEEEVLNNIIDNAQTFRDRIARYCNPLVSTEAE IETQRFYLRKLEGAEVLLAYETNFFRQELHKWCPVAPVPPPILEVSLSTRKPRPTKLQ KMLAEYGVDNPDDLPEHAKSKANSLRRKAANAEAAAAAAAAAAAAVAQAPMRSMVPPS GGSMSYGGPSLYGQGPDSNTDSASSPRGHGDRPGSSLDGERAKPNASGADNSNIHPAF FSASGPVFVNGSAMALEDRLLQDVDDGVDLHGEAGKSKALEILGRAEIGRRQAESMWG TDVWGAKKASMSEAHGPAAAVEAVDDSLKRHEGSVEQMFEEMTNQDDEEEEKKKADTA AEAITAESLESERNGLDAMIDGE UV8b_06972 MALPDDSDAASSKKRPHDAPTPSGASSPNGDAGPPRKRKSKRSR PDPVNGQPGKRRSSIGKAARDPRDEPPPRKKVPDAEEPSPSPIIELDGLSRPGRGTRR RRDEGADEAAARLDKMRGAVRTLLECVGEDPDREGLLDTPSRYAKALLFLTSGYQVDV EELVNNAVFHEGHNEMIVVKNIEINSLCEHHLVPFIGKMHIGYIPSNSVIGLSKLPRI AQMYARRLQIQERLTKEVANAVMDILKPQGVAVVMESSHLCMVMRGVEQTATSTITSA VLGCFETKSKTRSEFFSLVGLNKA UV8b_06973 MMASPPYASSPLAMSPPHPSPAQLPSKRRSSTLDVNLPPVKRRK ASALSAASAPTHPLRQTSFPPEGGRSPYARSPSVDAASIVSGSAVSATAGGRSKKKRG RKLKGGKGEGGGDDSAEQTPSLAGGKAPTTASGQGGDKDGEGEGEGDDDDDDYENGEM ALEDAGARTQEQKQEEVRLRAMLVEAFDSEQYNRYELWRAAKLSDAVVKRVVNATVSQ SVPQMVSTAVKAVAKLFAGEMIEAARNVQGEWILAGEKQADLPTPPPSTDDAVGDGDG DESQQQQQQQQQQQRPELKRGPLRPDHLREAWRRYKMSGESRGVGVQQLWQAQQGSGV ERFSTKTRKRLFK UV8b_06974 MAGVKVSKTGPLPVLLPVSLPVSLPVSLPVSFARLFALTLLANA MAMLPPAARQTTTEPPSQSAAAIRIRDNQRRSRARRKEYVEGLERKVQEYEKRGVDAT MQMQHAARTVALENSRLRMMLARMGAADADVDAFLQACQDREAAEALSSVSLRPLHHD GPDHETPSAGKRDADPAASRRAQQHGETQMVVDAVRILGGGGNPGAVGKGMPLSSLSS LSSLPSLPSLSSLSSLSSLSSSSSSSSLSPPPPPDPGMAFGGEPTGPAGRPSKTGCQP RLGTFTHHHHHHHPHHHHHHHQQQGMAGVLKYGGYAAGAVHPSAPAPSQQSLFDKLDV LASATLQQRDYCDGKTPCPAAIPTPALEAPSPSTLEPSTGAVTPSSPYAPELSPGAST VVQEFSSPMEMSCDAAAAIITEMQGHDDREAAKARLGCQARDECLVRNAVLFEVLDSA TGNGLYS UV8b_06975 MQTTNDMPVFRSLGWLDRYLAAWVLLAMAAGLLLGNFVPGAQDA LNQGRFAGVSVPIAVGLLVMMYPLLCNVRYEALPDMFRHGALWKQLAFSVLLNWIVAP FVMLGLAWAFLPDEPRLRSGLVLVGLGRCIAMVLVWNDVAGGDPEYCAILVAVNSLLQ MVLFAPLAVLFISVIGRQPGQDGIRDLSYSLVAASVGIFLGVPLGAAILTRLLARAVA GPERCQGVLARFASPLSLAGLLYTIAVLFAAQGKHVTRQVVSVLRVAAPLVVYFVVVF SATLWVARRAGCGYRLACTQSFTAASNNFELAIAVAVATFGADSQQALAATVGPLIEV PVLVGLVYLVRWIGRRWNWRD UV8b_06976 MRLLVRAVVAAGLVAGARILQTNEEGWAEQNVRLFNDALRAAGH DVVLSCPAYNSSNHGMSDKEPRPSQAPCHYNSCPESFAGTGANASRPDLNWVSSYAVT AARHGIERTGPRLWGGAPPDLVVVGPGVGPSTALGNRRSSAVGAACYAARRAKVPAVL FAGANRRGHPWNEPPALDSAVFARVAANVTQALVDAGPPPLLPRGVYLNVNMPRVAAG GCARADDVRFYLTRTGSGFASARDVAWCRGTRLPTEIWIQNQIPCAVSLTVVDALDLS TAPAKKQKLVLDRLRPLLSCLP UV8b_06977 MATGSLSLGLSLSLSHSHSGPHPRRLPPSHTCWPVRQAPRNRSP GRAQNQICSKIHRPPSDIVACPVKRPVQTALFNTAKMPREVADIKKFIEICRRKDASS ARIKKNKKSSNVKFKVRCQKHLYTLVLKDTEKAEKLKQSLPPTLSISEVGKKI UV8b_06978 MPTALDNVLKSKNMVLAFGGAVVAAAVWTICGSELFPRQPDPTG DPETWTREELTRWLAARNLFPGGTDTREELLARVRANSRIARPG UV8b_06979 MAFFEDPRLRRRWNQIAHDAETVTENAAAGVFNLQQNYINPCLS SIAHSIEQCTAVCLGDPEERLRRRRERQRARDHAEFDFDFYDDWYQEEQGGGGGILGS WGGEDWDRLLAGAGGARKHGGETTEQPRRKRGMSYGTRGTRRKASTADDPTIIPSTQP LGFLSKLPWKMGGTLRYKPSAADLQDHPVKHDAGEAAPLLAPDDASAHGQVLRMPPRQ RSGTTASAGTSDSYRSRGDLFPSDGEGEEDAIPLADDVTYDMIKKDDRSSGKTKRSSS SGKGKRPAGGFSGSRTVSRSTLASTTTSADSPDRERPHYPLAPAPGAAETPSVDDLRA EEERLQREQDGELARRKEAAQQLACERGLVAARPQVQAHEISHLESDVLVEADEPPPR HPAANGREEKGAQQPPGRVPGRSDQDAFMRFAAAEPTAPEAVGRNGDQKAAATRKATA TPTTPAFQAARLPRFG UV8b_06980 MAPSGPITTPLTTLLGIRHPIMLAGMAHTAGGELAAAVSNAGGL GVIGGFQYTPQQLRDMIDDMKARWERPNLPFGVDLALPQVGGGARKTNHDYTHGKLDE LVDLVIESGACLFVSAVGVPDRRVIDKLHRHGVLVMNMVGHPKHAVKALDRGVDMVCG QGTEGGGHTGDVASSVLMPAIADVARRYRPPMLGGGPALVVAAGGIHNGRGLASSLMQ GAVGVWVGTRFVASAEAASSQEHKQAVVECGFSDTDRTLVLTGRPLRARLNPYLRAWA ARGNAAQELCDRGVIPIEHDFENDVEDIEFPHIMGQVAGAITKIQPAREIVDEMVAEA VEQLRLADAYLSPSSRSKL UV8b_06981 MSGIAKKRKRNGAEPVLAALAKKSKKAKNPPRIEPEPELEHDSD SQDESIQGETNQDNGMDDSADSSDEGSGSDKEPSADDADNKDQDGDSPVGDLAAANAP LLPAATDSELFEQLKLSDKTMKAIGEMGFTKMTSIQKAAIPPLLAGKDVLGAAKTGSG KTLAFLIPAIEILSALRFKPRNGTGVIVVSPTRELALQIFGVARELMKHHSQTYGIVI GGANRKAEAEKLSKGVNLLIATPGRLLDHLLNTPFVFKNLKSLIIDEADRILEVGFED EIRQIVKVLANDDRQTMLFSATQTTKVEDLARISLRPGPLYINVDEEKQFSTVDGLEQ GYVLCDADKRFILLFSFLRRMQAKKKKVIVFFSSCASVKYYAELLNYIDCPVLDLHGK QKQQKRTNTFFEFSNAEHGILICTDVAARGLDIPAVDFIVQFDPPDNTRDYIHRVGRT ARGANTKGRSLLFLQPNEVGFLSHLKAARVPVVEFDFPSKKIVNVQSQLEKLIGKNYY LHQSAKEAFKSYLHAYASHSLRSVYDVHKLDLARVAKSFGFTTPPRVDVNLGQSMSRD RVQGRRAYGSQPRQAARGGRR UV8b_06982 MAKFVPRQRKHKVLAREKAKQNAQHPVQDTNPEVLPAAQAKQRE QRAQLKQQLQQDGAKVSGKKAKRLEKYIDNKLRKDENKELLAKLAARRIDTSLFASSR SLGQGRETKRQALSRALRERRAGLEGDEDVLLEARKVLASDSADSDDEQRALSANSER ADSDSEKAPAVPMPSVATAQARLGAPAGANPIGSGLKRPLEQDEQGKPVLRKKQKRGG VRTKTTPLETIAVTKKIEPEYEEWGGLGSDGDVNEGSDAESSTRSVLQGENHAESDEE EQNASSDRESSGDDSPRVDDDDDDDLESEEESSDSADSEQGNEQAAQRSSAFKAWAFQ QRNEALGYQTTEGNAAGPWQVPKPDNFEPRAPEQDPLPMELQPTVNDARKSFTVTVSR SREIQEARLQLPVVSEEQRLMEAIHNNDIVVICGSTGSGKTTQVPQFLYEAGYGSANS PTPGMIAVTQPRRVAAVSMSRRVAEELGGEHSDVVAYQIRFEGTVSDKTAIKFLTDGV LLREIAQDITLRKYSAIVIDEAHERSVNTDILIGMLSRVIKLRAELSEEDPTVKPLKL VIMSATLRIEDLTMNPTLFPAPPPVLEVEGRQHPVTVHFARKTHPDYVEEAFRKITRG HRKLPPGGFLVFLTGRNEILQLSKRLKAAFGGLSSAEGPRVKISASEAPVEVEDIEFG DADDYGGGGGDDDDVADLHSENEEDEEEFQIEQDQEAAPQKMQILPLYSLLPTREQLR VFEPAPEGTRQVILATNVAETSLTIPGTRFVFDCGRSKERRYDKQTGVQSYEIGWISK ASANQRSGRAGRTGPGHCYRLYSSAVYERDFPPFADPELLRMPMEGVVLQLKAMNLQN VVNFPFPTPPDRRSLAKAEKLLTYLSALSPSGHITQVGKTMSVFPLSPRFARILLVGH LHDCLPYAIALVAGLSAAEVFLPEAQAIPALAAKDEAAIRTPADVVAEDRQANVRRMF NQAHRGFCFLDDRSDAIKLLQVVGEFAHEPTEAWCERHFVRFKVLREIQQLRRQIAEL LRGNVPLLASLKHQDRLDPPSPKQVSALKQMVAAGFVDQVAIRADLCPTPPEHYRKPK RSIDVPYVPLVPLHAPGEAGGDGLVYIHPTSPLAHVSVQECPEYIVYSYLQRPSASHA DGEKKPKVRMHCLTDVTGGQLAGLAKDTPLLGYGKPIKEVKAAAAAAAAAGGDGALAR ECWVIPYLRAENTGGQGWPLPARKVRQRKVAGKGWVVE UV8b_06983 MEGAAGAREDEFPAPSRQAVGIVAGVRTEATALSFSDKLMVTVT QEGRLSQWIQVPLIGSSASRVEMTLPSSSLGLLPATHLTATTLFGGGGGDRETVGQLY AAQIASHVSLKFPDDRRTLVLGLGLERPNLEREAFFDLVELAQKVL UV8b_06984 MGISCETEHSAPFTNIPPLSPTGSSNSSQSEQSLDEPDFSVKPL PLPPSPLPAKPGKDDGKLPALQIVQGDCDGLDPIPEDELDPGSFDLVMPVEQNDSGSL KYKLERRSELLFSNSHLQSIFDDSAHLHRFSNFLHGHRPGSVPLLTYFLDALKALRAI EYSNAVLRQLGPLADFEFTHEFYQAQHTSNPELQEKANAAFDILAREDLPMYITHVWI QTVSVSIRHRIMGTPGSASEGLAEVFCLTDPSRHDNPIVFMSEEFNRTTQYGCDYVIG RNCRFLQGPFTNPFSIARIREKIEAGVEHYETFLNYRRDGSPFMNLVMIAPLYDSRGA IRYFIGAQVDVSGLAMGCYDLGALEKVIDEDAAAEAGKPRLPQPKDELTQLAEILGPN ELEVVHERGGDMHRLPLRSETGFRSDEKIAVAKPPGRASCEKATAHARDGSRNRVVLG QPAPRDPAALKTQAGTTAASLAAHHNGRLTGVYEHYLLVRPYPSLRILFASPSMRVPG ILQSPLLDRVGGSEQMRDQLVEALANGQSVTAKVKWLTSSQRPSASRRCGRRHGKNPH GNHPLEAHLDADDDDVDAAREAESMGRSRWLHCTPLVGSNGKVGVWMIVIVDDESQPE SLAARRSHAVTTNTTTTTKHPSAVSEQCPEMNRSQGARPATRPRRDSETLGRPTAAPS PRSEDASAAKTVQSQHSASGLRAPRPSLKSPGVPARFFTLDALSRAATARRAASGPAA PASDPIPQRRDRSRSRPAGPAEERLDIPAQSWPTPPNSPRGSKQSRTREATARVPSVI HEVPAGKSGSRSRGSREADAVSLRSQASQLSQSSAFTVKIEEAS UV8b_06985 MGQPTGALQQRLSALSTLAMAFAILNTWIALAGSIGLVLPSGGS VAFLYGFVFCVVCCLCVAASLGELSALWPTAGGQYHFVFALCSPEWRNPMSFAVGWIS IAGWLVVVTVQGYFGAQFVSAGAVVASNGSYEITAARTYGIYLAILTATTAVNIWGNK ILGTWNDCALYWSILGFVVISIVLLAMSDKTDAEFVFAHFENRTGWPDGVSWILGLLQ SSLSLVAFDVVLHMTEEMPNPARDSPRALMYAIAVGGATGTLFILVMLFCLSSPDQVL ATATGMPVVEMILLATKSRAAATVLSLALAACFVNGCSASITSASRLLYAMARDRGIV LHRVFARIAPGLHVPVPALVLCYVFNACFGLLYLGPAVAFSAYVASCTILLDVSYAAP IAVLLWRGRDVLADHRTATTPFQMGRRLGYLVNAVALAYLAVTSVVSLPVSSDNMNYV PVVLGILAVLVGLYWLVCGRTFLGPRFDVIVGQAQNVAQGQESVKG UV8b_06986 MASRDGYSWTKATGLRAGVPCLGAIQPSSNVGDDAHFDVIVIGA GYCGLTAARDASLAGLKVLLLEARDRIGGRSWSSDIDGYPYEMGGTWVYWGQATVWRE IARYGMQDDLEISYDFGRGINRFLLSSATGTQSFSHEQEDALLESALGKLVNVDGSFG RDTIPFPHSGLLNPQARRLDFMSVADRLAEIKHHLTPNERLAAEAFVLLCSGATLETT SFYEFLHWWALSGYSYQGCINHLVKYKFRRGQSSFAIRFFEEALGSGNLSYAFNQPVA SVEDAGHQVRVVTRGGHAFAAARVVSAVPLNVLTSVKFDPPLSPARWQAAKTGHGNQT VKVHAEIGDRDLRSFTGISYPHNGLIYGFGDGETPAGNTHVVAFGGQHNHFHPEDSIE HTISAFQGFAPMKVERIVFHNWSRDEFAKGAWFFPGPGLLANHLPAMRERQGNIFFAS SDWALGWRSFIDGAIEEGGRAAAAVRADLLGRARI UV8b_06987 MSLAKRNDGKSRAPRRCPPRAPANQGAILPVGCCECRMRRVRCD KTEPECLKCRKKGIACSGQGFECRFSKHMRKRQAGPVAPWAVTAAAPPKDLTWVQQSL QEGTAAATWQLEASSSAPRPGSCAGAPPTTTATDTDTDTDTDTATATATATASATAAR AVVPRGSAAGSSGCLRGALQTVPCRSRVFFDHFSNFIASKMVVFDFQGNGYRQILLPL ACQNGLVGQAVSVVAAFHLAQKIPSMHAAAERSQELILSRLRQQSLRLDPRTFCSLST WATILVLLVGDTITGSSNYVYLLQLLSRLAQSAADDASLSDATKAFVAEQTKMFQLFG FPLSSESQGVQTLSTSPDYYLDFMTSHPALASNQENYSNVMIMKDAIRQACSIYRNRA SHQATPESSADAVERLRQTAMKLGPDADGSHALVWAFFVAAAESSLPEHRDFFYNRLK GLFQCTRFGSIPLALQTLDYIWARQETANWTEIVTHQRPILIM UV8b_06988 MKFALATVLALATAALAAPTESSPSQCKHLVCTDSSLLVLDLDV QVDVDVLGLIDIDVDLDLQVDLLKHHRKCKAVYCCPSKCEQGKHIPDSCHRYD UV8b_06989 MLLLKSIIFLALCLPSQVLALPNLVKWADEDQPTWHAEFTLGPF DPKPATYEQVLSYAKQYYNQIKSDPKAMPENSLKKHGPLLVAALYIPGSTAANHKVYA STVPRGTRKTYMKDHRQEATAWYIQTKNLKSTNKDDVNIPFHAEDAAYFNFETLVPDS KRTRYPSGSILAVWGRFDGQADAGKEQLPCGAYPKRDPSCATVAHNIGVATGKGAAKR ASVFNDEVARIVEGLESLSV UV8b_06990 MHSPPTKISGAGKLRQETICSFLSTDEKLQRLDIFSLPASTRQK IYREAGLPYNDHVLLPSSKYQSPLSSEARATISGLLVANKAVSSEASKILYSANAFTI WLTCLEDLRPILAFSARTISMLTNLIIQCDDPSRDCQTLLCSSFGLPDACPCCRHPKL ASEANRPSTFWEFRDVLRHVVSHATPFGLHLGISINVGDAETARCLLEPLRSTSTLAD CAIRLSKRPDMELQSIARQFALQAMGKVAQEPAFRFMDLPREIRLQVLEETDLQIPDG EVEWSPLQGYHAAAASTFCGQEYVCFSGYLDDPFLKQGFFCAQRHSSFSQHCHCWCPP TALLLCSRRLREEALGVFFRSNRFTVVPKNGCTLPVRKVQDEPDDRLEASIFLRNVVP FQALSYLRFLEIVFPPFDYEFLPPNHTAYRDWIELLDFLSGVLSPSQLVLRVHFADYD STGYKRTYFRVNMTPAGSEAIARAYERTLMPLEKLRRSLKCLFIHLANPWSWASASIQ DIDVVRREARVHMQATEQRLEKLIMADDGYDGVARGKNSEKKSRWLLSAEYKSEFSMP VYGFDPRLNAWV UV8b_06991 MLVCFFTAVLAAGSVVALPARRADAVSLDAKFGRDGKRYWGVAT DRDRMSPQAVAVIQSQFGQVTPENSMKWENTEPQPGQFRFADADALVYWAQRNGKLVR GHALLWHAQLAAWVHGISDRETLTRAIQNHIARLVGRYRGKIYAWDVCNEILNEDGSM RQSVFYKVLGEDFVRIAFEAAHRADPNAKLYINDFNLDAPDGAKTRAMVSRVQRWRAQ GIPIHGIGSQMHLRTGQGPATAAALRALGEAAPEVAVTELDVAFASPADYVAVARGCV AVSNCVGVTSWGVGDGLSWRGREYPLLFDGSYRPKAAYEAVMNAL UV8b_06992 MAPLILAASALYLCLAQAAYPFSLASPDAIRTSASLIAWDMMQY YKGNESGQTPGILPGPPPAGAYYWWEAGAMWATLIDYWAWTGDSSYNDNIMQALQWQV GPNDAYMPPNVTASLGNDDQGFWGFAAMTAAEMRFPNPPSDKPQWLSLAQGVFNTQAN PDRHDDSCGGGLRWQIPFANNGYDYKNSIANGCFFALGARLFRYTANTTFSDWAVKTW NWIEGVGFLDSETYAIYDGAGVASNCTKINKAQFSYNNGVWALGAAFMYNATQDQVWK DRVDRLVNYGLKTFFPDGVAVEISCENVGTCTTDMLTYKGFVHRWYSIITELAPWTQA TIAPVLRTSAEAAIKQCTGGANGRQCGFKWASGQYDGVTGAGQEMSVLAAVSSQLVGV ADHPPVTEKTGGISTGNPDAGSGADNFGRKTYAITTGDRVGASIATLLVLGGAGAVFG AMVTGC UV8b_06993 MATKMRKSKSPSHEQLHDKNTERAYIAASRRGDRSLDARVKSAQ RASQIHKAITGKALYITKDIVKNEEMYEEMDDRIPLPYQFMAHGTQPGVLGPSPGHGR QAPRSLLVLEMDKMWRDGVINRLFEQSFTRADGEAGELWVFPKHSHQPHEQTRQRLQH HAQRPRASASASASASASASTSASASVSALVSTSTLTSAPESSVGGRREPAPAPPGSH AAVLDGKACRKRKRLDDEIWKRALPVRRSSCAHQGGLSPAPAATAAYASPSSPGDGAH VFPVYRVDAAAAESDVGTIDDVESGDKREKSEETEEMEETEETEPPVEASGSGVSAEI EASAWRIIEKEAMDEGMDAQSWMDVLEYYSLA UV8b_06994 MDRRYVPLRPWSPGASAKAPAFRLAESKRRRVGVNVACNDCRRK KIRCDGGRPTCTNCQGKKTSCEYRDEEGHLSKESKDLVVHVTQSLSRLPHAEQTKVLH ELRNEMDAWKILSVLRQGTASGSEQQLAESTGETPTTESDPAAPDEWESQNPVAYPDV ENSDAEPFYFQPPAASLSPTEGQDAAAGTSVYVARLTLSAASSSPRFPRAEKPPPPQL TAGPMASDEPAGSHGPTPMPMPMPMPMPIQWQAALSGDRDAGPEGGKPSYPPGLCDDR LCGLDIHRWTSVAMDSQLAARCISLYLETDHPLLGHFDPELFVAQLVSGDTEHCSSLL VTALLYWACQMYSAIDPQTDELALKCCAEAEKLWRAERDAGADSTLTLAATAFLSLGH LGQGRDHSVLTYLVEAAEMAGRMGLFTFQGQRSGRSVQTHSNVYGAAKTPYMYAAWGI FNWLTLMSLFYHRPGMICPTSPPRIAIPRGDVPNAKETLFGLDAETVPWVPAYMGDTF PYLCWFWTIASETSRLYDGDDGQLPPTWGPDRALAFAEFKYRELLAWTNSLPLQLMSN HHTQHHVQVMHIWLHATILDLFRPFVRDRSRHDQRFRTFASSHCTARAVRETSTARLK KLMVNYSRNYGCSNFTILWHTALIYVANAVLDDEPKHQDWYSYLVFCLYGYERLSHSW RVAKAITKGLLSMTLRKGDMSAVAARRILADLESNWPSRAPLGGIEAPFMLDLNRALS EPGTASVDYLAGQLEDNILLGDYTNVFGDN UV8b_06995 MATPASVSAFFPPRPPNTETSQRLTGFLTRKPRRTNAPSFLGRC NTHPLAKRTLANPKVTKTYPIQWVDPSQTESVGPPKHDAVPPLPQNNTAQPVDMHGLA HSLPPGCAYHAPGPHDAPAAGLNPAALPQWPVVDEIDPYPSMDWTRCFEQMSPAGASV HFREPDASVYGELSCAEGGRVGDDGWGEMSGLATLQMGVSGLAPVAAHAGPSGKRSEE RASAVEAGDRDKVKEIVEDMVRMEARHRRHTQNQLRAVGQV UV8b_06996 MPRSAPHPGALIGRAAGASSVVGPASVIRHAELPPAASDAPPGC AARRIQRTSLYCRGLKPTSHSTEPAPSFSLELWDDVGLFQLGECQRPLAVGLLVRWRS RRAAGLLDEGKDA UV8b_06997 MSLFCITHDTGALDHGKGGKMSTLEQYADGALWLDASLNDFMFP STGRSFVDMPYESLYMMPQPELESLLLQGSSSSYDASPDRYHPSFADDQETACRGGFG HHQAGGRPAAQPLPAATSTSPLSDRSWTGHSPRSDSSSARSIGPAHSAASASLPGLGR RRRRSAHAATAAPAPAPAPVPVPVTASASSSASASALPSAPAPLPSPSRAGKHSGQRS KTLERNRIAATNFRKRSKESVNQLETTKAQLQSKHDELRSEFSKLTEEVLQLKNDLMS HAVCHDSRIDRWIRTEAQKFTRKLSSAGQSCQGLTARPAAQGCYDTGGSPETSDGFAS VFPCSPIIEGDKS UV8b_06998 MATSQAALAAEKVLGRDKNVITAQDVSSYPPSGGGEGDLMTALV WKGKNKVEIARVPKPKIIEDRDVILKVTGSTVCGSDLHLLHGSIVQMAKGDILGHEFC GVAEQVGRQVTNVQVGKRYVASFQIACGECYYCKQKLSSQCEKTNASALANALYGGRT AGIFGYSHLTGGFAGGQAEYVRVPLGDVNLLELPDNVPDEKGLYLSDVLATSYHCVQD TGVYKGDNVAVFGAGPVGQMAGFFALMQGADKVIFVDTEPRLSHIQGRFPAQHRDKLT LLDFKKLSGGPTHGETVVSQLKKICGNRGPDVALECAAGEYAKGWKHWLEMATGAETD TSETINEMVEGVRAYGRCGITGVYVGYTNHFNVGSLMQRGIRLIGNGQAPVHKHWRAL LSMIEKGELDPLMMVSHRVRLEDLDKVYHKFDAKEDGIQKVFVETKFSFAPAQGSPAL TRF UV8b_06999 MESTSPPRSTEVDVVVAQSLRQAMKGLTINPKIRNRQHRIDKPA QRTRRMYRAPPGRQFTPVRIIYREVRYYRQEVLQLCPEQRDRAVAIFTKLAEITAEIK RGLIRGPALRGLASGGVELQHPTLWFQRFICHSVYDKMSSSSLQELRRRIFKYTTCLY RMHIPFDPDLTTLEVISNQGDYPRQDSHRPYLNKFDFDVDLDDETISWAELRDAKLRL VEAQFAVLELITRLSKPNPSEAQRLSLDVEPNIVVGILRVRNDSSESNRVIPLLNAYS PELGQYVAEYTRQYLRMSSHRKWPLSPKAQEHHLEYYACTSHVLRLMDNHRQDGRLEP SALRAKLLIIRACYLINTYAKYMPKIGSHGLLETSRKHTVNLYPSNEIFMSVVQARNE AEEEIERLAQSGQQLDEDIMFLARVDRSIYEYNGR UV8b_07000 MRFSTVLLAGLTTLAAAQTQDAPVTQDNPHVTYQAVLPPEPFYD GKLDGNIRGYVRATAGPGGQGVKFHVKFENLPKQGGPFLYHVHVKRVPADGNCTETLA HLDPYKRGEDPPCDASEPQTCQIGDLSGKHGKITQDPFRQEYVDLYASLKEGTPGFIG DRSIVVHFKDKKRITCANLEEVRGCHA UV8b_07001 MDNDTNGSSNALAEGRRIYLGNLLYTVKAEEVEDGLRDHGFDDF ETVHMSVDAVSLRNPGYCFVDFASRDGAERALESLNATIAGRTLKVGPCKPKQPRANS NNYNSNHNSNNNNNNNNNSSSNRWNSHEGRSGSQRWGNGSGAGAHPHPHQRVAPERYD DAVEEGNIRRVYVGGLAQQDDPDRNVEELTEIFADFKPTAFSKRITPHESTKSFPGNH HYCFVDFATSEEAQAAIDALNGKVVDDGAIKVSLARPPPNKARERSFQHNDRSGGGRV RRNPREHEVPPGEGSPAGSRSMASDNWRRRND UV8b_07002 MAPVPPNPSASQDPLAKRLSAALPKDVPFGVYHVSTPPRKTDAL CSAPPNQRPDRTFCENHFLAVTATVAPEAGSSSQDADPAATEARAHARTKVEEVVVLG LEIFIYTTAHSTTLFVSKADSTGYLRRPSLPRGSHSPIRHVCATFIEFLVESRRRRDV PLVISLFARSQGQYLFPGSVKHGGKHVLDDGGLIKWWCRVLQPLIESASGSRADAPGK AARGYLVVPGLDARETRAFIPRSATAPASWSLSHPLDKISHYSREFDWVPPRCLIPRF PDDPKSRFRDELDDEAGRSGAMKRTGSWGNVDSLQTFWDMMAYRQECSSGRMTGFIWV VFDDEPGHDEQPGGQDQAAAPGAAAPRKQTTNGSLPVNPPKSTPRKLFPSRTDRGGDK TGPGGKSNKSRRKRIKLRGPIKPRQPRVKTEQQSHLLRIPARSAYYYWPTRGRGERIV DEMTYKRATELMLHSDFSTLDKAAGSSARWIKEVGMGNDWGYTVVGEGQGTIPGDADT SGAVNNLTGLVKRKRADTAGGGEEGVNVLGGSLVKRKPKDEPKDGPQRELAENGAAVR VLSSGLVRKKPKA UV8b_07003 MRPLQALALLLASASMAFAKPARPAKPSQTPEPVWSHDVNTHVF DWRLLPSEDTEKALFKRNKPDGIHDGVPATRVAVDNNRGTRGVFYRGTNAPPSVVFQQ GFIPTGNIMDIQNHLSYRGGSGYIALTIRTATADTYAYGRTGSRTPIGYVYVVRAMGL NDGYFFPPMYPNDHIVQANQEFGHPGPIAAGFILGAYELNGTGNRRWIANPNYQPPPN PNPGPGAGPGTAGGGLCVISRKRSDCDPWNNAEDTPLRRICPRFKSLEVQVQLSDEWF AGTWDTIGYDVGPTDHTQHYDLMAAPQKGAKGTSKVDLVKVFGTDTIDIQQGLYLTLT VQGVMGAHPNNDQFKIQTISIVGQCEDGNYTAGTEWTLNEWVRHPAKGEGTFAPYKLE RFGKQYTNYAPNMTSTLCPVITGVNYDFYVGSDLFGAGTDDKVGFSMGLNSEVFLGQS FYRNYKSAGDVDMRKVFGMDKVPLANINALLFYQTGTAKDQWKIGGITLEATCESGQK LRMTKYQKIDVWSENPDGANVVYGGEVDPSMWRIL UV8b_07004 MKALVVVATALVGLGLAAPAGEAADLLAVKRSPAPQHTDNLFKA AKASFREKAVSDSFYKRVKLSWGSNLIHACGFGCNGPPLAVLINEYVAFLNIYLSKGQ DEKASDAMTQFALEGSNPDHPVVNELLWQTIIQISKKMQVYMVKAAAGAVEDSE UV8b_07005 MAHAREQPSLASSDTDQGSTQAEDVDAMKRMERMMQEIASLRRD VDRHGSEMASLRWDLNTNKARNKNLQSQLRNIFVVTNSQKLAPMYNIFTGLEIAGCPE NLLDLEECSDLKASRMLRELGEPAPDGLEEKRKRLISAFGVQFRLL UV8b_07006 MSTFIPSKAYDFASGAAPLKQSCSRKATEPYLGTSRVAKQDGAR DEHGCSDGSSPVTGTRGVEELGTVRYEEEDDVHAPSTGKAV UV8b_07007 MAGDATANGPAAPRSNRPHHADSPYQSIGDFLSNTNNFKIIEST LREGEQFANAYFDTETKIKIATALSDFGVEYIELTSPLASEQSRKDCEAICKLGLKSK ILTHVRCTMEDAKVAVATGVDGVDVVIGTSKQLREHSHGKDMAYITKTAIEVIEYIKS EGLEVRFSSEDSFRSDLVDLLSLYKAVDAVGVNRVGVADTVGCATPRQVYDLVRTLRG VVSCDIETHFHNDTGCAIANAYAALEAGATHIDTSVLGIGERNGITPLGGLLARMIVG SHEYVTSRYKLHKLKALEDLVAENVEINIPFNNPVTGFCAFSHKAGIHAKAILNSPST YEIINPADFGMSRYVHFASRLTGWNAIKSRVEQLGLSMTDDQIKEVTQKIKALADIRP LAVDDADSIIRTYHLELQA UV8b_07008 MSPPVQDASGAACPGTPSPKTVLTTVVAGSRYLIHPQVLETISP DAVLPVTLLEPEQLYLHLEGTLRLFDAYDDVFTPEFGNVLVEKPSGGGAGGLRVPAGS FVGTHARSVMHLRDDTHTRARAVSDLPAGPYILHGPNLHQAWKIYLDTLDAFAFGVYP TTAVDEIDGYQVLQLPPDGGGSSSSSSSSHASVPVPSRLYSTAPPEKAPLRGCRFTIP DCVALKGVPTSVSSAAWAELRDRTADSTAPFARRLVELGAVIVGTTKSSQLGSGREWA DVVAPQNPREDGHQDVGGGAAGAASSLAGYAWLGGSTGLDAIGQVLRPAASQGLFALR TSTGILPLDGAAISSPSLDAIGVFGTDAAELFHHAVAIVHGSLASPPLNLPKRVVCVT DLGDANDQHAHYQQRHFLSAVEAFLGVESRSVSLSEAWAANPPPEARGQSLQEYMKEA PFLSFCADFHNQYRRFRDDYQAKFGREPAVEATVRFRWNLGEKVTRAQLDAFRARVSV FRSWFSRAVMPTDEGGDAVMVLPYASAAPRYEAPKPAGIEGVTAALLAPILQAPLVLA PFAQAPYESSISHRTEYHAVCGAVLGPKGSDAALVKLVEATLRQARWRTGVDRGRFAF PPRQDQGNAVGGGGQPSSRVDAW UV8b_07009 MAKPKAASKHSRAARRAASPDAAPPPRAAAAAADVRPSVLAVHR SAGVEKKKAKAARKTRMSSKMRRRHDRGLEMAAAVTERTGRKMEKSIGRARAVQSRSR PWEQVNREATGEGEPGGGGFAALVDEGAGAGAGAEEPGEGGLAADDRTPGQSEGDGDD GGDEIL UV8b_07010 MKPVPPCIYSPCRALYRVLVPNHPRLVVSSAQTPPPPPPPPTLP VRQVRPYTRPPRRAAARGSPSSSSSSSSSSSSSSPGDGTPDEREAAAAAASIDKRYTT QRDVERSGRDRLPKDHEITDPRIMVIDGGAPEGPLPTPLVLERLAPHESLRMVQPYGA GGDAAAAAAAGPRYAVCKIVDKRDEQARQRALRERKRAAGAGGKPGPKAKTKTKELEL TWAIGPHDLATKMRQMGGFLARGLKVELLIARKKGGRQVGGGEADALVDSIRGEVARS GGREAKPATGDAGGAMRLYLEGRQG UV8b_07011 MISHFLGHPVRLTVATLKFAFLSHLGLTHLFQVTPAQGASMLPT FMVDGDWIAADMRHRLGRGIAVGDVVLYKIPLFPDQNGVKRVLGMPGDYVSLGTPGET GEEQMIQVPEGHCWIVGDNLSCSRDSRLFGPLPLALVQGKVVAKILPWRERKWIASGV RQVAVDNPS UV8b_07012 MGNCSSSCCGGRSREGLYEPVLADSEREAVADLLQYLENRGETD FFSGEPLRALSTLVFSENVDLQRSASLTFAEITERDVREVDRDTLEPILFLLQSPDIE VQRAASAALGNLAVNTENKVLIVQLGGLTPLIRQMLSPNVEVQCNAVGCITNLATHEE NKAKIARSGALGPLTRLAKSRDMRVQRNATGALLNMTHSDENRQQLVNAGAIPVLVQL LSSNDVDVQYYCTTALSNIAVDANNRRKLASSEPKLVQSLVNLMDSSSPKVQCQAALA LRNLASDEKYQLDIVRASGLAPLLRLLQSSYLPLILSAVACIRNISIHPMNESPIIEA NFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKALVLDAGAVQKCKQLVLDVPV TVQSEMTAAIAVLALSDDLKSHLLNLGVCDVLIPLTHSPSIEVQGNSAAALGNLSSKV GDYSIFIQNWNEPNGGIHGYLGRFLQSGDATFQHIAVWTLLQLFESEDKTLIGLIGKA EDIIEHIRTIANRQVEAEAEYEEEDEGEVVNLAQRCLELLGQSMSKAHIEG UV8b_07013 MDSAHMSRSRMDEPDAAAAPASIHPAADPTQANVEAQKPSREQV IGAMSPGPAPGLGSGPGPSFDEPPPPPPSAQRPTVLAAAPIRAPGSASAQQQSALWFP QFVAPSSYLRFKTSHGSAMAPPPEPTPNPSPPSPLDKEQRQGLKAIRDFLKVRTSYDV LPLSFRLIVLDTELLIKKTLNILIQNSIVSAPLWDSQRGRFAGILTATDYINVIQYYC QFPDEISKLDQFRLSSLRDIEKAIGAIPIETVSVHPSQPLYEACRRMLKTRARRIPLV DVDDETGRETVISVITQYRILKFIAVNNEHNTVMLKKTVREIGLGTYANLATMHMDDT VLDAIHMMVDQNISCVPIVDSENRVLNAFEAVDVIPCIRGGAYEELDGTIGEALCKRP DDSPGIYTCSEGDRLDSLFDTIRKSRVHRLIVIDDDNKLKGVISLSDILKYVLLHGEE ST UV8b_07014 MMPENLGRPVRDLPVVCSDRFRTDKPFLLQARENNAAEVAPRED DNGRPLPTADLMGYHSLGIVFGPLLVGDSLDRYTMKVVTPSSGMLLFPLSPPKFRRDR RKSQQADTKASGPPTVDKILVANNITQMLIANWRDVVRQMRSLGTHYRKDSSLLDFPA KNDDLQDSEGLGERPEEIMDAVPGLRHASRPQEPSTGRQGAQTRKSTTLRKTASRKVL PKLSMATLSPTKEESMGDDESSDSSNKRESAIERLQKTEGSDTRKKEVIGHVDCKSRP ESRKLSNEQSARGKVINTSGHSHAPESLKSATSPPVYVENVPPRESSRCQTSQDETKK ASLQNDNVEGNILPFASATTDLLNSCNQDRGQVESQNGSEGPQNSAFPQTPKQRWHPP SWSANGTSTNPGGIRVVQKSPTVTSAACATQDQAVQCNLSPKKARSRSIDTTPERFYA MKREPSRILDSSADDVFSGQQKHGCRQKKPFLLHGPRLSKSYENFSSQTRITLESPTN STKSKDLGKNGSVRAMAAMFDVQPSGLGSSAMTGDEQATMANSVRDGDRNSKSDSALT RRTAFLLDTTQRPVSTTGTTRNGNDRFDEVMGDVMEKQSVADSINHSASLDSETDGIC QPSTPGDKATAKADLDEADSRRIRMMPSLGRMGPYPEQPPIAHHLSLTRPPSSPSPMM ESEADSILDAIPLPQVARSGSATVLYSQIRKLQRQLNSKTEEAAQLRRQLAAQQDSDV GTVSEQLRQAKRDAAMWKERAEAAERRVKVFEKFTEKLKKIRDELADAKKYEKGDGDG KDGDGRARVADATIKAVDRLRLLQGQSAKKEPGNPDDCSDGTAQTRKRPHDVVKSQDG AVDRSRPASDSPAGEEGGKLGSRGGMEVRMRRRSDQIWAAAEELLQMEEDGVE UV8b_07015 MKAAAVLSAVAAAAAAMSYDGHKVFRVPVLDDGTQANTVINELG LEVWQPASKEGAFADVEVPRDKLAAFAAAMKGQKLETMHENLGASIAKEAAFQTYAEG SSNLSTWFESYHPYQDHVQWMRDMAWRYPNRTRVVTSGKSHAGSPIYGLHMFGASGGG RRPAVVFHGTLHAREWIVSMTLEYMAHEFITKYSTNKALKSFLNRHDLYLFPIVNVDG FRYSQTSARLWRKTRGPTVGSPCVGTDLNRNWPYKWRGVASSSTNPCDETFRGTRPGD TTEIKALTAFLKDLKSKQGVKMYIDWHSYSQLFMLPYAYTCRERAENHDTLVSLARGA SKAIRAINGTFFDVGTICEKLYRSSGTSADWAKDVLKADYVFSVELRDNGLYGFVLPP EEIIPSGNEAFAAAMYLFENAR UV8b_07016 MAEQLSSPRVTSAYLDSFQGRVVTIVGKVTQLRGDEATIDSDGV VTVALNRDAHLTNGNAVQIIGKVTPNLTVKVLSSRDLGPSVDYSLCNAVVEATHRYKE IFISES UV8b_07017 MSTTELLVGQMAGPSSDANDPLLLNANAGSFIDFDHEVSKTMYP DPPQGPPDHYVAMGADMFAMHDYSLPDFNAALNATASMPDMVDSDTISSTLLSPDSQP KEHAKKVNERKYKSRKTTKQKASVDSSAEKPDKSPPKTRRQPKREAAAKTVKTKEPTP RPSRRERSLERNRVAASKCRKRKKAWTEKLEEKKSGLEAMHNELQARYFSLLQESSQL KNHLISHAGCHDPNIDVWINNEASKYVRRLSGEAPQRPASMRSLPSLDNSLWNSSTNS QYTMGSENTPTPEDGEDSNSEVLVDDHDFDNELDDKLF UV8b_07018 MPASVATKTAQKPVSKPQQAQQNQAETDDKTIEDFLGVLGKFAA GEGFQRIKRLDQENESLRRNIESLRDAKDTYLQEFLDRYMKWDSDRKSYDARIAEHER QRERHIQESRTASEALAAEGRRVRELEAEMEKQDQRILQLAEEANNKVEEIARLENAN QAQADQLGRDKELAEQAEANLEALTAQLKTTFEDFVGAKKSLESMRSFLAELPSLEDT RPQISEALESLFQSALQSFRFALGHDLDPEQLENSRPSVRNHAMPSPSLPLPATNSPA AKQMRVATGLMACGQALVTHIFRPSWLTLDGELDDVLRLVAESRPDQEAFFRAVSLNT LPEEQAANQEKSISNVVLEVSGSLSMWVRESKRQRFASMLQDVCRQACESWALIQKTH ERIWPSLTFDMPEDWRALPALSVETAAANGEADGAASASASGGLPECGAPVLSEADVA RVVWPTFLVAESLYADEGGDGALPDLLVHHGYVMTHAQMERAETEISQRAARRMRRSV SAAQGRRRSSAAFLPQGDAKGSGAK UV8b_07019 MPPDHPAPASPGLSKTSAAILSSLQVLKWRRASGTPGRCSSASD LAARGAGPVRRRQTRPGLARRAQGRFACFRWLQARRPAQGKGLRDPVASVIHERLGGG RGWAAEIEVDV UV8b_07020 MYLATDPAANDPGDVSISHLTWRAVLCCAVLCSCRDSVRCMPLC HAAMLRQGPGSGQLQIGRGLASWYPPPPRSCCKPRRSTPGFRHAPDTLFLQDRARKLE DTMAKRHDAIA UV8b_07021 MSCNQQPVVAERRAKKDLGGKNDEARERKRMQNRDAQRVYRLRL KSNMAELERLKAQNSEDSLRQQAGHQQPGFHHPVCSSFQADASLQRYGTATPDSPDPT SLAALHLDMDLHALPETELYSLQRHEHADISSWQNGTLPADHLAAQMPFGNGVGAATP RSPTHTEAFAQLFGRVMAEVEAAGYASLDAFLATYYARTFDASSPFAIPQQVSRGVGL PRLLADLVPLMCGSGACEDSGIYRDMAVTMAQQVLQMEVARTSDSVRARLDRFMELLE PEFGAVGASASFAELSNLLQAEQPNTWALLHALAGARTGGLADPSTMTTMMLMLNCAG SVDKQASKDVFVSLMQLVK UV8b_07022 MKLLPVFVAATAGLAAAASAMHQRDVTCPGGRTTCPAGYVCCVC CDGSPGDDCQGCRRRGGGSCFC UV8b_07023 MHLWLIYTAWAAATLCAAKSPPPGLPVPSAVPAPRTNPRRPDVS LRYTKVLEMREADIPRPVRIKHRGRTRHFFWLGNCERMENECVLTTVRRIEPGSGGNL SVTLDTSGYRCQARHACGEDGHLCSRDVSTHLVDCTTPLSRIGS UV8b_07024 MIATACTGAYFYGIVRIDHAASPHSRYCQALRAQVRSKNSHRPC MPFPTLLAKRSDGVRLPSGPAAESRICNPPAVAGDVVIFVVDVWSAKSELDTPL UV8b_07025 MTGRETTCGSDDMQHHLIDRDFPGTKALAHQGHLPLTPPATAER SRHNTDSSNASALIRLLEARKSQNQADHATTWLQVPVDQESYETNREKISQIFRRFDY DPNRGLLQLRMPSTIHDFFASTLGHDLMAQLKELGNKDGQAAAFASKIRLAAGSKVIL AEGDSESFKDIQRSPDMQLRHIHAEYPGVVIEVSYSQDGKKLRKLAQDYILYSNGDIK AVIGIDISYRSKEAFVSLWRPKITYVEDEDIKDLAPDEVISYEMFRSPDGRACNDEKN LNLTLGDFATNALSAVDYESIPIHVSFKNLFDILEESDELGRAEGANVAERRAKSQGA MRKRRLSSSSAEQLCSEDEETYAHLEQAVLDRAERDDGDYQGRAMKRRG UV8b_07026 MAAEKSYIIVGAGIFGVSTAYHLIQKHPDASVTLVDRDAFDADT RVAASWDWNKVMRADYDDPVYCELALEALDVFTSDPLWKPHFHQTGIYWMCGRDYAQQ CLDNFRRLGREGDVAVRSVEEARNMFGGLFGASDYTHVEQVLVNMRSGWVAAGDCLRA VTRRALQLGVKYVAQEVSALLLDRSGRCAGVTLAEGGDLQAGHVVLCTGAYTPKLLEL SAARSGMDGLRAGPRILAGGITTGMTRLSDDVYERFADMPVGVQGYTATKAPFVGSLP PTKDRELKWWGQKIFRNTSEVLPGRFISTPPAERDYAQWTTSEQLKQDIANVSSVFYG REAARWKLEKHRVCWDAFTTSSDFIISRHAAAEGLYVATCGSFHGYKFFPVIGRYVVQ MLEGALTPRLAAKWAWDRERPDPSANPDWPRIEMRDLLDPVRDSRL UV8b_07027 MAPLFLRDYFGFGGSSAVSEQGKSPVRALPASWYTSQELYQLER RAIFSKKWLLTTHKSRLVNQGDWLRYDVAGFQFIIAKDRQDNINAFHNICRHRAFPIV TKETGHNSVLACKYHGWSYGLNGKLAKAPGYQDMEDFDKSKNGLFPLHVHVDRNGFVW VNMDAQEKPTAAWADDLEGADEQARFSNYNFDDYIFDHAWEMEGEYNWKILAENYNEC YHCKTTHPDIPTIANLSSYYVETKGCQVQHFGSPTPEQIARGLNVAANFYFPNATLNV SPHFFFIQRFVPLSPGRSIMKYEVYRNKSSGDEDFKLIDDIYKRIMSEDKVLCTHAQK NINAGVFINGEMHPTMEKGSLYFQKLVRGCLTEHHERETERGEEMWPARQTLPKSAVA AEKDVSFCSAVECCRKDRLAAEVW UV8b_07028 MATLDETFGTATRGRRRSHHACLTCRRKKTRCPAEKPACSSCLR LNQPCSYPPAAKVPQSGRSEERLAHLEEKLDLLLTGRPSQALRHDQALDGFVPPAASE SSHSLVTTPALENNRVLLLGNNQPSSLVLETAVSQSRPEAADISLGTRLYFEYCHRQP IWCFEREEVGDVNSLADELACSILALTARFARNSAGFETYGPIARRMIMARIADGNVG LSTIESLCLLSYSSFVDGNVHVGQFHLGLALQLCRSAMLDVDAGYAVDDVAAERKKKV FWSLQVLDQYHGRQAGALSAPTEAWRQSACTTASGDHRNLLDPDVKFPPLPTDDLGHT MPSEPGIWNTSVQLGWVWSRVRKYVSDCAHGIFREPWRRDSTYAAVLSDFMEAENRIP MCHRYDSVKFYERKVEDVKMNRDYWATWLKEQFTYHSIPTVLNHPFLYIVGAQHNSNL AIPNTFWRRSSELALIHATWIVRMIDMVLDKQVPLTDPFFGHIAAIAATVHLYYCCAA ASKLKHKSNADFAKCRKFLKGFIPFSAACRALDRNLDKMTRIAAGSETNGVEDWMPSR IYLSVPLMWSILQLNCPSDADSGQLAKTGLLDSSLALTATHQEEDEMTTLDIIVATSP EITVNTADGGQDAPTLSFKGTVSSSPGSSRESAYNELSAEQADSLTFNTTPWLYADPC QFDGMTDLVYHDDRSRVGSSSMSWWEVANMNEGLMGQL UV8b_07029 MTEPSEPSEPLAPSAQRRVVIIGAGIVGVNLADELIARGWADIT VVDQGPLPMPGGSTSHAPGLVFQTNASRTMTLFARYTVEKLSSLAKDGVPCFNRVGGL ELATTPERMEELKRKRGYALSWGVDARLLTADECLDTYPLVNRALVVGGLHIPSDGLA LAAQAVEVLVDKTQRAGVKYLASTRVTGIERERGRVTGVAAGDAVIPADVVVCCAGFW GAEVGAMAGVAVPLLPMAHQYVRTTPVPARRDLASHAPAPNGAATLPILRYQDQDLYY REHGDRIGIGYYGHRPMPVAASSLGATPGNRHVDEASMPSRLDFTPDDFRPAWELSQE LLPALGEAAVADGFNGVFSFTPDGNPLVGRAPGLDGFYLAEAVWVTHSAGVARALAEV LTTGKSRVDLAECDICRFEQVQLTPEYVRETSQQSFAEVYDIVHPLQPRIAPRNLRVS PFHARQVELGAFFLEAGAWERPQWFEANRALLRELPPEWRPAERDGWSGRYWSPVAAA EAWKTRTSAAMYDMTPLRRLEVAGPGAVGLLDRLTTGNVARKPGAVTYTLLLDERGGV RSDVTVARLQADLFQVGVNGPADAAYLAREAREASAAQAPVQVRDVTGGTCCVGLWGP RARDVMAGASADDFSDEGLGYFRARRASVGGVPVTALRLSYVGELGWELYASADNGLR LWDALWEAGRPHGVVAAGRSAFNSLRLEKGFRAWGADVTTEHDPYEAGLGFAVKLDKK TAFVGRPAAAARAAAPAARLLRCLTVDDGRSMVMGKEPVLVDGEPAGYVTSAAFGHTV GKPVAYAYLPACVGVGDAVELEYFGKRIAATVAAEPLYDAEMRRVRG UV8b_07030 MDQLATQPPTTTVYELGFPAEHVLQVTIARESHMNAIPMAGHWE ADRLWQWFDDEPSLRVAVVTGKGGRAFCCGADLKEQARLSQSLQKPDAQAFPAGGFMG LSTRAGKKPVVAAVNGYALGGGFEVALNCDMVVASPSASFGLPEARRGLWAAAGGLPR VVRTFGMQMGSEIALAGRVLSAEEAGRLGFCRVSASHETVVKEAVALASEVAAMSPDA VVVSRAGLREAWETASVSRAAQLVHERYAKGLLGGENLRIGLMAFVTKTKPDFGPSKL UV8b_07031 MNPQPNAPVLVGVGDVRNRSSSPEHAVEPAQLMANAIQAAVQDC GLDSRAQKALLSQVDSLRVVPTWTWAYGDLAGVICDKLGVKPSQVVLGQHGGHQPALQ CDEAARDVAAGRSKVSVLTGGEALASRPGTLHSMGLPIHVYPLYENGRRAHRGQSARE NTAESAGMYAAFDKIASENPCSWNYQQPPKTAELIATRSRENRMICDPYPLLMNAFNG VNLSAACVLTSAENARRLGIPPSKWVYVLGGAGTRDKDNFWERRNYHRSEAICRSIDA ALHVSGLSASDVDCFDFYSCFPIVPKLACDHVGLPTTSWQKPITLLGGLTSFGGAGNN YSMHAITAMTRRLRSGRSSSSSSSSSSSSSSSTGLVLANGGMLTHQHALCLSATARRD GKPYPSGNPLPPVVDEYSPAFTEAAEGAATIETYTVEYNRDGTPGRGLVVGRLRGTGQ RFLANHGDDATLNQLAGTSKEHIGRAGQARVGPDQRNLFYLDAGMKL UV8b_07032 MASRRPYTDNPDGSPPDNNSNLDEKSAVADNVAVDGGVDGGVDG GVDGGVDATVHHLAEKLGDQEDGIAPIEDIEYVMDKVKALTVAESQEIIDSLLKYHEY DYNFSQAQRVKLAALRAGPLEGQTAEDWQLELRTEAAINKFYSPYPEVRAITTPTDDV DMPAETIRAHLLGYVWAVLAQFTNSLFNSRFPAITLQSSVVQILLYPCGLFLAWALPD WGLTVRGTRYSLNPGPWSYKEQMLSTIIVDVGLTSAYCFWNIQTQSIYYKDQWLTPGY GILLLLSTQLMGLGFSGLLRRFVVYPVEALWPNILPTLALNRALLVPEKRETLHGWSV SRYKFFFIAFCAMFVYFWLPDFLFPALSLFAWMTWIAPSNFNLNAITGSQAGMGFNPV SSFDWNVLTSYSAPLAYPFFAFTQQFLGTVLAGLIIIALYYSNVQWTAYLPINSSGIF DNTGNPYNITKIMVPGTGHMSEDAYKAYSPAFYSAGNLVVYGAFFAFYPLTMVFILLD AWRPLLRAYRSMMASAISAVRRAIVGARRALGSLGRGNVRDALHHLRAMLDDGTSIYD SYDDPFTNLMRNYPEVPDWWFLMIALVAFVFAIVVVTNWPQLDTPVWTIFFVIGLNLV FLIPMSYLYAISGTTEGINVVTELIVGYALPGHPEAMMFVKAFGYNINGQADNYISDQ KMGFYSKLPPRAMYRGQVFSCIITALVAYGVVQFVDTQIPGICTPDQPSHFNCENGSQ VYFAASVVWGAIGPKRIFSQIYPAMKYCFLLGFLFAIVWWTVKRAGSRMRQACRSVLP APIFKPLDAVVFTPISWLKHVHPSLVFNGMLQWAPVNLTYFTGGLYLSFGFMFYLKRY KTAWWEKYNYVLSAALTGGVAFSGLIIFFAVQYHPVALDWWGVNVLSKTIDGGAGQAA LLTELPAEGYFGPDTWY UV8b_07033 MGKALERLTRSNDVDLVLRMRGMSSENRFARFSTARTSELGNAM YSAAFAIETTRSREFERQTRIRSVRQHPSAWQTQAVVPSALRRPEWMVQAQLRPSPIA SRRALDAAQLVQQIWCRGLTQLRRRDQ UV8b_07034 MEGALNWAILLRFNDGIEWVSRSPKTKYAVGGKTARELLASEAA TLKYIRSKTNIPLPEVFSYCATSENATGVPYILMSKAAGHQLSEYNWHTSPTTSTAPG RHMKLTEKRKVMNQLGSFASQLSHLKNDTIGSLFENEGIEFNIGACLSPSFILQGRES IDSSLEVHFITQRTITCLWYPSS UV8b_07035 MMAPAITIALVDRAGRDGLTLGAIRSSSLTSQSSRDHVASGSFS VYRTRGYMHHSDAVCLELFSKALVGKEKHPGKLSASLEQSIHLEWEDVFDFVDPFGDR RGCVFRTVWTFDLDDDLLFLKNKDQTCFVSLELARGRVLTLTDFEPLNSPGQLSLEVQ NLPEPFWEPKLNPNPRIKSFVGRLLRDLAYTWRHVLRRPMKTVTFMKLAYASVWLSTL DFTIFERTGFEHVYTRGPYVDVVDLPAWEAPEETLVRAGSCWFALTQDTREGLEMVQR HLTSRSENSTTNMRTYVILTLRHITLCRARGSELVWTRSETFFADDSACDTAIDMILW AANTVGTEAEQTAINSFPVEIQDMILLHATTSFIASAKLGCVLGAGSPFLWVDNGLEF ELQSNKRHRTECSPIESQVCFGGVMSGLSYKQQSSNRGGFIRLPPPPDLLRANPSRDA R UV8b_07036 MSYVGLLEGGRWAQHSNPAGQVYYTSLESQESQYSIPSGWEDRP GDAWDYDDTYMLWRNVRTGRIVFMDPNPPPARLYTEDRIVATHLRTLERHPDSSEALH RRATTGMLRFLFRSEDGYDVVQEDSRVSSTPDHTVFKVECRARGSAYVYDFMMVECKR ANENWEAAVEHLTRHCENAQNESRQVYGMVQVGMDIQFFHWANTTLTAVSDVCHLRNN AERVTQWAEYLKANPWAFI UV8b_07037 MPTSFTGIITQAARHEERGAFIYRSEARGDENGTVLFIGAATTI IEWRGSRILTDPNFLPAGDHVHLGPGADAARRADPADHFDKLVENSLSRAFPIISTSH GARCLASPDAKSDPFCNVAGLHVFESALLDVGCGDPARPRGIKVTAMPGKHVPPGPLS AGNDFLPALPPTSGWLVELGHPHPSADAAGGEGGGDAGYRIYISGDTLLVDEPKEIPE RLGGQRLDLMLVHLGGTTIPGASLPLVMVTMDAKQGVQLMQLMQLMQLMRPDVTIPVR FDDYDVFASSLDEFKAEVEAAQLQDRVRYLDSGEAYRFTVMRGQVQVKNGSGSG UV8b_07038 MATNSTLPEGDNAVPGKAERVAGDEVVNEKSVRARADVDADDDG PDAGNADASAAKPALVSSGDNKKEAGDEEIIVVTGHDAAQHLLPLRDDGEPALTLRSI FLASCLSAFQAVMSQIYSFKPTGITVSGIFIVLIAYFAGKAWAALLPRGDRHEAQWRQ TSRHGTLPLWIRALKLVNPGPWNLKEHAVCAISATSASNAAASITVFAAQNLFYDLPL SATTVVLSTISIGLFGYGVCGVLRPICVWHVESVYWSTLPTVKTLQGLHWQDVKKSKP IRVFWYSFVGMAVYEIFPAYVFPWLNSVSIPCLAAMNATGAKASLLTNLFGGATNNVG LGILSLSLDWQYITSFQTSVPLKLQTHQAAGFFVCFIAMLSIYYTNAWDAKSQPFMST RLRTQSGEPYPTAKLLSQGVLDQSALAQYGIPRLAGSFAYAMFIANAAIGALVAHCVL FWGRDFVQAYKTAKAGRYDDRHHAYMAKHYREVPWWWYTVVLVSSFILGLVVVVREDI TLPVWAYLVALLVGIIIAPLSTVTLARYGNGIGTNNISKMLAGLMIPGRPIGNMYFSA WSHNVIVNCVALCGDLKMGEYLKIPPRVMFLTQIYGTVLGGFINYAVMIAIVNGNRDL LANSNGNASWSGASIQSYNTNAISWALAKYLFGWGRTYSFVPFGLAIGAGIVALHRLV AYFVPRVKGFALAEIHMPQFIQYAGYIPYNQSQTCVIFSWIIAGFFTQFYLRNYKPRL FKDYMYLVTGAFDGASLFVLFILSFAVFGAGGKSIPFPAWWGNNAGGTLDHCPVGS UV8b_07039 MKVPKDHQMIFEPTATPNLQQFASIVDHETAYIIVHNTSSWRIL VRKNPLMGQASPADHYAQAFLVDYVESAEAADLATVSTHNPTAKMLVPEHMALESALT YIHPTRVNIYDSSDPDELN UV8b_07040 MSLYLDEAGSDGSNDGDAPSDAGSWGGIDDPPLELDETTGAEPA REGSASPALDENAIMAQKILLLRQICMTCLRREVKEYMDNPPAEIYWPLMLGNFEDVI AVLSWLQSLLDARVEERDDESDCMAVDGEGGAVRLYTFSEDTRMRIAYPP UV8b_07041 MSDAAISSAAAGGSPPCPASLERPDSPRKPPRAAGDYLLKWDSS DKAYAYAYDQEFLYKRSPTKLPGYHNPDNKPVASNREGEEGEPLIDRNASLNIFHRCM VSALAVKQDKPDKQDKPKTGDNDNDDDANADDIDDNDNGSDSDSGDEPDEQPKGTTGD FDPLGALFASSSTLTDRLMRANGKALPKAYTYISPPLPPPHPTDSIFSDFRYRR UV8b_07042 MSRPSAQLKTPKGTRDWVGDDLLLRESIFKTIADVFQRHGGTPL DTPVFELTEILAGKYGEDAPRLVYDLRDQGGELCSLRFDLTVPFARWLAANAHVTHVK RYQIAKVYRRDQPAIARGRLREFHQCDFDIAGAHDPMVPDAEILCVVVEVFEALGLGV TVKINHRRILDGLFAVAGVPADKIRSISSAVDKLDKMPWGDVKTEMLDKGLSDQVADR VGEYVQRSGGVLEMLDVLKASETLAANEDVRAGIADVGLLASYLEAFGIGDAVSFDCS LARGLDYYSGLIFEVVMQAPKEPESGDRNQSSQVGSIAAGGRYDDLVGMYGKRPIPCV GISFGVDRIFTILKPPADKDAKTSSPVSRNLDVYVMAIGGKEFDGLLLERMAVTRQLW RAGIRAEYSAKVKPKPLQQFKASMGVPLAVILGPDELAAGQVRLKVFQPDAEEENDPG RLVAKDDLVEEVKRLLRGTT UV8b_07043 MTAVLYFCRYATRCPVPGSRFRVPCLSLARPSTASNQHGSVVCL ARKARGRVPKDEARPAKTTRLSRSIEQDLAPASSLVPSGCLSILQADVRPAWCPVGAP RPST UV8b_07044 MLDSRPFSPGHPLTGIKEEHEAFPPFRRDEMPLLASTLLTSRQS AAHHPMTESLQPGIYACLLVMLPLATLTLGLRFYARRIKRLRPWWDDYLAVVSYLAAV AYDASIFVFLKYGLGRKMSDLPIPQEEARYYEPQLQETQEHTYTISIGAAQLSLLVLY WRLFKSDRGAKIAIQVLSALVVGWLIARILVATFQCVPPEYFWDKAISGTCPVDPGQF FIWSVSTHLAMDVALMILPATQIARLSIPVAQKAAIAGMFTFGAVVCVASIVMLVEST RYNAKEDEIMWNTAAPAMWSAAEIHLSVMACCLPVLRPAVKSLGGLFVPRFSSKGGVY PRDLIQLESSAYIRNKAKRDRNESANQLHHYARAQGDVSSFGRGSETEIYTKGPREDV ESRHC UV8b_07045 MSRSKIRHYLHVEYQPGERALVRKMDFFILTFCCLCYLINYLDR SNLANAYVSGMKEDLGFAGNQLNEINTCFTAGYVIGQVPSNLSLHHVKPRFWFPSMMI LWGGLTMVTASVQSPKSVMAIRFFQGVCEASTFVGTHYILGAWYTEHELGKRSGIFSS SGLAGTFIGGFIQSGIYKSLDGRLNLAGWRWLFIIDGLLTVPVAIYGLVFFPDTPQAT AAFYLTDREKKLAVSRVPKVPQQSPFTRKFASRVLTSWYWWGFVGLWCLAGELESFST NSLLALFLQNHPTRKYTVAQQNSYPTGVAAVGIASTLFWATLTDYLGGKRYLVGYFIG LTSIATSIMILVSSGDATSPHSTTVTMVAYYWAGSVYACQAAFFAWCNDAMRYEEAAF RSVVLAGMNLGSNAVNAWWSIIFYGASTAPWFTRGMWAMIASSIALILWTGGLSWFHY RNKKPLVVNVDQDESNVEGRGM UV8b_07046 MAPPVEVRQKARGKPRGMRRDRDCHSCRTRNIKCDLNRPSCAQC LEAKIVCAGYPQRVIWATERSARDAASPTAGGGGGGGGGGGAAPPGVEAQRQQRRASR PNAPGKPPGPSLADGAASGKTGAGMATTPTSTLAATCSGSPVLDLPCLPTDQNSFMTS LVAFCQHIISTDMSGGDRGHYLSIEAARLISQLHDLMKARIEGRAGAPLARGETWDSI ETARHRLAVLIGLNEALEAANPFAFLGIAAFAVLEVCDSPFGEWQRHLHGAKSLLDYH CPDHGALQDLSRTVTGLTEIVARLVWFDTLGAIARGSQGLIFDEWHRRTVDQRLFQVV GCSADSFELFSKVASGHVAAHAMDACMLAMEQLASVNADDDSAWGVSANVNRCASAIA VLAQLGGADRSAQRAMAAAVQHACDLIASMSPLSIYYIHVAVPAYLAGMNATAWGQCE ILRTYWHRCNHLGVQRYPDGLAKCEERWKARGLAG UV8b_07047 MTLEAATGASGPSAPSWELIASEKRQALLASIPEEWRIPPGLLP PEAEDDVTNWPETSGWFTPDELAITNSTASELVPRLASGELSSAAATMAFCKRAAAAH QLTNCLSETCFDRAVRTARERDLHLARTGSPVGPLHGLPISLKDNFNLRGLDATLGFA SHVGDAAEADSTLARVLEDAGAVFYVKTNTPTAMMIAESVNNVFGRTVNPLNRKTTSG GSSGGESALLAMKGSPLGVGSDIGGSLRIPAACTGLFTLRPSYGRFPVRNCRSGMPGQ EAVQSVNGPMARALADIAMYSRAVAGSQPWLADPRCLPMPWREVHLPSKLKIGVMWHD NMVRPTPPVTRALRAAVAKLGAAGHEVVEWDPADQREGLDLLARMFVADGGTAIRKQL ERTGEPWRPEMEQFRVATQLTTYEMWNLQLERVDFQNRYLDRWNEAGLDAILCPTIPF NTVRNGSFKHVGYTGVYNVLDYSCLSFPTGLTVDKAVDRIDERYRPLGSDCEAINTGY DAEIMHGLPISLQLVGRRLEEEKVLAMGSEILHALSS UV8b_07048 MGLKDRMATLTRSASGAEDVGDSSGVEAKQELRNFRKQHKWDPF LDNEKLDTIESALHSDDAEKAKVVDETLIQEDSPYPEVRSSVPPTDDPDMPVNTFRAW FLGAVLCTVIAACNVLLSLRRQSASISSTVVQLIAYPLGVGMAKVMPTTVYKVFGYEF TLNPGPFNVKEHTIITMMTAAGSSISYAIDILLAQEIFYEQHFKWGFQLLLMVSTQAM GFGVAGIARRFLVWPSAMVWPANLVTCTVMHALHNHVPADPATTNGWKIGRYKFFLIV SLACFAWTWIPQVLAQFLQYFAFACWIAPNNVVVNQVFGAFTGLGIIPITFDWLTVSS WLGSPLQTPTFAILNVAFGLLICVVGAAGLAWSGPDYYKYLPIAANKNFDRYAMKYNT SRILNPDYTVNETAYQEYSPILLGATFSLSYGMGFAGLISTIMHVIVFYGGDVWNRIK NSRYDEPDIHLKLMRKYKEAPEWWFAAIFAMSFAFGMIASQVWETHLPWWAYILCIAI GAILFIPIGMVQAITNQQTGLNIVTEMIFGYILPGRPVAMMLFKSWGYMLSANGLNYI SDMKIGHYMKVPPRSMFAAQAFAVIWLAIVQTCAYNFLIGNIHNICTEDQAQGLTCPN ARTFYNASVIWGVIGPKRVFGHGGIYSWINWFWLIGAALPVIQYYIARRYPRSFARYI VWPAVFGASGLVPPATLYFLLPWVIVGLIFNWFVRSRYFGWWNQYNYVLSGALDIGSR ICVVIIALALGLGNVADLDWWGNTVPFDNLDFKGKAVTKQFIKNVTEPLGPATW UV8b_07049 MVLHDLGRRINAAVTNLTRDHNVDEKAFDTMLKEICAALLESDV NVRLVVQLRKSVKAAVGFKDLPPAVNKKRLIQRAVFDQLVRLVDPHAEPFKPRKGRPN VIMLVGLQGAGKTTTCTKLARHYQTRGFRSCLVCADTFRAGAFDQLRQNATKARIPYY GSLTETDPAAVARAGVEQFKKDKFDVIIVDTSGRHRQESALFQEMVDIQDAVRPDETV MVLDASIGQQAEAQAKAFKDAADFGAIIITKADGHAHGGGAISAVAATRVPIVFIGTG EHILDLERFAPTQFVQKLLGMGDVAGLMEHVKGLNLNQKNTIKHIQEGIFTIRDLRDQ LANIIKMGPLSKMAGMIPGMNSMMEGMDDDESSLKLKRMIYICDSMTDKELDSDGKVL IDQPTRMTRIARGSGTSVREVEELLTQQYMMAGMAKRVGGNMKTMQRASHPLSGGNRA QQLAAMQKRLQSMGSTGGLGGLSGLGGAVDGAAVPDMGSLMKMFGGGGMAGNPDMQAM IRQMGMGMPGTPGGSGRGRR UV8b_07050 MFSRGDIPETAMSDGRHEMLDAGRWMLDAGCSPVRKRRATRPNY AEMRHIPPPPPTSQNIKPSRLVSGRPACLPVFRPRKKGRELADMRITPRGSKRPAAGC RLAQLGTQGLM UV8b_07051 MDPDAAPDFQGNQFSPGTASYRRANDLYASSTYGAERDLNPGRI LQPTGIEDIQSAVRHARRAGRPIAVRTGGHQYSGASSTGPHGIQLDLKPTFRRPRLDL RLLRDPGGSGKVYLRSSVSWTLAEVYDFLLDNGVFMPTGQCTTVCLGGHVQTGGHGML ARSFGLLGDYVRELDIVDHEGRVATVTRERDPDLFFGLLGGSPGSMGVVTHLTVEVQD DLKHQGSRGLWMAFRYRRDTLEALLDILVAKAEDPGFPRNYDLTVNVVSRQANLLDLF PGSEDELKARLPDSIHDGKDNIADLLKFKYALVVVYAQYVRLDGGGGGVPFSPGDLFD PIRRVPHDLAFGKESPDGAPMSRVAAMWLFRSPREFPYPYVKRTNTTRSTALSRAGWA SWFAGRVDEVVARRGNGLWVSSQLQLTGGADSMFRRNAGNGTAYSWRDSTVAGTWDVF YRADAADAARAWQDENDRGALARFSSQDRRLLWGSYGDWDMSAVWPRYYDAATYEKLR EVRKKADPEGVFTANPFCVPPA UV8b_07052 MSLAGSHSPDDDRLGFGDDAGLSPDDNPIQEHARPRKRTRRACD KCSTSRTRCDGKCPCRRCQDYGYICQYNREVKKRGRLPASSSAKYHHEPQPSLSRTNS GARDDAFISESSPAPASPSSRSLVDDRSLPPIYMRGSLTHGPPLNVSQLNDATTTALF PEGSAPAEKRRRLSGSMSVPSLIQSGLHRHTRSRSFRPWAASSSVAFLDGQLAADSDA LISDDSVGYPSPAGGNGQLEHGGPRHHRGSMRSASSNELPRDSRSTATLVADGPSPDL LQKAPTEDCCYKFLDPVLPYIRNILPASVACELLDIFLTDPGSSLFRGASPYILTRIF RKKSILHPTTPRYTTPALLATILWCVAQTADVMLLHIPGTRAKVVNDLYDLATSLTSE RDPDRWRRIHGGLRAETEVPIPGWRNSASVPATTAANEPAGGVDDVLTFVLLSIAVSG GDFKSDCHKWWSKALRLTLALQLNREDERCQASVSPCANPLCSCHRDRSDATYIEFER REERRRVFWLLYSLDRHLSLSFNTILSMPDSYCEVYAPLPEAVWENLDDIPPKDLPAR VMGPPVIAGGTGYLEYFLPLMAILGDIIEIHHRRRHPRMGLQEDSYSVSVVQELLAGY ELSLDALGRDGGSFPTSGPHAFQVQGQGGRDILSGIPTPGFVTGTGTGTGTGHATSAS SEADQSKVRLVKAYSTHILHVLHVLLHGKWDAISMLDGGDDWITSKRFTECAAHAISA SQSVSTILAIDPELTFMSYLFGIYLLQGSFVLLLFADRMPQLGPNESVEQACENIIRA HEVCVVTLNTEFQKNFRKVVRSTLYSVRGSGTTNLEEHRARRRALSLYRWTKGARGLS L UV8b_07053 MKQTIAAPPLTLKGKVYAVTGGASGIGLATAQNLLRKGATVCIA DVDPAAMEAARARLGGPDDAPPVTVTHVDVSQRRQVDAWIASIVAQHGRLDGAANVAG VIGKGHGIAAVADLDDDEWDRIIAVNLTGTMYCLRAQLRHIADGGSIVNVSSVHGLKG FAKHAAYDASKHGVVGLTKAAALENGDREVRVNAVAPGSIYTPLMQKNWDCRQRPKDA PFDDPTAFRRQGTADETANVIAFLLGPESSFVSGSVYSVDGAWM UV8b_07054 MAHSVDALPKQQLVDQLPKRFNGIKFGIQSNQNIANQAVVEVSD RLLYDIENNRAPYRNGPLDPRLGTSSKFAKCITCHESLQNCIGHFGYVRLPLPIFHIG YLRFVITILQNICKSCGRVLLDESDRQAFLKDLRRPHLDNLRRNQICKRINEQCRKAK QCLYCGSVNGQIRKVGVLKLVHDKFSSYNRSTAAKKVPPESKIKFDESFAEARRQTPD LDKHLRKAMEDLNPLRVFNLFKSIGPVDCELLGLNPTEGRPEMFIWQYLPAPPVCIRP SVAQENASNEDDLTTKLADIVWVSGMIRSALQKGSSIQTIMEQWEYLQTQVAMYVNSD VPGLQQAGFGKTIRGLCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLSIDEVAVP QLVAKNMTYPEKVQRQNMEKLRTCIRNGPSVWPGAQCVIKSGDGGYKISLKFADAEMV ARELTIGDVVERHLEDGDIVLFNRQPSLHKLSIMSHFVKVRPWRTFRLNECVCNPYNA DFDGDEMNLHVPQTEEARAEAMTLMGVRHNLITPKSGEPIIAATQDFITAAFLLSSKD RFFDRKTFTSICMHMLQGHTHLDVPPPAVLAPRALWTGKQVFNVLMRPNKQSPVMINV DAKCKGFTNRPGQCPDLDPNDGWLVIRNSEIMCGQMDKSTVGAGKKDSIFYVMLRDYG PNETVAAMNRLAKLCARYLTSQGFSIGVGDVFPTDRLNQEKESLVSRAYKQCDDIITT FKSGKLEKAPGCNMEETLENSISGVLSKVRQQAGQHCIDTLSRNNAPLIMAKSGSKGS DINVAQMVALVGQQIISGQRVPDGFQDRTLPHFHKNARQPDSKGFVKNSFYSGLLPTE FLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSTQYDDTVRTSGGTVVQFQFGA DKLDPADMEGPGTPVHFQRTWTHAESLTLSNEESSLTPQEIQALCSSMLEMERKRFSR KGLLRNEPLDYEDGSDYAIDEHEGGRMFLRAIESYIDGLSSKLRKVQALAGFEDGNND SIRKAAALDHINRTAKVAASTVRLFIRLCLQKFKKAHVEPGHPVGAVGAQSIGEPGTQ MTLKTFHFAGVAGMSITQGVPRINEIINASKNISTPVITCPLLNDQQIEAAKVVKARI EKTYLSDILQYAELEWNSDGGHIMLQVDHDVLASLHLGIGMFDIAQAICNQKKLKIPA EDLSVDRARIIIRIRESADGPGKQSARSKSTADESVDMLIRANFLRRQLPSVSISGYP EATRALIETTEENTHRVLVEGQGLRACMTTEGVVGTRTRTNSVMECGQVLGIEAARTT IADEIAEVMGYMGIDPRHMQLLADVMTYKGEVLGITRFGLSKTRDSVLHLASFEKTAD HLFDAVAGMKTDQLEGVSESIILGQTMTIGTGSFNVVRRLGIRPHDLHHKPTLFEDGW RAEQKFRKGRGKA UV8b_07055 MSKLSDYKALSFDCYGTLIDWETGVIAALEPLLSANNASFPREK LLAVYAECESSQQARTPDLPYDKLLATIHARIAAKLGLEAPSAQESAAFGASVGQWPA FPDSVPALRRLAKHYKLVILSNVDRESFAKTNAGPLQGVPFDAIITAQDVGSYKPDVR NFEHMLRELKERFGLDKEDVIQTAQSQFHDHCPARKMGIKSSWIVRPGAVMGNRDEEI YDWRFDTLGDMASALERESK UV8b_07056 MRTWGSDADQDAGESLPEDGVGVLFQRQASRLLPDVVTISSLEC VQACLLMGVYTLPIGASGLSYIYLNFALKLAIQNGMHRRCPGTGLDASACETRNRVWW SLYTIERRVGIFHGRPTSISNGHVDADSPAELTTWLPSHPTHYAQILAALQLNQKLDM LSQEINIPSTSPNVYMKKGYSTSST UV8b_07057 MKASTLLALLPFAAAAPAKRASPAPVLVPRGAQLRQGKFIVKMK GDSKLGSVASAVSAIKADADHTYTHSFRGFSASLSPQELEGLRHDPNVDFIEQDAVMR ISTTQSNADWGLARLSSETAGSTSYIYDDSAGAGTCAFVIDTGVQADHPDFEGRAKFL KNFAGDGKDTDGNGHGTHVCGTIGSKTYGVAKKTKIYGVKVLDSNGSGSNSAVIAGMD YVAKEAQNQSCPKGVVVNMSLGGSRSAAVNRAAAAITKAGYFLAVAAGNDGEDASDYS PASEPSACTVGATTKDDNLADYSNVGSLVDVLAPGSKILSTWIGGRTNTISGTSMASP HVAGIGAYFLGKGQKASGLCNYLASNGIQDVIQGVPSDTANVIINNGEGSR UV8b_07058 MNCHELQQRARPSRYVIKRPKALQWFHNGRLYKASDEERQAGRF ELFLDLLYVAIVANFSDDLAEHPDGAHLAKYMLIFAPAWHVWADLREIMNSYYTDDLA QRLVILWVMALLVLYANNARRVDDVAAVRTAAGAYLVARFSTMCVFLVSSFASYQHRA QARVLAGFMFAGLLMGIPILSEDVGLGAKAAVAAVMIVYQECTWSLTLSPWFKRRLKL RYSTAVDVAHEVDRMAAFFIIILGEFVYSVVVGDPAGVGVTPGYAKAVCTLVIAFCIN WIYASGDGSLHATHPIRRSAGTAFAFFLLHLPLAASFLIGGHMCAVSTKLHRLDDGQR WLLGGGLGAGLLCLWIYGQLYRATEDEACLVLPKQYRIGMRLVVAAVLALLPATHRHL TATQLMVAVMSLFALLTVWETMGGLMKGACVFEPWTDTRAPLDEGEAEAEPEARA UV8b_07059 MAGAMADANAEASSRSPAKFRKRRIPTSCGACRQSKVKCDGRRP CSRCRSLQKVCKFEERPKVAHQLEIDELKREVENLRLQLAQQKRGSVGDDNTAAASAV LPTSVAVDSSTPFIPGNPGLFDVCEQACVESPASSRATGSHTSGNRSSSYSQRRPLSY RADRATGPFHINLVGTQDVVDAGLITLDQATSYFATFFRGCDRYVPVFDADHDALDSV RSRSGILFSVICTAGCRAFGGTDCQPWRVLNLHTRRMVNAAVTVPAMLRLETIQALLV RACYSSERSLLVAAAARMAVDLGLPDAYDELVSRVFAMSRSSEDDVSVTQDEDPLMRK TRTWLHILNMGYILHIDAGDMLTVKLAGDARRGRVLLRDRLVRKQDMCLWPQVELNVL RAQMLERLSQRSHTEAEIMDIVSDAIIDINIWFDDWKRICDPRNPHTPWLMLNMQVQR CWGVTMALCRAIRITGVENVDVMSPTQKQMLGMTRAALAEHLDIVTSEPRLYLRNLRF AMDFVWAKCVFCYLLLLKLSILLLPPERGRRGGDDDKSLLQRGNVLLSELSEADGGPL DGHRSSTARMYLQLLETGMQRYQSAVEKRGDGDGGGGQDVFAPEQFLLEWDFPGLTLY SSSIMEAGWLDDVMMEAFGSIDDLMAFGWASAEPPSQS UV8b_07060 MPQQERVPSAWEHVAPASDEPRIQLKSTGQGLPCFTFTFELIRS NVFRTTFTSDSHPLPPHPSILIPPATQQGLRAETQAGSSGSSKLMRIGDIVATVDWGA SSSSSPVVSVSYADQQAPLHADVPHRSYVVDGPGVAHYSRYNRGTLHVGLGEKAAPMN LSGRRFELSATDSFGYDVYKTDPLYKNIPLLINATPSGCVALFSTSHGRGKYSVGAEM DGMWGHYKVYRQDYGGLEEILIVGKTLRDIVATYAEFAGFPLLVPRWAFGYLSGGMKY SMLDDPPASEALLELARKMKEHDIPCSAYQMSSGYTVAEQEPKTRNVFTWNRHRFPDP EGWIRQYHALGMRLIANVKPYVLRTHPEYRKLKDAGAFFTDPQTGTAAKTRLWSAGGG ESDEGGHIDFTSAAGFKWWFDGVKKLREEGIDCIWNDNNEYTITDDGWQCALDEPSLA VPEGLEGRRDVGLWGRSLHTELHGKASHDALVAADPDRRPFVLTRSATAGTMRYACSS WSGDNVTSWAGMKGANALSLTAGMCLMQCYGHDIGGFEGPQPSPELLLRWVQLGIYSP RFAINCFKTGGGDNNVGDVIEPWMHPSITHLVRRAIKRRYALIPYLYSLSLASHRTAV PPQRWTGWGYESDPEVWTAEITDGERQYFLGDALLVGGVYEPGVAHARVYLPRRSDAD DGFVNLNAPHQHLPAGQWATIDAEWHGAGIPVLAKVGAAIPLGRDVQVLSPGETDNAA GLPLDDYRAVEIFPPRGGAGSRTWYETEWLEDDGVSAAAKTKVSKYTVGYGVRDAGVA VRFSRDESSGFVAPWKALVVILPVGDARRVVTEGDGSVRDLGADAQGRRQFELTW UV8b_07061 MAHVSGSPDGTHPQPSGESSKMGAADVLQVEHGAQRPTDDIQQA SRDKAAQFLKQADHSVVVTPADSARVCRSIDWHILPIMLFVYCLQSLDKTTLSYASVF GLIDDTHLVGNEFSWLGSVVYLAQLVFQPLVAYSLVKFPVGKFSAVMVFCWGAVLCGM TAAHDFGGLMASRLLLGAFEASVAPTFIAIVQMWYRRQEQTTRNASWYAMLGIVNILG SLLTYGLGHIKSSLRPYQVIFLFCGCITVAFSIVIFIFMPDSPMTAKFLNRDDKLIAI ERLRMNQMGIGSGVWKWDHVRECVMDPKTWLWFLLMFVISIPSGGISTFGPLIIQSFG FDKFTTILFNIPFGVVQMVATLGGAWIANRINMKSPVLLLLCLPPIAGCIILLSVGRA ASDRAVLLVGYYLISFYPGISPLIYSWSGQNTGGDTKRKVTTGMLFVGSSAGNVVGPL LFKPSEKPRYDRGLKTNLGLFVTLAVLIVLGMGLIRVLNAKQARKRREVGKAEHIEDL SMKKTTADGDGVLNRAEESEAVGDKAFDDVTDTKNEDFIYVY UV8b_07062 MLSATSLAARACARRAAAQPSPSSAPAASAIRCRHARGLSSWGS RHDRSSLPARYAAQPAARVGSECQRRQQQQQQQHHHHQQQQQRRLATTTTNPNPPLDF KNASNSVPSRVGLIGGRGYTGTVLVELFNKHRYMDLVHVSSRELAGLPLRGYTKRQIV YENLSPEDIGQLDKDVDCWVLALPNNVSEPYVEALDRVQKETGHRSVIIDLSADHRFD DSWTYGLPEITKRSKICQSTRISNPGCYATGAQLGIAPLVEHLAGSPTVFGISGYSGA GVKPCSKNDTTLLKDNLIPYSLTGHVHEQEIGHNLGISAAFIPHVASWFRGIHLAISI PLVRSMSSRNIRQLYQDRYAAESLVKVVGEPPLVRSIAMKHHCEIGGFAVDTNGTRVV VCVTIDNLNKGASTQCLQNMNLALGYAEYEGIPSAR UV8b_07063 MATPVARDHASRDKHREKDSKYSSTTDLEGSENVVSAPVAGEVT DFRERRSDVEKQKTAQGAAHFNRLGWKRLTIVLIVEAIALGALSLPAAFATLGMVAGV ICCVGLGFIAIYTSDIVGKVKIAYPEVAHYADAGRLLMGRFGYEVVGAMFVLQLTFLV GSHCLTGTIAFLNITDNGACSVVFGVVSAIILLLVAIPPSFAEVAILGYIDFASILLA IGITIIGTGVVATKAEGGLSAVNWSAWPKQDLSFAEAFIAITNICFAYSFSMCQFSFM DEMHTPKDYVKSIWALGLIEIVIYTLTGAMVYSFVGADVESPSLLSAGHTLSKIAFGV ALPVIFISGSINTTVIGRYIHGRIYKDSVTRFINTPKGWATWLAVISVITLAAFIIAE VIPFFSDLLSVSSSLFISGFTFYLPAMMWFKLLCKGKWYAKENLFRAVINALCFVIGI VVLVCGTYASIDDILRQFRNGTTKGVFSCAPIN UV8b_07064 MHPSLSAGNKDREELSPATPSLACTCPRTLGGTKTRMSGATSQG VEPRLSQSRHGPSVKGDRPPAWSKLRTSLAPQHWAKD UV8b_07065 MSTINIVKYYFHRLNVPRTEERMRKLVALAYQSACEQQLYPKAI LIRSEVHLTTTIEGERQPDPEGLHVTFSYKTQDHLGRETHITSHAYVYDTISVELRKS SPTPEKADSTLKRSGKALKPVWPSAEKLWEAPDVGYSHLP UV8b_07066 MAAALISMAPLRTRDRNIFLVIDFQSQFEGLGRLFLAAISSNWN ANYEILAPKGGLASRFFYHPPMTYNNRRELITL UV8b_07067 MPPPPVRYGYVTDSVENVYAYSRGGYHPIRIDDRLGERYRVVHK LGQGGYSTVWLAFDEKTTKYVAVKVGIAGANESGSAEQKALLNETDTLTEIARSHVGC STWADSLSTIPTVLDRFEVSGPNGTHKCLVTLPARCSLRDAVEGGNGLFQLNVARSLA AQLVMAVSIIHSRGYAHGDLHLSNLLLQFSSHLDQLSIEQLYERYGEPSKEPLLCEDT KAPSTDPGVPPYTVIPVWLGIPSEELTLGEAKLMLSDFGVAFKPSDKSRFKSYTPLIV RPPEAFFEPKTPLTLASDIWSLGCVIFELVAHRSLIDGNWFAQQDDITAQQVELQGPM PPGWWAAWEERPKWYDDAGRPLGNERDLWPWERRFEEWVQDPRRRCKMEPLSEEERDA LFKLLHRMLAWRPSERPDVTEVLKSDWMTKWALPAYQKGLDGGLPRPN UV8b_07068 MATPKLEGMATQPRPCPPTKPIHSLVLDTGPLIKNDPSVSALVS HAEQLYILPSVLPEIRDAATRARVETSLLPFAKVRAPGAASVKFVTEFARRTGDLAVL SRTDVEVVALGYELECERNNGDWRLRRAPGQKALNGQLEQGEAPATKEQDGGEVLHHG GPGAPAVGGASADTPGLEDEMDSLSLGVAAEQAGQAIPAAAAEDAPPAAQEEDQDAEW SQVPVSETPAPKPIATPADAAEDSDDGDDDGWITPSNLKRHQAHDAAPSSANTPSLPL QKTLQAAILTSDYAMQNVALRINLNLVTPSFSRITHLKNWVLRCHGCFAITKDADKQF CPKCGQPTLTRVSCSTDRDGNFQIHLKKNFQWNNRGNVYSVPKPVHGSANGRLPRKAG GKNGWGRDLILAEDQKEYVRASDEQRRQRKKDPMDEDYLPAMLGGKRSGGGGRVRVGA GRNVNSKRH UV8b_07069 MHLMYTLDSNGNRLYTLKKVAHGQVTKSAHPARFSPDDKWSRQR VTMKRRFGLLLTQQSMRA UV8b_07070 MVNFSVPKNYTFSPSSATPHLTINHDVAADLDSSNAFEGPEKLL EVWFSPSATALPASAAPNGLKSVSSDTWVAMLDMVNCKILSVLESDHVDAYLLSESSM FVFPHKLILKTCGTTTLLLGLQRLLHIAAVNAGFPFRNASSVDDIRAAATPYRVFYSR KNFLFPDKQKGPHRSWKQEVKYLDDTLEGGGSAYMVGKMNGDHWYLYITSPNQALTPP LTPDSEILCEPTPATTVSSNGGSSAGGSYSQNDETLEILMTDLDPENAKQFYLSHASA VANDKLAAEAKEARKKAQDSLGCLSSAPEELDVFGQCDEAELNVDKPQVIEELTTEGH ALGSVIAEHCGLNDVYPTSVYPDARVDAYLFSPCGFSANGVVPNPPSFPSDKPGATHY FTVHVTPEPGFSFASFETNVPGGQKSRTTAEIIEHVVNIFRPGRFSVTLFEAKGRSAN PYGMADGAFKSLSMQRLVDPVRGYRRVDRIVHDFEDYDLVFRFYEREDWFGDKAARVG EEM UV8b_07071 MGLEKVKHIVLVLSGKGGVGKSSVTTQLALSLSLAGHSVGILDV DLTGPSIPRMLSIEESKVTQVPGGWAPVPVHGQDASNGLGSLHAMSLGFLLAKRGDAV VWRGPKKTAMIRQFMRDVLWQDVDYLLIDTPPGTSDEHISLAETLQGDALPHQVAGAV VVTTPQAVSTSDVRKELNFCSKTGIRVLGVVENMSGYVCPHCSECTDIFGSGGGRSMA QEFNVPFLGTVPIDAQFIALLEEGRRPQYPEGTLINGHDISSQGHMGCRNDADSGNDQ ARLADKYNDCSLSHIFRGISSTLVETISAQA UV8b_07072 MVRNIDPLLCHKYAQFAAHLDRWHFKVIYWTMFISNLLVLFFGS WVYTSGLKAIDRLEANAHKKTKALRTYILLSTACVVVSTVIVVMEAYILLALQFCDGE DLMSLYWSTWTMTQVGSLIAIIGIVLALLHSLRNRKHPPWALALGTPVLVIAGILHLV HDCSKKRVKKLRRPSEAAGDDGKGPPMSQANTIQNSRDDDECSEIQAELIGFTIDGGP IVKFTHPVSEMTRGQLLGRDYNGFSTFSFPRGVVRFDAEAGK UV8b_07073 MAVRKSRRAAGAPSKKTPAQLRVPQKSLPVTLLSGFLGAGKTTL LQHILRTDHGLKIAVLVNDIGAINIDAALIRKTHSLTRTKEKVIALQNGCICCTLRGD LLEELVRIAQLEQFNYIVIESSGISEPEQVAETFDARLAEQMGELASASASPLEENTV RMLKQLKAAGGLEKFAHLDTTVTVIDAFTMLNDFDTADLLSSRRDDVTPEDERTVSDL MVDQIEFADVILLNKVDMISQTTKDEALGLIKKLNHRAKIIECTRGKVDVKEIVNTGL FRLDVAQSGYGWLQDLHAMTVREVNGRNVLTPKPETEEYNVQSFIYTRHRPFHPTRLF ALLYDKFILQMEYPGDEDDEDGEAAQEQDQDEAPDADADVDMGQDEAQTSDSDPDSDG RSSSSEKSEQSSASMSCTTTSLDAASPDKGGADASHDDEMDIPPNETILANKRAHPTF KRLFRSKGEYFLATRPHRAGDWSQAGAMLTLTGGRPWFCTLPPEEYMTGDHEVDALVQ HDIKKGGEWGDRRQELVFIGEKLDHGTLEKLLDECLLTDGELAKWEEVMRDGTLDEAG RVDALQDVFDDGFPDWIEDDEDDEDEDDEMEDGGHGGHGHAHRVKRGKHMVSA UV8b_07074 MAEQASAPEAAEAQQPAAGFHDAVFGNLTEKTPNYRSLGWLATA ALMMKTQIGLGVLSIPAVFDTLGLVPGIACLLAVGAITSWSNYMVGVFKLRHPEVYGV DEAGALMFGRLGREVLGASFCIFWVFVAGSGMLGISIGLNAVSSHGACTAGFVVAAAV VGFSLASIRTLGRISWIAWVGLVCILVSIFVVTVAVALQDRPAAAPRDGPWTSDFQLF KKPSFAAAVSAVSSLVFSYAGAPAFFSIASEMRDPRDYNRALVVCQAGVTSVFVVIGT VVYYFCGSYVASPALGSAGPLVKRVAYGLALPGLVATTTLVIHLPAKHAFLRLLRGSS HLTANSFVHWSVWLGCTLAITLAAYLIASGIPFFGGLVSLVGALLGTLMAFQPMGCMW LYDNWSEGRRAPSPGWACMVAFSVFVVVSGTFLMVAGTYGSVVGIVDAYDRGEGSAAW TCADNSNSVASS UV8b_07075 MSSKLYQRLSSECDDACSADASLGAPPRISKPVEALQYSYDCVV IGSGYGGSIAASRMARAGQSVCLLERGQERWPGEYPLTSKQALRQVRLSGHVGKPFSE STKVQCGNRDGMYHIVVGHGQTAVVANGLGGGSLINSNVFLEADAGTLAMEVWPPQIR NDPQCLEKYYQRVRRVLEPEPYPDDWPALHRTQVFREQAERLGVPKDRFRKVPQTTRF RPGRNACGVAMAPSTRSGHDTTGLNDGSKTTTLVTYLADAWNWGAELFSQCEVRHVEK VRDERGGYLVFFISHQRRQQQRGSGWQPPLRWVHARSAVFLGAGAIGTTEILLRSKAM GLCVSDTLGEGMSGNGDMLAFGYNTDRRVNAMASAQAARRDPVGPAINAAIDMRGQPG NPLDGFVIQEGAVPPALSPLLQPLLDVSSVLSGSSVPKNMRKRLARWKSRLRGPYACG AMQKTQVLLAMSHDSSQGRLRLENDEPLLQFQQGSGSDRVTRVRSLLAEAVEAVGGTP VYDPGYGVLGNHQVTVHPLGGATMSRDNTGAHGVTNHAGEVFSGTDTSATHRGLVVVD GAAVPAALGVNPLATISALAERAVDEYARTAGLVISKEHNGSIDFAAGPGRLPQRPLR QRHADAEKQPDPAAAPDGHSKQSPASAHGQPPSIAFTECMAGFVHASTSAAACAEADF APSYRVAKLRGETARLLVQADVSEAPWRQHGVSGRQYSGSINGTFVCASLPGSPFMIR RGDLQIFQPAEAPPETSRFVYDFDMAGTDGRLVRFYGYKTVDASTSFSPVRLWKALTT LFVCITELNDQEAARREENGAQRRAAPAQGRVLAQGILRLGVRSFRKQLLGMEAAGDT IQDRTRNMSRFMRYFAGKALGHLLLPLQPLRYATTTTAAQAAYVNPTSPTRSYTIVAS DGVQTVLHMWEPEPRVSADQPPVENLFMIPGASVDHQIFALPTIPLNAVNYFTRAGYR VFVTVHRIGLQDAGRGDGDSWTTYDARLDLRACIQFIRQFWSPAKLYTIAHCMGSVAF ASGLLDGTIPADWIRGITCSQVFAHPVWSPSNVVKKASPVPLDRLYARLAGGWFDCRP GPCDRPVQRAINQLLRFYPDSARERCSSAACHRTTFLFGRCWAHENLNRATHDHIDRF FGGASMALMQMLMQMDGNVTGNAPGYKVLTGAENVERLRGIPIFLFSGEASDVLSPAA TEKTYALLCSRFGLAAEGGGIQYRRKVFPGYGHLDCWMGRDAWRDVYPSVREEVDRVV RGVERR UV8b_07076 MLLLPVLVALAACANAAKPVGRQVCVASCYYSLLRPRFAGTGDK DQAACANELRVRSTYYCVVAHCAEEDVEPGIGWWQGACKNSSRTVSLAEYHEASSNVS AGFLASLPTVELAQKDLVPGVALPSARSWAVVYRSTATYSHMRDYHNAVRWTCYGFWA LVLLAGMAARMRPLVRRALPRHQLLHRMKRATRYKQFMLRGQPRATVIALYITVHIAA SAAHYPLYDENYYYSSKKVQALRYFADRLGSLMSASLPWVFLFGSRSNPLVYMTGWSY ATFSFFHRWVAIVLAVEGVLHGAVFCAFYVCDKGWDYLQSQLRQDKTLLYGILTIAAM VLSTVFALGLRSRYYEAFKLAHIALSAVALAAFYEHIKTQFGGAYRVWAWTCVAIWAS DYLLRIARIVILNHKLLTGGHTPAVASFAPETGMIRLQVHPSAIPARQNPGQHYFLSF GRRPWESHPFSLAGWSSATPHAGEKSSDAGLRAGSPASLTFMIRPRHGITKRLREQLE NAAGKCRPTVVLEGPYGAEANFGQYASVLFIAGGSGITAVLPYVRGLVDRRMGPRARL VWAVPQEAFAREVLANDAQWAESSPHAEGRFALEVYITGSGGPLPADSTHAEGYGPDA RFRYARPSIDAVVRAFVEGSGPTAAVVVCGPDGMADEARGCVIRYSKASTADVAFTQV VYRW UV8b_07077 MSDCESSEKPIRHGSRLGNLSPSQIEHKRAMDRKAQRIRRAKIK DYIRSLEREIFELQTYHKDTALVQQLLHRNDVLEQQLRRLEMTHLRPRAGDGIQYDAP ASSVRQEQAYMVPRNFAMCLDCLPAPCVGLAPMPAVYSLDPQTQSTTPEKGYHTTAAA AAAAAAYSPDDYVCHDRPGPLGSAVGDMHASNAYNAGTDFFVCKPQP UV8b_07078 MPVINDKSPDADQGTANGHLTQQLSAAESIWIAESLSLPREALF VAVVCMAQFCTQAAYMGTLVLLRTIGQSFHITEPARLAWLVAGYSLTIGTFILFSGRL GDVFGHKRMLLTGLTWFSLWSMVAGLSVYSNYKLAVFSRVLQGIGPAICLPNALAILG AAYPPGHRKAMVFAFFGAVAPVGAVTGAVFASLLTLAWWPWALWAMAIWLAVLAVTGT YAIPSPSPQKTVPLAWGDSMETLDLRGALVGVVALILFNLAWTQAPIDGWGTPTILVP LVLGFLLFLLFGFIEFRISKKPLVPFAAINADVVFVLAAVACGWAAFGVWSLYLVQLL EDVRGLSPLSTSAWFVPVVFSGACAAVVTGKLLGPLQVKPPSVMTMALLAFTTGAILT ATAPANQLYWGQTFVSMLVMPFGMDMSFPAATLVLSDAVPKKHQGVAASLVNTVVNYG IALGVGFAGTVEVQVHGRGRTMDEKLQGFRGALFTGVGLAGLGLVVSAVFLARERLSR UV8b_07079 MPHESDFILTLSCPDKPGIIHAVTAVFASHGHNVLDLQQFSDPV SKSFFMRVHFAPREGVASAEHLVGPFDALTGQYAMAYDIRPVARRMKVLIMVSKIGHC LNDLLFRMKEGQLRIEVPVIVSNHADYEPLAKSYGIEFHHLPVTKDTKAQQEARILDL VKQHGIELVVLARYMQVLSPTLCEAMSGRIINIHHSFLPSFKGAKPYHQAYERGVKII GATAHFVTADLDEGPIIEQRVARVDHGMDAKELVEQGSNVESQVLAAAVRWYADRKVF LNGSKTVVFG UV8b_07080 MALFTRKEEKPSGGAHAPTKARRPRRSEPYTMHSRPTFGQWLKL TGLDIATMIVMGAVGLGVYMADPAPSRSFAVTFQDGEIVYPEFAYPLRDEIIPIWLAA FLAAMVPIAAILLAQIRVRSFWDANNGVVGLLYSLITAAVFQVFLKWLVGGLRPHFLD VCKPDVSRAKALAGLNAKGYQQLYFTPDICTGDRKEINDSLESFPSGHTTAAFAGFVY LSLYLNAKLKVFSNYHPAMWKLILVYAPVLGAALIGGALTIDEYHNWYDVVAGAVIGT VMAFSAYRMTYAAIFDWRYNHVPLNRGSAFGFGSGRDDGVDAVFTRRAGWGQGRVVGE KGHVGNGVDGHNHQQGADTTGPSIPRRAVGGRGDDMV UV8b_07081 MASISDLATAAISYSGGDAVATDISARHDGKRGAFIVLEGLDRS GKTTQVKLLEQRFVEEGRAVKVMRFPDRTTPIGQIIDAYLKSNIQVEDHVIHLLFSAN RWEAVDQIKSLLASGTTIICDRFYHSGIVYSAAKRNPSLPLSWARAPERGLPRPDMVL FLDLDEAAARARGGWGSEVYEKTEMQKTVRELFWCLGMGGKDVHGQEILADLGGLQGA QWRQEEEDLVVLDADGSVEEVAERVWAKVKERVEQVEKGELGTRIRVVQ UV8b_07082 MAAISRQPFAPLDGARLQTLTSLKNRQNAITPPSNTKRKAGILD PEDDSENIDPLMFAKRIKGLSGTTLKDVSKKPSSFVLRQDTLTPSALVSPAKASTAPR RTLQPKSPFAKLNTTLVKSCSVPAPAGRSPTRGKRPGILSNRQRTARPFARVDSPLFS LDSSAAPFSLDAALKGTIASYGSRPRSNALSQGSTSPSSFSKPDIKAGWFFDIHEDSP EQEMTNLLQHSTCILDISSDEESEQKARRETEEGRDKENIPPCDHISQASTRRSSRAA ASHDIEEKRVALGEMNTADFYADGCDETSLIIIYGDEDQVHPEPDEDAHNVQGVDFAA APGLESIDSLKEIDQLMSKTESLSQAAVLQPLEGTGETFDLWESDSAKDEKEPVAANS UV8b_07083 MNRQIHWTQDPRFSHLRNQPAWYQIRPTPFYTPRPSAIMEKRAA EERKRQWQWQIADGAREAVNITSCLAATFWPCGIYSRTSQRLKAALSGHDTELVPNRG CCNPDCVQFGLCLPFYGCLLAKLQTTVRTFYGIDGTDFSDWYDAFCCPCLTMIRNEQE ILLREKQHRKLKGRHNHDQSSTRQYHSQTPMTYLSPDSCKSTNTQAQRKNASHQLSSD ALSPANTAAYPQGDGRADKESSKPLKPTIAKIVGKHALADDEVVVTGNANSLHDLRTD TLIKAHHSGNSLSHQLNEDATTPVRTPISDSDHELCCDPVTDWAKPAVHHSLGDDETT DSGPLRRGHDLDKDVSINTIQGDPNQHDIGVHKPFTIHSSKPSLHHLNDDTAVSSTST GKPGHDLEKDAKIDSLLKSRTTHNLEGHEVMKKESKLPVPHKLNKD UV8b_07084 MATHEEKSGGHSLTESNLAVPDAAAKVSSIRVFSLERTASGTTA RSDNSNPFETDVEAMATNNSIDKPRASVVLTRKKDCQVWPNKSDWKQRAKAGKKNRSC AFMQRFSRRTRITMKILMVVLVVSIAIAVGFGVSRPLGAPIWGEKSKSS UV8b_07085 MIVMPPTSDHPVGQQLAPFIGIYFRYKTRHLQSVAITLFLLPRG FVIISHCLISLGRTTDSRGLFALKLWHDATGMGPRDMTLDDCCEDSDAIHLAVKRPCE ALCLCSSLGLVREDCQLKAVTDHEEEVVHGLSPDIEMTETRNSSSCAPTQAIGIKSSN QRVSIGGPSRLVFHVVRDTYRCAAVGVILSAYQLENERSAQVTRCHIQVSHLPAAA UV8b_07086 METDHQAPVTPLDGHDDTFVGIEGSPHVASSHGFHHQQSHSLDQ AMPASPRHDEDPAHRYTPPVSVSRPASGLSNPIHQPHNDYRSGSGEPSNGRNHVVIKV GMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQRE FVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPILVGTKYDHFVN FPLQDQEEISNQARRFAKAMRASLIFSSTSHSINVQKIFKIVLSKAFDLKCTIPEIEN VGEPLLLYQSV UV8b_07087 MASIACSLRAVARPAVMRMAPRAASRRAFATSQMSLAKRYTIEH EWVDLSADRKSATIGISQYAAEQLGDVVYVEPPENLGETVQQGDAVGAVESVKSASDI NAPIKCKIVQSNTLLEQSPATINKVPEDDSAGGGWVIKAEVDEEGAKQYDGLMDAEAY KAFISD UV8b_07088 MATNTLTVGTRKSPLALAQAELVVASLQSLFPSDTFPIHGTTTT GDRDLNTALYNFGGKGLWTTQLEEKLVAQEVDIVVHSLKDMPTTLPDDCSLGAITKRE DPRDILSIKKELRDKHGWKSIVDLPHGSVIGTSSIRRIAQLARRYPHLKFKDVRGNIQ TRLQKLDEDPELSAIVLAAAGLLRMNLGEHISQYLDVDNGGILHAVGQGALGIECRAG DERVLEAVRQIEDKETALACLAERSLMRELEGGCSVPIGVETRWVHGKLRLRATVVSV CGKDGVDSDLSESVTTKEEAEDLGRRAAKDLVSRGADKILDAINKTRPG UV8b_07089 MRYIIIPCMALLALVFATPQIPSPNTSVAAPNSSSSPVAPVPDE TYCECGYTYCASVLMAMKKAWSTKQLAEAYCTTPSAVCGNGKPSTSVNTALYICLCDD PGQKYGNKLDLLCACDKCLVVGPDFRGRCETPCHSGQCKA UV8b_07090 MTRHCSAFIRDVRPLRCSRREWHGSSGQVNQQTLLPSVISKPQA LVRHALVVATVSANSGYQRYSTAAVPPTTPYSQLTVGVPRETFPFEHRVALTPTNVTL LLKKGFAKVLVERGAGSHAEFLDEAYEQAGASLVDGPQAVWSRADIVLKVRGPSVEEI ESVQENQTVISLLQPAQNKELVQKIAEKKATCFAMDMIPRISRAQVFDALSSMANIAG YKAVLEASNVFGRFLTGQVTAAGKIPPCKVLVIGAGVAGLSAIATARRMGAIVRGFDT RSAAREQVQSLGAEFVEVDVQEDGSGAGGYAKEMSKEFIEAEMRLFKEQAKEVDIIIT TALIPGKPAPKLIRTEALDVMKPGSVVVDLAAEAGGNCEATQPGKLAMYKDVKIIGYT DLPSRLPTQSSTLYSNNITKFLLSLSPKDKEFGIDLSDEVVRGSIVTQNGKILPPAPR PAPPPSPTKPAAAPVVKAAELTPWQKKTREVATVTAGMGSVLALGKFTGPLFMANAFT FGLASLIGYRVVWGVAPALHSPLMSVTNAISGMVGVGGLFILGGGYFPETIPQVFGAL SVLLAFVNVGGGFVITKRMLDMFKRPTDPPEYPWLYAIPAALFGGGFVAAASTGAAGL VQAGYLISSILCIGSISGLASQATARMGNMLGILGVGSGVLASLLAVGFSPEVLTQFG GLAVMGALAGMLIGRRITPTDLPQTVAALHSVVGLAAVLTSIGSVMADVTEISTLHLV TAYLGVLIGGITFTGSLVAFLKLAGRMSSRPTFLPGRHVINGGLLATNMATMGAFIAM APGSPMIAAGALAANTVLSFVKGYTTTAAIGGADMPVVITVLNAYSGFALVAEGFMLD NPLLTSVGALIGVSGSILSYIMCVAMNRSLTNVLFGGISTPAQTKEYKPQGVVTQTNV EDLVDALLNSESVILVVGYGMAVAKAQYAISSIVSQLRSKGITVRFAIHPVAGRMPGQ CNVLLAEASVPYDIVLEMDEINDDFRDTDLTVVIGANDTVNPIAMEKGSAIEGMPVLH AWKSKQVVVMKRGMASGYADVPNPMFYMPNTKMLFGDAKNTCEAIKAAIEVKL UV8b_07091 MSTLPRTLRNLRKVGIKDYFRQMLYIGDTKYGRLVGTDRAGNKF FENMEELPLRTRWVEYAKHDYDASHIEPGWHAWISYALDKPPTEDALIATGLRKFEKP YPIPNYTQSRGAFKTYSTTKPKISEWEPLAVQRT UV8b_07092 MFARTCTRCIPRSRSAFRPNAVLAKVQRRSFGTSPGSTSTTTQS ACMSMGTLAPIVNELDRMAPSFDIRGEDIHVLRTPAEFYETLKDRIRNAKRRIFFSTL YIGKSETDLIETLQEALRRNPELKLSILTDCLRGTREAPQPSCASLLAPLVAEFHDRV EIRMYHTPNLTGLRKKYIPKRINEGWGLQHMKLYGVDDEIILSGANLSTDYFTNRQDR YHLFSSKEITEHFRKVHDVVASFSFLVEPSKVEAGFSLTWPKSNSCPNPLDDPKSFIK SSSSTLDKLVAPPAQQHVKRDFYNTRVYVLGQMSQIMQPDRSTELPVVTHILKHLALP QYRGSSWTFTAGYFNPAPSLTKLLIGTASTNNTVITAAPEANGFYKSKGVSGLLPDAY VLLARRFLDNVNQSRRAEDVTLKEWRLGSVGQPGGWTYHAKGLWVTMPGDTRPSMSII GSSNYTKRSYSHDLEVGALIVTKDEKLMRQLGDEQIWLQDHALRVTRDDFAKNERRVG LQVRIAMWIVSLVGGAL UV8b_07093 MVSKAGLRCLNQAMAALRVSAKPATLSNAVTRSMATEVPARTIT RSASTQSIMQSWNPTSTVPVTIHSFPSLEPTSVEKWPVHHLYLPLRRDILHHAIVYEG DNTRQGTASSKTRYEVHGSHRKIRPQKGTGRARLGTKQSPLLRGGGKTFGPKPRDFGT KLNRKVYDKAWRTALSYRYRRGELIICEDGMELTMPSDFEMLAAKYMKDGLLESYLKK YMTSVLATLGLGRADGRTLFVTGEPSKRLYEAMEQVPWEGRALNLEDVDVKDLLETGK VVMERRVLKEMIERHQSDLVARVVADGIPKSGPSTGEIVL UV8b_07094 MSRCQAVARPLARPSRLPCAIRLFTAGAPRAADVQEQSASAVSK EPSALDLDPNTVLPEFEAQLIKAGKVPIGSRRRRVAMRITSNIPFEQLPYQAFQEARK ILAADRQDKLAKISVELEKIAKLEQKDAAQVKGGQKMKDVKLASLRREVERLKLLADI NDPLVKKRFEDGLGDMNKPIYRALAEKKWRSYDYRLITQRIKQFNIVPDVLPKLEPTA DVQLFFRQSKIPPGEIVDSLVSESAPRLRVQVFDKGERLITIVVVDSDVPDVGSDTFT KRCHFLAANIPLDPTNTSLPLTRIRAQDQLAVPWLPAFSQKGAPYHRLGVYLLEQKAG EKVDVAKLKELYSGRDGFSLKSMRDKFNLQPFGFNIFRSVWDEHTAAVMARHGIPGAD VEFRPTRVHSMKPPVKARGWEAKRQGPKYRHLWKYTKRIRGISNARGWIKKR UV8b_07095 MPGTGITGPVLLSVAALAGYLLLVRRLRHQRMRSILSKYCRYVD SPEAINAMTPEDAFAIQALVAEVEFPSMFSVALFLALFQAFGIPSISRLLVATGELSR KSTASKRAADTGVLVTEIVFCAPGTDRSVSAIARMNWIHDRYRKSGAIRDDDMLYTLG LFALEPIRWVQRFEWRALTDLERCAIAVCWKKLGDVMGISYSPLPSGARGWQNGLEWL EELETWSKQYEIQHMTPSTSNTALAISALNVVLTNVPRMVKPVAAQFAVASFSPRLRT ALAFDKPPLWVRGGLSLVLSIRKLLLRHLFLPRPLFMRKKWSSEAEAKTGYYNSEHYI AHPWYARPASKCRWSCRSWILLLTGGYVPSHNTPEFRPQGYRIPDVGPAGLEGQSTED VEAAKHMIRKMQACPFHPGK UV8b_07096 MRPFYFRSGEYFLHFTELCLVTYPSPLDRNAPLPNKLSLLLLAG IIEYAVSSVLADLAATFAWMLAGAVRSSVTPDPPDRGQDLVLLSLFLLSFGLRTRQLL PRPGRPDALLRRAARYIFWNSPAGVCHMAIVLWSWVSGSPRLRIKTPRYFWVLIAPTL ELGEVHLEVTGSKGRKSFMSILWYSLGIALLNALVKALPTFLVGLALIAQDAAPVSPR DVILKRDSPIAGLGHRPVFIAVKEGLEALECDLSRMLARLVHVGAASYQQALDLLARL VLQPDPRRHQVATRKLDAQGFRLLTILPGCGSSPVRCSLRCHSVDDKSLKYTAISYSW GNDQPSHAIIVNGQPHSVTKSAFDVLHALRSCYRSRTVWIDYICIDQAVHGDKAQQIP LMPRIYENASRVVVWLGHSKTADLATALVNRMFLVNRLRQTGHAKLPDYRMPVDAARA LKRMLRTSWFTRVWVIQEVVRARRNVIIRYGDSSLSWERFSWFTQSLQLDADQLGVLA RQIGHSGLAGVAALQNVSMVRRFALVRDETLSLVFYLAAIYRSSSVFDASKPHDRIYA LCGLSSLSNVYLTPDYATPLRQLFVDVVRNALVTTAPRNQLAFLTHAGSGYNPTVPGL PSWAPDWTVRLTSELFIGTEGGAELLASSAVKAVMEDAAALASFGQEDDPERTEQRLQ ATRDRIARVADQMRRMLYDATPSTESCVTLQPDNILELKGRRVDRLIALGKPYPVSGT THESLAILAEWRHLFRMSFKKAEATSEADMANLFFSILHQERSETRLDYTFGRMPKDP LQAYPPGIPVQVWRRLAMGHFNEPTCSAEVQEFYKLLALVLRRVCGGRRFGLTSNGSF GLFFPSNELGDWVCLFTGARLPFSIRAASTMPLNTFELVGPVYLHGYMHGILGVPNLW NEVIRLR UV8b_07097 MLFSKLIASFALLASQVHALPNSATQVESASDSVLDSRTIPSVL RQHAAKAIKAFSDPRLTMFHSGLGASLAARMAKERNLQTVEMTIATAIQKDPNTYRDT LAKYCIPKANFCDIRGDEAEWIQVWDIICEEWSERPLERTRLLYPTVQKPSATSFYMR KEEPNLIRRHIEIDVEKVQVP UV8b_07098 MSSQTQTQLRTLATRLLTACRQGCLPTVRSTAPLLYANAAQEQA QAQAQAQAQAQAQAQAQAQAQAQAQSSACSPVPPLIIVLATAAQHGQASILHYLLTSM PACSNAPSPWSPPLPASVSDQWKEALLPDLVVLRAVQSSSPSVVQTLIDAGMHVDHNM DKIGSPLSMAIRTQSLEMVKFLLDRGANANEMLWIPHITLLALAAEQPCQDILAAMLE HGAKLSGSGALYAAAGVGNTASAQFLLGRGVDVNEVWMNDMWGDERDNSGTALHAAAL NAQKDMVSFLLAKGARKDLKDGESRTPGDVARGTGNTEIATMLEVD UV8b_07099 MQCRNGKQRSSSSQKAMQTPWAAWCSTTKVGVALSIPPRCLSQS LNYFPSLPCGDSGITRQETQTKPVCHACVYKLVEYMQILELGELATAKIERLLEDHLV PGIPRCVGQATEH UV8b_07100 MWALAISVLLYAAWAQAKYVWPAKSDFLEDMLAIQSGAVKFGFT DLVGSCDLGFNQPGRQSSAEWVRAAFHDAITHNKAKGTGGLDISIMFETERPENKGVA FNNTVNDMHSFFSPRASGSDLLALALVAAVAGCGGPRIQLRMGRIDASAAGPAGVPEP EHDLASTLAAFTNAGFSQEDMIAMVACGHTLGGVQSVDFPDITGGTPSNSHKVPFDRT AAAFDTAVVNEYLQGDGVNPLVFGHNQTTNSDKRIFAADGNATMSRLRDPQEFRSTCG TVFQRLIDTVPSTVQLSEPIDIVDVKPYIDKLELATTPNQLALAGKIRVRTTKGTGRD ADSLQVSLRLLDRSGKSSHLDAPRMRWRSGQSEGFFGETFTWYEFATQVNAVAGLKSF TIHLKDASGAEPVHDNGGHGYPLNDQVLYMQSRSCQGPVANGNMTFTIGAAVRKSAIG DANDKVAVKMAHKIHQTGAVLPRIVIQPLSMVASGISTAEGYVHYSLNVSTYAPEWST TFDIELQSKKGTVASEFHPTVALENC UV8b_07101 MTKPLTLIGQISAFRPAAPGGPRRTNKNYRIRRGSSKAAVPVTR GNVFIACIFLHSASQDTTPSSAKYGSATARPVPADSEWRYNYKLDGTLLGVNGRPSGG AGSCLTREGDVRQPRGRKLAPATGNSGP UV8b_07102 MPKYAVYHVRDLRHYSTGQYCTGASKYRGPYKQFIDNGPNRSVR ASSPGDQLQALWRFFGVYNEDCYCGDNLDATAVNVVLDQCDISCPGNTYECCGGKLNA RRSSSLLRRQLNASDILLSLFERISGSGSLPGGQTTTDAAPSRYITLTQALTGTNTAP TTVTIAPSGTVPGSIIIQTPLTPAGFITITLPLTGSGSVPVTVAIPPSGTIPGTVLIQ TPPATGQYITITQPLTGTISVPVTITIPPRGTVPGTIIIQTPAATGPYITLTQPLTGT NNVPTTVTIPPRGTVPGTIIIQTTPGAVPYVTLTQLVTGTNVAPATITIPGSGTVPGS VIIQTPAVASYITLTQPLPGIYSVPTTITRIHCDVYKHDYSAKCHKPEQRVSNWALCD NHAGI UV8b_07103 MVLSPDFSSSPLCPFRLDSPLQLTQHPKRPPSGYPDPDSRVYSH LVAVRNSHAREDRFMLDVDRLFFARSILHIFREQAFLGPPRPRNCLAWHLQTFFTSRH AQKIALEVTFDLPRLHERTRWWAFLFAVNPEQRAAEMTDIVDIRVNYMDKHNVQYTIL SYTAPGVQDIWTPGKPRTSP UV8b_07104 MPFITVDDQKIHYRLTPAVPQPIPDDESDSGDDLSGEFDAELLI FKTILFIPGLGATRSSYSDIIQRVSNKGHTCLSYDVPGSGQSTSNGRETSIETMCRVP LALLRHLGIRRVLIVAHSLGTLVACELALHVEVWGIMMIAPLNPHPVTKEIMCIRQRI LARSGLEVLADKVLSVTAEFATDEQKKFLRTMMLSHTPEVYASLCQALYNADRPRYPA LNCPLTILRGSKDITCHADVCEDICDRWHLLAPKLVDTVPQIGHWPIVEAVGQVSNSI IGFVEMSELAMLRRHPQLLARIVGPSRRRRGKSAATDA UV8b_07105 MASIESGTGSGTVLGQQFTKSVIESIGPKASPRLREVMASLIQH IHDFAREVELTTDEWMAGVQLINAAGQMSNDKRNEGQLLCDVIGLESLVDDITFSAAA KKTNGLTASAILGPFWRRDTPRRQNGSTIALDMPPDGRAVYMHGKVSDVDTGKPLVSA SLDVWQASTNGLYEQQDPEQREYNLRGIFQTDARGRYAFYCLKPTAYPVPTDGPAGQL LQKMDRQVFRPAHIHLMVQADGYKPLTTQIFDKYCQYLGEDSVFAVKDELSVEFVPRE GDSQAGLQLEYNVTLKRADVEET UV8b_07106 MLENHITALYYSNHLSSINGNALNLLPHHSCLPPSSQDVIIRHS LAADYYLDHLLRSTRRLLSLGIFPYLYP UV8b_07107 MLNSETDANTIRRATYYPESTTYPHLRTRSTPPQSSSFGASPAE LASSPSSIITLIIIIVVVSTLNPAAPPLATTRPQHAMPPRPTFDELPVRKDGPPGNAW GLYGDGDECGTLNLLTPERVARAAREIVHGVRVSTDWHLDSMSPPCFGRRSCEHTITH KAPMAVNDDELRLNTQSSSQWDGLRHFGYQDEKLYYNGMSQDEVLSTHRNGIHAWVEK GGVVGRGVLLDYAAWADAKGLQLKPLETVSIPVSALDQVAASQGTTLQAGDILFVRVG WTREYQKQSPNERAALASKESPPAMGLESSEETLRWIWDKELAAIAGDHPSMEAWPCQ NMAFQLHPWLLAGWGMPIGELFDLERLSEECSKRQRWTFFFSSMPLKVPGGVASPPNG VAIF UV8b_07108 MVGVISFLPHLPNSVCRSDSHVRRVNMASVSKTVLATGFSSGLG FEVLRQLLDRSTPYNIIFGARDREAAIDAVSKLQYDDAANAVTVLPLELSDMKTVRSF AQQVMEKIGPGKIDYLVLNAAIFKGTVEEDEDSYGSRWCEAAVVNHFSHHYLIHLLQG KLVASKTRIVMVSSAALIHVEDPDDLDDLLQPGSGFDGFFVYAGSKFVQLLGAHWWRR QLQNQCVVIAVSPGLVPNTGLGRERGSKTSGILPDAKSARQGAANILKGLLRDDLPED PDRIFLTSRGEWWDTYFIRATLDRELQNWWCPSQAELDEEAGIS UV8b_07109 MSRGVQLPHLDVFGIRGNDLPYHEEPAPATSSLPSPPQNWNAPF SATSQGRYPEAYQQGLAAATAYADG UV8b_07110 MGLETRPVCHRCAQIKQACDGNLPCARCVRLSLPCRLRNTTGSG DDFPYGDVPKAKIRRVQTGCLMCKRRKKKCDETKPRCGDCRRLCLECTWPQERQARSA KPGSAVADEPLNALARLNSGLVAPIPTGSSFKQEPDVLLMRDIPIRGSPSPSSSSSSG GHGSYDTPSQHGHPGHGAQLSFPDTYADFNRPINYSSLMTMATTAMAIPLSAAIPVTS GVFSGLTPDMSPSRLSWDISASPPAWLDAVTPVSNNSPAASLDSVHSAPSSLSIYVPQ LMPQLIAPQDKALLNHYSTIVSSILSRRSSVDNPYNGYLLPMAQSNDLVLHCILALSA NHWRKLQPDLGDRGLLHKSKATQALAGILPHVDRTSADIALVSSLLLCMTELFDGTSE GWKLHLKGAKRLLITLRKQQGAMMTGHYKFLLRLARFLDSAATTSTCRPPLMGAEAAE AQALDTWSATPDEEDSAVYGIPKELFHLVDRVNTLAELRSTRVDQASEIAFRRHANAI EHRINNWSCEYGGIARAVSAPTPNTDDVLHATLAFEHAIRLRLHQIVEGYELTDPKVG RHVDGILDCVQRIRYGSPLEPCILFPLVMAGGSCWKLEHRVIIQDRLLVMERTCGFGY IYNARDLVERVWSRRDESRGTGAVVNWAKIRYYEMHGLVVF UV8b_07111 MASEGTHEALQPIHPSMAGKLDPVFEKLYNDNVASTPLRPIDLG ALRSKYSELYSYGTGPAPDVGRVYDDRIPLGDGSDVQLDVRVYEPDSKGPWPAHIDYH GGGWALGDLDTESHICKHICKKANVVVVDVAYRLVPEHAFPVGITDSFAALKYVHAHG AERFNIRPDSISLGGVSAGGCIALALAHLARDNKPTPVPVKLVIVGTPVIDDLSQYSS AAESPFPSMQENEWAPTLNWARLAWFDKLKWSSLPADAQGHGAAREKVAWFANLLQAP SFKGLPKTVIYTAGADPLRDEGERYARVLVENGIEVTLRRFPGVPHPFMHMDKDLWQA SEFIDKTAREIRLALYDL UV8b_07112 MSTTVNQALLDRNVSDVYEQGIEVVCAWPVSGQYGPGSRILYYV LIAACVLARKAEWIKNACLAAALLFPAVAALHGIALAAFHRDGAVDLDIYGAFQLCAI GILAAPVTVRLSRTYFNDPGRNAIFLWAGLILAGLLGLTVEFYRAVPTTCYMDDQGRA LSGDPHDFPYDAQPACNMTCNTSPAGPQSPMRGGAANNIYLIPAPSKLSFGTGTLLCA TCCVHAILWLASMMDKILEINWKSRFGINDDIRSEPIKGTNGATVGKMNDVNEIIRFF ISVAIVPIFTAAGLAVLIVGEINFFSYQMRYQNEPMASIGQWGPIVGTGIAIVGSLYL LLAADIEAALHGPSDNEKGCEHECPKHSSHAPTEEQILPPSHSLDETDTVDETLRSFS RDKGGRLKGKINGLSVTGNSKTTSAHGLSGSRRKAADALIAWSGLLGQVTHDSFDLSK FRDGAALDFPEIPGEKERNRNLAHVKELYSKRLQADGTPARPPITMACTPSTPIAFSS TLVVSSTSKYAAGRNKLA UV8b_07113 MDDAISLDTDQIRSWRSIITLVVFILTNINVLFPFHIPFYLPTR VWRLVVGTLIHLRVIPPRHNAPEGETADDEQNGKLKPWIRFQFPMNFVTAPLIADLFL LAISAIGRKEVHDGTLGADNISPIDIMAFFLTLAYIAISIDASGLIRYLAFKVLQWGG RIGHRLFFLLYAFFFLIGSFIGNDPIILSGTAFLAYMTRVSSNIVHPRAWIHTQFAVA NIASAILVSSNPTNLVLAGAFKIKFINYTANMIVPVVVTATVLFPFLLYIVFANESLI PSTIKMHELPLEARGKKPVNPNIPHARGQMEEEENSLENDEQVKLLSLEEIMNPFLDK GGAAFGAAIMAATLITVLVLNAVSTGTDARPVFWVTLPAAFVMFCWDLGFGWYHRAET RQIARNGRQELENARAERVLREEQPALPPVAQATANGSIMNEIERQGSIPGGLLETEK RGPAATTPLPPAILVSENPDGAAIRTSSSSESLQATSTSIPERANGGNAPYDEKSAAL FQSADGQAMALSPTPDLLVSSNGDGDGPKRSSQAPSFRPDKCRTTLVSLAKGAFRWAQ ETFPTAAAVVLHLPFALVPFAFSMFVLVQALATKGWIPVFAYGWDHWVRKTGTVGAIG GMGFLSVVLCNFAGTNIGTTILLSRVIQTWQAINAENKTEISDRTFWATVYSMAIGVN YGAFSTAFSASLAGLLWKDILSRKHIHVRGLEFARVNLPIIAIAMVVGLAVLTGQIYI VRGDQPYDHT UV8b_07114 MASTDVAPNAESLAAADSPLAVSMEEVMEAKLSHRERDIAAGVF DEDRYAPQSATPCRNGNSGEYSCENVDLRGFLRHQDLGSETRTGNDVWGWTSLSGREF GIVGQTDGVGFVEVLKDGSLRAMGRLPTQTTDSVWRDIKVIGRHAYIGSEAPGHGLQV FDLTKLLTVDARSPPTFDIKSDLTAHFSGFGSSHNIVAHGATRTIFAVGTSRNGSCKG GLWMVDVSDPAEPRDAGCFAQDGYVHDAQCVIYKGPDRRYRGCEICFCYDESALTIVD VTRRRNAKRLSVTPYRGASYTHQGWLTGHDQRPVFTGYYKSPAKAIDHNLYVVDGLSY MSNYGSGLRIVNVTSVAENSSGSWFREVGFFDVYPEDDAVGGEVTFNGAWSVYPYFRS GNILVNSIERGIFSVKLVL UV8b_07115 MEAWKLGRFNVVVPIMLPLEETVFLRLPLPYKVGDARCPGNSDE KLRTEVATYIWLEENCPEAPIPTLRAFGFPDGSATSWAAGSVLLLYVPSSKRNPLEHG YLILSEAKGEMLSLSWEKYRHDKSYRERLFLGLANVTLSLNRTPLSRIASLTLRPNGC VALSNGPLDLHFQMLENEGISSGIPRHRTYAAFEPYISDLISLQDSKMLHQPNSVRNF ADGKRQLAALVTLRAVMPTFIRPQYREGPFHLTLTDLDQSNIFVDGQWNIKTIIELEW ACARPIEMQMPPFWLTSRAVDGFKDADEIAEYDAILAEYLEFSAAEEKRRNGVALEAP IQRHVWRSGAFWFFHAALTPKGMFNLFSRHIHPHFSKVHPQMIIFNEMLFCYWGLQAE KTIGLKVRQKDEYVEKVRQVFGQPVEQRATWGGEDRLAEPRAPGYSRRWVDFFLIVQS YYWRGADQKLHSSRMYMYITTKTMILGNDLLGCSILCL UV8b_07116 MDASENRGTDDADSSSSPYVISLGREIPPEEYSFYLSESVTCPS ILFLDDVPAVHVQVRGLSVSLNTAPSWLEPATYPDLICGKFDTAPRSKTLLHSVAADM RPGTLTAIIGGSGSGKTTLLNAMAERTTSWRLNQEGAVTFNGQLGVHSARHAYVMQQD SLVPTLTVRETLRYAAALRLPATTSREHRNGVVEQVLCELGLNKCADTRIGNSRNRGC SAGEKKRVSIGVQLLANPSVLFLDEPTSGLDATSAHLLMHTLKSLASQGRTVITTLHQ PRSEIWHLLDNLVVLSEGAPMYAGCVLDCLHWFQELGFTSPQFVNPADFVIDLTAIDK RTPELEAESTDRLNGLKSAWAEESTILYRSEDPDASYTGQKPVANTQHAGPFLRQLRL LTVRTLKVTHRDALGKTATWVEAIFMGLLTGYIFNGLGRDQMGIRSREGGLYIATVLQ GYFILLLEVYRMTIDIRTFDNESADGCVDALPFVLSRRLAHLLTEDLLVPAVYSALVY FPAGFQLTSTQFLTFLAITVINHSVCVSCAMTSVVAARRFARACLLANLVYTLQILVC GMLIQVNTMSVYVRWLRWISFAFYAFSSYAGNEFQGNFYECPLPGGPLNPACQPYSGD FVMESLGFPSNWVARPIIILTSFLAFFTILSVLGLRFLKADTSISRSQTSDTDLSAGK EKMTERMFQETGMIDLGLDGLALVLDKRNGSGKWQPKKVILYPVTTTFRAGVLSVVMG PSGSGKTSLLNAMALRLRNTVRAKYRTFGHVTFNGSVPSDSVIRSVCSYVCQDDDALL PSLTVRETLRFAAGLRLPSFMRTDEKNRVAEDVIMKMGLKDCADKLIGSDTIQGISRG EKRRVSIGIQILTNPRILLLDEPTSGLDAFTASSVMEVLQGLANEGRTVIMTVHQARS DLFHRFGDVVLLASDGLSVFLGPASQMLEHFSHFGYNCPTQTNPADFGIDMVTINEQQ DEREAKTRDRVQKLIEEWTRSYAEGRWQPFGDAVCGIPEGGEANNNEDGKDHTIVVQQ GNAPLNGQNGPSSPRLSKAKLLTPAELAALIGRRPSILTTLPLLVQRAFINIRRQPQL VMARIRHCVGLAVLLAIFFAPIGNDYFAVQTRMGFVQAVGAFYLVGMLQNAVAYPFER DVFYRENDDGAYGAGAFLASYTLVEVPLELLSCMVVSVLSTFAVGLPRNMAMYGAYSI ACFGLVSCGESLGIMFNTLFGRSGFPLTLMGFVLSIAMAMAGVFSIDMPRWLQAVNFL SPIRYATRAVAPLSLRDVQFTCTDGQRLPVGRCPIETGQQVLELYGFDVDPWVNAACL AACIVVYRLMAWALLKMARARWASRR UV8b_07117 MNATKRKFNALLQGLSTPRPTTPNTTDDMSASRRYGADVATNDA LLQKRRRLGFPESTAPILYDALPAERSLSSVVLKRSATQSSSSSATDPPAKYCPGDRD QLLKRLATFQEITDWTPKPDKVNEIAWAKRGWICQGKEKVRCVLCHRELLVKLDKKGS DVAEAQVSSSSAIVEALVDRYSALIVSSHLEDCLWRKRGCDDTLLRLSFSKATATLAA LRQRYDELCSRSPFLPYEANLRLPVEINLDNILAQLPSDFFTSPPPIIGKSGTGHAGG PPNRVALLLALMGWQGLTNQRIGAVPNSASCHTCLRRLGLWMFKSKQVGEQGEVIVPA PMDHLDPLREHRFFCPWRNAEAQSQGMVAAGKAPATVAWKALLQTIKNESDLRNVCSE NSSRVGQLRKGGIASASPTREASNTPELPTPRTPTGKTSANHARIAVTPQDDSGHEED EKLREAKDKERWARLRRVKSLFDTKASRKARRAPVAAGAVEPNRSTTGT UV8b_07118 MPRLPRISPRTPGPRHADSARFSVSATQSVRIRPTRRPVARPAP SSSSGEALQLARDATKDMRSDRGPLSGSTSCNIEPSPSSPPPVAVPVLDTGLSGPYKR VLLSSQTIVHCSAGQPLDKARHSPPGRLTTCTTNRQLQQLAAADKFFSHPCRFLYSAE VLRHHAINHHVPEVVVLGASNVGKSTFLNALVGSATAARVSQKPGRTTLMNAFGVGPL PKIPRQSVAKGTAPPKHSLVLVDTPGYGYRSQASWGDAILSYVRARSMLRGAVVLLSS EKRLMPEDRWILGALAEANTRTVVVVTKADKSKGAWVVKATALADSVQRELDRFDGES GYRWRVSLGAAAHIYVTSAGISSPGKLRNGGGMGGVRSAILEMAGFALDNTVSRNAQS LTYGGPIVSFDDIQWKK UV8b_07119 MQIEVSSSAHTVLPCSDCSRVWLSATGNPEFDAMAASPAGLDAH EQPSDEMRAEWKAVSRLDQHLVAEDPRIDDPRLPCAAGTSFRPAGRIDRRQLASAFEH LHPELAALADDHAPVLFHPLLPGLLIAPNLIPPPVQKSLLAKMLHRDLSNPAHQTNMH LHYALPYPSLPPDPPSPPRSRSFFSLAPDSAPCFVPKDPGVHKPLTPRQVLDRRLHWL TLGGQYDWTNRAYPDQQPPKFPADLAGFLEELFPETQAQAAIVNFYSPGDTMMMHRDV SEDTDKGLVSLSFGCDCLFMIAPSGSPGQADGGGSRVAGPGGKQYLLLRLRSGDAVYM TAESRYAWHGVPKVIKGTCPDYLEDWPAENGQYAEWQGWMKNKRINLNVRQMTE UV8b_07120 MSASARPDAGRGVDGAFQGLSHDPKINNHPATQDSSDFIAGLWK EAPFALMPDDAPSELREYVEDVENPSRVYAIHRASRRHDFQLLVDLYIHQLRVGCGST LCLTATCFTCRKRLVGTAPIRRYSSTSARTLAVCLASQDHPHRGLCPYLRRSIDPPPA VSSLMFSVPPRPRSHTAEPPSPQSPGHSRKNSLGTQSKPIRPCLARKCQASAIDSRGA TANQDTNQNQHPKTEPRAGSDDENLGSDPDVLEVKITEGPLCKDHRSFVATTFGTMAF KMLEWLTPQGLQAISDNYSEARKPELERQHDAAKKTAGQNVGGPVQITSNEHRPRLHR SSSKSVQPVSTPGQESSAHPLREPLRHDDAIIARDSSRLKRTSRGSVKTGPASKPQKK ASLEPSIPSNQSDGLRSTPLNNAFADKKARNPKLTNGVLSRTVPEIPVTPAFFENVPC LSSPSVEEVDRLSFDRDAQDEYASKNAPALVRNRKARQKRAGQDKVLSQTRPSPSWTL HPLPQALSYLNVELIDFICDVFDEDGSSEKEFFGPLTIAENHPKPLNDSKKLARKPTQ KTEASILRTQWKAFNEQTIFSVMSDPPSLVKSFTKDGKLYDSHTLWFCMMRMTRAAPS VVLHSLWLAAKSLFVPPESLKPGRRPPSTEVFGHHASLSNFEAGCIMSICLHALVATA PCATDSKMLYEMSRIRSGGMALPNQSTAARQRLPTCLEYDDVFSNELALRLARRVFCA ITARECFAEMASSDVDLEDTATDMNAMGLVLDQLDIFSSDDARILEFTQSERFLHEAR VPTLLLDWARAVLLQEWNGRPEYSNDGPFHGAMSLFNTLYINRNKLLLGDAQFRVHYF SERLDAMEVPVTWTSFTPTRQVHHILDHPYLFSQETVVSYFRSINFSRMSRTYEESSS LKTRMAAIVDPGSLVTNPHHKHVLQDLLQTASSQYLVLTISRKHVLRDAFDQLWRRQE RELLRPLKVHLGEGAEGEEGFDSGGVQQEFFRMAVAECLDPDFGAFTVDGRTRMAWFV PGSLVEEWKFEMIGLLMSLAVYNGLTLPVTFPKALYRKLLGEPIEELHHIADGWPELA SGLAALQEWDERDGLVEDVFARTYEFSVSTLGGEVTVPMTEGGSSWPQGIPIPSTRSS VAAAADCDDAEAVTGDNRYDYISDYLSYLTDVSVNPQFIAFERGFKACFDAKSLSLLT PSILQSIVEGVQEIDIGELRRYTRYVGWDSSHPTVRDFWSIVKRYDDEMKRKLLEFVT ASDRVPVGGVRNMQFVLQKNGEVEGDGGHLPTAYTCYGTLLLPVYRDREALRERLGMA LENAQGFGFA UV8b_07121 MASVATAPAGVGTPASHPYTCNTCQVAYRNIDLQKGHMKSDWHR YNLKRRVASLPPISSEVFSEKVLQARASSTAEAEKAYFERACEACNKSYYSENAYQNH LLSSKHKANEAAADGSRRVQDDETTSVISSTFSLGEPTLVAREDLDLDAEAEFNQVIE SLQKAKVSAEQRPSPVSRPSNPKPTTPKEDANEEEDESSAPSHSLVEPSWTLNSCIFC NFESPSLTLSVQHMERFHGMFIPEKRYLADLEGLVKQLQRNVREYHECLTCSKIKSTV FGVQTHMRDKGHCKIPYSTEKEQLAIGDFYDFRSTYSDGEEEDDDDDDGTSDTEEERG GAKLGSKRTAKVVGEDGEEMREDEDAEEWETDSSASSLDSADLTAVPAEGHLHQYERL EKHPHHSSRDPRNHHQADGWHSRAHKHTHAAFYDDFELHLPSGKSVGHRSLNKYFRQN LTNHPTPEERAERLAIESAGSDEREGSHKDGSVVLRNGQRFKRDIVPRGVAGLTNVSD EKKRAVRKSEHRGRDLEQFNTKRTDWSYGKRANNQKTYYYRYDGGG UV8b_07122 MDRKRTRPLSSSSVLLFLLVPSALAVEADFSLFPKVAQSCLISA LTWSKCSGADTRALNACLCSNGGNFIISSSQCLGKWAKSELPAVYITMSEACANSSTP LSISQRAFFDAANARSKTTTSPSATSAETETSTSSDPSATTSSQAGTETEDTSSGISK GTIIAISVCAAAAGLAVIGGLVVFLVRKRKRRAECIAQPVPGQEDGDNHKTDNPTTFP PNEPLSGLSDLTSYKEHAWTSSPSPGYSNGNSQVKGTGPYAASPHELPPVWPDQSTST LASTTRGPVFEMDATSAPARACYLAEMEGSQPPRRFELSCSR UV8b_07123 MSLLAPEDWQWLRANEFTSHCTPSGFCKVHKYFLVQNPQDVHPN LPLARITDIRTAFQGQFFETLPATLISPATLWDLGLTVSAGRRIWHAWLNRTSLGPIC PPYHDDYGMEFVRFVLGNLERLRCEWVSDCATDDALWDELLVMYGVNHELDAKIKLRR ARQDRTPAPLQHVRDDSDGAGDAGGLQGVLGRSMPARKAHARQLCLAWLKEDISERFM YLKKIMRLSRQRAELLQKPGGLGIEPQVAQRDYRFYVKTRLVLPESWKQGGELQNAPC RASVPFTLKPETDGEAAETSLPASHLAQSIL UV8b_07124 MASFRSFPLILAAAVVSRLVYPRLEVLFTFYNDAPSRLPQVNTF KRHEIKFADRVRSCEDALILESRGVAILACDPGRERWNTVLGIFHPDPASAGLYVYDY RDASQPEAESLKTVELVGFPGRADFHTLGLAYDEATSTLFAASHAQAGSRIERFKLDV DRLVATHTGTIQHPLIHAPNSIAIVSPDELYVTNDHHFLARNTKLLAKAETFLALPLG SVVHVKLLDGDRTPQVGDVRIVERLSFPNGIELLNDTTLAVASTTRRAIYLYEIQRDR SLEPRSTIHLPYLPDNLSVHGGKLLVAGHPHFPSLAKFSESRHVCNYPEVLGAAGADK REYCESGLAPSWAGEWSERAGLRILYVGTEYPTSATAARDARRGVGIITGLYAKGILV WRD UV8b_07125 MSASGWVRLSGRLIVATTCRGQSRRYFAWRGTATWCQEQVHDIK TSGNFDFGGRIFMRALRFPWQSLEVITGFPWGSARVFWEAYDSLVIIDSGPETLCDGE IRVDGE UV8b_07126 MSAQMECRIACFRAMVLRTPRLFNKNGRQGPSSSRIPRDARRLC TAPGGGVQGLRFSLPESGLAVPSNDSRLGGVQE UV8b_07127 MHFRANNFDIDILVAVPTSVFRSDAAASLKKNRHRTQQPQTAKP ITMSKFLACDSDTEEYFPDKETKEERAWREEKQTLVFAGFVLFVLLLGTFWWIHQFNL LKEDQKDYCAPDAVPYRIQTGDTCQKIGDTRGISVDDILRANPAPLCEHLCKGRYICV PK UV8b_07128 MDRDKLAQLLQASQVPNTEQVKAVTANLQKNYYSKPESLILLVE IALTHNDAAIRQLAAVQALRFAPKHWDSTAQEKKPLARSHLLEGALKESFAPARHALG RLVAGLVGLDMENGDGDEFMKQVLPLNNSDNIQYREVGSYILFSMLEENPHHFEEYTH QLLELFQSRIEDPDSKEVRINVVQAVGAILMNIEPDEDPQAVAIVQSFIPNMVNLLKV TVEAEDEESYQTIFEIFHSYLACDPSFLATHLRDLLRFMMDLGGNKNAEDDARTQALS WLIQCVQFRRMKIQGMKDVAAELMVKSMEIVTELDADEDEEDLTPARSAISLINTLAT ELPPRLVVVPMLEQFPAYAANSEPGYRMSAMLALGNVAEGAPDFLSTQLQPLLPTVIN LLCDSDIQVRHAALVGLIHLAEEMADEMSRHHEEIISAVLRNLESASQGATDKKNIRI VRCACGALDTFGDCVDTKIMAKYGPNLIGPMIKLLDHEDYGIKAAAASAIGAIASAMD KEFLPFFEGAMKALGRFVMLKESNEAMSLRSATCDSLSRIALAIGPETFQPFVMDLMK ASEEALHLDNPRLKETSFILWSNLSKVYGTDFDHFLEGVFKGLFSSLELEEEEIDLPG VDPSQLGEGAIIGGKRVKLKVPNSQEDAIIAAGGEDDWEDLDDLANLGEFGAVTAVAM EQEIAIDTLGDVISNSCGSSHLESYVEKAIAQVMPFAEHTYEGCRKNAVSTLWRIYSR VFQVWEEGPGRKWQPGMPPNPVPPQSIVKIGQALHKTTMDIWTADSDRTVITEVNRNV AATLKSCGPAVLAAKEGMLQELVSVIGSLITRSHPCQQDLGAEDEEYEVDAGSSEYDW LVVDTALDVVAGLAAALGPQFGELWKIFEKPVLKLVASTEDVHRSTAVGTIAEVAKYT GEAISPFTESLGQNLVRRLSDHDKLTKSNAAYALGLLILNSAETGKTVPLFPQLFEKL EPMLSVHEVRITDNVAGCLCRMMMKHPDDGFIAQALPPVVNVLPLTEDYEENEPIFQC IYKLYDLSNPTVQQLTPQLLRVFDEVLGEPEEQLEQDTRQMVQRMVQALRG UV8b_07129 MAATLEPISTRSPLPTPAPPSASPSTTPAHAYPSPAAPAPAPGE PSASEDEARRGRSKLPVSGPALADHSRACADHASASASARPSRPRPPSIVKPRARDPS GSKARTGEGVQRLTAAEMQELTSSPDSLPVAPAPDRRHSVDQGRTAGPAAQGERPGRR PRNRSPDMRRLERIHTGVLAAGCSSGPAAPGPWADARPRRCAPRTASTPPPTRSSRHA TAASKPAFSRSYSYHISPRSPFPPSGSDLAGDVLNGYPPSSADRVGPAAESAHQNPAA EASHDCPGPIPPAESFRQNPAANASHDYPGPSPPSPIPSMIPLPPMSLPTHLQLELAS QRPSPLYIHQSRSNDVPYESYAVKLERLKNVLLVPPYLERTLYFGALACLDAWLHTFT ILPIRFILALGILLQWWGYLVTKEVTWLCGFVRHGLGRLWKRGRANHPRRRSDASAND APRTSSGAREPSAAAAAAAAKLPERPRHKRMQRHAEDAGLPPPHRTRQPGPQTGGVFR HRRAKSLPSALSPFHKADLLQGAVIVCSSVALMTLDASRMYHFIRAQSAIKLYVIYNI LEVGDRLLSALGQDILECLFSSETLSRDAAGRSKVLLPLGMFALALAYNCLHSVTLYY QVITLNVAVNSYSNALFTLLLSNQFVEIKSTVFKRFDKDALFQLTCADVVERFHLWVM LLIIGMRNLVEVGAFSVPGAGFDSSSHEDGAPAVPLHSPSILPHSFTALPAWMRSGEA LSPFLIVVGSEMLVDTVKHAYVSKFNNLKPTFYGRTLDILCKDYYTNAFAMPSLTKRL GLAVIPLSCLFIRASIQTYHMFLSTHVPMPPPPSTQTSLSDASAVPSSPAMIVALGRF DALLRDSLGRATYGYPYGSPLNSRPWYAWTADDLIAALTMVVVFFIIFLLLLIVKLLL GMALLQYARSRYARMKQHERLVALGRGAREGYDASGRRVGGRGDVEVTDDKARWIRAD ASEGLGSVGGDEAARAGKRPVEGEYMGVARYDMVGKRIW UV8b_07130 MASHPPAKCCAAGSLFEGTPTGQHARIDGKIDVYVATPPEGKAK TGCGILYIPDVLGIWQNSRLIADQFARRGYTTVVLDVFNGDPVKLERPAGFDIMAWLA RGSDGDNPHTAAYVDPIVEAGIKYVKQLGVEKLGAVGYCFGAKYVVRHYKSGIAAGYV AHPSFVEEDELAAIEGPLSISAAETDTIFSREKRHRSEEILKDTQQPYQIALYSGTEH GFAVRGDVGVKAQRFAKEQAFEQAVAWFDNFLVD UV8b_07131 MTEYWKSSPNYWCKHCATFVRDSKLERTNHEATAKHQNAIKRSL RDLHRTHEREERERERARREIQRLNGVVPASPAADTSHHRGGGSSAEPEVHKQREQLA QLGVSIPSAFRADMAMPGEWVVTSSRVVGPEDGAEAGQEKAATGVRKRRETEEARAER DAVQGLFKRSRKWGRESKALREGGEDEELDALLSGAVTLKKTGAKGEDEEEVRLGDGE GLVKEEARDECGGVEAAAAAERSSGGSKVEDGAEAEAKVKVKVEEGEAGAGPVVFKKR KPKSLRTR UV8b_07132 MDLYQSPAPTLLTWWPFATPLTSRFTRLSDLSLSHLRSGTCSWL QSIPVHCGPVSDKKGRLLCSRVPEPCLVDFLHTALRYPTKSQQDIMKSSLVAFIGLAA TANAAAEKKPDSPPPAGCSTSYDGKFQVSIYSLGDAKRDLQKRACGGEGTLIMTLHDG VLKDAKDRTGSIVANYQFQFDGPPQDNAIYTAGFSVCNNGSLALGGSTVFQRCLSGSF YNLYDRNWAAQCQPIEMVVTSCDAGNNPPSGGSGGKPVGTSMVPTAIVTVLPDGQPQV HSTVVPVPMCQIGDGQVQAHTTPCAAVPPVSQISDGQPQAPKGAPALSQISDGQIQAP TARPPPVSQIADGQPQAPTKAAAPAPPVSQISDGQPQAPKKTDVQPPPVSQISDGQPQ APKETDTQSFTTSTVESHTTAAESQKPTPTAAAHKILPGLATAAIAVIGLAML UV8b_07133 MFPMLRLHPDFDARARKVLLVSFVGAQQTEFPTQNDLLSLVSNR EKRPKSRGETVDRQSVSDLQRQSSRSNRPNLCSWLAATQGQGLALPPHEHFELKVVIR LRIPSASFLVKSKSAPAAGSHPTWPRARPTA UV8b_07134 MHSNACFFGQGYKKHELPGRREERLVDHSRACLRKHPSQTVTWR RRNGGRWMNWHTFQYPCDEYAKHAKHLPC UV8b_07135 MESEKGHFENRKLYSEFLTRTIRYVRVAAKWQLLAEIAAMRYSH RVVKDPRRRVKAQYA UV8b_07136 MKAAALLSLTGLAANTFAHPKGRHPHDASLDKRGVDLSKFRMPE LSDYTVSTKAKSHPTVSAISKRGDYVGAATQLVKTVLPNAQFRLVDDHYVGADGLAHV NFKQTLHGIDIDNADFNVNVSPDGTIFSYGNSFFKDKLPRENPLNKRAFTDPAAALKD VVNILGLPVDASKARAVARQGRETYIVKGTKGAVSDPAAKLVYFTKEDSSLSLTWRIE TDILDNWLLTYIDADDGKKIHGVVDYVSDVAALQVYPWTVMDPTQGDRSVQTDPWNIV TSPFTWFSDGSRNYTTLWGNNGVAQTNYDGHNNVNDYANSYRPTSASLRFEYPYSTSQ SEKSSYRDASITQLFYTSNTYHDLLYTLGFNEPAGNFQTNNNGRGGRGNDFVVLNTQD GSGTNNANFATPPDGYRARMRMYMWTKSSPNRDCSFEPDVVLHEYTHGLSTRLTGGPA NSGCLSGLESGAMGEGWSDFMAIAVLARSTDTRTKDLPLGAWISNNPKGIRTYLYSTN MSTNPLTYAAANRQNEVHAMGEIWATTLYELFWNLVDRHGITADKYPTLNSKGVPTDG RFLSMKIVMGGMAVQPCNPNMVSARDAILDADKQLTGGANECAIWGAFAKRGLGTGAR YNGGSNRVESFALPLGC UV8b_07137 MVSWLAAVLTTLAPGLALASDYHEQLILRPLPLSQLLASFNFKS NTSIADFEARHFRLFPRSLGQILEYAGTRELHLRFTLGRWDAETWGARPWDGAKEGAS GVELWAWMDAETDRKADDSWLTLTNALSGLFCASLNFIDETRTIRPALSFQPEGHHSP AALAKTRLLHGVLPHEVVCTENLTPFLKLLPCHGKAGIASLLDGHKLFDSSFQSMAID VKPLCDDDDGGGNCVLQMEQTIDMVMDIERSKRPRDNPIPRPPPASELVCDESKPYHD ENHCFPADHLNGQDWTLAQIFGRPMKGTCPLANSDHPPVCLEVPNSRIVYASEGSTEI KHGNGESRCYTFPEETEFALMLPKSEAKTASEASRELVEPAQPLLYAERSFTGHGQEH GGVQAILTNPNPHDVEFVYLESLPWFMRVYLHTLATRISAAAAPHHNASSLIKKVHYR PALDRTRGTQLELQMRIPARCTVFLTYDFEKAILRYTEYPPDANRGFDVAAAIIRTLE PRVMNLRTTNLLLYLPTPDFSMPYNVIIFTSTAIALAFGGLFNILVRRIVGVDEGAGA MSKWKLRNLLKRLTAS UV8b_07138 MAAEQRKLLEQLMGHQSTSRAAQLSLTDPKVCRSYLVGTCPHDL FTNTKQDLGQCPKVHSEPLKSEYDGLSDREKQRYGFDYDYMRDLQKYIEDCNRRIDAA QKRLEKTPDEIRQTNVLLKAISNLSASIADGLLEVEVLASMAEVSHAIDENFRVKQTM QNKADKEKELKALSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHLGYAS MRKTYEAFPRELKSRQRQSMADDDMGGGSGGARGPRSGGHRSGRSARHRSGW UV8b_07139 MEDQFGGRTDDDLFYDDFEPVESETADVPRPQHTAESASVADPK PIPAKQPPQQSQPASKNPPPPGQASASASAANTPSSPAPSQPRAKGAANTLSSSRFAR KARNASKKPGSTPPPPAATRSPSLPKQGQQKQSQCREEPAPGDKSSPPPPNAPTAPAR DKKPPASSSSAAAANDPEARLQSGANPRQKLTDAELAAKMEQMKLLAAEKTRKFEEAE KDERQHAEAYAKGMEEARRRKADDAERRRRGEENKRKLDEERAKNRERKLKAMGAKEA GWDEGKEAVLEAEARRGFRGANGGVRGTKRGGLGDSRYAREGQEQPDVDRFLDDRYRR GRGGRGRGRGRDNRRGGFEGGPDKPADNSAAPALTSDQFPALPSDGKKKSSDSAIVPV YPPQRAESALTASLSTPSPLGGKWDDEMEALDELKRQGKS UV8b_07140 MSDSNTTLPPVHPASLPDWSNVSVIHRNTLAPRSNFYLYDTEAD ALRQDVNKAKAKCLSGKWKFHWSRSPFEGPRDFYKPDFAPGDFGDIVVPGMWQLQGHG KGPHYTNYLFPWPVYPPNISYPENECGRYLTSFSLDESFAEHQLRLRFEGVDSSFTVW LNGSQVGYSQGSRNPSEFDVTDLVQVGQENHLAVEVYQRCDGSYIEDQDQWWLSGIFR HVHLHAFPKVHPLDIHIITDLDEKFENATLRVKVHVSSPCPVELKLLDPRGKQVALKT ADLVKSDEVKIDVSNPHKWTAETPHLYFLVLNFLGDSRCSLAHRVGFRSTGLIDGVYC VNGRPVKFRGVNRHEHHPDHGRAVPYEFMRRDLLIMKAHNINAIRTCHQVNDPRLYDV ADELGLWVLDEADLECHGFAASGGDPAKYTSDNPVWREQYVDRARQMVARDKNHACVI MWSLGNESFYGGNHQAMYDTIKAMDDTRLVHYEGDGNAKTADVYSRMYPDLGFLESFA KERDWKKPLVVCEFLHSMGNSEGNAKEYIDLFYKHPRLMGGFVWEWANHGLRAKTEAG EEFMAYGGDFGDEPNDYNFVMDGLLSSEHNVSSNITEYAKSIEPVQTLSLHHHDIKVV NRYDFLSLDHLAGSWSVVSDGKKLTGGRVSIPKGIKPHTEAVLVAEGFHDGMLREIHG EAYLQLKFRTKHETNWAPANHQVATGELRVSGPLHVNSIQAVEPPMPKPTLQVASGSQ LQITSASGQSTWSIDAVTGTLVSWRRKSYDANVELISEPICMDFYRALTDNDRGGHGR DWLDRRLHQTRMHAQEVRWDTVRDGVAVRIRQRIAPPALSWAVDTAWTYHFRGESVAI NVKGKPHGPGLPSTFARIGVTLGLYGADRVRWWGRGPGESYRDKKHSQLHGNWASTVD DLWADYEFPQDGGNRTDVRWVEVLGAKGRILRANFGDLNGASFSAMRYSTRDIDECTH PYELHKRRRGDAVVRLDWAHHGLGTGSCGPWTLPQYSLRSDQDFDYDILLD UV8b_07141 MTSTAASKATAPVTSDVAWLAAGRCQGCDEIRLAETRRKEQRKG DVAAARSSMSAFFSSDTMSAVGDQPSLSSSVFNALETPDASKATPELVHNLRHDSTVL ALAVSPTHKSIYAGTQDGEIVVWSLDTFHQTRQVQAHKRSVLSLFLSPDASLLFSSAG DAIINVWCPRTLRRLYEVYGVYDVGDVFCTAYSPQHDTLYIGAQNTTIQWVTLGSPSS RVSPDSQSHPDRRSHRFFDSKAVGGGGATPRRNEDRWALIPRAQAVLEIDEGSIRHFA HNGYVYCMLMAKGPTVEADSDEDVLISGGGDGTIKLWRLAASAENGRGSEEGPGGGGI DEIMALGTDDAESVLSLALDGSFLYAGKLDGIVELWDLDTAQRLRVIKAHGGDVMSLQ MGWGCLWAGSTNGWVSKYSTAHYGAYRHASSRDLSQKYQCLGKWKAHKGKILASANTT YCSEQYFITGSNDEKISVWSVKDLASPSKQQAGQGVSNDLLLSTLSDFVSYKTISSRP EFAEDCRKGATFLGSLFKRLGGHVEMLSTEKARNPVVYAKFSGKKEASSSSSSSEQRK RILFYGHYDVVAADEKKGKWATDPFSATGTNGFLYGRGVSDNKGPVIAALYSVTDLMQ AHRLNNDVIFLIEGEEEFGSRGFEEAVRRNKGLIGHVDYILLANSYWLNDEVPCLTYG LRGVLHATVCVDSPRPDIHSGVDGSHLSDEPLTDLTCVLSKLKGPGNRILIPGFYDGI PALTPEEEARFDDIASIMVHQQPQPASADKLKRSLLARWREPNLTIHKYKVSGPDGSL VSSHASSHISLRLVPGQEVEHVAAALEWHLRRQFGLLESQNRLSVNVDNKAEPWLGDP GNDIFCTLGQAIMEAWPDRFERVPQGQQHRHQQGAQAQAQASSVGRATKPRQPLYIRE GGSIPAIRFLEKEFGAPAANFPCGRASDAAHLDNERMSLVNLLKAREIFRMVFARL UV8b_07142 MESYRVLSEYELPRAPRNAVIHATVADRVSKILGHLDESRASRR LLGRLVEVYFEAVRRQVVQSASQPVRRATESKFRARKPSVHLAASSAPLANEPVSHDD AWCTLGV UV8b_07143 MIGSVPSPAAAARPSYAHQAHESSASNSTNMSVCIVAKRTGGMP ARPWPLPPPGLLALTSRWRAGSRSKRRAPSTLQIYQPPRVSSRGGFRRPGCAWAWQPH AGQLSGSLTRHRLELGVVLDLWAKGPPAGGSLRGSLRQNELAGKIKDASADIVQLRAA ETASPGPVVYEASLARAVSQHLAARLDGSFLHADTAGPGKHQTREKEQQQEGKGGHYS VLSNDTIRSVEETVAANFETSAKPMQPPAETYQGSCGNYLAESSLLQLSLAFSGDGNG TCMLQISR UV8b_07144 MPPSPLPSTQAPEPRRPPSARTVSINIAEEGSQGSHRRFQVHVS ECVETKTVTTTTRLTRQFPRVFLHDPTPLESLDSREYPLAAKPTPPELLAFSYNVQQD QELDQLSDHDEEDKNVSRDETGGRRVAESPSQIPIKQRRSGSLTPTAIKQEPDLQETP LQSRVTRHPSHSPSEGYPRITRQTRAGPADAPTVTSSQTPPAFISTPRFFQRPARSSN LHAASDKLRRTVTQGSSTSAPGASGSSANMAAVALSNPSRRDGGDRNPSRAASRFLAT PDTSGMAGSSLRNRSLHLDRPQPLPDTSTTPAAACDDAGSPTGSDSHTVFSSNVATPP ITDADPEPFGDADDSLPQSLRSILQHRPSIDVAAAQDASLPSPRLSPTLAASQQHSAV HDEDAPSGFQTSAAESSISSPESSQPSANMQDAQAMHTSQRSHGGQFSTRPRPRPGTR GRHHMLLDPESFLDAFDSMKTEMKTFMMYQFLRRCPRPTLRVIANAVNPALQCDFLKR LPLELAYHVLAYLDHKDLCRAARVSKHWRNIIDRNETGWKELFDRDGFVMASGELSNA IFQGWGWQDPVGLSDYERDLSMLGRLSSSEFELTRTFGDVVTQSPRMSKRKRSLNSYT ASSERLKRRAGTSQDSTVAGARDPGEQTEVGLHRSEGSLSAANAAAAAVPDPQLGLPS LRQLHLFKSIYRRHYMIRRSWTSREVKPGHVAFAAHPRHVITCLQFDEDKIITGSDDT LIHIYDTKTGKLRSRLAGHEGGVWALQYEGNLLVSGSTDRSVRVWDIERGLCQQVFYG HTSTVRCLQILMPTDMGSDADGQRIFQPEKPLIITGSRDSQLRVWRLPEVGSRRYIQT GPPAQETDCPYFIRVLTGHTHSVRAISAYADTLVSGSYDSTVRVWRISTGELVHVLRG HSQKVYSVVLDHKRNRCISGSMDSYVKIWNLATGECTHTLEGHSLLVGLLDLRDDRLV SAAADSTLRVWDPETGRCKNTLMAHTGAITCFQHDGQKVISGSEKTVKMWDVRTGECV QDLLTDLTGVWQVKFDGRRCVAAVQRDQLTYIEILDFGAIRDGRPTEELGKRILLNEH EVREIVADGVL UV8b_07145 MPLEQTVTIVNNSGKIISTGKQLFSIFKEAKASYDEKKAEIRGL KRSETFDSSRSLLRQAAHQKARGTHEPGYYTEHDYGRNPPYHDGARRPLDARSDASSR KSGASSRSRSKPPQHGSGSRPALTESNLRTLSEVSSTPPSKAPPNAYRSPYAETLPRD MLASRMDLLPGQLDAKSAAETEWARGRPAVLPRRRSESDRPGREPPDGQDVIDMDLAY GNIPPDLEYRLDLDPARQDDEHQANQLIRRVEGLLDEAHCVQHSAASIIKHLQEKPDA AAAVALTLAELSSVVGQMSPAFLGLLKGASPAVFALLASPQFLIGTGIAVGLTVVMFG GWKIVKRVREQQSARETLAWQGAAAARPAPLRTQSDFSTGVDEALVVDEELSAIDTWR RGIMPPGADDESADVELITPVAERAQRAKYKDDLDVRSHRSTRTTATSRTAKTAKTAK SSKTSKTSQTHRTSGKAKEREASERHGGRNATVESVAGDSELGPRKSSHRDRDRDRDK ERPKERGARMLEDGRGSSSRSRSSSSSMELVFRPKAQSLGDNMLKALFKSKDRKERLV MT UV8b_07146 MPPSRARAPPRLTRLLAVALLAPLHSPCQPHPSHPPVPVVIHTW GGPFTVAADAAFASLGDAHSSALDAVQAGGAACQLRRCDGTVGYGGSPDENCETTLDA MIMDGATLNVGAVGALRRVRDAVAVARRVLEHTQHSLLVGELATQFALESGFREEDLG TAASRAACQAWRARGCQPNWRVDVAPDPKASCGPYTPLGGRRHGEHDGEDEDEDEDGG RQRQQQQRQPGGPHDTIALVALDAAGNMAAATSTNGKAHKIPGRVGDAPVPGSGCYVD SRVGGCGATGDGDLLMRLLPCYQAVESMRRGMSPAAAADDAVRRMLLRYPLLQAGLVV MNSRGEHAGAASNWRFEYSVRGRDMPETRVVAVTPVTARSGAAEL UV8b_07147 MSCLCAVQCKCSWPVSMLAAAKAQVAERVESFRVSVAFILLVIE PDLRAAFVVDGCARFIWAITGSVISSSRHTSQAHVDVLLAGFHSGRNSHRPIILWKQM LMVNLVQELQP UV8b_07148 MQRLWGLFKKRSSKPDEESAPRGKTPGESDKPEPDSSTSRAEGW LQPSSSVTRNQLLRLCVLDGMGGALFSACINFVIAYVMYKTQPRNSPPVRLFRLPNSL AGDATVTIFAQCILLWFVKLMSVSYDLGRRAVQPMGFVKEPAGKPMRRLMFLPEQRGS KIEAAGVRSVMAVVHHVLRSLLLASVVFLVLWPASIGILVNLGEPDQGDRTYERLWTP QIFKAVFGGLLGLLTTPTMTIFWLVRAGWEAKRGRLTS UV8b_07149 MSVRKLLWQLCVAAALGLLATQATPAAAAAAAALRPGGGSARPR LRRLGRHAAIPGLVDQARPPSVSAAAHPSIKAYNLSVPVDHFHNETKYAPHSDAFFNL RYWVDATHYKDGGPVIILHSGEADGNERMPFLEHGIVPLLARATGGVGVVLEHRYYGT SLPLPLDEAATEGYRFLTTDQAMADTAYFSNNFRIPGLRHPNLTAPATPHILYGGSYA GGLVAMARKLYPDVFWGAISSSGVTEAIDDFWQYFEAMRHFAPGDCSPTMQKLTAVVD KQLLSGSKDKEDEVKSLFRLRGLWNDEFAGVLTDLLPSLQGTNWDPAEDSTDFGTFCA VITSDSVLFPSTRHLAARVRSAVTAAGYGSEPLTSRMLNYIGLVRDAVTRARSSCKAN KTTRECFSDRFQEDAHSRRDGRALSWLYQTCTEWGYFFSGESVPEDRLPLVSRALTAE YSSYRCRSMLNITTRPDVGVINKHGGFGLRYPRLAFIDGRQDPWRAAGPHAIGLPGRK STPSEPFELLDWGVHHWDENGADAGDDAYGEEGLPPKQVVDMQRREVDMVVGWLKEFE KRRGVPEL UV8b_07150 MQIVLPLLACAAVAAADTVHCGGGADSGHRCEDKGAGWHSFCCT DTQRAVFQEKFTGAVGLKNNRGQYEECKISYYVGDIYCVPSPSASKDRRAEPADHTTW YRG UV8b_07151 MDDDAFLPDGGPVARSLLPLVFSGHLTPGVRKQGQDKDPREASA RTPRRTARAMTQRGVRRRGMHV UV8b_07152 MLSLLLGAGVAGAAVIRAPGCDFQMQAAGPHQGPVGQLSSGQAR FGDMAPATFRIDQGRIWDAKGNGCWWTPPTGVLQCDKNQDAAPGFSVGCDGAVSFNGQ TTFYQCATGDGNQWNIYLYADQGMDCGQVSLAAGGCRAQCEPSPAPSPAPAPAPAPAP PAPSPRECPASLDGPYEFPHLIVPVDKSRPDDAPGTSYFGTVSPTVSSLFNFDIPAAD QGKTCTLVFLFPTQSQLTTSSYTFSGDGSVDFSMLDGPASQGTTTWNNQPGKKTDYGS VAVAPGHSYSVATFPCPAGQTVAFKMEGCGDTDLRYFQDYNPSPIGLYITKC UV8b_07153 MRRGRPCRRAKKAKGPVETSRDGLLAVMYSQTWPRRPPVPVVPA AGLRKVLTSTGPARAAAVAESCCSSIYTESAESSILAPFHRLEEEERQHHHQQQQQQQ QPAADAWGSASELGDYMAELPAYLGPHIIRSEGEDRPAVGLAAAPVPVQHVTAEQAYQ LSGSRMSSQCAVEEAASAGAGADADADAEEAKRCSKRRSFWATLRIRRKASSTSDWDG GGGGGGSWREPRAFAGDGGCGGWEHVRPGGGMAPVAEAFTTDRRPILGVDIPDGSHFQ DEFWARFPTHQLSCK UV8b_07154 MATPTGAASSGPVVPNRSFQGNDAEPKALRGAAWVDDATTADEK AGQGTFYREGTPPPLEDDEYARTVLSPHPGRPHDGGALSYDYRYDHVAPPAPIPRIPR ATACGMPRRLLWMAAGLTALLTLAVAGVGIGVGVGLKHSPTTTKTADGSSPSEAATSS PTPSAAPPAVPSCPAANGTTHEVVQVRKTFLRVCGVDYSPDGGEAVSLGVVWTASMED CMMSCAGYPNCTGCGWGLVPGDAGSDHRCWLWSNLRSAHVVRSGWDFAVLL UV8b_07155 MISHTPHPGPPHTRKQAVAAPPPARVVPKPAPPSHERDPRAYQL EQLRRRFSPRESTAADGTSCLLFRLKPSDPDFPFELAHLECEVRVPPGYPAHRPALRV RNGNIPRGFGINIERGWDRLAAEAGGGATLLSVVNALDKRLEKLLSEEKAETVKLVAF RDTRHLGGGAAAGPAAGAKEEGARAAAAAAEARAPAAQQEEPRAAAAAAAAAAEARAP GERYSREQVADAKARRAREIRQIEARMGRLSRFRRSADGVVFTLPVEPKRRGELPPGL CAVDSLDLMVPLLYPLQDLRIQLNGADAADAEPVEELFAALAARQKSATLMSHMNRLV QSLHSLARQAGGSAAGATPKAAEAVAGDACASAAAGPSGGSAGERSHVKVIPRPPEWD CTRDDGDSDSSYSSGDSGGSDDDADADDGSDDGSDDVKPANPSTQATDALGKGTMLSL PGVELRGIEALEVSILGLSVKCDRCKTANDLTNLRPGLANTASCRKCASRLTAAFSPR IVHGRSSRAGFVDLQGCRAADLLPSTFAPTCERCSTAGPGFVSVRGESVTNVCRACHG RFTLRIPEARFLQMTPGSSLPSLPPPPPAGSRARAERLGLRAGEPLPDKGACAHYRRS YRWFRFSCCERVHACDRCHDGREDHASEWAARMICGWCSREQRYRVEACGCCGRSVVG KRGRGFWEGGRGTRDQRQMSRKDGRKHKRLGGKKE UV8b_07156 MTRAGLAGAAADGDGDGAADADGDAAAAADGDGQGDGAAAAAAA AAAAAAGDGQGDGAADAHADAGAAAALGWGHAFEARAHDGDGGGGGR UV8b_07157 MPYRLDTHVLTVDANVIHKVDTANPANLHDMWTVFSRCADSVEQ GRRLENLSWRIWQREQLVESKKTAAFGPFNPTTSTTIPTTAAAAVATATLPRNVPCQS RLQELPQLSGSVDSLADDETVEITSVSAPLEIRPRIHRLDSSTSRRDRHISSDDYEKM VSSIVNDKAPLSAPSQTSPLMPAPRKQHRQQQQQHHQQHHHHQPTASMPPPAFERSGS TTTESQTSEAPSEESNCSPIPPPAAAVARARRAPTFPEPTCAASSDDALPAPSSSPAA KHVHPRKQPARFALGGSCSSSERSRSMEHLEVAVASGKKPLFQIGDSSGEDSLQSGPR SAMLPPPHPHKTHSSNPLGLIPQPPLPHHGGGGGGGGESAVDSDTEAEYVDESAIDDG DEDWEDSVEDSGRSSVDDKFFQRVESKARLATRPSLITLMLAQNDRAKTLGNTASQST PAIHRSRTTPGGPCLGGGSPNDSDEAPLMMKGMRHSALKPISEIPRSSAQPIVSTATH VPYQAALSPRTTRRNMLATELTESLRRHLLWERQQKTLTVKAALKRRHTSHDVANLKQ YPDKVCMKPSEDVEARSWNQYFSKEALNGYHSKGW UV8b_07158 MVSGGGRSRPAQELRSEHSAVTDSCAGWMLVPSKDHRSCTCAVF GNAKDQICGYCLCNFIAVPGLDSWPSGMCETHAWAGLAPRGQGEHGVSSVLIADMVHE FAAAKHFRSIPASDVARQEILASHLMHSLPCTSIWPKLSCHGQRPSSASSFVRETDEK FPLTIALGLKFLVNVGGKLNDSILASQLDSLIRKNGLPQKLEQSQPTTKHRAHLSETL HD UV8b_07159 MVDYTTGMMPLHPVHKPPYTIEAPGYEKVPGETIPRRHPRAKNG LLSRPADDVRNIFDIVRRSARAYPNHQALASRKLVKLHKETKKVKKNVGGEVQEVDKE WQFFELSSYSYITYKEYETLVLELGSGLRKLGLTPESKLHFFATTSLPWISMSHACAS QSIPIVTAYDTLGESGVEHSLIQSDATAMFVDPQLLKTAASPIKKSSVKTVIINAECI FAAGGEVEDFKKNNPDLNVLTYEELRKLGQENMVEPVPPKPEDLYCIMYTSGSTGPPK GACLTHEAVVAALTGLLTCVDESVSDKEFVLAYLPLAHIFEMVLENLVLFIGGTLGYG NPRTLSDVSVKNCAGDMREFRPTALVGVPQVWETVKKGVMAKLDSSSPLLKNLFWGAF SYKAFMSKNKLPLAGIFDGIVFSKVRELTGGRLRFTMNGASGISDSTKQFLSLVLAPM LVGYGLTETCANGALGCPLEFSPDAIGPIPAAIDVKLVSVPELGYNTDNVKVPQGEIW MKGLPILKEYYKNPEETAKAVTPDGWFKSGDIGEFDAKGHLKVIDRVKNLVKMQGGEY IALEKVESVYRGAQTVANIMVHADPEHSRPIAVIMPNEKVLVEKAKNLGVDEHDMHTS AKVRDLVLKDLQSTGKRSGLSSMEIVAGVVITEEEWTPPSGLVTATQKLNRRAIRDKY KTEIEQCLKNA UV8b_07160 MAANARYSLPPGGYTGRNGGASRPDAAPLPHIDDLVAIPKDIDP NQSIRRLLDQAESSLRQSEMSRDFNRPALALKDYIRACVIAVHTISKHQDYPAMKSSH GDTARLHNSLLKKIDQQSGIYERIKRDIIADNKTSGVQPTVRRAATPSAPNPSSPSSS PITTSSQPRQQSNGSAVRSKPAIHPKPASLHGNAIPPGHHQANPSSNVTLDLAARFAN LRGPQPSPGQDPRIKTYSIIPQKPAGPREMPPAPPRKINVAAGNAAAALPKLPDAIYS PVRGSVSGEAARLPTSTSRGLFSRTGMPTSSASTPNLTQSRQSNEYFPLVPPTAAAAA AAAPGVSPERSFDIPDGDTITPEQLHAVMKSKASILLIDIRARDEYDDGHIMASSSIC IEPSILMRDNISASDISDSLVLSPNSEHALFEKRDAYDLVVFYDQSSEHIPQTHRNSD EEVVVSLHRALVLLDYGRDLKNSPKLLRGGLDAWVDLMGSRSLQSTPSAQSRGSPAKA RHGLIRRKGSKYIVTPLQSEEDVKAWQKTLDKDAARPAFPRTEDEFLRFPPIPAERQS MASGMSAEHRFRHELASKFSSPTQLPPPPARPQAAVQRPSHSGLSQSDHDDDDLAGQP KKATEHLYYTGLNNPRNWCYANSTLQSLLASPGFGRELADRAWEKQYKNLVPRKDNEK MDQPQLMIQMISNLFHWMSSGKFETMKAQMLMDYSRHLCQSGDPQTQFGGPDQQDAQE FMSFLMDQLHEETNLRRNQKGTPDKPDTKGQSTVGAAAEYWRSHSKLNDSIVDRYWRG LEVSTVQCNQCDTLTYTFSPFEWLSVAVHGKDDVTLAEALQKTTADNQLADFECNHCQ RKGRAVQRMSLARMPPLLCISFRRFHYQGRGFSKNTAAVTWDLNDFDFSPYFVDDAAA ASSAPDGSAPAPAPAPRDRAFSGPFRYECYAVVVHAGRSINTGHYYAYVRDPSAHGQD AWYLCNDSVVKRVRIGSRQSDDVQGEVFRSGEDKVPYLVFFRRKSA UV8b_07161 MMSWWSSSANTALDEQIDKATGSSLEDIALNLEISDVIRSKTVA PKEAMRSLKKRIGNKNPNTQLSALNLTDTCVKNGGSHFLAEIASREFMDNLVSLLQAV GAVAINADVRAKILELVQSWAAATDGRHELAYMGEVYRRLQREGYQFPPRVTVTSSMI DSSAPPEWADSDVCMRCRTAFTFTNRKHHCRNCGNCFDQQCSSKTIPLPHLGIHAPVR VDDGCFAKLTGKGYKELGPPDRSPTYPHKNRSTSAMQPRNARVDDGFDEDLKKALAMS LEDVGNAARGYAEPAPHGASNRQSTAKQDEEEDSDLKAAIAASLADVEEQKKRHAAAL KAQTLDAGAGPQPGPLLLPRNDYELTPVEAENINLFATLVDRLQTQPPGTILREPQIQ ELYDSIGTLRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLEERLSKAYGQQNL GGYSLPPPRQPAGPYASMPLQAPTNLSAAESFYTGQAIPPFQPSPAAHAYQQLPTAPA AQPQFALAPSAPSASQDPYQLRQPTSAHELSQRRQTPSQRAANSPSTEDRASYYFGSQ QQQQQQQQPVESPPPGAPTPVDAAEPNQLAYPTLQHSLQYQRPASVAGPSQATPAQSP RRPSQPQGQPQAIPGPQRQQPPPQQQPYWQPHWQPARGHAAQQQPPATAQSWAYTSYA AESFPSVPQHEPAKKPAQEEALIEL UV8b_07162 MPPTIHLVRHAQGLHNLSAENEALRDPDLTPLGEQQCAELRAAF AHHPRLARLVASPLRRTIRTCALAFGGPDRLRPIVLLDTLQEVSDAPCDVGSSLAALR AEFGDAVDARRVRAGWTDKRPGSVFEPTVRALTARAKMARRALRDIAGAEGGGDDDHD DDDAHVAVVTHGGFLHFLTDDWDGVPDGRATGWTNCMFRSYQFAQAPDDPDDVRLVET AESWARRQPASKQPLTAAEQRELRAIVQQRIGPYLKIKPGGDDGDEMSRRRADGYDVE DKHEAGGDDMDQVR UV8b_07163 MDPFAFSSHQPAFPRLIPSSSIAAVIDQPDYSSSQSSSSSTAAV IDLAAVINQPAFPRLIPSSSIAAVIDQPDYSSSQSSSSSIAAVIDLAAVIDQPAFPRL ILRTGLNGKHATCHGPGRKGGFYALPRVLLGHARERARGNASTRGLSFVMKLQAPRFL NHGYFMRHHQALWSLVTLIYLLPSSGFLKSWSLSSGWTWSSHSLSSFEVSESRSFHPL SPGSVIFSHAHSFVTKLCGFQITVISSVITKLYGLQSRSFTRYQTLGFLSCRPSHPLL SFEVLNHGHFIRFQVFGFLSRSPLIRYQALRFLNYSHFIRYHQAL UV8b_07164 MGGFYMQYLESLCRRRGWTDPAYECYRDHNGFTCLVLVNGREYQ TDLSYESDVLAQENAAMRAFMVCRNFSVNGGMLARNGIVQGLPASSDSGRRRKSRQTA SRDGGERNGRRSGTHSSSSSTASFE UV8b_07165 MKASSRHARLRQKVVFKHQARKLAAMAASQQPAPASRDSSTARG ATSASDPVMPNIFRSAPVIQDALVTDSSQLQDDTLDECLPFLTGYGHEHCNMHGIPPL LRGRHVKFLHKQLGMLPPTFKSADASRPWVIYWCLAALSLLGEDVASYRSRLIETFRP MQNKTGGIAGGFGQTSHLATTYAAVLALAMVGGDDAYDLIDRRSMWRWLCSLKQPDGG FQMAAGGEEDVRGAYCASVIISLLNIPRNLSTDSPACSAGHTDLFDGLPEWVARCQTY EGGVSAAPGLEAHGAYAFCALGCLSIIDSPHRTVPKYLNVPRLISWLSSRQYAPEGGF SGRTNKLVDGCYSHWVGGCWPLIEASLGGPEGQRTRHADSALAEASGSLFSRSGLIRY ILCCCQDLSKRGGLRDKPSKYSDAYHTCYVLAGLSFAQHKWTLASARADALLMHGDAW TVAPFKSDEEQIFEENDRVATTHPVYVIPQRNVDDCQRYFASKIGF UV8b_07166 MPGGKVSWIQARERAGVFLQFSLTLQGLWQAPDACLKVPEAFAH PGHQTLLTPSMAWDRMLCVLYRNHRGF UV8b_07167 MTLNFNTNGRGDALLDLTFDQHHRGQNAYAYSTTTSATQPSPSA ATGFMTSARSWSMSVDMGSSNNSEAVASTSSSEDLQSSPPLSHASSPHSPLQHSPFSP GAGLLTNWALQQQQQQLAAPEFAHFIQDPSLFGFNAFPNFQPGPLDYLPPTTQTPLHA SLLESPFASMPALEETAHAMHWGTSGMDNWHDFHSPLQMDGLPRLDSVGSNSPTGTYL EVLSLPSSSSEGWTVVDWGHQGLDQLQQAQNAAIFNPSQTLHLRTNSDSSDGANSMTI GSFEEIPPFAYSPFSPESEGHADSNHAAHRNCFGVAGDAHSHHHEHAGCSTAIAPLPV KPEAPSAVRHSPGSGAGSVSPSTSTSSRRNSGPRKSPIAKATTKTIIRRSSNGKKEGT VEKKVGRRKGPLLPEQRKQASEIRKLRACLRCKFLKKTCDKGEPCAGCQPSHARLWQV PCTRIDIKDIGYFMKDWKADYEGHVDLGVSIYNVKGFSSKETLMWITHGYGFALPVMV REVYVADEGCFSVEWVESTVPNHDPIDFETKTEKLDVGAEGIQLDALQEYLDKHVDGP FEDFIDDHFEGTPFITEILKTAHRYYVKDGMPVIKKALKLVLAYNLTMHITMVENQGS EVALDGQIDDEDSKFYGRTVAPVMINFQIKCALADMWRELQKDILEELSALYSGVYSG EKMKNWPTIFMLASILLAVWEEMQFDCHYRVPDPDAVNKFCNDMETTPVGVIVGLFHA ISQKLPAFADWDTRQHGHLLHNNVSVCEAMTEVRQHVIKHEAYLRTRKEAEFDRYNFD CLSNKFLSKLVIRAN UV8b_07168 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLADYNIQKESTLHLVLRLRGGQ UV8b_07169 MPYFYHLVFELYPTPDPAEAVDHQTDRADPWLPHRSTGIFDDLP GIETPSGNPRGNEPVTHAAPSPESATDRSTPRTLATVSSGSPAKAASQRQRLERTTGR RPPVESSAPRLVRDWRFGRVTIETIDPATPRGAGAMQGQSSRAAPSAAPSLGPSFGGA GTATKADFVPLKTKVTEAGWGVVRFYRDGEESAALGLGGDGAGEELEVAETVDDCTTL CIPAVPAYMSPSDFLGFVGDGWRDEVSHCRMVMTSRMNRYLVLLKFREGKVAKKWKRE FDGKVFNSMEPQLCHVVFVKSITFETPLRLGRLDPAAAAPSSSLAVASSTRPFPPPTP NLIELPTCPVCLERMDDTNGLMTIPCSHVFHCTCLQNWKGAGCPVCRFTNTPGLEGTP DDARNPCSQPFGSSVSNLCSVCDCTDDLWICLVCGNVGCGRYKGGHAKDHWKETAHSF ALELETQYVWDYAGDVWVHRLIRDKGDGKVVELPGRSNHSARARDEDVVPRAKVDNIG LEYTHLITSQLESQRVFYEDMLSKAVDKAARASAAAESAAAQSAAAADKLKQMEDKFA ALTTDTLPQLERDFERERGRAARSEAMARNMSKSLQEERRINEGLMKRIEHLGGENEA LRKEFQELKRENDELGDMNRDLGMFISGQEKLKQLESEGKIEQGELEGGSASVAEKKP RRRGKR UV8b_07170 MFSKTRFLDKHLGARGKFTLALTGAETTRKRSQLACQSLGGLQE ELEAPRGAFTGDFLYTYTQDRSTVPRLESSPLPGVDVAILDTVLAEVNGLVATGPAAA FAEPTQAISDFVLVLYTVQAVCAPVVGIPYTADAFAVYGVGALRAILLSVVRNAPRVL NRDADVLVQLRDEPAGNGQRLPSVAVADAPADGGIDAVLADDGLGPVAVELGEPLVGG PDLAHAPDALELGRVDAVDAVLEPRHERLALGGVEAEARLVGLVPLDDGVVARVQVPS RAAPGALGAEHGGDAPVLLRLVGLALAARERLAGGGFLQLRADDVRRLDRRDAAAGPD AAEEPLLYVGRGEGHPVADLVVARHEDGAVPSVGLVDVSAVGIPRALPVEDVARGHEL RQVRNPEAGAGVAARSAPAAHLAVDADGQLYGEADRLDEHAEKPGLDRRVHPESESES ESLGVAVHLCCGGWDGEK UV8b_07171 MSWLITSAQTPQKFPFLLRTTGTPSSRVCFLRFPLSSCVGDAAS PGNSNEKVNCEAATYAWLQENCPLVPIPKLYGFGLSTDPRVSAVARTRLADLDIGYVL IQTITSGEMLPESWDEKRDDVRLQQNLQRDLASIILSLASIRQPRIGSFRLDGKGYRH LDNRPLNLEAFDDRRLHQPNAVESRDDAWYQMSSLAAAEIVFPQLFQKKLVNGPFALC LTHLHRSNIFVDDNWNPPYWLSGKLVDEIEPAEDAPVHAKFLQRLRDEEQIQNHTRNA EPLSSTMQEAWIYGSFWVTLAVMDPVGFTSVFYDRILPEHFSFSPEELTKADYHFFAR FWRRDISSIIDKKQHDRSEYSEEGLC UV8b_07172 MHPANEADRVATALRATYVRFLEAFRNLDPGALASLKSPGCMHV FLPQSIGRRAMSIQEHAEYIRTLKGVLEDFQVEELETMVDPRARAVCAHVLATAESVH GAFSNEAVFWLRMSEDGARVVRVTEFADSATTSAYFGRLRRGSIASKV UV8b_07173 MLYLSVGQGLALLSLTRLARSLDVPVSFYSSVDCKTASAITPSA ALNLSTCIVTPGLVSLRHGSVPCAGGGTVVPYLFDDAACATRQGVLDFYKTGSDFLCL SDFASKSIAAIMLSCNRKRPERPQATSTVSVAPVATGAAAAASSATARPSSGSSAGSG SEPDIAAGWRSLSLGARIGIIAGAAVVALSLLACALCCCCCRKPPSPRDPQQPYHNNE VPYGYDPAWKAPKVATRDVSRADQQHPAAGIASPTQPWELPGVSQADAYARVVENVTT AARQHARSGAFDNSGMTTGGICRNGDDALPPDITSAAFAKHDKEWKHRLGAAGELYVF EYLQSLGLPNFGPRNWTSSLRMTSPSNPDLDGAGPAHDGIADIEYPDETGAFTEFLVA RGYSRHGIQSGMRPTYYFEVKATTGPNRQAPFYMSDNQEAHIRDALISPGQTRRVYII CRITNLGGGSGTRLAVFLDPEAMRRRGELIFSASDTEDGSWTVRPA UV8b_07174 MATIVPPPSKRQRREDAERSRVQQDVNSAMSGPEGSFKARFLDG DGNQVCDVIEVPLADASEKNLSLLLNTLLAREREDYLPYRFRIHIPDSDIIVDQYPTD LLQLLKSHGIANPFETTVTLTAEPQSVFKVQVVTRMSHKATGHGQPILAARFSPATNT RLATGSGDNTARIWNTETGTPKHTLAGHTGWVLCVEWSPDGERLATGSMDKSVRLWDP SSGKATGSPLTGHSKWITNIAWEPYHLWRDGTPRLASASKDATVRIWVANTGRCEHVL SGHKSSVSCIRWGGTGLIYTGSHDKTVRVWDSVNGMLVHALSSHAHWINHLALSTEFA LRTAYHDHQEIPGTDEAKRAKAKQRFEKAARVQGRISERLVSASDDFTMYLWEPAQSS KPIARMVGHQKQINHVAFSPDDTLIASAGWDNHTKIWSARDGKFINTLRGHVATVYQC SFSADSRLLVTGSKDTTLKVWSMSSFKLVNDLVGHTDEVYAVDWAPDGKRVASGGKDK AVRLWQN UV8b_07175 MSTFPRPDAGADSVYFQSSVRSSDFCQSVDDRTLSNHVATRKTQ PVALTPSVSRPQTSLARSSTAVPQSRPSTTVSGRKSRQSTLTSVLGSTDRQTIICALS EARGVAPSVGVALVNLSLGEAVLSQICDNQSYVKTVHKLQMAGPSRIVFMSTACPPNK NSVLYSLVDELIPDAAIELFERSAWSEVDGLDYINKLAFESDIDPIKVAIQGKYYSVS SFAAAMKFIEYTFDLSFAPNSLRIRYRPSEDTMMIDISVVQSLEILHNISNPKSKDNL FGLLDQTITPMGSRMLRSNILQPPTKFETFIGPRYDALGELIGSEEMFHSVRKALQNF KDVERILTKIITISAKTTINQAEQQIQYIITVKAFLEAVPELHQALSACKSALLVKIR DICRPEVVNSIMKRIRAVIEADVTVMSSPLDMRNARTFAVKSGINGMLDVARQTYKEL TEQIHLHVNDIETHCGIRPVLKFDNGRKYWLKISSLGDGAIPPIFINAIRKKDYIECQ TMPLVKLNVRLSETSNEIVVRSDVMIQGLILNLREASAELFRVCESVALVDMVASFAH LSTIRDYTRPEFTGTFAVKAGRHPILDKVMPEDLVPNDYYVSSQRCFQIVTGCNMAGK STYIRTAALLQIMAQIGCFVPAEYASFSIIHNIFARVSLTDNLEANLSTFSVEMREMA FILRNMDEKSLIIVDELGRGTSTRDGLSIAIAMSEALIESKAFVWFATHFLDLVNVLE DRPSVMKLHLASERSLAEDGTPQLCMLYKATAGTVDATQHYGIALARALGFPRSFTDR AEEVAREMRRRREANWRNSESRKLVARRKLVLDLYEALQQAAEHGNQETLPRYLQRLQ EEFITRMNELDTA UV8b_07176 MQVFGKMFPPPSSPTRAKAPASRFPNIMGAVRRPPKLVTLFLLL AWIYLCSMWFPIRGFSSSSDTAQPEAVHEEHHHVDSGPQFPVVQTQAQDAKHDQKEDQ KQDQNQQHQQQQSSAQSGQQQTQDSPAKDAQPSSPAPSSSSSITHALPEDSSELVLAG WDSYNRTWLDKFLPNWKINFYMADDPEKSELKFPAKKGNEAMIYLSYIIDRYESLPAH VVFFHSDRFNWYTDDPDYDSLPLLKALKLDYVKEHGFVNLRCTWMLGCPAEIKPSLDE SSSSSPGAPVHAKHVYKKAFTELYPDLHVPAVVGTSCCAQFAVHRDRILRRPKKDYEH YRDWLVKTDLGDDLSVRVFEYSWHIMFGKAGVYCPKVDTCYCKVYGMCDLKCDQMGCE GRYTMPPSQNLPSEWPRKGWNGEDRKWSGEL UV8b_07177 MAARALPSQAQPSPLHGGPAEAQTARHGYLFGQKITHSLSPFLH QLVYEELGLQWAQLRLDSADMAHFLELVQHPSFYGASVTMPNKVALLAHLDEATDECR DVGACNTLFLRERGGKRLLCGANTDVLGVRGSFQHNVADPARVLHDRPALVVGAGGAA RSAVYALRKWLRATDIYLVNRDAAEVRAVIAECAARGYGHRLRHVATVAEARAAQGPG AVVACVPDLEPRTEAERRARLVTEVLLGKDHKGAMLDMCYTPSPLTRLGALAERQGWQ VILGTEAMIWQGLEQDSYWTGLPVDELPAAKVQEAIRREVAQRSVPRLLSSL UV8b_07178 MTLLNLKEDRPTPSAVYNWRVYTCAAIASFASCMIGYDSAFIGT TLALPSFVSEFQLAAYSAPSLALLKQNIVSVYQAGAFFGSLAAYGSSYFLGRRKSLFV FTVVFVVGAGMMLGADGARGTGLIIGGRVLAGFGVGGCSNMTPIYISELSPPAVRGRL VGIYELGWQVGGLVGFWINLGVNTTMAPGHSQWIVPFAVQLIPAGLLLIGLFFIPESP RWLFSKGERQEAIKVLCWIRQLEPCDIYIAEEVSYIDAESDRYRRDVGTGFWKPFLAL KDKKMRWRFFLGAMLFVFQNGSGINAINYYSPTVFKSLGITATNTGFLTTGIFGVVKT AMTFVWILVLIDHMGRRKLLMIGSVGGSCCMWFIGAYIKLADPAHRPPGGLTSGGVAA VFAFYLWTAFYTPSWNGTPWVINSEMFDQSTRSLGQANAAASNWFWNFVVARFTEQML DAWGYGVYFFFAALMITSTAFVFFLVPETKALPLEAMDRLFGTRPTWRAHGIVMGALR AEEGELRRGAGGVRLEEGKVVRTEQVEPKRASG UV8b_07179 MAPKRQIQAPTTSQNNHEDSERPKKRRVSLACDSCRTAREKCDG AKPQCGACVSQGRPCSYTPATKKRGVQTGYLRTIELSLAWLFLQSPECESSLHALLKQ PGNSRETRALLGKGGAGRQLQKVWTDSRVHKAIDLLLSETPNAASDEKTSGNNSDHEE HLAAHAQPRMRAVMPRFAGQTDDASSAALSCRPDQSHQTKRDRSFTKLPDQWERLLHL YASYTHCWLPIAQPDALHSLATSYGSHGVELDLATDPSNRSLHAELWAALAIASFQDI PRPGHDNLASWKPADVFLVARSLLPADDGIFDIHSVNATIIHAVILIGRGKAFAASLL LGKAARILHHQTQSAAETSSRQASIPSTVQRETALLSCALLDTLTCSLLDQPAMTNVY GKDFATSTTTMEYSGFDKPWPPMPSFSASFDRPGPTIQPMMWPIRTMVQLHAFTTVLD RQLSSSLKHGAATQYRSPEDLVRKLDSRFSFCNSLIGGSSIPTVPSAYLVKLLFLATT IELAPEVRCSLVSGFLELVESCLEKFGAALTPPIVLLLLQLVEKRAKTDNMGETEQAT WRSAMERLRNIWQDEQEPTCAPRASMGKSIRQQNPQRESFIQPCTSHGHGVGSNNQGH SSPPATRLRRAGSQKGGEASAQTHFGHQDAVPDAASMLDSASYTTHTTHANNDRVDAR LALPTSDRMNQNFDYDALFEDLGSFGCTDDLEMDSRFMTNLGFAPGCDLAEIFQGDFG V UV8b_07180 MARVKRSFATMRGDERSTMSPPPHRGELMSRCSSNPSTHLHAGS SREPSLESPDFSGRLPRFPAEASVVLVGVRAAGKTTLAVMAASALKKKIVDVESAFQR STNYSSPAYSKAHGATQCQKKQGDVLEHVLQTNPRDCIIICSWMERRVQGLLRQFATT HPVIHVMRSQQAIRDCLKIASETKLETFWRTSNAFFRTCSNLEFFNVSESGAADLDPA ATTTSTSHAADSPPHLALKKAERHLLNFLSQIYPPGTIPFFESAYPLASVLTEHRRYT YALSIPIEDILQRDFDLENYSAGVDACQITVSHLDTLWPDATYLERYTSMANRITEAV GLARRSSVLPIIVHINHADSSSTSATYLYLDLLSHTLSLVPEMMTVDLCLNDDAISKL VAQKRGSRLIGDYTLTNSFESWHSPIWISQYRRAVELNCDLVRLLKPANAIDDNFDLV HFHAAVYALPGPHLPLTAYNTGPFGRHSAFLNQTLTLVSHGRDISPSTGQGSLDLTAQ EATRALYSSFLFDPMKLYVFGANVEYSLSPAMHNAALEMCGIPHRYRLCSTSSLSQVR HRIQDPNFGGASIGLPFKVEFITLADSLSTHAQAIGAINTLIPIRKLDENGSIPTGAS FFRGVNRAGPVQALYGENTDWIGIRASIRRGLSPANAVRPTTCALVIGAGGMARAAVY ALLQVGVSNIAIYNRTMSNGEKLVEHFKLLLKRSEYQGLGAGGNTKFEVLSKIDDPWQ SDFRLPSVIISCIPTHPIGDVPSPEFQLPESWLGNQTGGVVFELGYKTLDTPLLRQAV AHANRGWVAMDGLDLLPDQGFAQFELFTGRRAPRRVMRRAIIDNYPGQHPDQYERSNP EELRRRLRKVVE UV8b_07181 MVGKRSLGEAAAESRAHDARPGHRRRKTSYNLEYGAHSAPGDNH APIKPSILRFRDAARTALEDARREELKASLLNGLDTSHLERFRKHPDELGRIKSKPLR RFYERQNEMLNDWLEVDAVVTAIADDVLESMNPDPDHDGDQERVGGLQHVAGRIGELL PDDEKARRREAARQASVAINTNVVANLVLLAGKAFAVFTTGSLSLLASLVDSALDLLC TLIIWSTNRIVLWRLSALRKRFPVGKRRLEPMGILVFSIIMVISFLQILQESVQRLMP PRAEIEALSTAAIVSLVATIAIKGIIGLGCLPIKTTQVRALVQDCKTDVIFNALSLLF PLVGHRAGVWWLDSVGAALLSLFIICDWGRTCFENIVRLSGEAADERVYRKLTFLAYR FSPVVRGIKSITAYHAGDGVWAEFDLLLDEHTRLNRSHDISETLQYCAEGLAEVDRAF VATDYAMIGPTGHAEDSEWNH UV8b_07182 MPCILPFPAAIPISSMARPLHDAPTHGSAEHKPARSDAPILRCS VFAANLSADLGPAAGDVEPEQRPPRAPCLGNVPGINPTLPGSFLASARIRGAGTRPWC RAMHPLRLALTPALHDKRTGRPLVLSYSRNEPALVMPRPR UV8b_07183 MSESKGTFQPPSEPQSHEQPGFEHKLNPSSEPTKLEGKDSLHEY KAAGKLKGSNALITGGDSGIGRAIAVLYAREGANVSIVYLPEEQRDAEETKKMVENEG RECVLVAGNLMDNETCKAAVEKHVDKFGKIDVLVNNASSQTQCDKFDDIDLDCVERTF QSNILQMFAVTKYSLKHMEKGGSIINTTSTVAFRGTAHFVDYAATKGAIVSFTRSLAK QLIGRGIRVNAVAPGPVHTPIQAASRPQEQMYEFGKDSQLGRPGQPSEIAPSFIFLAS KDSELYYGQVLHAYPLGD UV8b_07184 MSSLDNVPSIPKPSAVIMAARTAKTDQLRTATVEPTQSSRITAD FGTKQSNTDDWLRVANDKTTGPMLLEDVFGREKLHRFDHERIPERVVHARGTGAFGTF RLHESAEDVTYAGVLTDTSRETPVFLRFSTVLGSRGSADTVRDVRGFALKLYTDEGNW DIVGNNIPVFFIQDAIKFPDLIHAAKPEPDNEIPQAQSAHNNFWDFMYMHSEATHMYM WAMSDRTIPRSFRMMQGFGVNTFTLMNSKGERHFVKFHFTPELGVHSLVWDEALKIAG QDPDFHRKDLMEAIENGVYPRWKFGIQVIPEGKEDDFDFDILDATKVWPEDLVPIRYI GELELNRNVDEFFPETEQVAFCTSHVVPGIGFSDDPLLQGRNFSYFDTQITRLGINWQ ELPINKPVCPVMNFNRDGALRHTIAKSKVNYWPNRHGVQPPAAAGREGGYVDYPEKVG GIKVRGKSEKFREHFSQAQLFYNSMSEVEKNHIKAALSFELDHCEEPIVHERLTQRLA DIDFGLAQTVASMVGGPSPSPSARQNHGKRAKGLSQLEYMPERVTIASRKVAILIADG YDSIAYNAIFVALKAARAIPVVLGPRRSAIYSAGQDKSSGKGLVPDHHFEGQRSTLFD GLFIPGGEESVATLKKMGRVTHYIREAFGHLKTIAATGEAVDLVRHAVQLDDVKLSGG SDAVESYGVVTLKDAKPDSLKEVIHAGKSAKHFLDQLFYSISQHRCWDRELHGLASQV AY UV8b_07185 MSLQPPRFAAALRAVRALHACPVARHPYKDSQDRRSLKPRSAQH TKSGRDDDVAAASKAAFGRDVSSPEEARAAAAEGAAGEEDGSGSDPLRASGANQGLSK PQGDEGGKNPGAGKEVSKGGRSGGGEAPKKGGLRR UV8b_07186 MDLDPRNGLDPKSGLAPKSDLSSKSDLAPKSFPPEDASATSPGR AILQPPHGSRAGFPGTLEVPSSSSSSSSGLGAVHRKLSAPSPPGSGESCRPVALERWQ TETYPDKRERSQSIPSRAWIPLAGAISTSHADDDESDASSEADLHHAPPDGGQDLGTT TTGGKSIRGIDAPKEKKKKRKSLMHRILVNDRHCRSTGSAQSDGRLAITVHETAHTGY IANALGAVAHSMRPRRAKTTTARPTSLPSAPPPLPPPPPPLPMPRLSIVVMVVGSRGD VQPFLRIGKYLKEELGHRIRIATHPTFRDLVEKDSGLEFFSVGGDPAELMAFMVKNPG MIPTLQTMKAGEIGRRRAAMAEMFDGFWRSCIHASEHETTPRGRGAGDRRRVFVADAI IANPPSFAHIHCAEALGIPLHLVFTFPYTPTHAFPHPLASIKQTNVDQGYTNFISYPL VEMMTWQGLGDLINDMRVNTLALDPVSTLWAPCATYRMHVPVTYLWSPGLIPKPQDWG DEIAVSGFVFLDLASAFHPPPELVRFLDAGEPPVYIGFGSIVVDDADRFTDLIFEAVE KAGVRALVSRGWGGLGRDDVPESIFMLDNTPHDWLFPRVRGCVHHGGAGTTAIGLKCG LPTMIVPFFGDQYFWGSMVGKSGAGPEPVPYKRLTADRLADGIRYLLTAEARAAAGRI ARSIEQDGDGAKNTLDSFRKQMRAYGPPTLSCAIVPSHVAVWKVKGTHVRLGAVAAHM LVEAGHLSWKRLRLLRHTEWLDFEGPGEPVTAAAESLKNTVRDVLGGIGSGPIRVGKT AKRRLCHRMHSRPKRNGGGGDGPQTDEQIPAIRVNGEKPDKPEPPPPPPPSLVPGPGQ YARDISSSVRLTALAVARAPANLLVALAQGFHNAPRLYGDDTVRRPTRVSGFRSGLVA SRRELVYGVYDGVTGVVRLPVRGARNEGVVGLLKGAGMGLGGLVLKPVSAVLGPLGYS VQGVVKQVERRRSPRRFVRRARMAQGEGEVGRLGAARARELAGRVCAGWQVLQRLGRA IADDERRRGLAGQVDRVTLDVAFLFASVERARTCLDDLTAGKSLDDVMTGYKEWNVKE TDPSYYRSGTSRSHHDA UV8b_07187 MDQKLILSLDASAQLAKYKAAASLAQLRLEMVIALASTFSSAPI NSIAVDMAYVGTILGLGSITGSAAGLGFLMGSSIIGLVSFAIGKAQVPDPNDITYSFK IGLDGAGYNEGYDPLAGAGGGAPGVRAFDNQGRKIGQTRHRKKCVDGQDYCTQVVKDM PEQPAYALITGRSDPVCVAAVGVTYPSGDKYGWVGNWAHTCSQPWYYSDIDAQYENGT IKLDCVWLGSKGYKKGYSTGIRIHFPEFKQGREANGHNEAYYCSPNNTALAFYKNKSP KYFDGSSVRDRTVRKKIEKDAKKAIKKRPTSNKFQKRTVGMERRWIQSHYAGHSAKFL CASETAVGPSLTSMMERAFCHMPDKVLYPFCSDVKSGTCWDEQANKFDAKGPGAIHAR AALPDVEFEAPIVWGK UV8b_07188 MSGDVVSPPAAAAEKETDRDLRIAFDDAAHPRGRTAEQIRQRRD SRSLSRRRSLSRDGGIPISPYSGIPIQYRTLSIQVAESRKVDTDSGADLKSGNKGSED YFSNLTFHQLQPDQLCQQLNVSQEQGLSENAAANRLQRDGRNTLPKPKTNYIKKILMY LFGGFCSILWVGVIVFFVCWKPLSDPPSPTNLALAILVIIVILLQAGFSAFQDWSTQR TMKSITDLLPSEALVMRDGQLKKIPASELVSGDVVHLQIGNKVPADLRLISHSGDIRF DRAILTGEADEIEGAVDGTDDNFLESRNIALMGTLVVNGSGVGVVILTGPRSVMGRIA KATAATEERATLIQKEIWRFVYIIVVLTVCLALLILFTWLGWLRRDHFAFMNVVAMLN NVMSCVVAFIPEGMPVAVALTLMMVAKKMKAVDILPKGLSTVETLGCVNVICSDKTGT LTQNQMHVNSASFIDEPIQTDDFYRTAAAEKADESTKKLLQAASYCNDATFDPTTMSL PIEGRVVQGNATDAAVLRYAATATGSEFGTAVAPRVFQIAFNSKNKWMLTVHRKTEQG EASSPNEYQIFVKGAPDVLLPTCTRYWSRKSNSVQPMDDAARAAFKTYQDKLSRNAER VIVLCEKTHAATNALGTNAFSDELALDATADLTIVGILGIIDPARPETAHTVSECRRA GARFFMVTGDYGLTAAAIARNTGIFSGDRDPDTVETVKSAKVSAKELSVARQEGERHS LLLEGHSLAGLAEPDWDIVCEYGEIVFARTTPEQKLRIVEEFRKRDNVVAVTGDGVND APALRAADVGVAIVTGSDVAIEAADLVLLDRFDSIIEAIRWGRLVFQNLQKVIAYLLP AGSWSEIWPVLVNVFFGVPLPLSAFLMIIICVFTDLFLSLSLIMEKEEFDLLSLPPRN HKRDHLINTKIYVQAYLFTGFMETCTAHAMFFLYYWQVAGIPIKDLFFAFEKYSDGFH GYTQDELTQFNAAGQCVYFVTLVILQWGNILAVRNRRLSIVQADPITQKRRNPWLMLS MLISLCIAIFVTEVPGIQSLFGTASVPIRFWLIPLPLALGILCMDEMRKLVVRAFPNG PVARIAW UV8b_07189 MLLVYPLRCYLALPISLPTHYRAPKTSIPAAAADRPASRSFVTL PTSPACEAVAGKKRQRTQQLRQRQRKWIHRSGNGNSNGNSNGTVSWKQTRLPSQLAAA APPPLRHRIYTKRPSRHFPPLHLQRPQLPPAQLQPHATADLPRDAPPAEQGRAPGPLP CSSCTQAPARGHAPWTDNAEQASRGVQLPRIYRTRGCLARGSISLALDTSHPNACRGR RVLHTRRCLDPIIKST UV8b_07190 MGAAFHLRNHHLQQQTSMPLTNGLAHDYRTWELFPVPTLVVSPS PSFLIRAVSNGVVESWRRPRRDLMHRNLFAALYGGSPLEQFDRLPLQHAVEVAISTRA LSICPAAYRVPGASWSARIVPVFSNSNGSGSGSDDADAELLMLVLEWDLVSHPPLPQQ TPQVVEAAMQSVTTTPVEEMTHLLVHTVKDYAIFLLDTRGCVTTWNTGAELVNGYKRH EIVGKHFSSFYGQDDLDAGKPEQELLTCLRHGRVEDEGWRYRKDGSRFWANVVITAVY RNKVHVGFGKVTRDLTERREGELRLIAAYEESAKLKNDFLANISHEIRTPMHGLLSAC GLLLETCLSDDQRETANIIQESGQVLLGVINGILDYSKLASGTFPMCSQAFNLRDTLS SVVRNAQMTLMPGVLIKLSLAPGLPDLAQGDQLRFRQIIQNITDNAAKFTEAGFIHVQ ATLQSQDDASFTVRTEIADTGIGIDGLDAKDLFKPFVQSEKSTKKRFQGTGLGLSISK SLVELMGGEIGYYANPAGHGSVFWFTAEFGAAATSLPQSQSQSQSQSQSASPRSPSSP SHQHEGNGPWHEPRSSAHGIRRGSENPAAALKRLRAIGSAKRILTVEDNIVNQKVLIG LLHSFGLSNATVASDGAEAVSILRRPAEPFDLVLMDVSMPVMDGFEATAQIRRHGIQV PIVAMTANALQGYREKCLRAGMNDYVPKPVNKRLLVEKLLIWLDPDAQPSPDAVMDDG LYHGRSIR UV8b_07191 MKVALLVASLLSTTAAGAVRPKASYDGHKVFRVPVADDGSNIRS VIKKLGLVTWKPPGRKGAFADIQVPPAQLDSFHDSMKGHQLITMHDDLGKSIEREGAF QAYSAGSANRTWFESYHAYSDHVQWLSDLASRYPKMSKLVSSGNSLQGNPIPGLHIFG RSGPGKKPAVVFHGTVHAREWIASMAVEYMMSELVTNYGSDTSITAFVDKYDFYLFPI VNVDGFKHSQTVDRLWRKNLQPNPGSSCRGHDINRNWDYKWGGQGASPNPCGDDYGGE KPADAPETRALAAFLHGVKAAQGLKLYIDYHSYGQLFMTPYGYSCSARARNDNELQSL AKGAVDAIYAVNKVKFDHGPICNIIYQATGSSVDYVADVVGADYTFASELRDMGEHGF ILPPEQIVPSAKEAFAGAAYLLQHMK UV8b_07192 MYAVCHVFSTFSSQHNQPTVHLLAHCLPTACRSLFDHFPSNRRQ HVVGITAPRRHQRWRPTLPSTAMFIAKKLNLPARVYCSLSPTPASQSSRAPPTPTMFK HIIHFLATPGTKGDIQDRAPTQYLSKHFNQPQGKLGIVVKESDEVSEPVENVTGYVKH TKTNKLDRWLDAMVRASGSEPVFLVIVAGLAVWALTGIHYGETDNWAALISDIQAIIS YLFDSLLMRQQLNGYEKQVRVSASLKSRIVSQKRMLRHVIASGRYRRASLREIENTSS SKFGPDLPSENWAGKVSNSFATFLGHFGTICMYWVSIGIWLAFGHYCQWSDRWQLYIN SATSALMVLIFAFLANIRERHDKYVEKCIDSIFQVDSEVELKLRLLTGDSEENPAVVV PAPRINAIQRVIFYYADVVGTLVGIALLVIVLVVWIAIGPAMQWNDNWWLLIGTYAGL VGLIDGFVLRNVQQRLHQYEEAALDSAKLDDVGLSDEIGVPTPGKAVVNLGSINYRLS ERMGRVCAHEATVILGVGVVIGLIVGASAMKWTTTGQLLCNIPPSIIESFFMMILITG HNLSEAGWRADLHNMYMWRLRLLSFVDHLEEGSEEGSEKRPGDAPDAVAA UV8b_07193 MKAFILRTVASLWLALATLPATPEAAIITDPPTTTTTATATVTA TAKDERINLFNLAAASIEERPLRNHYYYLITEILGPTRGDTCKNGCYPSLETIVKEYA AVLQRWLNSSDDEKASKALLKLANSLGDKKYPVSQKALDDFLIAVLERVRGIQEHAAA ARTGDGGVPDEFLVLTDDELVRAGDDFVDSLMPRKIEALASRYNGGRTCRIVGEDRGS YNACFFVKFDDDNETWVVRIPIEPALHNPWQALLSETSIPVAKIHAYGRGEQLTANPA TTQMFLVLDYIPGTPLTREKVLRTDTRVRTNLLRQLLGYLAEIRSIELPAIGSLKPTD DASRPTVGALLTQSSNDARRDLPRFVSAKAYMDSQFDLISSYLLAPRRDHPEDEVRYD MFCISSMKSYFDTVIQPELDSGPFVLSHPDLRPSNIIVNERSDIVGIIDWQFTSTVPR QLCTPPSWVTGHVKSDDAKMLLLQFSMAIILDDTLPKELELEWLQLPKEVENWRGPSS PSFHVAHLMRCPADLNFVFWKFVAQGRDAKELEETEAKLFQNPGLASEARRIAERNRQ YTEYLKSQGRYVEES UV8b_07194 MCILKGPAESPRLGRMVNKRLLRVIIVAGVFLASAAALFVGGRY RGPRVAPFSRKHVGPDRYDFTTTSRFFPLKFEDAARTKKEHLCGSFPRFLLQHVQPVL KVGHSENQARLDAHFKTTSSCFTKDELLVVSDLDEVVHGHRTVDVLADLPAGYHDLDK NPDFRHYLNQRDMHRNGSLNDKTQGSIDGWIIDKYKFLPMVERAWLARPGRAFYFFFE PDTYVFWDNVFRLLENLDPEEPVYMGSPSPGRHDVDRDMKTWFANGGPGVVLSRGAIR SLLRRRTDANGHYIDPVITEKWQDLVARECCGDSVLGWALWNATVQVQGYWPMFNPHS FHRIPYSDAYWCQPVLTLHKTSPEDATDLWHWEFSQRERDRPMLYADLWRLRHPGKPG VLDNWDNGDWDRLDPPADASIDTFETCERYCRNEQRCLQWTWLGGDEKRCILMSSISY GSARKPEYVDADEKREASPAQEAREKKSGKKMVAYRSGWVEDRIQEWVDARRCEAVQW VGPSTSRIF UV8b_07195 MPRGARHRAMLKREHWELGTPDEYGPDDASASAHNSDDWAAGEQ RQASVEPESSVDTSSNAAAAAAAAAAAVAPREPGPKRRRCSHPARQRTQKLAPGTPRP IPCEGCVKAAIAGKGARGRCVESDGNSLRCSACKAGNHPCRPCNPIMVPLVTRMLRAN DEGNQKLYDQCRKSLRLQLLLINEPDSVYAETGPAPREPDVAPGTSSATVAAKKARVM ALLEQVVDAILE UV8b_07196 MLYSPVKFRSTVVKPYNHDFDNPAADDAEDIVYAEGPARPGRLE VRIPAPAAAVPPAAIIPAAETLSTPAAVIYPSLATGSD UV8b_07197 MVAKKDKQSAEKQNPREAPAPKKGKNVAVPTPPAPQPPREKAKH RKRKAPALEEQVQVQAPVPESSAPALKSDKERQIATLTRISESDRVQLSNSGRALFLT IPRQEQPKTVQQIKALKDLVILSRAKDGLAALTASGNSYVAALYNSTAARDQALSILQ NERITSRSRNIPIMVAPFRVIAGPKSKSSWFIPVGPLNSIEDIAADVFLFRELHSLKA HFAIRQVLQQKVYSGIIAIMWESQVPFVSKQVQICGQTRLITAESPRNCRLCGQSHSC RDCEDATGGKSLGPVQWTSREPGEYVATKVAGGVAQMKLLAGEGAKRKEKKRKRESHI PKVPNVNKVVEDLMNV UV8b_07198 MKVLLHSHFPAGHALPMQAVAQALTSRGHAVVWLTSRDNEARVA FTGAQFAATQAVAAVDAPLARDHSSGVFPTAYKHLDARLLAQVSDYREVLARFAPDLV LVDVFPHGARALYDLGEGPVFATLGVIPMYTSHLRAPLPSSGMCPPARCLARVQNALR QLLNRWLWQPLHLAPDLNAQRARLGLAPLPPREAPEWFAYSPHLHIQASCPSLEFNQL PCSPAHEKHTTFVGPLVTRTDADPSKLPGWWAELPTTRQIVGITQGTLAMDPASLIVP SIRALKDHEQLILVVVSPYVQDIQARVGSCPNVRYAAWLPYGLLLPRLSLLITNGGYG SVTQALSHGVPLLCAGQSEDKRDTAARVTFSGAGVDLRTDNPSAESVRAAACAILGDE AYKARARRVGDELNRLGGADAACDALEDLVRSTKI UV8b_07199 MIPRLLPRPSILCPRCRFWEAHDGARAPWLPQRPSASARARPFR AAAPAPLGRKQMPGKKAASDAAQPKENRDPLESMGVDKSAAEQRKADWAIIREMTRYL WPKDSLGTKLRVGAAVSLLLGAKLLNVQVPFYFKSIVDSMNIDFAAVGGTAAAAAGSV IVAYGAARIGATVFQELRNAVFASVAQKAIRRVARNVFDHLLRLDLSFHLSKQTGGLT RAIDRGTKGISFLLTSMVFHIIPTALEIGLVCGILTWQYGAKFAAITALTMVGYTAFT IWTTAWRTKFRRQANAADNRASTVAVDSLINYEAVKHFNNERFEVARYDKALRDYERS SIKVATSLALLNSGQNIIFSSALTAMMYLAADGVAAGSLTVGDLVMVNQLVFQLSVPL NFLGSVYRELRQSLLDMETLFSLQKVNVSIADKPDARPLALTRGGEIKFEDVTFGYHP ARPILRNMSLTIPAGKKVAIVGPSGCGKSTLLRLLFRSYDVQGGRITIDDQDIRDVSV DSLRRSIGVVPQDTPLFNDTVEHNIRYGLVDAPLEDVVAAAKRARIHDTIERFPDGYG TKVGERGLMISGGEKQRLAVSRLLLKDPPLLFFDEATSALDTHTEQALMSNINGILRE KGRTSVFVAHRLRTIFDSDLIIVLKEGKVAEMGTHRELIDRAGVYSELWSAQEMLFND RGAEEPGAHRERKDDGGGRM UV8b_07200 MGADTVDYNKPAYILTSRYEWDIWYNYIRSEAQARKIWDFIDPD QAVILNKPEDVALQRYRPIPVPSSVSISEETPTEGGPSSNTRAASASRAISTATRSYS QLDQQPEDPNNFFKRRQVPDGLGLNEWVTYVNALSRNDRHDYTELERALSKYIEWFNT TLGPEFQQITAMRSMIRDKLRILVERVQPVKTAITEHIIQRFLQVMERNLNKYNVLEW LSEVLTIYDRLEQNSSILLAGNEPANILAKALSQKYPSIQDDIDREIIRAEKLGQYID FREIIKDARQQVELQEKRNINNKGKHTAFAVKGKPSLKAAAGPGDNTGTASASALKEP SSELKPCKYCELSHIKKKGAHYKSCWALTPSLAPEKFRLKIRKETKKAAIEKMKQESK EVQAAFKAFQDQQKEDDDDDNNNSTKSKGKEKDKRAYTAEAFSAGSFSNESPTSRACI IVNSGATAHIFNDEKWFLHIDDAIDQHIRGISGTTKVQGVGTVRIVLEDGQIVTFADV LYVPGIFVNILSELLMKKKGLYWNTETDRIYHRQGKKQENIFQIRRIEEKPCILYQAG GNDVPVSEFLTRARNFEEPDE UV8b_07201 MACYSRSCWFNSNHVTFDESIFYKDEEQLPIPAKQREDLNTFIS DVKETPIVDDFELVASGNLIENPFTDEAANQGTTPIDTIKAINTIDDTPQPTAIDLKV MDYPEESIAQALLPSPLFSPEPDDQTEPMPPLDDIFCVRVDNIERKAYEVFMAAIMKP LAMMEAPYITNMPKEPAI UV8b_07202 MAFSWESLGGPSQLLLDFLSKHQVVSTLLTLTAVLAVLISFFSA SSSNHRHGTTLQPPSVPGCWLPLVRHCPQFLFNRAGFLAGLTKRYPDGIFSLILMGRT NHIIHSPALASTLWNRPRSVDEKWLAARMLTASFGLRRQDQTTYSKLAHETPDLFKHM LSDPGLSSLVSAMIDQVKAHISELVTFSSSAADQTDWERAAGADLVNGSKGETFVEAD LMLLVRNFVARTANPALFGTDFVENFPEFWHLLWILDEGLVLLAAKLPGWIPWPRLRR ARAARRQMLMRTREFEAAMDKHLRGEDGEDAGNRWQDMENVSTFVKSRIQLFRNHGLS LEARASCDVALAWAMNANANPLITWLLFELYRDAVLLEAIRQEVAPFVKVAQPENGFG SAVWLAPELVHLDVEGLIHKCPQLKAAYLETLRVYTGIWAVRCLTEDVVLETRSKRAE GYLLQKGDMVHMAHELHQFDANFFPNPNEWHHERFLKQRKDDVGRSVQTVELGTLRPY GAGPSMCKGRAFALREMLLYSSTIISLYDMMPPEGGSWGEPETYKRAATRYPKNPIKV WIRRRDINNADAK UV8b_07203 MPHGPVKFRSTVVKPYNHDLDSDPAADDAEDIVYAEGPARPGRL EVRIPAAAAPPAAMIPTATTSSMIPAVATSSMIPAVATSSTPAAATYPSPATGSDQAR PLSPSPARVVSDVMINEDQEEALVNTIASNTVIDVSFLTAKE UV8b_07204 MPHGPVKFRSTVVKPYNHDPDRDSNPAADDPEDIVYAEGPARPG RLEVRIPAAAAPPAAMIPAAATSSPAPDRLPAAATSSPAPDRLPAAATSSSPTPDPDP ARIPALRPVSPSPARVVSDVMINEDRVVGG UV8b_07205 MAREEENLSIAALSGGEGDSVDRTLLIADMDFGEIETFDSNTAP APFSSQAFSSQGFSSQAAPEAVMAEDGSVRRSRRERREKRDYKPRSSQEGALLSSSVP EGALDSTRNRRRSKRKSAKDAAGLPSSSPQQIAQPEEFSNTPWDQLLQEAEAASSQMQ RKRKLRGSANAGEQRKKHRSHGHEPNNQKAEEDHGASEPTAASSLIRKGKEKHRKADD AIYEGYDAAFDLERSRSAARLRRRGRSAEAWSNENGGSASGLMETDSGEPERAHHGAT AVLDDEMSSVTDKDLVVERIVREAWREHQSEKLNLEKKANGEKNGELNGKPNGDSTDR PNGQDEIRMPDQYPPELLAASLEASTAETQQPSPKGRKLRKRAKPTFFEMPISEAAVD DDDAAAAADVDVWRELPSPSAATPKPRNRTKKAAKKESRGRKPKREKPGQAVRDGSGN EGTAHDRRNRLAGYTRGRFSDDELARIADVVENFRVEQALSQPEVNHLIQQPGGTAAG RTNEQLWLRIFAVCPDRHRQKLINITRKKFHNFVARGTWTAEQDAELTALISVHGTKW SHIAALINRHPEDLRDRYRNYTVCGRNQRKDAWTEEEEARLTQCIMGSMRAVDALRIG KPEDSVLWKSSYEELIDWQDISERMDRTRSRLQCITKWKAMNLRISGGDELACTQPDS RISFRLEKARRQLASMPGEERYRLVVAIHCNAVGKDVKIPWQRLVDKMFRNQWHKRTQ MLLWRRLRSLTPCPAESTVWNATHYLMEYYKSAGELPDVPDELFDDADEMQFMESIAS FSRTGRSSQADAGPPVSSEFVAESDEENSDAENKGGEAAVLGREGEQAQPSGEEMKID PALVGAAAEPQAAYESTPSKKSRTRAPALMENGDESDFDDMEDVPARIAAN UV8b_07206 MASEATPTAYIMPLSQIECGYHAYEDAITAIQDHQRLEGFVCTI SSKQRNPRTTSVVGLTLQCNQSGRYRQWSNKKGIYNTSSHKSNCPFRARIRYNRYDNL FHLKVLHSSHNHDRIASLISSSALRRQSQQRFGQERLLSLVEIKAQGKQLTARQIAEQ ITREHPGLLINALDVFIFKRKLRLGRNQAGTSTQASGQVEAPRTRASSVASAAASAAA SAAASSSSRSSAGEPRKQEKAHEEGLRRLQELQNAVAKLAGSVEALQASHQATQAALM QHYYIQPPPQVAPSTATWPAASGHMAQYNGPFQVTAPAPQVSRTPGPGPSPSSSQMSS QAGSSQPLKWTYYRPQ UV8b_07207 MPALKSSLRAPRPLSGLIASRCRRGGFLVSHFSTPGANRSEHNQ PGKDSNAGRQTRSGDASVFAKMGESAATTFASICVLGVGFAAAAYIYHKSYKMLVLQK MTRAFEPGDPVLELAAVGKDVPHSHPSSEEHWVRRPEQEHVDRIVAGQEVGHYYLILG EKGSGKSSMLIEAMRKVDGDGVAMFEAHADPEIVRIRLGKALDYEFHEDYIGGYFSEK GPRDMGALLDIERALNKLEKVAMKLFPARRKPLVVIVNQMHLMRNDDDGRNLIELLQQ RAEQWAAAGLVTMVFNSDDYWVYERFKQLSTRMEVLPVTDLPKQQAVQALQRYRLRYF GERLTEAQLDDVYDRVGGRLSFLNRVAKSHDMMAMCEKIKDIEKKWFLNQCWIMGADM DDDVMNQQKWAAAAMVLAQALVEKEAEMAGTYDPRQGHMLPTYPFHVAQEIMTRCDFI RDLDSLNLFTITSQADVRASSVPMQLAFREICSQPGFKKHLEDTVERIAAIESLGRTR ELVAKDLVLGGQYGIARGKGEFTVRLERPRESS UV8b_07208 MLPHLARMEGWWLGPGFDLLLELVNADHVHVARQDCRFLHAIQA RLRAFDNSRDAFDRCAPPAATASWASANSNPRKKLRGALELIFKPADDGGLQQGQALE GLALLQEVEAHRLELIKAAKRAVQSCAKDDGTYHVVDVLNQTTTERYASFQLGFRVLY LVDVLPTGRICQHDAAKAMARLNALFPPDLTAHAHQPPSLAPCTPELRDSIRFCVYEY LMSEHEKSPQDCELFMRRFTRSCSLPYDQARHSLLKYSVEFEKVEEACLSILNAAEDA RSTAERITRAPSLSRTLSPSSDDSRSLEAARDASSSHSGGGGGDAFEQPADASIHSSV RTCAATCSPLDNYYSPLLKGMPGREISAAKTDGAAFAMDFSAPDVLVYPDDLSKEDFD MHPLAKHMDMSGNEMAQLGLLLPKERPSRDF UV8b_07209 MRTAASLRPPRNKAAQSKHKQGRSHDLAQVPPLPQIPETLRAAK ARSKFESFRKRVKAPQQSGAIVNTARDMSHKPAGSSSDVKVPRRHRRGKVSLRSQISE PTLHSTTMGFPLSAMTCMTRNADVRRLSDGSAQSPTLGNASLDIGTDCRPREKHVFWL APPRLSPMEITREHLIRKARAQKVRGMCHVPKLEHRWYWTPGWEKFLILPRAPSTTQH CDVSRELMRVEEQDANLPALESLNPPRGKYASDECPRLSLHLGGINTLMPSFTNLASL VKDKAAVPRELAPLSMSGAMRFRSRRLSSNPEGKRGSLTTVVEAAAWAPQSHHTRDAS EDTATGTVAHDEFCFPSRHALAGQHGVLGAPGNVHERDRLVGAHHLLASYKCNLSATP RQVSDSWSFDSSSSLTETLMPPTGRGRPLRVDPDLTAGRIQSMAGSILTCTTSEGSRV VGSRPEHASGILFTPVEARGRESESVSASRRRDARPSSGLRRHSFHAKTPPSVGSRDG SPASSQSIAIPDSPTLGQEAAPLIRDSYSIANQIESLELPEPSASFRGGRGEASRSQG ADTRPLHQNRATATKGKSPPAPKRGMLRRGASGHGACSSSFALNKAKSHDAFTLLPRL SPGRGAELGSDTDMRSRLSPCFNPAVGAPTTATALQALDTASRLASVMNRDKTVAPAN LVKLATADESFRKMATFSGLFSRHVRRSSCGSPNLSSSSRASDSVAMPSRSREGGSEH GDNPEHEKSRDQGLSHSPPQLTRQQQRRAVSGGSHSSTSGTFVGLKDRFKLKKKVSRV UV8b_07210 MVLRLRTRDNSDFRTYVTRAIRAPQVKSQQLSNVKGATLQIPKE TQLHSLFQHQHFPFLFSTSFFFILRWRATTCSRRQWPGGTNIIKLWISSHPDVVYPGS PISEGMSTYYSQGFAELQKTNSYSPEPPCVP UV8b_07211 MALPSSSPTETAWKWPSYSESVQTERLSPGSSGVDTAMESSSAA TTHSATSSCADSWSIGYEDREDKDDESTWDKWNAGQTETLAALKSEPDDDDIKLGELA AAPLTLVLQNVPRECPEVKQKRPRGRPRKHLPSTAVSSSKVTKGRSKTGCITCRKRKK KCDEAKPRCMNCEKNAVVCEGYHEKQIWRSGKDKAEEERMRQASQPIITMQPIFHGVE NAEDMILWKHYMNHLSNVLTVEGEAKNAFKDIILPLANQHQGLMHSILALSSKHIDMD SPYGSKILQANPGATRESLQQRGDHHHEEALKRLYQDMENHVGKDDESYETVLAARYG QILCLLLQTRAEGNPRGEHRVHLQAYQTLIQHSPPENESLLTFITEFFQYHVYADELL RYAGATSSRLSSENWQTSIAIQPPRLIGVRDGLFHCLLQITILRDAIRKNMVASVDPV VDYTSLYRAAEIDAAIRQWTPHWPPGDSRHRVGPLYKQMMWVYLFRTIYPPLPAPAMR RFTNTTLPAASARSLGGTSMQRRRASTVASVGSSASSIAAAETTAKTAGNGSVPGALS THSCPLSRNPSRASSMHEHDWSHQTRLEGAAAADRHMQSSPPPSRRPAQDDTRITLAV EESLAILETFKPSDPAQTLLLIPCMVIGTACFSAAQRQRIRTTVRVIRGYTGLRNCDR VLELLEEVWSLADRGEWLTAWDWQFVAETRGLDFLCA UV8b_07212 MSQKPHFTLINLPPPPVSNPETPSETPGTPTSTTTSLSALSTTA TKDGHRGHGPSPNLGQAHHHDPSGTILEAERADRISRLAGLERVSTLRAGPGAAGGGL SSLSPQTTPTSATAGAFPANFPSTHNLTPSYFDNNGQPVAVTKLSTVGTASATESQAG DDNRTTPGEREEDTFSSYTSFREAESVASTGAEPDPDEDMDMMGTRSVGGFEDRMSDD GTASLVGFGEGAGSTVSGPIYRRGHPGPPAAVHAAWTLERTNSGMSAGGRPVSGSAAP DRKDPRMLDGVAVDPPGRGNPTDHDHFVDTTLTGPVPVEIAHGREAADRTALPLVSGE SSAGPSGLASPRDGGHLEGDKRRD UV8b_07213 MSSQSPAESSDRAAVGQRSCATCRRRKVRCDKQLPCSPCARGGH DCSYPPKQPRAPRVRKATISDVATRISNLEKTLITGLPEHGRVAFKTPPKRAEGGLAP AAPASATGMNPLPGAASPPGEILLGKGSSSQYFNEVLVSRVLGHESRVRSVLAIPAAD AAPRPPIPSPFNPMGLLSSPVLSLPLSSFHPSKTTAGHLWRVYVDKVDIFFKVLHVPT TEILVYTVINDPDAASPESLSLCFAIYYAAAVALEDAPDCLQLLGEDWPSALQRYKTG MEQAFARADLLENPTLAMLQGLSSYIYSLRAHNTSRAVWILNGLAIRIAQSIGLHRDG ENLGLSPFESEMRRRLWWFFVMRDSRAAEDLGLHAYPVSSTPLHGSAELPLNVEDSDL YPEMKELPPPRKGWTKMTNTLANIDITQTCADLLQMSWTSPSAAPPSFEVRDGIISKL VNRVDEMLHGCIPVIPVHRLTLLSCRFLTRKIDFVSRQQWVAQHHPDRQELLANDETL NEAVDLLKKCEAILDDDLLWPYFWLIKSHPQYHLMLFILWSVCYRPRGACVQRALQVV QSHVRMTEMATMDNLRGPKWRIFEALQAKAATLIPQPPANQQTQQTQQNQQNQHGGSP GTGPHLTNMPPLGAVGPGAEDQAGGNHNTGGGFMLGCAARELADMDDATMFDEMQYVP DWSTLLQSVMQEDGNFSLLV UV8b_07214 MPSFKLVLQAAATAVAAAAAAAAAAAAVEAPIKGYAVANLTWQV QVFPGQTRNLSGPIDQVRRQALQLNPRFDEHKRGDWWDGFPLDIAGYDVPWKTIKCGP GPYNWGYHKLDNDWKRGIMEGVGWIWSQDKMVLPPELAGGFGTCAQVLCKSGAGIWWC NDNPFIKTLRGYKDLAAGAARVIYQCAVQDDKGWWTVGQQFAKDNWNVIVRAQEC UV8b_07215 MRQKTYSVQTPEDLAKLSSENDNLTVLSQLTKDQLRSSASKWSL HHLIAYRLITQPEKSFLGAFENDHKHECPICQPNKPSTQQIDGDMLESLLQGVTRSDL LESERKLMQRPGGTFWIALAQASNVASAHDPEARPSRERRQVERPEYINSTDIIIGSS SPTRPSSSSSSSFSSSSCSDYQMTVEHTDEDENERLQGIPEDKAVHLAISFVRYVLQL CLIQPDGQMEVRARVERITARASIAGVKNITSEDDSGVSIYTKKPTGWFLAHPSMALI EAKRASNCISIDPRNGRSSPIVSNGTIAQYLGEAVLTWREKQDELHQDIFIIAMCNTF VRFIHFTFGSDYGEYLDASTETEQLQIVGDTAKDTFAYVSWTKWFDLRMSEARRIAAC HLLTLVRLKLPIWERIEHHGGPDDAGYDGDEQ UV8b_07216 MQQHSRERVYVRAIAWRADLQLRILNIRFKVVAMYRKEQREGAE RFYRLITQSSRSVPAASDGQAEDTPTADDAALTPSEFNLWCAVARLRAQYEWSQRNGM IDIIEGLGLPRTVDYLTLYYGGRAVDDIPTSAVFKAETGPGRLAFIHLRKIEKIRDKH LGLKHARSLDKLFAKNKPVATRTFKKLQRLQPAVETEDPYIAGILIALAQEQRQARGR ASAERVRAIALPYLKHFSTKAYFYTACIPKAFLDRLERPWEAGECDEVTVRYGGISLK DEEAALQCFRKFLDGTVHRTDE UV8b_07217 MNAPEKRRGGNHAPRSAASQPSRHSHATAGGHHSTANSSSSSMP AVPPRAAMVGSNTSPEEAHKGTGTGAPSPSVAGAPVPDKDAGSPGRGEQEKQKDRDKD ERIACLQREMGAMEREFARELDKLCQNESETAAFWQAKHSALNQQFLRTDAELRVLRS GVDAREAERAELRHGWEMLRGELRERDDEIRSLKGQIHALKKFVSTSTRADDQTSDDV FGEAMAKLGNGLQNWVISNFRRANLVDFANLDEATLTQVSDLVPTYMIMYQQLAQGAK IHLLQSAVSRILVETVFESYFVGLSDGQTQQFRQTEELLVSLASDASVNQWRASTLAI IRRDAPQVLRDETALHVERVASRINGVLESVTTGSSRSGARDAGLCALVHSAVGLARL LVVQKAVLRVHMPPVSPGRQVVFDRDTMEDVGGEDEDALDARPVWCTLFPGVIKHGDE SGGQMQLRNVIAKARVLCRPG UV8b_07218 MPRKANPTHFLCLQLASAQLSKSLTAFRAHVTSPEGFGIPSDAV RPPGTLHLTLGVMSLKQDQVGHAVDLLNKVRPRDILQQLRAGGAAGAVSSASGGKAQE GEGLLISLRGLQSMTAASRTSVLYAPPSDAQGILYPFCERLRSRFVEAGLVVDERPLR LHATVVNTVYVGGARRERLMLDARELLARYEGHAWAEDMPVTRVAICRMGAKKIGNGD GDEAYEVEGEIEI UV8b_07219 MAQTKEKDENPFGDTVDVAAFGQILEMDEPENDEFSSSIVFGFF SQAEDTFTEMDAALIAEDMTKLSELGHFLKGSSATLGLVKVRDGCEKIQRYGKNENLD GSEEPDVDKCLKLIAKVLAEVKADYKDVEKRLRAYYDKGNDDDA UV8b_07220 MDVAYNQHSDPARRKNRSTTNINHLSLAPLTVKLPINDSDAIPD SVVPISHGTYLQGKSAPTTPRLLSRGSVTPRSRSHHRTPSAPGGPIPTSQSSTHLGHG GHGKKSRSGASTPRRRDGPGPGNGNGNGNGGENESDWLLRAGALLSSEAREYKGQAWL VSRQSSTSLAGVRDADEEAFEQELAREREAASRRASRRGSSAAADDDATPGGSRLSSR LNSRKHSMVETRGHADAPPDRAGADADADDSYFPSHQGGIAGPDFVNLDEKLEELEHD TAQDDEATVRRLVRHGAAGQGSWISNVIGWSLFKVDENEEDSEDDEADAMEEEKEEEE PACAGRGVPSHRHFEHVLHAPIERLPPPTADQGGWKDAAWLLSVASKVMF UV8b_07221 MYLLPSVANDGLRAASGKLAADQAARLLYPTIQGLLLADICFPT RALAAVRKVPAGIRDARVWLPVIWPCRAQARLGLLMKQLLLNGKYQVCLVLKF UV8b_07222 MRNLRNVRFGRWMHPNITSACWDADNDDVLYTVGPTEESSTIEL VRVSQSEPSSYESIASWDAPSPNPELLVDRVVNVQYLGGLSGTCLVLEGGDIITVQQD KASGSDARIEIVGSIDAGISAARWSPDQEVLTVATKANTVVFMTANFDPIAQVSMTAE DLKASKHVSVGWGKKETQFQGRGAKALRDPTIPERVDQGLPSTREDGSTTISWRGDGS YVAINAAVQEGGRRAIRVYSRHGGLDSASEPVDGLEGALSWRPSGNLIAGIQRLPDRV DVVFFERNGLRHGQFTLRSPGSSASAWESIRLEWNSDSTVLAVLLDDCVQLWTMGNYH WYLKRRVPIKSRLVNLSWHPEKALRFAATSSSESITAEEAFCTARGSCLPPFDSGAVA VIDGETVKLTPFRTANMPPPMSLFDVAAESSVADVAFGRRNTSFAVLHGKGVDLYEWP TRDGRGVKPNLKSRIPLPAAGTTPGSPALVPLRICCTSEASFRVFSFDGDSKLVQHAV DVGGSSISPAACQKPLVSTCAYENAALLEAYGQDASGTLYRLLETSSEQTTVKFPAHL PWFEIGRVEGEMAAFGLSRNGHLYANSRLLAKNCTSFVVSPSHLIFTTTNHLVKFVHL TPAVEDLEIPEDDPESDERCRSVERGSRLVVAIPTNMSIVLQMPRGNVETVFPRAMVV AGIRSLIEERNYSRAFSYCRTQRVDMNILYDHQPAQFLANVGSFLNQLNDVTYIDLFL SSLREEDVTETMYRNTKHARHGSSRPDEQVKPAPSPSSSSSKVNTVCDAVLRALQARK ATNVQNIITSHVCKIPPALEDGLSLVAELMQEDEKLAEKAVEHICFLVDVNRLYETAL GLYNLDLALLVAQQSQRDPREYLPFIQNLHELPELRRRFDIDDHLARRAKALDHLHAL DSFDDFCAYATKHALYQDALRISRYDSARLAAVTSLYAAHLESKSCYREAGLAYESLK KYAKATSCYRAAGAACWQECLYTAQRQRHPPMSAEAMADLATSLADALWEAKDYAAAA TIHLEHLSSVETAIRCLCKGHLFAEAMRLVVVKSRPELLKSAFDVGLTEAFGSTTEFL ADCRSQLRAQVPRVLELRRKALEDPVSFFEGERAGGADVPDDVSVAASSRVSTSASLF TRYTGKAGSVGTAGTGVSRATSKNRRREEKKRARGRKGTVYEEEYLVNSVRRLVERVA AAKPELERLVFALARRGKTEHARAAEQLMSDVVRACQAAIPEVFPAAPAAPAAQTQGR SAGGTDQGEGEGDWAWRATGGDAVLRDFMEDRAKHQEAPVISGLQRLALLG UV8b_07223 MQASSSSSQRAYSQRRSPGADPGPPPSVRPNSPGNGGVQPRSSN SSNRPAQGSLSSRRTNREGSGGSSSMPLSQIEKSVTHLLVATKQLLETLTQWSRGLAS DTQVSDVYVRLGYEFNMACRAFTAINVDTSDLGNVPDLLRHILEATLSQEASAESLEK YLPRIRDIIINLLHGLKRKQQRLRQKQGRERDASLPERTTSVSTTASGNSGLTNLLDE GLENGYRPDSQRGDRESPRQAIFNNSPSRRQNGPKDHSRPSNAAEPSTVMQNVPSLPP YPSEDPAIMPSASSSSSVAEINIDAFPPPPPPPPPDKQSSALAALQKGGDLERRASRR YSQYQISKHLGAAANGMPMLPSQNTPIPNRGRKEARESLKAVQSRQSVRHSRTLSSQP KPAAKESSPSRMPGVPEDSATTQRQKMPVELTDTPMNPEENNAPSATLSGPLQEPAAY LGGTGRAESEPNKPFRTRTRTSEPPSLVHPNESKPGEFSSQVSPPPTPTKDLTLFLQY RSKVKKIVLAEGRDELSIGRLQLAFIEKFSWNTQQNGTDLPEIYIQDPVSGVRHELED LADIKDRTVLVLNVEPLDEVKRHFDDGLLALTKIVQSVKQNVDDQGTMLQRVSDRQHE TATELARLASAAPPAASVAEGGVVRTQPGAAAAARKVDAGQAGELQSLRRDLAVLRQT YSSFESDIQKSMSAIRLQAANVKTVAAKAAVPDMEGDAGYSYVINGRKQLNADSDRLV GKVDDLQDLVEDLRKDVVHRGVRPLPRQLEEVAKDITGLVKELKKMEDYMAAEKPVWT KIWEKELEDVCQGRDELRLMEDLIVDLRDDLDKASETFTLVEQATKEQMKDNGTSASA STARQFSRGLSNLGNSLDQSAAKEDVLGEVRALQPNHENRLEAIERAEKLRQKELEGR QGNEMLREIANFVQDGKLKKSGGFEEVERARKAKDERIRREVWERQNGIIPEDPIGED GLPSGEAGGDEDSPAAPDAEGELFHDADSAPAAEAAAPDGPADEPA UV8b_07224 MPAEGVWISPTGQKVFIPLENNPDVFASLALDLGISPSLGFYDV YSLDDASLLSHIPRPVLALILISPSDMQTAVRQQDGLPKSAKTLTYDGSGADEAVVWF RQTIGNACGMYALLHSVANGEARRFVRSGSLLDKLLEDTAPLKPEARAKAVHDNQELE EAHMRAARLGTSETPPANIRAGNHFISFVKGRDGHLWELEGNADGPIDRGKLAEGDDM LGGNAIGQGVGRFIQFAKGNLNFSIVALAKKEEGKDD UV8b_07225 MDGLQALLTPANGQGEDDDLGFNAAQDIHQPSLSGAADARPGTF LLALTFAAGISGLLFGYDTGVVSATLVSIGTSLSNRELTSMDKSIITSSTSLLALVVS PFSSMLADRLGRKRVILYADVLFVLGAIVQAACSAVPAMVAGRCIIGAGVGAASFVVP LYIAELAPASHRGRLVTANVMFITLGQVVAYLIGWLFSTFGSQETAWRWMVGLGALPA GLQAAVIAFMPETPRWLVSVGRSVEAKAVLGRVSGGASGAKEAAATIREIEVEMREEE EARKGRRQHGSKSSWLGRWQDLVHERKNRRALAIACLLQGLQQLCGFNSLMYFSATIF AMVGFDSPTLTSLTVAVTNFLFTLTALGLVDRVGRRRVLLYSIPVMILGLLLTAWGFS FLPIGEMQPKGPSSDPGAQAQAAAAAHMVLVSIMVYVAAYALGLGNVPWMQSELFSLS ARSLGSGIATATNWGANFAIGLTFLPLMDALSPPWTFVLYALICGGGYILVWRIYPET AGLSLEEATALLERGWGV UV8b_07226 MLAASAHTAWPRACPVGQVDGRTELGQPNTENPGSGNYSLPCAM TRFRHAVAGGPLSYNAAHLGLGTGLCGK UV8b_07227 MTPDIVDREIEDAARDASPGRYQQRASNEIERVLSSSTASSSSS VSDAGHGFRQNMSRVSTQNDLERHPTELSRIATARSQHSNTVGRGLRSRTTSRASRRP LPAFGAGKPFPPPLPERDEYVVEFDGPNDPLHSQNWPMRKKVLTAAMLGYTTLTSAFT SSIFSTATGVVAAEYHVSSEVGLLGTTFFVLGFAFGPSLWAPMSELRGRRLPIVIAMF GFTLFSAACATAKDIQTILICRFFGGFFGACPLAVVAAVFSDMFDNRMRGIAVTLFSM ATFTGPLLAPFIGGYIVTSHLGWRWTEYLPTIMGAVAFLVDLFFLEETYPPVVLIEKA ADLRRRTRNWGIHAKQEEIEVDFRELLQKNFSRPLRLLFTEPLILLLSIYMSFIYGIL YLFLTAYPIVFVGVHGFSLGQSGLTFFGMIFGQLLAGAVVIIQQPRYNRMLAANNGIP VPEWRLPSMMAGGIAFTIGIFWFGWTGYTRDIHWAVPAVSGVFTGFGLMSIFLQALNY LVDSYLMFAASAIAGNTLMRSLAGAGFPLFARQMFEGMGIQWAATLLGCVAAALAPIP FVFHKYGSRIRAKSAYAPTFAAPPVPAAQEEEETGDSATEKEGGDQAALASVPRKEGD NAASQNV UV8b_07228 MNVDDHVVPSGQHYSGRNPVPNIQQFVDQLDREKKQRDAAIDSD SKKREQVETATDRKTSHKPPRQGLRTVRDPVTGKDVQIRDIKTDYKSVVEHPQLTVPN ENLGRPATIATSSKQSGEEYRYAQDVTAPPKPVQEGATTDVPIQSEKTSVLFFKTPSV SYEPMFATLERKGNVLCAGIFVAIILVGRVFGGNLLGLFPLAFCVSSGVFVWIKDLIR QGRDMEWSSEQERGETATVNLIPESVEWLNTVIGLMWGLINPEMFAAVADTLEDVMAA SLPGIVENVRVADISQGSNPVRILSMRALPDSHVEDIKQEIHKENVKTVDKEELAAIE QAGEFYNMEVSIAYHAKPSGVDVASKAKNMGMQIVFYLGIKGLFGIPLPIWVELIGLV ATVRIRCQLTPDPPFLKTVSFTLMGVPKVQAGCTPMIEKGINVLNLPLISNFVNWAIS AAASMYVAPKSMTLDMSKLLLGDDVKKETQAIGVMFIRVHKATGLSKQDRRGSKGGGS DPYITVSWSKFGKPQFCTRVIQDDLNPIFEETCGLLVTTDLIKADEQLSMELWDSDRS SADDLVGKVELSIQKLIQHPGKMYPQVSRLRGVKADSSMPGELHWEVGFFGRTQFRKA LRTHGKDIKLPKELVDKPEFQDDKGTINTELEDAVTHTPPDPLWPSGILSVVVHQIVG LELANVKGSNGNRRGREYEPARREAGEVKEEQSSKLPSSYCTILVNDELVYKTRVKIV SSQPIFNAGTERFIRDWRSSIVTVAVRDSRNRQHDPIIGVVPLKLSDILQTSSESTRW YPLDGGVGFGRIRISILFRSVELRLPPSQLGWNIGTFEFLSDFITTSNYATDSRIKLK LRTGGSSASVRRQSCTQEGDGLKINIADGEGGRKLRLPVRHRYSSPIFFEFRLAGKRH ADAYASLWLLELVDGDEKDFDLPIFRCHNGLRLAQNYITQENYRQVPDMQIEQVGRVR FRGRFSAGTDTDHIKFVSDNDSRETIETWEACYAEGVRQEEARAEVPPLVQKLHDESL TQGRDVLAQVDEDERQRWLAKDGTDWSGAFGQDPKKLMAWKPDGEADGEEYDGFDEEE EEEEDEDEEEEEEGGEQEDPDLGIQDAGANPSVDGEPGRQSIETEATHASATSDSSYS SKNPLTAYKGYKQRSRDLHRRHRGLMQWRPMRNVQFAKDEAKFAVRKVRKLGALDGRH PDVETEV UV8b_07229 MLPLWFVLAGICVGAAFVRPPPYSPDHRNKYQDNPRYTCGRSVK FKWQTDYKGPMVLAMLTEYPGTVVQAVLKENIPQGTTEATWTANLHGVESILPPGHDA VLYLALSRGDQPQPDFYSSYFNVTAPNAAAASASASPAPATTAPPSDTATATATATVT ATPTATPTGKPRKWDGRSNLTRFAVAGVVVGAVIGGVLVLARVAFVLWTRRKKRAGGD GPTELAAGTCHGLVASGGRDAHRRDVQDIHRQESKELDSESLHEMP UV8b_07230 MNHGLHNIGRMQADGKNVTRAPTHGSGASKPIVAFRGLRPYLPE VASHSSAALQQERQRVDGPAQTWAARPPAKLAVVLPLSTPDRRRSEPLMRQRLTQPTL NEIWDLQKRVKKNLGIRLPTAEQLSSAAPASAFAFASSSATASASASASVSASSPQRT QPKHTASSETPLTTTASTPQPTSRGRPKGWKPGLSYRAMRQNPQGDLTKVPQGDWKRT PRQPRTKAPQPGFAKRPGRAPRARTPTPREIYEKSDFQFVNFLCEWSGCKAELHNLDT LQRHVHVVHQVASEKQGCLWGDCRAHGFQSTQDWKLHLDEAHFVPFSWHVGDGPRNTA LTDDGTKHELAEEEVPDYLRGPDGEQVTPSIKDQALEDSATWKANRRRLKELLIMRDG KLEEIEAKTSENLEPLPVFRVFR UV8b_07231 MPPSKSNGSSAGQVSHRLRNFFRMNSATSSSSDKDKTTSHNNAS VSSLSAHAAGSDLSGPKPSRHTKFFSNTVGRLRAHTVASEGNQLEEAMSPTAHANPYF AHQGQPGLRHHNEGSVPPSPPDTPDLKVCGPDGLPVDQATSETKEELARRLRRVASAP NAQGLFVSSVAAEAVPPLPNSERPATAELGKDPLIINGAAAGSASLTKANSESSKVEL QLKTSEDVLSALPAPITSLAFRRTYSSNSIKVRNVEVGPSSFDKIKLIGKGDVGKVYL VREKKSSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDHLY LCMEYCSGGEFFRALQTRPGKCIAEDDARFYAAEVTAALEYLHLMGFIYRDLKPENIL LHQSGHIMLSDFDLSKQSDPGGKPTMIVGKNGARTDALPTIDTRSCIANFRTNSFVGT EEYIAPEVIKGSGHTSAVDWWTLGILVYEMLYGTTPFKGKNRNATFANILREDIPFPD HAGAPQVSNLCKSLIRKLLIKDENRRLGARAGASDIKAHPFFRTTQWALIRHMKPPIV PHATKGTDTVNFRNVKESESVDISGSRLKGVPLDSGLATPGAEVDDPFSEFNSVTLHH DGDDDQHMVHPAAPQNARVST UV8b_07232 MKSTPEDLAREQRLRRYSRSQSVGRHDDCPTRGGGERPPPPAAS VSPSPGYVLEWSSGLASTRQRRHALSDVAELRAEMKAGGPCRALILRTAHADGGVRDA LRDVAGVDGAFIDAHAAGKPYRPRTAQSRHASWQCWEYPEVAAAGRDSARTVAISRAS VWTGSGTPILLVGNTNTAVVQSKPPETRSRSSAPTTHSHSTPSSLEQDLQELASLGGD DDDRLEDLLGELIHDRWADYLGGVGADPPAQQHEPLFRALLSLEANLDEARRLARRGG SRLDAAGPSAWSDLIRRLHLRAQLRGAVSPPEVLAAQRQQQHGDAPAPAPAPEAEAEA RTDNQRSLDRIAYLGGLLLPLTVVASVLGMEGDYGPQGSRFWVFWVASLAASVLAVLV IYADQLRWAEVWLRIDADDEAPPLPLPLPLPLPLPLPLQSASYFVQGWAAGSEGSGWR RGRLGWSGALKKMSGYYRWRGDPGLVFGRRPGEGKRRVA UV8b_07233 MKRGLACLRCRAAAWTPPPATAASASAAASAAAAAAAPSRAFAD HRRPPTAPKPIIDIKHIRQNPQLYEQTCVERNYKRQAQNPAKILQLHSRWQDLQRQGR SLRERSNLLRKFLANPATSSGDDDLADIRAMSREQVVEQARELKGQLAVIERGEADAA AEMEALALEMPNLTSRDTPRGGEARLLGCINEAPPAVDDAAAASASASASASASASAQ ETPAWRSHVHIGSELGLLDFSGAATASGWGWYYLLGEAAQLEQALVQYALAVAARRGW TPVSPPTMVYSHIGAACGFQPRDHHGEQQVYTVAQSAADAARGAPPMCLAGTSEIPLA GMKADATIDPEDLPLKRVAVSRCYRAEAGARGADAKGLFRVHEFTKVELFAWTAPDDA RARLVFDEVLGLQAEIARSLGLRCRVLEMPAADLGASAARKVDTEAWFPSRQHVNGGW GEITSASMCADYQARRLATRTRVDGAVTFPWTLNGTALAVPRVLAALLEAGWDEETSS VAVPEVLRPWMDGKTSIGRTGRKRGAVTL UV8b_07234 MSSSAGATLAHRSVASIRSSTPRASAGSSTPNTPPRLITSSFGS PSTIRADDEFILLEIGSRYLRAGFAGDSLPKACLACGPERQRRVGDFRAWLLPRPSNS AKWATDHELWRHDLRDVDLGLFADKLDGLLRDAFTRFLLIDSRPRRVGVVLDPAVPVP LLSAVLDTLFRRFQTPIVSLMSIPTMVAAGAGVRSALVIDMGWNETVVTSVYEYREVK STRSVRGGKSLLEEVYGLLQGLMPDAGDDDESGTRAVSFEECEDIVCRLMWCRPSAFK SSQRQSTQLDTVQEQDETEAEPSQPSGIAEVPLRSTDPPSTVHIPLHKLADVCDDAFF DPSADRAAFDDHELPVHWLVYRHLLQLPVDVRATCMSRTTFTGGCSRILGIRERIMDE LTSLVDQRGWEAVFGKSVEQLRSNQKKHTRASTQRCSTSSAATCESGGGGGGGGGDES DGPGSDEDAAESHQDATEAKIARNRPGFQQLQGQLRAIDSLGPWTGASLLCQLRIPAM AMVDRELWLQQGANGAGHPGEVDVKAQQRLSMGASNLIRGSGGHHTNWTLGVWGTL UV8b_07235 MGIKGLHRELGQGKRVSLSKLAADSLESQNRPYRIAIDIAIWQF QTQAARGGTNPAIRTLFYRLVRLLGTPIQPIFVFDGPNKPVFKRGKRSGRGDGVATAM AKRLIRLFGFPIHDAPGEAEAECALLQQHGVVDAVLSDDVDTIMFGCTKTLRNWSSEG KTSKTPTHVSLYDVDDMALGDLGLDREGMVLVALMSGGDYLPDGIPGCGVKVACEAAK AGFGRSVCRLKASDKVGIQAWRQGLMHELHTNESGHFRTKHKALTIPQDFPNLDVLGY YTHPVVSPPESIMPSIQQRLEQKTPLQIEALREFTRETFNWDYRIGAIKFIRVLGQAV LVQKMSETVNGHHVKRISGRRTHFSTDGTPELRVAYIPEEVVPVDLAREADEVISYDR NGLALNSDEEFDGLDASEEVPASTPKLFDVTKPDLAWVLEGLVKKSASDAFQAWQDRQ SFKMTPRSPAKKRAGAAKAATRKASDMPHGSLDRYVRTTKAPASEGLSQQTGLGRGTA PRTSSPSPVGAANPSIPSKQSSFSPARSPVKMPALQVIGSSPVPPRSRQAHKRPETIH IPSSPASRASPPPPLCSSPPRHASSRAPRRPQPAEMPRSIRSIIHAASSPQELSPAPP GQQPRPRRQPQPRPRLQQSPKAQPKSAAGLKQSSLDAFVGKPAAVPAAASPPGEGASS HLDSDSDLEPLSAILQKNPLKKQASSRNTPSSAENRSGGNTSLPRETAAKALISSDGI FDEARPDAEQGDGQSAAGGSRSTGVRWSDVSIIDLTADDR UV8b_07236 MAVDCPICNKPVKPTEINSHIDSGCTLFTLDKEPRLSSPPASQA RAGGPTQSSSSSSSSSQQQPKRSASAFFSTPARKKMHGDGKGPATAAASRSFPPVTGK KRSFEEGPGGEKDDGSSGANPRAAREDGEDVEDNKGAGADGVQSGGLAVKRAKKQASV PLAERMRPRTLDQVCGQDLVGENGVLRSLIESDRVPSMILWGASGTGKTTIARCVAGM VSGRFIELSATSAGVAECRRLFQEAADELGRSGRRTVVFCDEIHRFSKAQQDVFLKPV EAGTITLIGATTENPSFKVASALLSRCRTFTLKPLSTGDVVGILARARAAEAPAHPPA PLLDDEMLAYLAAFSDGDARTALNLLELALPLASRAGATRESVKAALTKTLVYDRGGD QHYDSISAFHKSVRGGDADAALYYLARMLQSGEDPLFVARRMVVIASEDVGLADNSLL PLATAAFTAAQQIGMPEARVPLAHCAIALCRAPKSVRAYRSLNNAYAALREPGVAALP IPLHLRNAPTRLMRDMGCGADYKYPPNYRDGRVSQTYLPPELAGRRFLEDRDLGTEID PDVQMDEG UV8b_07237 MASEADEVAEDYRHALEDLSSNMRFEISNLTVIARENTEHALAI AEVLQQHILKAPPSKKLPSLYVLDSIVKNVGTPYTLYFGRNLFKTFMESYAVVDGNVR RKMEEMLKTWKDPVPGSMDTRPVFSHELVRPIENALMKARAATMPQQGPIPGRPRSSL LPHRDTPTPPGTRGPNGPPGPYPPQFAYPNGGRPGDPVPYHAQPQYSGILPTPQLHGS TPAIFQPPLAGPYGSIGARALAGVSVETLSNDIQNLIVAAKAEFTQNPHDASIQNRLR ALLDLQGLVQRASLPPDQLELIKNKVTELAAVTRKAPSSHTLLAPVPAYMAPLSQPAP PPAPPPPHSASVTPNPVSATEPKPQITLDSLLGPGAMAALMARHAAASHSATSTPPIP DASVRSSTSLQTQAPKPASSTPKALLEQLRQAGMLPSSAPAKPAPPAAAPPVTPQPIL PPNITSILASAKAAAVSQQGFGGVSTGSINEAALKQQHRPDAVAALYDNLGPPCTQCG RRFKTDEQGKRRKTAHMDWHFRVHQRTTEAEKRGTHRSWYVDHQEWLRSREIVDSDHV APPDEGREQTAEDEGEPQYIPVPDPSRGISNVCPICQEKFENKWLDTAQEWVWLDAIL VGNRAFHASCHAEAARDREGTPGFPRRTPEPVLGKRKADSSISSVKVRTLKTSV UV8b_07238 MPWMIVFKLIKMFKPEYIQGVGMVVLQILRVFTVITLAAMCASY WVFIVKVDKQRAYFVFECTSLFFTSLLPIVLIVSEFPLVRFVRRYFREAWPMLSDSYG LAWLGFSMVLLSCNMFGNLNKPANDADKLGPHFSKLVLASAILGFTFGVLNLVCSFVW SDAKEGINSRDIRANGTLANNRRQSLPDYSSTTRSNSFKELRDEKKRSKMLSGFFSKG KGTGEKTFNRPIISAPIQAHQDVERDAGRVDRGSPIAPNVQRPPTALHPANSRRSSEY SVADMNRF UV8b_07239 MSGYRISPNALSEVIIIWVNLGNGSPVTTVNQAMTVTKTVTAGP GGAVTPLPGYGASTTAAAPAKCATHTVKVGGPGVLTFQPSELNNIPVGDIVVFEFFAQ NHTVTQSSFNIPCKALAGGMDSGFLANPNNTVSPPPQVAMQVMTAKPLWFYCRQKGHC GKGMVFSINPTADKTHAMFRELAIAQNGTGSATPVSGGKSSVAPPVQAPQQTPDGGQR CSGTSVAGPQSSVAPPGPAPQQTPYGEQGGSGLTYGKGTVGADGSCTCVVACSAGSFP AVQPQGVGACGGMAGSLPVMDSMS UV8b_07240 MSEVQAELSQEEIWDDSALIDSWNEALAEYKKYHSIHVKGGGVG DLEPENSPEDQRLNPSKQLPAAEGVPARNRASDGTISPAEKADASQDAAKTPVPVAPP PQALLGSIRDEGLRKLLMSWYYAGYYTGLFEGQQQAQGPHP UV8b_07241 MAPELRKRKSTSEALKSSGSAKAADKPAPKGKRKATEEASPAAV KKQKPVKKTPVATKPGKKPNKEPKPANEPKPANEPKPANEPKPGNKPKPANDAKPAEK AQEEEDGNVLRVDESDASDGEDNDNVKELAAEVDSEDEAPVDQGPAFKRGQDVGKIPS AAKELEKAAAKATGEPGVVYIGRIPHGFYEHEMRQYLSQFGTVTRVRLSRNKKTGASK HFAFVEFEEASTAEIVAKTMDNYLLFGHILKCKTIPKERVHEDLFKGANRRFKAVPRN KMEGLKLQKPRSESAWKLKASSEKGRRAKQAAKYKDMGYEYDAFDLKDVPAPHNGENG QEGPEELKAIEAAPSDDGAAKPEASIRACDKPLTQEPETEAVSAPAAAAKTKTSKTGK GKGKKAKV UV8b_07242 MSSTGFAESLPTASSASKKKNNKKKKNASKNKGAAPLSNGDACH ADNEPEIDGDIDGETNDAETIDTDDIQKLDSSGNGHVREHGGSGAERPGGDSDTAAKL EAMGKEREALRAEVEQLRKQLESIQTTHEQEISQLKADLEESNAAKEDAEEQYTSLLG RIEKIKESLSDRLRRDKAELEQARKRIEELEAQNEHLEGSAQSTGDDVEKLKEELQDA TRELAMLRSRNNLSAHNWHKEKEELTRTVQYLKEEMENTANAMGEWEVLAMEERSIKE NLTDKVADLEEQLATLRQNYEAAAAERDSQTTLVDNLQNALREIQDARKKELRDMVET TESQLQAQKQLAQQAETRAAEAEEAKDETARELERTAPFEKEVKEKNLLIGKLRHEAI VLNDHLTKALRYLKKTKPEDNVDRQVVTNHLLHFLTLDRGDVKRFQVLQIMAGYLNWT DEQREQAGLARPGGSAGSLRLPPSPFNRTPSSPSLHTDIFSEPTSAKDRESLAELWAS FLERSAQEGADGAANSAASRSASVSSVPAARSSAAGQADAKT UV8b_07243 MEISWSSLQSLLIFFGPILLPKAISWYRSIRASSHGLPVQPAPP RVRIVLFLLFLLATTYLFRTLPPFAPENLFARTQSRLQIPVDVLFNRVATLRPGGALT PRDHALRAKFVNLESRLLYLQFGPDALADCPFCHADEPRSYFYYALPSILWPHLANLV VVAAATSPSATGRPGAQWRTLATIASFTLAGLEAYAVSSYNYQANARAARLGDLDFFH WSVRSCRLVALAALDAALGWALHLSATNRAFAQLPSASERVDDVARGLMTVKSRLGAI GIINNTAVRDDELRNRRQSYWAHEVLLMRDVMEERDVIEGVNDALSNRINIDAISKDA EKYADSVLEPLCHADEEGTW UV8b_07244 MSLRSRQRYVSSLANALAKSRQSQPDGSLWNILAYLVNSSQIDR SLCLRISLLISPDGSRYLRKPPTRAICDHLPDTTYIKPAVAPRKPSK UV8b_07245 MKLHLFVVTATAVAHAAAAGVYCRAGSDDSGGRCEKKSTKNHKV YSFCCQPKASGSFTLYRTRAERLSPGNDFPCIGTAKYLDYGYIYCVTDPLGPP UV8b_07246 MADRRPSTEPEKLQVQQQQQLNCTTAREISVVGSNAKCASLREQ QTEARRQETGFNIEKYSVRTADNRYRSSITGTGIGIELSKLSSAPDSPSQHVDENLPL SPPYAAGLPALINAERLLHVQ UV8b_07247 MANILVVIFAIEVLAQLINALGAAQINDLIWTILNHLPIATSKA DAEQRQLQAEYVKVRQEMNATSSQDEFAKWAKLRRRHDKLHSKLEATKRELEASRSQF DNYLTAMRMLAIKVPQYVIPYWYGKEPMFWLPYGWFPYYAEWIISFPRAPLGSVSAPS WQLACSGVIALVIEIAVLVAKRILAPSGTKLAVPAARPAKQQQQQQTAALSDMPQAKK EL UV8b_07248 MSRALDPSVKEALGNGRHADVFEQVATVFSTTQQQHGYLEIEIL PRSHAVDESAHFLQDGRFVAIPRLRLAQAFLHARRILASSLSQSGEPVAGDDDELQSS TAVILLMDPEHLTAANTRKRLLLRKRQSQAAGSAGYRERLARESFVLNSLLTSRLHRH TKSPVLWNHKRWLLRQMEQAELPVDTAHEFERVICVAAERHARNYHAWSYARDVMALR TSREGRGTGQPDTGAGEIVALVARWCRLHHDDISGWSFLLHLALLFPSRAAGVFDDVV SLTEKYRWRNESVWHFVRNAALVPGVHQVQVQPTDEARVTRVWKMLRREVAEEGDLEA RMLEAKILDRAAGYIGVDPDSWRA UV8b_07249 MIAVALLPLALWTLCASAFFPYTPVWLKEKKELSLPVEAKRNAA AYAVNRGVSFALERKAGRNNGSPASRASKQAIWLRTKYGHANSAAAANVDLEKRSANS YHVINATQPTQSMAAGIDQDGTDYSYFITAQLGSKARQFYMLLDTGAGSSWVMGSSCT DKACTMHNLFGSGDSDTLVEAKKSFTISYGTGTVQGSIVSDAISVAGISVQYSFGLAS KTSDDFAYFAFDGILGMSMSQGASANFLKTLADAKKLDKNIFGVSLNRASDGTNEGEI RFGATNADKYTGDISYTAVGKDGDWAIQLDDMAYDGKKAGTGGVLSYIDTGTSFIFGP ADLVKKLHAVVPGSGSDDGLTFKVPCDSDKSLTFTFSGVDYQLSPRDWISPKDSSGKC TSNIYGHEVVQGAWLLGDTFLKNVYAVFDRDRKRIGFAAAAGSQSASGDGSPTSTSTS PTTGTATNLTGQVSGTSGSSTPSNQSPMGLGGHETGAAGSGRDAAKPTESSKSSAASA GRRCWAQVASSILMVALAVVGA UV8b_07250 MADNLEPKGHAERQAFLADDAPDGDDDLVVYAGKHASRKTPRTP NRVQFDLHPAVMGEPDSDDDDDDYDDDDDDNDDGRGGQHSHRVPLLTDMEAPSVAVAN ALGGGPGGDGDGERLEQQMRRPKSGLRSAFVNMANSIIGAGIIGQPYAMRQAGLAAGV LLLAGLTVVIDWTIRLIVVNSKLSGRSSFQGTVEHCFGRPGLVAISAAQWVFAFGGMV AFGVIVGDTIPHVLAAAWPGAAAVPVVGLLTDRRVAIAVLVMGVSYPLTLYRDMAKLA KASTFALAGMLVIVATVLVQGLLVPPEARGSLGAPQLTVNGGGMLQAIGVISFAFVCH HNSLLIYGSLKTPTMDNFSRVTHWSTGVSLLACLAMALAGFLAFGDKTLGNVLNNFPP DNAMVNVARLCFGLNMLTTLPLEAFVCREVMLTYWFPGEGFRRGRHVALSTGLVALAA AASLLTCDLGAVFELVGATSAVAMAYVLPPLCYMRLATRGWRTYAAGAVVAFGLAVMV TSVAQAVGKMVNGSNDAAQCV UV8b_07251 MPLVNANHSSCPKCGVAASGTSKTCSSCGATPPRRAPVARPRVE PEPSLLSAKLGLPTRKENRWSDEAG UV8b_07252 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAIDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRYDQKTLSELQKTNQKPVTPEAGEEIRKKIGAYKYLECS AKTNEGVREVFEHATRAALLSRNSRSKSHKKCLVL UV8b_07253 MQKLAKRAAQAQRQATRRARQHVENEKIDHRIQNRDALKGALSE IRRNLKDARQARMEDWEMGPLAPKRDLGFNNYGVLKEAARFDWTNSGLHQAKPQLVEQ RCAWAGGVRQLNLVPQDRVLIMDGPDKGKIDRIKDVQAENGTVTLQNCHRALSEGMFG GGPRSQSMPIAISSIRLVYPITNPETGVTKDAVINQLKAVPPNMQSPNMSLDRWHYGK KWDRLVPALNMVIPWPEVQVPEYEAAKADTVRQQVEERTFYYNLLSPPMPDQVLDELR NKYSRFRTRHEPWYIKQKEMEEAAKKGRIESVKSMQTPLDEFHQRQRELRDAKGEPEL SDDMLEKIGEHMAKKKALVLDQIGASEDSRQPAA UV8b_07254 MAHTHPQGAGWHSHDGFSAQEHGHSHEILDGPGSYIGREMPIVQ GRNWSDRAFTIGIGGPVGSGKTALMLALCLALRSKYSLAAVTNDIFTREDAEFLTRHA ALPPERIRAIETGGCPHAAVREDISANLAALEDLHAKFSPDLLLIESGGDNLAANYSR ELADFIVYVIDVSGGDKVPRKGGPGITQSDLLVVNKTDLAEAVGADLGVMERDARKMR EGGPTVFAQVKKDVGVDHIVNLILSAWKASGAEQERVKQGGPKPTPGLDTLERK UV8b_07255 MPGAVANHSHRPTTKVSHKPFKSKAASKHELRDRAKGRVPGERG QRKTPHQQVMSKFDRRNQAKQARLTKHKEHLKDTSVFAGKDGAPRIVAVVPLCADCDA AFAVKQLNASLDIAVDVVKGSGSSSSSFRVSVDRFKQKIQYIPLQRDLTACLDAARVA DFVVVILSAREEVDPLGELILRSIESQGLSTLFTLVQGLDNVETAKQRTATVGSLKSF ITHFHPEQEKVYSLDSRQDCSNVMRSLCNTTPKGVRWREDRSWMLAEDVRFARDESEP TVITGVVRGRGLKADRLVQIGDWGTYQIDKIVAAPLSKHPRKGEEDASSDAGLQQQRL LEEPSADRDDLNQLAPEDVVMGADDHDAEMATEPAPKKGVLLDEHHYFSDEEAEAKKI KKKVPKGTSNYQSAWYLDDVSDSGSDLEDMEVEQDGQDGDDAELGAEDGVEGYAQPEP TEAGGGASEYPASEMMDVPDEDEDAQQLAQHRSRKRNEAKDDLEFPDEIELHPHVLAR ERLSRYRGLKSLRTSPWQQDEDRAHEPDAWRRLLQVPDYHSSRSRAVREALVGGVAAG SRVQVHVRGVPASVAASHAHSASVVTLFSLLRHENKKTCLNYLLNLSGDHDGAIKSKD ELIVQCGPRRMVVNPVFSHPGSTPNDVHKFCRYLHPGQSAIASFVGPITWGAVPVLFF KRTTATTTTTTTTTTADADAAGGVGLALVGTGTALPPSTSRVVAKRVILTGHPYHIHK KIVTVRYMFFNREDVDWFKALPLWTRRGRTGFVKEPLGTHGYFKATFDGRINPQDAVG VSLYKRMWPRNATPLDGPLLEHAHGRAASSDGQLEDVTMA UV8b_07256 MDTADEAAAKAHDNGAVAVAVRRPRAKLAASSHEKARLSRLREA NKAYGRGKGINTKHIKDKKLRRSLKQLEDKYQDAALKAKDAEILLENTGGFLEAEGEL ERTYKVRQEAIVSEVSVATAANRFDLKLDALGPYVCDFTRNGRELLLAGRKGHVATMD WHEGKLGCELQLRETVRDVKWLHNNQYFAVAQKKHVFIYDRNGVELHSLRKHNEVTHM EFLPYHFLLATIGTGGVLKYQDTSTGQIVAEMPSRLGQPVSMAQNPYNAVLHVGHQNG TVTLWSPNSQDPLVKLLAHRGPVRSLAVDREGRYMVSTGQDLKMAVWDVRMFREVSSY RTRQPACSVAISDTGLTAVAGGTRTTIWKGLFDKNAAAQERVQAPYMAWGGDGRRMER VRWCPLEDVLGVGHDQGFSSLIIPGAGEANFDALEANPFETARQRQESEVKGLLNKLA PEMIALDPNFIGSLDLRSDAQKRADRDLDAPAADVAEELRKRARGKNGALSKYLRKQR RKNVIDEKRMRVDELWNERQRLKDARRKEAEADLGPALSRFARKE UV8b_07257 MAVVDQGTQTGIVLTTALATFITGWLFGVFTTRGYLISPALAEE RRRNLYDPVESEESDVDERDCVLDHAPNWANGEAADRKQGLRAAPRAGGLIERTALPM PGDPGEECKLVLVVRTDLGMTKGKIAAQCSHATLACYKALCGGSKAAGEILRRWERGG QAKIAVQVRSEAELMELRWRALELGVTAEVVQDAGRTQIDPGTLTVLGVGPAPKSVVD KITGHLKLL UV8b_07258 MSGTQGPKALVAGISGCSSSGKTTLARLLRDIFPSTFILHQDDF YKPEAELPSRDGLIDWDCSQALDIAALSDALSHIRQHAVFPPTLESKEDDNSVGQCPV AESTVASLKARVDDALPASHPLRSGKLRLCFLDGFLLYSPSMAALQAHFDMKLFVRTS YASAKARREARDGYVTLEGFWKDPPGYVDKIVWPNYVREHAWMFERGDVEGAYDEAAV EKEGIRVLGEAAVDSSLEQTLVWMVESVLDELKKHQ UV8b_07259 MCSTSDDDSDSVSSDESLEINSDIGLEQDVARSKSPIITEIISD DAAAEASFQQHLHGSPDSSPESENDALGNSRSAVVSPRAYQVEMLDASLKQNAIIVMD TGSGKTHVAILRIKAELDRSDSDKIVWFLAPTVALCAQQSEVIRLQAASVSIKMLTGN DDLNTWSGSTWETILDGVRIVVSTYQVLFDALCHAFVTMDHLSLIVLDEAHHCTGKNP GSKIMSDFYHRSKASGQYTPSILGITATPSMTEDVQNIEALEALLDAKCITPTIHRQE LLKCAKRPQIRTVQYDSSPPLPLTPSMERLQCEFRNLDITRDPHVLRLRKDPSERNRR ALVNAIEKYDTFAQNQIKGLWGRCIQTYHQLGSWAADLYIWKAATSFLDRIDKNNNEM FQDWNDAERQYLAEFLHRVSPSRPTLMPQNGDEISGKASALVSELLSMEDAIVCIIFA RERATVSLLRDLLVSCPRIAERHRIGSIVGYSNHLSRKKALYEPLADMDQMALQKFRA GEINILIATSVLEEGIDVPACNMVICFDHPATPKSFIQRRGRARMKDSQLLLLSHYSS STTARWEALEEDMKAVYQDTQREIQQLQSLENSDEKCSAFFQVESTGARLDHDNAKPH LEHLCRILSRGEFVDSRPDYIIHRHWHPVQATLSATVLLPSFFPPELRRVDGEFNWLS EKAATKDAAFVAYRGLYEAGLMSEHLLPLKYEDARGVESRVAEAEVDVLFNPWWNVAK LWKSAQQAWLYSLTLYDEEFGRLDFGIVLPNEMELKRPIRVFADRRASGRIRFKSRRL ITAAEASSLPDHTSTLLALHFSHRWPVKEESHVVKLFVDGLDMSMKDIGARMFDPSDN EVTGGGFLVRDKSNKPFHYLGITSCKPPAEQVQRKFLNYEDAPQDVPYLIVKRWTRQS DFLHRNRSKMQASACPKTYPQVLPQPWATVDRIQLRYAKLGMLIPSILHELEVTLVAK ELSSTLLRKIDMTDLGLVREAISSRSANEPVNYERLEFLGDSVLKYCASIQVSAIHPT WPEGYLSLFKDRLVSNSRLCRGASEQGLAKYILTKAFTGLKWRPLYLSNLDTKPQSAE PPRKLSTKVLADVVESLVGASFVTGGIKEAQVCIAEFINDIEWQDVNACRDMFFAMAR DADVLSPELRPLEEMIGYSFQKKALLIEAMTHQSCLFDRDRRSYDHLEFIGDAVLDLI VVHRMFSVKPPLSHHKMHGLKTAVVNGDFLAFLTLDYKVKRFEAALTDDLHVYKKETT PTSLWKFMRHSSVSVGIEQAAMLKRYELLGPDILAGLRGGSHYPWALLARLQAKKFFS DIFESLLGAIWVDSGCIEKCESMLGTFGLLAYLDRLIDDRVEVEHPKEAVGRLASSEA VTYEVDVEKGAGAGGKRFTCRVRVGQRVVAEVFDGVTNEEVKTKAAEEAVRIMTMEKT KAMRADENLGRAAEELGEWEEGGDYVVG UV8b_07260 MALFATGFNAWNQLEFHHEPQDTEPDDLWTFSQVLQDQDLERPR SSLYTTLARGKSRHYVAGISTDIDSGEEFEQAFCSFRAGNGSIVTVVNPDARSRDPDP DEPAEAPRCFLEKYACHQDWRRRTPLTKWPCESPVRDIAPYEAGFLVLHEDGSVMTVG DPRYPECLAREVTQESPADEPGLATDVMNLDDPVKHVTAGGYVLGALTESGSVYVWGR QSSAARRVPYNTGIDLSGVPNYWEVDGDKDVQDIALGESHAIALTTDGRVYVTGENKN GQLGLGRHCESSTSNWTMVELDLPINHNVVGVAAGPRSSFILTSRSDKSKNGV UV8b_07261 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGITALGIKATNGIV LATEKKSSSPLADQSSVSKISNITPNIGAVYSGMGPDYRVLVDRARKVSHTGYKRIYN EYPPTRILVQDVARVMQEATQSAGVRPYGVSMLIAGWDEGIEPEESGTAAAEADEKKA TSKTGGIHKGGPMLYQVDPTGSYFPWKATAIGKSATKAKTFLEKRYSEELELEDAIHI ALLTLKDNIEGEMNGDSIEIGIVGPPADHLLGMEGVEGATGPRFRKLTPEEIEDYLTS L UV8b_07262 MDSGSASSLPFERERTPYAAAGPSHGRDASSSRPQAVPASSRDA NSKAEEETRRHGPRTDRRRFGPRTCRICLETEHPKFPGGISSTLGIASASSRPVYESD DPEMGRLLSPCKCKGSAKYVHEGCLDSWRLANPSAKRNFWQCPTCKFTYRLARLHWAT RLSSKWTQIALTVVVLIASLFILGFIADPILDFWFDPVGSIADTVSSVVSDLDAVQPP SRFYEEPVTWLEHFSKGFFSLGLIGALKSFVAMGPWHWINFRAGGLFGSGRRGGTGRS RMGNFNLLFVAIGAFTFMMAVWRFVQAMCARILANVSNIVLDIGGDEDQDADDDATRQ YPERQQANEEARKDRYLPSCLIYSCLGRFGLSCLSGVDMISSVIQTLAFAVFVLLPEA PTWYTQSQVGCKKRKLIHFALEDAVTLLSLLMEISRHGLKWCMS UV8b_07263 MHFAYPSRKSSSPPPFRPRSSCIPSLRRSRLKNLVIGSLLLLGA LYWFFGGKHDDPYREHVPSGHPSVVLVTVVDPVEWNSAYLDTIKQNREKYAARHGYQA MIVNAQDYNTEGAPGSWTKIFAMRHALSKYPDCKFIWYLDQDAYIMDPTRSLDEQVTN PRRLESLMIKDYPVVPPDSIIKTFSHLKSENIDFIVSQDKEGLVHKSVVIRNGEWAKF FIETWFDPLYRSYNFQKAERHALEHIVQWHSTILSKLALVPQRTLASYSRPTSGEEFQ EGDFVVMFAGCSKTGQQSCQTESARYWGKMKKAFGIS UV8b_07264 MQRDPRQKARRAATCASHEEEGRKKAIVELHVDWTSETLLSTAI ASRHCAAAAGEDEARSTMTMVNAQLVPQAGVFQHGTPQRRDTCRVTATASAKSAEASS RGGRLSWTGHTVTGGPETAGSRETALTPDACRADREMTENSTRSTSETLCKKPTRAET LGRQLTRRPDVFVVRGSASQILCTRPYCSIPNLDFTDKPSMFASDVYPQGEVLRHSSS ASLFRIGNRMALSLVPAAVL UV8b_07265 MAEPRASSPLWHRALDKYREELQAAADYQDIQKVHSLDQLIASV SAIQDSAPSIYTGILSLKRLAPRLKFVDDFSAVLALCFGADAALTAAVWGSIRLILSH AASAADTFQDVLDMLEELSLTLPRLQVYEQTLPLDRQFQQALLDVYSDIVCFYARTIH FLRSNPHPVLRRNAWQTFRNDFSRTNMRIKRMSSIVESQAESARMRRNETHYKQVLAL LNSIVVGKDTGESKRESYSNIPFGANARFSGREDILAAIRSALDPERQASLPKSMALF GMGGVGKTQIAAQYAHLALGSFDVVLWISADNAISIGQSFRTMADGLGLLESDDERKD VAVAMYRVKKWLATTTSACLVVLDNADDLATVKTAWPTAARGSVLVTTRDLVIATSLA TSYASVDTLGDKDGSRLLLKAVDLDHGSLTDQQQTAAIAIARTVGGLPLALTQIGGFI KQRGLTLGEFLPLYKRHSLKINARRAPGSDYEHTLSSVWNVSFERLTENSTRLLNLLS LFHPDGVLEEILLKGSESVDEEFTFLSDEMNLGDATEELLRGALINRSGHPAALSIHR LVQSAARERLSGTQTAKYLDAVVRMLCWGFPDHSSTDIGHQVSAWARCEKCLAHVYNL VQLAKHQGKHAGDGQQYARLLLRCGWYLYERETYMVARSMIEQAVSTFEDTTSLEYAS AIDLGGLIELDLAQPTKALAPFTRALQIRKAKLGLQDHFIAYSLNNVALAYTEMGELD DAFAAHQEAIRIRLQANSDRIGNSYSNLASLLLRMGRPEEAEETLAKCPSLKDFTDET FLSTGNPRFSGDMVLLSRIRRAQGRSTDALRLASKALDFRRKVLGNRLKTCDSQYDVA SMLLEEGHISSAMKLLQQIVDISATFAEGDGQRARALYKLSEIYASRDMLAESTSCRE EAVATRHALRPDLDDSPFEEAGFSRLCLWMLW UV8b_07266 MNYLARKRSAVSVESSWNAPLTELPWYRWWLSQQIRSQPQRLLL LGLPNVEGIDDSNGAAELILPLLERVKDLVAAQESATVDSITDALAGLGMLSVNDRCE AYQSAKQLVFAALGWLTMLYKPNLSNFTAGEFAILDETDGYRGGSQVCLVQLPHSSKQ DLPSFLLGFGLMLPPRDYCVVDAPDDKKMFQKTKAITAKDFNAHVLTKVCGLRIQWVD CLSCHLELDRHSGTLFLFRYPSFCVSTLGRRKQSGTKQPPDDVIHRCGLQESGTIDIP WASQRDIVELLKEILLSYRLLFGQSSQSRHLFRQLQPFAGIPSQGHDQFLPLICGKSK CPCPVTLVERDEYDMAGDFPHLRSRLVLLNSYASGKRPRSILQLWRDKRDSTAWIAFW SVLIFGSASIFLRVLQTAFQILQFVQGLKQEVNKLPRFPRQAEHRQITPSAEETGDLN STAHLSPGKLIRPSSCSPTRLQFAMAVHAVQVFKLGIETQFERLTETEKRYAHHMARA AWLGARIILRQVSPEASSIFDFITELHRSCSCNWNSLLGSDLSSVQLQALLTYMATFL SNVGNYYGSGDQKFIPNVDKAALHKLTARSTVLEELYQNMSWDMHAMPPFNLGFPSDT AQSSYYPGDAVGESDANAVSKILEQNTIFPENTRLEKTNQGFDVLIASVETGVAAQFP LPSGQEGHVRLVKGDHSSDLQRICAELKEASRYAANELQKSLISAYIESFEAGSLDAY RKSQRLWVQDKAPRVENIFGFVEPYRDPQGIRAEFEAVVAIADDVETLLLTKLVDNSA RFIRRLPWATPENDGKGPFEKSLFEPPDFSSIHALAYCSSIIFPGINLPNYNDIRQED GFKNVIISNRMIAESQAMQYPFVQESEAEQFKKHKFQAYYWWVVLHELLGHGTGQMMV ESADGVFNFDAENPPINPLDGKPISTWYKPGQTWTGQFGDLATTVDECRAELVGAYLM DDPELLALFGFTDSSEICAEDLTYNLYLQLGVDGLRGLSNFNVQSNKWGQAHSRAHFA ILKCLLQSGGGVVTVTHSRLEQSLKVRVDRAKIRTHGKPALGNMLLHLHMFRCTADVQ GCRTYYEKLSSVEGEHLEWRETVLATKPPPLIFAQANTFLDGDTVTLKEYEPTVEGVI ASWAERQV UV8b_07267 MDASCQESTGKLSRYFYTSFQPLALYQTPRTAHRMAAKSNIVEF NSAPINNCVYSSSTETGLIKISDCGSPSCPNSEANPDKE UV8b_07268 MPAKSLPKRPQQTSREHCTTGVAMDKIIGKRQVFAPTSQGGIRF SHLQVLFQNDNQFFLGHLRQRQCTEVEENDLYNVTMIPPDCYRPALPPHTTLLHCATP SVYIKTPNLEHYTSPASISVPLLREIEVCEVLAKHPHPNVATYLGCKASGGRLDGICF QLYPRNLMRAVNPGSLNKLALLEQRNCSVEAAERYLAGIEAGIKHLHALGYVHNDINP MNVMITEHDTPVIIDFDSCVKVGQGLDNVKRTYGWHDREVKVAVESNDLNALEEMRIW LTGTCADDFQYPA UV8b_07269 MTTGKTPAENMLWGGRFTGGLDPLMLKYNASISYDKLLYKEDIL GSIAFARANAKSGIISKSEFTEIERGLREVQKEWEAGAFVIMPNDEDIHTANERRLSE IIGKDIAGKLHTGRSRNEQVVCDMRLWLRNQIQEIESHLVALIEVIADRAEAECGIIM PGYTHLQRAMPVLWSQHLLSFGFYFASDLERLRETAKRVNRSPLGCGALAGNGFSIDR DMMAEELGFTGLLWNSMNAVSDRDFVTEFLQWGSMFMQHVSRWAEDLILYSSTEFGFI SIADAYSTGSSLMPHKKNPDGLELLRGKAGRAFGHMAGLMMTQKGLPSTYQKDLQESW EPMLDHVKTVSDSLQIAKGILTTLAVKPERMRAALDPFMLATDLADYLVRKGVPFRET HHISGRCVAKSEELGIPMNELSLEQLQAIDSRFGEDVSHTFDYEKSVEMRSVKGGTSR ARVLEQVKVLKAMLA UV8b_07270 MSAIQLSFSLRVSSGVKTVHLLGSWDNYVGQLPLSKDKSSSKSG SWKGTFRFQNSTLEPGQRYWYYYIVDGYHVAHNPSVSSTVEPTTGRELNILDVPSDKS SSRHHKSSSSKEHKSSSSKEHKSSSKPSSKSSSRQHSSKDAHKSSRSSRASLSVDIPK GRPLSVSQIQAPKPVSPYATKHILNSDYYGNQQIEELTARFGSAGIDDDDDYVTTNFS TSPVSSSGSSLSYRSDSSSPNSSLSGYSTPSSDCSSCTCERYGITRRGERVKLDCGGS RCGYGDDSSDCSSYTSDSEDEDGAYAHSPSRRHGMIVT UV8b_07271 MAAPPPPLIDSHVHLYPASEAASLAWCPPEHPLHGQRSVAEYQQ ATAAATAAATAPSLAGFVAVEADRTSALDDPDLDLDPLHDPDRDSHHPPGWDAPLREV AFLSRVALGQPRPGEGHAAADARLCLAMIPWAPVPSGPALLERYLERVEAAAGAAWPK VRGFRYLLQDKPHGTMLTDAFVDALKLLGRRGFVFEVAVDQHRRGKRQLDEVAEMIGR AHDGVADDAHKLTFILNHLCKPDLAIYNVASDPGFRAWRTAMYALSKAPRTYVKLSGG FAEMPDALRAQPAAHVFRSVLAWLGVVLATFGPARIMFGSDWPVCTLGGLGDDAWPKW RDVVDKMCWMASLSDEERAMVFGGTARQAYGLG UV8b_07272 MESPLSPATEASLNRATPPSKRKYDQYEARASAACTSAAAAAAA AREAAPLGCSPRVAAADMDQENAALPPKQPRHGRVLSGTELSPLKMLAEQRGGGDGLP PRQRSPRKLSPSSRFPVKINPHEGEGEGEGEDEDEDEVKCGDDARRASKERKRPLDGL ERAVEVFNDEQSDLLHFQGPAAETAAADADAEQSPDADADADADETAGPDDTAVSTFS TFSVVPNMTVFATLGQGATKHSAFDGLTPRIKQHQQQQRPPSSSGSTGSNTTNLLMDF TDQLRFPPPKSPGKRGGLSPSRTMPAVSATPSRRQLTASLIDFDIPPMPTPRSLPSIT PRELESLKSGFLSEISSLKASLSGKEAEVHSLKSAVGDAEKRVGESMEQLREERALNE RLSAEKGDWEKRGRDMDKVLRKIKDEVATCRQDREELERKLEESEKRREAAEMMHQEA ESKMAGMRAGKGCERGVKDGPATAASAAAAASSRDVEMAVERVARELHALYKGKHETK VAALKKSYEARWEKRVKELEARIEQVGSENDALRSRRDASTPTRPEDGGATEAAAAAA AAAAAAEERTAQAAKDGATIKELSADVQRLEAIVSTVKRDNKELRTMLEKERVEKGEL VQLAEEMMSMQSMQSMAAAAGGAPHHGRAEQAAAAAWGPAQPPERQDHQRAGVKTPRA AADGARIPGAGRGGVGAAAGAGMSGLRGPGSAIRAPGHVRRGSGGLPRPGARSGIMSS IEKMGNYRGRGQE UV8b_07273 MQPQQQQQQQQQQRLCFSLPAVWSGLVLCPGRLGVRVAVAVAVA VAVAVAVAVDLVCTLRVGLPA UV8b_07274 MKSGASVAGSLLSSGSAASSHGPKSTSPNLCLPVNAILRPATNI FSYDTMNPAPDMYYSQHMSGGQPAPPQTVTSGNMHYPQHQTTLMHPGPANYSSNPYGQ YQYANGLTSPPAAQPVSNPMAGGPSTVLPLPGVSGPQAPLPSQYTGFDTTGQHPPPGM KPRVTATLWEDEGSLCFQVEARGICVARREDNHMINGTKLLNVAGMTRGRRDGILKSE KVRHVVKIGPMHLKGVWIPYERALDFANKEKITEMLYPLFVHNIGALLYHPTNQNRTS QVMAAAERRKQEQGQIRNPPPGLPSIQQHHQPMALPGPQQPLPSHASIGGGRPPLDRA HTFPTPPNGTSAVLGSMGASDNFGWQGQGMNGAQGTNPLAVEHGLSNARSMPNTPATT PPGQSIQSMQSYPQPAQGYDGARQMYGDSASQQSPYQTSNSASSDRLYSQPSQYPKND MGPPSSRPAGAGQPAEQHEAKSSNGIMAPDQPAHHEDEGDHEHEAEYTHHSAAYDASR SSYNYTAPSVGSLAGDANINPNMTGSPGHPSASGRATPRTTAPHQSYYPQSSGYNTPP RVQQTSSSLYSAVGSDRGSAGGSGPGGEVYAPTTDMSSTMTNGYSAQPSLMNGAAAGM KRGREDDEDLSQSSHDGPGGMANLDLKRRKTMMETSVAAPAYDTLSRPASAIAASRRR UV8b_07275 MGVGKADGGWLAMADGMATGNWRLATLAAGSRQLAAGWWLELAG WLAAGTGKWRVRGGAWCWCVAKRAGETGQQPCTVRKGSRAWKRLGGLIGGGREARQSG VAPAVVW UV8b_07276 MPSSRSAQPGPRAAPFDPWTCASTGHQVADRRPDPAWRDLRDRR LNTQLRGLPAPAAANRRTVSVLAMLHRPGLMAAAAAAATPAVRDVSPSLPPPRPRGLF AGTTVHVSGSTLPLVSDHRLRHLLAQNGATVSLRPGGRAVTHVVLGAGLAAGKQEREV RRLRSRVRYVRAEWVLESLAAGRRLPEGPFAVGVAAASGQGSVYDACK UV8b_07277 MASRELGSHPQDHVLRHSLQKPDEFWARQAEHLHWHTKPASVLR RTQRTLRDGRVSHDSWEWFPGGEISTCYNCIDRHVEAGRGDNVAIYYDSPVTGTKETY TYRKLLDEVQVLAGALRAQGVRKGDVVMLYMPMIPAAVIAMYAVNRLGAVHAVVFGGF APLALAQRIEACSPVAILTASCGIDGNKPPIAYQPLVEEAVALSAHKPRRTLIWQRPQ VRWDPDRASGQRTWQEAAAAAATPRQARAECVPVASTDPVYVIHTSGTTGAPKGVARD AGGHAVGLHLSMRYLFDVHGPGDVAFTASDIGWVVGHSYIVYGPLLVGASTVLYEGKP VGTPDASALWRVVDEYRVSVLFTAPTALRAVKRDDPGSGFLRAVGGRGGLRSLRALFL AGERSEPSLVSMYQGLVDRYAAPGAQVVDNWWSSESGSPITGRALGHRAPATVRPGSA GKAMPGFDVRVVDDAGRPVRHGTMGNIVLGLPLAPTAFRALWGDRDRFYASYLKRFGG RWLDTGDAGMIDGDGYVHIMSRNDDVLNVSAHRLSSGGIEQAITCHPLVAEASVVGVP DSIKGQLPFAFVTLSTAAHAASAVPDDKLAAEIQGLVRAQVGPIASLGGIIQGSGMIP RTRSGKTLRRVLRELLENGVHGEYEKEVAVPSTVEDASVVDVARAKVREYFVKREGKH VALEAPRPRL UV8b_07278 MAPEAMSAACGADDAAAPRSKYRVALVRLRAPTTTISARASGET TTPCRRVVVHGMGWHGMASEGVSRPPPVRARCQLPGRVDWMCPPRSCCLQLAAQYSPT AAAATPNTDQLRTRDRTKASTDPRGWPTLHHVPVPACACLCPCLHSTPNVTPQLRPSH PSRPASTQRARLPPSQPECTMRTQQAPAKKSRRPSSPAHPSSIPPPHLPDPAASPPNE PQLHAGPLLASSAPVGIPPA UV8b_07279 MGSARRGDAPSETKHQQHQHDAEKNINNQSEGNGVFIDLVQKKI GPPKKKPLGPHTTSLFSNPPGLGPAGAHRTHVQVLRVRMFVKTQIKFYTHPKLANHIP K UV8b_07280 MKFSLAFLAAAAGVSAHSSFNGTVVTEVVSSYVTYCPGPTQITH GNKTYTVTEATTLTITDCPCTIHRPVVTTPVVVCNTCGVNYPNTTAPIVNPPASATPT KGTTAPSVTPAVPTAAAGKVVALSGAGLAGIVGLAAFVL UV8b_07281 MAGHLCWVQSNLCRATCAEQPRRRAENYAAPAAAAAAAAAAAAA ASNAFAFPVGMASRRFSLLALSQAKHSPSISSVVILAAAGVAAARRAWSASLVSDPSA TSKHQVLSFPPRRTKLSTQPKSVGSSWALASGGAGWTRRAAARRDGLAG UV8b_07282 MHPTTPAAASPAVARPPVPSDKAWASLITSDAYLPGLLTLNHAL RGVGSSYPLVALYTPSLSAAALAALAARAIPALAVPALSPRSGKSYPDDPRFDDCWTK LVVFSLVGFARLVLLDADMLPLRNMDELMDLPLDADRRVFAAAHACVCNPLGKPHYPR AWVPRNCAFTAQHADPDAAQVRAPDAAAGLGALNSGLLVVRPSHGLFDQIVGHMHANA DRYTHPDQDLLADLYRGRWVALPYVYNALKTMPARGVHDAIWRPGSIKNVHYILSPKP WEELDQSGNWTGTDETHAWWVEANKERLRQEEGKGGSGVKDA UV8b_07283 MPKRLLRYALSRLDLLDDEALDMENLDLALGRKTVFEFRDVGIK LEKLQKLLSLPPTFGLERAKVLLLRVTIPMDFYTSPIIVQVHGVNVRLRIAAGDTAKP DHPASSQPQHPSSVVPNTADLAQSFLETEPLSERKQLEAALAAESADLGASVTTSDAG SEDDLQLGTGQPLSLPGFLTDFLQGIVDRTQVTIENVAFQLDLEVPAEFNSSRMEPVS FQVVLGQVEVEGITTGTQTGPEGTPPMAHQEGERHISLTSIRACLISEAEAFAGLTRS PSTASPSLAPSPTMTRNPPSRQPTLLSQGSFHERPMAMPRESFRERPCDDAASDIHDH PLVDSEDALAIPYDLSEVERSLEEYFEGDLDDQEEPSTPRASTYLDSSDLPHASVLFH STIESGHQSPTQSRTASALLRTAMADAPDNLAHAEYFHDTNSPNSIFSGPRGETAADV AARPSSVVASATDLSHSHLYSHEEAESMYMSAFSQADNRPRFRTGQQLGEAETLERPQ EDSMGFAGVDESQLLGDPQTAEDSQVIDKAGTPQSEATPDNTGGPAAGEAESLTEIDA PEEPRPRTPAMPGAWDDSADDIPDGSLKSKIKPPEKEEAGSDTDSTVSSPAFSRASLL QQPPRFRDSIRASAYHGTETPKSPPKLVKEILQLDKISIYIQSPQHHMCLQTDSPESS RRLSQSLGHSTYPQAPGAFSIHSSSPPGHPQPASQETDDSEVSASVKVHLSPIHINLD ASIAFLSAMVVNRLLKALRPEKPRATQKPPAAAQQEGRPTATPRIDIVAEAVAVNFLN KLHGMFDPPQQRREFSAFGADQEALLNLSLLNLRISSSPLQPNSTVPTAAKVSPKRGD ILTKIELEKLRFGYAKGDILSFGSARQLSSSVRDSFISAGHDIGVEILQSDGAIRTNV ETLPLIVQIDLQRLDETFGWFGGLSSFLNMSASVASSPLSPKSADTSSLPRTRGVRFD TPIDPQDKSDASQNKINIRVGAMVVELVGKDCSVAAEASALKIVSRDEGIGFGCSRLR VSGPYLKNSLADPAITTDIGGMRLEFLLAPKDADLEKLLELIIPSKVKFDHENDEVMV DTLLRQRRKGSVLRATLETVNVHVKNVAQLSALPALGDEIVKLAAVAKYLPEDDRPGL LTLTRVQKASINCELPEPIGHLACDLCELEVGQITVPSLVAVAVHGIHIRRNKTEELV SSSLFESSAGSTAANGPVLMVRMIGDEMEPIIKLKLQDLRFEYRVETIMDFLHLGSDA TPQDFEAGLAASVANLGDQAHTALGRQQPPPNAAKGATPSKPPTLDVGLRDCVIGLNP YKLPSKLVVALTDAHLEAVLPSNGRTKVSVGISKASVLLTDDLKQIVATGDRPGVPRV RSSSSVSRQVEEMCARGFVDICYISSARIVALVFPGSDDDARVEVEVRDDLLVLETCA DSTQTLITLANALTPPTPPAKENKYRTKVLPVQDLLASISAEAFGRPEGDYDFDQDFA GAREMAGSGSEDDFGSEKMLPVDLCFYGPQPAGEELFDATKSMHSPQDALMEDTDEGV LLTGLNQSRSVSSQSTDDLVIHDNFYEQAAPEERTAMVWNSGSNSYDLAPARLVRDSP LKVSVRDVHIIWNLFDGYDWVNTRSIITKAVEDVETRATERQSRRPGGMQVYEEEAED EETIGDFLFNSIYIGIPSNRDPRELARAINEGLNDNGTETESVVTTTVTTSTNRTARP PHAKSRRLRLNRSKHHKITFELRGVNVDLVSFPPESGETVGSIDARIEHVDIFDHVPT STWKKFATYDQDHGEREMGTSMVHIELLNVKPIPQLAASEMVLRATVLPLRLHVDQDA LDFITRFFEFKDDGVPVHASPSDVPFLQRAEVMDVPVKLDFKPKRVDYGGLRSGHTTE FMNFIVLQESRMVLRHVIIYGIAGFDRLGKTLNDIWMPDVRNNQLPGVVAGLAPVRSL VNIGSGFRDLVEIPLREYQKDGRVIRSISKGAAAFARTTGTELVKLGAKLAVGTQYAL QGAEEMLTERGQAEGGREGDDVDAEDRKQISLYADQPTGVLQGIRGGFRSLARDVNLA RDAVIAVPGEVMATQSAVGAAKAVLNRAPTIIFRPAVGVTKAIGQTLMGATNALDREN RRRITEKYKH UV8b_07284 MDAYSICRTSASARTSSRIYQDLRAALFSGCPARDGTAETPETA PETAPETTPMQSTTPKRTRPITSNPHVGIIGAGLSGLRCADILLQGGFDVTIIEARDR IGGRVHQQQLSNGRSVDLGPNWIHGTRDNPILELARQTDTAAGSWDTNTCIFTSDGDL LPLGQGEMYSSMMWEIMQQAFEHSNNFGADTPAEKSLFDFFCEKVPVMIPDTDPDCER KRGILLKMAEGWGAFIGASISRQSLKYFWLEECIEGENLFCAGTYKRILSHIAAPALK RAVLRLSSSAKSIHYQMARGGKVEVELDSQERLAFDEIVVTTPLGWLQRNPDAFNPPL PPRLTKAIRSIGYGCLEKVYVSFPSAFWLDDSRGRKVNGFIQWLAPEYASSTNPSGWH QEAIDLASLDTSEAHPTLLFYMFGEQSQHVVSKLRTLATPEDKTAFLRASFHPYYSRL PNYREESRQCQPLGFLATEWSSDEFAGFGSYCNFPVGLDKGDEDVEVMREGLPDQGIW FAGEHTAPFVALGTATGAYWSGEMVGKRIREAYSRSRAVA UV8b_07285 MSRRPPKGDYIETDTGNKVARRAILVGTQNIMLGGKTVIQPDVM IRGDLVRPAPPPASSTAVAIGRYCFLARGALLRPPGRVYKGAWAYTPLRLGDHVFVGS GSVVQAAAVGSHVRIGSGCAVGEFAIVKDYVRILDGAVVPPFMVIPSFSVVGGRPARV VGEVPEGGVDEFELRELYKTVGNSPVGG UV8b_07286 MAAAQAGPLPQVKLPSGPSPVTAEQRYWKSFKNQLLIPSPTSYP VTHISHNADNFVVTTGTRVQIYSTRTRKLLKTITRFGDIARSGDIRRDGKVVVAGEDS GRMQVFDVNTRAILKTWTEHKQPVWTTKFSPTDLTTLLSASDDRTVRLWDLPGDEATA TFAGHGDYVRCAGFMPSTMSGMVVSGSYDSTVKLWDPRTGGGSSAVMTFKHAAPVEDV LPLPSGTTVLAAAGSSVTVLDLVASRPLHMMTNHQKTVTSLSLASNGRRLVTGGLEGH VKVFETTGWNVVNSVKHQSPVLAVQVIASGDGPEAGDRHLAVGMQSGVLSIRTRLTGP EAQREREREKEMAALVGGTIASHDARTSKRKRRIEAASRLDAAGEGADAAIADEPRAG RKRERPWQKDLRHARYGRALDQVLDRASPEHSSANVLTLLLALRHRSAMRDALEHRDG AGVAPVLQWVCGHIRDPRYVSVCVEAGLHLLDLYAEYVGESAELLEGFMTLWRRVRAE VKVAHWACATGGMLESMMMGVV UV8b_07287 MATKPKTFALNGGHTAGIFADMSVDGPIIGTLVAVVDRAKNLPN RKTIGKQDPYCAARLGKEAKKTTTDLRGGQTPKWDQELRFTVHDSPDYYQLKMSVFND DKKTDLIGEAWIDLKTIIVPGGGQSDMWQGLTCRGKYAGEIRVEITFYDSRPRPDKPA VAKQKQSVAAEQDGAASLQQRTPVKRRPLPSDPVTGEAAPATPPPPPPPAAAPLQQEH HHAQHHTPRSHAKPASHPAFIPSQSPLQSVEYNTPSPQGARQQPDRDHYGTHSPLSAQ RRGRRSRESLGTPSRFQDDRCFHQNRQASSPYQHQHQHQPDPSSAYGHGSGSPLSEAH ELPDDLVGAPSAFDYEASPPPPPPAHRSRQSSAGPEAPMRTPHDLSPPKSSTPMRNDV LKSEAHRHSISAYPGQPVFKQYDPASSTLTHTASRIDSPCESPSSRHQPRSPGYSPSY SPHHRSMQPTVEDAPDSPTANYRQNSSRPAAYQDDTGYNSASSVAAAQNVSRPWGVSG HYAGHAHSPQRDRRQYSDHGGHPSLVSPSSCVDYSTSSSQVSYNSQSGRPSRPSGHCQ AEPRQHRHSIGYEPAAAPLSLSPGADPAMPREFYEGAFPTSQYSNRYDNNHGGQQMIA TRGRHRSEDPPSYSSSPHAYGSQPQDPRSVVTYSGRSDPQQARPRNSPSPNPNPNPQH TIRRKSVSPAPPPSENRRLSDIPFGPDSYDAFNPIMSSPGAGNSREEVADANAKIITH DGREVDPSDHLPVESWAPEPDPKPKQASPEPRARPSLSGAQPMPPSGRRPLRIATRPE SMPALPHQHQHQHQHQQPPSYMSSESPRTPQTGGRNRLQKKMPRGSPGHSASASASAS ASSPLAPISPDNYQERQGQYTPTRGPRRGSSYDYPNENYAPHYAHHGAGPAVPAKVPL PLMSGANGGADASLMEEMQRIDIGAGRSRRRGGY UV8b_07288 MVHADASRFADGVTKSDAYEQVLLQAEGLMTDQRNWVCNLANAA SLLWHAYRSLPSPSNAVNWAGFYVVDPSAANERLILGPFQGKVACQTIAFGRGVCGAA ASTAETQLVSDVGAFPGHVACDSDSRSEIVVPVLADRGGGGVKSVVAVIDVDCAVVGG FDDLDRTYLEKLAEMLGKGCDW UV8b_07289 MKAPVPWRQRAASHSISSISISSISISSISISSISRSTFLTRAS FAAPAASAARPQRRRAHDAPPSRRGQRFPTPKSPLLNPPASTRPPPLDVPARGDAPST FRYLLQLGKGYLRFYKDGLRAVLANRRLLRDKLARTPSEERPSVFWRRPPPRVPRTFS RADWVLLWRVRHDLLRLPLFGLMLVVIGEFTALAVVYVDGVVPHTCRVPRQLRRGLER AEQRRRAAFDDLEARHPHGVLSPRVGPAVARRHVLRSLHLSGRLWDRLGAAAPHALLW RLKGRPRMAFLDGDDRGLIEDGGPTGLEPEELRIACAERGIDVLGRREAELRTWLGDW LRLTAAEDAAERRRRVAVLLMTRREHWPLQRDFAVPDWEL UV8b_07290 MGFANAKFPASTAFDLIDAAMGASDSERRAAIKQANGVFAFTLK NKAGETESWHIDLKDAGRVGNGTGAKPSVTLLLSDEDFGKLVEGKANAQRLFMSGKLK IKGDIMKATKLDPILKKSKAQANL UV8b_07291 MPPCLTSPQHQNKTLSKAKGFRLQASDQPAQRVDVLGMPSRLLQ AWFPWTQSPVICNGPMLGAATPKLAAEVTKAGGIGFLPSVYDLTPSSAHLATLASDLQ ESRALLGTAPSGTARVGVSFITGHQSISRFDETALPILAEHRPAAVWLFAPDGQVKPH ASIIRSLRALSPAPPRVFVQVGNVGAAVEALRDGADALVCQGVDAGGHQFRRGMGVVS FVPEVRALLERGGEFEASEVCVLAAGGIATERGVAAALALGADGVVMGTRFAVAVESS YPDFRKQIVLDTVDGGTRTLKSPFNDQVSSLTLWGPLYDGRAVVSCIHERFLDGATVQ DLQTSLKEDYSSEEAAKLVKTWAGTGVGLVKKAMPAGEIVVEVREGAKQRIRMLATEL UV8b_07292 MSKPADMPPMTYRFLGRSGLQVSSVSLGGWLTYGGHVDREGTFA CMKAAYDCGVNFFDCAEGYAGGESEIVMGEAIKKFGWKRNDIVVSTKIYWGQANGENA VNNTGLSRKHIVEGVKASLGRMDLEYVDVIFAHRPDRHTPIEETVRAFNHVIDTGKAF YWGTSDWSADEIAQAWRYADKLGLVGPVTEQPAYNMLQREKVEAEFAHLYRETGLGLT VWSPLRQGILSGKYRDGIPEDSRFAQTSVDFIQGYWKRTSQDTWDGIVAQVNRLEPVA DRLNMKLSTLALAWVLKNPNVSTAITGASKVEQVFENCRAVAAVDQLTPEVMDEIDRV LNNKPPAVTMRF UV8b_07293 MHRGRPHCRARPCNACLASPHDPSSPPLSSVETGRLNLVEASNP QADDISPRHAAEYSAMIKLSSES UV8b_07294 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCGR RFTIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPNYKTANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAIKDLCEGFPEEFSKYLTYVRNLGFEDTPDYDYLR ELFTQALKNTGEVEDGEYDWMKTSKDSGKGWDAMKSHGAGYLHNPNVRPGPSQMELHS GHRPGNTTNSHQQQAQNLTVGRLNAAQPPPPSPIKQMGSKRDRPSGPGAIPAQRASGV GGIRGDLGTSAGSTQAQFQNSTQNLPPPRGQQQQQQQQQQQQQSPMVAAGQPSARPAE PQPSGFQKFMKTICCG UV8b_07295 MEVSYGCEDSAKPQQPALQSGCGCQTHRQSDPSVQQQTHMHIAQ LLPKSLPHGHTGHGFLKYTPVPCRSAGNVLHETPNKCIAGFCLYAKVRGYLNQRLQPS RLQASLNSAQKSPHDLVFH UV8b_07296 MKAFLSLLSLASAAQALYFYVDASTPKCFFEDLPKDTLVVGHYS AEEYDDRIHAWQQHTGLNIYISVDEVFDNDHRVVSQRGSSSGRFTFTAHESGDHKICF TPSSTSGRSSWLSAHGPNGGIKMKLDLVIGESGQIQSSDKDKMEDIAGRVKDLNNRLI DIRREQVFQREREADFRDQSETTNARVIRWIIIQLIVIGLTCAWQLSHLRSFFIKQKL T UV8b_07297 MTSSDLRDVLNLGDSSSGPRPSKKQKTSASRPSLKGLAREVLNL GGDNPIAIVPQASHFKKRRLASRKPTARWELRPFRNSGRQDASLILRHWRRKEAKEPP AGLAGQQHGQQAGGAGATEPEEAVEDSTFAKFNVKVQVPRYSADQYRVSLENDDWTKE ETDYLMDMASDFDLRWTIIWDRYEWTPAATNGETDADGDEGKAIVPAARPRSMEDLKA RYYEVAAKMMAAHKPVQYMTQQEYSLHELMAQFNPKQEKLRKEFAMTTLSRSKEEARE EESLLLETKRILARSERFNEERRELYNRLDYPRAEADISSFKSSAGLQTLLQNLMSVD KSKKRKSILGTEGASTPAAAAAAAAAAATAAQSAAAAAPAEFGGKRDSNAASNAATRE STAATSTPTAASKKAQQLEKQHQHQPLVRRKLSSHEEAVYGVTHHDRLGSGPTFRTER INKLFSHKSNQQQLRINNTLNELDVPAKLAMPTAATTLQYEQLLAAVNSLLDARKVSD KFDAEIKMEQAKKADRERTQAPGPTLSAGSSRKPAADKSQPSETSSAAEARAPAGLAR PEAQPAAAAAAAAAANRSEGPRDRPRPAPRGGEEEAGPAQGDSCAKPAGNGPTAGKPA EDDGTNGKGAPRPGGSSGGHKRSASVLSAVSDKSAKRQKKQ UV8b_07298 MYLVDNHSHLPQVQHLASASFAAGHLNPETTWTMGRRTRHFKVN LLRAPKHAPPAPAPAPAPATAPNDSLLALDGCTLKAGRHNGESQQLRVDARPSKPVTA HPIHGHGWLANPSNIDPRGHFVARTRPSCSHP UV8b_07299 MSAFTALNGGSPKTHDPPPENAAKALLPSDERNQIAHKSYGRRS PETVSSHRDGWQGRNSERKQLPSGGFPDGESSQKRKRSSSTEPRCEGTSTRERTPEPA LAGSQNDAREVYGPLQRESMHFGEATREKDSAWPTQAPPRVERNGYESQQSSATSPRG QIEEQIGDAIQRAAGQAIRRAAEQADHSDYTNNSPDAEERPGAAFGSPYGSEQIPESI LQHDPKKRKRNFSNRTKTGCLTCRKRKKKCDEQKPECSNCIRGGFLCAGYPPQRGAGW PKQDAKASAVPLESKDPSYVPPGAYGMPQHGAYVPTPPPPPPPPPPVKREPLPHYRGQ VLRIDPPQGRPLAVTEDDRPTVSTISTMTSASATSPENKLSAMAYTPGNSNAFPTPVS ANPQPPPFGERMSKEYQRVPPLHDLSRGSEPETPHSSNHLPQINILHPTRTSSPTPPH PPPPPPPPPQQPPASSSSSSNAQVAAQLVLSHTPYPQRRTQKEEMLSGRHFYPFDKEL CLERERCAAACWRFNNLTTPPTNGVSPEERARLFLEILQPRDPVRISPAEASPVTNVG RVGRHVAVETPFTCDYGYNISIGHHVVVGRNCTINDVCEVRIGDNCVIGPNVSLFTAS LPIDPKKRQGGQSPQFGRGITIEQDCWIGGGAMILPGRTIGKGSTVGAGSIVTKDVPP FTVVAGNPARVLRGIGIAP UV8b_07300 MRATCLCRASAAWRSAPHGPQPSLSRSRRRLLSTSPATCPPESS ARAMPSRFRDDAGPDAEFAYRFAAAAAAAATATGSCRLVSTPAALPQPSSHAAAGEAS YSPQHAGTPLLPSRGEAGPAAQRKRNLAGARFRGKQSPDRAATTGKESPGAALRRKAM PATQRTMTRPRARVALIDKWHAGQRWCRRRRRRPPGNVRADVSKRFQWGYLRHQSSGT ELLSVRKAFNAWKARFRKLASCRERPRPWKRNAEWLLEHAGVAGMRAAWRGLDEQSRR KQWPLVMLSAMSLDPGRAVGVLDATLDPWPPGYAINDVLLFAARHLRQREAGGAARER ALRAEETMDVLRRIVSGCPAGHVPFSQRVFGLFAKALPGAQARELYELLRASRYKLHA NTLIQFASNLAAEPAHKDAALGILKGLSDAGADLSEARPSSVITSLLHCKAPEGGEAE QQQPSFTVDDAKAALEYFIERGFTLNVLSSTAFLDSLCQHGEAEEAIRLALLFSESGV RLDPKAWRTLFRGAKASLSVDAVARAVDVAKLADVPLVDVLDNTLHSVFYFAEAETRE RGASLQQRRGAALFATLLGLYAKRFDLEPLQWWLPDSLPFLLGSSGGGGGGGGDPAAH QEEEGLAPSATAGGRPPRPAWDFERTIVPLADRVFSAGTAKLQPSLTSVAILLRAYIR GLQQPYDLLAYYGFFKSRLEDQARNPSLATASQLVRNQGTLIHDTLILAMTEHRGLTR PALAVLGDMLKDQLRPGPGPAPAPARVHPAPTVLTFSILLRGLMHNREAALAEQVLQV MRENGLQPNLVTWNTLTKGYASMQDLVRTVGALQDMEAAGLQPDIYTFRAFGKLRNQG RALEMMEHMIDDNMRKMEQDAGVS UV8b_07301 MSSLNTLSLQAEARKSRLAALRSLKRKQPADEGAPSDDPAGQPR PEEPDVARSHLSGRNYDPETRGPKLGFDQAPSENLEQPTLEEQAAEVEAKIRQQAAED AQDDKGLDLFKLQPKKPNWDLKRNLEAKMQMLNVRTDNAVARLVRERITGAQKAATGS TAADQDQGEEGAVGMDGVALVEGLRVREREEKEEESRERQEEDALVA UV8b_07302 MPMPMPMPVVDIVYGAPELTISPRHYSYECKASSQERPYVSRPS RSQQLRNPQLVPKLTSDTLNLLEKKQGVADEILAKQEAERARQRDLDGRDEEDAPPSP KRRRSLSSGRTSTISSSASRDRPSPRRGLGLEFTGVAGNRMTPNGCEGRAATLKMLQR PGSAYPTHHSRLNGRRKGKKSVSTQRGGVAMTAQGRKTRDHRHLVSAA UV8b_07303 MTTAQIPADISKPSFAKVAASVTKESASASLGAKTDPKSASKAV IADGSSNFESRRPTAVRPITIAAVASSLNHLSLSSKVPSLALSGRGTVPDHHRSNLSK ESGSDDSQKCDSSSELDTKPPSLDGKSITSGTTFALDEKESLRPDDSASVKAAAEDDD AFSIRGSLVAGSRMSSDIVLRARTVAQGDLAERRAAQMATAPLPHGILTPPSASSERG PPLSAATPLSVEESSDALNVIYRQAPDEKLLDALASPRDRYFLLRLEKEVIDFVQDSK EPYMDLPPSNSFCRMLTHKLADYYHMTHSYEPHIGSVRIFRTPFCRVPPSLADMAPQP NVSTSSTPPPAVLPRKIMRRGQDGDGLMSGNASKPTSESGSELKDGNKLAASQKLSRE EREEMYKLARERIFGNSEDSIPENDGGAGMSRTSSVSASNRANANKRGKSGRQRRDDS DSFDSRNQYTPYWGPQQQTWVPQSQGQFIPSAPGQFNAQPQALCPPHAAPGYTQQTPP AYANVAVMPAPASGYATIYGIPQQYPPPPPPPPQPQPQPQPQLPPPPPPQRYPPGGGS PMTAYGTPAAAQPQAWAQPGYNQPTYPARGAAPPSGPGHAGIPYPYGQLPVNVNPHDP KSQHPIPGSYNRNHAFNPKTQSFVPGGNGISAVPPPQPPFTAPGSHHGSPQIGTPHLA YGAYPPSQPYGGGYVMARQGSSSSTPGYHTVPHVPSPHMQQPQLPSMTALPGLQSIPH APPPPHGPPPHQQQQQQQQQQQQQHLPQIPPGHIPGRPPVPASLGSSQMFTHLPTYGN PATLPQKPATGI UV8b_07304 MAAAATEHFIHLARPLTHTNAGLQSSIAPLTVNIQPQAVFSILD HAVRRDTGENAQSTRVIGALVGTRSEDGTDVEVRSCFAIPHTEEEDQVEVDVEYQKNM LALTLKASPRETLLGWYTTSPELNSFSALIQNFFASAETGTAPHPAVHMTISTEPGED IQTRCYISAPVAVNAERAAESCLFIQVPHKILYGDAERSALEAVASAQDNENRAAPLV SDIESLGRSVEHTLGLLDRVSEWINGVLDEDEEPNTALGQYLMNALSLTPKVDASQIE HDFNNHIQDVLMVSYLANTIRTQIDLSQRLATANLISNDKDGDKGDGDKGQRGNKRGG RGGARSGGQQREQREPRELREPREPREPTE UV8b_07305 MGSRGRGGHFRGKGGRGGRGARGRGRGGSNRWGPPTRRFDNARL AEKEEEAGSEPDVDDSQDEGSAPAEEDSGSDDEDDAPAVRPYMALLQSFKESSGPKAK RRKLEHDQSPAAAAAASAAAAASAAGDGRDESMDEASGEEPRDIDEADEAEDAEDQEE QLEDEPDSEDEQLSDPFDAHFAHPDDAEVGRAITAIKKEEWVTNRALVQSLWASTMSA GSGSKLGTPAPCGYEGLRLKQKLQEAALKKMDKLDATQQAILPWLFEYKDILYCDRTV KNSTTLRQAVCLHALNHVFKTRDRVIKNNHRLAKEGEDAELELRDQGFTRPKVLFLLP TRNSCAKIVGIIRDLCDPDQQENRKRFEDSYIDEENSFGHDRPDDFKHLFEGNDDDMF RLGFKFTRKTIKYFSQFYSSDILFASPLGLRMAIGSEEDKEKKKKKKKPDCDFLSSVE MVVVDQADALLMQNWEHVEYIFEHLNLQPKDAHGCDFSRLRSWYLEDWAKFFRQTVVL SAFNTPELAELQRRHCHNWAGKVRLQPEYPGVIQQLGVKARQTFSRFQSSSVDKDPDA RFAYFVSAVVPTLVKRARDATGTLLFIPSYLDFVRVRNYFANSATMSSVTFGAVSEYT DVPDASRARSHFLCGRHRVLLYTERAHHFRRYQLRGVERVVFYGLPDNHVFYREVAGD FLSRSEQDLKVEPGRGAVRVMFSKYDVMKLERIVGSRRVAKMIQERGDTFDFL UV8b_07306 MAGGLVKYRHLSRNSSARKALLRGLVTQLVQYEHIQTTHAKAKE AQRLAEKLITLAKKNNEPCRRSAQGILYTPHILLPKLFGELRNRYLAREGGYTRVTRT EPKNAYDQGESAVLEFVDGPKDSRFMMTAKAIARDRVLGREPTPLTMKNARKVTQFRG EQDLEDMVRRFVALQTTEGGAAGSSDSPMEAKAAAAADEAKG UV8b_07307 MGFATGFTGGVALTLSVAYLSVLAHQRNREHQGHSLRAQALAVQ SLIDPVPPPLPPSRSQVAAAKRAEAVEVAKERWNHEVENAVRWVQRTDWHDVREGLED RVAALWSRAFGQAAHDGAAEAVGSQLRTGASKAAAEARDAAGRIASGSRRTVESAAAE AENLEAKVQDKVLQARMAAWRDAQRAENQALRKASEAEDAVGAALHAVGDKAAEVAGK VGSAVGLSGGRAAPAAAAAAAAAGRGDVSAANAVRKALDQRFEKRGVDKRTAAEVLTQ RYTPMDRRDNTVLRGL UV8b_07308 MLWAFKHHGKRPSDEVYVNVQAYEFEMGRLLYADPPYEHAWDDE ELLGNAMDAVCSKKWDSPCMACGYTPGTGNGK UV8b_07309 MSFSSLVQDLSLRDSHDSRRPGLAPPSVSTLDDRNSHLSRAVSY TSTAATSVSISGDIGSQLHGGYFHPLARSWQAERQLTKSMFIYPLFVTDRTDDMNLVP SLPGQYQLGLGKLVSFLEPLVRKGLRSVILFGVPMKPGTKDALGSAADDPEGPVIQAI RLIRRRFPQMYICADVCLCEYTSHGHCGILRDDGSLNNQLSVDRISDVAVAYARAGAH CVAPSDMNDGRIRAIKLKLIEEGIAHKTTLMSYSAKFSGCLYGPFRDAAGSAPSFGDR KCYQLPPSGRGLARRAIARDISEGADIIMVKPAGQYLDIISDAKDLGKDLPIAAYQVS GEFSMIHAAAKAGVFDLRTAAFEATESILRAGATIVVSYFTPQFLDWLEN UV8b_07310 MTMGFDGNSAPIIFKEEKRGLLEDRSTSDSENESIVNEVESQTR ITAKISSLSWDTSAVSASWHRLCSVPWRVIFIRLLIFLAPSFLQGRRARDQICPPKVS PTAYLDGMRGLAALFVFFCHYFYQAFTIAKSWGTGEDNYHILKLPFLRLWYQGPPAVC VFFIISGYALSYRPLLLMRRGAFSDFSANMTSLTYRRGIRLYLPSAISTLMIVFLLRI GAYEWTREFAQDRTYMKNIIEPHPARMESLYAQLRDWLLHMYRFVHVFDWDKFGGSTS YDVHLWTIPVEFRCSLYLFLTIIGTARLRTILRFLTVGGIMWFTYRHSRWELCLFFCG MLLAEMDHIRGVHASAAVLPQSEESPSRTCNWLEGLCWTATSVLGLYLMSQPDDGGEI APGWSYLSSLIPAWWAEEKYRYWQSCGAVLFVLAVGHSGTWQRFFNLPVVQYLGKISY SLYLVHGPAMHTVGYLWERMAYGVSGVQGYWYNAGFALGAVFCVPSVIWWADVFWRAV DMPSVKLAKWVEGKSNAKH UV8b_07311 MPSSPPLRWGALLSVATAIVFAFVLNAHQGPSGPGSGDAAVYCY AGVRTLDRNRPAANCFSVANGVFTRVWRDAAIAHDDARSSDARSNDGEHPTQHDGHVI PGLWDGHGHLMAWGEFLHSVDLFDAQDLSEVRKRLASYLKDNPDAGKKDNWLRGAGWD QDLYGRMPTAGDLELDPALKGAHVMLDRNDGHCIWVSKAVLDMLPGNMTDVPGGQIIR DPGPGVFCDAAMGPVTDLWPPATKKTRTTFVRDAMRDLNRVGLVGVHDASTPPAEVQL YSELADTDDWTVRVYGMLECSDQNQYCPGSATKIARDDGRFWVQSVKLFADGALGSWG SALLEPYADRKDKTGTLLINATALTDLAKLWAQAGFQVNVHAIGDRANRQVVDAFVAA LRHVCPGATTDRGLRACQARRRFRIEHAQIVHPDDQARMHRVGLIPSLQPTHATDDMR FALARLGARRTRHSAYRMRSYLDLLPILGSDFPVEPPNPLHGIYAAVTRKSPRTGLGL ANSTLGWHADEALTLDQAVWGFTGAPARGAFLEHRAGVIKPGAFADWLVLDEPLERVD IEDLRTLTVRETWVAGKRVYSRRRQEEEEEKRKKAARQP UV8b_07312 MFGSRRHHHTATTTTTPPRRGFFARRKPVHHHKRKPSIGDKVSG ALMKLRGSLTRRPGLKAAGTRRMHGTDGRGSHRC UV8b_07313 MSSTPVTCSRKRSLLRTFGSLRRHRDLGPYSPSTAAQPQGGCVR LSSLHAVGILIQEAPPAVTPTKVNHQRRQANRPSSPGLPPGAAGEAGCVFKSDPGSYG CSATPRTNVDTTLTRPPTCPDQDVGRPLISPFSMHPVLLLHSGQTDENCVSSTTHRSS LSVPRLNTMTRHSRRYTTNSLQWERTGDLLKLVDTPIMTSVDSSTRENGLPVGDDGHP KTGTPEKPVAQRRLFAPSPEHSSRVASAEEIKDKVQEMLAAIDALKPSKSPAVINGSR LLPRITQSKVFNKVSHVFGRICHKSTEIGVRVSAEDSKPQTDEMKAPLTRPTGAANAS QASVSSSEIRRNEEDNLELKKEKLEKMIGSHLFRGSADSRECPVLNRQPHGAILEGSV ASQNHAGAGKHGFQDDNMASSAPSPNPFDAEDDFESNLEEGILHVSPAGSSTPRIRIN RASESTLDDVSMDDDMSASSVGQVNLARIVKLDKQCPESQQVRRVNFRSAVDEQRAGR DVGWVKKHPSPSKGDLEKLELALRRYQLSREVARHDTTDELAANDTLASEVLAVRDQN KKMQTLGQGGAHSKSG UV8b_07314 MFLRTALGLRSLPARGGTAQLTSSSAWIRMWRRMLGTETGQTLV TGLKPGQRQHQYTRHLRNLRPLNRATCTRTGLFFARTHPRGFHFSAWRPDTMQRGTAT QEKLTLSQRLKRLSKEYGWSAVGVYLALSVLDFPFCFLLVRIVGTDTIGKVEHYVVSG IKQFIPEAVRQKWHEYWRSLKNAEVNTLGDDNITDKVEMASWGVKEAQEYHKEEASLG TQLALAYAIHKSFIFFRVPLTAAVTPKVVKVLRSWGWNIGKRASR UV8b_07315 MPGQLRAAARFIRQTPLDSEAAEHEYETIEDCLPSQGGGTYSIS GDNHNYCLPILLCIVVQPNVVAT UV8b_07316 MLSVASQNTHHLHLNHHQPQPQPQPRRYHSMPHREDTPSPGPVS VDTLTPGKTNDAAVAQALEIARESIDGASDSTVSKILENALSRIWSKVQDQPSSYVMS RDEFAVFNFFQHRFIGDTDAVAARKRFWDNCRA UV8b_07317 MYQGFQHINKGLRACVSKLRSPSFWRGSSQRQYGVVGSGRYPTS KLVGTSSKEEAGAQARRVVGPTRLSQKSPGRYCRGCKSGLRPKGKSRIRGWLLEWSAD ESLNVPHRRWPRQPAKVQAQWRGGKKKSV UV8b_07318 MRNRLWIACLVAPALSTRSVWHGELVRRAVDEAHQQELLIASRN AAGYPATPLQCFQVASPVLAPDGLVDGNKNLGNPRPRQPESCTVTLMDHVFANSYGHP FVADYAPPSPATCRVASHYNRVILNLTVVSEGRQFDRLATMWLGDAEVWRMSTAEPKA HPGIAWTYWKDMTNYLALWRQPQKLIFDLGNLINHKYTGSFNATLAATYIRDEDLSGA AGRPADHVLPISAGRGSSSSQGSAWTYPEQKAEASVLLPRNTRRAVASVAATGQADEE FWWTNVPESGVDTFNGTTLLGKGSFREVRLFIDGQIAGLSWPYPVVFTGGVAPPLHRP MVGPQAFDLREHEIDVTPWLGVLCDGSSHVFSLEVVGQDDLVANKYWVLSGKIFVWLD DGPGHVTSGRPPRVLVSAPDYEPRVVQVANSSLSYRQTTRRVLQAESRITRSNGRAVT ASWTQEFAVDARGSLADSGDTQNVTASYRGADRALEDGSTVYHAAYSYPTLARVKQTA PDGRYSLTVRADLTQGMDMTVLGRTAFPNGLEPFAPLLPVGADGSSLATSKTSSAFYW QTEGGNQTGGHGSSHQRYRLAYKQLAGRQGWSVADDDDDDDDSKVVYRRDVSVVNETT THDSVWVWGAKRPVVEVARPPAAQGDSPNGFAVRIMGGKGRKGREPGHASAAGDHANG WSAAADPSKEL UV8b_07319 MLPLDVLLVLFTFINAIVLAAKLRAPNCGNIHPADLPGGWIGYG SRDTEKRCREIQACTAFMWFLWATASAALFLTVREARAGGLGRSIRSAV UV8b_07320 MRCRGKLVDESAGDHGVHCSSKLQIQASRGRCPPEQSHHGNASK MQPALALLMVCSVDMNSPIFPYVTIPAARPINSIKPIHALFILPYPVIDVAYPVAFSS ESH UV8b_07321 MPAMPADSPVELDYSSDIDSLPSSDTYSDDDADSDAQGEWDRSL EQLQLLLTMMIVPWMGKYFGRRFAYWSWSRYMEWMHNVDVRWTSKAAFRAAGIAETAA TL UV8b_07322 MTINPDEQVEGQSRKSVAFTDQKLVVDADGSVTMVAATEDPKDT ALSHTPRTPPLSAALGAFTDAAAPAAGDDAPPAAEDGGLDLSLLKKKKKKKVKAKEAG EEDDFAAKLKQLEVKDAEEAAAAAAAAAVAATEHEHESGDMDAGTGIWAHDETKGIGY SLLLQRFFHQLSQKNPDHTLSGTKSFKIPPPQCMREGNRKTVFANIAEICKRMKRTED HLTAYLFAELGTNGSVDGSRRLVIKGRFQQKQIEGMVRKYIIEYVTCKTCKSPDTELS KGENRLYFVTCNNCGSRRSVTAIKTGFSAQVGKRKKMKA UV8b_07323 MFNPSVADGGPATATRSRRRQRPKSTDSSVQQPKAKRQRLPLTE QTFVNPDAQPEMVEVAKADTIATLEPSKDVVSSDHPHHPVRKESNVRAKKSKHGDRAA NKGDGSLVLTSTSAFTVSKLPALPDRIRTDWSGAQKSDIFAASGYALHLTATHAVIWA YNSTSQSPETFTFTLPSASNPTDPLPVACLVSPPASSTEPGLVVVMPGSGKVVFWESI SSAATFAFIKKDRSGVEHFISGMSSGEKVIAITNAESAGFILTLNTGRLAYMSVRDGH GRPAISVQFLRTKLSPTSSSGGLLGSIRHAFSHLSLRGDVAAVRADRSSRVGERNIVA LTSKGKLQAWRIHRGGHNELIGEADMRDRLVSALQENDPFSEDMPADSFEALDLTYVP KGLEPKYLQLSRLSDAIATDDALLQHLLLLVCLTRRSVSRYALAEVILTPRECQVGMI RPITSYSTPPAASDSSTTVRPRLHLPRPALVAFVVFDRAAVVASVAIPPESPDSQLQA DNHILAPSFEDVVDFRQDNVHEVVGSGYEEVASTGHEENRSLRPKNKNPAVVLMVRGA GVMRIVTTDVDKFASEDPPQVSAKSKLEQAVFFGAKKDNPLLFGKRQDIEFSNDQIGE AALRVSQEILSSTTAFLSTLPASLEDNLCARSNALERLILHLDMIGADLDRKTRWNLL FNAEKMHVAGLLWKRHEAFTAARPVDDKKSLIGLIVEFIHQDQKTNPVASSGEVDRVR HWFVNDVFRLEIFVAWAYEVIKVLYKDNLLDDVKVTAMMSEAMEVNIAAQVGAHEFRR RNLSLYGLGDEQLRMGILRDGHYKGMAEPWTGCAYVANNIKRLSDLCDQWYKKHEQIR DGCKEPNLPDPAIIRKIFDELPVLTDSMLTSVLEHARWGMANSDSNGSLADEYAKTYD ADRHDKPIALARAGKWEQASAIAEKHGCLSALAVILLEHIEILETGLGEPGLSAAQVK SLKNLRQAKKTQLEDSFSRYGEPFAFPLYEGLLEKHGVEAVLEFDLDTLGFKTSFLRS RPELARISWIHDVEQERDVDHAANTLVELALKREDQVWKKKIELSLGKLALLAEAEAE AEAGSKDDARSLKVKSDDARREERLRLVDNELIMVRIQDQLHGQVAPSTHAAVDRAAA LHFAVEAHSSNIPRRQRALLRLFAEGMEQLLDHQALSPMDLIDMLTLVSLKPEARDEI ANPFWMALKVANNGCRPDEVKLAKRLVWRRLYVRDDWAKMNDTQLKDDREVVEQLAET ELFAMLTDCIRYQDPRDPFRPMQPHEALGVFTEHLDRRFQGFAESERSKLLDAMNWED KLLSQHMDKNRLADWVRATFDAAQIEVENTADEATRAGAAADQRASSLFGGGGGVTQA LPAHAQS UV8b_07324 MRRFSTAVAITDPLVKYQTLVKTGVYSPDPAQHRLARHLRDVYL RLKDYSPPVGCLDRLDRVARLAEPQLPDDAEAGSILARRRHSIWGNPLFKHLIPPAAD RDRLALVRRLNNHELAIDIDSPRGLFLSGEVGTGKSMLLDLLADGLPTRRKRRWHFDA FMLYVLSQLEKHRQSCSSGPVGRDAEFSLVWMAKKLVDETPILFLDEFQLPDRAASKI LSHLFVAFFQLGGVLVASSNRVPEELQKATGIDYYTTGPSKGLMSKLFGSRSRCKGEL CGQSSDFANFLEVLKARCDFWQMEGATDWRRKEEMSRKATAPGVTAADTTAPDMTAAP AVLASGGEEAGGADGDDGGRRMLPHNYYLHQDGDATLAFDERVRHVVGWRTPGPIPWQ SCSLTVYGRRVVTPRHYNGSVCWTFGELVEALGPADYITMASSYHTFVVDRVPVLTVV QKNEARRFITLLDALYQARCKLLVRASGPPDGLFFPESRAPPRHPGNEDGRDATYSET MAEVYQDQTSPFRPNVSCYDAGPPASRYDADQDAGFGLGRKEGVDFGNAGAFTGEDER FAYKRAVSRLWELCSAAWHARTGDWWQPLPAEARHWEGGAVSRRPAEPGTPAAKADAS ESMGGSTETHVAGLSRWKVDSLCKQSGHQ UV8b_07325 MTTAIPSIACLGLIGRNNNPLHMSIFPSHDAASNSFSPLRTPLQ FSLLLSSTIDVFDLRAKANAAAGVGLSGDTGLLHAVDDRLAAYGYETNTGVRMVCVVD MRGGRVDARAAGLGAGLRDVELKPVFRAMQSAYVRLLQNPFYEPDEHAPLGGAGGKPI RSRRFDGDMRRIGEAWTPGVTSL UV8b_07326 MKRLGSSAWGPRWRRASTTSSSSTITITTWTTRAHRHGELPLHP PHPPHPPNRHAETRGHGSRWASTSARRRRPQTAIFFPGQGTQKVGMLNPWLEAFPSTA TALVQEVDHYAGFRLSDVIRDGPSKVLTQTTNAQPAIMATSIVILKILEREFGFRVAE QFDVTLGHSLGEFAALVAGGYMSFQDGLYLVERRAAAMSEATRRAVQEHGGQYGMVAV VTEPEYLRGLVAAIRDFVGHSSAGSKGDSSEDVPPIEQVLIANVNSKNQIVLSGNVER IATLMAHVRQFLGHDPRAVRLRSDCPFHSPIMKPAVAVMRGLLAGRSRVPGRERDDVV RFPGHLPCVSNVTARPFRSKDQLKELLARGCMETVRWWDSIRYLDQQEKVRRWVGIGP GKVGRNLVGKEVGMRGKDSVKGGGVWAITDPADIEDVLRGLEETLGIADDE UV8b_07327 MNGVIEALYVYDDKRNSVLSHAYAGRPLSATHLLPLYLEHPAPR PHLIHLANTSPPTLVFSLTHANLLFLATSSTEIEPLLVLEFLHRVVDAFEDFIGAPLL AAKIENNYDVVAQLLAEMCDAGIISTTEPNALRENVEMEGWMGKLLGSISLPGKSSLS SNFSNSNTPALAAPPNTPALPWRRANVRHTSNELYADIVETLSVTLAPSGRPLAAFAN GSIAFTAKVSGVPDVTLNLGSASGKHNVGGIMELPVFHPCVRLNRWKERPGELSFIPP DGRFILAGYEVDLLPFAGGGKGADSLGASNLRLPVNLEMRTGLGPAGSEFEVRLQVNK ASSASGQFARGIGSGRTGSPGAPLLEQLTVTIPLPEDVRNLSDMRPSRGDASFSPGER VLEWHVPSKELSGPVSHFGLRCTVAGSVGDDEEDRFDPSGFAFSNDYSYSEPYQSSPA EGNPTRTDGGGGGGSSSNNNSNNNSSGNGSGSEQDAKRAAQNKILMPTSASVSFSVKG WLASGLKVDSIVMDARKSRGLGEGVKPYKGVKYLTVSKGGVEIRC UV8b_07328 MAADKMPTVHSLDKPEDLRRLLRQDRGQDCLSCKVVGSGVFFGL AAYSYFSGMAQLERRQAAVLQSRSVFGVRSRRLGIAAISLGFVWMGMWRAFR UV8b_07329 MPITILPPRPAAPRPPPSLATSSDSDSDGGVDLQGDDAPMRMRT RASKRRRASDDHLADEILTPGTVITSNPQWMRGHGTYVLPATQAITSSLAGTLTKTNK LLSVRPLRARYTPEIGDLVVGRIAEVQAKRWRVDVAASQLAVLQISAINLPGGILRKR TETDELQIRSFFAEGDLVVAEVQQLHQDGAASLHTRSLKYGKLRNGVFVSVSGTGGGG GVVRSKRQVWTMDAANGAAQVDVLLGVNGYIWISKHVESEAAAENPGVNRMDESVSSR VYSSQNDYIDVPTRREIARFRSVVLALVENGLRVDEDTVTRGYLEAVEMAKESPDDDL YLGGERGSRLAAILKGR UV8b_07330 MAAHLKSGPIPPFEATPLDEITAKLELLRNTFRTNKTKDIKFRL RQIRKLYWGMVDYAPLIEEALMKDMGKSKFEAHLTEMDWVKNEAINVANKLTTWAKDE SIVDLPIMYWPMRPRIRNEPLGQILMIGSFNYPFQLNMTPLIGAIAAGNCAVVKPSEL SPHSAMIIKKIMDEYLDTDCYFCVNGGIEETQHVLNHKFDKVMFTGGRKTGAIIATKA AQSLTPCLLELGGRNPAFITKNADVKLAARRLLWQKCLNAGQLCLSHNYVLIDRSVLD GFVAEINNQYRVFMPNGSKESDLSRIVNKSHFDRLIKMLSNTKGKVVMGGSSDAEKLW IEPTAVLVDSIHDSMMVEETFGPIWSILPFDDLDQAIEIANTVDPTPLALFTFGSDAE NKKVLDNVTSGGATINDAFFHATMNATPFGGVGGSGQGSYHGYYSFKAFSHQRVIAQV PKWAEMVLRVRYMPYSFSELDRYRMLNAPAPNFDRDGNVTTGLRSWL UV8b_07331 MASASQAARLCAFACRRASRTQHLPRAQQMARPDGAARRAFSTS PARRARQRAEREEDDNDEEDALQNLDLKTMDRDFAELATPKGLRQLDELAKSNGYGTI DEFLTSKLRETPGWAPEDRSLEEELLQDDIGEKPNKSSFWFDEDDPETNTEEHDEFDE DDITSMAHGKLEEVREMRHYARLAAWEMPLLAQFAKPFEPPKEDQVLRWRFTTYMGES HPAEKKVVVQFAPDDLKLTPVQAEKLKKLAGPRYDPETEFVKMSCESYEHQAQNRQHL LALVNDLVAAAKDPEDTFEDVPLDLRHRRVKAKPRFPREWRMTDQRRLELEEQRRQQA IGDLERAEKGLLVDGQKAIDGYLMQRVIEEQEQQARAAEMATAAKTRARR UV8b_07332 MESGGSKLDKHCLVTVGATVGFEQLTKQVLQPPFWEFLKSQQFT ALHVQCGPDITWARELYSNHKGHLPGGLALEIFDVTNNLMRDEMTLCQARPGRRLQGV VISHAGTGTILDAWKLGLALVVVPNTTLLNDHQTEMAQYLAKQGYATMSSASRLDLQE AVHKAALLWEENKTTRWPAHDPKRNGGGALRLWDIKPVGLEEVSQMSHD UV8b_07333 MADPSITLDRNFAAAPSTTRGQAVQLSADPKGQRIAYASGKSIF VRSIDSPAESAEYTGHVAVTTVARFSPNGVKIASGDANGLVHIWEPDNIDRKLGEFTI LAGRLNDVAWDGDSQRVVAVGNGKTTFGRCITADSGNSVGEISGHSKSVNAVAMKLQR PFRAATVGDDGNMVFYHGAPYKMNGKSNLHKGLVLGAAYSPDGSRLATVGGDKIIRLY DGTTGQHERDVGEGEHKGSIYALSWSHDGNKFATASADRTVRLWDAQAGAVIQSWEFG DGVSVRDQQLGVVIPHGRSDGLIISINLAGELIYMREGQKEPVRILQGHARSITALAD SSVRQGSCLWTGSFEGRVCHWDVEAGAARMVDGEPHKNQVVQITSLDGRVFTTSWDDT VKTADESAAAFVGGSIQLPAQPKGISASNGTVFVATVSSIAAYADGKLLRDTKLDYAP TCIAARGPFVAVGADGSSVKVYKAAPNGVLDEVKTLTAASGTTSALAFSRDASHLAAG NSVGKIYAYDTATWQVVADRWTAHTGRVTCIAWDEAGAHVASGSLDTHIYVWCLDKKL QGKRIQAASAHKEGVNGITWLRNDRIASAGTDATVKIWNVKHLP UV8b_07334 MTKSLALALLVAASVSAQTRTQTATGGDKTCAADYIVTQCLQSE SNKLAACSATDYQCLCYASQAVATCYNNCPDDPRAPQATQNMKGACLNASLYATTPSR TASAAASAKSSTTAAAAATTEAAASTTVATGSATSTADRATKTNAAGSLAGNAAGMLA AVAGVVVAAL UV8b_07335 MFRFWNSDSRGSEPITETTLDSSRASQLPGATFPSSSPPTSRHP APKRSRDAVDGHDEATESESTKKRPKPQPNDPERSSQAGQPDAAQAGGSRIDRARDII THQVGLEVLLKHDELRLINQELAKCQIALEQLRRCHLIPYPQHCPTPDRMLDISSGKG PAAIARFGEPVPRWAPPFGVVDGPYARHYARWLIPDPSFDGEQAEWQLTPEFSRNRVS IAEGRTTRNSFTETAPVVKGRPVRSNAGQKLQALSNGYPQPKDKAGPCILKRSDGQTV KLVCLDCHRENFSSTQGFINHCRIAHKRDFKSHEEAAVQSGQPLDAPDSSRGGAVGGG AGDDKPAQSVGTPSSAFVHPFARRDMSEQEAYVALRSRIADSLKLYRQGKLPGVGSIP SGSTGAHASATKTKAAARFNPASETPHLSRLMQSRNFSGNLCDVVTDAKTKIALEDIA PEEEEESADTATPSDAPEHAAAPVRTSMVQRVPAHSGKVAAAAAAAADFPTRPTSSKS RVPPISLVPAPGSAVDVMLKRGSNGILSDEDEDMEAANLSPNTLVSNHAPSLVSDDGE YDDSDDGSSTSGSSDELDAEPVSDVAEITLEDDHDPRPLRRGSNGCLAGPVRLRKDDA KKQHVTFLGPVKNHSKGRRSRRK UV8b_07336 MAPQPKPAATDLKRKAPPGARRTQPPSKRPRSQAVAATPPKPPL LGPHEDMIAHLQPKCNVLPASVISSTQIRKRVTQVTAHLSAPSALPAVALLHARTGEV CKMITIVEQCKRLLGQQGKSWFQYNQMFQLPQAATQDVVEETVLEGEDEEGSSSDEGF EVMASRFADAVLPAPSKRIVRSMRIFLSGQAIPELGAKEGVTLQSSEREEKD UV8b_07337 MSSQPLLQTSQGKRIALPTRVEPKVFFANERTFLSWLNFTVILG ALAIGMLNFGDRVAFISAFLFTGVSMLAMLYALVTYHWRAKSIRMRGQAGFDDRFGPT FLAIILLLAVIVNFVLRITDHSNKRKHN UV8b_07338 MSTPPVSFTRLKQIATDVCTSAIGSAEFYDHAKTEQWNSTIINS MLKAVISEATPQGASTPSYKFACNSTIVQHLVPTSSLNKARGGTETRDEKPHISTSTE ATATDGKPHVGRRGMHSATGAYWDEKKDGMWTFKYDGGESKGMDVVIMLIWIGI UV8b_07339 MVQCLVDPAHSPSPTAGTLPLKEQADGYESSGKHDGAVMSREEH AQRMRELIMKRSVMRTTPRRGWSLSDYQGDQYQSMPHHHPHYASQVSRQAPIPENAVL QQPAGRPDSPASSASPSASSAAGGQPVEIPRRPKMPPPRRSHSVTDKEPEASIQPPLS ARVNLLELPSELHYALFDFLDPIDGACLGLAHPRLYSIHRRKNGKVPLCSRYSGPNDL EWAWRGAGTLVRREPCNAVSSSGKPLEQLRVRGQVYCRKCGISRCELHRHLKDWMGSA YEYCEIRKAYGRPAGDSAKSFCFMSSPKNPHRCGRHGGKK UV8b_07340 MSMGISDRMNQHERADTDSVQTSACVAVGWRWYPPAGREGVRMA RGKSQTHQPGTAGSTHSTAQSPMAPTQSRTPSTNHPLVVPPPCLAVAPRPDRLAQAEV RRRAAHAVLVAPLETPPVQPEAGVVLGPAAVHLAHQLGPGGLRRERR UV8b_07341 MLRQPQLGCGRHVTVLLVVFAACLVALFRASGLAATPVLSTSPL HDPMHGVEDKVQRLENEWAAETLPEGDWPKDQHLLGVGKADITGPVVEINLMGYADFA QIGRGLRQRLYSRAFIVGDLKNPEDRFVYLVLDIQSGDTAVRYGILRGLQALGPRYSM YGQDNVAVTATHSHSGPGAWLNYLLPQIPSKGFDKQSYRAIVEGCVLSIRRAHESLAP GHLSIGSTKIANANINRSLFAYLANPEEERARYNVSSEDDGSVDKEMTLLKFARASDG RGIGVLTWFPTHGTSMQANNTLITGDNKGLAADLFEKDVRRRADGAHGADGADGFVAG FSQANMGDASPNILGAWCEDGTGQQCDFRTSACSDGKSNRCRARGPKFGRNDYGAASC LENARLQFQGARRIYDALEKAKPNVVGTGVKATHRFQDMAFYRFALPNGTEVQTCPAA LGYGFGAGTWDEPGAFDILQHHTNASNARFVWRFITWLLKPPTKAQIECQRPKSIVLD VGEVSRPYAWTPNVVDIQTFRVGQLFIIVSPGEATTMAGRRWKEAVANEGLRSFSHEL AGQQPVVVLGAPSNSYTHYISTLEEYGIQRYEGASTLYGPHTLAAYINGTVASMRDLE SDAKPALKHQEKNTYPPDNSGRSLSFIFGVLCDRTPFSRAFGDAVADVPEPRYRRGQT VSATFVGANPRNNLRLEQTYAAVEYRSSAEEEWRQVRDDSDWALTFHWRRTSRLLATS EVDVGWEIEDWAPRGEYRLRYYGDAKSARYFSLSTLPRTAASGVETPRGNVAVSIVFC LVVMAIPVMRLYGVDGDKPRNQHSREADDARSGGALPCGGFLNADRVHGRVRQDSVGR DEHDVAGLRVHDVLGRVGESVQSANEAFLLDKEQRKCTASPPGAVPR UV8b_07342 MDMVDARQAERRLIQTSAVIVLGANQGPALPPPSPPTPCASPSG DALVDPPEPFSLEHHRQPRQNAHARGLPHHPLRRHDESPATESRLRRGIHVLNKEALA LANLTALYETDPVARDGFDEAVQALVRLGQLRGKLVIIGVGKSGHVGKKLAATMQSLG IRAVFMHPTEALHGDLGIIDSRDSLMFITYSGKTQELMLLLPHLDHTLPTILLTSHMR RETCELVKRRPNTILLPAPIPESEKTSFGVSAPSTSTTVALALGDALAMAAANEMHDN VAAVFAKNHPGGAIGSDTGTAWAVDVAAQESRPRPGAIEVITHPSRQIRGISTAGLTQ PLASLPHLFTSHPDMPAMSSPDTTLRQAGKATCSMRRGTITREGEETDRLVNQAFVAG VAAGLE UV8b_07343 MKYGQRLERDSVPEWSLHNLDYNSLKHEIKVHTTRDQATAIAIP GHQDTTLKKFEDRLYSELCRQHARVDLFISSKADEVSRRLEHLGSRIQRLAAASCGGG GGGCSTTSFKRQRRITKYQGELVRCGEEIQALSRFANAQVVAFRKILKKYKKWTGSTT LTSRFNENVLSHPRSFTKRTFSHLQSRHDGLLPSLLAATSQLSEPSSPETNAPAPQEL PGPRRPRQANYQPLPLAGMDSQVKYWNEYDDGSEVGGPEDDYAIYIDPDQDGGFPGLA YMNAMLSAPYEKAKQWFKTRQPSREHGPLLASEQGSSLGYASTAVDSEEEGYSSSDGC NARLGYATYYALPSIGEQKVQRYRERVLTWATLGSFVVSFALLGVSSVLLLTGRHKLH VEVDAGVTVGVVASLFCAGSALGMTLYRRDALTLTYNFVVWSAFVASCILNGMLLVLV LGNEA UV8b_07344 MTPQQGWDPSLFKNRKPWSVGSNPLAWQSDGKYRSGSVLSFRSA SENLDSDASCESFEGEQQQSRTGSVDSTSVDMGASHSWRASTRPEYVHRILREEQKAG VDAPDFPGNKTWGSNYNSPYFNCWRSGSYSRKSSGTTPSIYHDALTSPAELPLLETAL LPCSDKYQMPTSARRAPQLSGRHSLTDQFENVGVSRRSGSPSDALLNVRGAIKCKHSE APARNFEQTNSSKSHKRAASAPDISRLIDLEASQDPYAEVPSPSEVMVVAKQFNLQNL GRASHASLGMISTSSDAFAARAVPTEDDDGVQALPAPRHVQDSSPSTPHFHHDQKIVR QSSSLGEDEGETPVCMFVDDCDTGSQLRKAISHLFGRNKTCTLKIPKMVWVYYCRKHY QRVRYRNARTYPVTQMELVEAQIERLKAWSDENQARGKGAYIKSWTLSLRKREEKRLR GSKRAAEDEDDAAAAAAAAGPGPSSHIPSWILDELGAGFDTARMFSIADRLRQEIESG TLSQVPEIEFLPDIVDDDGERDSGKPARHRWQNGAAGAAKTPKRKAHEFAAATGRGAA PYQQAAVPWASHHGSDEVAGEPSPSGKRPRTARAATFPQHQPSPDLGGASAYIDGLPL HAYMAPGPCCGPSDPSGGPGGAHHGPPRALNVVPRMQPLEHHSLTVHPHHEPAAGRGE AFPNVDGAAGHARTASHQGAREAGSGPGAYAHHQVSGYSHQQQQPPPLPASRNSLPSI ATQMQSGRVSGYSHVDAPPYGQGPPRLTHHRSASAYTPGSRLLSSTHGRPSASASAVP AADPRLPRQAATTRGGHALLPPPRDDAQHHRGPAYGEDARRRGQHDDGRQRCGPGWCT HLPRHYEHGVVPGGPDGAGGNPRAW UV8b_07345 MPPGKTFIVEHLDPELGPWSELEYMAVAEETRDSGGSFILSSLP AGFRAPAALAAVSAFTPENRGVEELYAGDKAKVCLLDPAAAKDLSPEDGDAFEAFLFG GILGDDPPRDRTSELRKKGFEGRRLGPKQMTTDTAVRVTRMVVQDGIALDKVPYVDFP ELKFNKHESTQMPFRYVADKAGNPIMPDGMKELIQKDADQAVNDLF UV8b_07346 MALLPAWSVAASWGAIALLAFSPLSALAADKSAADYYVRDLPGL PKHGVPIKMHAGHVEISHETNANFFFWHFQNNHIANRQRTVIWLNGGPGCSSEDGALM EVGPYRVNHDMNLTLNNGSWNEFANLLFVDNPVGTGFSYVNTNHYVHQLSEMANQFVM FLDKFFKIFPEYEHDDIYLAGESFAGQHIPYIAKAILDRNSKKGPSGKWNLSGLLIGN GWISPPDQSDAYLKFSLQRGIIEKGSDKAQQLQNMQRICDKDLATNPGHVEYPQCEDI LRRILKLTMKGSGDQQCINMYDVRLKDSAPSCGMNWPPDLKNVGPYLRQPAVSRALNL DQQLNTGWQECNSRVGTAMRGSNSTASVHLLPEILKQVPILLFSGAEDLICNHIGTEQ LIARLEWNGGRGFELAPGNWAPRRQWTFEGENAGFWQEARNLTYVLYYNASHMVAFDH ARRTRDMLDRFMNVDISNIGGEPSDSRIDGEKGPDTSVGGATNNTHHHQEETKKKLEN AKWRAYQRSGEVVLGIVIIAAVAWGVFIWRQRRKGAAYSALRSDDAAGQSRAGLSAYH DRRHRNGDLEAAAFDEAAIDNIPLQDSLGPGEGKYSIGGDSDEEEEEPAKKGYNHGQ UV8b_07347 MGDWGTLNDTLGSTSGNQTGEEGTTHRGPHGHSEGINENQDLDH DKCFNCGESGHRAAECPAPRDTACRYCKKEGHILRDCPEKPPMICGNCGQEGHFRNNC ENARSVNRDSVADVTPEEALAKIKKAACERDADDAKEGIQEYVKAAGGDVNYHQLQTM FIDEGISLWLIAMERQLVNVFTNMDLQGNTGKKYSISYRFSEKPERPRERETWPSSRE EILNRLEDAGDVVDSGLRRCNNCGEVGHLSKACTQEREEKKTQPAITCHNCGADGHRV RDCPEPRVDKFACRNCGKSGHRASDCEEPPNLDNMECRKCGEKIAHRVVPVDVAIVAK RAI UV8b_07348 MPDENIVLYHYAYSPYARRIVWYLTLRGIPYAECVQPPMMPRPD IARLGIEHRRIPILSIGRDVYLDSRLQLQKLENLSTSAPRLGARGADQRAVERLLCSL MTDAGVFAWAAALLPADLPLLQDPAFQRDREDYFGDVRTAEADARVQPVALRELASVF KLLETTLLADNRDWVLNTERPGLADIEAVWPLHWMAGIPGALPRESFSPSAYPKVYAW MERFRGAVRAAGRRLGTPRRLSGQEAARLIVGSAYHEAEGAVDATDFEVASLRLVKGD RVTVGPTDFGSGRRDVATLVGIDDEQVVFETKGEDGTVRVHAPRHGFRLGKAEARL UV8b_07349 MPMLHAPRASSDRPSLSSGPTSHDGVTGRSTVRTGGDNHNPLAL MSYAMQKLNGPGQSFLHRTDNVMNVGLPFSATASDGGRCEK UV8b_07350 MGTSPATESKSPKSSDTTSRRVSSSKADPATAAKSDSIGVSNAE VTKPLAPPPRPVQQPHGNNTPDYFAGPVGGSLSLEPNPFEQSFGGGGGPETPGGTKLP SVAALTSPSSLLPGGNSTPFNWGGGSLRTGPLSPAMLSGPANDYFSDTHHLRGGFPTP NESSLRSGLTPGGSGSMFPTPSPNAQALFAQLASGGATPSTIDFHRTAISAAAAKREQ NPPAPPPPPPPAQQHQHQHQHQQQQQHQKHQQQQSVTSQPAEMPNGTAPVKTEPKQAS GPFDPHDNDAANGLFMLAQGAQSRNGSQAPSQFGAAGAPSHAHPAPPQTQNSNTSPQM SSGNAASRGVSVGTNGSDGNEQAKPATKVKGKKSASASNGGRRKADDATPAKAPPSKK VKGSIGAMNGSIHEDSEDDDDEMGEDGNPKSKMTDEEKRKNFLERNRVAALKCRQRKK QWLANLQNKVEMYSSENDALTAQITQLREEVVNLKTLLLAHKDCPVTQQQGLHGAFMS QVVEPFNAQMNPYGMAGPMPNQQVMAGQGVQRRFS UV8b_07351 MSNQQGRRTSKRLAAAADIERDDDFQFVRKSKRPKTEEPEPKPA KKATARGLSSTTAAAAATTTVATTNTTATAAAAATTITTTTAEPVEQEQGHEVSPLPT SLASAGRRISPRRKGGDAAAPEDGAAARKPLRKSTRGSAAEEKDQAAAGRPSKPARRW EPSPTPRRPATESAVIALPMNDTPVINRNKEMRRKGGKANRRSSLGSRGRRASSLIDN GQTATPHRDVNPDEFYKHIAQGLPEPRRMKQLLMWCGERALPEKPRLGTHNPSAALGA RAIQDQILKDFASRPDFSDWFSRDDEAPKPTVLKPNPRNTELDERLAALEAKIQRLQQ EKEAWLAIREPQPDLPPLFAPSDAADAADTTDTAVELPDPSLLDPDEARIRALLADEL VPFESLCTQTEERIRKIQASLEFEVDQLADNVHKLEQRVLAAGGQADAALGLGAARLR EREQRDRTRAGTRDMPVMEVLRSLSAMLPEGGGG UV8b_07352 MALARPTAARALLRPPPRRLSSTLGVGELPGVPFRVAPLRRSGE DDQTKRARLLYQSRKRGTLESDLLLSTFAAAHLPDMRGPLLAQYDRLLDENDWDIYYW ATQDGDTPPRGDGALVRPDPPAGEWAQTVGNVRPAHRPVPARWQGSRILDMLRRHVES RRGGAGMAFMPAL UV8b_07353 MLPHASPLRLLCTLLAAASAARALKFELTAHAGVEDHRKERCIR NFVGADTLVVVTAKVDGAKGDGMAVNMHVRDALGNEYGRPRDIVGESRVTFQSHGDAA FDVCFENLLSGARRPNPASRHVELDIDVGADAKDWSAIQATEKLKPVEADLRRVAEMT EEIVLEMDYLRLREQKLRDTNESTNARVKWFGITTTWILIGLWVWQVMYLRAYFRSKH LI UV8b_07354 MPKFFCDYCDVYLTHDSMSVRKAHNSGKNHLRNVVDYYQQIGHE KAQSVIDSITSSYAAEGQAHANPMLPHNQPGNAFPPPFPFPGAPPPFAGMPGAPPGQF PHGLAPPAGGRGVPGIPPFPPGPNGLPIPPPGGLPFPPPGGLPFPPPGPNGAPPPAFA GLPGMPPGPGQAFPHGSVPPPGFPVPPGVGASGPPGQDRR UV8b_07355 MALNLPEHGGLISPPELTGRNAHGQFKKHKVLPRPHKEPPADET RFMPGPPDSSLFDTSASAASMSYGSPASSPRSLKHQQKTIGAGPDLPPTPPSYSRTSS GSHSAQTSSPSPPHADDIRTPQPLAKRPPATPPDQRSPPTPDVTPPQPVNRPRALRPW ALDRGHALTAAFTTESRTESFKTAREEPSSSEDEAATSTAKTVLFSSSPSQTTVLPTP VSRGSRTMQPQAVGKARDRPTSTVDGSYTPRTRNELAQFDGEWDSPSRVEKEWDDNLQ RLVSAGRREPHPVMSRATMSAKAKSWELDKEMDTSRTNATKAVRGMTFPKEEVGAASP LKSPPKSSPPRSLTTGTLRSEAPRSSAEPQRHSGLFAPSTVIGAILVDNPSPPQRPRT LRHVRKHRELRNRSPVQAHVLEDADAHSEARPASARLHQEHAQRHDSCGSGTSNSSMA SGRARREVWKSGGIPVVVVPDRRSSHKSHSREPSLRSASSRHSKKASSIGPSPVDHLA LHGEEVSFDRQSRWNRSAWASDGSDQNTADFPPTIPARSSSLSAPTSRTTLRTGSLTV ESVKALNDAHCSSGNQQQQPQPQPQPQPQPQPKTVHILSASPNTTQSKTTVPMPAISV SPVIAAQTLPTPRPDEESGHESLCIDHYDDAGSTRKYPSRTTPFSVISTETNWTAPEV SEAQAVRMYPHQVSSVVMVNHSAKLSDAPPNATERDLNQPEAHDESPPQIKTTNPEGG AVTPQQQGSLEEVDSPLRNPRAPPEPPVHPPALHFTPATPSGLTPAEEKANQLGNFYD AVAEKPSRRPSLVMRALGRRRHSISSPPTASKQPGFLTRTLSLSRNDGQVSGLGRRKL IEPDAKRGDDEPVERDKLHPHWRPQRDNQGDDGNDCDCTTCRPDGGKDDNRPGRPKRS LGAKMKQTFAIMPARDSYIRCIESMDEPERRTIRRTPSGNLKVTRRQSSADSLVLYMK AREEHGSAWEGNSHRRFLRGRGLRRRRSPEKSRRSVSLSSRMEKLPNLTRIWSERRRE KRTQELRQMISGPRQVRDGVEEVVRTRAARRPP UV8b_07356 MAKAGWHEGTRHDRILVDMAEDCQKVSCMHVAGIERGSVYLPWW WLRAGNVRASPMLINSMRVCKSAVFRVASMLQPEWRGMSCLLVCRLQLHHAVGDAGCM LDLLLLTETWTKGRR UV8b_07357 MSPSSLLRRETSCSTTQTPPFSLAPSSAACTTRISAMKPAAAAT VALFSAVVSATPGGLPAGALVNCARAHANYCWAPDVILRCDGNAVGTRFMCESGSGSG SGSACRESSKLAGDAVCCNDGGLSTTAQSIAAPTGSSSGPRGSSSTGGESTSPSGSSP TETGVMSIPEGSIRGTATGTAPDAPRVSSIPDSAGPGTASGPGTVGSASRLTDAIGSS AWLSAASDGCPALVATTPTATGGSTPSTAAVQTTHLQTAALPTTALPTTALPTTGVSL APAAAAHANAAAGLLAAAGLLAAALL UV8b_07358 MTMSPPSAIDDDLIPKVTAYVEAYMARYDPSHDFNHIRRVVRLA QHIQAKTPRAEAAGPVVHLAALLHDVGDNKYLQPGQDPARLVHDVLASFGAPEELAAR VQAVCSGVSYSGEVRDPARVAALVDEHPELAVVQDADRLDAIGAVGIGRAFAFGGARN RDMAHTMEHFADKLVRLEGMMKTDEGRRLARERTERLERMMEWWKMETEF UV8b_07359 MPGSSRLPASLLGSLDKVKRRGPAPPISSLLTVDLLRNIVFCYF VLRWTRRAFWKLKGRGPLGSVLELYHVIQRTLYGYFLRAPGVRGKVRKQVDENIAQMS SKLVPPTDTRHLMLPKEGMAMDAVRAELEKLANLDHTRWEDGYVSGAVYHGEHDLLSL QTEAFGKFTVANPIHPDVFPGVRKMEAEIVSMVLNLFHGPPGAAGVTTAGGTESILMA CLSARQKAHVERGVTEPEMILPSTAHTAFRKAGDYFKIKIHLVDCPSPSYQVDLRSVL RLINANTILLVGSAPNFPHGIMDDISALSRLAIRKKLPLHVDCCLGSFLVPCLEKAGF DSELFDFRLKGVTSISCDTHKYGFAPKGNSTVLYRTAELRQYQYYVCPDWSGGVYASP GLAGSRPGALIAGCWASLMTVGEAGYVDACVKIVGAAKKIAEAVQTSGTLSGELEILG KPLVSVVAFSARNLDIYDVADAMSTKGWHLNALQNPPAIHVAVTLPISKVWQRLVSDL ETVVEEEKEKERARLVEGKGAKGKAMGDSAALYGVAGSLPNKSVVVDLANGFLDILYK A UV8b_07360 MGHAEQREPPQLGGAAPHKSQRSSPAPDRRATTSGGSSDHGLCS RCCSRNDVDSHAASPPSPGGPASDRGDLADGAVHTPARFASPSVASSLAYSNAGFSIS PLRRELSHTTPPKGVDAVTTRAARHDLARRLSRLARRLTYDGSEHVDDLVLGNQLERL ERAVGSSPTAHGDAPDFGLCLGLGPRSLPYPPGVRSPVRSDAGSALASPASSLYKSQF SDLSASLLRERQAEKDLEEDEPPPKRGMTASQASKVIAEMAKLNDELSAVVTNLKARQ EESQHIQGLLIERAERAAQRIIFLQNRISYLEHELQENDDEIQHLRICLKAVEIQMPP HPDKDLQRCIATFKSDYQALKRKRAHRANIASVMGFDSPTR UV8b_07361 MSWKSSERLMDTIRHYAKFPATGVSLRQMVQFGDKPSTGTLFRA SQFLAEELPIRLAHRVQELDDLPDGLNDMPSVTRVKDWYAQSFEEITQLPRPNLNKDV RDRLMKPSKGNGRIIQRLSEATPNPSIDEGDASGWGGLQTSSNGNGKSRSLSRRYFAT VDDTGDWPPELQLYNQRFAQTLHTIKRRHDGVVTTMAQGILEYKRRRQRMQIDGTIQS FLDRFYMSRIGIRMLIGQHIALTDQSHHRDPTYVGVICTKTNVKDLAQEAIENARFVC EDHYGLFEAPRIQLVCNPNLDFMYVPGHLSHMLFETLKNSLRAVVETHGMDKQEFPVT KVIVAEGKEDITIKISDEGGGIPRSAIPLVWTYMYTTVDRTPNLDPDFDKSDFKAPMA GFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ UV8b_07362 MASRKGNAKGSSAPESVVPVRYRCKVGGEWKPIHAFSEKQQRLV HRQVDAREKVDAANSGMVCMEHSAAFRAELRCDLCGLNKPYDQFSKNMRKSDAPVTWT ETQEPGVTPAPLETGHVSIEEQNKQVWNKKYTDSTDFFPDDMLPQAPITALSSLGIDY EQGLTRLTRDSRVKGSASVSSSTGSKAFDATSMPPHLANIVSSLFQTQGWAAASENTD SASHTSGPSLLSPSVVGWANLNDVPGSCASVTETEPAGSSSNNSFVERRGERTNDLPP HLRGYWMSEAHGKTASRGSSCGESSDGGSGSISTATTVRNEEMMKTQRRAPIQYHAWD NSGQMHGKLKSPTVSSSDASSTNCQTLDNDDWPSLPVSSQGPPPPSRKGAWHKAPRAQ REDATQHMPARHIDPDIDEQRRLRHRG UV8b_07363 MATLTGVDERIARVEQILQEQSRRLQMNQYSQVKFAYGTRAGSR GQKSSSSPAILGNFLGRLFVGFAGLPVLNQKCDTHACKGAQSSKVSMSMEYWFPASFW SSIVRVDISYHHDLGPSLQLRTLRRVPDTAQCVNYALGGNIDGLKFLLTQGLASPRDT SPTRGYSLLQWTLYGTQYETCRFLIQAGSDADYKPLAAFYNSPRIKACHFLLEGNLSE PAKDALRTITKGGEYLDDFIDESQFTQTHRIVLGLSSRDLEQELLAHPHQVNAQDTTG RTAVAWAAARGDSRAIVTLLRHGADPNIIDSQLSVLLDFGAEVDQAGVDGKTALFHAA QNNDAALAMLLLEYGAEVNTATITGDTPLTTAITHNSHHVLRLFLERWLDYSVCPRLT GPHLLSITARYADLQTIDILAGTDHFRLEYDERYLTGDFSKVIRERPDATGKLASAFE ELLSIFKHAAHLAQDAESLLEAGHGFCLSSRSKDESQEVVNKRRENVAAMSRTICFYF LKAFILVPETREFRDGYHFAATAQPHKEESLAGYNGHEKRLFGCESFHSNSNLLAE UV8b_07364 MAHASQKNISRFETFRLQIAETIDRRENETCRMFGFPTTPISLP NIDSVEELELGVVRSSYEELLLELKEIQWFDKVNHTAFSKIFGKLANHSHDKKALDHS RSRWIALQLRLSNSWSEVYGRVDRLVSDIRAELARPRLGTHSWCIASLISHMLPATEE HDVLCKSLKNDEHSLIGKHILSAPAREATARNDPCLYIADIILISILFSAKHTTALAI DVIPRKHVGAEHMFLISHPSSAIMVSHLVDTAPTVAAETLMSTDNWGRTPLHCAVNAR SPSVLAVLLNALSQISLDEQQSSNLRATLGSILILALRAGADDMAKMVLDHGPDLLHQ SSRGETALYCAAQLGNLPLASLVAGRLASYALGIDVVEHTKGWTALMAACGNGNANMA KLLLQAGSNQGLCDARGWTALEHAVFRGHHVVAEVLEPHQPSTGLEGPANAIRAVHKS RPHAVCDAGEKILVVYLGSTQGGHDRAAIQFGDFCSNISDTFRHGCPLEMQIFLLGAP SVAKTIPLPLLDDQSHKPLIFRVRHDMPLQVVLNVYRCDVANSRVLVSSGTSLLDQEH VLGDKHESLIRERTIFMMDKEKPGPSGTALLSYVVAEPYPGLKDPDASSCVRLGQGLG QNVLSRSFLQLGENTVMSFLSAAKFGASFVEVTRDLEAVVYHDFSLSESGTDIPIHDT TLAQYKHVGDMHEPKTQISCSFDSGVKLCNPRRPRAWSSGEESASKAAQLRQRLQFAV AFQTRGFKPNSRGDVIQDALTTLEELLVKLPLEIGFNIEIKYPRLHEAAEAGVAPVAL KINTFIDVALEKLEKHAGKRSIILSSFTPEVCILLSMKQTAYPVMLITNAGKTPAQDK ELRGSSLQAAVHFARMWKLDGVVLACETFLYCPRLVQFVKNMGLTCASYGVLNNEPVN AKAQAAAGVDVLLVDRVKVIADNLRDHGACKASPTTQDESTQTRH UV8b_07365 MNRAVVNIDEGGGKKKKQRSSTASIQRLPDVSVANGCGTTEATD VMFPDPRPQVVSIHSQEDFPQQPSRTSTAATSLPLDPRSRTLRLPLQRVFSTLDQTYE AD UV8b_07366 MGGRFPLVTFVPVTIWFYRRRPSEISNKSDAASDSREGASQEAA ILPSFTTSKATGFCYERNTRVLVACTPSDTCGEARPTRHYAGNACYTYTQYSSTCRDD SRLDRWCRNVAHVRHGVLPKMAKARAEEARGQMADAGSGVTIYTVFDMSAATHLELPV UV8b_07367 MTAAADLTHPGVSQSQVALEDRFEVIKEIGDGSFGSVVLARVRT AGANVARRGSVIAIKSMKKTFDSLAPCLDIREVVFLKTIPNHPHLVPALDIFLDPCSK KLHICMEYMEGNLYQLMKARDHKCFDNASVKSILFQIMCGLEHMHSHNFFHRDIKPEN ILVSTSSHSESSHSFRRYSALVNPPSTVPSYTVKLADFGLARETHSKLPYTTYVSTRW YRAPEVLLRAGEYSAPVDIWAVGAMAVEVATLKPLFPGGNEVDQVWRVCEVMGSPGNW YNKAGARVGGGDWREGTRLASNLGFSFPKMAPHAIDTFLQAPQWPKPLSHFVTWCLMW DPKNRPTSSQALMHEYFHDAADPTRPKSSTSSRILGRKQSDLGRGAKEPVGGHHQITS KPSWFRKSLIGRNDAPEPVVAQAPSKDTTATASRPAPAPAGATTVDLVGAKARFPVAK RSTWTNGLPNAAPMAILPTIRPISPLSDTVTARANNGQGEGKLEKTKKLGRQLSVASS TNNYADMHRQQAERALNGETGLASPPSGQRESFFSHLRKRARRFSGRHQTPVSPSSDD MEAQIGCGPWASNRSSMVVEQHGGPVPKAEVYESLDRALRDVQGSSTDSRSALPASQQ LASTSKLKRHHTLPQHQARSVDNLIGVARAGPLSTRTRRAQAAHDVQQYEVPDEEEEL LDEALTSAQTAMRRMQGSSWKPLRQSVSTIGLPDPQPYPSPSPSASGSQSMFGEGQEA LTPKPLDLHKRSDSQYKWPTPPYEGSEWAASASASIWAAGSRF UV8b_07368 MKADPNGGKPPVASSMGLNLPIRGPPPSCRNQDSRNYFTSYTPP SSTEAINGPVRKQRDPAPVSDRLIVGVDFGTTFSGVAAVYTSTPDDIEIIKTWPGGNG ITSDKVPTEIAYDSPPDPPSGAEPTTKWGFQFRPDESRLRCIKLFLDRSQKLPFYVSP LDTAAQLKRFNKNVVDAVSDYLTQIYKHTMDTLTRRYGESFMASTKVEFVLTCPAVWS DAAKNTTLLAAERAGMGSRSEIQMISEPEAAAVYTLKAIQPNHLNIGDNFIVCDAGGG TVDLIAYKIMSLKPLRVEESALGTGGLCGSAFLNYRFEEHVKNRLGVGKFDEMKTKKG KTWQMGLKYFEEFVKRNFNEDEYQEINVPFPGLADDEEAGLDSGFLLMTAEQVKDIFA PVVKEVCDLVRGQVEGLRAKGGIVSGIVLVGGFGQSDYLYRQLKAHFTSAAPPPYSER PTHASASATQEMGSIEVMQPVYAWTAVVRGAVLRGLEGNMVISRKSRMHYGTSYATVY DEEKHSVSERYWSPLWERWMVSDRMQWHIAKGEALSPLSPIAFHYTRNFRPGQSLMVT DDLIACDADEPPAAHTRELTHVCTLTTDLNAVPRSLFTRLTTTRGVEFDNLDFTLEMV VDSAGLGFELKVDGVRYGRVEAEFH UV8b_07369 MSMSGHEGIAPLVEWAVSHGARLHPAVQVYQDPRTGLSFRVNPS APCAVQPYEPIVQLPTSLTLSYLNAVLEEEEEEQQHHHQHHHQHHHHHHRQLLAKAQP QVVGRLFLINEYLKADQSFWYPYIRALPQPDGPAWALPPFWPDEEAELLEGTNVEVGI EKIKADVRRDYKEARALLAAAAAAAAGCSSTCTCSCTCALPQDALTVELYQWAYCIFS SRSFRASLVLSAAQQSRLPDGVLVDDFSVLLPLFDVGNHDMTAEVRWHLDHASQRCEL QVGRAHRPGQQIFINYSMKTNAELLLGYGFMVPATPELHNDYTHVRKRAAATADADDG AGEPQPSGEYLFSLRPLSHPSSVLARSKQSLQLDPSTKVLGSFQHVQPEMVWDIICTL TDREQRRRLLPPPAAAAAAAAGQDDQDRYHMDRFFSGQVAGEAAAVLEQTVAIIQHKV LQELERLNETDVEVVGGDWEGLTRNQRLALDYRGRCREVLESVLKAMSGDGMFDGEEP ANAA UV8b_07370 MNKLDDILRRHTVHGEETRGKLLGAAFVVTSKDATLYSGSSGRL RLDVDSPPFTEHTFTWVASLTKLVTSIAVMQLVQRGRLSLDADLRPLVPELRDARILR AMDRDGRPAYERNTRPITMRQLLTHTSGFSYEFADPVLLAWSRSAPGRDVSRMHWSRL EISTPLRFAPGQGWAYGVGVDWAGQVVEAVTGGPLSRHVRDHILRPLGLADTGFWPER HPQTAPRTAQNVERTRSGRLRPAAWPTPHEHEMESGGAGLFTTASDYAALLRGFLRGS LVSDDTVRAMLAPQLDEAQAERMRDVATHPRVRNTFVPEFADGQDINHGLGGMLNVGD VPGKRRGGSMAWSGILNSRWWADPTTGIAAVLVVNVRPNGDPIVAKLYDELERAVYAH LLGDASKSRI UV8b_07371 MPPASPQPPPAMLQQTPTAPDPASVMPRSLLDLVASVDNVPPDF ESNCAPFYRLRLSPDPRTHGYVHPDTVARMPWPASFVVDHPGRAVTLSAPPAEPGTSP SAHASAAFQAAVDAALERGAFPATLNGMHSEHFLIPGARGLVRVERFAASLFGIATRG AHLTCYVRAAQQQQQQQQRLEVWVARRGRQLLTYPGMLDSTVAGGVQAHHSPLECVLA EAAEEASLPRGLVADAVRSAGVVTLANRSARTGLVHSEVLYVYDLELARHVVPRPQDG EVDEFVLMDCDQLVRRMLAGEFKPNVCPVMIDFLIRHGELTPEGVKDYVDLCARLKRR LPVPTTSDG UV8b_07372 MTTMDTSGPGATVLDAKPRDSVTPKDPTEKKTELNGHVDDAPQD VSEAQSAADGMEKQLDCPTEQTVADTKKPLVNGNNSSDPSKDHAEAADAAAPADQPTP PSCKPEDERKPAVAATSIPAKPAVSPGRVEESQQAARDAEETKKSQADDVPMNDSPAH PEPTKVDDAAAQDTVMADSHPEKSEPAKASAAEDSSAIVSQDAVGSSTSEVDLGPANM SQLAIDTTDKGSSPADATGDVPMADASTAKIAREREHDAAEEPAAKRARTEPKEEEDQ AAPSLPATSDVPADAHGEVIVSATETPGLSSSTLSKLCNWSDEETNKRPISPFQRREM RKAIGRVKKTKAGASFKDSVQKLWPHLWDSYIAKVVKPMDLAELERGLRDLSGPYNTY GEFRKDLGLIFENALDFNGPLHDVTGSAAAAVKSVWEEILSIPSEEPVKPKAAPKAKP VRESRAVANADAAASAAAAARRQSVGPAATTTTTTTKATPTSPAAAAAAAAAASAAAS AAASAAASAAPDSTSRKAAPSQDQPADRRSSTATEGDRPKRTVRAPKSKDIDYSTKPS RKKLKPELQFAEEVVAEIMSAKHLASNQWFLNPVDAEALNVPDYYSIIKKPMDLNKVS RMLHGGEISSIKELDKTVRLIFDNCFRFNGPVEQGNPVSIAAKRLQDLYVAQMKGKDA WLAKYAKAHAPASASNASDDEDDEGDDEGDDAGEASAVVDSKEIEELQAKLDEETRKL NGMLLSSPQSLIDIQKNIVDMVQKTLISKAQEAQAARAKAKSDKPKKPSKGGKAKSAS SGGRKSTGGGAGQAKKSGGSKKAGSKRGLNAAEKDQIANAINDLENVHLNRAIEIIKK DTGQNENNDGELELDIEQLSNDALMKLWELCRKTIPSFARETAPAASSEPSRPAKQSS KASTAAKPKKNKPMSAQEQEDRIAQLTALHNLYSDGKEPGHGTGVTQAPTPRAESSDD SDSEEE UV8b_07373 MPVPASTKRKAPGKLAAPVVKPSAKLPARSTIDESRTFVAGTDA HPGPQQMHSGEVVSDPDSDDDDGSSSEHPPDEKKQTNGAAEAASPGAPAARVNGKHSR DGAGEGGREEGQEDGDTSPSFGELLRGSEAIDVPALLQQAAREQHAAAQPAPSRAVAP PSLQSLATVLTQALKTDDTELLESCLQTQDLVTIQNTVERIDSSLAGNLLAKLAARLH RRPGRAGALMVWVQWTIVSHGGVLASQPKVVLSLHELQKVLAERARGLHSLLALQGKL DLWKSQMDLRRKMRQSSGLLRQGGDTADLDDRGGDGGDKDNGDDNDNDNDNDNDNDDD DDDDVIWVEGETDPVSRKGLGGKSIRRGGDDLDDGDDGDLPMVNGVGDSEDEDEDSEV DEVQDSEAAGESLDEDEVDHDDGQDSMAEDDESDVEAAGPPSKVQKVQKYTRGRAHLK EQLGG UV8b_07374 MESSHPPKRRFAPVPIETTFQSNRNRSLHGSHPGGPVPEPTPEP SPLSTSPLMPMPQVSERRRFKPQLIETSRRARRVGDPAPATRPTDKTDITPYTNHIYV AKTKARRKNGGDSWDDEPIRHPPPTRRESEDEGVKQYLLDIAAKEAARQMEEVALAAF PNSRAREGGVAHFYFREGSDSDNSAEYTPQHQQDGVQPRVRRKSSNLGLNWWHKHMQN YAEKRAHDQGEQGSELGMEDEDVALVRTDSDLDKMDLPMPPDPLWTTTNRIAPEERRE RRDSVAQLQDLRSSLTPFARPESGIVTADDRSRQYGDAAAVAVAADRGAGEGPGAGFG RPFGAFGLQPDNLQLHRMRQAASPPMLGKDLKFRRCPSPKQTKLETDHPFIYRQVYEE HNRDTTGQGGLWRGYCCRSESSNDYVVPADLHGANMLVTPYPPGSPRGAAGHDSRSMS EEPESTLTSSNSSESLSAASPTKTADHQRARNGPSRGLHMLHGLDGRLRREKAQVERD EKIASEFDDYFITQVYNYLSLGYPATARSFDEELSKISRVSVEELCRDDEKQMAKGHM FDMDLRQASGSARCPRWRALRSYITEWARQHPDLDNLDPLAWGVRERRGSWAI UV8b_07375 MTAASSGNGNGNATSTFQMSTSPPATSSPCSPPAHPVANPPATP RCLSLDAASHPKSRGGGTTPSSLAGAGTHVAAIDAQAGVPGPRPGKDATLGHRQDPFA AISPAKTPDLPPVPDGANANSTACPIPQPEQEASPSPRPHLRLRPRARHCTQDQAPAS PLPIRRPPPEFFHPLVEARPLRTASVRPDTKIVHPKPIAHPPALNHNLPDSLIRSGSA AGNIAQLEATAERLSMTSSIDDAIRELHLELKRSDSRRSARLALSRMASVDELVASPP APIGPHFSTAASLVPANSAARHRGYSPAACFAMSPSVSLTGPLRCGSIHSAGRPDATF DSMLARNPSGKSSIRSFRSSKPSLAEISESEPVSLDKKAFDEADAAPPLEDLADEGMQ QQLSDTAADFVPSTDAFHQMLQDGLGSSAGPQQGSKATTAAANTTATRRRQQQQRRPE SVHSSNTSEQARDAFVDFDGVHWEPQDEADSYVPQALESDVLAQRPMLVSTAQPTQRT SYIDPSTGQNMLYYPARVPAMLNLPPKLSTKPKAASRNDRRSQILSTMMDMTAAPCMQ DSTQRKRQSVLADFGATFPADPPVRDSWLPDPIASHRDSFAALSSFGALDEAAQQPVG VANHVTTRQDAAPRRPERLSKLPKDNRKSVASMLGNLPAQLRASAFFDLPSISPEVEI KDGSAMATLDSILDASASAPVSAFTDHLYVGKLGPEVYGKQNQKGGGKSAATGQPRAQ PKKMSSMVRLGRKRGSSSSSSSSNGDDKPARPHSSIALTSTALYGVAHRGDGQLTPDS ADSAERVIRLGAGREGVPGRLDQGAELEEQQEEEDDQPFEARYQGPPTTLLAELMMRK QEQRQRVRNLGKGLPNGNYATLLDLDTVAEVQMRNRKNKRVNLAWEHVDALDDQNGSD DEDVPLAIVAAMQRGAKNLADLDRPVGLMERREREDNEPLSHRRARLQGMEPPTPAAA LPARQSMMSFPAAHALELLSPNAAAGVGVSVGPEEPEGGAMEEVEGETLGERRRRLAT KDGAEVLPTARRVSLSFSAELLNQFGDPEDGRDGSSTSNNNKEAGAAKKQSAAAAAVP AASKTPCEEETLGQRRRRLQAERQGREREMSHGNPTTTTTTAAAAAVAGTWSDPPGAR RLSLANVLSAHPTREGNTRAREEQVRSELEMRAAQERESKMSAMRIQMPTCLPQSNRD RSGGFLGGAFNDGTGGQGPRAAMNMSSSALSPGNRASVMTGYGVPNLGVVTSQSAFGA GLNGFGGPGGAGKSSISMYNDAASQMYGGMKPAQAKPMDRVEQWRYSVRP UV8b_07376 MIHKSQVPRSCDRCHAIKERCQWSPGVFQCERCTRIGHVCETRR PLKRPGRPPSCLKTLAKRARPPRPGCDSARRMPLADVIPSSSSSSSSSSSSSSSPTES SPGPGPGLGPVAPPPPPPPPPPPPPPASPSPRSTFLSPFPFLTDREVFLLDRVVHQEG FLQKLVLGPSFCASYRQRLVFHLLSSHAVVADGYLAYALSMGDACDRSASYRYAASAL GTLRSLKVTDQLDSTACLMLGYQLLHFVMTVGGPELLHVCNQTLNLLKPHFLSAGKIL RGAYAGFLTSIVLTEAAECLMKTRLPTIRMDHPALHSHVDACMGLCSGLLVHLHTVAV LSHALRQARRPARGDVDDGHDGHADGHDGYALSRRLDDLERRVRGWKPVIADDFCDTY TSAEVVHMLCQVQIIQATLLLIIHRLRFPFGTERATASALATTILAHIDMAFRKTGRT PTCSDLPLLAAGLELHSDPGRFQELLPYSTIGNWSDVFTRRISRFSALICRARRRMPG VYWYDFGDIIPSST UV8b_07377 MADNHAAPSPSRDSHDLSLSPRDVTRDSLVVNMLLSLDQLSLGQ PPLQTSLYGSRGGDRDHDHDQDRGDDNNNNNNNNIDYPSRPWTDKSTASSRHHHDHDP RALHHWHQPSYGSSDFDSRAVDCSAPTTAASRPPSRGRRSDSGSSFLQEHYCPRANSV REPPYKVLNSTPPRIRRQRPGRQSNKSNASSKSSKSSKSSKSSTSSSASVDMACPYPA GHPDRRMAQRPRRSASLDHGPRRQPLLQKSASSSPFHANLPGGMASNHHDDAAPTPNV PAGPRRASSGVPLSPRPPHLVKARTKDRDRARSTNPSARSSPGRSRRGTAHQVAAPPV QTRATMVSDEPHSAPAPSVGYGKSKEPDTATASAPQSKDRPGFFRRVFGSSKANNNNN NNNNNNNNNNNNSSSSSSSNHPAPVHLSGPQPTSARLPSSPGNTSFSQQYSTAPTSAP PSRDLSSSHSHNHPPLQKKSSSFFRRRKKSVADGVPPLPNADQAPPLPYLFRAKPDPF RNEARAQDAASSASSLGQGLSQHLGEPSTGLGLAGVAVSTPLSDVTNTPSTKSDTHGE AGAEEYKRDFSPDYEPSPTARIRKVRPEPTADRLEGTPSRTPPQKQFPRKLRASNSFL DLDAGSDCDEQDDAAQNNADALPLETRASDDEDRDATIRGRKKQPTSGLDEGTRHAAP QPKLKPPQTDGRRLTSFASGSTESGCKTAPSAPPSVLVEEDGDPNPAALGSVPSVKSC QPLDEPDFILGEPTEDDCQKAQRIYDGGEEFIQKDKAAAWMGEQGPVRQRTLQAYMDL YDFKDCSILASLRHVCGRLVLRAETQQVDRILVAFSKRWCNCNPQHGFKTTDVIHMIC YSIMLLNTDLHLADIEQKMTRSQFIKNTMTTITQAVIESAPKAFGRPSILPEKNGTLG AEASRPRADIGRSNRHSFRPPPRQNANSSDLDDCGPLVKAPFSGSMRAWEEQVETVLK SIYVSIREERLPLFGSEHERHIAAAPSQTSLSVLSMLKRSPSVVSKTPSDTQLSTRGR VVDGSRANKASRWTSKSRSRPGIGRNGFSSSRTSFDDGNSMWSPAMSSATWSKHSLGR TQGSVSQDSFASSMPRGDYQRSIGFANALSQAIVRDEDASGGRDHAASSSILSADLSS PTQFLDDESLELAGPPWVKEGMVMHKHHFDGYGKRAKDRNWTEVFAVVEKGHMSLFSF NASKSMRQKSRSRNGGGGPPGPVGGGNWQDNAVSLGMFSLRLTLASALPPPGYSRSRP HVWALSLPTGAVHLFHVGTPEITREFVNTANYWSARLSTHPLVGGISNIEYGWSDGIV NHQALAGAVDATSTAPGGGGLGLGSRPGSSATHGRKSSVASSSFRGPSFDQAPGAAGA VGFASNPGGRGKLAGDRVHIAEWSPPTQSMRPSNASEADQLRTLASYVKSIEDELQTH NQLRSPMLLAFTPRGHNAGKAMANWERKSAYLLREIVKFRTYVDCLQQAEARKREIYT EREAGSAPRAAGGGYSSDGDAGAEAEAEAGGPEEDADETLRP UV8b_07378 MVALLSLMWLSPALASLKDLANCDPLRKDMRCPPDAAFAGKASF DFTTSSWDNGLLAFWSVDNGTAEDRKRLDLDTNGRGAAMGMWKAGDAPTLVSTKYLLF GKVSVTVQAAEGPGLVTAMVLKSDSGDEIDWELLGAYANQAQTNYFYDGQALFNTYND TYALDTSSFAAPQTYAVEWTDQFLSFSVNGAVRKTWYVGQIPADKWPRTPMQVKLGVW AVGGDGGSDPGEVAWAGGLPDWAGGPYKAYFGRVDVEDYAGFCNATDGQVEYQYDERT AGWQDVRIAGCRSRPGARLPTPSPVSSAATAPGPDPSTATATATATAPAREEGEASLA RVPSPLVLALGLACVWAL UV8b_07379 MKFLIVSSAVVAGVLAVPVAPGIPSTSTARSLLNGLQVAQPGSG QGYSRSKFPTWATIEGNCNAREFVIKRDGTNVETNSACVAESGEWVSPYDGETFDQAS ELDIDHMVPLKNAWVSGASSWTTEKRRQLANDVTRPQLWAVSASANRSKRDESPDSWK PDLQSFWCTYSKSWIQVKSHYGLSITDDEASALSTMLDTC UV8b_07380 MTRIRLKHFLLDWQDGVSQQPRLTLLPPEIKYEVMSYLCCQALS RLGRTSTIWRDTTMPALYRLDAKKGNSSAIVWAAKVGVRTSRDMATKVLRNAVKYSGD VNTVHYEDPDNSRPIFATALHYAVASGDVGFVGELLHHGAKLDVPCSGLNWGKLIMGL KKPYFGAGESSEWLLSRIGQWLPFFVSYIRDDHDMAMLLVQHGASACVIDFRTQLEML NLPSWGMTILHMIASDQSKKPAIWNRLLDIFKANINDRAVFSLDSVLHMVAKNGKLEV LKHAISAGAMLEGTDNLGRTPLVAAMDETLILDPGKWPGLVSCVECLVQHGALVNPRG GSALAQALRYYEANPVPHKGMMDLVQCLLKHGADINRRSSGGYTAVHALCLAIMRKGP DSLLRRLLERFIKDGGNVKLEVPWRHSLLYTAMVRYDSKPAWLFRLLLREGATIRQQE ANEVFLKWSRTPHLHRKGKGYYDIEQHKADITMSVIQRAYRHAMSIRSPALFRALLRS GIEKPSNDFLVNTALSSSFSWIWTMMTRLDFAGTYVKPGSDMQTMLHLLVREFCVTRD YTDQQASKDARHLLRKGAVILAQDGEGKTALERLKEDPVARAKGCHILTKVLTQKVPR GRRRDEQEKADNSA UV8b_07381 MQTRVEVLHDVRQICSKETRELRKYPMALALIPSMRRAQIEVAF AQQFMGVGRSQLPQAVNALAGAYRAVTITHQTHRWVGNGLGACEVLMANGLVRREQRE AAPDELVDDVWFEFLPQR UV8b_07382 MASRYHLRSEDQVESPYAALPGTSQTGFVPHNMTAMKSNLALGK EIAGCNPSRWVHELLNNNFTVCDVFERARHAKLESMYTWDHPHKLVMNGNQSFSTRTS RTLSSICLDCHFHFVFKMTWDQVHTESLCNQDQSSWPLRDNQFPWHHLVWVGSASDSE VMHDNSKYYPLLAREHFVCSAPPCTFQLTLELSEPRMPQSWIKLLLDREAICEQLKAA REQEPSRYDGATDEWAYQATLNLNTYLKNLLESSPEDARSISKRNKRFAVLFGPRCFG MFRQLGFTEKVDIRDGTDEGSFTPSPPPPAGGPQGATEIGTYRSYLEDVRAEVQCLIH KAGQAAERPTIILPVLHADLGCQEVPNASASALVDAERYKLIGVLPSHSREAVVNAYK RQWDLMPSRRRALVDSIMGIANDTSDELLSDFAITQSSVFDNQTHIVGNGDDDSLVPQ ALNFLGLQPPNNYSAESLIRAFRHKVSQSPADVTTARSMLLVIAQNSNDDLYQAQLLI EADAKMSLETSCAILELADAGGSWQNAYEVAKTKIAASKSKEETQLYIDALEAVADHA YSPALKQAAMELRKENGMFNLASNSNQESRPVNFALPVGLHNIGNTCYLNSLLQYLFT VKPIRDIVFNYDKVKLALTDESIKARLLGGNKMQMDRGEAVVAQAFAKELAQLFETLI ASKQAATKPSQRLANAVLLSTHTLLRNPRPSSEPNADTKPPPLPARPSPAPPSRVAED VEMVHVGVPSVSESAETTSNSSSRTLVDQEDARSDHSYEKVDNVAPSCHADVVAEDQK NRHATTQEPDSRPLTFESCAESRDIAAAIETSECGDVEMTDAAEVETVDQKVLNALEH QKRSSGTDQQDVEEVMGSIINRLQAAIRPTSVDEKTGIQVEKIMETFFVTTINYTKKF DENKYQSEITFDRAITAFPAPDGPCSLYDALGRNFDQQILEESKLSRYTAIKTLPPVL HILIQRSQSMVSKNGNAVVIPETLYLDRYMDAPHDSLIFRRRVEDWALSNRISDLKSQ ISRVDTNPNYISCLENYSKGTLQPPDEPTGAAESKNAEGGGGTAIRSASEENFDFDGP VDDDFLLITASPPSATSSEQRILEEPRCLRVGETDAAIRQMMREELEQRERTFKMHQE ELNGTPYRLHAVICHRGHLTSGHYWVWIHDFEDNIWRWYNDADVKENRDTAEVLETLS TSGEPYFLCYVRDEDKTDLVNVPKRQATATATPLKVQHTPESEIMRSGGEDGEVGAAP ETHCADNVGQEATVETTAGAAMPEMQEIRGARE UV8b_07383 MPPRLRPVPRITRLARLARLYSTASEPLIRVTNIPAPSSGHIRV LELNRPQARNAISKALLSALRAQVDDLHAQYGPGGRETGALTPGLPAELGPTRALVLA SAVDACFCAGADLKERRGFTQQETADFLTSLRETFASLASLQIPSISAVSSLALGGGL ELALSTHFRVLTSNATVGLPETRLGIIPGAGGTARLPAIIGVSRARDLILTGRRVVAP EAYFLGLADRLVEVEPDATDILQVARKSALAEAVRLAHEICEGGPVAIRAGLQAVAWA TQDKEDEMYERVVATEDRNEALKAFQEKRKPVFKGR UV8b_07384 MPLRACTGGFSSPLAAAASLAAAPAAPALLTHGRPPRRHYAAPA PDPPDLPPWPRSPHPTPYEILGLPRGAPYSKRRFYQLVKLYHPDAHERVAATGAHNIS SAARLERYRLVVAANELLGDAAKRRLYDRHGVGWTDGARGPRREADRAWRHRPGNASR NATWEDWQRWRDERDGRAERVYVSNGTFAALVVCMCMVGALAQMNRAEALGEQHAGFA QQRDWAVGQQMRDGTLAAEGRSKGERVDCFLRERGNAAFGYRPGKFDEGPSQPAGRSR TD UV8b_07385 MSVPGHGNNNHVATEAAYKEGQAGNPLHPDPANSGPGGAIPKPH QSMVSQVYDPQFFKIANPGPLGLISFALTTFVLGLYQCGAGLPGSNPMGGVGPDQAVF GLAVFFGGAAQFIAGIMEFRVGNTFGTTVHCSYGAFWLAFAMFLVPSLGIKDAYAGDA RAFSFALGIFLILWCFLTLVFFIAALRTNIAILVVLGLLVLAFFFLSVAQFVSTTHPT GARRVNRAGGVFSVLCATAAFYAGSAGIMTEATTWVRLPLGEFARAPKSHTV UV8b_07386 MSLIAPRAARRALPLCARAHAARAFSASVYRSKTATETVKDGLK TVDRAVTDHVVLPGLEAAATAGRKVKQSAEAVTHKGKASEVKGQVKGMAEEVKGQVKG KAEEVKGQVKGKAEEVKSQVKGKAEEVKGKAEETAAEVQGKVKGAAEEAKRDV UV8b_07387 MAAVTGTPAMDLATQILHAVDEKSPLLSSEAFPTTPSTEVKGAL DRLASRGMVKYETIDREEALLEPEGEQIAANGSHEARVFEALQTAVKGMTIQQLEATI GDKNVVKIGQGRAFREKWISKTADGKFKAAKDSIRDTTREQMQTIQSTRIFSDAKVLA DLKKRKLVKTQKIISFRVEKGEKFALKIPEVATDLTADMIASGSWKTATFKGYNFKAL GADQHSGALHPLNKVRSELRQIFFEMGFQEMATDKFVESGFWNFDTLFVPQQHPARDM QDTFYVSDPKAADLPRAEDPSDKSDYETYWNNVKDVHQVVTLAPQRTSSRHALLNFAT QQGKYGSIGYRYPWSGEESRKLVLRTHTTAISANMLHKLAQQKGPDGRPPPARYFSID RVFRNETVDATHLAEFHQVEGVIADYDLTLGGLMEFMDIFFGKMGITDLKYKCAYNPY TEPSMEIFSYHKGLGKLVEIGNSGMFRAEMLESMGLPKDMRVFGWGLSLERPTMIKYG ISNIRELLGHKVDLNFMRNSPAVRLDQK UV8b_07388 MCRVPMSQVEKYLLNGILSQRGCLAIRCFKPTRGMTLPSLYLAP GQFRTASSTRAAGTPAAAPPAVPLRKQLKEEARLGKKQDKKNKPKSASQTVHGWELTV GIEIHAQLNTARKLFSPATTSFNDEPNSHVALFDVSMPGSQPLFQKEALIPALRAALA LRCQIQPVSRFDRKHYFWWDQPSGYQITQYYEPFAKNGHITLSARDGIALEDGQSFTV GIKQVQLEQDTAKTLAQMGNVYWLDFNRVGIPLIEIITQPDFHHPRTAAAFVRKIQLL LSTVDACVSGMEAGGLRADVNVSVRKTDDPSRKLGTRTEIKNLSTIKAIEDAIIAERD RQIRELEAGVAIVGETRGWSLGSKETRRLRGKEGEVDYRYMPDPDIPPLVIGQDLIEE LEGSLAVLPDVELDTLVDVYGLTPKDALSLITSDNGARVQYFYQVLDGVKERLEAGSP GQDSPPSRVRPYTTLVANWVIHELGRLTTYKAGPLASAELFFTENGECAQVPGADLSQ LLYHLHRQHITGKVAKELLLSIYLDEIRGGVTEAIENNSLWFEEISEGEYRQLVEEAI DGEEKVLREFVSYKKFPQGKLMHLVGKMMRLGPAERIDPSKAEMAMRARVESLRK UV8b_07389 MSAANEESKLEDETAEYFALRHFENDEGASLTPENDNADAVSPR TWTSLKPDSPSDDLHQKIPSCAVVETPSPISVEVVSPCPSPHTSCKNFLTATVETDCW EEEETDDHYCAYTPPSSFCVTTPPVHENPQSPPASVKHGKSLKSETNIRGERSESCYG QINPSVPPAQKNPQQNTFRRRPSVMDYLVSQDATRYSLPVPEGINSASHRMAEGRALP GQERGPRLGRVGGGGMSNVFVGYQGDDKRPPEEWATQQPYSRLSDNAQGGHIDHGLEG PSYWPGHMQPWDHNQPHAPSSNVSVSMSAAQSDVHSEVYAEAFHPEHTHRLDSTAPSG YQLLAAKLSGDGGGQPITPIYRRFDALTHRLLLYMQDEIADLERQLVALEAKDTVKRS YSGGIIPASRRQDRWINGSLSDQKTEILGLIGYKLSQYNQVLASFCKVQDIPVPTWRD IHTYKTYLTTSNLIVDDETRFLDASNDLMVLASKSQSVHDFDAAGDGPTPMPRAVEDQ QFPTMFKDNGSQPSTRAEQASSSSRPNDEMLVRLACAGVCIVFVPIMTFAVIPSFLGR MAVVLLVALGVGVVMEQSGVMLDAQRRKPDWILYLGLYFGAMAVVAGAVK UV8b_07390 MPSSIMTFLTGLAALFVAFAGASAQTWSSTWSSTTLQPLAMGTS TLDGALLVSINYCTVIVNTQCQVSVTTSLPSQASPVSSTPIPTTSPTIPSVPIPTPFS VPPSAAASSPEAPPLTATQTASYGSETTDTTQAAATTSSSSAGTSVVTTAAAAGIKAL PGAAWDAAILAIAVLL UV8b_07391 MTSQLNQADGDQPVKIESESGGDANAAVGKGETDAVETPESNDG NHHITDPTTCQTDAEAPVHGEVISNQATAKILDGTAGGDDREEQDDEDDEDEEDEDGD DDDDEDEDEDEDEDEEPRLKYARLTQNLGGLYRNGDATSTFLVGGDKMIVGTHNGNIH VIQLPSLQPLRVYHAHSASVTSISISPFPPPSNNERVESQTRVQPSQSQASSRPDASP AGASRRRKDPIQLPKIPSNEIYIATSSIDGNVCVQSLLDVKDVHLRNFARPVQAVALS PDFKSDRTYLSGGLAGQLILTVGGRQGRSTSTTTGTAVAAASGWLGSMGLGTSSGKDN VLHSGEGAISSIQWSLSGKYVAWLNEYGIKFMRSKLHLENSDSEGAWRRIGHYDRPQT EEWETMASVWKGRVEWIDEKAVESDEAPSSNDVAGGSASSALNKTMERLLVGWGGTIW IIHVHPGESGAGRQDQAKPFGRAEVAKILRMDCIISGISLYTQNTLLVLAFCPTEDDP EKLDHPTSPRHKSRPSSGSTGSEPVGGIRRRQNNQPPELRLIDLDSQMEIDKDRLGVS RFERLGAADYHLGVLPAHSDASTAVSRGTLETLAGLGNEMWNVAINPKSLFGSGASIM SKESGDDAASGSHTVSTAGTIRRGQSAKTGPVAVHPSLAKPGIKIFMHSPYDCILGTK RDLADHLAWLVDHEQYRQAWELLEENPDLVSATSEKHADTVQVQAAKRPTISDDFYDG DSIAESTQQDLNSHAAKEKRRIGELWIQQLIEQKNWRLAGEVCGKVLTTPDRWEKWVW TFAGAKHFDEITPHIPSRPMHPPLPAAVYEVVLGHYIQNDKPCFKDLLNRWSADLFDV GAITTALENQLKFRDVRQDSVEDGEKGRDWFIVMESLARLYEISGRHREALKCFIRFH DADAVFRLIRDRHLAEAVADDIPSFIGLRVSPQMNKEELAEATSEAITLLVDEAQHGL VRPDVVVEQLTAKKLPLYLYFYLKGLWRGQGIREHPAENIDRLVMDSQSLVDQYADLA VHLFAKFDPGLLMEFLKSSTSYTFEKAVQECESYSYYDELVYLYSKTGQMNRALYLII DRLKNVQKAIEFAKEQDDPDLWDHLLDYSMDKPNFIRALLEQVGTAINPITLVRRIPE GLEIPGLREGLTHMMKEHELQYSISSGVAKVLRSELAAAQNGLRCGQKRGIKFQVLSP GGEDTPAAAAAAAATPNKQRVPVAPGDQGSGHDRHSGAVPQQGRCASCHEVFTEYEME TIVGFACSHAFHVSHLMELIYKGRRVDVDLGGEFRESGRYSVGLKVTRARLLRDKVRE GCPICA UV8b_07392 MASTRSLGLHHPSGLRHAIAEQLLPPNPSPSQYKWEIIPDEDDH GSVEDELLTTQDSVIWCRGGIVQKTFRFSLEKEPITQALMARFPSSHDSWKPDRTDQA SSSSGRKPSRNTPRLEKALIVFLKTQAHVFFLSGTSHTIHMPFEVESACAGPVGVVIQ RKQKAENVAPITLRFPRVPPNSFVSSQLTALDSSQQTAFSVESLGKPKSLPLGLGSTL ENMWDAPIQTQQSHWPRLVSLTDPLSDIGLVVCEQAHSSQRELRRAKHRRLSFLKPAE EILHIEQIFLPGTDCESLKNPLILGITVNREDGSYTVWSLTYLDHEDPFTGHQKKAKN KASRRRSSMPPPGFTSGSSTPVQQSYRESFGAPMPGKRQRKSDKVEKPLDLVSSLEQQ DGEGAGFTRRSSRRLSSMLARADLSTSHDRSVFADQPPISSSAAAKRNDSHGSRHGRS SSSFHQQIHPSLGSLLEAPLDVGLDEGLHNMALDDHDFDGLQHEIRFTRIQTIALDSS NVRYSTSAMPSRSQTKVFILTAPPFTLDEHQRTQLLIGIQEPLEKRLQMITLFVKAHE KPDLLTTATAKARAACPYVSISPGQQWKVQSVVDSSKLVDGNLSAILVLSDSKDGRHE LSVQAPWLELTKISLSSFHVEDTRSVQYRGRVADPDVKQRKSEIMDLEGGGVVEIRHP RKRGLVDVVDGHGRLHMLRIQLQPACPQVSKVLEICKSVLPDAFSCRIHAGWLHVMQW LQSRNENLAVIEWSAIVVLLLGSFLNLGREGSTLLKSAQLPVRRRRAASGSLGSIRES EDWKALELGETANSLGCPVWMMNKGWQWALDEDVDDATSSQSETWMSAKFLSRHISLA KDYIDSPQGVATMGPNGYMPTSLGRSSETRCKVAVDIFMALHLLLEEAKLDITTPEYV CPGRTDLRVLLCQIARWLQWPNFSGIYELGIQEEIDPRHDIELNLKPPIPQPPVRPDV LEWIQSRFSGIRGKPYLTPADIYYASSRVSEADKLEDKKWSSITARTLMFKRFFKVVK PKSTAVEMVEAMQACGFTNLILQTLPEAVLVPLQDAISLCQPHPPPDWSNELLDLVKR SDISLIMKPGRRPRHALANILAPTHVATWDFRLLCQSVDEANNLGNDDGEATERQAVI RSLFKDDRRLNEAQDLLSTHKARVVRLVPDPSWPESEYLEKQKELVSKIATGTLAIPA GRALLYYSLRYPLMTQKFHVGGFNLNCIVKPANVTVGVDKSLFTEEKVCWGFFHQGVA AGLAISPQAKGIDTSWILYNKPAQDLSNRHAGFLLALGLNGHLKGVAKWVAFKYLTPK HTMTSIGLLLGLAASYIGTMDSLITRLLSVHATRMLPRGAAELNLSPLTQTSGILGIG LLYCNSQHRRMSEIMLSEIEHIDEEDEEEPLRSECYRLAAGFALGFINLGKGGDLKGL HDMKLTEKLIAHATATKSVEIVHILDRASAGAVMAIALIFMKSEDQVVARKIDVPDSV LQFDYVRPDILLLRTVAKNLILWSKIQPTFGWIRDNLPSPYRHRHRLQGTSQLRSVDL AFFSILAGICFSIALRYSGSASIKVRDLLVHYLDQFMRISKIESTTRAQPDAAPLYDE ELTRSNARTCQDILALSCSIVMAGTGDVVVLRRLRSLHGRDTPDVPFGSHLAAHLAIG SLFLGCGTVTFGTSDMAIAALLVAFYPIFPTSVMDNRSHLQAFRHFWVLATEQRCLIA KEVLTGQPVSVPVQIKMKQGSCSSELTLCRTTPCLLPPLDQISSLSTSCGPQFWDVTL DFSNKERRCAFARTQSIYLRRRPPLEGSFASTLRTLGSDSKGTNPLEWIFSLEQLQGV SHVEKAALLDGNEDGQEVGLAVDARMEMQRGIADGGDRERFEGARLLFEWASARERLL KDNTSPGMHDSQATIKADVSDADETADETADDNAVSHDPGDNVWWMRDTAIEALKGQV WLAAREGE UV8b_07393 MEDLVTAVSADGSSIMPLLAPHLSRHLLFPLIQFEGDKAEEKGE DEKAKRILAGKIRLLEDTNMTDYVATLYCGLHGVAGPPAEYTKKRQEVLAQLENYEQA TAKIADLLTQDEVVNSLRSDKVANLEFLKNQHGVTMEMVNALYDFGQFQFRCGQYGPA ADMLYQFRVLSTDNDKVSASTWGKLACEILSTNWESAVDELKNVKEGIDSKLFNNPRA QLDHRTTLIHWSLFPLFNWEGAREPILDTFFSAAYINTIQTSCPWVLRYLIAAVITGR NRARSSSTQQKQLKDIVRYVRQEAYEYADPVTQFVNALYIAHDFNAAREALHQAEEVC RSDFFLASSADAFVNAARHLICESYCKIFSRMNIRDLSAKLGLNPDEGEKWIVDLIRE TRLDAKIDSQNGTVIMNHPPNNVYQQVIEKTKGGFFRTQVLNAAVSK UV8b_07394 MNHHISRAVLQRRPCLAEGLSPRYVLFATRSLSASRNASSTASR TYAPVARAATPPPTKSDAELAHSAYIVRRTSSIQLPVYKRLKSGGNRVVVLIKKIDGD RRKLLQDMAQSLGVAKEDIRINPTTQHVELKGDYYEQARSWLLSRGF UV8b_07395 MSAWMNDAVPNYNGNGFPHINDPNAAGAMMDPSAFLANPGQFNP GQFPNQQQQMAGLQNGPMRHGSPAYQNSNPNQNPLYTTNSVIPSKRPRPRDDSVAGSP GQNSGMQPPSRSETPQQQTFPAFQPGGGAIPQQNPAQFSHIQANGSANASPSPIMGNQ MRPGNVPQRVATASPHPFSPGPQQFGGTQTSPIPSDHGTPQPTQYMQNLPSAYNPAFA QSPSNPRPSPNPNAMLGAGAGIGQMMPQQMAQMPQHMNQMMPNMYQQMQQQAQPTLQP QLGQQQPQPPGQQRQPSIADQQKMAAYQMRLQQQLHGNMQMPAQMQVPSMGRGMMPKQ QVPAIPNGQMPPGVMRQQPRLMGSINAEQFMKNLTALMSARGLPLDPHPVVADRPVNL VVLFQLVQAKGGYKSVAAVNGWAHIAQALGLPPQIPTVVPTLKQIYERNLIKFEEAWI AQHKQRMMQQHGVGMGGPGTSQKHMQPGQQLSQGQMLQPGQLPQMQQSSHMKPGQAPV NGFPAPQPPQQSQPPQQQPHPHHAQQHQQGQQQLHQQPHPQNANAIPASRNSSMSRST DPSATHDFLPSPIHHRPGSLSVDGRHHPTVSAEPHLPRMPPKPQDYSPCARELSTHGG VDLRAAALLGIELEKSEPTVPSVNELGNVDIAALTRSLQSNLHGEVRVALDTLVIISS SPIQAHFLHLRTCDDLVEALMDCADTQLDLLLEHAVEVCDEIQLTPYEDVVRACRIER LAIKSVPAFGSDEYELDRAVDRLISITTILRNVSFPGEHNDNHLVLCDDVVVKLLCSI IRYMGTRTMLLRTHCNTLDLMKDMVILLSNIAGSLEIPGREQALCLLQFLLAFAPVPG PVLVNDSFTFSHELLTRAFALAISPIPDKAKEQLRRDKVPPLHEVRKPFLMQGLLAAE IIVSLISSSECDLARAFLTSADGLAQNLAKLIQELSALYEKPAALARGGSRVTARKDP ELVYIVVVAASLLRRLAEKARESSAAGPAGIAGLLPPPQMLMESLMMQSSEWTKDGLL PHLTAVLNLDG UV8b_07396 MAGVAEKARFYLERSVPQLREWEEKQIFSKDEIRTIVQKRNEHE HRVLSPGNTPSDWSTLATWEQSLETLRNKRCKRLKIHQLTSAHAGQNRVMSIYERAVN RHPGSGELWREYLSYTASVKASKRWRKTMTRALRMMPTDVDMWVMAGRRSARNGDMAS ARGFFMRGCRFCTKDCRLWVEYAKCEMEWLVKVDKRKETKGADALRPDRVDDDDELRI VDSEDDDDDDDDGSVLPEPSKTQARIIDKRASEQLKSNPAMDGAIPMAIFDIAANQGF YSAQVAEIFFFMFASFRKLSVQPKVTQHVLDALEKQFPNHPSACSCYIRHPIAGVAPN TADFPRGLRDVLTRLDEKMETTADKAELSRKTAEWIDGYLAWGDLDAGIRQVLEHMRG KLDIS UV8b_07397 MSKYKDKDGGVVLSFGGQWVSWAHTIVAYTAFLSALIVGVSLHY HKIVENEFYGYPQEWFPSVSATIGDRYPERSFFMIFIAITSGPRFALVGLFYLLTRKP GTILPGFVASMGFLRTLTCGGWTYITSTDDHDWHDILMISYIVCTLPWTLGCIALSPP NPQAIKYRKYLGSAFFGTLVPLVYFFIQHKVHRVAGAYTIYAFFEWSLILFDVGFDAV TALDFSTFELIVRDTKGLSKGENRSSTPSAVMEKEKEKATLGLCTIRFTWSEALDTAA NIYHGFIFWSMLTSLGLVVWYFPLWHMGISGYEAFVLVSVSPILLVGPVRSAIVNNQR AVHLLSLSGIAAYLVLDPARRLLTVGFGVAMSTMGWVSTLHAESIHEARFESKLLGLL VGLILSSTAKFAWKTNNPVWPIMHGANGGWNLGGLVLAVLAALRFTRKAPLTGGSSDG AQTGSTILAACGVGGVFFGAHSLLSDTSTMILWVWEGFPLRGPYSSTHGWCTLAAMSV GVFIGICKPSVSISWATYAAGTAGAMVLTFFSHWFGYYGGLATATYLMAVAVPILTNA SKKSPAATYGLGFFLYVFLVLFHVWVVAYAFVPGGPLVREHTDWIMYIMMGLIGAGVY DCNSSRLRKQGPRRPSASQHQKYFGFATVIINVLFLSAAFMRFPTNDYKPYHAEDRVL TAGIWTIHFSLDNDMWSSEHRMRDLIKELELDVVGLLESDLQRIIMGNRDTTQFLAED LGMYVDYGPGPNKHTWGAALLSKFPIVESKHHLLPSPVGELAPAIQATLDVYGQMVDV FVFHSGQEEDPEDRRLQSEYLAQLMGSTPRPAFLLSYLVTKPLEGNYNTYVSDKSGMH DVDPSDWDRWCEYILFKKLKRVGYARVSRSTITDTELQVAKFVIPSSSAEAQQLDSMS AEERNRRVQEHEVPEGWRFPSMFRGQGVRDHRYHVFDEPRYFN UV8b_07398 MAFSSGPLWTYLGWLSGAGALYIFSLVIYRVFFHPLAKYPGPFL AKISDAYQLYHAYKGDRHLEFWRMHQRYGKVVRFGPNSLSFNSNQSLKEIYGFRSNVR KAEFYDAFVHPAANTHNTRDKDVHARKRRVLSHAFSDSAMKEMQRYILGNVRTFCQQI GLNDGPVDAKGWTKPRNMSDWCNYLAMDILGDLSFGKAFHMLEKPDNRFALELVEAAT TRHLICGTMPIVDKLKIDKFLFPKLAAGRARYMAYSKGQLTERTKLGAEADRRDFFYY LLKARDPETGQGFSTPELWGESNLLIIAGSDTTSTAMASTLFYLVRNPHALRRVTEEI RSKFDDVEEIVHGHLLGSCTYLRACVDEAMRLSPSVGGILPREILAGGMTIDGHTLPQ GTVVGTPHYTIHHNEAYYPLPYGYAPERWLRGEVNPLNGKTVTEDDVALAHSAFCPFS IGPRGCIGKGLAYVEMTTTLARTIYLYDLRRAVGVVDPGEGRADLEWGRHRETEFQLV DTFTSAKKGPMVEFRRAQKT UV8b_07399 MTVCKFFQQGNCRYGSNCRFEHPAVANNRFGALASGDGGGNRGL KDALAKYSITTEGIEKDLTTDTPQWILSAYAPGRNAPEQLFGGFPREQSFEEMRMHYL TAKASGNEQQALNEAQELYHNAQQQMQTAVRNVQDAARFIVEAENKHPNRLDICREGT QGAPFGEFLIGKRPASVAHPAQQPNPFSTGAGSTASSLGGGTATLQTPSAFGQPAALG QRPNPFGAPAFGQPASAFGQPSQQASAFGQPSQPAAPAFGQSAQTTSAFGQASTLGAK PSPFGTPSFGQAAWAANPQGSAFGQVGQLGGAKQNPFASSGSANNAASPFGTVNVKND NSAPPPANPFASSNTAPSNPTSSPFASSALNQNGNASQPNPFDQQQTSNPSPFGQAAQ VAKSNPFAAAISSEPLQSSDPFAQNAQKQSNTPFGANASSNTFGENSSQSQNPFASGG SQTQQAPAAPAAAAASDARNPYPPGCAKHHPSIESHATKKMDGTLASFKGKAVSYKGN LPGILGFDGTWTRIWFPAGAPGYYKDTELPPEQYDETSKMQWAAFVQTGTFGDGIMPE LPPRREFTQWDF UV8b_07400 MSYNKADKDLGEAPKAHKIRITLSSRKVNVLEKVCSEIIERAKS KDLRVKGPVRLPTQILKITTRKTPCGEGSKTWDSYEMRIHKRLIDLHAPTEVVKQIIV NIDAGVEVEVTIAA UV8b_07401 MSALPPLAPFPKASWQKNLSSTEWNQLVQAWASLCRAYLDLPDD GFSKASNNHDSLVAFTSSFVRETVHASSTSASTSLLRPVFHLTSRLLKLVNPPQLLEY SFLGNLARLYPKKRTAALLGDVFAGTASRAVAEASVTALKRLLIPHLDSGIRGDLKLV ESSLVALNPLLHASPAPCVLLLAGSDFLDGLVTCYRVMNPPLRKAIVATTYLCVVGLA DSEPPKWSMLNDQLFALNSAAESHKNGPLNANDSLVAELVTTTPLLKILWRKAEAHGA ATESLQKRIAVLESFTKGPMTRPSRLVRGRVDKGKGKATNDEAQMEAHVRRMSQVSQV QDIFPELGTGFISRCLDEYGDDVEQVVANLLSNSLPSHLAAADRSEPLSPLQFVPHQT DVAARSAPLPPAPAPAPASSRRNVFDDDEFDRLGVDLSKISFGKKPQKDADHLLRDKS AAPNKATILSALAAFDSDEDERDDTYDAADVGGTVDSTNQEADGANDGNEELLFRAYQ TNEKMFDRDAGTRRSNMRLKLREETGMTDEALEGWAVMLARNPQQKKRLEAKYAFAGQ QAQLERTAWRAGPAEDGDVDGAAGRGGRGGRGGSGEGTGAGRGGRGRGGRGGPGSSAA GPAGEKETEAARRNKEAHKGSRANHNRRNARAKKMARGGFAG UV8b_07402 MPNTSLRRPQKGFRRGGNTAYHGHRTRTFAATSRAEGTSADEKW ERIRLAQTIDENLGFTRYDGGKRREGWLVNVQPTSIEDERIPGGRAALDCYFIEHDGS TFKGTVEYDPYFLVAVKKGHESEVEEWIKRVPGDGVVKSIRRVEKDDLSMPNHLLGYR RTFLELRFANVNNLMAARRDIMPVAEKNKKNMNAMDAYAEVATASVNFDLFDDDLRND ERGSASFTEASDHIVDMREYDVPYHVRVMIDLDIRVGKWYYVEVKHGVTKIIPNKERS LPADPVVLAFDIETTKLPLKFPDAAVDQIMMISYMIDGQGFLITNREIISEDIGDFDY TPKPEYPGPFMIFNEPDEKALIERFFLHIKEARPTVIATYNGDFFDWPFVEARASVNG IDMYQEIGWKKDNEDQFKCAYGVHMDCFHWVNRDSYLPQGSRGLKAVTVAKLGYDPDE LEPELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTILPIGGDDTLRKG TGTLCEMLLMVQAYQKEIILPNKHQSPKEAFWEGHLLHSETYVGGHVESIEAGVFRSD IPVDFAVDPGAVDELLRDLDAALQFVITVEEKKSLDDVENYDEVKQQIAARLRKLKET PNRHERPLIYHLDVASMYPNIMTTNRLQPDSMISESDCAACDFNRPGKTCDRRMPWAW RGDYLPAKRDEYNMIRHALENEKFPGKAPHAPPRTFADLSADEQAGLVRKRLQLYSQK VYHKIHDSTAIVREAIICQRENPFYIDTVRDFRDRRYDYKGMAKVWKGKADSLRSSGA ASSDVDAAKKMIILYDSLQLAHKVILNSFYGYVMSKGSRWYSMEMAGVTCLTGATIIQ LARQLVERLGRPLELDTDGIWCMLPATFPENFSFKLKNGKKMSISYPCVMLNHLVHDK FTNHQYQTLVDPKTFKYETHSDNSIFFEVDGPYKAMVLPTSKEEDKNLKKRYAVFNDD GSLAELKGFEVKRRGELKLIKIFQQQIFKFFLEGEDLTQCYTAVAKVANRWLDVLHNK GSTLADEELMELISENRSMSKTLAEYGSQKSTSITTAKRLADFLGEQMVKDKGLNCKF IICARPKNAPVTERAVPVAIFSAEESVKRTYLKKWLKEEPADTDPRALLDWDYYLERL GSVIQKLITIPAALQKVRNPVPRVPHPDWLQRRINIKDDKMKQKKLTDLFTKEPLGDI TNLNGSRVDDLEDFGSKLLKPRQINEVISSSQPLPAVHKRKSPDSVENPDPMAMLPKV MPSASEDYPSFLRYQKKKWALQKQARVRRRQLFGDRKGLTGGNLRQTFMKQAHITFMN TWQLLHLQPTDTPGVVTAHVLIDAKIHTLKVNVPRQLFFNLKSTELPDVDIPGCEVEQ VNHTLPNGHASSHLFKLTVPEDVYFNEAAKFSALFNHPGVEGVYEKQVPLHIRAVLCL GNQCTIDDQQHAVLGKGLEQGFDLSGLKRPAKAVTYLESSPLAYIYISHITAGERQIF GIFSTTSSQGHIVMLQKNKDSGQDLPNVTRMYSEMLVKRAQEAAGTNWQDCFSYQEKV TFKITQVSTRRKVHLEIGDVVKKMRKDESRPQVLVLQSSQRNLLVHHVPVLGEFPVLP LKYDTTDSLLPPLGWQGVVARRLIGHYLGLGSWILHLTTLARYGDVPLCNLEREDPRF LIDVAYARRLQANGVVLWWSAGPRPDHAGYEKDDVLGPLETVKMANVNNPGTFASVCI DLEIRNLAINTILTSSLINELEGADSISLNPSAEGSGVLASDNAFANAGVLVLREMVK SWWAEACKGSTMADVLVQHLVRWVENPDSFMYDRALHYYVQMMSRKAFQQLMADFRRV GSQVVFANANRLILQTTKAEVGNAFAYSQYIIKSIKSKPLFHFIDLDIKEYWDYLVWY DEFNYGGKACQEVVEADEQNLETIMHWQMTTFLPARLQATFQEWVIAFIQLMHSLKRQ ANDDGDASTPRLTQLPNRDTENGQGQVVLAKSFAKPLKRAIASLISQQKRELLHPELA QDYSFPDLPGSHLPASNPVLELVKSLMQVLSLDKNITLEARLLRKELLALFEVREFSK EGAFANPSESLRLVQVSCDSCTMARDLDLCRDEDLWSDGGRRWRCGFCNTEYDRVAVE ERLLGMVEAWAVEWATQDLQCARCQALRVNDLMEYCTCSGEWKEKVSRDDTVRKLTVM RRVAKYYGLKMLGDVVAGLFSDV UV8b_07403 MAPNIVDAPQNADSNPGQKTLHVWSKSIEQPDGQGQSEANSHNA RPTISEAVSMIKADDFTNVHNTPCARQGFLTGIAAGAGVGALKFVLKGNAVKSANWAV GMFILGSTASYEYCQYLRRAERIQMKRQIEIVAENKREQARRAAEDKREKLKLEQEST ATQKPWYKFW UV8b_07404 MAAAAPAGSSKRKRGEEDIAVSPIKFSFDFCSTNSTDDGSGSPR SKVAHRFRGLALESGGGVQDDDDDDDDRSTNAMRKRLKLDTVMIDVGKPGPLQPGRKP TSPTPPSRHVGFETPATTKVNCPFRLPPSLDNDAQDSTTTAKSPRRKRASTPPLKLNK SLMPGQRTATKDGQSKDGNDLDVAVVDPVRAALTWHDDEITVYDPDDEDDDGTGVNGI GFRPTPAIAHSRAMRRRQQMEGYRKREEGEARAQRNIQRRGEESLSARPKKRSPARKV HFIDPDRQNMAVQIQ UV8b_07405 MAEAPFSFLPLGAIIQSFKVKDTNIVLGFPSQELYVKYNTPHFG ETIGRVANRIKGAHLDSVNGRSYPLVANHGPSTLHGGIVGWGKRIWNGPKPVGVKQIP GVGDLQGGESVEFTLTSEDGDEGFPGAVEAKTTYTTGTQTKNGKEVLVLGIEYEARLV DGAEETPINLTNHSYFNLSGDATVDGTVVTLATRHQLPNDEHSVPIGPPQPYSIDTTK PFTLTATEPSIDNCFTKISDPASVPIDTRSEPLTLDLAASHPKTGIHLEVLSTEPSFQ FYTGDFVNVPAVKGVSAKSCRSAFCCEPCRWVNAVNVPEWRSMSLLKRGQTYGSRIVY KAWSD UV8b_07406 MTLSRGKLEEALIQGTYQVFTSDPDATTVNKVRKHVEDAQNLQA GFFASDEWKQRSKILIKEYVDKFLDGWVPGETEANDGTKRQAPEDISPSPKRRKQGAK TGSDSMKGKTTGNPSPVKAAASPSPIKQAKVKEKPGAVEKKPSPTKEVEGSGDEALSS IKVDTSKPLVSEEEEFSDVIDEPPKAARKKKDAKQKSSKPAKPAAKSKQKAMTSISDD AKEVEIKKLQSQLVKCGIRKLWHNELKQYGDDARAKIRHLKKILADIGMDGRFSEAKA REIKEMRELIADAESAQEMNRLWGVDSGARASRSKQKSTKSDESNGSEVEAETDDASK ANDDHDDQDSDDGNTSFAARRRRAQADLAFLGDDSESE UV8b_07407 MSASLAPECNEVKERYDTCFLKWYSEKYLRGGEKDNKECAVLFK DYQKCLGVALKERGIDKLVDEAREDNRDNDARLILPKK UV8b_07408 MHPFSILTLGIFIAGYITARWDLVTRLYELAIFAVEYGVVIRAA KGVIALTALFVAIFAPVAWIARKETYLHPRAPAIGLSAREQLRRREHDGLMRVFWPTD IRKSDRPGVVVGWRNSTLDVFVVAILEDVEAQKVEIHLKEGTFFRNAPHSPARIYDLC GHASMHVLGISNTMTTRDIDQSWVCSVYCPSSRIPTITCAKASSIQLILYDRPQPKYM QYVSLTPIALALGDSQPPIDDGRIDDDAEDAIERQERVAKETKRKLVEKLKQHSIYKR VPSARDKALRKIINQINWSWELEQILLKNVGRLGSRPKRSLSVSEQVMESAFTMRNYV LTQLWAIFSVYLFPTLCKIFNIVLMGHRMAAELFLLLLEFRIKPGYAALKDISATAQQ IEIRLQQFCYWPMQYSTLRQRKMNWASITTSHPDYIRFYNSLWLVANDVIIGMALGSY IIEHADGLATQLGDLLRVYTVEALRRSISWLMGWPAGLKLNSELAEFLGELFLWVIDY WSSCINALHPVLPKVVWFIGFTSFAGASMPIALCSDLLSALTVHIYSFYLASARIYHW QLTILQSLFHLFRGKRHNVLRNRIDSCDYDLDQLLLGTILFTLLFFLLPTVVVFYLNF AIARMIIISMKAGFDTLLSCLNHFPLFAVMLRVKDEKRLPGGIRFELRDDQDDGSSDS QSPNKTPTSIIYLKSVPLKFSDMFNQYSQLAHRIRKHYLSPRVLLCLLTGQFVPPINR KNLYSLQYSMLPSRRATVWEMWRAMNTQLTQKRAFQLPIIPPLPNGGKRMANTGRTRS YH UV8b_07409 MDSKQKFYHHFQDSAAEIQDLTDQLDCVSPVAGERQEAIDHILA SISKLQHEVSDASEFTPSYDRKQYSDTIKNLQEKLNETIARMTPKSRFQFRRCSVQEH VDMGAPENDPRLYPGSHSRNIQSHNGDFNREATERDSRVRQLPPTENPEYDNELPKPS AQSFLQPGFSATKNVGISNQSDLHIILPSSAARATTSGSLTDIKCCIVDMSLPTVEGR PWPGLTLRNISHSLVIAGLVDGPVHITGVSNSIIVVIARQVRIHECADVDLYLHCTSH PIIEDCTSMRFAPLPKSYAIKDVSGKENQWDKVDDFKWLKAGQSPNWRALSDAETLDD TVWTKVVPGQPGKSVEETLKEVGVSRQ UV8b_07410 MAGKQHPLALPTSLSPDHLDTLSELSIVLAKVRAGIQSSNGIAT GSGASPGGVSTQGQQLSFKDVPGATDALKHKLQHARAQVRALPDMHRSIEEQNCEIKE LEARIERQRALLERLREGDLRFNADDGTSAGVKTEK UV8b_07411 MSKTEQKACLIVIDGWGIPSEKSPKDGDAITNANTPVMDKLSSN SHGFTELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTIRNEELSENEV IKKTFQSAASGNGRLHLCGLVSRGGVHSKQEHLYALLRAAKEYKVPKVFIHFFGDGRD TDPKSGAGYMQELVEYIKEVGIGQIATVVGRYFAMDRDKRWERVEIALKGMCHGEGEA SEDPVATVKARYERNGDQDRDEFLTPIIVGGGESRIKDDDTVFFFNYRSDRVRQITQL LGDVDRSVLPDFSYPKISRLVTMTTYKTDYPFEVAFKPQHMGNVLAEWLGKQNVEQVH IAETEKYAHVTFFFNGGVEKVFPLETRDQDQDLVPSNKSVATYDKAPEMSADGVANQV CKRLAEQKFPFVMNNFAPPDMVGHTGVYEAAIVGCEATDKAIGKILEACKKEGYILFI TADHGNAEEMKFPDGKPKTSHTTNKVPFIMANAPEGWSLKKNDGVLGDVAPTILAAMG LPKPDEMTGKSLLDKDLKRGVE UV8b_07412 MAHPRGKSPTFFPLYAFAEEDGERYTCFVRDTGMLATPRQPTPG GYVILAPNSEVLAASAGMIGAAKEAANQDGQPNDVLVHQIHLWDAALP UV8b_07413 MLNTFQPRLLCADDVLITSSNITAKYHANQPSYIWANLIFQRSD PRSVPEITWCLYSEIAHLPHRQSQGITGLCKTPQTRQNPIVNLSKPPDYCFFGLPSSC LIDLVSARRRNYRLPVSKKDRFALDCVNTKRIYKSNHLPSACFFPSALYSYLLFTTAV QPNQKATKNPHLWLFT UV8b_07414 MALPTQNSRRTVRLPLSSTGHPLPRRTSPSNSVTLIHHQLARDA SLRASPGSAVARANKATGSPRRNSSGDSHETGQSDPNKWFDQSNQNPTATFDGAMDVD PPFFQKESDSSNDDKLYAYQNPPLQPLLNTQHSSSADDYRSVIDDLTIEIQKLKEELK KYKQRGPDMLRKDKLFEIKYHGLPKRKRRELETTLRDFAASIEDSPDVSSSQRKKSSR HATRDNMYSGSGSASKHASSSSGSNARPVDSAYASMSSGANSAGVSLGRPAMSSRARN DQKVESYLRDIPEGLYPRHMVLTDRERKKLVVRRLEQIFTGKMGGRHARKAGQRQNAN ASLVSSATAEPEPQPRSLKDQRPTSGTTGAELCREARILPSEQLSGTCGKKTQSRDNA SASNSNGDQTESGGHGNSSRSGTNPSPTQPTAPDQRPTRVNDLDPDRIQVPSENMDYI RHLGLVPPGLLVDPSKNAEVQPDADGWVYLNLLCNMAQLHIVNVTPSFVRAAVTEIST KFQLSSDGRKIRWRGGSDGTQFSSDSSNDSSQTTPEVDDAASKDGKRKRQRTGKSAGY EDQSGGSSKSQSKFGPQISTSSDSFHYKPLFAHNGSPNGQISLDDTLSSFGPIDDSNV DESRWGLSGSGTSNRRKRRHDGVIIYYSGAPFCTDLSGDPGDASPATYMLSSGQDRKE HDCHQFDRPIPHRSASGSSLGYRPLSDMYHGCHAGVKMDVDSVDCVPDLGNESDSDSG IIDSEFPWATGQQYIGVIPLEPCGLGGVMPDDHFMVVVTTKRPKDDTVSELVSSTRKK SEEATEVIIGRLASMSTSSPVPVASSQKVGPVEIEYVSGRIKRLAPVSLPPPVIFLPP FSSDASSSIGDDYMSEDADESLESSEELMSRQANPHHSDGYPDGVDLSSGDEDGEDPD ENLEELKMYDKMESADVPGFARPKSKRQSIGSAEAAPGSARERSKSASADALFRTGAS SAATAGVRSGYTSSDKEN UV8b_07415 MWWSLPKLIAVAGAVCVARGAKVNVADARNAKNIAIIGAGAAGS SAAYHLRKFADEQGLCVNISVFEKTDHVGGRTVTVNVFDDANQPVELGASIFIKANHI IYNATQNFQLNLTELSAPDPAGYTAIWDGEAIVFRSEASSSWWWDAAKLFWKYGLAPY QAVKLVKSAVGTFLQLYEEPNFPFRSLTQRAFELGLLRLTGVTGEQYLQENNINPRFV REVMQPASRVNYASNLAFIHGLEAIVSFATEGAVSVSGGNYQVFEKLLQHSRAAHYPN TTVTGIAFHKGTNKPGSIPKYALSTKSSSPRSRVAKLQTVFDSVVIASPWQFSGIEAA DGVIKHHIDSVPYMALHVTLFTSPLRLQASYFGLEPGSKAPSNVYTTLGSEETAQEGP KGVGRTGFYSISTLRTITNPTTGKKEFLYKIFSAEPVNSTFLSTILGADIPDSFVSND SAAGVEPVSWYHAHCFHSYPIGLPRVTFQDPILGRGLYYTSGVESFISTMETSALMGM NVARLIVDDFAGISRNRWNQIGQFSRNPAKARQDFWDSMDSEMRASMDFLGADEL UV8b_07416 MFVLRNVGKFIFGSSAQEALIELPQGQLYLVRPLSPKGYSELIF KDSAIRIRRTNQDFHYQLVVQRVFEEGEAELLAEEEGEDAEIDALAAERDEKTFLLDE ALHFRVESREGGETVFAWRDLSGDNGDLYEFVCDSQASSAQVEQFVQVARQCQYERKY RKSHTTASDEDYQQFEFHDENPIPPASPLHSPVLARSIESVDDMYAKANTAAKREPLA AAKQLTPESEPIPEPEPEPEHEPQKSSHPPEPDSAPEPLEIYAAVPAELHLYDPQPGH FVLVDESVIATVSEVGTWQYWLQIENKDKSWIGTSVVPEFNPVFDFEYLSFVFNHYSN DATARSWLLRFKDQHTLEKFQEAVMQAIWERLNETKWARVQEKEREYVLDAFGDMNIN DSLPQEEAEEEEAELDEEDHDDGVRSDEYDSAGENDEAAEEREPGEVNSQLAVGYKHD RSFVVRGSKIGVFSHTADNRLKFNTNISKVTTPSGKLMSPKKVMLHSEDRDLIMQNDV DPNKLFRMDIEYGKVVDEWKVHDDIPVVTFAPENKFAQMTSEQTFLGVSNNALYRIDP RLSGNKLVDTDMKQYASKNDFSALATTEKGYIAVASNKGDIRLFDRLGIRAKTQLPAL GDPIIGMDVSADGRWILGTTKNYILLVDAQQKGGKNDGKLGFEKGFAADSKPRPRRLA LTPEHVAQVYHETGKAVAFTPAKFNAGEGAEEKSIITATGPYIVEWDLKKILRGTKAP YKIKRYQDEVKADEFKFGSDKNVIVALPNEVNMVAKQSLRKPTRESIMGDVRLSSGGR RSSGRIGNSQSGRYKLGRDDIVNSPY UV8b_07417 MAMNSENPLPQPNFGLISEGFGSLSEQFALCANLPAVNNGDRLV TTLQTILNRLVQLNEKADALDRKVEDLRSDMSAERRNAIARLQNSSVMSVSEDLVALH NPATGALINNFPPTLGHLDRLEMRQVNELLQQLGEVGTGRIDERRRHLMRTIGVLARK LR UV8b_07418 MSSDTSITDGLAPKIQGRLLLLSNRLPITIKRSDDGSYTFSMSS GGLVTGLSGLSKTTSFQWYGWPGLEVPDNEVDGMKKRLKDEYGAHPVFIDDELADRHY NGFANSILWPLFHYHPGEITFDESAWAAYQEVNNLFAETVIKDVQDGDLIWVHDYHLM LLPQMLRQKIAKTKNKVKIGFFLHTPFPSSEIYRILPVREALLRGLLDCDLIGFHTYD YARHFLSSCSRILGTHTTPNGVDWNGRFVTIGAFPIGIDPDKFVEGLKKPSVKERIAA LNRKFEGVKLIVGVDRLDYIKGVPQKLHALEVFLTEHPEWIGKVVLVQVAVPSRQDVE EYQNLRAVVNELVGRINGKFGTIEFMPIHFLHQSVSFDELTALYAVSDVCLVSSTRDG MNLVSYEYIATQQERHGVMILSEFTGAAQSLNGSLIVNPWNTEELANAIHDAVTMSQE QRAANYKKLERYVFKYTSSWWGTSFVSEMNRLDSSVQPKTLRNVSGAVVGPGQEDDEA VSPTQE UV8b_07419 MDSPQDFKLLQEGIQKSLVSTVKSINRIAAEDLNFQRTVNPDVA EQLDDKSVRVLELSARLLESAAKACGLQTPRLEDAEDIDMNWKSVVDVVDSVLERADT ALDEYTGLIKRKDPPTADSGPMSKRTKSTVKVIRNANVTKPQTIFENKPDNFPTGPWK PILTKKPHATVSLEESLVTDETVDGTLQFKHPYEMEILETRYSQWLFQKSEPLPSKPA ESTKAIWVDTFEGVEDMLKHLKKAKEIAVDLEHHDYRTYAGLVCLMQVSTRENDWIVD TLRPWRHKLEVLNEVFADPSIVKVFHGAYMDMIWLQRDLGLYVNGLFDTYFACDLLRY PGRSLAFLLSKFVNFDADKQYQLADWRIRPIPGEMLYYARSDTHYLLYIFDHVRNELI EASDRTQPETDLIKQALDRSRELSLSRHQHPDCNEATGEGPRGWYNYMLKNSHLNFDE KQFAVFKALWKWRDETARQEDESPNFVLGTSNVTEIARVNPPDVKALHSLLPLSAPLA RARLNDVWNRVLDAKAEAGPSLLQYFASMAPETVTKDRLSRLPNETVRLPHLEGTRLV VPKMTQSKLFGSMAVSSLWEESKKLSAELGGNIPFPWQRFVQDSATALGDAHTESVAG QVIEEPSSTLETRKTAVAVTLEDQDEEFTLKAGRKRKQEQEPEPDQEDTTSSSGEYEL EPKSSTDENVPLTGADGTLSIVDKPRRAKKKQGRSERRLREEQQETELANKREAKLAR KAKKQEHRARVAKEQEKKYSAVPFDYSTAPTVMHASRNNTVGKESRKVFDPYTKTADE GIKGARKMPPVRGEKSATFRK UV8b_07420 MAAPRVFASRLASQMATKVARPAIRAPVAAASKRTITGSASPLQ TLRRQQATTLLQATARNAFQVQRRAYSSEIAQAMVEVSKNLGMGSAAIGLTGAGIGIG LVFAALLNGVARNPALRGQLFSYAILGFAFVEAIGLFDLMVALMAKFVCLQLNL UV8b_07421 MSAADTSSVTNGFFIAIGLLTMHLDESTFQKTGLSGQSINIGHQ TVWRISISLESSQTFYEKANERLMRACETILSRSLNWMVCEISGSGLASDTLKNFDSR LKKRTVLPNISQSIVASPDPVLADIKTWSSTKQQDHEQKALDLYEWLSLVSLESALVK TGNVTDSFLSRYQHNSRDADQDQIKVCVISWSGLIGSTWFHNLVRDGLMMRRGRSWIL INATRCNSLATGGSLELKLLRPCMQEDQYLMWHIRSAP UV8b_07422 MSLNPFLLAADNDASLAPLLRENPSLASAQDEHGYSLIHAAASY NHLDLLRMLVLELNVDVNLKDEDDETALFVVETMEAAKVLVEELGVDVHYRGASGMTA AEKIASEGDFPYIAAYLASIEPNKTGKLSDAMAAETIPDRLSTPPPGIRLKIGMIDST EDIPVEVDQEFRRRIEDLAQRDDFNDPSGQADLRRLVADAVLKQRLSD UV8b_07423 MAVPYIPQDVDEVSDMLKALESARKDKRKMGFAVKKTSLKVQSS ANGVVVDSWKMQDWDYKRPNLPTYARGLFTTENVSRRRAEIVVRGYDKFFNVNEVAET KWEAIISKTLPPYEITLKENGCIIFVSGLEDDTLLVCSKHSTGDRDDIQISHASAGER HIERQLAGIGKTKSDLARELRNRNATAVAELCDDEFEEHVLAYDADKAGLYLHGINLN LPKFVTYPSSAVQQFAEEWGFIKTGLTVLNDVNEVKAFLESVAFTGAYAGREVEGFVI RCKICNDPATQVFQDWFFKYKFEEPYLMYRQWRECTKALIAGKQPRFKKHHKVTEEYL LYASKRLAADPNLGQLYNKNHGIISLRDDFLKFKNLNGYDAACLDNSDSVVMNEITAD VILCPIATIGCGKTTIALAMSQLFGWGHVQNDDIAGKGRPPRFTKAVLDQLRDHPVVF ADRNNATKVERKQFISDVKLQYARAKLICLNFVHDRDSIDDIRNVTQARVLARGDNHQ TIHAASDRDKFVGVMEGFIRRFEPCTPCAGPDDGFDFVIDLNPKIGSRQNLETVVSRL KQHFPNLFGTMPSPEQYDAAINTALAYRPAFRERKSENSVNHRSQRKRRLEYMSVEIS SHEVNDLLETAFNEVSPEKTRFYLQLKRTRRIQNTFHVTLMHRATSNERPDLWKKYVD IWEAFGGSNLPLGKCDILLERIVFDDRIMAVVVRLIDDSANWECVNQVAHITVGTRDD SVKPKESNDLLQRWIEYGADGEGVSELLFKDKPTFRGIVRGIAAR UV8b_07424 MVIELRRHFTIRGKDKYYEYCTTMDFAPYQSSPPEHSRVTSPDC NTFSPRQSYDAVISRPFSPANARSPPPLQHPQPQRTWAGLDGSTVGWPGGVRRGADAP SLHPAAELSEFDTSLGLRLDYEACLAYLALPPLGAIILLIVERNSDYVRFHAWQSAVL FTAMLVFHLIISWSLFLSWLVFLCDIVLIALLTLRAYNDAKVLDRYEVPFVGRIASRI LDDE UV8b_07425 MPGQADSAAVFFKGVAKPRNMKSYLDLIHETNNFPHSNTAEIES VKLSLPEGFYILVWKDEDGIYPIGYVLDRVVDKLREVPEHVRGTMEINPSDRTLQLFR FPTEGERTAMAARLVAYWRDQQTFKLLKGWRDELWPVYGRRGELLFSIERAAMGLIGT MRYGAHMTAYVVEPSAPHGLLIWVPRRAADKSTFPGMLDNTVAGGLMTDEEPSECIIR EADEEASLAQDVVRRNAREVGSITYIYITDEKNVGEAGFIYPECQWLYDIELTAGLAP APKDGEVDEFLLCDVNQINEYLARGDFKNNCALVMIDFFIRHGIITRENEPEISLIQQ RMHRELPFPGPHQAGWRRER UV8b_07426 MANNSGDATLFEESFTVTDFDQSKYDRVARISCTSADSQTIMLL DINTELFPCAVSDPLHVVLSTTLSPDGSKEDDKGWRDIGKGADAPATLADLYDYVCYG KIYKFEETYDGNTINAYISFGGLLMSLQGPIKKLTPLRVDNCYLLIKK UV8b_07427 MSFRKRNAVIRDTAGRTSRNIDKSLSPGLRPSPLDGRLTTSTGT ASLDQLLTGHWGMPLGSSLLIEEPGTTDFGGAVLRYFAAEGLVQGHEVHVLGFGNTWR QELPGLSNQEQANSQAEHLPPSRMKIAWRYGALGNPETRPAAEITPQLPEILRSPGSF CHNFEMSKRLESRDIRGRLVTYPLHGISNHESACHFSSFITQLRVKLNGSPSTVYRVI VPSILSPSLYAPEACRPQEVLRFLHVLRSMLRQYSTRLTAMVTLPTSLHPRNTGICKW MELFFDGVIELVPIPKPMQHVGNSDREDKSQGLVRIHAMPVFHDKGGGVEGCWTGMDM AFRLSPSSGLQIFPFSLPPIGLHESTSSKVPSNTLKLEF UV8b_07428 MSTARCSSEEDPDLIRIARIAGRYLIFDPDAAGSLRRHENTNGT LIGSTPQQPTQNIFLGLPVEVRPEEAIALVQENRAYIVEDLVAHETALASKVEHVRKH YMECLQRSKQAACLALSERSSAKAVKVAARHKQSKQHNLNTSRNSRINDDNHALTVLQ RDHAANNAGLLAVTPVSSIPLLDLKAGITTTLDSSLKELWLICTHWSQDHSYEEVMSS UV8b_07429 MSHSDPLTAPRQLAPDRGETLAQAVSDASIFDILKVDNAVPTAS TVGGGNGETDRAAQIARLSIDSARGLGSVFSYVTSKWAVSCIVMAIILNRTHILAATR RRLRIPWHTRLLVRLLPSVLLALQAVALLQFIQCQTSPNFSHLGWKNTTKASDMASAQ PYAFLNRLSSILLLGAGDSQSCEAVGMIPSTAATNQQLRGSLAMLWPLFWVLCLSHFV ETLLCAVQGRPLSAETGMTLFEQSLAFAEADAVVNNHLRWGRFSTQQLTSDSSPTNMA AHSITRSMLLGKLNTSPEVLFVAFLTTMTHLSSHCLGIFDAQSRYRLVNTGFWGVFFM GTLTWSAFDFETGNNSSQSLLRFPTVCIIGYVPHVLVLAGILICLLIYGLALVLTAIA PPSNSNLTSMTVRQRLAYAHSNMQANITVAEVPVTRDMDFYTALLRAGFAAVTMASEA VYLNEERSVNLQQRTWLEEARLREVDQLNRQAVRFRLINCLYDQTGTIGLLPIKEGAA LSTNGYSRERAAQMISKGRTGTGASERGSGWLMAVEFLLSITGLIARTASSGVLWVLA RAHIRTRPAWLLWLVRRQKITDNDELSSGKGVFLPGETSSLLSESMTPQMEAVDVETE FRRLNSSQDEDVLDKELYKYWASGGWWGSADASGDYVPEDVNDDWDATSVISASSTAS DDGATGKSWLSQDKGQQKLNTEISRTRETSPSRDTVLDASSLARLLNPSTSEERGEAI SLSRHLQSNIIVTRSIFRRQEQIRRSRVLLPPESLGDYDGGATNRSTRLGSIDEESML EELILTRRRMDSSGGGLHNGAGQFLPCVVCHSSNRTIIVWPCRCLSLCDDCRVSLARN KFDKCVCCRRDVLSFSRIYTP UV8b_07430 MSDRRRVNGPPGITCSPSYDENSSLSLSGHTKPSPLYLKTGVTP SASGSAYLEMDQYGMKLTCTVHGPRSLPRSAPFSPHMVLSTFVKYAPFATKSGKGYLR DANERDLSMHLETALRGAIIAERWPKSGVDVVVTVIEGDLAHQSIPEDGHEDWDSMTV LGGCITVASAAIADAGIDCADTITGGVAAIVMDSGLSGEPFIVHGPMRSKNWVILAVC CVAYMTQRNDVTNLWYKGCLSLPDPSMRRSLIARAISVCKGMHETIIETLCEAVPLV UV8b_07431 MVDDCFVTKILLARPLPNPEDIIYNCKRIPLLTSTSQYLYKVPT YCKNYPLFPRLYSIYAATIYPYIYYLALLCRLHSCLRLGIELNLNVPSSKINTRSMLP TTAASMSEQEFYPFNYFIISKSSSQTLGLSVKLMTSCGVAILASFDFVSNPTKDSFWV RVGQTASPTLYVTYMLDQ UV8b_07432 MGIPAAFRWLSSKYPKIISPVVEDQPVVMPDGSVLPVDTTRRNP NGEEFDNLYLDMNGIVHPCAHPEDKPAPQDEEAMMLEIFNYTDRVVNMVRPRKLLMIA VDGVAPRAKMNQQRSRRFRSAQEAKEKEENKVEFLKLIKKQNGGLLPPEHTGQAKKAF DNNSITPGTPFMDILATSLRYWCRYKLNTDPAWAKMKILISDATVPGEGEHKIMSFIR SQRASPDYDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFFQDGKSKMCQLCGQK GHEARNCRGEAKEEKADTDATSSNLTPKPFIWLHVSILREYLAVELAVPGLPFRFDLE RAIDDWVFLCCFVGNDFLPHLPALEIREHGIDTLTTIWRTNLPTMGGYVTKDGHIDLE KAQRILDSLSKQEDAIFRRRKEQEERREANAKRRKLQHENRPQGAICRANGDDYKVGY QNPSQGLSLHPIAKFPKAPPRAITHDMVVNRTSAQSANVANRSAASAIKEQLRTGSDT ERGGDMVPALLGKRKAEDDLVITTEVDVSSGPEQATKPSGSITIPAVPVDTVRLWEDG YADRYYEQKFHRPASDFNFRHEVARAYVEGLAWVLLYYFQGCPSWEWFYPYHYAPFAA DFKDLASMEICFEKGRISKPFEQLMSVLPSASRHALPEVFHPLMTENDSEIIDFYPEE FEIDLNGKKMSWQGVALLPFIDMSRLLTAVQSKYPLLTPAEAARNAPGRDVLILSDNN ESLYDDILTKFYSKRQGFLRFKLDSKASGGLSGKIEKQDGYVPHGALSYPLGRGAMPD LDYDHSVSVYFDMPRSHQIHRSVLLRGAILPSPTLTPSDMEIMRGKVITGGNREIRYG RGSGYYRQEHRSRGGGRQASSYPVWRPPHPGGPGFGVGIPPPPPSYTGGRHNYYS UV8b_07433 MFSISTLPAKQSVSETITVLSRRLSSATLLEDRRAAILGLRSFA KDFPASVASGALRSLIGSLAKDSDDVDTVKIVLETLLLLFSPNKNSPEASDEIVLWLA DEFTQRQDNITRLLDFVESNDFYSRLYSLQLLSAILSARPCRTEECLFTAPLGISRLV AVLDDKREAVRDEGVGLLISLTPTSTELQKLVAYENAFERIFAIIDADGSLVEGGRNV EDCLILLANLLRRNASNQSVFRESGCITKLSALLEGLLQAQVPEADINIALWAKTQRD RNIYAFLAVLRLFLLPGSAGVVLNQQAFWKQGVIYNLLQLAFSHEERQIAIKAEALVT CGDMIRDSKALQESFAQLMVPAPLLVDDSGGTPTDGVGKTYVIDGLLDLTLNWYDQSV FNLRYSACECLKAYFSKHSEIRLHFLSRAIDGYMAGTEESANILTVLLTTDQTRLIRD PYRQWFASVIAFHLLQDNSTAKAKLLTVTEGDASKGEEVVTCIQTISAHLITGIFRGD NARVLVGYLMLLLGWIFEDLDAVNDFLAEGSNIQSLLEIVSRPVLSEGEGEIVQGLCA LLLGVAYEFSTKDSPLSRTSFHAILSNRLDREHYLNILIRLRSHPLVRDFEVTPQKHR PSTGNSLPDIFFDALFLEFLKDNYSRIARSVDRAPELEISFVTNGVQKGISRELVDTL RGKIEEKDRSLEESNVKLVSLEDALHRREAEHRRSIQVAALEVSKLKAALDDAERIHE EKLRLLSLQQTGKDADYERHIATVKAQFASSEIQHKNELSQAQEAARSEVERIQLRAE AEAANLKASINRLEVDLIKAEKTRSLELQSLKEENATLLALEIGKKREAEERCIELQE KLGKTVVERDGLQERIQSIETTYRRESAARASTQSELDDLLIVFGDLEEKAAKYKARL RKYGEIVSDIEDEGEDEDMDEANENEESDLAAANGRRHRSLDASDDGVD UV8b_07434 MEHQIEHQPSPSSNSDSSEGSNWLDVEPDMECVKYISLFDANSF KTLPEMLEYCKQQHNFDLMANARRLRLDFLGTVKLVNFIRTYIESQSALPERISAEDI DNERYLKPVLEDDAVLFSLDEVLETAGEDEKSASYIVNDAASDLERSKALEAELQSIR ENFANYRLAVEQTLNRRWGVDDTPKASLGSNCKDPSGYYFESYAAHEIHETMLKDFVR TNAYRDFIYQNKQLFKDKVVLDIGCGTGILSMFCAKAGAARVIGVDKSDIIDKARENI FNNNLSDIVTCVKGNIEDMTLPVDKVDIIVSEWMGYCLLYEAMLPSVLFARDKYLRPD GILIPSSATLWIAPVRDEIYISEHISYWRDVYGFDMKAMQEGIYDEVRIDTMPKSSLC GEPFSFKVLDLYSVSPEDLSFNAEWATEMNAVPGGVDGFLIWFDTFFSPSRTASLPQA MTTPHTFSLAGPGNVAFTTGPDGPETHWKQGLLLAEPQESTQPILTLHRLVGNITFSA HSDNARALSLRIAWSTEHGENVQTWELK UV8b_07435 MPVENVLLQSVAGGMAIMPLGCGFVGVIPAMNYLLKSEENGPIS LDTTQLIVWSLGLCYFGVVFAVPLRRQVIIRERLKFPSGFSTAILISVLHGQGGQLSE PDELERVSRGGFASLVPRERLQSPLTEESDHDYEPQSDTDSGTAELSDEGVNMSLLLI CFFFSGALTILTYFIPILRDIPIFGTVAANKWLWTFNPSLAYVGQGMIMGPETTLHMT LGAIIGWGLLSPLAKHEGWAPGLVDDWEHGSKGWIVWISLAIMLADAVVSLGYVGLQS ILLTSMPEHIFSFCGILHRKGRFIFGQESERYSLLHNDDEGSPNQSPSMLSETSQHAS DVESDSEIDAPVQQRIGRKQVLVGLVISILLCIGTTHFVFGDLVPLYATVIAVFMAMI LSIMGVRALGETDLNPVSGISKLAQLFFAVIIPQSNKSSVLINLVAGAVSEAGALQAG DLMQDLKTGHLLGAAPSAQFWGQIIGATAGAILSAFIYQVYTAVYTIPGDLFQVPTAY VWIFTARLVTGQGLPSMAREWAIAAALIFSITTLLRTVGANKSWRPWIPGGIAVAVGI YNVPSFTLARAAGGIICWYWTSRLRKSSLQLIILASGFILGEGFTSIVNLILRSLEVP HL UV8b_07436 MAGGKPRGLNAARKLRTNRKDQKWADLHYKKRALGTAFKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS UV8b_07437 MSLAMRHGLLRKKGHTTLPKASGGIVCKAASQRVAFAVELHSRC RFQKRWKSGPQFTLPRQPFHPQTSAVGPSNIPDTQEHCSEYEPPKSGLLSMIPASWVP YAELIRIDKPSGTYYLYFPCLFSTLMAAPMASPIASMNAVFGTSLLFFSGALIMRGAG CTINDLWDRNLDPHVTRTRFRPIARRAISPFKGVVFTGIQLLAGLGILIQFPATCLFY GIPSLLFVASYPLAKRVTNYPQFILGLTFSWGAIMGFPALGVDLFSHWAALTTAACLY TSNIAWTVIYDMIYAHMDIKDDAQAGIKSIALKHNANTKKILTGLGATQVCLLTAAGL ASGAGPAFFVVSCGGAALSLGIMIKRVNLKDVKNCWWWFVNGCWITGGSITLGLATDY LIRLSEDDSENPQNR UV8b_07438 MPTSLHPQAKFDPFPPDLDLYSLVDRTPNFNWVQRVSRAQIRNL GQLEFEKLIQIHVIAGGKPLVIDGWDAALPKWLFNVEWLEKTYDKKQENVRDITAGTD IPMTTGHYLRSMKQLTNQWTPQNFRDERRQRLYLKDIDCPAEWRDALQKTLHPNLFYL NENVTTYSSSNLSNMEPTGEQKGNGELDSAIAAAPAGDLMSSLPKEMRAENLMCYIGH EGTYTPAHREMCASIGQNIMVEASGDKSGERSGSSIWFMTESKERDVVREYFLSMLGH DIEIEKHFAQINAWKKAPFDVYLVDQRPGDFIIIPPLAAHQVWNKGTRTMKVAWNRTT PETLRLALHEALPKARLVCRDEQYKNKAIIYYTLEKYHNLLQEMDKQEEITQNSFMGF GRDIVRSSPHAKRLSADFRSLFQLFTEILIDEAFAYEEKKVELIPFDSCITCSYCRSN IFNRFLTCKNCVRTLVDGEDDAYDICMECYAMGRSYTETVIQEDAEQHSEANEAAMTK TNQESHFDEGHQSQDYSAISWSGHDATEVLNEGPEAKESDQATFTAAAGSPPGTSYPD PSTSSGYGIGMGYYEQDDTPDKILFDPYKAPPAGAMTLNSLEVSDFVKRSIRAAKRKA KRENEDPDFIVGKPHHKRVRHHSSTEIMDNMDPALVDPSLSLAPSSSTQKRHQYNNAG DTIWKQKLKRQKLKRQKLRRQQLRRQKLKRQKLKRQKLKRRVPGGNHKTTIRCPKTSA ICIQQMQMRTQMTWSMLAFLYMSQDYDQLPPDLPRNRNRRSVAGLDSYPCSRAHPTNQ T UV8b_07439 MKAASALTSSSIAHPRRAISHIRTYNWPPLQLNFWIFVMLISSA SIVGVFSTFVQIQLQLNLPIPWYFPYYITVGCIALLFIGGIFWLIANRRLLPAIVMIG AFVLFVMWLVGLVVVSVQLWGPDGSIQSTCSLQVFNRNPHGLNQETLAWLQQKNICQS WHLIFAMGLTGLVFLVWVMIMAYQVFVNS UV8b_07440 MNTDIIQSRFLSNPQDLGVVAVGFSKGQPKAGVDAGPEALIASG LLTQIQSELGYKLHGDAKVQKYEELMPASDPDHRGMKNPGTVSAVNRQISRQVYEHAR EGRLVLTLGGDHSVAIGTVTGSAKAVRERLNREIALIWVDAHADINTPEGSDSGNIHG MPVAFASGIAKSDKEEYFGWIKDDMLLNVKKLVYIGLRDVDKAEKKILRDKGIKAFSM FDVDRYGIGRVVEMALAYIGADTPIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDYI CEAVHQTGSLVAIDLVEVNPSLATTEAGAQETVRAGCSLVRCALGETLL UV8b_07441 MARMPPHPAALFRYSRSLAQDPNIRPVGNGHQFSYQRVLGPEQL SPRSSSSSSNADAESSLSKSAQSRNVARPPVAESSVDESDSDTNPGDTYDDEDDNGYD DHDDRDDHDRDDCDVGKNDSRNDDKAKDGVDEDKREQDKDEKVHSRLGLRLATESASE SMSQADSEAETSRDQPWADSAFSSTLVCDFVIEEIDAMDSGCEGLEILHPTEIESNRS RSRSRHKDFDGGMVRDFKNLNCSNETSDNEDESPAGFDGEMLFHQRQMEMRRNRRVSM SSSFGKRTHSELSDSDDSDAGTLDVNDVGSSARRMPKRLHRVSLMFQDPPAPRIDELD EPDSGEEELASADPLARELPYWTMEVMEMDSA UV8b_07442 MNPAQGQAQQLPSQGQAGSAGQQQPRPPPMYMPNQIRSLPLLTE EEKNKYEQGLQGLWKKANNPQATSADQLAARQKIIEFSKMLIGKIQARRQHAQQQTQQ GQPPRPPSAQQNPSQSLGAPATQTQPQKAQQSQPTNTPLQGQAPPPPPPSGAPHSQNP QATTAAALAAASAATATATTAAQRKISISESIMQHVNKMVFRAPAHLTNKSAADISNW AEEMKEKYARALATMESTKSKVAAMEKMVKDRAAAGKPLQDEEHRQYTLRRDQQLKFY NEAHKWVESVRKQQEGIQAGAGTGQNGASGTAAATQQPNSAPVVANPAQSTAQAASQN VTASVNAAVEAARNQQQLAAANRQAPANGTSTQTPHQTQPRPVPQSLQQTQVQSQVKT EQPHPPPVNTAQVHAVAQAARIQTSQSGTPVTAGGPTRALSHSAAMTLANQRASSTPG SVPIQEKATAVPQGVTLGGGISAGRPTMSQGTGTLGGVMNQPPIARIPAYSHDAEGDH VLNKKKLDELVRQVCGGSGDSQDGHLLTPEVEESVLNMADSFVDNVLHAACRNAKERG SKVLEIRDIQLVLERTYNIRVPGYSSDELRTVRKVQPSPGWIAKMSAVQAAKVMPGKG DP UV8b_07443 MKPLVILAISLRNSAVSSLGAVFRCGGNARGHRTAFYALRFDTT DSMSLSEPQKNPRVDSIYDCGQSRNGVIIIPSYLPISRILLSATVISGTKGCVSAAEP TTLLRGSMSMEFEFERCRNITCAAKAVKPNRPSLRCSFVRAIARGCYRQYRQYGQLSK GPRTKPYRRQAPKADKVIPLRWRSTEPF UV8b_07444 MPSKTPNSNGNKPIENDTRNKGDVEMKDSGKTSPKKGAKDGDDE MTVVVPITKASKQSSKQLHDADGDVSMGGEENTAGDGDKVDPVVQTVADIKSNFALLD RAVALFDPRFSLRALRSISLIRKRLTPDIIGQVIVDTFPATVTSGNIAKGLLIAVGRE SMPLGRHASSEMEIDSAEPKSTKNGKKENKEIIPEIDIFIGILIQVYLFDSRKFKDGA EFSKNLSRRIQALNRRTLDSLSAKVYFYYSLFCEHIAPLPPSPESPIVSIRPTLLAVM RTAVLRKDIDTQASVIVLLLRNYLLTSHISQADLLVSHTQFPENAVNNQVARFLYYLG RIRAIQLRYTEAHEHLTAATRKAPSSNCAVGFSQTATKLLMVVELLMGDIPDRATFRQ PALEDALRPYFLLVQSVRVGNLEDFETTIAEHADAFRRDGTYSLILRLRQNVIKTGIR MMSLSYSRISLRDICIRLHLGSEESAEYIVAKAIRDGVIEATLDRERGFMKSKEVGDV YATREPGEAFHDRIRACLALHDESVKAMRFPMNQHRLELKNAQEAREREREMAKEIQE GDMDEDDLGGDFDGM UV8b_07445 MPPKRKRTEPFQSIPLPSLTRRTSRQTPVLPPRPTLTLVAQAPE EAEASPTGPCASASASGPDFQLDGPHPLTITTVPRTTSRALVPVPTLSRQPAHRRDKS PAAVHPPLTPPVPIKPPVSVSVPLAALISTQSMPDVVIKENTRRPSDLVAPDTIYHFP LPPPHRRAQSSLVRPLGPSALPSRLGRRPAPVIVTSVLPPNSPTVVANDRGPPRADRN IDKVVLGDLCFRTWYPSYYGKEVLGDASGNNARAGVGNKDASHEPGGKPSKRDKDTQP ILERLVCERKGHVPGKKIYTHPRGRRKVYAAHDGKGSVPKRRRGDGGLRCTAEVIEDQ GEWSIWEVDGEKNRLFCQNLCLFAKLFLDNKSVFFDVTGFNYYLLVYTPPLKTTGSAM EPVHNGRRQVTGFFSKEKMSWDNNNLACILIFPPWQRKGLGGLLMGASYEMSRRDGIL GGPEKPISDLGKKGYKRFWSGEIARWLLGLEKTASWQALPRSHIHDVQAEPQGLAEVT QELIVDVNDCSRGTWIAAEDCLMVLRDMGLVEDAGMGFGKPGTEVECDQDEEGAEEEG GLGGEGDGYGSDATLPGQKHDAASESAGTALAKPVPRVRVDKDAVRRYVSAHGISLER ACDPDGFAEHYAAQGYNHNDNDKDKDMDMDKDKDNTGGGDGDMQTVQT UV8b_07446 MEEATRRHFFHHGEDGHWDEKYRCHWCQLRSFPTHQSAPITIVN DIDHRILPQNFRFINDVVLGDGVQAADSSFRSGCACSQSKDCQFSGCLCLADVDHDEI GGEPGSVDDKPDTELRKTYAYHAHGIKAGLLRSRLHASKLPLYECHQGCSCTVSCPNR VVERGRTVPLQIFRTKDRGWGVRTQQLIRKGQFVDRYLGEIVTSAEADRRRAASVISQ RKDVYLFALDKFTDPQSLDARLRGPPLEVDGEFMSGPTRFINHSCDPNLRIFARVGDH ADKHIHDLALFAIKDIHKGEELTFDYVDGATEEVLEDYDGNIEDMTKCLCGSSQCRRF LW UV8b_07447 MPCRAAQGGQVNEHRANFLFLAASSFPFAQYPPETEKLPRLSVL VIVCKITAQRLSPPPIIQCDSEGVLKMGCCFSRSGGPNSPFPGGVPNASARHIIQPPL SLPESEQAHLHASPQRSRRSQRPLDEHINKPLRRHKWASADRRWTREQLESERIAFFD TRVTGRPEIWQTIRAAVNVMGSGDWGEPRQEHSDSLATAQSILSAAEISLPTGDLSDG VYDSFGNYYQLPQWVVSDPINVATTNSVDDGEEGSVSGDDGTVNDEEDARKSSNSMNA QGLEKGKGVSDARDQVTVRARLSETGRDFDISASRSESTRSIIKKLASQTSLPPEKKI KIAYMGKILRENQSLEVQGWQIGHVVNALVFNR UV8b_07448 MSGFLENAYSLVHQDNAADVPSVSDLRMQLEKGTDETKVETMKQ ILTIMLNGDPMPTLLMHIIRFVMPSKHKPLKKLLYFYYEICPKLDASGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREPELIEPLLSSARSCLEHRHAHVRKNAVFAVS SIFIHSPSLIPDASELLSAFIESETDATCKRNAFAALASINHDAALLYLSSVFDAIPN AEELLQLVELEFIRKDAIENGQNKARYLRLIFDLLEADTSTVVYEAASSLTALTNNPV AVKAAATKFIELSIKEADNNVKLIVLDRVNQLRQKNEGVLNDLAMEVLRVLSSTDIDV RKKALNIALDMVSSKNVEELVLLLKKELSKTVDQEYEKNAEYRSLIIHSIHQCAIKFS EVASNVVELLMDFIADFNAASAVDVINFVKEVVEKFPSLRKTIVERLVSTLSEVRAGK VYRGIMWIIGEYSLEEKDIRDAWKRIRASLGEIPILASEQRLFEEQDGEEKFEEQVNG NSKSTAPSGSRKVLADGTYATETALTSLSSAAAKLEAVKAAQKPPLRQLILDGDYYLA TVLSSTLVKLVMRHSEISADEARTNALRAEAMLIMISILRVGQSQFVKAPIDEDSIDR IMSCVRSLAEFESNKELGKVWLDDTRKAFRAMVQVEEKKREAKEAFNRAKSAVQVDDV VQIRQLSKKNVSDGLDGIEMDLERATGGEASTEDLSSKLSRVVQLTGFSDPVYAEAYV KVHQFDIVLDVLLVNQTTETLQNLSVEFATLGDLKVVERPTTQNLGPHDFHNVQCTIK VSSTDTGVIFGNVVYDGAHSTDTNVVILNDLHVDIMDYIQPATCTETQFRTMWTEFEW ENKVNINSKAKSLRDFLDQLMACTNMNCLTPEASLKGDCQFLSANLYARSVFGEDALA NLSIEKEGEDGPIIGFVRIRSRSQGLALSLGSLKGLNKIGSSA UV8b_07449 MSSSSEPFYLRYYSGHMGRFGHEFLEFDFRVVGDGRSAVARYAN NSNYRNDSLIRKEMCVSSAIVDEIKRIVKTSEIIKEDDSKWPQKNKDGRQELEIRLGH DHISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKALVFSLIALHFKIKPI UV8b_07450 MSRSPSPTLDPVAVSEDLTPLPSLKQAGNTRIDFDGQLAEPLEY HEDVRTGCGGQTWPAGLVLGKHMLRYHKDEMRNARILELGAGGGLVGLAVALGCGEEC ELLVTDQQEMLELMETNIRLNKLEGRAKALVLNWGETLPEAVRTQKPNIVLAAECVYF EPAFPLLMQTLKDLFVLNEDAVVYFCFKKRRRADMQFVKSAKKAFHVEEIFDQDRSVF QRQALFLFSFKSKPRIKQGGCRR UV8b_07451 MPSRLAVVALLPLTQLAICQPTASFPFNAQLPLAARINEFFSYS FSPYTFRSDSNITYSLGDHPGWLSIDSGGRRLYGTPKEGDVSPGQVVGQIAEIIATDR TGATSMNATLVVSRQPAPQVVIPIEKQMAHFGNFSAPSSILSYPSADFKYSFDPKTFG ETSLNYYAVSGNGSPLPAWAKFDPASLTLSGRTPPVESLIQPPQTFDFSLVASDIVGF SACSLMFSIVVGSHKLTTFQPILMVNASRGSEVNYDGLQNAIKLDGKPVSAGDLTVVV KDMPTWLSFDDKTWKLRGTPNNSSHANNFTITFKDSFSDNLDVLVLVSVATNIFGTTL GDLVIRPGSEFDLDLSQYLKDPSDVTVKVSTNPSEDWLKVDGLKLSGPIPKTSRGGFQ LSIDASSRSFNLEEKEVVNVTFLAPDGATSTFTQSSSSSTSTSTATRTDGPAPDNARN QSSRLSTGKILAATIIPTIFVAILLAILIRYCRRRRARNTYLGSKFRSKISSPIPVIP PANGPDQPVPEGARIHDGAVVHTEMILTKPAKSALADGHSPMSSRRPSSETFGHFSSS EIAQSVMVDATRTTTSHNTSGVSSEDGRQSWITIEGHDSTERSDSNHSDFTRSKSTRQ VFPGADLATHRGEPALDMLLPTLNEFPFVQPTPPLFHSKSHQSPPSCNSAGDCSTATS SSMVLPHLADADHANTPALSKWTTGSTARGDASSESNWITLNENESGENLPELQRPSP LVLSNSRPVGSSGGKSAVTDVSFTSSENWRIIGRLSPTKAERSHKDVEDESLLHALRR GTARDGAKPGEREDTRLELNMPDQLGNETHSSATSGLLDPSVTTASKLSGMSDLDSHM SGRRGDEAGGDWLREHSAKMSEGSFKVFLRNAISNLMSCLVAQMEMLVSDAVCQNFFR GREHDFIIIRAQFQSRLGLYLSGACRQTLQIDGCTGTDKSTTGNLDEAGDGLDRWESA AYI UV8b_07452 MGQTLSEPVVEKTSDKGQDDRLVFGVSAMQGWRISMEDAHTTVL DLASQGISLDAKIHPSRLSFFGVFDGHGGDKVALFTGENMHKIILKQDTFRSGDYAQG LKDGFLATDRAILNDPKYEEEVSGCTACVSLIAGNKVYVANAGDSRAVLGIKGRAKPM SQDHKPQLENEKSRITAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELPPEQQIVTAF PDVEEHELTDEDEFLILACDGIWDCQSSQAVVEFVRRGIAAQQELHKICENMMDNCLA SNSETGGVGCDNMTMCIVGFLNGKTKEEWYHEIARRVAEGDGPCAPPEYAEFRGPGVH HNYEDSDSGYEMDAEKQGKSFGVGGYRGRIIFLGDGTEVLTDSDDTEMFDNADEDKDL ESQVSKNKLSSTDNGAVESKQDSEAQPATGKGSKANSVAEAGQQDQNQGTKAEPTSDD GKKE UV8b_07453 MRDMFRRDSGAISQAVAKKQNSPYVPSIRTYPSPAATESSRLRS AKIARVQVGLDMVWKKHRQQVPDWTETFNLLKRITPKGSERPRMAAVRVVLPPSWDVA LRSKRIQFLDATTGLAAKLRVSADRHSPCALVVRGDSAVLAKAADELTRLGPEVEIFE LGEVAALDYKTKRLWPSVQEGGPSGGPPAMGEDGSSGGGGGVDDDDGSSRVWIHEETN HYWVDRPYEQTPRPKTWSRDSFEAYVTALACGQLRPHLAMKHYKQPRRDGKLVDTDGI RIRLILRAFADPAARECVTPPALKRAISFLAQKGGHRAAGEYLFTRAEEWGLPMDTEM FNMVLDGYVSKRDAAFFHRTLQKMEHRYFAADAATWLRFLRLVQRDDERRQIIAAMYE LGLLGAAATRRAIARVMASSDAYAAFKAGKSPERFMADQVLRYGADWLAGEAATASSV MTELLRFNHEPGSQLGPLQLILDGQRGGGDGDGKLQDMRVVHAVLDACLENRDFSTAV WVLSYMHQHSPCQPDAETYRRLTSLAIAAGCPSSLGVLFFYGALGRHLRGPVRKPLRD VLLARLMGRSPVHIFSSHMARLLRASKVAHETAAVAGAEWAILRTCHGYVPVRGLAAA LETTWRTMDRPRLWHARRFAGRDGAHLAMPALAVKLRHAEGRLPSKLVHLDAAFEPGD MIRRREPDGHLPCTRCHRFVLGNTKHHCLNSCLYSALEPLRPRQILGTA UV8b_07454 MVKVRTSRRVAGLKPGDYDHEIDLVNHDEAENGCITASATNSGS CSSTAPLAAPTDENEPAAISPGRDSVQGIAGNASHEHQGDRPPNVLEQDGGRLEPRAS PNHPSTQPCIEIRAATPDVFADPVHRIVPKRPREIRETAIDILYENERGGFLCGVALF SAKALGGLDPPAWTNAYHKPSPTNRHTATVPDPSWEWVWPEWRINYQEGVDEGGWEYS FAFSKVFSWHEAKWWNSFVRRRAWIRKRAKRKTTPTCSPGDISNADYFTIRPASYRST CPSKASLASSRVPSRSSMRQKSSKAVGVGEEEEEPLEIEDIDTLLHVLSSTRIDREKR EAVENYLDHAVDLSSLQDAIHEIMAIFVFQASRKQLLAHLMRRYDETVGKLEQQGNQD DKHLMQRKEALEAATKHADEEVSRLAYWSDVKKMAERGELRLSLDKDPGWYDEHPGLD RSGPSEPRKGKLPGFEA UV8b_07455 MSKSRMPLILGLGAAGGVGYYLYSAGGSPKAAENKFESDVHKAS AKVKDALPGSSSIYEKEGKAYGAEAGAKIDKALSEADRKAEKVKSQTEAYVKDAKAEA IKAVDKFDKTVEDGAAKAKSGISGWFGGGSK UV8b_07456 MASKLDFLTQKAPENYVAGLGRGATGFTTRSDLGPARDGPSEDQ IKEALAKRAAQLGLAPDGKKKGDDDDGGDDDERFQDPDNEVGLFSGGIYDKDDEEADK IWEWVDERMDRRKRQREAREKADQEEYERKNPKIQQQFSDLKRALETVTDEEWANLPE VGDLTGKNRRSKQALRQRFYAVPDSVLAAARDSTELSTTVTDDGVASSAADKADGTMT NFAQIGAARDRVLKSRLEQASRSSGDATNGSATSIDPQGYITSLNKMQMNEAQAQVGD INRVRELLQSVVKTNPNNALGWIAAARLEELAGKIVAARKTIDQGCVRCPKSEDAWLE NIRLNHESQNAKIIARRAIEANNRSVRLWVEAMRLENIPSNKKRVIRQALDHIPESEA LWKEAVNLEENQDDAKLMLAKATELIPLSVDLWLALARLETPENAQKVLNRARKAVPT SHEIWIAAARLMEQLGQASKGNVMTTAVRVLAKESAMPKREEWIAEAEKCEEEGAVLT CQNIIHATLGWGLDEDDDRKDTWMEDAKSSINRGKYGTARAIYAYAIRVFYNSRTLRM AAADLERNHGTRDSLWQVLEQAVDACPTSEDLWMMLAKEKWQAGEVDNARLVLKRAFN KNPKNEDIWLAAVKLESESDNAEQARKLLEIARDQAPTDRVWMKSVVFERVQGNVEAA LDLVLQALQLFPAAPKLWMLKGQIYEDLGKVGQAREAYAAGVKAVPRSVPLWLLYSGL EEKSGMTIKARSVLDRARLAVPKSARLWCESVRFERRAGNLAQAKSIMAKAQQEIPKS GLLWVEQIWHLEPRTQRKPRSLEAIKKVDNDPLLFVGVARIFWADRKLEKAQNWFEKA LVLDSDQGDSWAWYYRFLVQHGTEEKREEVVSKCVLSEPRHGEVWERVAKQPANARRG VEEILKLVAGELEQS UV8b_07457 MGKAQQSKRSGASDSPYQRPSNGSGKAAATSSKNNVFKFNTNFG QHILKNPGVSDAIVEKAYLKPTDTVLEIGPGTGNLTVRILERAKKCICVELDPRMAAE VTKRVQGTPEQRKLEVILGDVIKTELPPFDVCISNTPYQISSPLVFKLLAMPNPPRTS VLMFQREFALRLTARPGDALYSRISVNAQFWAKITHIMKVGKNNFRPPPQVESSVVRI EPKVGKDRPNVSWDEWDGLLRVCFVRKNKTLRASWLGTREVLTMVEKNYRTWCALNGV AVDERELDGDDAADEQVGEEMDTGDHDDDGGGGGGGAADGMDIDGQDVPDFFKEMSSS AGAAVSKTRSRRKKTVVAELVREKIRKVLEDVTELADKRSGKCDENDFLRLLFAFNEE GIHFS UV8b_07458 MDKSSAAMGSTGVVDQPSNNLSCLSNGPKGPSIPQSSSATTGSQ TSRQSSSTNSVAQSPAASRDGSPSRQPRRATSSTRLSNSRSRKNSQQDPSPTRQQARN GSQDQTTPIRALSSTNAPDLRPAAREPSMHSPTPQKPTAIPDLRDSPRWSLSPRLRSP PPHLARPMAAATPTRCHDVDFPVINVQRPSPSPQASHPNASASESEFDEAHTPSGMRT PVRGLLETVQEVSQNNSPARAGDSTLMEQLKEKLAAPEAHSDGALSDGGSTLKSKTKT LQEHGAENGTCRADLRRTSSVPPPPLVTRQSSAMSAKQSKAKPETGSTQSMTVETETV CSIPQVSLTTGAKDGGSGTLRAKPSTETIKPKKDKKRTTRKQPAVNSGAASSKADNFE AKIASAVDEANTSDSEETFVYDSNPPDNSERSNRRFHSRTPSATSMASQADRPNLRSI YGVMEASSHGPVPKKSMKFVNTFSGNASDSLTPGDEDGKGSGRSAGGSGRGTVRHHHH IGRWGRQPGNGHASLFDNESPFPGAAKSKFSSNHGSNSRNASGPPSPRNFHSTRGPLN TKRSTMQISSSYDLDDTTGADDERTPLMSTLRSSRGNRSRRGPHNLRQAESQTYTRRS SYLNRFAACLVLTMMLLLVITGAIGLMFATSQPMTGIEIVSINNVVTSEQVLMFDLAV KAHNPNIVVVTIDHANLEIFAKSEYAGTDSDWWDRPDGPSGDAAARDAPDGAPAGPGA GDGEDDSRPNILLGRITEFDSPLTFEGSLFHQGSSSSTGEMQLRYPGNGTAGGAARWE KIYQNEFDLIVKGVVKYSLPLSAHIRSATVSGRRTVKPNSANNPSHNPNGTVTGR UV8b_07459 MRGVVKLGAKGEVMELVVSRNSATAWGAEMDCDDGGRRRPGTSE ELLRRVVWFNEDDGVQAESDE UV8b_07460 MSAATKKPPSSGVGRGSAVEPSAPSPTASRPRSPASKPSAPSPT GPARTRSARSSTLVSARAAAVRRDSSDMRAEAASALEDLQQRLQKEEKVSQQHKTQAE VLQSKLDEALKDSAKWEERLHESEEQLETLRNEKREATRQIREMETIYEAERSAILKE KEELANKEEEMQTVIQRLKDSLAQRNNPDDDFRQSRQGNSSPSLDSGSFAPPSSLQRS DSQNNSKLILQKDKLIESLRLELAEAQIKLVESDNQGGGRLQEVERLLMEARMANARL MEDNESYQLLLQEKTFKGDFGQNDFSYMSTGTNQSALNALEGKTTGGSSLADELSDAT EAESEAYRRLETELKSMKEQNKALTLYINKIIGRLLQHQEFESILDQSGEVKNGPVDT NKDLPAPPGSEPTPNGGASSLLQRAKSMAVGAGKPRPRPLSFMPSTAAHSAHTDPGTA PSIPIGSLGRSSSTRRVRPQSDQFTGAASLVSQMYKGPDGPVSPPLATPRHSQSFFAS PGLAGSANVAARYPSGSQASSGNFPGMRSETSSLSGDSADISSSTPPSQSPPRSHSDK QTTFAGGKPRPLRLVQENQDAVKDSSNNNNNNNNNNNKRASWYTGWSWNAKKDEAQSG ASNAAIPE UV8b_07461 MAPQKKSKKDANSINSKLALVMKSGKVTLGYKSSLKSLRSGKAK LIIIAGNTPPLRKSELEYYSMLSKAPIHHFSGNNIELGTACGKLFRCSTLAILDAGDS DILSDQQA UV8b_07462 MARRPARCYRYCKNKPFPKSRFNRGVPDPKIRIFDLGRKRANVD DFPLCIHLVSNEYEQLSSEALEAARICANKYLVKHSGKEGFHLRVRAHPFHVVRINKM LSCAGADRLQTGMRGAWGKPNGTVARVNIGQIIMSVRTRDSNRHLALEALRRSQYKFP GRQKIIISKNWGFTPLRRDEYLERKAAGRVKVDGAYVQFLSNHGRLEENMRRFPDAFS T UV8b_07463 MAFQQPTTATLQRVARPTAAEHDNVAVQARPASPGREAEESQTW VLFSPPTEVTTTSYLTGSEHSLQTPGRSRLSHFGSLGTIARSGEGSEARQSLSVLSAV EDEPSDEDAELDSLDSHLPGFRSNNSGLKPPPRSSPHGVPVFPAHDGLGSFRLDQPVL DAEAQNQIYQFEQFNPRKVRRRLDSFDHTPSNLEGLYGQEEDKRQRIEAWRLEHSRVL LDEVQRETRRRRKSLASMQRSRRPTEVESDDLTWHDEEALEPEGLQEGFLAGITRRVA KDLLGIDDAMLSILLGEELPGEDEEALSSTPRASQLGNQPILTAADEPSWQIRILERL SKELGILVHQLSPHPGAFETYSKMQHMPVPYAGLPAIPESAGTATPRNEPGTQSQARV PEFQPTMHSHSQPVGIPDKQQTPRDGCGEERGVTDGDFTKEEWEKSLDVKLVLRYLVT RFTSRSSTSSSCSTPHREPTPQADSVQVARVRQHHPLIARNHPPVERRHYKAALSGSP ATLRHHSSCASQSTRRSTRRSSCSSRHYWDIGGSLGTGSVIASNGPMGSWGEV UV8b_07464 MYWLRRSLIVLALLLVASFIAVFVPRVLDPTSHGPARRARDSLW VSSSPYWIDRQLCRWLSLCGLHHLRRDPAALPGLNFSLVDDEAWGELRKRLDEHLSWE DAASARSGLPSSRRQLQKRSVFERRRVLKDIPGYVFDHAPLVHLYSGEQFWPSDIREH VQHMEVVVDEEPVNITDERLTLNNLHHLNKFDGIVTLHSLDDVENRPTWLHSHDNVPH PFDDDDDDDDGGGGDGNGNGNGNGNGNGDDGGQPGRGERTSWFDANKDRPLHRISPPL PRHSLHQQQRPMTQGPPRLQRRGHKPDSSGYSRAPAVLILVDKGSGILDAFWFFFYSY NLGQTVLGIRFGNHVGDWEHCMVRFEHGVPRGIFFSEHEGGQAYAWEAVEKRGRRPVI YSAVGSHAMYATPGEHPYVLPFKLLKDVSDKGPLWDPALNNYAYHFDYKLERHGWMDE ESAGADSDKRRPSLVPAAKNPRAPTSWFHFNGYWGDRLYTLADIRQWRLFGQYHYVTG PSGPKFKQLGRSKMCQRRKCRILYKLDPKGTWY UV8b_07465 MAGTPSRSQNVVGLGPFEIYADAGPSLQQSSPRLPNGSCNFVDL TPGTNGAKCGCRRFWPRQGIDGPVTEQVGWCMCAHHACYHDQGPLQDGQLQLQYQPFI NPHGQENQRPRTGREPLTPVADVSVQNVSSALEIDFAAFSPGPSLSFVHDLPAMTEGD LCGPGPSLQPPGSMPDTLAWDEYIQSSRPSVHAPSSAQQAVPAVLPQIPSQLLMPSQT TSLTSSAQAKYRRPFAGKGLDTLGGAKPKGHMAPSSLKQCPPAESDLPNGPSQELVRT DGSFVFVGHGEQGAETQPEPRAVRSYRQGISREAIRNLSDTVGGHEQRLDRLETLSFS ADGHEECLEKHDHMDLRVTDLEQRMEGVEKVAEMATCASNQADGEDDGVKSVASTAAS VASRLNSDEVMSQITTLHARFTHLESLLPSAAHAWVVEVVFLPFPLRRLWQDLSQFSN GDVAVSHDDWTQVPATLSSATRRSQSPFGGGGGEWAAADQDDWLHPRACGDKSTQDKR LRSRGLVRTVSFRGPDARSVQSAIHEAFGTVFRAMGMTTRRSSHATDDGFIQYLGLQE AWVPLRKIRKDSRLRFLSAAEMLTPAAWDVGLLHSAAMKAAQPRLFITHPDAYVQGRA AYQSAWDWQRVRDMDRVPLDATESQEVGEPDAAEHCWLWNEQLDTALARPSPRLLLAR SVVPRNHDAESPAPRPAAGRRAPKPPHIRTASVPAAAAAARRIVSHHGRSSSSRPSSP LARAQPPHSGVVKRSRSVRSPSYLRHTPRWTASPSPPPPPYGAFARQITPGLTPLAYA TPHSNAPLQELRPAHQRGSSVARSHVPVDYATDELFDIEIYESGSDASYRTDDDGDDG DNDDGDDDDDDDDDNDDAAAAHAPAGASVDRESPLRQLPEDEPWPGIEDQDLPSDGEN VDPRHDHVAPDQRSSASSQPSEYPSTETAWPGDHRAGFHIHEDEA UV8b_07466 MEEPKGLLTEKEPGALAVDPVLGQVYGDDQEEWEYEYSTTETET FYLTLELSYPEFKERSTRAYHHSRGGYYKNWQDQDPTTIPTEKESDPCEPGDGDINNH QDDHNNSDNDNDNDNDNGGNGHGLRNDDDDPPVDPALQAAFKPTSKGKQKATLPTYSA KGKGKQDASRVGTTGETQETSEENTTETEDIQILELHSPNPIISYRGRLFEGQWAEVI GTEAIFTSHDPDDALPALRNLPGDIDLLAASSSRILTTEKIPKPKIPEQDSLGRIKAE WNIRIPAGKDRTGERAEQTSFLENLIALKMERGDKDLVTVYATDGAGKDWDDRKGLDY KPRRKKLAVDKKKNQPEHSQATESPDAERPTQGPSFARKLAGFPVGFGKQAAEGRGLS TPTPTRWEDLAGHVQDDDVEEDEGEEEDSEDSDDLGNAEVESDGHDSDDEADDDEADD DDDDDVTMTG UV8b_07467 MPKVHLLDYVAGNIRSLVNAIEKLGYEVDWIKSPQDVPRAEKLV LPGVGHFGHCLSQLSQAGYLPAIQRHIEEGKPFMGVCVGLQALFEASAEDPDVPGLGV IKGSLGRFDGSTKSVPHIGWNSACADGQSMYDLRPDSKYYYVHTYRMPYVAGELEAQG WTVATATYGAETFVGAVARGNVYATQFHPEKSGVAGLRTILAFLTGQGAETLGAPARP ASPRAGQLAARDGLTRRVIACLDVRANDQGDLVVTKGDQYDVREKDDSRSVRNLGKPV DMAKRYYEDGADEVAFLNITSFRDCPVADLPMLEVLRQTSRTVFVPLTIGGGIRDTVD TDGTKVSALEIATMYFKSGADKVSIGSDAVVAAEEYYSLGRRLFGNTAIEQISRAYGN QAVVVSVDPKRVYVPKPDATRHHILRTQVPGPKGEPYCWYACTIKGGREVRDMDVVEL ARAVEAMGAGELLLNCIDRDGSNSGFDLELISQVKRAVEIPVIASSGAGHPAHFAQVF TQTTTDAALGAGMFHRGEYTVSQVKEYLKDKGLAVRPVEAEAS UV8b_07468 MALLSNQNLSSSSNYGEDAAARVHVLASCYIVDSAAQLKGIHYC TPGAAGAECPPLAALTSSNELALLPKNKKRDQSGGRRSERRGGAALMIREECERFFCE PMKTAFHGERNLSMHGSCLSGAYLQTPPPEHRLSDAFQHSQHVKSPGFEVDAWMEVWD YAGGASFRAFVASDGQEKSLFVFFDIEGVLGRDLKKALMALIELADGPLDCCHIVICV DRRMPMQEALELSKSLQWVGFEMTTLDHWANNLDVTSNRWVFMGMEL UV8b_07469 MSGALSKRQQARNEKALHDLVHDVPGNNSCADCHARNPAWASWS LGVFLCMRCAAIHRKLGTHISKVKSLSMDSWSNEQVDNMRKVGNVASNQIYNPEGRKA PVPVDVDEADSAMERFIRQKYIHNVAVGPRKPHSPASDEGTPPPLPPKNSAKFGFRSA SSIFPLSSRAKKEQRPGSGAGLSTITSPTHPNKPSKIFGASIDIDEHDDLDRKLAKLG ELGFQDGQRNAIVLKGVNGNLDRAIEALVRLGEGRSRSPAPTQLPREAALRSTKSLTP LNSSTSSGSNTLGLSLNNPQKPSLDRPPTSSTTSTNPFDMLPVAQPQTAHSTGTLHHK NPYSNLTNPFGSPSQQVDHVNQAFQGLSVSAAPPPIFPVNGGTMVQLPPPPPQPLHQQ SFQQYLSPSAPTSPQGFQHPTYFHSMSTYPPSADQLIQPMPTGYNPFLSQPSSPTTTQ HGLTMNAAQNGFANNPFARSPTRIASPILGRIPEQTPSNFIQSTISALPQSLSTPALP FPTNAQQYQQVQMPQFIPQSFSGQQLCHQPPRRDKASIMALYNQPSQICPRPAAAEPS LATGTLGNSSNQDAYTHSTQPTIASNQPPRRASLALPASTNPYASDGFTQPDPFLPNG HVSRESVNLGMDMAWNNGRHSPDAFASLSARHPCILPRPMSTNTYLSVEWGDYLVEES GCTNHAATKFRQPLVTKPVFELHQSLSVIIIIIILFFIPQ UV8b_07470 MAGIEKLEVHSKSYIVRWVRVDQDNTLSWSVQPDKKSINFGIVK HPGSGATTILSANDDELHGGAHIPGGTRFPKKDMSAQDLLTAKGFIPILWHGKCEADK VSRGTHDVLAGEGGMYGLVFDNTFSKQTSKKATFVLLTYPTSAPPQTTTNLPNLQFPN AQTSQSSLGKHSNPHAENGPCASVDSLPSHGHDRATSSGGKSDTTWAALNYHVGTLSK RRRKKGQGYARRFFSLDYSTCTLSYYYNRNSSALRGAIPLSLAAIAADERRREISIDS GAEVWHLRAPNDREFQDWARALERASRIARGLETLMKPSKPILKPPNGRALQQTRESS HSENFEWQQVETLVSRVVGTRDALRRLTKEVAELPKPTPSGQLLSPRPGTAGDDGKDD NFAPVAEKKSFWKRKSTAPVLTPSALQQTTPSAMAVPSPESATTAAACSITRRASRRR SRGFAQEEKSLHDHCEALLSDLDSVVSEFTALIANGKRRRLPHQPPAGASSRVSMETT STDEFFDAEDAKSGVVKIDGSEDEFAETDPEEDSVHESSSISSMDDEDGTARDGAGDM YPSRPKNLSPLPIAESVERRSRIPPAKVQPPSLIAFVRKNVGKDLSTISMPVSANEPL SLLQKVAEALEYAQLLDRASRQRSPTERLLFVTAFAVSQFSVGRAKERAIRKPFNPLL GETFELVRTDKDVPGGFRLLVEKVQHRPVRLAMQADSENWSFSQSPAPGQKFWGKSAE ITTDGRVRVVLRLADGTDELYSWNIATMFLRNVVMGEKYVEPVGSMHVNNDSTGHKSC VEFKSKGMFGGRSEDVHVTTFGPDGNDTGSGLTGTWTGALKTVGPGKAASEEIWQVGQ MVDDAAQTYGMTRFAATLNEITEIEKGKMAPTDTRLRPDQRLAEEGDLDAAEKWKHNL EEGQRARRRELEDGGVEHKPRWFVRADNSPEGEEVWKIKTGKEGYWEERARGSWSGLP ELFDVAEL UV8b_07471 MRSFAFATALAAIGAVTASPTPSEQDPPTKRANFPVVSVSGNAF YKDKDRFYVRGIDYQPGGSSANEDPLGDTDVCLRDIAKFKDLGVNTIRVYAVDNSLNH DKCMQALQDAGIYLVLDVNNPKYSINRAEPGPSYNAKYLQSVFATVEQFAAYPNTLAF FSGNEVINDEKGTDKAAPYVKAVTRDMKNYMNSRGLRRVPVGYSAADVSKNRMQTAMY MNCGSDDARSDFFAFNDYSFCNSNFKESGWDQKVKNFTDYGIAIFLSEFGCILNRPRK FAEIAALMSTEMTSVYSGGMMYEYSYEANEYGIVKIDSLKAKTVTETDEYANFKAALK DNPSPSGNGGAASTTHAVSCPAMGDDWQVNPSLIPEMPAQAQQYMKNGAGKGPGLDLD GKGSQNAGDSGTSTASVTGGQASPTSSNGKKTDNAGPATLGPLDKAPLLVTGLTLIFT LFGTLLL UV8b_07472 MASVIASYSSYEFMRVSSPATHVAHVEMNRPLKLNAFSRAVWLE FGRVFRQLSEDADVRAVVLSGAGDRAFTAGLDVSAASSEGPLSGQGGGSDPARRAKAL RGHIGEFQECISEMERCEKPVICVMHGIALGLAIDIACCADVRLAARDTRFAVKEVDI GLAADIGTLARLPKIVGSASWVKEVCMTARDFSAQEALQVGFVSRVHEDKAGAVAAAL GMAARLAEKSPVAVQGTKELLGWGRDRSTAEALRYTAVWNSVALQAGDLPAALASVFT KKTPTFEKL UV8b_07473 MPRAIRGVLIECDPSIKSIIVNIDSENHDFIIEDLDEERVVVKE NMVPLLKQKLEDRLKENLPPVDESGSDAA UV8b_07474 MSTDTPSTGLSSEPFVWVILPLVSVFAIGACAMFLWSRQKQRRR AEPCARSGDDGVVVAGGAHVRYRQGVSWSPRSDARQMDEGLNELGEAPPPYDSKTPPA LGRGHHESGTEPRHAETATRHLEHPVRPPAAHVTAAGGASSS UV8b_07475 MGVVDCKKPPPLPAPTETETTTDVSKPGDEKSSYSIPEDGTPVT IRTRGHKASKSQTSLLIEYFEGGKNGSGPDRKPSVRVRLTPSKKSKGDHIQVTETKGT RKASLTRRIPLDQAIASREIQLHDGEDTNSVTSYASATEESNVSRNPIDIEIDRSHRR RRPHSPLIPSAESYQPVNPSEISAIPTNSFLDGAGPGGDVKRSGSPPRGERLAGATAA GATAGAAMGEISNNKARGKERVKVSEKLKENDKPDRKRNSKVGTSSVSERAEDELRSP RRRSSRGQQESNVSAADSSAVSSHLAPSHRSVDTRSIRSGASKSSINNPKLLETVEDA IRRLILPELSALKREQSKRENRRDSIASSVTSVSRDDISSDRRRSSSQRGEALRDPPK RKERRNREARHEYEEEVSPLSPSHDSINTEYQSREEDVTTPKRNGNPLQATAAAAAAA AAAAAAAAALAKAGSAAVSDTSDSNDKKRRDRRRRRAEHAASRGLDGEVFDDEEHEDE LAPAPPMPLMSDINPSELTRTSILSARSDRPPHPASEELAEPIGDVSNEEGTGSSAST PTRVKSPINQEKHAIAMQHANVSHGDLTALPRGNKDYVEEYETDEYGRKVRVDSYTDS DRSKGPASDAVDYAEDDFEDRYYSTQDVPPPLRYVPYQAGARGLSPIPSVSGYTEAGS EAPLPQTSTDMPSPAKSPERSRQHLSLQSDDSVSRDVRELDGLSADGVDRVDEAASGQ AVRGIGANPNFVHPPIGVESAVASLVDGSALDQSVVTGASGYNYDAARASEASYEDQS KTQSSRAVDRLDRTDADDDRELEDERQATPESKSVSQSQDHSEYELDEYGRKVPRTKY RHSPTASEAAITAGAVGAAAAALKAAKERKQAAASGQFVEDFEPAGVARNRSFKERTM HHGWEPRNTPAHSVDRLDFEERPRMSKSGVPDLNDPLPEIGYVDDDLQTNPSLVEERL DGEPMRQGWPGRETATEKDMTSHTRHPQGKEPSGVGTGGGLGITEAVGAALLGTAAGM AATHNEEGVKEDGEWHRTSDERKRDTLVTNPYEDASPIVNLQLDEDLLGARGLNAGFD TGSPAGFAQKYDEGYMSNGPNHTPDAHQAKGKAADVHPRGTEDDPFYVPKSHHGRHLS GMSQGMGSPFYDAATGAGIERIENKDIVALMQHLMVRDAQRSARDTEIVALLMNAALE MRNSFREMKELVQDTGDDVIFANAENTDKLHKAINGPRPYPGAASRSLQSPSQAGTLD EVGLKKRNLWKRALQGLSTKGTNDLSRIEDMLMQLLGEVDVLKTRTAPPVSTSGPGQS FDNLQTDGHSEQGRGYAAEDTAAAGTSRAADEARATSASQSIGRAPGAAREGRIPENA VPENEEECQYERARPSAERSAGDYLPSPDQPDALQRGGSVPLDTPPRLAPCGEQQQPL SAENTPRTDKGKKQKTGSSGWLPRISRWSGTTASSVGKALRGSGASKKGQKYDDLQHP SRSSSSLASYDDAGNYGYDAYGDDKLHGGLSDGNLASPRAENRAASQGSQHMAPEDPK YKAHRDSLNLQHPQPRPGQTERFRTALESSAQEFNNPTTPRSADWAGSATSLNRLTPT KSRFGDGCAGPGPDGEYFPSPGGQQPSGPPRPPKEPMESPSSRRTQTPPKSSRLSRLQ KDGSPAPHPSSESGYGTMTGTYVSHYSGSSPKLENRNLNAALGVPSRRPSGPRAMTPK SPVEEAAREERRRKRSMHEGCFSEG UV8b_07476 MRLSLVALVVATVCAAASHSHHQVERRAGRRPQLTVDTSVGGGR PVDGRTVDENGISPVSPGSLGDEPVSPIQRPNYKVHRKLKQKSASKRYKGKCNPASNT CTFAMPQLRVTADGSPLYVIDRTMRGCSGEPCPAANAPCWYNPRRQVATCVEERANSP ARTFKHAGKRQA UV8b_07477 MPKAAPIDFKSSLIATELIFDHSGCPGVRHRKANTWGGEMVRVI LLLSLLVAAACCSSANDGAESWLLAGGNRAVPDCVTRHAPLVWLHSEDPFRPSDLLQH VHHTRPTVDQSPIAGLPKLSLDNLETLNRVKTGQVALTSKDDVTTLPAWILGKVPDES GRTVNATPCVVVAVERGPQDLDAFYFYFYSYNRGANLTQVMEPVNRLIQDVEHGMHFG DHVGDWEHNMIRFRDGQPTGIYYSQHSGGAAYGWHDEGISLEDERPVVFSAYGSHANY PSPGNHIHDEALIDYCDAGKLWDPVLSAYFYHYHPATSTFTRLLPAGSAVPPTGNLGS FLHYTGLWGDARYPDDHPLQRTVPLFGLKRFVSGPLGPMAKQLVRGGLSPDSRGSKSW TQRLVGILMSWYPCCLRGWRKWLSGAVVAALLVLSMMGLGHGVKRYRARKGYKKIEAD IPLGDIDPPGRVAWRGDGEA UV8b_07478 MLLLLSCGSVLNGLFALGLVSAFEYNTFDGPGFPSCYNVTQVAN VTSVAEVQGLVKFAASKGLQVRAGGKGHMWYDTQCSDDETIIIRTEFLNKIRDFDLAA GTVVVEAGVTFFQLAEYLHQRGANMGTGLVNWNITLGGSVAMGAHRSSLREDAAVVGG VLALDMVDGLGGLRCIERDESSDDWLAASTSLGLLGIIVNIKLKVFADSKVYAMQKTL DEKDVLSGDIYALISPYLTANLWWFPFKRKFFWRYYDTVEPSKSQQQGFQSTFSVTAL EGNAARTLLDSGKYAPSSNWIAEETFFRQWKAPNFHEKTTNQPVKQWPVYGFHYDVLI GGLYPGQKPEWDYNLHAYTLELAFPVTMANKMLTRVRELFDDEEKKLKVMASTYRSGI NIKFGKAHYDFLGQMTYNTSDGQDWTKGAIMFDFPSYKPTVGDKKRYNEEFYINLANT LVKEFPCRPHWTKNTRDVLQRAVPNLDARYIQRFKAVREKMDPEGIFRSVVGEILGVY P UV8b_07479 MAPGEAQPAAASATPSAGRDYKGFVAGVFSGIAKLTVGHPFDTV KVRLQTTTKGRFRGPLECVTQTLRHEGVRGLYKGATPPLVGWMLMDSIMLGSLSVYRR LLAEHVFHAASWAPGGGGDDGDGPSGLGVEAEALLPGRASSSHPPPPPPSHLPPLGHG LAGVLAGATVSLVAAPVEHVKARLQIQYAADKARRLYAGPLDCLRRIHARHGLRGVYH GLGATVLFRGFFFFWWASYDVLSRWLRRRTGMSAPAVNFWAGGLSAQVFWLTSYPCDV VKQRVMTDPLGGGLGDGERRFPRWRDAAAAVWREAGWRGYWRGFLPCFLRAFPANATA LLVFEGVVRALP UV8b_07480 MAPQTKKSGKAQKQTKKFIINAQQPASDKIFDVSAFEKFLQDTI KVEGRTNNLGDNVVVQQQGEGKIEVIAHNDLSGRYLKYLTKKFLKKQQLRDWLRVVST SRGVYELKFFNVVNDEADEDDE UV8b_07481 MAAVNIITGAQPTSPDEPDLSQGTQDSQTYFQQSDSEGEDDDPL HEQDDVDHSEEGISDERAATAAAPSSNSPEPVKESQKPDKKSKKKKNNNKSKGKDNDK PKDTPADATNNEDHDKPQTTSTGKKKDKKGKKAKKDKKSKAASDVSPPKAAETEETTP GENPAAAEEDPAPEDAAQEDAPPEDAQPDDAAETKQEPVPDAAPDAGAEPEGQAPVRA SEDVPTEQQNPPEEGAADAAEQVTNETCAEEASEERAPGTTADEESTKEPAANEEGPK EEVETGPGAEVPPDEKPAEAPTVEETPQAAPAEAPEDQPTVDEQPAEVPAAEQAVEET PQAAPAEAPEDQPTVDEQPAEVPAAEQAVEETPQDAPAEAPEDQPTVDEQPAEVPAAE QAVEETPQAATAEAPEDKPTVDEQPAEAPAAEQTPQETPAETQEAEAAVDEKPAEGSV VEQAPKEVAAETLEAEPTAEEKPAEVLAVDETSPEAPAESTTEEQLADEKAAEDTVDE ASQEAPASTDEQPADEKAAEDTVDEASQEAPESTDKQPAVEEAAEDAPVEASPDAPAK STEEQPAEEKPAEDVVDEASQEAPASTDEQPAVEKAAEDAPIEASLNVPPDTTEEQTP EEKPAEDAPVESSPDNPAEPADEQSAEDAVDEASPDIPAESTDKQPADEKPAEDLAVD EASPEAPAEEAVERLAEEEKPVDDSPVEESPKEVPSEAQEAESTVDDKPAAGSIEEAS NDIPAEQQAIAETPPPTETKTEEDSNQGAVNEEVSETNEIPATESVVDGEQPSQEDSA EGPAVEEPKEQASESVEKQPGETGSEDSEGKEVDEASKAEVTDELSSQQAAQDAPTAA HVVEDKTAKEPATKEVTESLGTEGAVEVSSADDPVCDEPVAKESEDTDSKPITEDESV EQVLEESTPANEESSTHADATEGPVAEIHVSEEPCGEPAADGTEIAPVPEGPDQAQEP IEETSAEVTQGSTVEEPDSSQNITSDVTAPESAGLDVNAEGTSAEADPAPDASATVEP TATEENAPTEETTTSEAEPTPPVQDVKEEVRQSETPVVEPAASEPREDATENSAETET ASAVEGPATSEPASNAVSTEEPATETAVQDAVPASTDEPSNPATETAAETADPIIETA AKTEDEPDTTMAAPSKADDPTEEEATSDTSPDTDAAVAAATEETVASSNPTEEAVADE PSVEVSTDNSVEPEQILDGTKADDSSADDGAKNDISAEEATVEDKPADQGAETVDADV NADSKPETSSNEELSAEAAKPSDEQAADATIKTAEEDEVTAEELTTDASETAEPPADT PAEESTKETEEPAEVVEQAPDDNDVAVDAPSQEEAKPEEPLPEEAASVERAENETGSV DTEAGETTEPAQVVEQTPDDDGAGDAPIQEETKSEESLPEGVVSVEHVAEQPNEAEQT PDDDGAGDAPTQEETKSEEPLPEGAASVQHVAEQPNEAEQTPDDDGAGDAPTQEETKS EEPLSEGAASVEHVAEQPNETASDDPAAGEAVEPAPEPESLETEAKKDDPLVEESNVE PATIASAETPTGTTEETDTSETSPDKAQDDSAPEKEASDPEPAVPVDSDETTAPPASP KQVHFADKDDVITESGIKDGEDNVVAKSETAAEDEHPGQEPDEKSVETVEEPAAPEET TDGASVETAEDVSADSRSDASPTSELVEEDSESASPEEAQKVTDEAIADDPEGEATEA PAEATETSDEAPGDAAENPTAGEETSPLVEAVEADHTAKLPAGAVEIAGDAAEIESES SARAAATPEDDEVKDEVDAEQSNEPVASATTTAEIAQPSGTDTTTEATPVLAEKTDVV EPENVVQDTNDAAVDTAEPAANEVVEAEKVLDIADATTVEGDETVETDVSGNAQDETP ADTATDQVASETLEDADCKTDVAADVEEAADSAKEEVESSAAVSEPSHELEETAAADE PLDSQSGNVTNEEPAVEETAGTTVDESTQDPEGDEAVEPTEATANEEPAAPEEGQPPL ETAEEDAPKAEDPAESVKTAQHESGNDGNDAQPVEESQDTSCNEELTAKDEGNEAEAT EEPNMEEEPADITDLKEGDEAAVETPVAETEAEAENVASAAVAPDANEEAAAAAPEVT EDVTPAEGGDEKSESEDAPDADETKAQLGAEVEQEQAEEPVAVEEVAEDQQAVAEAAE TIATVDETPASPPEPTVEATPAEDAAAAPNEGSEEPGPEEEQPEEEAVAESAEAEVAP AEEGEASVEHTEAPEEPKESSAEIEAQPSTLAPAAAIAAGAALAGGAAATLLREEASE PAAASKAEQGDVEAKAPEEEESKDTVGPIPSEEKLAPKPKKDESSRGSRPLSSDGERE RHRRRRHSHQSGRSGRSRHDEEPREGNRLPSHGSSHGSHSRRHRSVSAEEASTKTPPR TPHRRDSGFSGESPGGSGRRRHRTPEEQAEHDRRKAERQLGDRDADRHRERSEKRDHH DRPDKSQRLEKHAERTERSDRASHRDHAKSRETDSPTDRSRHRSSRRHSHSSQQRSER VPSAAAPAERAEPVASPQQPDKKFFDVKNAQGVVGSGMPPQIAGETVVEMPAQDAKGA PEGPKRSSTSRSKHSLKRTSTDQARPKAAKAKEHEDTAQSSNKSSGTPSEDSARKARR SERERERERDKKSRDEDKKPSGLKGMFRRIFG UV8b_07482 MCQPISSGVKRGQQQFDKQGQQGHGVVHPQCPEAGLGLDAALRW LAGRLGVVRNRAESCPLLIDPSTDWRRLELD UV8b_07483 MLHGSPVQQISGDPPRGDRVKQAAAPRPIDPHPCYSVLRLSGLS MRRSTAMLARKQAFIYPPSSLRGDPCPLLTPPRLPALGSLSSLGVGAWPRRKLVDKNP SFVVTVVCGNTHARTSFPCLARCVASRVQSNPCDDSAPMNRAPWCSQAS UV8b_07484 MSDLASRISKPEESAADEGKEAGAASSAQVDGASAAIGGSSLTE ADGDVEVTISGGDNDAPIYSAATWEDLGLSEHILKGLLAENFLKPSKIQGRSLPLMLS DPPKNMVAQSQSGTGKTVAFLTASLSRVDFTQPHQPQALILAPTQELADQIYRNIHTI GRFIENLKVALAIPQKIPRGEPVRASVVVGTPGTVLDLGRRKQLDASKLKVLVVDEAD NMLDQAGLGDQCLRVKRQLLPAATQATIQVLLFSATFPQRVMEYIPKFAPDANSLKLK TKELTVKGISQMFIDCPDENARYGILCKLYGLMTIGQSVIFVKTRKSANEIQRRMTDD GHKVTVLHGEFDSSERQELLAKFRSGESKVLVTTNLLSRGIDVSSVSMVINYDIPMMP GPKGDEPDAETYLHRIGRTGRFGRIGVSISFVYDQRSFEALSAIATHFGIDLVNLPTD DWDEAEEKVKEVIKNNSAQASYVPAVESNQPTAAS UV8b_07485 MAARPPPPPPKASFSLYDNLADPNDPAPSATIASAAVLYNQSGS AESKKPLDPALRFQPIRRPPVKQAKVKGTFVKPAPGSKPFGPGNPQHTTASTAPALSS GPAPVKSSLADWAATEEDEWRYGLGEKRQRGGRKKKRKQQNMPAETDWDEMYDPARPT NVDEYLRSDEKIDEVREWKALLYRHRRMRDESTISSDDDDEDARSGPANRFAPPPSYA SVPPPAQSPPSPPPPQESGDDAYARRLALSSLHNQPPPPSSPPLQSNPAPSPPPPPSD SATISRAPVLYTRSTESPIREDVDGYSPPPALGVGHDDDDDDDDDKDQKGEPRQRSNR PGQVGFAHRLMSKYGWTKGSGLGADESGIVNPLRVQVEKRRKKADADGGGWAEPGGKG KIIGSKRKEDAGKFGTMSDVIILKNMLENMPDLQAEIADGLGQEIGEECGEKYGRVER LYIDQSSRQVFIKFTTQVSALRAVNELDGRIFNGNTIVPKFYDSELFDKGVYRSI UV8b_07486 MRSATLVSAALAVAGIASAVSTCAQDITVTQPTPVIACDYITGD VTVDSAITGSLYIDGPKQIKGDLIINNATQLISISSPSINAIGGTLRLQGLQLLSSFD MKSLTSVKNLELINLNQLSGLSLGTSGVTKASSIRIQDTFISDLSGLNVATADNITIS NNARLNMFDSKLQNVTNILSVVDNASNMQIKMNSLENAGELDFRSIKSFDAPILGTAS RVSFQESPELLSVSANNLTSIKDSLTLDNNKKLTNISFTALQTISGDMTIRNNTALMK INQFPELKSIGSVLLAGSFNTVEIPKLNDIKGTVTVTSTTDISEFCGFFDNLKTKGLI RGKESCTSNNEKANEGGKGGTSGSGKNSTDSGAMSFSVNTAMLGFAAAAGFAQLF UV8b_07487 MSSAPKRQPDAVNSTVAATRRSTRLSTRAEPACPQACPAPPPRK TEYNRKRKASSPEPTAPCQPATPPIKRTQHAAPAPPWTPTPSAARAMANPAERKQPHK HPITRLADPRATNATLLSPETSRVIASKDIEPASPSKAPPAKITTDNLLQIACAHVIN VDERMRPLVEKHHCTMFSPEGLAEKIDPFESLASGIISQQVSGAAAKSIKTRFIALFD NQDQGTRFPHPSEVAPCSIEKLRTAGLSQRKAEYVKGLAGKFTGGELSAEMLHAAPYD ELVEKLLAVRGLGRWSVDMFACFGLKRMDVFSVGDLGVQRGMAAFAGRDVAKLRSKGG KWKYMSEKEMLEISDKFAPYRSLFMWLMWRVEESSTDVSTME UV8b_07488 MSFVPVNPRPMLQDLVNKPVFVRLKWGQVEYKGTLVSVDSYMNL QLSGTEEYVSDKPTGLLGQVLIRCNNVLWIRGAEDGKDVAMSG UV8b_07489 MFPRGRGVSSPRATKQCPADAAATPRRALCSDRGETAADLSKRS PLSLSSWESGSRGRHDGDLVLEPGAEVTASCSPGQKMLDREDDDAPGGAGGAGGADVA RTKSKRASSAGHGRWRLLYLSTAAPRAETRSTEYTGWYLAVSYLGRGGWEHGGACKSL EASSPGNHLSKAR UV8b_07490 MLLPKGGFNWKAARAQLPPTRVLWNVLTRTRFLLLVGVTGIILL LWRSIRSSASEMQSFYCWGPSKPPIDMSQNEYARWNAHMQTPVIFNHHAPLTVDSPTI HGVDLNPIQSTRKAVANEERVLILTPLKDGASYLSKYFELLAELSYPHHLIDLAFLVS DSTDDTLAVLTSELDRIQKRPDKIPFRSATVVQKDFGFHLSQDVQQRHSFEAQGPRRK AMGRARNYLLATALKPEHSWVYWRDVDIVESPAKILEDFIAHDKDILVPNIWFHRYEN GVDIEGRFDYNSWIESDKGRKLTASLDKDIILAEGYKQYDTGRTYMAKMGDWRDDANE EIELDGIGGVNILVKADVHRSGINFPCYAFENQAETEGFAKMAKRAGYQVFGLPNYIL WHYDTDEKGGNA UV8b_07491 MWSWFGGGSAQAKKDSPKNAILNLRSQLDMLQKRQKHLQSQIDE QHEIARKNATTNKNAAKAALRRKKANEHTLDQTLAQIQTLETQINSIESANINHETLL AMQQAGKAMKQIHGKLTPEKVDQTMDELREQNALSEEIVNAITTNPIGEPIDDDDLER ELDELQQEKLDEDILKTGNVPVADVVHRMPSVNQEAVVPTRGQAVEEDDEEAELRKLQ AEMAM UV8b_07492 MRSPLLLPLLLPLLDAAAAAAAAAAGQPPWKTSNLTLQLSSFVP VCAEDCFVSFLRVGFGLGPGDRIPSLEELCATNARTGFTVGEGAVQCIAAEKSIGGCS EADAGSSVIYRAHQMCANQAGAVTPTHGVITATLMLPPPGAGRVVSFPPLPAATPRSR NHTTRAPLPSTLVVDTRSLAFATAPSAGDTTTTTTATTAAIITTLKTSVRGTGTGTGS STAAAETSTSAAAPATGSGGGGSSDRPLTPLQRTGIAVGVIGFAIVGVGLVLLLRWHR ASRTSMRGEHSPLPSSPSRRDSWGYRFDKPRGGSSSTGDEPSSATNPAHPPPPPPPPP PDAEKPCRPRPPGALRNTPPRPPPTAADRPLSRLLPPAPQAAKHRARPPPPVLPKLLI PRRGDDAAAATATDKPRESTATEFEEDGRESSSASPQRLQIWRPPSMGPRSAATYYVA DRCGNWVLADAAAAVKTQPQPQPQPSAHPRPSIRIVTDQDANHDGMVPRALFSDPAPA CPSAGPRLSGTATSAAEPEPHGHLYPVAELPAPRNGAPSPLVPRMRLPELPDTAAQHH HRRPARDPAALRTGSPTLRVVASSPSPSPSPSARDDRSSLPSARDAPLAWVPGLPAHP HPHRRPPYPGSSLWLPPQARSRQTPRMETEPVHHEMTPNRAQRHFDALARNPPPLYHR LQRAPGAEPSPQEGLAPATRPEGLAPARRGAQLRLRAQ UV8b_07493 MLKSPPSKLGPMSCQRLHPNHGISLRRRSHSSARKRFVASVACI STALVGIILGIYSGLVPSIQYYVVDQSHVIVHGNTGCFLGLALPSFFLWPLPLLHGRK PYILSSLVLAMPLLFPQALAINSQRLTNPAAWKCALLAARALMGASLGFSSLNFHCVL TDLFGASLMSCNPHQEVVDRFDARRHGGGMGVWLGIWTWCWIGSLSLGFLVGACVIDN HHPAWGFYISVIILAVVLVLNVVAPEVRRSAYRRSVAEVRTGDDISRRVARGEVMMHR VKTGPRWWGQEVYHGIALNLEMLRQPGFAVVALYVAWVYAQVVLVIALLGSLASRFYR RRPTEVGLLVGAMALGALLAIPFQKANLFSRSRQAQLNSNLATLERKVAWSSHLVRRT VFTLILPLSGIGYAAVSSGPPIPVGVPALFSAFVGFFSCLAISECSGLVMEAFDCSDL SPGMTGRQKAPSGRRRRRTNYSSFPRVTAGFASMHSLAFVLAAGATALGGHVSRTIGQ QVSTGVVAGILLILTFLLLLALIRFTEVQIVPQSKSQEMDRLVDARRRSSIRRVSMPH DAQAVIEEEKAWQPVMIGNPTSKTRRMNILELGSMTRWQEIRRKNKLIDAGAHVNREA WDQGMEALDDQLSDFRRNAHQLLHGGKRGARRTRRTGDSSDVEQPIEMKNAQDQDRVS I UV8b_07494 MAPDRTGYENRGGTRRVKLQDKPSGSISEGVSIPALVELYSACR CLYYQHAIDRCASYGRRGHCIQQRTIYVGYACSAHTARSGQHAGSRQYSESGHYNSRS NTKSHR UV8b_07495 MHKASSGSLQANHSTRLRNPTDFNAPSPTVASPDIFLQSASMLS KSSSTNQSLLSLDRNGETGQKAQTTPSVEFLPSTSFDDLQSSIESASNNLKFRRPPSP IGDGNAPRPLANSRAAKAKSVQPPPRTSHANLLGVSDVAPEQNRLSALAPRSPRVGVK TSTPSSSKRMSFMPASHATGLGARTISPTDTRRMRRLSTMPKSQSWNVLATEPPPPPP PPPSLNMRAESRSPSIIPRKPSLTPSSARTTPDVNNRKSYSSGLSIGSTTSFNTVRTS TGSIQPRLQPSSSVTRLPTPKSASLHSSMQGEDDEDVPPVPAIPKAYESPKETSAETY FVDLKKSNLSAMDSTSIHSNSTGSISMQVHPEPIKAQQKAAARKSSYVGISSIEHEKK SSQGKKQLEPLRLPPLNIGPLSFPTASKRSHQDASQRDLSPLPSRQIHKSPTTPMTAS RSSFFSKCNFDEPIELPSLRSSTSTHLIRRVTPTPPGGSSSDSSNFPEPTPKTSISPF LSSSLPKGGLEPGQLKRSRTGGGFATITGSFFDDGIQQKPAGPRQLHKHKLEHQSLPP KPPVPEPQAPEWEEREPRSPSSKTSLRRKLSLSWKRDKSKASADALENPVPLPQTKPE NMVPPRIPASASATISGFAGSKQANPSASASASSSLADMESRRRKSSAASLVNYGTRD RVKSDTWSAYKEGQDSVTKASTRHASGGLASGLADRAVKPKASSTVLQTVGSWTTELD KDDKAAEEEMRKLGSRRKETEIAGRTIDALKKRATPKERVGPQDAIRIAILNLYERGE IVDYKDIYFCGTQNARKVVGDLQSDSPNFGYDDERGDYTIVPGDHLSYRYEIVDVLGK GSFGQVVRCIDHKLGDLVAIKIIRNKKRFHQQALVEVNILQKLREWDPKNKHSMVNFT QSFYFRGHLCISTELLDMNLYEFIKAHSFRGFSIRIIRRFTKQILTSLVLLKQRKVIH CDLKPENILLRHPLHSEIKVIDFGSSCFENEKVYTYIQSRFYRSPEVILGMTYSMPID MWSVGCILAELYTGVPIFPGENEQEQLACIMEVFGPPEKHLIEKSTRKKLFFDSLGKP RLTVSSKGRRRRPSSKTLPQVLKCDDEAFLDFVARCLRWDPERRLRPEDAVRHEFITG QKTAAAAVPRLPARDASPCKRTPASANLRPLPDPPSSSVAAKSLGHPMRSGISPHKAV SGISRRTSGGVTAATAASLSRRTSAGGSVSSISSLPRAAGRTASGKQDLAAAGASAAM SRRG UV8b_07496 MDDFANDFIASAEDAAAAAISDGSPPSAQHQHGPDEAGQKRHRH HKSGWGGLSSLRDKASIQDRLVDKLLQQVIPIDDAAQDVGQDVPNPFQRPNFNLTIMS HNFRRFNSRIGVVFRFQDKVEALLSWQTTSHTVSYLAVLTFVCLDPYLLSALPILFLV FGILIPGFVARHPAPPRGTLSSEQSIGYSPSGPPLAPAATPRPAKELSMDFIRNARDL QNQMGDFSNAYDKVVELLVPVANFSNEALSSTVFLFAFLGSIVMMLAAHMLPWRIMFL LAAWAPVVLCHPSVTRALRDARRDRGGAEPASGARSWLDGWIARDVILDSAPETREVE IFELQRKSPAGEWEPWVFAPNPYDPLSQARITGDRPKGTRFFEDVLPPSAWEWSEKKW ALDLWSREWVEERIITGVEVETEGERWVYDIYSDKEDRTGVLDTPEPVKSRDLAQRQT SWEEGEDGAGRRGDWRRRRWVRLVKRRAAQEASS UV8b_07497 MASSDTNNPSSPPFTPPNPIPPRRSSTGLSRNSVQRAVAETLTS PQTYQSFAQPTPLPGVLGPVVETDIFGQQKEQVPQKDRQRAQKNPTVPLAVAIMHQQQ QQPLSQAPDPSRYEHEDLDFTPKRSWTDDKEKVVRAPFDYVASHPGKDFRSQVINSFN AFLQVPPERLNIITKAVGMLHESSLLIDDVQDSSELRRGFPVAHHIFGVPQTINSANY IYFAALQELQKLNNPAVISMFSDELVNLHRGQGMDLFWRDTLTCPSEDDYLEMVGNKT GGLFRLGIKLMQAESASGTDCIPLVNLVGLIFQIRDDYMNLSSKEYSNNKGLCEDLTE GKFSFPVIHSIRTNPGNMQLINILKQKTADVQVKRYAVAYMESTGSFEYTESVIAILI ARARRMAQDMDGGDGKSSGVQKILDKMVIQAKSS UV8b_07498 MTFVCAAFPSLDTHIAAGAQHQAHMSHVMSSIRVHLLSLVNLIY DKQGFSGKLSDLGVNLPEIEAPTALGF UV8b_07499 MEALLSLAFDNLSSYDGPKVRKGLRQVEGLLAQICLSDERAAQR QSKPLVDDDGGTPVSPLRKDLAQLSKDPAFREFFKLQEGFEWNVAMRLIGTLDRLMAK GSDGQNDLLILSALDLIQGVLLLHPPSKSLFSREQNMNLLLDLLEPFNCPAIQSATLL TLVVALIDTPTNTRTFEGLDGLLTVTSLFKSRTTSREVKLKLIEFLYCYLMPESPSIP RADQRDSVPAMLQRSPSKLAGAFNAEKGRKHTNSSGLETLSTQEKQELLSRHLSNVED LVKDLKNCAPFGGAIC UV8b_07500 MPETLPPTSPAPAPVSASLPTANCGDSYHNCLDVLRPDQCCDNK SYCYINRANQPRCCPLGSNCVGDSPCPSDAYSCSGRVAATVSPGCCARKCPQPTYYLC EAALGGKCCPYGSQCRAGGNCVQRQQQQPPPPSSVSALNCSASTALACLAPSSVAVSE TYRLPPGAKVGLGVGIAVSALSVGLLMACLAVSYTQRRRRERVENSGTRTGTTELVGA AVQPPPQLPPLGDHRGPEDSHTDTTARTCATSIEGTALPSSAYSIRQAIPVEIYSKEK RLPIRSYPQWEMAPVDPDDGLNELDGSKLPSPRSPTPSSASPP UV8b_07501 MAWSRQARLAPQTKASFVTALAAAGASCVSAILGGTPVPAGKYP CLVSLPRGSKCPLPRGRLLRRPAACTTRTQPDSLVVIVDPSGRMRVGNGHQRPRTLPW VGYSWPGSYVHRSREHEAILEFAVPVFSPRVCRKRVRPVLAEVGADNFCGEGRAAGSA GHGDGQRHWRALRGQRRRGGHRLLQRRQGGPDCHPGVATSMFEQMRFVANSYERNEVP YPYPYPYPEDEVAPGRARRRGARRERGEACD UV8b_07502 MGSVAAPAPFHVLDDARPDDRSLPAFMVSTTRGFLPRMDPVVTL PPEFAALESLLQRMPVKTLSGEPGLLAGSKLGGVVDSEFPDLTDHMEKYKHDLPVMNA LYRDYSFLASAYLLEPCHERFVRGEEYGLGRQVLPRNIARPIARCAEIAGFLPFMEYA GSYALYNYRLEDPSRGLEYDNLRLIRAFEHGLDPTSSEAGFVLVHIDMVRNSGPLVAG TVKCLDVASQLSSPLGTPAQRAAFNQGLGEVLAALVKINAVMETMWAKSRPTEYTSFR TFIFGITSQSMFPNGVVYEGLNDGEPLSFRGESGANDSMVPLMDNLLQVPMPDTPLTE ILRDFRKYRPSNHKDFLQHVKHVSEAHDVRGFALALDRAKPASDEERDLVRESRSLWL QILDQVRDFRWRHWCFAREYILKRTSHPTATGGSPIVTWLPNQLEAVLAEMVHIDELA RRDDERGLGKVCERVMEGALRQRDTLRKEVEKYCAERGVARP UV8b_07503 MRSLTAGRWLFNSDGRRETGDFDGPPSMDLDGQKIQASPRRRAD TLTQLLSERLALRPTIRPPTPTAEPALNLRLHSDFIQVPILRFAIVDKASSALEPKVV DIAPFGSFIIDSAELLGMNKVRGELHA UV8b_07504 MASKGDGRRKSGGKPRLVVTLKVSSSRLRQLLQPASSESKSPAS KGSAETKESVSSPAVSANQAASGVSNGDPATDSTAATPAAEENPATPSMPPPFDGRKK GAKRSAASLDGAPKIRGKPGPKKKPRLEDGTIDHGAVARMQAAKLGPKANQGAINAGL RALDRSGKPCRKWARGGFTLKSFTGVVWEVHRWTAPPKRPAEDENSESRAASVSADNS SSKENKPNGQEENSPNHSNGGGDVDINSVPSINASSPAPIAVSAAS UV8b_07505 MDGTANSSVGNAWRENGNLPSFSRGFNMFMAPIDADGVELGVDD GFFVPSYLSDSTYVRKLKEAHRSKLQSLRETKPSTSNGAATKTPGLNHQALPPGSHRG MSHSVIERPPPFEEDDTMAPLPSRWNKDDMFGGIDLLSDGRSVKYTAPRSPHERDHEA SAVRADHYMPPQCGIYYYEVSIIYGKRDDTTIAVGFATKNASTSRPIGWEPESWAYHG DDGRSFTGHNIGKLFGPSFNAGDVIGCGVNFRDHTAFFTKNGVKLGVAFHDVTRSKLY PAVSLKKPGEEVSVNFGQTPFVYDIDDMMREQRAKIRAEIENTDISTLEPGLSETDLM QALVLQFLQHDGYVDSARAFAEDIKQQKEALRLDPSVPVEGINIKDDEDANNRQRIRR AILEGDIDRALKLTNAYYPQVFRENEQVYFKLRCRKFIELVRTAAQLRMGGDAKGSNG YGQDMDVDGGGRDGASWTDSMALDEDAQDPSELQKLEQQMLEYGKTLSAEYTDDARKD VGKALTEIWGLVAYANPLKEPLVSHLLDRNGRVAVAEELNSAILSSLGKSSRAALEKL YAQSLVLLEDLRTDGGPGAFVSVQDVLDSIKVLPSL UV8b_07506 MPDTWLAVPPPVRTLFQRFPLVVHPAEPLPARAPDHPRRRPKLH VFATDHDALRGLPSYNPTCLKWQTLLRIARIPVDLVPSNNHASPSGALPFLLPASSDP RPDIPLTGRRILHYAERHCPQAAATPRQEAYLSLLAQSIRPAWLYTLYVDPTHTDLLA RLYLPAARPTRGLLLRSLRSAAADEVRRTTRRPLLQPEPLYADAERAFAALSAVLGRD EWFFGARQPGLFDADVFAYTYLILDGSLGWRGDALRECVSKCGNLVRHRDRLFQRCWG WKVGHDDDDAV UV8b_07507 MLLPLWAFSAVAVSPAIAGGLVLDFPVSKHNSYPVIEAKIGTPP KSYRLLFDTGSDATWVASSSCTPQDCPNSSGYQRVLYNVSASSSGLLTGPSSSIGYID GGKVTGPTAKDVFSDGKSTWEQTFMAANTSTWRNLPADGFVGLSFQSSYTEGGIETVM HTLLPKLKKPRFGMVVNGKEDTTPNGGLLTLGDSREKRFVKGPMVTVPIVKRNGQFDM WRSTTPGMIITTSGSNTPGRHGRPSSSASRVSFGSSSAIFDSGAGNMYVPKGTIEAVY AAMGWNYTKLLTHEHTPLCSEFNSSWSITFEFGPDTAAVSKLTLTGDELARPGFAYRQ DACFPPFLESNEDNLMLIGTPFLKNFYTVWDFGSHDPAAYNPTLSFGKLKGNA UV8b_07508 MAQSCCSAGPRKPAPGDKLDSHFAHDVYPVHLLDQPSGMRQMLT TWVMCFNDVLDAAQLHACLCQLLEIGDWRKLGARLRVKHDGLLEAYVPKAFTPQNPAC LFSHERLLDQYIAAHPAGRHFTLPRTRAFTQTFPPSCRRDLAPPGFPATIKDLIRRNL PLLSLHVLSFCDATVVNLSWPENLMDSASFKALLENWSLVVAGRSQEVALVFGPYRDV LGELVQKAEEKRTLKTDDAGSCRCRLPGWWPRRNLPPKERRMVYIPKDIYEDFLNEIR DDISRLVDDDQRPRTAISDAEILLAWLSKLHAGGASKPRGVVARNMVNLRHHISSLRD PSGEYLQSLMFPIRSRLSARDVVESVGKITLMHKRTMDRETREDRLLAMAKSLLEDGA RGKRVSRAARDSRSAHIDYSSLASLRLLSAADFGPAVLHCGSLESRRYNPPGTLATAY CVQVDARPTESVCRVLGRDSGGHFWMYGQLEPKVWVRLEEELYRLQKCVNSLTSGGSV RFSSGPRTRA UV8b_07509 MRLPAILASAALLNVPAFATPVDAQNALDRRTFSCTLPVNNKRA TVKVTKLFALEQAAAGDRPGISGWPKRMTTKTKFANKKCNGKNVKLYAFPINPISNLP FRKDEKGQPLSGFTTIYAVTPDIHLCGVAFRGKLCPLTSESPSHNDLFDVTLI UV8b_07510 MKLPAILASVALLSAPAFATPVDAQNVASLDSAPGKSYYSCSVR AADGKMTTVRISSVLAKVQAAVGGIDAAGVSGYPKKYNPKWEFEDSTCDKLHVELLQY PLYSNGKPFHKNEKNQPDTPFKTIYTAVETKKGRKLHFCGVGYGTALCTLNKRSRS UV8b_07511 MANGTWPWTPAVQARSCHRNYPPKHAEKHRGGADPDEPRPGALE RGIRKRAAPTIPRPHNGLPTCPRQSFST UV8b_07512 MTVSAWSLSLLALAGSAVGADLPSIVMKGSKFFYPNGTQFFIKG IAYQQAFGAAGSASSNTSYVDPLADPDRCQADVPNLAALKTNVIRVYAIDPTKDHSAC MKLLNDNGIYVVADLGEPSLSINRNDPLWNTQLYARYQQVVDELAKYSNVIGFFAGNE VSNENSNTGASAYVKAAARDTKAYIKAKKNRWMGVGYAANDDKPIRDQIASYFNCGPA EDSIDFFGYNIYSWCGDSSFEASGYDRLVEFFKDYSVPVFFAEYGCNLPSGAANRVFD ETTALYGDNMTGVVSGGIVYEYFQEVNDYGLVQIGSGGSVTKMKDFAALQSKIGAVNP KGVDMSSYNPTNKAMDCPAVGSSWAASAKLPPTPNDSACSCMVQAASCVPASGLNATV YGDIFGYICGKDASLCSGIAANSTTGTYGAYSMCTSQQKLVYALDAYAKKVGKGGCDF GGQAKTQSASGSQGSCTKLAPSSGVNSTVVGGGGGGGGGSGNGTDSGSFAVLGASLTR VGSSAVGLYLVAAVFGAAAAAL UV8b_07513 MDVNTLFGVQGKVVLVTGGAKGIGRMIATGFVANGARVYITGRD AAACRAAVEQLAPRGSIRALPANLQHLAECEKLVADLAALEPGGLHVLVNNAGATWGA DFDTHPDAAWTKLLTLNLQRAFTLSQLCLPLLERAATAQDPARIIHIGSIDGVRVPTL ANFAYAASKAGLHHLSRHMARDLGFRNVTSNVLACGPFRTKMMKATLDAVGDVLEGEI PLRRIGRDEDVAGSAMFLASKAGAYLNGALIRLDGGASLVAKI UV8b_07514 MAARVDEPSPLARAFDGVDFSSLGAVWSSLYKQGVHPWDRNGPS AALSDLLSQRADLVPPAQQHDQRGNLIRDPAGAAIRRTALVPGCGPGHDVLLLSSFGY DVVGLDFSRDAIRMAEENHKAADGKAMYEPVNGLERGAIRWTTGDFFSGEWATGLGTN ASGKFDLIFDYTFLCALPPEARPRWAKRMSQLLAPDGHLICLEYPSGKPLSQRGPPWG LTPEVYEALLSAPGEDVAYDAAGNVVETMAAQPDPRALHRLSIIKPARTHKAGTDEDG AVRDFISVWTS UV8b_07515 MDTGSRDQTDAESRDQMQARHRRELKDLQARITSKKKNATKKTR KSVSDECADMERRLREAQAAQAAALDRPPRQQGEPDADAAPPAEPSSLKRLEDKVEET LERAAGKLGLAPAPEQSQPQPQQQQAGKKRNRQRERLARRAAEQEAAAQRAEEEAAGM TDHRARESEYMRKMFATYRLVERDVEPDGHCLFSAVADQLAHSGVPVGEAGEPPYRAV RRAAAAFMRDHAGDFAPFLEGDLEAYAARIRDTAEWGGQLELAALARVYGAEIRVIQD GRMERIGEEEGRATGRMMWLAYYRHGYGLGEHYNSLRKKS UV8b_07516 MGEWETDCATPPIPPRSKQSGRRPMTPATRGTSSSAMSPDFPEK RSSRDDTNEESISILDPRRFTPTLHANLVSEILALRRDQDEKIRQIESLETALLSVKE EHEHLQENLIISSKENRSLKRQISLLEDGTASAMGELARQRDEAVDSVAETKKRLESA QKKIRDQEDDSQRVHELLVQEKDQWEDERRKYERKLHIAESRLRMILDEVAVHQSRRM SVGPATQLPEDQVGGEDSDTESNRTRSLTSSIRHSLLNGPVLHPGNSLADELNFDDDD RTDADGRESVLSQHSSPKHVRSCSRESGAGRFRHVNYSTEGLRRSGCVARSRLFMNPA VWEALEGEDGAPVVSQMIRGKHYVDAGVQYSRPPSPEVPRCKSPTTETSGQCIKTTDR ALTIEPPKAGNRMISTSAQTSDLPMSPPKTPQSPIPDLDGPTHKSVPMVTASTQTEEA AAVLRASVDNSFSRPTPSPPPLEIPTISIQPPTSRPMTPGEIRLPQHFKQFGCQVNLS YAVPMSDASVQTEGIHVDKRLALLPPHLQPSAISSRPTSPNTFRATPDHDSASISAKV PPRNPRRVRNSLDQDDFPSSPVTSLKSYLTQADDLESSSKGGGTAARRMNHNLSSIFA GFDTASSDEGDVFGDLDRSDAEFPTALSAPRPPPVSAGVIKRGSLGTATCAEQAGPKF GVLNSDKETQDEGHSQGLSRTYGRATAPAPPSPPGCADLDSAIRKASVIQNGICCQQS PPIGFSPVDGQCPPYPIPNRESSRRSQIGLNSPSEGQASPTGRQPWHRRGGSRSSQQC HSVRKARSAAALPRAPRQRRHGSLSPPPLSPSTEAPETPCLAPLARNDTTTTPRNGRE RGQLSYRRHRHELSTNTDKTNTTAPTACTSASHPTGVVDAIAQTMVGEWMLKYVRRRK SFSVAESNGKDDSSNDRHKRWVWLAPYERSILWSSRQPSSGSALLGKTGRKLLIQSVL DVKDDNVAPKVMPLVFNRSILILTPQRALKFTASSAERHYLWLMALSFLAHSTQAVPG MISAPQASIGQQQQQQQQQKLPEFEPPRLKVSRGGIRDSILLAKGRKTLASRGGSSNV PSSNGVHSSSSRASEATSSRMMADSGSAASCGHSREQSREAAEAPFIPRFPERSAQAV ATHGRKRSNTGGHVAPPLSFRGFSGPAGSGSSGPSTGNGSVDTAMSSDAYQTHGSSST TWWNMSQMASQRTSEASSRPGNFFEAIGTIRMEAFINPLAHHQEKGSADERSDGRRSS TRRRYRHARRRYTRSQPRDSGSYKIANGGELHHDSARQQQAAEDGMLGNDPFKGF UV8b_07517 MPAELSGPSLSNHESSVERRQTAQCLLDPSGASLVKEHFVSAGS WLLRTELSGHDLRSTPFDNTSKSYSIRRFSRFEQVGTRNIIHPALTCSLAPILCELVC LITCSSHAALTWVVV UV8b_07518 MPDVVIATSEPAISVLLSMGCKPPNAEHTGDESKALSMSLATAL PLARIKALTFDVFGTTVDWRTSVVDELTLRAHRKQLGTLPSPLKSRLQNLGRDDWCLF AQAWRNSYTRFVRAFNPESDAWKPIDEHHHDSLIQLLEEWGLEGLYTEDEVESLSLVW HRLYPWPDTVDGLARLNSSGKLVLATLSNGNEGLVRDLVDFAGLQVDELFCAETFLSY KPHPETYLGAARRLNLEPGQVAMVACHLDDLQGARKCGLRTIYVERPGEEAWGKESAD FDEAKQWVDLWVGETGGGFVTMASRLLDALQ UV8b_07519 MAGRMVLYKLVVLGDGGVGKTALTIQLCLQHFVETYDPTIEDSY RKQVVIDGQPCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSISSRSSFTRIKRFHH QIQRVKESCASSPSFPGSPLSAASPELPVPIMLVGNKSDRVTEREVSTQEGHALAREL GCEFVEASAKNCINVEKAFYDVVRILRRQRQHAARPSGSASGRSRTANGEVGGREREA QRPRRSKDGEKGKKCIVL UV8b_07520 MLAFTVTVTVTSPSLHLHFTFTSSSLHLHSTSLSLSLHTTHEKH RHQRLYSSKSKNKAKPGSQSSTPGRTAVLAASNIQYIHRQTKKKGRKLQQVVSKDAER DAYLAVGFGKDWEERGNTTGSFTSVGGFKR UV8b_07521 MMEQSPYVIARDLAQVEEILKGIARRDECVQCDDSSKLKCPACP QGQICQFTVPMNCKQCAKSFCMKDDVPPNPGGGGGGGGDSGSKGSSGPSAGPIAGGVV GGIAAIAIITYLIWRFVIKPKRASNPHHPGYETEAGAARHMEKDDGSRMTRRSSTHTV HSIASTVLTRASNIIQIAYIPGVTNRATPTSPSVLVPPVPPIPMQHSGGGGGGGGGQS SSAQGDQHFFVPGNLRDSTYSGISAFSDRTSYAPRSSIASTIYGRQAQVQSPAQTGMR AKPTVVSVRSAAASAPNTPPVPAIDFDKFGGGPDRPESFASTFSVGTAYLNNANTATH ARAQVVKLGAGLKKVDIAARSDGSSQSSASLVSVSSSKASPSPSPSPSPSAVPAAAEP ASSDQGPFSDPPERRTAISTPTLGPVPEEAEERRAPDQTNRPASADRGRSPFGDEHAT QE UV8b_07522 MGSPTNADTEAGKEGGKGTEKGRRRLHFQASGSKLLETGRRLEE DWREQDDGPLQALRQTQGMDGFLGDPSAPSQCQPVPAMLHPAAALAPRCQAAKARRSS LCKSACGSQGRACWDVLSLMDSERPGAEPAAQPPATPTTTTTTTSSCSGGCSR UV8b_07523 MAYNGHGHGQRQDHDEYGGHPMQDLPTTSSSNYGMPPQDHDEDA RGYLLNEPPPHEYDHDRLGAGPPPHRPVSAYSLTESYTPGATQLPSPGARGFGGNDFG QYGESQPFAGSYGDNQPPSMLQDQEEDWMQRQQQPPNLGQGGGGLKRYNTRKVKLVQG SVLSIDYPVPSAIKNAIQPRYRDVEGGNEEFMKMRYTAATCDPNDFTLKNGYDLRPRM YNRHTELLIAITYYNEDKVLLSRTLHGVMQNIRDIVNLKKSTFWNKGGPAWQKIVVCL VFDGIEKADKNTLDVLATIGIYQDGVIKKDVDGKETVAHIFEYTSQLSVTPSQQLIRP TGDSPQNLPPVQFIFCLKQKNSKKINSHRWLFNAFGRILNPEVTILIDAGTKPSPRSL LALWEGFYNDKDLGGACGEIHAMLGKGGRKLFNPLVAVQNFEYKISNILDKPLESSFG YVSVLPGAFSAYRFRAIMGRPLEQYFHGDHTLSKILGKKGIDGMNIFKKNMFLAEDRI LCFELVAKAGQKWHLTYIKAAKGETDVPEGAAEFISQRRRWLNGSFAASLYSLMHFGR LYKSGHNLVRMFFFHIQMIYNVLNVVFSWFSLASYYLTTTVIMDLVGTPVVGSAGGSE HHGWPFGDAATPIVNAFLQYLYLAFVILQFILALGNRPKGSKYTYILSFMIFGLIQGY ILVLSAYLVARAFNTPLSQQISFDSGNDFVRSFFSGSGAAGVILIALITIYGLYFVAS FLYLDPWHMFHSFPYYLLLMSTYINILMVYAFNNWHDVSWGTKGSDKAEALPSAHVTK GEKNEVVVEEIEKEQEDIDSQFEQTVRRALAPFKEEAEVEKKDVEDSYKSFRTGLVVS WLFTNIFIIVVITSNNFNGFGIGKNATVRTANFFKFLLYATAVLSVVRFFGFLWFLGK TGIMCCFARR UV8b_07524 MLKIWSMKKEQKQAENAEGQAAGGKKRKVTAAQLRVQKDLSELS LGSTMKTEFPDSDDILNFVLTIEPDEGMYRQGRFTFDFAINQNFPHEPPKVRCREKIY HPNIDLEGKVCLNILREDWKPVLNLNAVIVGLQFLFLEPNASDPLNKEAAEDLRNHRE GFKRNVRTAMGGGSVKGTYYDRVLR UV8b_07525 MASRGFSKSLRAARQLAAPRVQQRSFTAARQMVRAATAARPAVG ARVQQVRGVKTIDFAGHKEDVYERSDWPQEKLLDYFKDDTLALIGYGSQGHGQGLNLR DNGLNVIVGVRKNGKSWNDAVQDGWVPGKNLFEVDEAISRGSIIMNLLSDAAQSETWP AIKPQLVKGKTLYFSHGFSPVFKDLTKVDIPSNIDVILCAPKGSGRTVRSLFREGRGI NSSFAVFQDVTGKAKEKAVAMGVAIGSGYLYETTFEKEVYSDLYGERGCLMGGIHGMF LAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMFEACSTTARRGAIDWTP KFKDALKPVFNSLYDAVKDGSETKRSLDYNGQADYRQRYEAEMEEIRNLEIWRAGKAV RSLRPENQK UV8b_07526 MADVSTSPPLTRASVVAAHALVKPHVHQTPVLTNRTLSELASTP RSAEALRGTRFEGRQPARPTLRLWFKCENLQRAGAFKVRGAFHALQRLMMEDGWVDGG GKERGVVTHSSGNHAQALALAAREHGVAAHIVMPAGSVAQKMAATRGYGATVYLSGST AAEREARAGEVAAQTGARLVPPYDHPDIMLGQGTLGLELQEQVAARGAALDGIVAPCG GGGMLSGVALSAEGTGVRVFGAEPSFQGADDGRRGYYAGRRVESVRSLTVADGLRTPL GEWPFDVICRRRLVAGMYSVTEDEIKAAMRLVLERAKLVVEPSACVGLAVVLFDEDFR AMVEREGGERGWDLGVVLTGGNVGLEALASMFA UV8b_07527 MPEHSEKAAPERQPDEIERPASPLSVDTAESEAVRDVEKALPDH AFPSADTSGEPAGAETDPGPAAAACRTMSRVSSTRSRAVTIVPRTQRRGLLSHFAITP EVERPFEYKNSTKWLITGTVAFATLAAPLGSAILYPSLHHLTIEFNTSSTVANLSVAL YMLAMGIFPLWWSSFSEEFGRRSIYIISFIMFILSTVLCGLSQNMAMLIVFRCLTGGA SASVLAVGAGTIADIWESFERGRSMSMYYLGPLLGPIVSPTLGGVLTEKLGWRACMYF LTVYGLVVTVLLVLFLPETLARKKTPPHPQADADADDDGDGDGDGDEAAARRGLQKVS TRQSAKRHSKRFAKQTKRFLVDPLAVLLLLRFPPVLITVCVASVAFGALFVVNISVQQ TFAKPPYSFSEISVGLLYLPPALGYFITALFGGRWIDNIMAREARKANRYDARGKLVL LPEDRVRENMWIANTVYPCALLLFGWTLDRGIFWLVPSVGAFLFGLSYMLVFSVATTM LTELVSKRSSAGVAVNNFARSILSCAATVVAAPWIQGVGVGYVMTTVACFCMVAGYAG IWTLRRNAPRWRKEMDVALQSMT UV8b_07528 MPMLLKSRPKRSTKASLCAILVRVFQVIELRSSSLSQHMAFNIL ISPWFCRYVSQSSSACLKSSTHASLIVTTAFPYIVPGLVELLQKKAMGLESDEHSPPL HSRFRGDSKPLLLID UV8b_07529 MSLTNASPEAAAKEAKTASFALASLPASARNAALESIHAALAAA KDEILAANARDLALARKAAADGSLSEALVSRLDLGKKGKWEDVLKGILDVRDLEDPVG KVQTRTRLDDDLILERVSCPIGVLLIIFEARPEVIANIASLAIKSGNAAILKGGKEST ESFVAISKVISSALEKTEVPNGAIQLVTTRDAIAQLLVQDRHIDLVIPRGSNELVRYI KESTKIPVLGHADGLCAIYLTATADPEKSIRAIVDAKTSYPAACNSVETLLIQDAALN TSFPGVAAALVGKGVCLRCDAASKAALASLSSDAVQDATEADFNTEFLSLTVAVKTVS SLDQAIEHINTHGSHHTDAILTSDAAEAEKFMNQVDSAGVYWNSSTRVADGMRYGFGT EVGISTNKIHARGPVGLEGLTIYKYKLRGDYQATAGYGGGEGQRPWKHEKLSIE UV8b_07530 MDAASSLSRGSLDVIFNDPDRAAKLFPVPVMQCLQVKPMGATAT GGERFRLVMSDGDHYVQAMLATQANHVIHDEKLLRGTFARVKQYTPNNLKGKNILVIL DIEVIESLGVQDKVGNPTAVESGGPAAIPAAMTGSDFYGAKKEEPPKPQAMPSRPAAA HNEGNIYPIEGLSPFQNKWTIKARVTTKSDIKTWHKPTGEGKLFSVNLLDESGEIKAT GFNDQCDAFYDLLHEGSVYYISSPARVNLAKKQFSNLANDYEITFERDTRIERAQDQT NVPQVRFNFCTIQELQHVEKDNTVDVIGVLKDVGPVSEIVSKSSGKPFQKRELTLVDD TGYSVRVTVWGKTANSFDADPESVVAFKGTKVSDFGGKSLSLLSSGSMTVDPDVPDAH RLKGWYDSAGRTDSFATHQNMASVAGATGRRDELKTISQVKDENLGVDDTVYYSIKAT IVFVKQDNFCYPACSRDGCSKKVVETGDGTWYCEKCSLSHAKPDYRYIVSLNVADHTS HQWLSCFNESGLLIFGMTANELMDLKEQDDAKFMAAFETVNCKKFHFRCRAKMDNFGD AQRVRYQVMTAAPLDFKAEGNKLSELIKQYDIDS UV8b_07531 MADAENATRAPESDAAERQTAPSAAGDTAAQTAPAAGQHCVTDR PTPPGQSSTGEIIKLNDIDVYISKPADYPHAQSKLLLLLTGGTGIRSTNNQLQADKFA SEGFLVLMPDFLAGDTSPASVAIADDSASVLEQVKLKAAEVTKSFMLDMWLARVTPDR VMPLLGRVVDAAREQFADAVEHGGGIYAVGYCVGGRFVLLLAQETAGADGDAAAAGGG RKKGPCIRAGALAHGASVAPDDFAGLAAPLSLVCVEDDPLFPDAVRAAGEHAMSAGDL EHEVRVYPGVPHGFAVVGAYADSVITEAQATAYEQMLKWIKEH UV8b_07532 MKAVDIKGGRGERDALFINADTPKPVAAEGQAIVKVEAFGINRM DILQRRGLYPVPPQAPPTLGVEFSGTIESFGPGDRGRGSSLAVGDRVLGLAYGGAYAE YVAVGCRTLLRKPDHLSYAQAAAVPEAWMTATQALHMVLGFAAGRSILWHAGASGVGV AGIQLSRAAGAGDVFATAGSDAKCAFVTSRLGATAAFNYKTEDWVRRVKDRTGGRGVD YIVDFVGADFFAKNLDVAARDCRIVLLGTLSGGRVADADISQMLHKRIRIEGSSLRSR HQDYQGELRDRLEAYWPKFEDGTFKIVVDKVLPWERIQDAHEHMEEARNMGKIVCTIS UV8b_07533 MPSYGRLPEHDPNMASGFHDPRYPPRSPSPLDHPMQQYNHNPYG PSLEQLAPGPPRFNSPSDHIDIHTAHSVENLSSPGGLGRSHQPYSGDAQVYPGRLHDE YHHQTYEADYYEQQNHDTHAYDVDDRRPILQPPAPPPPPQQQQQQQGYEEPYQDAPSP QTPVGGIKRWKTVKKVLLYRGNLVLDCPVPPVLLQQNSHGERDEFTHMRYTAATCDPS DFYNENFTLRQKLFSKPRHTELFIVVTMYNEDDILFARTMIGVFKNIEYMCNRPNSKT WGKEAWKKIVVCVVSDGRAKINPRTKAILSGLGVYQEGIAKQQVNGKDVTAHIYEYTT QTHLQLKNDVVSLVHRRQPVQMLFCLKEKNQKKINSHRWFFQAFGRVLDPNICVLIDA GTRPGGNSIYHLWKAFDLEPMCGGACGEIKAMLGTGGKNLINPLVATQNFEYKMSNIL DKPLESAFGFISVLPGAFSAYRYIALQNDKNGKGPLEKYFLGETLHGGSDAGLFESNM YLAEDRILCFELVTKRNCHWILQYVKSATGETDVPDTVTELVLQRRRWLNGSFFAAIY AIAHFHDFFRSDHSFLRKLAFFVEFVFNTVNMIFAWFAIGNFFLVFKILTTSLGNEDL LGKTGDILGVVFTWLYGIFLVTCFVLSMGNRPAGSGKLYSAMVWFWAGIMIYLMFAAI FIAVKAIIHDVHSGQPFTVSDLFKNPVFYTLIISVMSTFGIWLIASIIMFDPWHMVTS FVQYMLLTPTFTNVLNVYAFCNTHDVSWGTKGDDKVEKLPSVNTKDGQGKTDLPDEGD LNAQYQREVALFSTKFKAVKAAPSAAQLQEKQMDYYRGVRTGVVLIWMITNFALAAVV LSTGGLERITPGSGDPAQQRQERSNVYMAIVLWSVAVLSGFKFLGAVWFLVVRMFRGV UV8b_07534 MWNQTLPQQGPTRVLTQYGITTGGQLLILDGEVQEDYLAQSNRA THERKITTGNPKIPAMKFQLSFLLLVPLLGLGMADELPSLKSANEKGISVAGLGEVNA ASICPPSYPRYCPVGGFCCRTTKCCSKSCCQDWAKWCIDGHCYVTLSSSGHGETKTSQ ALFRVPREKTWNRGGKELRLVVVAELVYSVERHESERSSELTPFFFSFFFYECMAADI LADSLNPAATSGCSTPPAVVACRSVMKTHTSLVGILQWTRLFCMCVKPGQAYHGMVV UV8b_07535 MHRENVHNSQMLALQGDTSSGIMLSDCQDGARRADMAVFSFRMT AGAEAAGLQSADAQPVTFVFGFWTVAQLGSLMPGNHEKTPHISHKGTRTLAYHPIPLS YRSDVGAEAAAECRLENILVSE UV8b_07536 MGIKRGNLQPPSATLPPLRQLGTLTADQIHDALRNLHGLFCPLP TSSDFHKNHKSHLLTVDSGYASEAELEEERTSPETPRCDGFEREFANRWLTGFIGRAY ELPLDESVSEGLANEACSILSFLNKDAEGEPEHEKELGMTREFCFSFRGDRNSKILVE LYDIPMQTGEDHTDVGLQTWGASIALSEKIAKEPETFKLERLQAKSPGRIIELGAGTG LVSLFLSKLMPLIGEAQPTIIATDHHPAVLSNLWANITSQMATAPTAAPVQACHLAWS APSREAPLDIPADFLIAADVIYAPEHATWLRDCASSLLADDGVFWLMVSVRPNGKFAG ISDTVEVAFGHDAAPCTRADGKRLGISSVQRVEKKGEVGRADEVGYKLFEITWL UV8b_07537 MARFVDLEQDDGDDASQSPDHLIRHTLQIRLPHLSSSATTRPVP TSSSAVASNPSSIARAFQCYPIIASIVSHIDLNALDALARSCRSVHDGLIQYRSVLVT KTLHCTNEDIPVHSDGILRYRARASNWYYMEDSRSYNSKSSSCARDMVDECRKCSQVI CRNCAIKPPAPVALRERHRRLCRTCVNAPLGALNIPRVDASLPFSSEPVQRSLCSCES SGVWLCQTCGRSIRGADNQYQSIWRWRNQYGEVLGGLGTGIGDGDRGVICGREEACLA AREREHEVDCDAQDARDSGTAPWFNEQPVWTTTSTPSPPALGASASPAGSPFGPATPM GVLMEEERHDRTPSPQLGPGYERHEIEGIGGRVKRKLVRMVRVGACVPEWDDEKDFAG RVLAPEVKGSARSWCGWCSRVIPSINDKEHAFAAGCGN UV8b_07538 MDLRSLTRPLLAVSRARLLPLPLAPQRGHKTAARTKRALKLPPH DSFLPSRASAFPAADSIIYNPPASEASPAHTPFIFLPRGDPRRLALLRMRTNPGAPPP PGDDAVSEADLPPLMKYKRRTARYHLTQEDIDEIRRLRAQDPIEWSVGRLARKFDCSD VFVKMVAPASPEHHKWLQARLESKMARWGPKKAQAREDRKRRAGMLYRGEL UV8b_07539 MEKHLTFRRALEVARWDEFYTDSRISCWGERFPVHKLALSVAPP VFKAALTNPSPKPSTIIEMDDFSPPVVQAMIDHIYLGQYNERAVNELMELYPLPAGRL SLHLGLFAVGNRYQLEGLKHEATQKYLSAIREKKMSDKAVIATIREVYDEKTAAGPLF KGTVVNLIRGAPGPKTSLLDDASPLRSLLEDCHELARDLAISFLERPVTGQCQGTPHK GSCKDGPVDGRICSLCGGGVAEAAISDIHRGTANGHA UV8b_07540 MADAEEKARQEKIAAARKRVEQMKKKKGKKAAEAKKDDEETLGT PPPQPSAEDKPHDKVEEFTTSDDKAVDDSKDGSPSQTPSLAQQSKLRSTSFRAGATGP QSPGPFSPDGDTAPDIYRKQVHRIEELEKENKKILKDYSDAEKRWKKAEDELAVLREG DAENSSEAQGSSEIEKLKSEVASLQRQNTQLLQQVSKGSGHGHRPSISVATPPSDLQA ELNAKTSTIESMELELSTLKARVQRQESGAETEKEQVMALEEKLARAEVAAGKAQREL QDVKRNLERTAEKAVREGSERSSAETKVKTLEHDLEEARKAKSDLEIKVDALEKKVAT LTTIHKEQDSRFQATRKDKERAEKEIQDLRDKVEKLESQDIKLGSRKSAEVAGGLDDE GVDELENEERLRLRKKIRSLEHELHEVRSGAWIERRRQMEASGPDFQDVDLSGAPMFH QSRKKHSVSGFGSFLNNGLNALAGGGGDHDLLEDDDFEFDEDAFRKAQEEESKLRLER IKEIKRGLKRWEGWRLDIVDIRRGGGQGVGDIFEV UV8b_07541 MNRTDSARLVAKRKARNDDLWRQREFFAKSRMHRGIDGARNGSR SLSSTAQLADGRGGVSPPLPENQIPTVSPAKNREHNSAALATSASEACRVISPRPRQG SSSSLIQHATSCGVDSSDLQAKKRRLLNQVDWTGISLQEPLTIDYPKPAKKLRQSYRS RELRKFPNLSTSKSYKSSQDDQPPPASSRTLREPDLSSVLSWQRSGSNRELRSVADPT CTIQSSSLSNRSSCSQSLRHMFSSDQSCSTRSSLPRVGGSPRRIHHPQPLRSVPWTLF QLKSPSPGMQSSMVAQYGSEATGDRSSQATDTVGDKDQSTGHTVISHVTYRKASPQKW KKSRYWCEAGPRGRGLSPMCQQPQVKASKRESSRDRVNQASPSHTGAGAGRPRTGRNS PCYEETVATHGSMETMSQGPPVTEIADEDVPSSSSQPLAESIDPQDLLDLLHEFDEET RKRKREKEARGAGHSHKDPGEMIPPQCEGALPDHEKAARNRTQQCFTRRKGSPGGGAA QPPVSTRNFGHFDTAAPTSGSNSGQRTSKQLPRAGEDAPPAENHPVAGLDKAPPDSTV SSIRRDHRYRHPKLFIGRLSSSQTGAQPEKPKVRRRRKSDRPDIRGLPSYDEDPIDEE G UV8b_07542 MGNHTAETHSRKRRPQSRAGQDAPPAWLAMDAHGLNVRYVDYSF SSAYLALSDASSPSSRSHDHNADSPSQYDSSMPASPTAGGFHASLQSPASTGSLPSPS YRQLSPSSAAAAGTRRHPSSGLDADGDASSPRASRQGRKPRCSSAQSGRDYPVCCLYP GCNVKPFKRRADLDRHYKHRHAADSQKASFSCDYPRCSRRREPFHRLDHFRDHLREYH KEDIEKRGGRVNEEWLEGRRVSSSWWRCSKCLKRIYVEQSGYDCPHCKSTCQAKRKEA RRRS UV8b_07543 MAARKLQQEVDKCFKKVAEGVAEFEAIYDKIEQSSNPAQKEKLE DNLKREIKKLQRLRDQIKTWAASNDIKDKAPLLEHRKLIETQMEKFKAVEKAMKTKAY SKEGLSAAAKLDPKEQAKVEAGEFLSNMVDELEQQIEALEAESESIQATMKKGKAQAV KAERMAEIERIIERHKWHQGKLELIRRSLENGGVETEQVNELEENIRYYVTDGTTEDY MGDEDMYDELDLEEDEGVFGMGQDNEKGSSQDAQSVQDDATTESEAAAKSAKKAQKDA DPSGRKPSSLTKSPLPALATLHAPLSSVNNGSASSAAGMRPAAAPARPAGEGLKYASA AAAAAAAAAASDRANVGISPLPPPPGSSSVGISPLPPAQARTSASNSPAITTAQPASS SSQAESKPSAHSTESETPFTTSRQSKKSKTAGKQPVPAEPTEQHSTGQTNGVGNGIKP IVEEPEEESIYHLPSSLHDLIDSYEASRKRPLHPSSQSTLRMMAASQLAVPDVSDADI PKAYRPEVPVPQTGSAFPREPLPLLDDPRLYGRLDPDTLFYVFYYRQGTSQQYYAARA LKDQSWRFHKQYQTWFQRHEEPKNITEEFEQGTYRFFDYESTWMNRRKADFKFAYKFL EDEV UV8b_07544 MKAIIQRVLSASVTVDKEVISSIGRGVLVFAAVAPGDSEKEAQQ MANKVLKMKLWDDDNGGRWKRSVTDMNGEVLCVSQFTLLARTKKGTKPDFHGAASPED ASRLYHYFVDKVREGYSADRVKDGKFQAMMEVALVNDGPVTLELQAGGHGGGSVEDR UV8b_07545 MSTEGSTRPRVFFDISIGGKPAGRVTMELYSDLVPKTVENFRAL CTGEKGVGKSGKTLHYKGSVFHRVIKQFMIQGGDFTAGDGTGGESIYGSKFEDEAFPL KHEKPFLLSMANAGPNTNGSQFFITTVPTPHLDGKHVVFGHVLNGKSVVRQVENLKTE AGDKPSKEALISDCGELTGEEALKADVKQPDETGDPHEEYPEDCNAELDAKTILGIAT DCKDFGNKAFKAGNLQVAVAKYEKGLRYLNEDPDLDNEPATTKQTLDALRFSLSNNAA LLSVKLEAWDDAIRHATSALGVSGASDADRAKALYRRGVAYSRSKDEENALDDLERAH ELAPSDGMVAKELAAAKARAAARAAKEKAAFRKFFS UV8b_07546 MDRAQLPQRLQPHPFLAVTYGCGDEADESLWTAFRRDCAEWNDR LFYRAHWPLLRELCHVLCDRGVYVGGFDMNPALSLARILEEESPAEWPQDEMGRQLER KRHVALRSPPRLQVSKATAAASEPRHYLCSKAEIAPALRLTVRGSYCYGEPAAIIFQD CDYPSLTVRGSYCYGEPAAIIFQDCDYPSLAVKGNYCYREPAAIIFQDCDYPSLTVRG SYCYREEPAATIFKGRSYPGFTDRGRYCFRAPAAIILRGLNCPGSPAATIAKGTSSGS NGGGGSGSGSGSSGSNINGSNSSGSNSSSSGGGGGSNSSGSSSSGSNSSGGSNSSGSS CSGSNTSRRHQ UV8b_07547 MQNKNKKHKKHKQHKKHKDKKHKDKKHKKHKQHKKYKKHKHKKH KHKKHKHKKHKQHKKHKHKKHKHKRHKKHKQHKQHKHKKHKHKQHKAATHNRHQRRPG AYGKSVACPARMRAFIGGRRIQR UV8b_07548 MPPSDMEGQSPPPAKIVTDTRSHRQSRDADQSKSPRQPTISPPS PHKNVPRDAVEHPPSAAAIATALTLRSALRQPNATKQSGPLPPATPDHLLDGRSRHGS SSALAADKSASAVPLAAPHVAPDGIPASISRRQGMVFDEEDVDNSPAQTLDSIATSLS LPQPPSRQVVAQSRHQQQQPQQQQQQQQQQQQQQQQQQRGHGAGVRPRTRTLDAAMLV QRAAPAVADQRHRVASVSSSTSQPLLDEQKSPVPVVEAVGPSATANRLQEPSTCSTSS RPKDKRPSKRLLKRQLSRPSSPVPAPSPCIDSFPLPIGTPEPTKIIMLMKTLGGRMRG DIDYQAEDGDKSWRGGVAYIDDEKGCLMFNSGQDGPFFVTLISDLHGCIVSPVQHPDD GRDCLELLATGPTTEIYLRPRAPEERNLWLAALLCWQQTRTRVGKPQNGGGSSSPAGI VGPGISKPDEASDGSKSATIIKVGTIMLWDKGPTRSANDLVQRSSTRDSSSPAASWRQ ICSILQDNGELKLLVENESASLSVIQLSQLSRCAIQQLDHSVLDEEFCLAIFPIYAST ATQLSIFRPVYLALDNRVQFEVWFVLLRAFAVPELYRLDAADRDSIHEVADLEEATGN EEMFRIEKTIGVRVTEAKVKAQPSGCDFQPVEKSSRAEQDALVGNYLAEVILDGEVRA RTATKLATKNPYWREDCEFSDLPHTVQEVSIVLKRTTDGHCDAVSPTSDSARAGGGGG GGGASGAGGASQEHLCGTVHIALDKLQRGKDHEDWLQIMDDGQQPIGSMLIKVSHAEQ IALLSKEYQPLSDILHKFPSRLTTMISAFLPGQLRRLAEIFLNIFQASGNAADWLMAL VEDEMDGIGSQTSMKKFRFSSRLKSNESIESASDRELLVRDMGKSLAGEANLLFRGNT LLTQSLEFHMRRLGAEYFEEVLQAKIAEINELNPECEVDTSRLAHATSADLDQRWNRL MRFTTEVWHCIAESAHALPSELRLILKYIRAVAEDRYGDFLRTVAYTAVSGFLFLRFI CPAILSPKLFGLLRDHPRPRAQRTLTLIAKVLQKMSNMSTFGKREEWMGPMNRFLTAQ RPVFRNYIDQVCGVPAERGGVKSAPAAYSTPIAMLGRLGPTTKEGFPSLPYLIDRARS LASLVRLWVDAGPPDEVKKSQVDGELLVFDELCTGLQKRADACLAQVERTRAAKAASR GFIAEELAETLEQATLIESLSVPYSLPSMATTSDGERGPGSDGSDGGADETTARRRSR EHVRRGRDGLEGRKASGLRQVSGVGSAARAKNGKVGRTILNGIMRIGGRADSPDAKGH K UV8b_07549 MSLAESPALKRGQQLSTAGDVAYNSSFRAPASRPGQDASLEPTM DTGSSTKTSTSARGKKRSLFGFGRKKEDGPLMPQSCKATDTQPDADAARVAHMTMTAK ASTSTTTSTTPAKSPTRARTAEHAVLVLPSSPSRALCSSSPRVSSPAGSQIFERDVQD STVLKPSSPAIPTHIQTENYIPPVLDDASEAITNDKLDPDSVEIVTHSSHQPASVTVT GAAGGAAAAAAAAAAAATSPYDMASEWAAELASFADRVGLPPDSASNYGSLDSADVRR LSFISFADVVQAEHSSQMGGPVGSKDSAHMAGLTSFASAALNQSPSPVRSPVSSQGPG TSPPTSSPGSIKGLEMSPPRRPLGSPNKPAPQNLAAPGGDLNIETMRQALRRTGSTDL SSFRSFPTSPIEGPGSR UV8b_07550 MPCRDQLVAKLESRLKGHDSPEPRLYRIAEYGGSRILASKVCGS ASSYGTRIQVPPSKAQRKNSPGNPEPCENISNISNISPPQVSALCASLPSAAVSVPAS GRPLFCCPFVGLRPIVSSETH UV8b_07551 MSSAARIFSHLPLRRVPVASACGSSSLRLGPSSGALRSFSVSAY NMASAHKIKVKNPVVELDGDEMTRIIWQTIKDKFIHPYLDIDLKYYDLGLEYRDKTND QVTIDAAEAIKKYSVGVKCATITPDEARVEEFKLKQMWLSPNGTIRNVLGGTVFREPI VIPRIPRLVPGWEKPIIIGRHAFGDQYRAKDLVAPGPGKLSMVYTPEGGEAQEIEVFH FKNGGGVAQTQYNTDESITGFAHASFKLALSKGLPLYMSTKNTILKKYDGRFKDIFQA LYDSQYKKDFEANKIWYEHRLIDDMVAQMVKSKGGYIMALKNYDGDVQSDIVAQGFGS LGLMTSVLITPDGKTFESEAAHGTVTRHYREHQKGRETSTNPIASIFAWTRGLIQRGK LDDTPELVAFAETLEQACIDTVDKDGIMTKDLALACGKADRAAYVTTNEYLDAVERRM KNTLKEKL UV8b_07552 MVLRPCSDPAGASRKTDGAPVKAEEPADRPKSGYKSWKKKYRKM RIVFDHKMQQGEDLHKREAKAAATVKRLAVENDRLLDLLLDVNSSPQIPLDKRVDVSL RPGAAPARLVLPADREHAARKQLAVRRLESLLQDIPHLSFGTARDARSSYVADLAAPE GEAYPGSFLSADDVDNYIHDVDSAMDPETHIPTLAPRAHPNPPPLPHPHLKNPTSVTN WLRKHAPKIFLQDGEGHDGGGGGGGGGDDDGHHHHHHHHAGHHAGGRKTRAGRGERGR GRGRGKRASLASRAAERDRGADWDASMDDDADFGAPVARGKRKRDDDRGYRPGGSATR PVKKKRRSDVDAGPAVKKSKKEATGPKRED UV8b_07553 MAPKDNSLRDRQIASLKKILNLNEGVDTSESNETHANGLLSPVA PILDADGNPIWKVLVFDDLGRDVISSVMRVSDLRAMGVTMHMHIATSRHPIPDVPVIY LVEPTAQNLQSITDDLRKGLYSPAYVNFLSSIPRVLLEDFAAQTAAAGTSEHIAQLFD QYLNFTVAEPELFSLGMQKAHTYWALNSAKTSDEELEAVVDRVVSGLFSVVVTMGAIP IIRCPKGAAAEMVATRLDRKLRDHILNSKDNLFSSARPATTTTPSSRPVLVLLDRNID LIPMLSHSWTYQSLVHDVFNMRLNRITIETPIDETNPAKGSTKKSYDLSASDFFWEKN AGVPFPQVAEDIDAELTKYKEDTAAITKRTGVSSLEDLQNDTSASAQHLKAAITLLPE MRERKGTLDMHMNILAALLSGIKDRQLDNYFQLEENVMKQTKAQIMEIIKDDNKGKEA LDKLRLFIIWFLSTEQEVARADFEGFSRALEAAGADVSCLPYVRQVRATTKMTQLTTI NNHSNQPATTSDLFGRFSSISTRLTDRLKETGVPSGLSSNFESLISGVKNFLPADRDL TVTKIVESVMDPSSASTSAIAKTENYLYFDPRSANARGTMPPPSALRGGSSTPGGMPG SQGAMPTASFGQRRQGFTEAIVFTVGGGSMEEYGNLQEWVQRSSKDRGRKRVVYGSTE LVNAAEFIREELERLGGEVAS UV8b_07554 MQSWPAPGQNGAGGPNSWQNGFAYGAQDDHFDPSQTWPHPVTDQ ASSFPHVAAHQDAHSQNFFNPSHRNDAFLRGGLHHASSGDGLEFHGGHDTLGLNHQFS QADDDVIDPAFGDLQADMYSQQQKGTMGMNQVQGHPHARVQGFPQHHEYQFPNHNEHS FNASVPQYDSTQLLSTGQHDSTQVQHFNGLQSGFQRDPSFPRQPQHSPPVQRSQPFPH GQNFVQNLNGQSNHFSRDPNAHLAYQSPHQHRHHPSSHQHAPQAEHESLGVSNYSSQK PAAHSQPGQSHPHTFEAVTTRQPATSVPTEAGTASGQTPISPPADSSAPKKRKRAAKN PVEAPVLDNILVIPDLLAEPSSAKSSEEIDALPIPVPNAEEAKLVSNFGKRNRAAQAR FPSIKGFPHLVLEGLAKLPAPKSYDKLTPLVALPPRSGRPVVTTLVYPLPCEVQGRFA AQYRPSVDKVGLDERRAEAKLLLEDYDRSMKVLGKRQPKYTEYPHAFKEQLKSDEVSK NKAEKKKKSLEDGRTKTIRPATRPTDPVEAVVWDAIGFVHIDQATARTSSLIAGCVQQ AGDLLIKLRTDMNRSKLELDQAVKDKQQEATIATKKAEAEQKKEAFYRALDAVVEHAD DAVLDNLGGHQKLILSLVNSLISCIKANDFSGNLPKTVLELFTHFRMTKKIVETTNFD TVRRRFGDKGDDEVKELVREISIKIKRFQKANEPETATGYTGTSAASRARAGVRSNTE GASAKRGRDDDTDTRTVKKIAVEAGAGALSKKLAQPKASAHSTTKTVAAKAGLSSVLP GKSRPVAKSVVKSEPTGAENPSTPADDRSKAEMAKKPPAKPDSTPVEPKVESKASQSR QTTMQSSSSSSGGGGGGVLSGIASLLDSINSKKPETSAAVSKESKAFDSSETSEQRAK RLRKEARRKLRVSWKPEEELVEVKIFQKDDEEDRGRDVNMLRDAADDRSEGMVLKQRA GVDDDDEDDDIPYQPWVSPTATDFSHLPGDTRDKNYVTRGGNVTFSTQEQKLMTEREQ RELMAIYADVEDIPPTPKSPLPELSSGPSRPKSGYIPSDDAKFKELQVRWREEQSMGR EEAMTSAIKRVESKNHPSNKLDSIFGRLKASPGNLNSNQQSSYASTWTHALARISHNF PFAAGPFAEEQVYACLQWDKAKTWHDPNPTKEDPGRPYQYGDASLGMIGNHIETVARS LAGKPYPATAPPEWIQHDEEQVREWWLGYNKEAAAKQRKLEEERARAEAEANALRMVA AATNQASGQSQQDWNAHYQQQQQQQQQQQQQQQESYAPYLSLLQQMTGGQGPQAQASP SGGLHGQLLDSQYQSILAAINQPSQPAPQQQTLSHPGGTNPLLSLNPNDASYQQLLLL TQMAQGQQAQGQPPAPPPPPPPASQSHDRDADWDRAESRDREGKDGRKKKATLAPHKP ANKALIGTKACTFWQQGKCARGEKCTFRHD UV8b_07555 MSAPSSLIDPSVFAHLDAQIEQEAQVRESLAQTVQKLDRAVATA QGLLARVHSTPRPRYPSLVQQVEQAVAEQVVAVGQLSSVASAHPYYKYNGKWARSVQS AMATTLLCAWLGGFGAHGDAQLGRLLTLEQVGAIFSVPTNLKDRDAFHFAVEEYLLAL ADLTPELARLAANAVTLGDFELPMTISAFVKDVFAGFQLLNLKNDLLRRRADAVKYDV KRVEDVVYDLSLRGLVARPAGGDDADMRAGE UV8b_07556 MKTCAAALSILSGLAMAAPAVRARDGVCQSFIYSSPQCCSTANG GFLSCQTSTSAADIQSFKKSCDGKGQAQCCTIFAPSQKFFCVAPGSE UV8b_07557 MAPRAAVHRLGYERFSSAERTVAPIAKDDESARRGWTGSMSAFT SAIHELLRGSSRIRGDSRAMCELPP UV8b_07558 MTSDTTRAGSSDTGLTCSESVAGDGYIAAAGVIDDVSAGGAGAA GILTSNLPGRAGPSA UV8b_07559 MPGWSLVKVAEGYHDHEAAVRSYSSSRVEYRFQDYCCSLRYMIL SGNKRNGSFRLCQHLLSRAAVERAVEEKTLQPLCQAVEADNRVREVVHDLKQIME UV8b_07560 MGNSNTLGDDDGRHRGGRYQRVEQLCFWFENSKGSFTNKKVQPA QRRLADLPCLYFGLSAVNLVASLKTSLQAKLKG UV8b_07561 MVRPTIGFCCALGNAITALTVSQPVVVETLGHTPAGWRNLGPAN HNQLIRLSITLQSRGEGLLEKTLAQIADPGHPKYGQHLSRDEASKLLGPSQDAVDSVR RWLVSANIPQSRIDYREHSIDTVVSMKVAEKLLSTEYRVFERDDGRKAIGTLFYSVPV HVRPYITAIQPTTFFELSASNKRLRWTQSGSIDSCRYSRRNITDAQVQHSSQKAECDR LNTPFCLRELYKMWNPLSMPDAKSLLGVVGFSEQAAQYDQLEKYLNQYAAYAKGATFS VELINNGTNPQGINYPGGEANMDIQIAVAMAYKVPVRFYSTGGEDHGFMPDLDISDRG KEHIEPWFQFVSHMLELPDHELPQVMSISYGVNEQAVPRVYAQKICDMLGQLTVRGMS IITASGDTGPGVSCQSNDGTNTTKFLPSFPATCPYVTSVGGTEGREPEQALNFSSGGF SEYWDRPKWQDSAVIPFLGKHGDKWKKYYNANGRGYPDVAAQGINYPIFNHGKVESGG GTSASAPLFASMIALINDDRMKHGKPPLGFLNPWLYQHGLRAFTDITKGRSEGCKGTS YSHAPAPLIPEAGWDAVEGWDPATGLGTPKFDELRRLALSCGRRGQGARTVMDSGGLG GGARGRD UV8b_07562 MILSTLVYIAAHVAAVPVAPPSPPQSATPGPSSPGPAPGPPLAD TLLNTTLLPQLSSIPPPPFRFSPVDEPPSSLDGPPAVNSSLYHNSLFPGCLSVRIQIE PFYFVPRWRIKIKEDGRVTCQMRTYCNREDQCRNRKEQCWGGNKLTWRGLAPWYYSKK YKRVLYPYLRGEPTKTRSCMHTYSFVSVRGCIERVYEIGHCEAKKKKKKNQ UV8b_07563 MDEAETRLERLEKGVPWHAGSKLGQRNPLPGFPRADGTEPTREM AHRGTDADVQGGEGWVANFAARHEAAADAKQRPRGLEPGSSLAAAGRASHAGGRGSCR RGRAG UV8b_07564 MRFHIRSLLLAACASGICRGAESASPSLPPSPSPSPSPSPSPTS SPSSATTPALPPTSPSSDADLPRYLARVPSCGAKCVATSLSAPNCSDASCLCSEDSTA QGLAACVQAACTFSDQLVIKNLTKVSCGYQPRDRAARYDSTSISLCAITASLIILRLG FKLFFSVNRGLKPDDWMICASLLAGVPCTVLNTVGLTHYGLGKDIWTLDSATIVTFSF YFFIQQTIYIFLSASIKLSLLLFYLSIFPGQAVRRMLWSTVVAAVGFGLVFEVATIFQ CSPVNFYWSRYDQDKAGKCMNINMLGWANAAMSVGLDIWMIGIPLAQISKLGLHWKKK VGVGIMFMTGTFVTVVSIMRLHSLMYFYSAGNPTWDLWETAWWSTIEINVGLMCACLP TMRLILVRLWPRTFGSSSHSSEARSKPRRHSSLAMRRLRARDAASELELADARSNHTQ ETEHALDGDKLRTSSRGD UV8b_07565 MFVPVINTMGENLYEVVVETSRSSICSSCIQHILLRIPGAMKFL GYASAAVLSLLGSRVEADSTYWPARPPALPLAVRNPYLNVWLNKEVNGPNPILPGQWP RFWTTGIQGWQGFVKVDGVAYNWMGGATGAPLVDQLNVTYTSTRSIFTFSVAGKVTLN VEFLSPVYPTDLKRQSIPFSYLIVKARSLDGASHSVQVYSDVSGEFASGDDNAVVKWE TASASGVRSHKFYKAKQSVFQEDGDNAAWGNWYWSTADANGLTYRIGADTAVRGQFLK QGKLDNTVDTEYRAVNDRWPVFAFARDLGSVKGSWARTIFTIGVAQKDGIQFTGQAKE PRSVPSLWTAYLAEEDLAGFFYKDYDNAARASDGMDARIQKDSVAAGGQDYATMTTLV LRQIFGALAYTGAADDPYIFLKEISSNSDIQTVDVIFPAFPALLYLNPDLLKHLLEPL LINDRYHYPNDFAQHDLGRFPRANGHPKGDDEQMPLEECGNMILMMLAYSQIKEDDGY LADNWDLLVKWAGYMIKDAKIPAQQLSTDDFAGHLANQTNLAIKGIIALQAMSQVATK AGHDDQSQKYADLAKEYLAFWTQHGVNQKAGHTTLQYDKADSYGLLYNIYPDKLLKLD LIPQRIYDMQSDFYLAKQQKYGAILDTRATWTKTDWEMFAAAVAKPATRRMFITKIAR WIGETPTWRAMTDLYDVATGDYPANLQFTARPVMGGLFAPLAL UV8b_07566 MGDDVRDERVDGAQPPRREGLFEAASSTSYKAVIGALATPTAGM NTDGHPPVPQTTVNAYSPPPCRLNCLHVNAALVRVYLCIFPRP UV8b_07567 MSVAYEPRSFIHEGAYPPIGDEHDHGTDQRFTDSEVAEHLSHYT ADASLLGDDRGIMGDDGTGLQDAARLDLGANRFQSPIPVSLHAPSIPEPISESKDASP GVESPPSSRVKPIPKPDRDVAKQADGKFHCPLDDCKEEVRAFSRKCEWNKHMDKHERP YRCPAMGCENLPGFTYSGGLLRHEREVHGKHGGPKNTVNCPHPNCKRHSGKGFSRQEN LNEHLRRVHTNGDGATPPADSVASPDGNESEKSGQKRKRRSSGPGDDLDELRDEIKRV REENEKLKSEIEQQSQHSLAMMAQIAELQDTLRHGLGQHSLGAPTAQMI UV8b_07568 MYRQVIEMGCPERFGSDTCVSRQPKKPQRISSSWKVPSPAGRGC MSKSLRMGRKQSAKHDSLHNLHLTSLLDDSTGGTESSQQLNMMSLDESVPESRSP UV8b_07569 MAVEDVGDDAQNSNGQKQAEPENYDYDAETVERVYKKLDCRIIP PFWLLYFLCSAIRSNIGIAQTMNVREKHDLVSVLHLTAKDTSTALALFYVSYVIFDVP SNLIMARVSPRAWMARIVLTTGLVGACFAAVDSAWSLKLLRFLLGMVIAGMWPGMAFY LTLFYPPSRTGKRIGMYFTASQVSAAVVGLVSAGFQLMDGVGGLEGFRWMFLIYGLVG IALGTALFWWLPERPLAPGQMREPSTWLNWMPRTPEALTGQDAVVHYHDLARVYHPRR WTLKDLGRVLLDWRLWPLTLMYFGVVGVGIGTQLYGSVIIAAIQPKASAITVSLLFAP IWIMDLIAIVIVTPLSDRFHHLRAFFFAGAVCIQIAGLLTTTFALGNGWARYGGLLMV GFGLGPTVPICMAWSSEIFQRRHGEVGVAAATALVSGLGNLGSITTTYALYTGWPEDA AKGPRQFRKSNLTMIGILCLSIASALAMAVLLRVFGNPPSTKLPDGPLGEFEDGAARR EARQRGFGKLPAWAGTRRA UV8b_07570 MAEVDQLPTFGAELKDGFKPASAWVGHGIGWLEDIQQFYRERSL IEKEYSAKLSALAKKYFEKKNKKASPLSVGDTPSMTPGSLESASLTTWSTQLTTLESR AAEHDKYASLLVSQVAEPLKHHGARFEELRKKHAEYADKLAAERDSSYGDLRKVKAKY DAVCQEVETRRKKSESHYDKAKAQSAYQQQICEMNNVKNSYLVAINVTNKLKEKYYHE YVPELMNSLQHLSEFKTSKLNGLWALASQLEGSMLRDSQAMVEHLGHEVTRNLPHLDS VMYMRHNMGTFQEPPDKEFEPSPVWHDDAAMVVDETAKIYLRNVLSKSKSQLGELRRE VDKKRRDVDSAKTLQRRARAGSEAKDQFEAARSLLAMQEDLHSLDRQRLTAEVETGTI TAVVGDVTLGAKNHNFKSQMFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHGKCEMK VPADCPGEQTKEERKKLKAERQEAANRLVAPSPAAPAHVADTPPDLSRSNTMTSLSLH SARRSVSGPGPGIAGDSDAGDSPSAAKPATSTRKRMAAPPPAAYISEMPGGGGLDGSA DAEDMKRGRMLYAFDANGDGELSVAEGKEVILLEPDDGSGWVKVRAGHKEGVVPATYV DLSTSPACAPARPESTYSNSTASSAAPSAGAAGKKKGPAVAPRRGAKKLKYVEALYEY AAQSADEHSMAEGERFLLVRADPGDGWVEVEKAGVTGSVPASYVQAV UV8b_07571 MAARLPVVSALARLPRRRPSRPRTTLSARTMASAPSTREFLVII PDKPGATAKRLQVRPAHLKNITPLTESGVVKMGGALLNSFPEGDDPATFDFMGSTVVF RAETKEQVLELLKQDVYSASGVWDIEKAQIYPFLCAFRAP UV8b_07572 MDTPSVPAPRDLREQAILARLSIIRNKLLLLKQDRSTYIRSQDV IPLYDQTIEQVKELNDVRVETGNHEENRLDKVLESCFQLLSLFYLTIGRNNEAPAAYS LTSTIKRLLDHLTEASLYSAKDLESIKSTLEETAKAISSAQKTDESEAKHSPYLLTLL AKRVALCQGMLENLRKRLGGIGSPLLSIHEKLISILRQISFANTKSKFSASEVQKLKN QLLEVGERRKNGKFACADGSIPPGEAEIRELYERCVQWSDIVLERKGNVQDQWRPTYD ILVGIRNDLEKLSLTQAWSLRETDLYDFQRQLDKIDESRQNGNFYDSQGRPADLWTQR TMLYLIRRSYAYIYSFMLSSEPVSEALLPTYKQLQTLKRCLIEVKKNGGVTSVRELYP YSMKLNSLDNMRVDGKFVVNGDVPEGQGSVSELLAECFDLIYELRVQAEEGSNEEE UV8b_07573 MSSNAELASSYAALILADEGVDITSDKLQALIKAAGIQDVEPIW TSIFAKALEGKDIKDLLVNVGSGGGAAAPAAAGGAAAGGDAAPAEEEKEEEKEESDED MGFGLFD UV8b_07574 MPSDLETLAEMGFEKARAEIAVKKSGGLQGALQWLEDNQDKSLD EIQEAEASQAHGDQDDEDAETKAKIAELETGQARSLVCNECGKKFRTRDLATYHATKT EHADFSESTEEIAPLTEAEKKAKLEELRERLKAKRTAQSVQDKEDANRNERIRQKSTR ESQDAKEELARKEQIKEAARKRQEKADDIEAKKRIKAKIEADKAERRRKAEEAKAARE GRAPEADASASAPAAAAAAAAAPKPRAEHGQAKLRLQTPSGNLVKTLPAETTLFEVAQ QIQQETGLMVSSFATTFPRQVFQGDMDMSKTLKEAGLVPSSVLIVK UV8b_07575 MTVDILPLELNFARPLTIEVARTLTIRNTSSTPVAFKVKTTAPK QYCVRPNAGRIEPGHSFDVAVLLQAMKQDPPLDAKCRDKFLVQCAPINSDQDFASIAS VLESADKSQLTERKIRVNWLAASGEAAQSTSPPSGPLSTPSKASSVNGVAETPEAPRP FSTPGGRSNLTPLSDPPPYTYDDAADAAADAADEKSGQPNSVIAQAAAAVSETAQLTY EELKAKLAQAEAQLLNLKDGGLRQRNVKSSSGDEKRPAGGDAPQAVKQQPEGVAVPIV ALLCLLSFLLAYFFF UV8b_07576 MPSYLHGKAKRGQIVEARSWYNARCKCRKGQLFSIARRCSLAVK SLVIKITHSLCAASHLPFTTFPPPLLGAVIRAGSFGFLLVALCRVPSSAPCSKGFDRS SGDPYPKSCVQGQISLSRGMPVGRILGFCGTARDCLP UV8b_07577 MSPNGSAAAAAAEPPDKQLPAADTQPASPKHDFLWTYTEEPHRT RRLAIIKAHPEITKLCGPEPLTKYVVLGVVALQVALACLLRSTPFWSLKFWAVAYVVG ATANQNLFLAIHEISHNLAFRSALANRLFAIVANLPIGVPYSAAFRPYHLTHHKSLGV DGLDTDLPTAVEAFFLDSILGKAFFCTFQIFFYALRPMVVYRIPFSWVHLVNMAAQLG FDALVLRHASCSGLLYLLLSSFLAGSLHPLAGHFIAEHYVYETVTPTQRDPANLAPLP ETFSYYGPLNWLTYNVGLHNEHHDFPAVPWTRLPAVHETAKEFYEPLPRHESWVYAIW RFVWDDSVGLNCRVKRKNGGRLVGGPVNWKESEVQA UV8b_07578 MSDKPRPESASGPLLSDMSSPLDSWLLDSWFGFIFWGVAFFRMR SADDKVGRARNPAGDGCRVALNVVIILTGLFLLTVGTYASVQGIMDSFRAGEVGGVFS SQSNAV UV8b_07579 MWWLFSIVFSSVFLVTIILSIPVSFDVGGRDSGLAYSLSLFIFY LVYSAIRIASPTRSRLGSTTANLLRLSQWVVIPSLLIWALSQFAVDAGNTNWVERTLG GVFHSKSTSWTEWLFGKDGVLETVMLGSWENMLRYAGPVFQLLEGFCTLLVIQAAGQM TRWLVNRGRSDTWVIILLAFSGSIIASAVYFLWRVAQFPQISNLDATLIGITMTTATF LCAYGIGSGRGNPIESSLLFAYVVLCVYQIFTDYLPSEVADEASSQPEIPPLPPVIMA SYSTLLHILGSLPSAMHSSLAFLYAAFQTITPSVIISLAYRLFVFYSATRIIPSVRDL GARALMDDPSFEESETASKLLGFLSWFSPSILVSVYTSLLLQHFSTSDGPDGWTLRGG DVGGSTWRWINVGLTIALYGVELYLDTGEPDHWKVD UV8b_07580 MAPTALVIVCCHAIWQGGPSHGALESEWLLQPFQRGETPTFVEH IKAAARCVAQTRQPCALCFSGGPTRGETRVSEARSYAELCRAAGLLGPLPPRTEVLLE ERALDSFHNVLFSVTAFWERFGAWPARVTVVSHAFKRRRIMGHCAAVGVAEAAVVGVD PPGMLGAPDALRGEEEAARDWASDPFGRGAGLSAKRAARNPWGVWQGVFSEGWPAGTV EDVARQMGASALSLRGPSSTTRTGVSSASPRSKRALSCPGRASRTGRGETGLLRLCER QLRRQESASQEGAGGVEAGSAEAARHQA UV8b_07581 MPTTKDIQRALARIRVFLPARKQAAMERRNIKLGLERISRVVPD EQKWTGVHVGGTNGKGSICALLAGMFKLSGISHGTYVSPALPERHNAITINGSYVNKR MYEMEMEHVRQAYERVATGWTFASGEGLGHLTPFELETAAAFRVLNKMNVKYGIVEVG MGGATDATNAMRDKAVTVISKIGLDHQEYLGDTMGEIARVKAGIMRTGVPCVVDGTNP PAVLDVLREHAHSIGTDIHLASRALPLVRDVDRQRFPLQDYEQQNLLCARLAFAKLFP HLHIDVNKLLALKPQLPGRMERVRVTGLTEGAREAPILVDGAHNVLGVEALAAYVDGT LREQGEPVSWVMGLSASKSKPFARMVEALVRPQDSLAFVEYTQGPNDPPPVPAELGRE IAAQVIRDESRLYGGEAADVARGVQWACRQAGERPVVATGSLYMIRDLYRTQGVEPSR KIKTRRPGNSSGPDDTASPLVPDKIRELQRRAAHHKTQAAGYQEAIRSIAIAYTNNGN NNNTNDNNNNDNNDNDNSNNDNNNNSSNSNNKKKQPAAGADEERTRSVEEYQRRRDEH LRAYNRAMYRIRGRIPDPDRKYISHQEIFGVPEKPARRISALLPREAEEAGDAPPASP SPAAAAEPPPEAGATWSRRARPPARGPAGDDEFSKAIKWQRRQ UV8b_07582 MGLPLFVEPVASDLPNKRPAKASSLRADPGRVSRSEIRDRRVGT RRAGSRIYGPLQRDPPHNTRERDQNSGVAAANATSVDRRSGRYRQALREMAEYDEDRR ERLEEHVNMLYSNSWQVSAQAAGSEDENMSEGVSHSRWSFGPRSWRTRLQRSTRVSDR LDAPSTIPPEVSARTAPHPRAADAPASQSRAAGSLSAARQTMPDIRGAVGLDGLGDRE RSLSPEGWDTLLSTLTPDPQPPSAGSSFAAVAASQTAGPSSATPTTVSEAVEDGFVDA PCESGCEGSDNEMEDADYGIPEFLRIVRRRAGRLGEADSRRVPENNLNRVADEHARRP RASNESRSTRQINTPHGQLTIYESTRRLGPSGDLAHAQSTSQPGEHSRSWNGPFAAHA ASGERQHSLDGALQSPDESSSATQANPMAAEDDWSGMQRIVRSLARREDIPDGWHPVG EFSERRGDQVQPMGVGKGYITATWEWDALDNGCVTETAILHLGFYHLKQAKRSMRTRS TSGEKPRREFKICPSTEQFDLDGVSSTSWVHAVGGWLPTERPTSCPLGQLYSALTQFR CTDSRIVSHVVSTSRCGYQRPPVLACGRALSALEMGLQCLKTAPLITLVFLMHPPSP UV8b_07583 MPGTPSRKEKQRDSSAVQDPGLKDYRLGACIGKGAFGSVYKAFN WSTGEAVAVKQIKLVDLPKSELRMIESEIDLLKNLLHDNIVKYIGFVKSVDCLNIILE YCENGSLHSILKTYGKFPENLVGVYMTQVLQGLQYLHDQGVIHRDIKGANILTTKDGT VKLADFGVSTSTLAGGQDKEAQVVGTPYWMAPEIIQLSGASSASDIWSVGCTVIELLQ GKPPYHNLAAMPALFAIVNDDHPPLPEGISPAARDFLMQCFQKDPNLRVSARKLLRHA WIVGCRRADAPVSKAPDNFNQAVEEVKQWNKALNSSEPALRSSTGSDVAATSSRFIGG TPARASFGLAKARLGVEAFKTVELPDSDNWDDDFVTAISPSALHLPHLKPQDNFGGLL SSDKLKAFASTNDLTIDTDNYDDDFEGELLTIKGLAHQREEAQEQTLRPAPRRAATSA NVKHHQGTGLDLGTTDAANAANATPPAVSGRPGSDSLVKAPRGDYFELPPRPDAAVRE QSLEDFADLFVDNDNAFGRQANQAVRRGSRATDAPQLFHPSDLTSLPRSMQAPDGSVR KRPPSRPSVLPDRPMRRTRSSIEIQKFAEDDDEDFSDVFGTNDSLVEKEESERGSEDG GLMLLSKMSSNSWLGDDEEEYDPFASMDPGWDEMDLEANIARDRHARLAERVEDLVSS LKTTEGDDMLSELSEDLLGLLWENKEVKNLIMSAHGLLPILEILEPCTVKSRQYMILQ LLKVVNSIILDDVEIQENLCFVGGIPIITKFAARQYSDEIRIEAAAFVRQMYQTSTLT LQMFVAAGGLNVLVEFLDEDYDSARDLVLIGVNGIWNVFELQGPTPKNDFCRIFSRSK ILYPLALVLHRVLDEEGEDELGELIEGRIVNIFYLFSQAENYVKEVVADRQVLKSVLK DLRRMTPVHQVTMLKFIKNLSMLSTTIESLHSADAIEFLIDLLSYSMKRAHRQFREIC NQVLNTLFNLCRLSKERQEDAAVGGIIPLLLRIMQTDRPPKEFALPILCDMAHSGSKG RRYLWQNKGLDFYVSLLTDQYWQVTALDAILVWLQEETANVENHLMDGNLTRAIVSCF GTNRLNAFDSNLLEPLLKLLRLSPAIAASLAKPEMLAGMARRLGHKKPVVRLNLLRLV RIIMDACEPGLGGGDGSRTLNSRQVRSLMDSIHTLAETDSAVLVRNLAAELVRCHMDR GRGAGAGAGAGVGSAAVLLLRHDSSSLSSAPSAPSAPSSSSSLSSSSSSSQASPAAAC SRRGGTRRRNTSYTPPNLLHLQSCSSMPPPPQSPSQPSSPYHHHRHQQQQQQQQQQQQ QQQQQQQQQQQHHHHHHHRHHHHRSRASLASNPSHGGALIEVAASPRRSDAVPRRRVS GDSTCSSLGPSGHAKSRLPRTSLAAGRSSGSPFSALRGDPAPPMVVRSDSGASGASNK ENSASGGGDASAKRRPRPPSELKWS UV8b_07584 MEDFFIEPSCGARRETLESYTYRIAYMVVSGICTAAPGSSSSSS SSSQHVRARFPATARADDSKPPDRQQTDAYNVRSLFPGPKTKNAQFVALRRRPGEGSS SEMGVAACCAAVTGQSG UV8b_07585 MDAGGLAQMSSNSFTPAHGLSMPTSGLASRRGGQTIKPLSFDAA KQNNVSRDGSAPTPRTSRSHLLAGLRTAPKSAAAATFGPGPLSPTVNATPQQYAQHGM VANLYGYGQDNAYSAAPKTAFPQFSPPPELAMDDQAQEHMDPSLYAQLVATNMYLAQQ QQRLQQQLRSVQAAAQQFQQLNMSNSQLTQQQMALYEQQQQLRNMQQQVGMQAAMNQG QQIYYSPVTGQYYVDTSSANAAQVNSHYAEQPLSPTYINGFQQQQQQQQQQQVFQQKQ KQKQQKQKQQQQQQQQQQEAQQKNQQAQQGTPRVQVSPPPESSQTSFQTSPPKRHESP SEVTPLPPPSANAFRRGHKKASSLAPVNAALAAASAVGEAPKSSAAKTAASFPLTPLT GGYGPGQARAGEHPVRQPRGPPSLDELKSKPTARHEGSKNFAARTRRSAVYNLVRAGL ERRKGTCSSTGSMSPVSETAEESGSPVTDNDSDSGRSGSGSLVGEEECSSSRTSASGS WGAIGSDRPSSRQKARCSVDSTGCTSAGEVESSSFANAFKNGAIRTAKAQELADSQRK APRLVLTSIEKRRAAPIV UV8b_07586 MPVTPACTGTLESVHHSERREVDGESQCLVGAPEAGGHCVWTAK PRRLVTTCTALLGGCGCLPPGSLLGLSAGPAMSPQDQTPPGAPLVPTAMMRSEAPARP SRTPASTLEPGILGKARLLAPSQLATSAAQGPRSASFGDW UV8b_07587 MAGNAGGSMPSEPKAASWKRLPLPMAMTALSMTLIRIALVLRWC CAGLKWWCGSVVRSRTSPPSLFIPRIHGILELSTKSHARQMQQLCSALSIWR UV8b_07588 MSKYLLTEPSPSTTSYVRCGRGGAGNTFRASSSEARTTAVSPTA KKTPSSGRFFSGIGGAGNAHPAAELPSAAASLDDALRHAAKRDNAPVGYCGRGGAGNI YRRKDSDAASASTASSAASVKSSMSSTANLWKRVSGSFGRD UV8b_07589 MTEILHNAPIVLDNGSGTIRAGFAGDDLPKCFFPSWVGRPKHLR VLAGALEGDVFIGQKAATELRGLLKIRYPLEHGIVTDWDDMERIWEYVYGEGLKTLSE EHPVLLTEPPLNPRSNRDTAAQILFETFNVPALHTSIQAVLSLYASGRTTGIVLDSGD GVSHAVPVYEGFAMPSSIRRIDVAGRDVTEYLQTLLRKSGYVFHTSAEKEVVRLIKES VSYVAHDPRKEERDWIGIKPNESKFAEYVLPDGHKLKIGPERFRAPEVLFDPEIIGLE YPGVHQIVVDAINRTDLDLRKSLYSNIVLSGGSTLTKGFGERILTELQKLAVKDMRIK IFAPPERKYSTWIGGSILAGLSTFRKMWVSIDDWHENPDIIHTKFT UV8b_07590 MVKGAAAAAAAAGGRPTGPGVYSATYSGIPVYEFQFGTDLKEHV MRRRHDDWINATHILKAAGFDKPARTRILERDVQKDIHEKIQGGYGKYQGTWIPLGSG EALAQRHSVYDRLQPIFEFVAGNESPPPAPRHTTKPKTSKAKPPPPPPPKWGASAVMA RHDEMDNGEAMMGEDDTPDNLTVASASYMADDDHFDTSYMSTTGHRKRKREEHVQDLT EQQHAVYGDELLDYFLLSRNEQPAIKPDPPPNFQPDWLIDAENHTALHWASAMGDVDV IKQLKRFHANISVKNIRGETPFMRSVNFTNCYEKQSFPAVLKELFDTVDARDNSGCTV IHHAAVMKNGRVFSPSCSRYYLDLILNRLQANVDPCTFQQMIDVQDNEGNTALHLAAQ RNARKCIRALLGRNASTDIANHEGIRAEDLIMELNAAKKERNPQRSSSPFAPDSQRHA SFRDAFAEKKKKPTAFLSTAATTVQSRISPLIMEKFQDLAKSYDEEWQEKDVAEAEAR RILANTQAELSAARQQIAELEAQLESDEVASKILSEANLAKHQVLSLITHQNRLHIHQ AVDNELSRHNGDTSQDGTYEERLALARQLGQMLAEQRQAEADYVDALSMVGVGDKIEK YRKLLKRCLDAKDGESLDTNLDNLIEMMEEEKDASGVEGAAPSAAEPMDYAVGA UV8b_07591 MPAARNHLPGGALLMPDYLKPQLPTVWHAIQSFLALFAVLVILR FLLQTLCALPRPTRPPSRLPCRHPAPRSRRPALPPSAPALGGMKMDKEDALRRAAQGD QPDRRTVDDSGPQRGRLAAAQPFLPPAPPLTPPELSAAVFPFAPDHQPPHAMDGFIRQ PNPDYNYNYNPCNTAAASSPATPPRRLSYHRVLGISPLAGGSRAADADFAPSSYPPSS PLLPPPPPPPPPPPAAPADDQARRRVDVKGEIISALDGQGAGWTRHTRVYGGGVCLAC AASGAEHGGFYGATVTPEEMRHGAVSVQS UV8b_07592 METRHYKLLPRKSGDFPADDSSAICDATSRGRRQRAWRVVALSS ILLNAVLGGLAIAHATVSPLAPSLRQQRLYSPAQHVLRRVDRVFTGGFGAGASPYQGA PNETNNRLWRNLYDSVGISRISAAEAAPMVNRTLPIPGDHGYYVTSLDVFHQLHCLNV VRQAVYGHVDWTNQDELFAIDHIDHCIDTIRQSLQCNADVTPVTFVWSRGRNRALEEA RVIHTCVDFEAIRGWASKHTMRVPFNWTAQIDDDPLGWGAGVERHA UV8b_07593 MKPTNMVMLATALLVNGALGLPTPGSGMISGVDSYSTRSAWTKA ETEESSVEKRQCPASENNVDCYSTRTAW UV8b_07594 MAAASALFFLTAAVSWTYFFKATSKDYICYEKKYSLESLWNAVR YEPAQFHLEPDVPSPFRGKPRPELDEAWANVTEGHATQGAAMHGDSYLGLVEVFHHVH CVDFIRKYIFKEHYPDWRNLGGPPEAVLGHVDHCIDLLRQKVLCDADVGLMAYSYDPE TKFAKARTSNMHMCRDFQAIKDWAAGRKWEPKADSA UV8b_07595 MSLNDKHAALIRSILQDRFLLAPNAFKIEPVKEAKNNHVFLLKL TSPTLEPSPPSNQVFTTPIPAKTSRLVFRIPKENVSLEDSVRIRNEVAFLTLAREALS PIGPSLTPAVFGWSDTVSDSSFGWILEEWKTGEHLTIQKLVKLGDETQRLVLGQMAKV AKCLQDYQLPDSATEFGGLTFDEEGTIKSTKSVIPCGGPFPSYGAFLKGTFRWQLEAS ERSTHVNGWRDYPELRKRIDAFFADGLDGVIAKVPKQKPTLVNADIAFVNLRFDPATY RLTAVLDFDFSHVGAPISEHLFSFWDWDALLPSQANPVGSLREWMLEGFPKDVEDCFK VNRLWDDMLADAHAQKPSTIAGAGLMADLWWFSQELCQAYWFMERIVGKSSAKELEEK KKDSAAKLDKYLALWGF UV8b_07596 MNTIKSIFSQPRYQTVDADANTASDSSEPDDNLLHKRRTQAPRR GVPAITLAVLLVVSNIATGILAVLVTKGAFCGWHESSLNSDLKRANAYSPIYDQLDLN VSLTTLNGALRDNSSIWRQPPSPAVDAAWDRISTEGLELVTVTAADVAKSGKDPSATL RAPLSWGRGEGAYLAQIEVFHQIHCLNELRKEMHRGYYYGGKGDGGDELRRAHKSHCV HMLLQALACRADVGIVTHNWVRNEGIAEPKTRLMPDFSTVKKCVDFEGLLGWARGAAV EDLRSRWADLRWTPGETIVPGQGYA UV8b_07597 MALDENTLVLASGGVDADTLRPPGRVRSLLLLLERGNGHHEAPT EQHEAPTEQHEAPTEQHEAPTEQHEAATEQHEAATEQHEAPTKPAKRAPLRVVF UV8b_07598 MHLSRIVIGLAALLAPLAAAVRARDIIDRSDLVEYKIFRDHLPQ DQRTKMSFVQAGRGSNFIRVDVYSSSGNSPQWQYFRLFKARENAQRILNPGHRRSIEI PQQE UV8b_07599 MHLPRIVVGLAALLAPLAAAFKQRDLIDPSELGLFREFEARLAD NHEFTKVSLIQGGRLVRFDVYSPSSAGSRRIGFKDFRASKNAAQILNRGHRRSIVLPR QE UV8b_07600 MHLFRIVIGLVALLAPLAAANGAGIIDPSALAQFRVFQAQLDPN HQYTKTSLVKDGRGDYLIRVDVYSYAEPERQSYRLFPASRYALQILNEQNSDQKNPNP RSRNRKHI UV8b_07601 MADVALLLRSGLPWSLVPFHHLPQDFPPLQKAKYPAAMRLLRTV VGLVALLSPLAAANGAGIIHPSALSKYRIFQTQLNLRHQYTEMHLVKDSRGFTFVQVD IYSRAGGKLQGHKYFKASKDAPQIFAGLANGGPIVKT UV8b_07602 MLLLRIIIGLVALLAPLAAAFEAIDCIAERDLVSYNQFVSRLTP DVYGEMKPMKGFGYVQVDVYYANTRPRSLVFSRVFHASPNAKNIIISRYYQPFIIAPQ LEQAHSPSPKRLRKKP UV8b_07603 MHLLRIVIALATLLAPVAMAALRLRDTIHTENLRIYDSFMSQIH LPMVYHAYLKNNRVHIDVYDHEGGKIVNYATYKSSTRAAAFFQYVSEQPPWKAAHLAS S UV8b_07604 MSTLTAPAGADDPWRNEESAVEDARRTQSIIAHECANTGTKPPP YELMALIGKGSFGRVYKARGLKTRRPVAVKIISIEEGDSTHPGGTDTFSDILKEINTL KLLNDRGAKNINRVLDTHLVGQSVWMITEYCAGGSVSTLMRPTGGLAERWIVPILREV AEAIHWVHSQGVIHRDIKCANVLITEVGGVQLCDFGVAGIIETKFDKRSTVTGTLQWM APELFESSTSYGIEVDIWAFGSMAYEVATGLPPNATGLIDLANFGSHLKHNCPRLEGD GYSSHLRDIVACCMVPDPAQRPNIEQVQAHPYIFGTSDRFPTPSLSQLVNAYKLWEAQ GGSRKSLFSAGGAQGLANASSPLVPNDEWDFGTFKESSLECDDSETRTIQDAYGTAVG LPWQPRTNPARRRPPIVKPLIVPLEHIFDPNSSTNYDDNARAFYGHYAQPRTDDLPLR DDKDSSTIRESLIDLDASLDGNHLSQFVDMGTIRAGPPSAPNNTLDPSHRLTQDWKFP LMTPYAEDPEENSHSHPKSWNVVPGIPIPDTQLHRASTISLIDLDASTVDGAGVFPRP STANSDNISMSSDTGKSPFELEEQVFHASSLPASREPSIYVTDDVTIESLIVPDDDSP NDQVVDLPEESTVQKQGGQDESLPHDQHTTLAVPAPPSTNVMLGINTEEELKQELKRL ISSLGEHLQLASDALAHLPVRHDIISI UV8b_07605 MNAAQMHAYKCVNVLTYSQRVTMAHFCACGSGCGFRNLIITINM SGVDTYGQAGYILALVKITDIIGFIVSGIILNSAGTPEQGFIGLAYWRGRGPFNNGFK GFCTIILVCYAAHKVIHRAREYIPARSVDVDTGRREYNVHSSEARDEEDRAVWPRPGK VCTSACAEIP UV8b_07606 MLYNTVTCLLFVALIQLALCAEDYYKILGVDKQATDKQLKSAYR QLSKKWHPDKNPGDQTAHEKFVEVSEAYDVLSDAETRKIYDRHGHEAVKQHKNGGGGH DPFDLFSKFFGGHGHFGRASGEPRGHNVEVKVGISLRDFYNGATSEFHWERQFICEHC EGTGSADGQVDTCSSCGGHGVRIIKQQLAPGMFQQMQMRCDACGGRGKSIKNKCPVCQ GQRVERKRTPVSLKIERGAKRDSRVVYENEADQSPDWVAGDLIVTLTEKDPAPEDNPD NVDGAFFRRKGDDLYWTEVLSLREAWMGGWTRNLTHLDSHVVRLSRPRGQVVQSGQVE TVPGEGMPKWHEDGDSVYHKYEFGNLYVTYEVILPDQMDKTMEAEFWDLWEKWRAKQG VDLQQDTGRPDADAAPVRDEL UV8b_07607 MSSKKYAFVPMEDDEVGPQKVVREKKHRHRHGGRDCDRDRDRDR DRDRDRDRDRDRDRDRDRDRDRDRDRGRDSTSSSRPSKSHRDPSRSRSPRTSSSHHIH PKTYRRHDAEADFDHRWADEEPPSDDICSHDNHENHDNNGSPAPDFEESASKRVKLSH DDASKYDEDLSDGAKEELARRRDIEEREAFAKRLKEKDDGRSKKNQRDGDSSRRKLAE DAAARDAALPDLRERSRQEYLKKRETERLVLLRKQVAEETAELRSGVRLSHKEKAEFA KNREILRLAEERLKIDDHRDGYYMPEDYITEKGKLDRKKKEEALYKRYVERDEYGQEK FVTEHEEWELEQAAKAKAQIQRAEIENEDYDYVMDDAQYIQWSLDSRMAGEGKTREQM FLDAQIDAAEKKALSIQETRKSLPIYEYRDQFLAALEEYQILVVVGETGSGKTTQLPQ YLHEAGYTKNGMKVGCTQPRRVAAMSVAARVAEEVGVKVGNEVGYSIRFEDCTGDKTI LKYMTDGMLLREFMTEPDLAGYSALMIDEAHERTVHTDILLALIKDLARERKDLKLLI SSATMNATKFSEYFDDAPIFNIPGRRYPVDIYYTPAPEANYLAAAITTVFQIHTTQPK GDILIFLTGQDEIEAAELEITETARKLGSRIKELVICPIYANLPSDLQAKIFEPTPAG ARKVVLATNIAETSLTIDGIVYVIDPGYVKENVYNPATGMSNLVVVPCSRASANQRSG RAGRVGPGKCFRLYTKFAYMNEMDESTTPEIQRTNLNGVVLQLKSLGINELLDFEFMD PPPTEALIGALNQLFALQGLNHKGELTKLGRQMAEFPTDPMLAKAVLAADKEGCVEEV LSIVSMLGEASALFFRPKDKKIHADSARNRFTVKDGGDHATLLNVWNQWVDSDFSPVW ARENFLQQRSLTRARDVRDQLSKLCERVEVTPSTCGASNLVPIKRAITAGFFPNAARL QRSGDSYRTVKNNTTVWVHPSSVLMSVDPPAKMVIYFELVQTTKEYMRGVIPIEPKWL AELAPHFHKQKDIEAMEERKMPKQR UV8b_07608 MPAAPQRTSYASHPVSIPAGFLEDAPAKPVTVTPIRWSPALPEY EGAVAAVLDNVLSPEECAQLLCLAESSVVLEKGAASPWRPALVSAGAGWEVPIFDYRN SDRIVWDQQTIVNRLWDRCRQGRGVEALFGRTPADRERGHLSGRWVFERVNERMRFLK YSPGQFFRPHCDGPYYHRDESGSEMRTHYTVHLYLNDSAQASAAGTGHVGGATSFLSR DESRRLDVHPKAGSVLIFQHGKLYHEGAEVTSGVKYTMRTDILYRWVDREGGDK UV8b_07609 MPFPWDSSGALEAESQGQRECWAATNALGLALQNGFNMTSQSQV QETLPRLGFDLDDTPLHGTIPVGQWAAVPGASTSAPPLVQSRLDGSCGQMNRSDPGVL EYVQQPWHDVDRQTALGTWVDAILPNGQMDENPTQELRYSPYQRSAHVDVRHNMDHAN LLDNPCDRLEALKADSQYSSEASPPMVSPSPPTDPLPQGQTQGALNGIVPDTLDPVGK PENWCELVLGKSSGHGRQARRRPFQNTHLRQETARTRKIGSCLRCRKQRLRVPGRLPC LRYRIKDMQLYMPDEDLVLNWTLDCFGHGKPRTGKTAVVGISQALGNQRIVLRVHKHG AEDHDAADAGAQAAAVTQQPLSLVDHDEARRAVANYIPGVTGEAFRRFLGPPDGLPNK TFRQACALYKDPATPPEITQLIRQLFTVWTASRIVSMPAFLVGDGVAGTTQPPRCAGQ LPPLLALQLKAILTNYIRGSLRSDVLRRMQAVIYGRQECPWLVAYLTTFILLHNTSLL VAFEVGSLGKDAMTNQIHEASIRQYHADANNLLGHFHYYTKGHYPFSASCSDLILHAD LGLDSAEIDFVYESRRLIAQQARCLGAPGRRGQVACQDVDCLVGQLYRGGWRPQEFEE FLGDAKRRVAAGWW UV8b_07610 MTKRSLSSPLLHDFMAPLSRSPNLDFHLPFEQHFRALSHAGRTS RDTCSSSASSSRGSKPQHPVYDHDHDHDNSNNNTYNNYNININNSAIGDDDDDYDDFD DGDDDFLVDGLDEYHQGLLHAAASRGPRRFHPSFRRPVCQLQLGAACPAGPRAAPAPT PSSPGRRGSLARPPAARRPAKLVLAPSGLVPAKQLRRESFWSAHQGDELATPRLTLTR YDLRADEDAIYNWKRRGQYFDRWIHAD UV8b_07611 MKSEISVGTATAALLAVASFGRAQTSPFSVASIADIKQSASTLA WDMLQYYQGNVSGNTPGILPGPPPQGDYYWWEGGAMWGTLIDYWYWTGDSTYNNEIMQ AMQFQVGENKDYMPRNVTASLGNDDQAFWGMAAMSAAENGFPNPPTDKPQWLELAQAV FNTQASPDRHDSTCGGGLRWQIPFANNGYDYKNSIANGCFLNIGARLFRYTGNTTYSG WAEKTWDWMWKIGFIDNKSYAVYDGAKVDKDCTNINRAEFSYNNAVLAEGVAFMYNVT NGNATWKERLDGLIKHGLETFLNKGIAVEISCENVGTCTTDMLTFKGFLHRWYSTITQ IAPYTADTIRPILLTSAEAAIKQCTGGARGRQCGFKWASGVYDGKTGAGQEMSVLSAV VSLLIPDAKTPMTEKNGGTSKGNPNAGGSGDDAIKKPQPITTADKAGAGILTLLLLGS ACGVFGWMSVGV UV8b_07612 MMNGAEKQDLDEDNFGAKGSIVSAFDAFPKSKPQYVTRTEVGGK WTVAMVIVSVVLFWAETARWWRGSEWHHFDVEEGISHTLQINLDAVVLMKCGDLHVNV QDAAGDLIMAGTKLSKDETSWRQWVNQNGVHKLGRDREGRVVTGAGWRNFDEEGFGEE HIHDIVALGKRRAKWARTPKVHGPPDSCRIYGSLELNKVQGDFHITARGHGYLSQGGH LDHSSFNFSHIVNELSFGPYYPSLVNPLDRTYNIAETHFHKFQYYVSVVPTRYTASDS YIVTNQYAVTEQTKAVSEYNIPGIFVKYDIEPILLYVSEYRDPFLLYLVKLVNILSGV LVTGHWGFTLTEWFRDVMGKKRRTSTVGGGFLNTKHGYDS UV8b_07613 MISSSQFSSRLVARSVPRSVARRVARQPRRYQSSSSSPSAVNFS GSHFTVGMIGGVVGAAVAYGIYSFTPAGRAASQINKAAIEAKKAYDAAAKKLQNSTPD ADQAVNSIKQFAYSYAAWVPGGRVYVDAVFKDWDTVRESHKDEADKIVNDAYKELKAL SKAGLSLDTASKAYDVLAEVSKNMANLGGEAISDILDNHPEAKEKFGGSIEKLQSMAK NYGPEAKKQVDETWKQIKDILAGGFSASNLDKARKLIDDKLQQVTNLGEDAWKKGIEA AKPYLDKNPKMKELIEKNTDSLKQGNVGELLEKVRSAAKSGSLDDLKKYVEDAASKAK ESAKDKVSGNGDNFGLGKYLNMVPQGSDVLQKLQQISEVADKHKDEGEKLFKETVEEI KKVLEKQSEKGKTIVDKAKKDVK UV8b_07614 MEQKDPTPENMTMMQGFEWYVPADQKHWRRLKEQIPNLKSWGID NIWIPPGCKGSGKEANGYDVYDLYDLGEFDQKGTVATKWGSKDELLDLCNTATKTGVG IYWDAVLNHRFAADHKEKCQAVEVDPDDRNKRISDPYEIQAWVGFDFSGRGDKYSKQK YHWYHFSGVDFNAENNKTAIYNIVGDKSHGWAEVPDVDDENGNYDYLMGADLDYSHPE VEADVLAWSDWLAQSIPLKGVRFDAIKHFSAKFVQKFVKQLDEKYGKGWFFVGEFWKD SLEDMKKYLDHMDHQFSLFDVPLVYSFSEISQAGSADIRKVFEGSLVQCRPTNAVTLV MNHDTQPHQALEVPISDWFKPLAYALILLRGEGYPCVWYGDLYGIQGEHVCPPSCGGK LAQLMLARKLYAYGRQADYFDHETCLGWVRYGTHDRPFGLAVVLSNAGPGTKRMHVGE MHQGEKWSDVLGWSEGEVTIGEDGFGDFTCGQCSVSVWVNEGALDRSRFTEEFNSHIY G UV8b_07615 MAGSQSLLLLALAAGGVHAAAAAAAAAAAPAPVIPISYSYGGYP RIQADLSWGTPSQSSIPTIFDTGSPSFWVYGPHAIINYGSKYHYQLGPCNKSVETFFD WPKSTTYSSVTNFPRGLGYSYGGNGKIVAAYTELNDTFSFANANFPPLANNQVAISNF TLVTEADDTCSIPASSFDHSILGLAPLTGIQAGPSFRANLRTAGKTSSSSFSVWMDQA PRSSNSKYTYRGAAVFGAIPSTPKYVGPLVRIKQTNPDPPYTGYYAALPKLTASNLMG PGGKPREIGLADPTVKNCLLDSGTGADRIPFNESQIAQATGLLRLHNPSILAWNGTCD SIPHSASLKFTFDGATPGTSVTIAVPIRSYARGIYDSDPGLDTSKYCGLTLSGDEYGD CVLGASFFSAIFAVFHDDMQQVALAQGGVSRNTADGLSAIGPLTQIAAGKDIPFSV UV8b_07616 MRASGIALRWLLAAQLSLAHVCRVDNGQQQQQQQQQQQHESLES PPDDYTRLGRAADDEVVNLRIYLSHSHRNYLAVAQEVSDPASAQYGQHLNARQLAQVR PDTSEASAAVQQWLRDQRVPDRDMGTAADQVTVKLTVGEANRLLRTTFDRYRVGAGPD VLLRTTGYAIPDAMKKNVDFIYPTVHFFRRPSGRGARQQLGKRQRAPTGPQNCTNNVC PPQLRAQYNIDYRPADNASGSAIAIAGFLNNFPNVTDVVAFLHQYGGVGASDPLPPIG IVSVGNGTLQPPSDGGSLTVEAELDLDYSMAFTGPLPVTFYTVGGRAPKVGEHKAPSE PISPFDDEPFVEFFEYLLALETPPQVVSVSYSDDERYVPLAYARRVCDLLAQAAARGV SVVAASGDGGASGTGGNTQCLGPGGRRSFIPTFPSGCPWITSVGATAGWGGVASYSSG GMSNVFPRPAWQDTQVRHYLAELAGKHEGMYNASGRAYPDLSLLGDNYLTLTRGYPLP HDGTSASTPVFAAMVALVNDVRLRRKKPPVGFLNPLLYAARSKDVYRDVADGSETNGC ADRDFIAPGWEALAGWDAATGLGEPDFKKLMAALA UV8b_07617 MSRYYRDNSDSDDNHYKRTTVTRYKVAPERHSERYQRTERVEVD EDRRSKYSARNSGDIMETRGPMPDHARPVQEPYDADRSRMVFYEKDVERDNRRDPDRS RITVYETKETDREWDKRSRHGRPEEELRIEKRVEERFDDDRGYDVERYRKETEYYVPQ SPPPPPVIIRQQSQEPQKIIVQDAPPAPIIVPRQQPGVVVLRDRETDREMARRDRDGY EDDYYYRYDRREVGPYRGDRERDYAMARYERHPRHDDYYSEDDEYYYRRTVRRDGSES PHHKRHLAEGALAGAGISAILSSRRDAYGDLQENRGRKVLAGAALGALGTEVARRAHS AYEERFGHDRESVDHHHHLVKKGLGVAAVALAAAGAAKYFQANKIEKEEAHRGRSRTR GYYSGGDDYSSSASPSYRVSRVSRSRSRSKRRSLSTVAKAALGTAATAGIIKHIRDKS KSKSRDRSRSRSKSRLRRAAEIGGVAAAAGVANKLWNDHKEKKDRSRDLSESGDDDYY RRRRSTSGRRRSPSGSLVEYGTDPLYPASRVAPAPRDLDPEAEDRRAERRRRRRRDPS VSSGSDSEHVRRSRSRSRLRGMAEAGAAAIGIKEFKDRHDTKHKERRERRSRSRSIDH HRRGHDEGSRIGDSRRDYFDDAVPRPHSPPTASGGAYYPPYSPTPGGPPMAPADNYVP YPESHGSAPLRKEYKPYVPQDYTGGLSAWTGSAWTSPAARRPAPDWWESSTRSYGVNT WYAHDRGDSPPPTSKSVSFIPLSPKSSRTMERHHREHADASEGEASRQPPNNDDDAKD DAKDDAPTGRTLVNANHGRRSLDQTRSRPLIRKARRFGDDSPSDSDGHVESLPDRFDS QGRPLDGRSRSADHWTTRRGTFRRAPQRAGGWDVRGAWEVGGTDSEAVERLVASFTSA LDGRRSWTGVLGDVLGSSLMPGIRGVQGGGSRAW UV8b_07618 MTAAPPRSPPPRPCTTPLSALAHQPCHANASRHKHPKTVLVETP PRTEAVYLIGARYKTARRVHSRAQSPQLAATVCVAEPAYRVYVGSGTAAARVVVLVQV GCARCAGVAVPASVGGCQKRGPRGEVLDGEAALAAEGRRRRRGRRSIPRR UV8b_07619 MSLSYTISVENNRGANTNYAVFMEPPEFTRTRETFMNVWFTSFV PYGGNFEVRTGNDYFPWVGTVPTRPAPGVIVNSGMSLLGNLGTSSSPGSTFDMKVIEN FPTLTEVSPTAVTGAVQVNTGDDFSVPNNTYLVGLAKVNNRGQVAPVASIAPINNEKI QISPKMKFLVSESQQVPGEIVDYSAVARDGAVIDFADGPGRGKYYARAAQGSDGRFTV TYYDNFGDD UV8b_07620 MDAAANIAAPAAAPRPRALVYRGPAGHHDLSKAVAQLLESSPRR FEVQYAGPNESVDVTRESLSHVQLYAQPGGPDLDYAWAQTRAYAPAIRDFVSRGGRYL GFCLGAYLAGHSPGFGLLPRGADTDAEHCQKGAQVKHDRDTIIQIDWNFSTGPNAGRI LQDQWVFFQEGAVIKGLDDSDSSIVLARYSQSGRVASSLNKYGDGWVGLTGPHPEATD EWFSNYNINSPHGLRHDIGHDLIEAIMTGGCSVSKCKSDDWPVSALRASKSRNPLSRL LGSKR UV8b_07621 MSRVAGIADEGSSHNFDLTPLTPSTPLNEADKTTAPLSAGLQEP KKKGVEIRSAAVVAANDLTGSAMTNH UV8b_07622 MSQTRSKQDLALYPVEEAGTPRTLVDILQLTTDFHPNALAIDNG TSRLTYAQLAERVASRVEKLRAAGVGAGDRVGVRVTSGSLELYVSILAVMTAGAAYVP VDVDDPDERAQLVWTEAGVTAVLADADALTRHNPPVGGPRRKPTVDDDAWIIFTSGST GKPKGVAVTHRSAAAFVDAESRIFLPNKPLGPGDRVLAGLSVAFDASCEEMWLAWRYG ACLVPAPRALVKAGADLGTFLTAQDISVVSTVPTLAALWPVEALKGLRLLILGGEACP PELATRLAANVESVWNTYGPTEATVVACAAPLVAGQAVRIGLPLAGWKLAVVDPEGHP VQWGQEGELVIGGVGMARYLDPEKDRAKFAPAPCFDGERAYRSGDLVRAERDGLFFVG RNDEQIKLGGRRIELGEIDAALMTLPGVSAGASAIRRSETGNQVLVGYVVRKSPPQAN DRGILRRLLPATLVPMLVTVDDLPVRTSGKVDRKALPWPPPASSHVDAQPIHGTTAWL AEQWRRVLGVPASPDSHYFDLGGTSLAAAQLVTQLRQRCPTMSVADVYEYPTLAAMAA RVDDLAGTKQDDRLVKPTARWVMLVQFLVIFAELTFNGGKWLAGVATLKKFFALRLGP DSWAGAYAWPWWLIAVFWAVFVTFPGRMLTTALGARIFTMGIKPGTYPRGGSVHLRLW AAERFVGMAGIASLAGTQWARRYARLLGCHVGKGVQLHGLAPVTGLASFGAGCAIEPE VDTAGWWIDGDFLHIGFITVGRGARVGGRSTLMPDAVIEPHAVVEPGTVVQGIVRGPD AATASADENRQQHLSEWAFFSGLRYTVTLLLLDFLPVIPAAPALGLTPLLVRDYSNFH QLVVAVIELTLPGAVLGILAYVAVNVMIVRLASLFLRPGSYSWHSTTAWAAWVTHFVM MNLRATLFPIYASLITPTVLRLFGARIGRHVEASTVVPIPSLLRVEEGAFLADDVLLS PFELDSGRIRVGPSSVGVKAFVGNSAMVDPGVDVPERSLVGVLGFAPKDMEAESSWLG RPAISLPRKVDTDVDESLTFKPPARLVLARGMVESCRIVPLLIGAMLTTCVGTGSMWI LITFGVGWAVLAGGGLLLGAGIAACAMTTLAKWFLTPVIKPGMQRPLWSSFVWRNELA DVFIQSLAVPWMAGAFYGTPFLNWWMRSLGAKIGHGVWIESHHLPEAELCEVGHGATI NRRAVMQTHLFHDRIMRLDKVILQDGATLGPRAIALPATTIGAGTTVAASSLVMRGEQ LPAGTRWKGNPVRPWVEGKKLESSESSEGSDWELPCPA UV8b_07623 MRDVCPAARTAATGHTPWARPPLPGRQGAVLCCRRWATHHQAAY TGRHAQQEARVLWAAAGNRWAGSEELTWRVSCVAGWLGGQLGSGRDEACRQGRSLAGR SGPPAWRRGSCGAGGVVDACSRSHGIV UV8b_07624 MRAFSRSRRSDSIAAALDNPDDGLRVHDADSSCLLKDPFSGLTL AHSPSASGLGSNTRHGFLTSDTVPRRPASQGAQSHTSSTVRSCSNASSTHCPTYSLYP APTKPLPPLPPKAGSSCSSSSLSVGRRPSETSLGASSSSAGRSSSRYSDPSTSVAAAT AAAATATDVPSGLLPCASPPPPPPPPISTLLVHASGSRPPSALQASLRTLVVSDDKRI NNCVHHLDVSSTSSTLASKHGNNFVKVWSLETGDVLSTIKFSSYTEAQSRSRDYLIRS HAILSETSRLVAIATKFGRTVEVWNWDKKKSVQTIDGADRWAAGKMEEAYDHGWGCLA AYRGEANKIDLYAATREKKPFVKMRTIDLAQANLPFIPQYPELALSATSPLVVAAAGP RPPRMGNPPPQRETLLMAWETHDVGGGQPGSKPYRVARPWQHAELDTAIPCHLATYGS VVVSIWIPATFRAVPVRGGAEYNLTTVRVPSRYVLVWDLAANSTRVFGIPNCTSCISP DCRYVAYCHASGAAIGARGTLAVIDVMSSHEVWSWPDRNATAVDSGPKPGLGQFDDLA KVRELCFSADGKALLVGDADGRIGVYDVHPRKE UV8b_07625 MTPLLIVKAICSSEFSPLFVSSDASSWHHGESSHVNVLVARNPR SDRTAHSAQASRQWIPQLLDSPPPAPEWSNGWDVLARRPRITPFVAEGSEVRQGPRCA TQKGKPSLWNRRQNGRLSWGWASIQAGAGADAAATEARPPSAVRCPLSAVVGRCAVAS RPSANADEALAGSRPPTDLMHRVLHVDRW UV8b_07626 MRLLGVALVLSAAGILPAHADMDVELIHSLMGNKPFAFMAFDSM DPAFPDRPNYYWIGTCQKKDGMCTAYKMIPANRKRAAYPSLRAGRCHKKFACPRDGHN CVHKNKSRFVDCKTPLEDRFTAWITWPTMRQTLKAEAHQSWNGQVGTWIGSRAWCGTL DVVLDLHFSGNRITLSTSVAMNSDTPRLHTSCYFLALPNHDAKRTCEMENAVSRLQ UV8b_07627 MDAEIAASKPFTLVIGPHRREFIMHSAKVAGLSPALKALVNGPM REGVEGRVEWDFLDDLSFLCFFNYAYTNRYDATKIKADAKPGMSASSNPDLSVTTVLD TKMVVKFDDGQFTSRGWPVRQTSRVKPRKDILSKRAALWTHFCCLKKPRDDPDERNEE AIIAGGELPPEQLVCNAKLYAFADYYGIEDLKVLAYNKLHKDLRDAAECRLRLSNVVS LLQYCCDRPVPDELKELVVLYVACQFDNIWKEEGFQDLLATHGDLSVAIIGELRGLLE UV8b_07628 MSASNESATHPFTDAEIEHRKRCLDLESKVRISQVLCQPALTNP VEQTSTYVDKRVDLAPRAVATRAELGVNEVVEAFERASVESASGTRLIVARPPSRHAS ALDTGTSRLPYTIGGWDLVSVEQRITDADFVISLTFYDPPQENPSPRMIANALRCRLC YQPQRDDCYLTNEDSTRLRVTKLASPDGPQEDSKCLDHNRSCLVSTGVWRISFIETEE QHLVEFLLLRRQFVVCPIYLPNKRSLDEAELVGGRSKSTETSKQPAPLPPVAAGKSAA DGAPMNCGPSSSTETVHIDTMSVLQLSKECSLLVKSSPPTEVTLEDEGVTSYRLDFRW KIDETVGSKVFACYHSALPGGIVVKALDYTDRPSGRSPLSGCVKNWKREQALLDRLDH PNIIKLKSYDSRMLALYLEHLPLSVQRQSPVSPAVALTLLGDISAALNYLEREKIVHN DIKPANIAYSAERGGVLFDFGMAAIDGELVLGGTPMFLPPEYLSHEVYKKRRGPHSDI WALGLTMLFCTGKIRYPKKKVAAINLFNLAIEDSADTQNLKNWHATIDCVRVGLALEE QMDLIIYHMLEPKFELRITAAGILAELGRYKDVSVNSGSSLPSTLES UV8b_07629 MPPTFMYDVPLDVQHQWLEMTDFSVNDLRRLGNKYNQICIPILD PRFFYERMITEGMAARDAAELDQKAKDRADELTREQYAFSDQMLGDSFYPGKICLTAD SAWHVRLACSSYSIQRWITAICSAMYPGGVKMARDGHPNSDHGCSKEEVEEEEEEEEE EEDDGEVDLNFETQDEPYYYQILRNSDGNRHEGRIIQHLGDIAAAEPLPCSKETPPSS CPSSVPQEKLLLDDSASTLASTLSPPDLSAANTSQEKPPTEEVTADNLLHDGKTARTA AAPDACSPSTSRDSAPTAEGAAQANGDELQGEFSDAQQPGSPARRADTDSPAKGKRRR SEDEAPDDNRACKRSRASPERG UV8b_07630 MDLSASGRLSPRPRRHASSADRLPSPSPSTPCLSTGRLLSGLVT RFFNRRFAFALPTVCVLGSKVVHICSHKSALSAVHFKRWSFSFFAQDLLLILLFRFLF DKSCLNGARWVQLAGKTLAWLLAPIATALGVFNVCFFLLTGAEVHWRNIAFASDASSR ALLLSGLLTLVTVASCAVLTAWALQDLLYLVAGMATDTLKWPLRRFVLRRPQGSGSIV EYTALDDSYDDDNDDNDDVKWPKESLERASAREGKPRRCMPLLRAASYALVAIVLIAQ VVCTAIRPHESSLIFLSWTSILLPFVDFSSTSPNLKHLLPYYNNGINYEWDNRTALLP PTPLPWLSQEPKIPGFDDWYKSKKHYNASADPLKISNLGSELLPLLREKLGDVEIRHV VIIFLESTRKDVFPLKKHGMIDAKLSDTYVPGHMPKEVEERLATLTPTANYLTGDYDD GFEHETRRARGGISFNNAYTTATYTLKSLTGTLCGVTPLLADFNLEYNHHIYQPCLAQ IFEALNHVDGAKDDEPFVGYKWVSSFMQSVTFDFDKFGDLMLKLGFPADRLIDREYLK SDKAKFGRVDLPDVNYFGMVEAPLEDYIRDAFASAEKKKERVFLTHITSTSHHPFGMP RNETYVPLSKTGHDDLSHYLNAIGYDDRWLAKIVGMLDEHNVANETLLVVLGDHGLSM PENDIVSAYYNPNVGSNHVPLVISHPHLPAMSVDEPVIASQILPTILDVLVETKSLGA AHKRALRDLMANYEGQSLLRKQEKAGPDGRGNWQFTILNPGRAMLSVRDARQPSLRLI VPVIDNIEWQLTNVSSDPKEQHPIAGFNFASFLEKIEDSHGVETAEWAEEAAFVSRWW VEENSKRWRYGPWSE UV8b_07631 MKFFLPSVALAALAAASPTGSDGCKPATYRCDHHTQTGQPGWDV CNTSGQWVFAGYCPPKTICKFDRQNGSPYCVPPGFQIP UV8b_07632 MSGLPCPSQRATALCTSSTSRAFSAAREMPLIMNADVARLDGLA PRACELCGSREGLLRCSACQMVYYCGRDCQAADRDDHRTPCGAVKKARRLYQAEETAL RQRPPAMLEPGRYFEDHVGDFWSYVETRPYMRARFHMVDAMLTSYGTAGGPADLVETA LDHLLDMMRLCSGDNLGVRHLVPALYIRLGRDQEAHDFVMGNAPDEGRPTYGLVSGAP PMLSVRDADALEDPDLRWPDGVWLDLSACAALLLIKVRVLLDLRAVQNAGIALRGAVP REIEDMVRGQLVGGGIVGSRRDMLLAGPDETARLLDAVKRQVASLHAAVDAYNAYFWD LLIHDPDAGILRRPMRYAARSQEEALQMLGYHYAAWYETPGAMDVLRGLKGEDCDAAR LNNGRVSPSCVF UV8b_07633 MAPSYMRKLTLADVDLCAALEASAFPPSEAATREQIEYRLSVCP DICHGLFVREPAASGETLIAHALATRSDGSLIREHDMAAPPGWKAAAAADGGHQPEGA TLALHSLAVTPAHQRRGVGRSLATRYLALMARVPWVRRVAILTHESLVPFYQELGFDD RGRSACAHGGVAWNDMVLVY UV8b_07634 MPKDDHGPLANEEKAHSQPTLQSSSHVMSKQVVSCEPHPDRMTR NGLNIESFKKAHYGKGLVELERPMRARHLNMIAIGGSIGAGFFVGSGNALAKGGPGSL FVDFLIIGIMMFNVVHALGELAVLFPVSGGFYTYSARFIDPSWGFAMGWNYVLQWASV LPLELTVCGITIQYWNPDISVGVWIAVFIAVIIIINIFGALGYAEEEFWASAFKLIAI VIFMIIALVLVCGGGPKGYRYDHYWGARYWHDPGAFKNGFKGFCAVFVTAAFSFSGTE LVGLAAAESRNPAKSMPSAIKQVFWRITLFYILGLFFVGLLIDDRDDRLLQTGSYNND PKASPFVLVGQYAGLKGFDHFMNFTILVSVLSIGVSGIYGGSRTLTALAQQGYAPKIF TYIDKSGRPLFSVLALILFGCIAFVSLSASGPVVFSWLQAISGLAVLFTWGSVCLAHI RFRKAWAYHGHTLDEIPFRAAGGVWGSWLGLFLCVLVLMAQFYSAITVLPPNTGLATA ESFFQQYLAAPVILCFWVVGYIWKRQGWVRTSQMDVDTGRREVDWDEIKAYRARVAAY PAWRRILHEFC UV8b_07635 MNPHRDPTANVPPAQPPPKGDLAPAGQADSRAPVFHAETHPPGT APKDSTFLPQPVGEFPVRDFDASHDVPVGGENFFPGATSADVHRGLGHPGQGMTSREL HGGRERDVGMSMEDSIGSRKLDVGRGRGKASADYPTAEERVPDSA UV8b_07636 MAGSARFLCILLVLLAVAGTVSAFGAGQVPADSEFKEFVWRHGD IAEVLRFLPFSFVTRESFTKLQRRQIYFGNWLRDFSQVVDTTCLENMPEPILRAIVSV LAMMEFGFATDEFDVTRDRLGCYSHVEHIDNPRGYADNAKQIDERLRGPVDPRELQLD RRTGMKNYIANSGHGWDTSADYIRRQLGKCIELGRRGRRGHHGAQKESFIHLGAALHT LEDFAAHSNFVELCLHELGERDVFAYVGDRCRVRTPRSHFRRGRLVAPLVTGTFGMLD IFHSLLGEADDMAILQSRGTLGDLEEKLGYGSVAFDQLFQAIKSAIAAVQKFSSQNTA LLEQLEVVDMILQQAKDDGGSPSTPEGDDAAAETGGVGGGGGKVVNASILWQAIEPVF YIHDRIKKWLTEDAETNDPSDPGNTSTQLGETTNQFVFQLVGYIIESSVKELRNALKA AKVRVDQEAAQSESAAVYEDGSKASDPSHSDLSKDHFSNVLNPPAGLVATVTTNWTTQ QVVRCWDDESVSAHDTIERVLAILHHPAFPRPRSDIQKYMFGAVREWWGDLPDGDKDA LRRKLARESVRERGHEDHGITVQDVRGKRKGPGEFPGSRMDVRPPRRKRTSLLKWAVG GAARDLAWVARPVVRCVAVPGGMLVDAVWRVGGVVLRTPGRVRRLAGRWWPFPKRN UV8b_07637 MPQPFPHVPPPGVWVPAVTCFTPDDELDLAAQTKYFAHLSRSRL AGLVIGGTNSEAMLLTRRERAQLVAAARAAAGPALPIMAGVGAHSTKQTLLLARDAAA AGANYLLVLPPAYPGRAATTPAVVQRFYAHLASRAPLPVVIYNFPGVCNGVDLDSDAI TEIVRRSAAASPAGVSNVVGVKLTCGSVGKITRLAAQHRPGDFAVFGGQADFLVGGLA AGSAGCVAAFANVFPRLTAEIYRLWGEGRADEALRLQRVAALAERPCKAGLASTKYAV AVYSAALAGIERAEELFRPRHPYDEAGDAAKAAVRELMAEAARLEEELQGLGGSSSAA LGGGEGRD UV8b_07638 MPRGQRSKARQTQNHQGNRHHGPFFPASDNQTTPRPSTRPRSLA PVGRNTDMAVGPKNPDATLQPVIRVPRRATSVCGVRRAACGVRLLRQVGRARARAGGN LRQLGRSAAARPTLWKRPRFPGGQPRQPLVAARSVQNVTPRRGHHLLRGQHRDASSSA ESRLWC UV8b_07639 MSAITEKWTEPIAIVGMSCRLSGDVMSPDDLWTLLSRSRDGWMP VPSDRFSADAFHHPNPQKAGCFNVQGGYYMRQDLSQFDAPFFNITKQEAMAMDPQQRQ LLECTYHALENAGMSLRSIAGSKMGVFVGGKASDYRVSTLRDLNQVPMFDATGNSPSL QAGRISYFFDLRGPCFSVDTACSSSLIALHAAVQSIRNGESDSAIVAGANLHLQPDEM VAMSMLGILNEHGKTYSFDHRAKSGFARGEGVACLVLKAASQALKHQDSVRSLIVGTG TNQDGKTVGISAPNGEAQEQLIREIYARSGISTDDVGFIEAHGTGTKVGDPIEAHAIH RVFGGGRSKRLPLYMGSVKSNVGHLENVSGIVSVIKAALMLEKRLVLPNINFERANEA IPLDEWKIEIPTVLRPWPSGKRFVSVNNFGFGGSNAHAVLEGLPFSRTRHLPEKAELR VTRSKLIVLSAFDETAANRVASQLGVYIEQHPEVFEKRILGDIAYTLGQRRSHLPLRM AFAVSSCSDLAAMLNGCQTAPRRAFKTPKIAFVYTGQGAQWPEMGKQLLESHSAFSDA VHSAAAHLVSIGADFDLVEELRKDEATSRVNQAHISQPICTAVQIGLTNLFSSWGVKP AAVVGHSSGEIGAAYAVGAISMEHAIETAYFRGKAVSRMKSRFEGVRGAMLAVGEGMV EVKRIVKAMQLHQIQVACENSPRSVTISGDEQEVDALRAELDAKSVFNRKLRVEVAYH SPHMMLVADDYMTSIKDTTAQHTEGASFYSSLVGKRLHNHAALGPSYWVDNLTQPVLF STALKELYIQEKPNIILEVGPHAALEGPVKQTLTGINDAAASAVKYVPTLVRNQDDTL AALQSAGNLFVNGCELDFGAVNQESLFGQSPALIKDFEPYPFTQHKYWFESRIAKQHR LKPFPRHDLLGLLEDVSSDIEPSWVNTLTLDDVPWLKDHRMQSLTTFPFAGYLCMAVE AAFQRAQLRGIQSDQIAGFRFREVQVSKALIMDDGSDYETHMTLKSYAEGTRSYSNDW DEFRISSWIPSRGWLEHCRGLVGVTKPQGENPVHSSSSQQTKARRSKMAAMVDGHLDL NTFYAELCDLGASYNSVFTMSPASSLRAEGNYSAANVFVPDTAELMPFAHEKDSILPT AFMDLFFQLTFAILGAGKGRMSSLHVPSAIKKVTIQRTVPRKPGTGVQSIAYTSQDLS APGPVDFVVEAWSEGLAEPVVELEGLRMTAVHGDSPEAAGPHSLCYSIQWEPASTSSK VANSRTDKDAERLSNGNGHGLAHGNGRVEGSGANSASNGTNGGPVVGSNGRHNGHSQA EANSNAVGHATAQSDGHVQQPGYPGGPMIELITGNTLDEELLAALANLVDLKTGQKPP VSTFMNIEPSISKQYICLVELEEPLLTQMNKEKFDKLKALLISSNSLLWVTSGACRYA EMPQKNISLGLLRTVRSEMNKPAASIDLDPQSDLSAVERAGLILEALKYSLETPTGGG PVDYEFAEQAGKLVVPRVFEHEGMNLAVFRDTQASQPYPQSWEQANRRLKIKVGTLGA LDSLYWDEDEAKPLGNDEIELKVVATGMNFKDVMVAMGQVTSPHLGVECSGVVARTGA GVSSLKPGDRVCAVSFGAYSTFARCPATSAAVIPAGMNFEIAASIPVIYSTAYYGMLE LARTGPGEKVLIHAASGGVGQAAMQLAQMIGAEVFATVGSFEKKQFIMTTYGVAEDRI FYSRDASFGACVKEATGGAGVDVVINSLAGDLLRESWECLAPFGRFVEIGKRDITSNT RLEMAIFDHNCSFHSVDLALVAEKRPRLMGRILTAVMKLISSHVVKPVSPINVVGISD VESALRKLQSGKTTGKVVVKHNPADQVKAVHPAEKPSSLHKNASYIIVGGTGGLGRAI SRRLVSRGAGHIVLLSRTGSMTAELAELAKDGERLGGEIHVRRCDVGCEQDVQNLLAD MKKTLPPVRGVIHAAMVLRDMLFESMTFDDYDAVVRCKVSGAWNLHKALEDTQLDFFV VLSSVAGIVGNRGQAAYAAANTFLDAFASHRRSKDMKGTSLNLTAVTDVGYLAEKAAR QEKVLKTLSGATMTGDEVLALIDAAIDGRVSATCNGQCITGLSFSDPSNLPFYAADGK FRHLSEAALAQRLDAAGPHHAADVPMAEKIRQAPDLETAQEIATGGLRDKLGSILMIP AEVMETQQASTSVMAFGLDSLNAIELRNWIGKELQAHLQVLELLTVGGLSDLAGLALR KSRIKGAWAAG UV8b_07640 MVTIPGPISEANRVRETFRYESALIAGTVPNDPEQLIPSCQLRS SSDASLTSFAQLAVLRLGVSRALVSLFDGSNQYVVAEATQDLSLRPRDVASGFPLWLS GTWIPRSLGICDHVLCCSLSPPSVTENELAVLVVDDLSQDARFRDQPILRHEWPRNRF YAGVAIRSRSGINIGVLSVFDDEPRNGLDSSGLKTMRELSAVIMNHFESKRTSAAKRL SEHMIRGIGNFVDGSTTLTRRKTRRGSAFKNGRVATRVEVEASNEDGVHGAPTLTSSA VIGLAPPDTAHLAAYAATGESASQIDSTTASPDVDEKQDPFSEAVQPGIHYPSNHETE EEATSVRIKEDEYTCKARKIFDKASKIIRESMEIDGVVFLETPLSSFSDRDHANWIKH GKESSSSSSSDEKILSFMSMSKDGAPDPAADATRPLASNIAKVSDAPPPFRIPDKFLR KLLRRYPGGNIFNFDEYGSVQSSDSSSEETTSADADGTGGGDRVRKTTSSPRSRMKHS EQEVLMRMLPGARCIAFVPVWDPQEQRWFAGAFAYTKTHTRIFTARGDLSYLVAFGAV AMAEVSRLKATLADKSKMDMLGSLSHELRSPLHGVVFGSELLHETSLDSFQKDVLHTV EICGRTLLDTVNHLLDWTKINNLSTKKAARRAVVGGRDAETNASQDGAEPSKIAANLI SLTGHVPIDMLVEEVVESVFVGHTYQKLTVARSAKASPPNDDRGALRRFDAMQVAMDE PETACSASNNVAMSLYIEPAASWTFYTQAGALRRVVMNLVGNSLKYTEKGFIAVRISQ SPWPSPPNSEQARTGRDVRNVCIMVSDSGQGMSEDYLHNRLFVPFSQENRMSPGVGLG LSFVKRITSALGGHIQVQSRVGHGTTITVSLPLETTSASLPPVPGGQHTLEGDIEQGA DSFAPEMAKLKGLRVRFLGFKSAASATAAATAKPARRFPAMGSQHDVGSTLTATCRKW LQMHVLECQENMGATVDVILCSQDHADRFLANATRPLIPTPVVIVCRNALLVREMKAK WAEASKDQKFLVNQLLEFISEPVGPRKLAKVLASSLERRQAMVSVSMPPELTPPAEPE PDRYLAALADGGSTSGGIASERCESPWPDPEDEQRADGSREGHAMSSKFLIVDDNPIN IKILSSCMDKMGLEHDVARDGKEAVEAFKRNAGAYRCIFMDITMPVMNGYEATSLIRQ QEREAELPACVIIALTGLASVQAQQEAAASGIDLFLTKPAKIRELKHILALKGLL UV8b_07641 MALMWGLLRHFCVYTVHSKLGAGRRWQGCIRRVSIMLADQPDAD DMGTFVPSVQYVYGLHGCLWLSVSTGPQARRPTVQQVSSARLSCGAGP UV8b_07642 MKTCSLAALAAFAASALAAPLADDASLAANRAKRTMPSSYCTIG AINQCADIVNPYLSSCPDCIRSLGGECSTWSFEYINNTWC UV8b_07643 MKTCFLAVLAGFAVAALAAPLDDASVAVGKRQAEATDNCNSGEL NECANVDDPYVPSCPECVRSLGGECATWSFDYINNTWC UV8b_07644 MLHVNIVGAGIAGLSAAISLRRAGHRVHVYEKSSMNNEVGAAIF VPPNAARFLLAWGLDPVRWQWVHSRRMQFSDPFTLETLPAISDEDTATSIGGVPLWFS HRVDLHNALKWLATKPDGPGVPATLHLGSPVEAYNPSKPSITLKGGREVECDVVIGAD GIHSLATEAVLGRKNEPVSPANANVCYRFLIPTERLERDAETRFFTKDIAGWSRVFAH NEMKRRLIVYPCRGNTILNFVGMLWEAESPYSERENWHATVDVAQVLDKFAGYDPRLL NVISKATDVKRWPLLYRHPLPTWNKDLLTLAGDAAHPMLPHQGQGGAQGLEDGLALGI ILCGAETPQDIRERLDIYYSTRHRRTSAIQILSNVGADQTSLVSDELRQFMSEDEIPT DFLSIARYSFGFDVVRTTMDAMKKYDPAFRLPDDFFDSPVIGVPGRGASH UV8b_07645 MSGITSQNERGQGVSHATGESKVPSSVQDKVPKGVEESLPDSVH PTGSNPGQSTNQTHAKDGGAASIVPQKLQEKLPESVERAVPNVLHDTGDKGGLHRNK UV8b_07646 MPSPDPFRFPSRRSVVHSTAGIVACTQPLAAKCGLDVLRAGGNA ADAAVAVAAGLNVTEPCSTGIGGDMFLLFWDAAARQVRAVNGSGRAGRRCTLDAVRSA LKLPGGGGGDDDGDAQIPLPSALAVTVPGAAAGWVDAVERFGSGKVDMRRVLAPAVEL GEKGFPVSEGAAYLWQASEARIRAASPNFSEMLKDDPRAEDGVRAPRAGEIMRNPTLA RTFRALGEQGKQGFYTGRVAEELVEVVQSLGGLLEMDDLAHHLHVGSETVEPVSLKFQ GQGAGPDGGVELWEHPPNGQGIVALMALGIIQELEKQGRIPAFAPRDFNSAPYLHAII EALRLAFADATWFVADPDVAEVPVRGLLSQEYLARRAELFDPGRAGRAREHGDPPFVS PALSSSDTVYFTVTDAQGNAASFINSNYAGFGTGIIPRGCGFTLQNRGANFSLDARHP NRIEPRKRPYHTIIPGMVTNLRDGSLHSSFGVMGGFMQPQGHVQVLLGQVVGRLDPQQ ALDAPRICIGGGIPDKGNGVDWTVNVEDGMPRETIEGLMRLGHKVNVVEGRERGLFGK GQIVRYAVDPVEGTAVWSAGSDMRADGAAYPL UV8b_07647 MFSRTVASTLRRAARPAISPLRSFQTRLLSSETRAAIDKAVASA PVVLFMKGTPETPQCGFSATVIKILGMQGVNPAKFAAFNVLEDPELREGIKEYSDWPT IPQLYVDKEFVGGCDILRTMHTNGELAKVFEDKDVVVLEDA UV8b_07648 MLHVSRTGPDPQADFPPSAAGNVSLARSDGKKHLLLAVSGSVAT IKIVSIIGGLAHHDNLSIRLILTASAEHFLAGQADEQPTLAQVRRLPNVDGLYTDAAE WTRPWTRGAPILHIELRRWADLLMIVPLSANTMAKMVAGICDNLLLSVVRAWDHDGSI DGVRKRIVVAVAMNTAMWRNPLTARHIKTLEDDWGGDDGWVQVLRPVSKTLACNDVGE GAMVSWQEIVSEAEKKLGLEKTAPSAGGVKV UV8b_07649 MSAIRDPSPKALSSSTAAEKSTSLRARLRSEDLVHHHHAAGPRA DGQSRRDIYRQLQANFSAVLQAEPSRPGSTNAQMAHIAESFARYGQDVYNTAVEAVQS AHKATSSEIAGFQARVSSTLGRAHALYDNIVYPLSATVCHSESLPRASIAKHIRTLQK RLSAAEDELEKLNEEWKACIAEEVRILTCKRNDGDDQAECLKEKYMREVDDIVAKKTS EINELDREYRDLLWAESKKMMQAMMAD UV8b_07650 MAPSAVPQQDVDLTAAAIQRKEAAAPHVPSAPGAVPAPLDASRL TYALTASPRPVPDHAAANAGDETVATDHMVTAAWTAAAGWAAPALHPYGPLALMPTAS CLHYATECFEGLKVFRGHDGRLRLFRPDRNCARMLLSAGRISLPLFDPPELQRLLVAL LAVDGPRWLPRDRPGRFLYLRPTLLGTQPQLGVQAPRQALLYVIATFMPDMDAPPGGM RLHTSPEDMVRAWVGGFGYAKVGANYGPSLMATQDARRRGYHQVLWLYGPQGECTEAG ASNFFVVWKRRGDGRPELVTAPLDDKLILDGVTRRSCLDIVRERMAGELQVTERKYTI DELLEADAEGRILEAFAAGTAYFVCPVSQIHHRGKDINIPMGPQGQPGPVTAKVKGWL SDIMYGREQHHWGVVIPEKEPAN UV8b_07651 MPCNASSNFPSLAPLALSGSAPRASRKNSPPRRSSHSADAQHAR PALAARAAGPPPAPDGSSSATRSSFVSAAPAPHERAPTWESVRPRTQPENLAVAQGRV GAGPHRNNLAGPRDCEGGLRNLRCVPLAQHGDARPAFSRLTSLGLLGSTVAVVRPLSP GWRVSAGASYGVPTVQQLPTSRHDRAPLCPPWIAASTNNPPKTAGSMSLTLGADVPCL AAATSFHRPLFL UV8b_07652 MNPATKEGLQGEAAPELLEGRIWVDGCWDFFHHGHAGAMVQARQ LGDELYVGVHSDEEILANKGPTVMSLGERLAASDACRWVTKSVGHAPYVTELDYISHY GCKYVVHGDDITSDSDGNDCYRFVKQAGRFKVVKRSPGISTTDLVGRMLLCTKTHFIH SLQKTLAGLEGYGSPDERKAQGQAMLERLKLYATDETAKAPGADVWFWNASTQAKAED TEEERGTFEKMLTAGGPKPGQRIVYVDGGFDLFCSGHIEFLRKVAVEEEELARKAGWY SEESKRRRTSDGGKDYGPAYVVVGIHDDEVINQWKGVNYPIMNIYERGLCVLQCKYIH AVLFGAPFTPTKAYLKSLPWGIPDAIYHGPTAFIPLTYDPYTAPKEMGIYREIGKHAF SEVNAGEIVQRIMKSRDKYEARQRAKGAKAEAEAAARRREILEEEQRNRESERNGRST UV8b_07653 MKAALLTLSLALPAPTAGLWHRARGRDIRYTSVGGYFLQDDPST NAAGFDYAQHNFGLMDRRYATDETFDPHGTKSQWQRFEKWLHHLNHNNCGKSRDTRFK VLFFGRHGQGYHNVAESFYGTPAWNCYWAELEGNGTISWADALLTPDGFAEAQKARAF YETLREQQRMPHFETYYSSPLRRCIQTANATFATLQTPEQHPFAPTIKEGFREDISIH TCDRRSKRSELETLVPWWRFEAGFAEDDELWEGDRGRGESPEHQLARSKAVLDDVFTH DDATWISVTSHSGQIAALLKALNHRLFSLSTGQIIPVLVEAELIPPQPRPSYPAFTPE ATCNEPPVTSLARQGCVCPSSPTSSAPSPRVYM UV8b_07654 MMQKATPMPPATPAFPLFSVGIFSKPSSLSRPATRDGSVDPLHP HPPPHAAPPPIPSARDARKPSFSRKPSQSGAAARRRGSSVGSSAAASSAVVTDAAAPP ALPDYALPAAARAKGQQRRARELGSLDDPLRSPVSPMSGGGGGGFFFATASTAAAAGA ATGPGAGGPPTASAGVSSVSAPGAAAWQHGEASAIHQQITEVAGKRISTLDYLRKAHE GRVYWFNTYLFERADLARMPCFDPRKLARKATNYLLLGLSIPTINDLYSSTPIEFLRC LNSLLSEFDSFQQLHGDSSASLARARLPTMFRRPGSKARRSTSSATDVHLDESHALPP AAGTPSVMNFAASESDLLPGEEYTYLLTPALPFEPDFFETFATLCDVLIDCYSRFLAL IPTPRECSAPVAEMFTKADARLRKIIVQGVVKEFEEHSRAHIRAEVASIGKVVLGGLM UV8b_07655 MLPAPWICRSCTRALQRPFKHRPSKLPLARFASRGRPTPQDLPA TTSTLAPALVRRAQVLSQEYQLLQQSLATSFDPSRAKRAAELRRVAAALSSWQSTLSA ISEIKGIMDDSRIGRELASLSMRELELEAANLAVAEKHLSACLTPQHPFAALPCMIEF RPGPGGLEGRYFADTLFKMYKNLCARRGFRAEVLKYEMADAAGDQSSPAGEMPLQEAI LEVRDPGAYNVFRSEAGMHRVQRIPSTESKGRVHTSAVAVWVLPSFPESESAGETSDD DPESDFYLDPKDVRVETMRARGAGGQHVNKTESAIRMTHVPSGTTVSMQDHRSQQRNR EDAWKLLRSRVASQRAEQREAEASRLRNSVLSQAQITRGDKIRTYNYNQDRCTEHRAG VDVHNLPSVLEGGETLDRVMDAAREWLVNKELEGVLAEEEAKLGQ UV8b_07656 MPLTLYIGNKRYSSWSMRPWVLLKALDVPFEERRQLFQPGARQP GFLRFSPSGKVPCLYDSDVAGGAAIWDSLSICEHVAEKHAAAAWPRDPAARAFARSAA AEMHAGFAAIRDECSMNVGLRIQLGPPSEALARDLDRLTALFEEGLERFGGPYLAGGT FTAADAFFAPVASRCVTYGVRLAGRAQEYLDHLFAHAAVQAWVAEGIAETEREPFHED DCVRGRTVLEDLGAEGGVADVTM UV8b_07657 MAGRSIRQIMHDITPYLALLVFVATLGSLQFGYHLAELNAPQDV ITCRKKSVSTLARVAAGLREAVSRSASASRSAAPGFLGECIPMSEAAFATVSSMFMVG GLAGAVAAGPLASKRGRLPAMKHTAALYVLGAAVEAMSSSVGVICLGRLLAGAAAGAS TVIVPLYISEISPPNERGLFGAMTQVSINIGILLTQTLGYFLSYGKAWRWIFTVAGGI AAAQFIGLLFVPESPAWLAAHGDPTGAARVLQRIRPKTANLRDETSQWDQDGATSSRS AEEEGLLSHTDEAASPPSTVARAPTRHMGFLEVARDVTTRPAIIAVVGIMVTQQFCGI NSIIMYSVSLLADLLPVSSALLTIIISGINLGMTIACAPLPDRLGRKSCLLLSIIGQG SSSLALALSIIFGAKILSAIAVLFFVAFFAVGLGPVPFIMASELVGQEAVGATQSWCL AANYIATFIVAQFFPIINTALNHALGKAGWVYFLFAGFATLGATFVSARVPETKGRRD VDEIWGRTRRLD UV8b_07658 MEQLQPQHKPVKWKVLSKKQEYRYKNWRLEAMRYGRSRRPNLFF SLSLVAFVLFCLRYMGYPPFRRQTVFEPSNNFEPGSVPKDGVELVVASIKEENMTWLN DYLPYWKKSIYIANDPTAELTVPKNKGKEAMVYLTYIIDRYDSLPGNIIFHHGGRFQW HTDDPHYDGLPQLRDFRLQHLKKVGYANLRCSWLLGCPAEISPEVNDDPDPNTPAHAQ HVYKKAFMELFPGKQVPEVVAVPCCAEFAVRRELIRKRPRKDWIRYREWLMNTELDDK LSGRVMEYSWHMMLGRQPVHCPDVKKCYCEMYGKCNLTCGRNACVDARLKVPSSSGSR AFWELTPLCGMICEGAVPVKYQERRFSRMAH UV8b_07659 MTEACALSSLVSRISSEKQWKFQTPHQRHKGPFKSVAKQPVLPS EKINFRFRTRLIYATATKASFDFPNVLHLQICPSIIDRMIDRLLDLLLTSWRDRVGRY FPEWNLPPCLILKMCKKNWDKEFEVEKSTYKALEIIQGTRIPNFYGELKYNGKKAILI SDIGGVCLGDPAGAVLEIPDFLDLMKEALTDLGRFHIQPDDIKLNNFRLVNGRIMVID FEMVLDKFDTNEDCAREILGLTYWLGRQYEGRQSCHLADGLITQVK UV8b_07660 MAEACKLSSIVCRLASEKEWIDCLPSQRHEGPFESVAKQRLLPS ETINFRFRTRLIYATATINYDYPNVLRLQVQSSIIDRIMDRLLGHLPTSWRDRVSRFF PEWSLPSRLVLKVCKENWDEEFKIEKSTYKALESLQGSRIPNFYGELKYNGKKAILIS DIGGVCLSTPEGALLKLSDVLNLVKDALIDLFRFGIEPCDIKLDNFRLVDGRIMPIDF EMVDHNRQTDEKRAEAVLDSAYFLGDQYEKQRSCYLGDGLIKQVK UV8b_07661 MSDFIVYLLQSILIIFRWMAPQEEAYRDACRPRLAPWIPASLST FDSPPLLEQIITALERHLYLTLLVFSSLSWVNSFYSYQFSSDLAMTSTVGALLLQHPT NPVEETGQSSSTNKAWAQSYHPIKNYIVHTISREGVWYADYDTAFFPLYHDDEVRLQL PANPPNERAWRLETESDAELWFHTEISNIVLAGWSRYPTIVQNSHIKALSGRNNPEEV DATYAYRSEVEQVPLVIGEMKRRMITTRVWQKGETLSGPQQRLSRELRGYAHKYHCPQ VFCFDGQVLLLLQFCATTRREIADENCNVDCWVIPRGCGIPLRMAFYRLLVQGLRRFQ GMRAVAEFSCSLRQFYNGRPLWDVPGTRQYTSTPPYDYRRSVDGATGCLIWVNSEGTD FFAESTAIWTLDS UV8b_07662 MVARSTSSTAVASACSGHAENNPRPASSETKTLTPGEKPASPYP AAAQDDNNDYPTAWRLVLISVALCLSVFCMALDNTIIATAIPRITDQFNAIEDVGWYG SAYLLTTCAVQLIFGKLYTFYSVKWVYLFAILLFEVGSLVCGATPNSIGLILGRAIAG LGAAGIFSGALLIIQRSVPLRQRPIYMGVIGSMYGISSVAGPLMGGAFTDHVSWRWCF YINLPFGAVTVAFIILFLQLPKPPFAKKHLTLKEQVLSFDLLGTSMFIPSIVCLLLAL QWGGTKYAWKDGRIIALFVVFGVLMVGFLAVQAWLQEGATVPPRVFFNRTVWTCAFFS ACLGASFFIMIYYLPLWFQAVQGATAIQSGTKNLPLLLSLVIISLVSGGLVSTFGYYA PFMLMSTILMSVGAGMLSTFTVDASPAHWIGYQVLFGVGVGMGMQQTMVAVQASLSGS DVAIGSAIVIFAQTLGGALFICVAQNVFQNKLVSNIAAAHIAGLDPIKIVSIGATDIR TLVPKEFMPVVLGAYNDAVTNTFYVSAAVASLSIVGSSFVPWISVKGSKIDVAVA UV8b_07663 MPKYRPAFVIFAAERHRTFATVAVFVPCVVAGPALYGVCQAGCA AVVMACYSAAGATWGATAGITAPASVLACNAAFGKCSLACYIAAGAPTP UV8b_07664 MVASFLLSAVVFSLGSLALPRVAAQESARTFNFIISWKPHAPDG VAREMLLVNDQTPGPVIAVEQDDWVVVNVHNDSPFETSVHFHGIEMGSTPWSDGVPGV TQRAIKPGCRFVYRFQATQYGSYWYHSHFKDQLEDGLYGPIVIHARPGTPKPFSMIRK DEASIEVMEKAERRVQPLMMYDFMHITSERKWNITPQAGVELSCYDSLLFNGKGRVTC LPEREMMSHLGPAQEADLALVPGEKLTDKGCLPAIVMAAFGNSAKDLNESAMPKGIFE GCRETRGSTEVIKARGPWIAIDIIGAVNFVSGIVAIDDHDMWVYAVDGSYIEPQKVQA LALSNGDRYSVLVQTRRPGRYPIRLHANSAPQTIVGHAILHVPGVAAAHRKPRQWIDI IGNPLSKDVVFFDEATARPYPPQPIAQKADALHVLSMRLNGASYRWALNSTALTPTTL DHESPPILFDPSLTMAKHDDVTIQTRNGTWVDLVFFSAVYPMPPHPIHKHGVKMFKIG SGTGPFKWGSVEDAAREAPHLFNLVNPPWRDTFVSEPVTDAAKWTVVRYRVTHPGAWL LHCHISNHMVGGMMMVVLDGVDAWPSIPGEYLRY UV8b_07665 MKLACLMTLVAGLAAAKPIVFFIRHGEKPSGDENGLSAQGKQRA QCLRNVFAAGTSYNIGKIMAQAYKPSGKRKRPYDTVKPLADDLGLTVDVSCDRDDAKC VRKAVRKYDGPGNILICWEHKMLTELAEKLGDENAPSYPSDRFDEIWIDPHPYSRISD IVSEDCPGLDD UV8b_07666 MKTFVTAAALLPAIAFALPGAPGHLESMETNCPPAVSENLVFGV PIDVFEAARDSADPSDCNWTSDNCSWALDKPVGFNFTPSCHRHDFGYRNTKAQGRFTS EAKKKIDDNFKRDLYNYCSRFRGIRFHRGVECRRIADIYVAFVRGLGKREELGFMREG SVLEIEFDGVEADAVEAAV UV8b_07667 MASGTPTETRPATREEMRDARLPLAYRDSCAHLLIPLNKCRKAT WYAPWKCSDERHSYEKCQYVEFKKRVAKMDELRESKGGQRSN UV8b_07668 MSSRIIAWLVPSAPNSPADKASRLPANQPLATPASSPYLSSIPG LPGTARSQLALELRFDHPTKQKGTFVIGTSPECDIVLPPHARVSKRHCAISFDAGSRL VLRDFSEHGTQVWYDWECSGDQTGYSWVLSGPSDGFPATVNRIIIDIQGVRFHVVLND HSADWEGYEAQLDAFCGEDVSAAHCWRGAGGCCGHPQSPVIQHVFVNEPGEPGDVYLW DTSRPWEPMVKASA UV8b_07669 MPVAASPEPGSPKHDKKSSKEKSAKSEKKRAREEDVATADGERK HKKSKSVTADAVRRTDDTPSGISVKKEKEQGKKKQKDRKLPRQENDDAAHPDSEKKKK KKRFKEDPLPDALAEPSKVQPQSDKKDKKKKKKKHDEVDAAGHAGDTVSTQPDVDAMD VDQPPASDSSKIYHPPDIPANPQFPFFSQTVALYEPIYPVGWAHPVTHARHQHLQHLQ NKYVPSLRGVLLDYKNVSVGPEPGRAGAATDDETVTTVESKREYAVGFGWITADVELF VPSRGAWMEGSVNLQTEGHIGVVCFGRFNASIEARRLPPSWKWVSNESTEAHGFEETA SVVTADDHGVVRQIHSTGFWTDGSGGRVKGRIRFRIRNFDVGMSGDTSYLSLEGTMLD SDGEKKLVKEEARIAQVRREKKDGQKIGRRRAPDFSMTRFAEESEETTQEVAGTTQS UV8b_07670 MTPHDQYSSSVGVLGCKINTNRVAYWPGMPDCSNICVKVSYQGR SVNLLRIDQSGGAHDISYDAWNYLAFGKSAKEEPHMGGGITMQFEDVPAAECKHLLDD GKLPLQAAGSMPFLVSCLAQKDSWVAKNHQLYNIATATCTLGHDEKCSFTGNNGANQP MCPHMLNDPAKLNQAVVNIAYGTGKEVAALQ UV8b_07671 MPSNSYPELGDANQQLPSFYSPLPSPADAPTRNRPDQRLHEPLD ELSLTHSADPRATVPDANATQPPPPSLLSPAFTPPQTPGTQTPTTAAPRGVPVDSSIP NGGCGNKRPKLLQALPEVQCIVRARIPTVSGTEMFLHLYTNNVDNKEHLAIVFGKHIR SKSLDAPRQGETEMDRMIRGAYTGRLYPGRTTSGIEAAQHRPATPSSSSNSASATQPP LVRIHSECYTGETAWSARCDCGEQLDEAARLMGLPGHSSGGIIVYLRQEGRGIGLGEK LKAYNLQDLGSDTVEANLLLRHPADARSYGLATAMLLDLGQTEIKLLTNNPDKIRAVE GPNREVVVRERVAMVPLSWKGKKGFQSDEVQGYLKTKIEKMGHMLSMDGS UV8b_07672 MSPHDIRLRLTIRRHGVPEVKLVWPCARSADLSIATLVSQVNEV VPLESSDWGLEDYTVELADDGSGSYEFLHFQIVGQILKDEDHVVFVNLRYCLLLSITG DDLRRRRLSGRHQISSDGKHLVDGVAFGRPRLRAPRDRPALELPPRKRARTDQQETVE PEQPLMLEAPPGHGNENHDERVENQLGSDSEDFEPSQNATSASSASASASGDESSDYP AAECCSKWNDGPMERQFALKTAYPSLSFVAIETELLRQRQDLKKTYDALKRTITPSLN FGEMLDWMVTRQLEQSEASPVQEQPQDIFNRQPLSKKPLIEEIPSEPEAEKSSSDEAS SEDSSDEEDSSDQEDLSKKEEALSDEEEDSSDEEESSDEEESSNEEESSDEEDSSDEE DSSDEEDSSDEEDSSDMKDTSVYKDPSVEDDSSSDDNDTESDHGNISESSNDSSHGSS GSSSSDSGDEPQVESAKEAPQTRPESEPHQGLTRTQKRNARRRKKKALDALKGSNLLS RDAELLARKEALLKSLTKTTSPAKIQQPVTNGEKADEPPQGPQDAPSATPDLSSTQRS SRVDIGAGRRMVFGALGLKNPKSEADEERIKQQLMQDIRPRNKPQPVEAVDNNNNNNN NTPKESAKQEGDPDAWRCKVTYRAVECCHEGMVLSEPPFPFVQRWDPQQQYGSMRKRK RAYEEYAGNDYEQDESFQDAPATSAEEEGREDIAEAQSAEPAFNEAKDHVEDKQDLPK LPDDVSSLAVLEKGAAKEGMVITWKRIIMSKATQWQPVVAPATAEVLAGGCESSLEVR LAFRDREYKDKVLDDKGQRVYDKFEAPDLEDEDDEDEDDGCRTVLWEEMMDPRIVAAT SAWKDG UV8b_07673 MRTPHTLLRPQVLLLFLLLLLPAALLVLADQHASLQEPPFAPAV PDAGDRRAAQQQQQQQPLQPQPDETSPSSWKRGDPPAPGYTPVATPPPGQDAALASLG YKQETYYTCNSVGGREHCGWHVPIVKAQGVRRDSGTVVAVVACLAGVFALGLM UV8b_07674 MADNDSSVTLRTRKFIRNPLLGRKQMVVDILHPSRANISKEELR EKLGGMYKAQKDQVQVFGLRTQFGGGKTTGFALIYDSPEAMKKFEPTYRLVRVGMATK PERASRQQRKQRKNRQKTLRGTAKVKGAKAKKEK UV8b_07675 MNCPWRRQVERFSHQYLQLQSDLDFPQAEYLRLDEVQDELHTSL FSKDGSNVGPPVRYRLTTLKELVSRIESSIDDWEQYAVSDDIMSALCTTMAMPLPSEA VTAQAKCYVTYHLSLLDRGDHATGEHATDNRARPCTTLPTITFLENHSLVSAGGTTGL RTWEAALHMGQYLCLNPSLVAGKRVLELGAGTGYLSVLCAKHLEAAHAIVSDGSDDVI TNMPENLLLNGLQGSSRITSMHLEWGHALVAAEDKIWNRSIPVDVVLGADITYDQRAA PALVATLLQLFELYPTVKVYMAVTERNPKTFQAFLDASKREKLSVESLSFKVPPREQQ NAPFYNDQAAIQLCRVSRAQR UV8b_07676 MWEFDDDEGKAREKIYKPRRQYQELPAKPTRSLGGFGAVIGWDH PTILGSVVQFKPGITIPGYVSSPHCLYEALIVPPGSNGKDAAFGKQLRHDAVTLAWWI GVCLVDRYFTLIQSPNTQL UV8b_07677 MNKNDSHTYNFALQKYILLQEQHEELSNHLEQIRPRKSSFASTS TSSSAASSTSSSSPKRHHPFNDHKHGRTRTCYRHREMTAVDTLDTIIDEETIHEISVE EKRLFDVNESIKRALTELLNCDTVRGDNSMRMWAQARLMETEKELRSGRRRKSSPCME UV8b_07678 MSVLLETSAGDIVIDLLVEYAPKLCENFVKLCKTKYYNFSPIHS IRKNFSFQTGDPLGPLSKKSDGGSSIWGLVSGDPAQRPFHAFFHPKLKHLERGTVSMA TVPLSNDPDTRMAASQFIITLGEDTDFLDGKAAIFGKVVEGFDALEKINEAIVDDNGY PLIDIRIKHAVILDDPYPDPAGLREPSSSPPPTSEQLKTVRIADEAALHEDDGVDETE LERRRRQTEANAQALTLEMMGDLPFAEVKPPENVLFVCKLNPVTGDEDLELIFGRFGK ILSCEVIRDQKTGDSLQYAFIEYEDKASCETAYFKMQGVLIDDRRIHVDFSQSVSKLS DMWRKDTNSKRKANASRGGWGGVRELEKRRQYRAEEDRAEKNNYGMIHGEEEMQGRHA RGGRIDAREHDQSPTRGGQGRREQGRSRSPRRGDRDGGDRYSGKDVGGRSGRRDWDYR ARDRRRDDRGVDRGRNWKQDQHRGHDRDDRY UV8b_07679 MGYSICQRPLPCHQKHAVVDQGSRFRGKPDTRMCKTTTDADRMD DAGSQRKRIAVACGRCRQRKIRCSGDNGTGEACTNCRSAGVGPCQFLRVSSQEVSGVR QENFYNIAVSRKFARTSAATSALPASPPYSYNNMVSMGHSGSVNQHETPYGCVGKHYG QTGEWVNGYNGEHSTPYVSSPQGIALDEHQYMMGAYRSGGSMVARSNDMMYDEADGGY GCPSGGSPAGIGARPTGGTDAGYSFANGFPCYAAAAVDSGGERIMSHPVDRSCAAGPL GADETINRRTAQAIHGTEHPALVDLSYHGGYGNSPCNTSSMESTADMYTTAPTSDELL AHGHLRPPIPEYAYRYTADATSERGQMSESMLAMGDQQQAVQGRGCYMGGTSLGSTSP LGTGASRGRGRSAALHR UV8b_07680 MQVPLFRLQCGVNSYEWGKKGKDSAAARFAAATPREGLSIEAEK PYAELWMGTHPSNPSRHLETGRTLLELCAENQMLISSSVSEKYGAKLPFLFKVLSINK ALSIQAHPNKRLAEKLHARDARNYPDDNHKPEMAIAITPFEGLCGFRPLAEVSHFLGA IPPLRALVGEEAASELAKTAAQGDDGDEAAKKQALKRAFGSLMASSADEVSKEMAKLV GLAKSEGDRFAAGGVASTSGLVLSELVTRLHGQFGDDIGIFVLFFLNYVTLQPGEALF LVADDIHAYVSGDIVECMAASDNVVRAGLTPKFKDVGTLVDMLTYNCAPIDEQKMAPR DYPYATLNRTAYSSGSAVVLYDPPIEEFSVVRSVLYGDGAKATFEPLEGPSIVICTNG QGKISVGPTTLDVKEGYVFFVGSTAECVLESAGGPDDQFITFKAFCEVEGGKGRL UV8b_07681 MADRKKRRRELDSLKENHGTLFRRSSPHAGSVESQGNHHIRIDG GHGHGHGHGGGGGSTGSPGSKSAGMSQRNRSGRGSNRSNGNNAHGEEAQIWDSCKNQM GEIVSGINAENDNLAELVDMDKRVGSMDPNRIPGDALKQMEQLCRNGVRHSEANVGSI KAVMEQLKIMRAVIVAKEQAEGGPLAAPTGKRNARDSVAAAASAASLYDFDGAGDSPV PSPIGNSSRKYGDRLSTRDRDRDRDRDRDSNRDSIPPKAESVEPQGGSAGTNGGGSAG AGSFASAGGAASAVPTAAASALAAASAAAAVAVAANSNKSKVVFSKGDAVAFKPKAGG EGVSDWILGEVAQVLGEGKSRRYKVLDIEPDDQSKQKEYRTSASSMIPITPESQASTL KGWEAGQVVLALYPNTTTFYKAEVHSMDGQGRVDLKFEGENDSSTLQQVERRFVIEYR A UV8b_07682 MGGQLSKLLAKVFGSKEMRLLMLGLDAAGKTTILYKLKLGQDVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYYGGTQALIFVIDSSDRARIDE ARQELHRIINDREMKDSLLLVFANKQDLREAMKPQEVTEALQLAKLQDKVWYVVPSCA TTGEGLLEGLAWLSNNVKAPPAPVKK UV8b_07683 MPLQLRIGGHYHLSASSSSSLQFGSPTHRRYADAHERPGAFVPR HRVMDRYRIVGFISSGTYGRVYKAVSRVGTAPAPGTHQAAAGQVEVAIKKFKPDKEGE QVSYTGISQSAIREMSLCSELRHGNVIRLVETILEDKCIFMVFEYAEHDLLQIIHHHT QQPRHPIPPATIRSIMFQLLNGCQYLHTNWVLHRDLKPANIMVTSGGEVKIGDLGLAR RFDKPLHSLFSGDKVVVTIWYRAPELILGSYHYTPAIDLWAVGCILAELLSLRPIFKG EEAKMDSKKTVPFQRNQMQKIVDIMGLPTRARWPLLPAMPEAGQLNTLQAPPYAHHHH HHHHHHHHHHHNQHNQQQQQQQQQHQQHQHQYSPMQQQQQHHQGHHSSSATGTTTSNL EKWYYNTISNASSGASGSSPTSLGAEGFKLLAGLLEYDPQKRLTAAQALQSTFFTAGD RVHTNAFEGLKVEYPHRRVSQDDNDIRTSSLPGTKRTGLPDDGLLRATKRVKE UV8b_07684 MASTPPIVLDGGTGFLKVGYAAQNFPEHQFPSIVGRPILRSEER TDSNVVIKDIMCGDEAAAARNMLQISYPMENGIVKKWDDMQHLWDYTFFQKLKVDTNG QKILLTEPPMNPLRNREQMCEVMFDRYGFGGVYVAIQAVLALYAQGLSSGVVVDSGDG VTHIVPVYESVVLSHLTKRLDVAGRDVTRNLIKLLNRRGYALNRTADFETVRQVKEKL CYVSYDLELDKRLSEDTTVLVENYTLPDGRVIRVGSERFEAPECLFQPHLVDSESPGL GEFLFNTIQSADVDIRSSLFKAVVLSGGSSMYPGLPSRLEKELKQLWLTRALQGNPER LGKFKVRIEDPPRRRHMVFLGGAVLANIMADKESMWVTKAEWDEQGSRVLEKLGPR UV8b_07685 MATNTDLGNPANFQLLGSKDWFKWISIIEKFAVNENIWAYINPS MQDRPTLQQPVEPTASTIKPHASSILDLDDSDFTRLQYMTNVYRTALQDYKDKKKALV NI UV8b_07686 MKAALDQHFKNTAPLDRLHGFMFFEDTINIKDLDESLDWASDRE VLRRALRIADRSGQCSQLLSDESAWNNMVHTPLLELFARDMYSCTNQELLDLISCTTA NVDSAYHRFPDTASRVDYVLRFIPERDSTFHAPSDVMAPCFNWTSDRLLQQYPLAFSI ETKRYGGNTAKGEQQMGIWHAAQWEFLISRTGSMATNKLEFLPGVVVQGHIWSLVITT RSQAMTTVHCSVEFGNTSSIIGVFQVIAGLRRLRRWSLEILWPWYKENIPGLCQPSSN UV8b_07687 MLFSKFIAGIALLATSQVQALPNPAAAVQSSGDNIFDARQPLPA KFALHVFQAISSLPRTKLLFHSRIGPIPAVRFAKQNGLVTVEMGIATAIQKDKESGRN EIFAEHAFFRTSLLLPRGFTAAPSSFYVREEEPVLRKNGVHIDIKHV UV8b_07688 MRRFAIPSRLDTIRPLEGVTAEDENQIWTWNKTVPKPTNRCIHE WVHEQVESHPDHVAVSAWDGELTYRQLDDISTCLSLHLIQTGTNPGSFMPLCFEKSVW VSVSMLASLKAGAAFVFLDPTHPESRLRYIIKKTDATVLLSSISNASLSSKLIDRVIA VGPGLIPDPLDSTLRLPSVSPSSPMYVIFTSGSTGVPKGAIISHTAFATNVTFQADQF GFTPLSRIYDFTNHIFDDFIHYTMIGLITGCTLCVPEEKERNGNLMQSITSAKATILY LTPSVSRVLDPARLTTLETIQLGGEVVTVYDAQRWWRRGACRFVNGYGPAECTTNTVV NADAKSPEEATRIGKGSGSVTWIVHPDDHNKLLPIGMTGELVIEGPLVGIGYLKDAER TAAAFIQDPAWLLQGSRKQDGRRGVVYKTGDLVRYNQDGSLSYVGRKDTQVKIHGQRI ELGEVEYQVRACVPQARRAAQVVAEVISTSGGGANVLAVFISTDQHESMSRSLTVLGL SSQAEDKLAESLPGYMIPRVFFALLEMPLTATGKTDRRKLRAMAADFSTQHLADLGIH RQSGKKRMPFSEAEKAVSALWARVLKIDETTIGIDDSFFNLGGDSMTAMQISSSARSL GLDIPTGEILHRKTIGRLCQHLIM UV8b_07689 MKTSALSSALAFIGLATAQEVQSQPFNLVIKSQNKELDGRALST CHSGAAIESLCLLPGSKATFHLNTTTGEEPGPGGVSGALTWDLPAEPPIPSTMTFSID PSTNVALPLFYPGRQNVQYVSFDSNDILNIVSYLDDTKNPPNGRTPRVLDNWYICKTY FGYTYTTLAWVLGNANPQNPSCVKVDVKRRFV UV8b_07690 MLWFAKTTCNSDNAAQSVKKVDLDTSGTQAHEDGKDDSHCPLFE RGPQTSRNRYCQLVMSICRRRTYSPQIDEHTRCSEDLQSRAVLRCENFSLEKSLSVRR RNYQEVDALDQYKEGFPDENITENDARRGSCDITQQVVREKDEAGKPCEPQP UV8b_07691 MGGFHAKEDRPTPKEVYNWRLYGEAAIIATGSVLFGYDSAFVGT TIARQSFKTAFDIQKSSAAEISSNITSAFQAGAFFGAILCFLLTERVGRKWALQANVA LFLVGASLMTAATHQLSYIYAGRVLTGIACGAITATVPSYIAELSIVSIRGILTGLFE VAYQLGTLVGFWINYGINQHMDVTSTASWRVPMAVQLVPAGVLFVGGFFLHESPLWLM RKGREEEATRVLEAVRKLPREHVYLQEDLDMIRLRLADELDIANRYGAKSWALFRGML YELSRKGMRNRVALVFCAFALQNMSGAAAINYYSPTLFASIGISDVALYTGIYGLVKA IASIAFYGFLIDVWGRRNPTIVSSVMCSVCLWIVGSYVKIGHPADVIAAGQSLSPSNA AGGRASTAMIMIYSVFWSFGLNGIPWIVSAEIFPGALRNLTGTYAALIQWLTQFAITK ALPYIFKSFGYGTWFFFASWMIIAAVWSFVFLPETKGRTLDEMDEIL UV8b_07692 MEAAAPNKSQPLLSGIHVPCLTWFQNTKTQDIDWTLQKQHIRYL IESGVDGVVIAGTNGEAVTLSPREKSRLVSDTREIATSLGSPDVVITVGTTSQCTKDV IAETVLAKEAGGDYALVLTPSYFHFAMTPAAIAAFFEDVAASSPLPIIIYNFPGVAAG IDLDSEILGRLGKHKNIAGVKLTCGGIAKVARISANFLADEFVALAGQSDWLVPALSV GGVGAITGVANLYPQVCRRIIKLYHGGEVDKARLLQLKLAQMEVGFGKGGINGTKWAV AKLRGYPEGSWHCRRPYPEFCDTEKQAWILSTVESLREVEEALLKRDAA UV8b_07693 MISSHVQGTGVGTPSATRKYMRSRSGCLTCKQRKVKCNEQHPKC SHCERLNMECIWAFPRRAPVPSTSPSADQLLRLQQFATPDDSLPLAWSETLDPSFLPA DGFDSMPLMRNLPWSEYNDDLSRGPLGDSLDYAISERPNRPTEEVAGGGDSSVCSPQP HWTSRPKNKSSELDDGEFIRFFVDSLTLPLLEDVEARQKWSTVRHDVVGMARMSSMVR NAICAFSALLMARKGNVHVEDGIFYYQKASSALTSLAEPASLSYSHHREHALVVLFFL CYIDILETRMEAAHAHLKRAFNVFQGADKTTFSCTEKQFLLWIRLLDARAVSAGGEGL FLIDDNEAILTENSPACHGCNTDSPETHLDVVEDAVEDVLFQTLYHPGLVFFQKVQSF SGRISKIDPWHRSRGTVEDEIEVVNIGASIAAGLRSLYDHRPLLMDLAIAGKVTEPHL SRALSGSITRTYRTYLSNFYACRVHLHRVAYKSLPLTKEAEDALAHIRTMAHWIVDEL DPSENLPVTMLWPLLMLGAEEGDEGERLWIKEQILRMEKVAGNARLTTKVLEEVQARQ DAAKQRIDIRSVMHSVHNSCFAIV UV8b_07694 MSRLMRLLFAASVVGLGAFPSLGAAAAVNIAIEPHDSDAIKIAT IQERGYAPASDKCPSSRPEVRSGPSLSPREKAWLPKRRQQTISHIRDFLKRNAIPGFD SDKYLGGVGPDSPALPNIGIAVSGGGYRAMLTGAGAVAAFDSRSPGSTSKGNIGGLLQ SATYLSGLSGGGWLVGSIYANNFTTIQAAVNSGRIWQFGRSILKGPAGMTTSEYYALI FLEQVRKKHKEGYRRTITDYWGRMLSYQLVDAKDGGSGVSFSSIAEMPDFAAGGAPLP ILVADSRAPGQKRTTLDDAMFEINPWELGSSDSVLNGFVPLKYVGSNFDNGKIPSQEP CVTGFDNVGYVMGTSSSLFNQIVLRLRDNPAQYVPPDVPKSTVKMVVSVLRGLSFANY DIADWGPNPFRGWNRAANKAARADSLTLVDGGVDEQNVPFLPHLQPSRKVDVVFAVDS TADRNSWPDGSSPMTTYQRSLRPVSGGTGSFPVIPGRNTFVNLGLNTRPTFFGCNSAN TSTPAPLVVYLPNYPYLFRSNISTFSLTVSNTMRDALIANGWAVATQLNGARDQDWPV CVSCAMIQRSLERTKTALPSKCKECFSRYCWNGTIDEREPAKGYYPPLYGKEFVG UV8b_07695 MIDLAAVYLGVFIGVFPITMSKIIRQTRKIVLQSRTYQNAYLYM IWIEAIVNLVFTIITYLYLIKIIPGSLGFYLGTAGLWAIQTQLLSQIIANRVGLIMVN KKKVKMMKLGLAGAILCVNIAVAVIWPAAYDDHATPAQIELNNIFEKAEKSFFLVVDL GLNMTFLYMVRFRLIAQGLHKYWKLFNYNIAIVGVSTAMDAALLGMLSLPNPYLYVQF APVVYIVKLNIELTMASLIAKIVKRSVNHRQFNNHQSSRNKGRMYAKVQDPSGCVVTS SSNGNPSDTYGNDVEIQKEGNESNIPLAYFPGEAGIMRTVTTTVITEANREDRLNT UV8b_07696 MHSSCYRLALLAAAVAQSAATVVTDIPSIYRLWGELSIYADNAD NAFGVQYVGLPDGCQVETVSTLQRHAQRFPDSIDGAVTRAFARKVANLTTQSNQGQVF RGPLEFLNSYTYILNDTGLLTGIGAGTEFAAGVSFWNRYGRTLFNASAAQLQYSPVFA SNGSSRPRMTLRTTGQSRIENSQINWALGFFGPSFNSTPDPSLTLWQAPFNVTIIPEG GTENNTLASYDSCFNDNNADNGNIAASHQDAYKKIYLRAAVHRLQAYVPSGFQIEYND VYAMQMTCAYEYAFIGMSEFCSLFTAEEWAGFENVLDIQYYYLYSYGNPTGRAQGIGY LQEMLARINHEYITSSNSSVNSTLDSNPSDFPLGQQLYADFSHDDIIISVLTAMSLDY FKDPPTLHKFPPSSNNHFTLSHLTPFGANLITEIIGCAESDPKPVEQSRVAYSPSQYG YDASNSSHKFVRMRLNNGILPLATIRGGKCGNATSGRLDGLCELGNFIESQQDAYRLS NYDYVCFGNYTIVNSTAAIDYDGTFEQGKNYTAL UV8b_07697 MPGCAGNDRSASQRTCALASSIAITPPGFLARSRARRFRSAKES KPDKSKRLIVNRVRHASLDIEGAAGDPADDPENVYPLQPVGVHISRLPGPLNVRSSSI NQR UV8b_07698 MVQAYTAGWRSAWLLTLLSVGTTAKDAQLDPLQYVDLLIGSTNG GNVFPGASLPYGMAKAVADTNSGSNQGGFTLDNSPVTGFSVLHDSGTGGSPSLGNFPL FPYNGCPGDDVDNCAFPKKTRANFGKFSNESVKAEPGYFGITLQSGPQVEMTTTQHTA LFRFQFKGAGAGAKPLILQDLSDLSDSRQDNGTVKVDPQTGRITGNAVFVSSFSQGSY KPYFCTDFHGADIADSGIFVDSRASTQVHDLTISRSINGYPLPGGAFVRFANADKPVY ARVGVSFISVEQACASAEREMPNFDFSKHQRDAEAAWRQKLSPITVNTEGVDVGFVKS FYSGIYRTMINPQNYTGENPLWSDGEPYFDSFYCIWDLFRSQLPFLTILDPEAVAQMV RSLISTYEHDGWLPDCRMSLNRGYTQGGSNADVVLADVFQKGIKGGIDWTKGYQAVKK DAEVEPYDWCCRGRGGIDSWNRLGYVPVQDFDYKGFGTMTRSVSRTVEYAYNDFCIAQ MAKGLGSKAEEEKYIASSGNWRNLYKADQVSKRPNGVDTGFKGFLQPRYLNKTWGYQD PWKCTRDDNSVCSLQNSGLETFETSIWETSFFVPHDQAQLISLNGGPSSFVSRLNYLH DQSMTNIGNEPCFLTVFQYHYAGRPAESARRSHFYIPQYFSPTPAGLPGNDDSGTMGA FVAFSMMGLFPNAGQNVYLITPPYFESVKIKHPLTGKTATIRNVNFDPTYKNIYIQEA TLNGQPYTKNWIDHSFFTEGKELVLTLGGKESAWGTAAKDLPPSLSRNEGL UV8b_07699 MQQDPGRKQGSTRLMIAAHRPAKPGALWHAEFNSPALSAGALGV TEWLELNSAEFQDLRNTNERTYKCTSGVTQKTADVIAFA UV8b_07700 MKPVSALAFLAAQCHLAFSSPLESAAAECGSLGVMKVDSASLPP GVDAAAIRKCAEHPLAASPNPVSKRDCWYGKPSGCSKGYCWKSCGGTGQWCWTAFNDG YGNWIGCKNDGQCNTNQACGIGNCKSCGCSC UV8b_07701 MSWCEKPNRGALDVTSQFCGATRDQKRTHSLAMMDRKKAQRSIR LYTMNSRGPGIAGMVHIHHSVKPSVPCHHLLAKPASSCLASPKRDVATNRADHELHDG IMRKDLRNQAPFGASAYGMLRTEESLGKASVKWWENMEMTTGGNMSAQTNTTQLGDPN LADLFCGASA UV8b_07702 MSSSLAAGPNSLGTNDRPATPEFPPAEEAVLPALPRHHVAATTR LSTPKSLSKPTDTDTDIDTRDACTVSVLAAQQRVKLVKGKDGNWLPAPDARLVNNLSW VVGFMELANACDFAANVWNSIPVPLYAVVLMAIGGSVAGFLSIFAFRDAKRACYNCRY LRKQRTLLLQEKSQRQREAKFDSTMELDVVLDINTRELGTELLARWIMDLLMGCGAIL ISIGTFMAIGGADPKVFLASNLLSGYVGNTPIALFGLVNSSWAGFVFFKAQGHVTASR RLLGSCTAAALVKRRARRVQAFSVINGTATILGGAGSMVTATRWWGYLILLPVIISSI LCNMWWRRVIGYTRSEGHPNMVSEELSKALELAAAAKVRSRHDAEALKWCSEMPTRLG DMLSFLSLHSLFHQYCLEALANTELRQALGGNDCDATALEISPYDIFALPCELHPMLM DAAKKVVDSVTQDHFTNRERYLSELLGTYCSIVWKQGRLDKQDLEKA UV8b_07703 MVSHADARVARGHLPARRPGGTITPELAPAGYHDEALALSMLEY MNDFIVPDLVLLDTGANPHRFDLKYWRLLPDVLTDMIISCALTHQVIRSQARQDSFPL TVHDAGSHPIAVVRHRMISFPDPSVKTIYKHQQRTLKELSESLDEKELRFSDAILAAV VTLMRVEIQQSAFGAWPTHLHAARTIITERGGFKPLIMDQGSMMGDTLTTFMLMDILS AICIPSHLLDEQNTLSQLEYIPLLEIDFQDGKYYDFPCSNQLLESIIRTNHARLLSQG PMNDAPDLDMTCAQILGRVALFDAPLWASAQLEEFFSSRPPTSHPGTAAKREKPPPAA VINRGVIHAMCVHLAKAFQYASMLYCIRTLYMDRGKATAAELLTSQLAADLSYRERTI HVQPLHQSALNALLKALHRLWDVEMSCGTTWMGRLTFWPLWVGGMELDAGEDAAHERA FICTCLQKLCYHLGSLSPLDAVSALHAVWDAQGDGSNTWDAKLDIPGVRGVFFF UV8b_07704 MSPVANSPPTKYGAILFPGFQALDIFGPLDILNLISRDAPVELS VISASLDPVSTKDPMLKTTIGQSVLPTHTFDDAPRDLEVLIVPGGRGSRDVESTARLV DYLRHTYPRLRYLLTVCTGSAFAARAGVLDGKRATTNKICFGWVTKFGDKTEWVPKAR WIADGNVWTSSGISAGIDMMYAFVTETYGEGMARYIAAESEYIRNADPSDDPFAHLV UV8b_07705 MELVASQLGSAERAFLFFGIFLVGYAYGLESQVRSTYQPYATSS FSLHSYLSTINVLRSVIAVAAQPTAAKIADVFGRFEIVLASTICYVLGMAIESTASSV YAFCAGAVVYQVGYTCIVLLLEVLIADFSSMRARVFFSYIPALPFLVNTWISGNVTSA VLAVTSWRWGIGMWCFIYPVCSLPLLITLHSIDRRVVLPKDHASRGVGNYTWSIRAFG KSACQLSNQLDLVGLVTMVAAFSFILAPLSVIGGTTSHWRNPYAISSLVVGFALVPIF VVWEQRRARTPLIPFHLLTDRGVWAALAVRSMLNFAWYVQGNYLYTVLIVAFDFSIGT ATRILSFFSFFGVISGVIVGLVVYKLRRLKAIIVAGTLLFTSAFVVLHSFPGGTTAPS QVGVIAGQILMGLASGLFAYPTQASIQASASRDHVAILTGLYLSFYNVGSAFGTCLAG AIWSQALPPALKENLSFQSNETLARDMYNSPFSTVAGYPVGTEIRSAIVESYTSVQKL LCWAGLVLCVPMIAFSLALRNPKLSEQQVQEEAQEADEVHAR UV8b_07706 MSPLPQDPANSDKKKSPSALRSILAGSTAGAIEIAITYPAEFAK TRSQLNRRLAEGQKLPWPPFGKQWYAGCTTLIIGNSAKAGIRFVAFDHYKKLLADENG QLSGPRTVLAGFGAGVTESLLAVTPTESIKTTLIDDRKSANPRMRGFLHSVPIIARER GIRGFFQGFAPTTARQAANSAVRFGSYNFFKQMAESYTAPGEKLGAIGTFAMGGLAGL VTVIVTQPLDTIKTRMQSIEARQQYGSTIRCASMIFKQEGVLTFWSGALPRLARLVLS GGIVFTMYEKSMELFSRLDPEMKYI UV8b_07707 MASRIARRIYADAFARWPKQDLRPDYQLQDVLRAAVEERYRNPT PAMEAEETLKARALQFLVQNKYKDRFKLKGPMLEPKSQPTYFKDLVREIEEAPKRTWL ERLGKRLSGMIRLQ UV8b_07708 MPAPGRYERVHDRDDDDDSHLSIEPKSMQPIPNSPPPSFRSRAS SPTRRDAVDPDLADAFDDDASDEEADDRQRLVRRNAPPSDSSSISLRVDDGTSLAQSA PTTQSNVASSAIRGRVVGGGGGSDGVFANLSAKPERTDSEKDEMPPSYEQAAADAAPP YWETTILAPGMGGLDEVYIEGMPVGSVFSFVWNGMISTSFQLVGFLLTYLLHSTHAAK NGSRAGLGITLIQYGFYMKDSGSSSPPVMNGPDGYAAPPDPNSHDFNSGEVTDGGPVT ITGSEWMAYVLMVVGWFILIKSVAEFLKARRHEQLVLQSPDRGLGVPVVADGERPEHV V UV8b_07709 MRRSFLLNLVALYTISSMAWFCSGRTNSQLIENLWRRGLITDAR AKEAFLKVDRANYSPASPYEDSPQPIGHQATISAPHMHAMAIENVMPYLLPSETNPAP RVLDVGSGSGYLTHLFAELVGERGLVVGLEHIPALQNLGESNMRKSVEGSRLLDSGKV KFRLGDGRLGWEEPPRRGEEKLGTAWDVIHVGASAKELHPSLTEQLKAPGCMFIPIDD DERGISQSIWRISKDKDGRVVKKNLCGVRYVKLTDPPA UV8b_07710 MSRSIIINTSMPTEVELNTPLFAHECLSGGRATADDRLDRPLRD DEPLHPPHESMLRVLRSRARRHSPPVLWEDSNEEAAAAANLSLLPGAASRSSPCRKGE RVAGNSAARAGESLEDVEGLAGPGSMMPIHKNAISCALSSMAPKKP UV8b_07711 MSTKIIAACLLGAAWAVPCAPSAAPSSSLGSTAPALSARKAANS GHLTPLRAAKRTSAVAAKGTRRHQRGGRVQNPRLASHDAANVRDGVGSGVDSYTTYLG TGSVSEGWPDRSRWVSFENMFDNYKSQMLQSCGWQNPPQPNNSGAELVDIWDGIQRAA AATGVDHRFILAVVMQESHGCVRAPTTNNGVRNPGLMQCHNGRGTCNDNGHTLNPCPS GTIQEMISEGTAGTDAGDGLAGCINRSGRDDVSAFYRASRIYNSGSIASSGLLQDGIA THCYASDIANRLTGADPKGTASQKQRFAKTNHRLKPDRCDLPPGEEQT UV8b_07712 MKIPVHAEAHEPTRIVAKGRARALAKQFAYFLRGSYGVGVGVGS SGPGLDAVVRLVTGYADGSPRCDFWHAPEWPRITDGHELGNRSVCMLHSSGTTSRPKG NDYSSSAQPHPANLVDFQQTELPRRRLSLPDEHGGRSGSAGDGKPASCMKNGPAPGRP FQRRTLGHSPTAHTAGAQGNFVNAMGDGACVYWKPRFDFDDFLKHCRELENMLNVTMR LGSFFYAIILNGCGD UV8b_07713 MTPIKNVCLVGANGTVGSVIVKALVDAGTFRVSVLRRANSSSPV PAGVSEVAVSPALNLDELTQALAGQDAVIASFPLHDVSQHMRLAEAAYAADVARYIPA DFGSCDAAAPQPQHHLQLYRDKTAVRARCEALADAAGPAGKPFTWTSIVCGHFFDFGL RSGLLHFDLDKQRAQMLDGGGIRASASTLGRVAEAVVRVLQRPRVTANRAVYVQSFCA TQLEVLASLERATATKWHTEQLDSNAYLERESRKVASGDRRAVEEVVFVLGTVDADWT RKEGFAMELLGLEDENLDEVVARVVAEHKARRQA UV8b_07714 MEARSLSALNRLAANPPQYPEKPLNDRKDPLTLYFSRVPGTRDV ILSPFRPQEKNVTGQDVNSSLYYAHLMLPEDLAAPGDENTWLSSPESATLPLSIPRKP VPDAARPSTPESLPSPCPQIQHQTPAAPSDPTPSRSNLPVGHDGAPVGNRPRPQTPEA LALRTSPVVLDSPLDANEAPERISAGLQTKMPARKPLGPRAMAASAAAHVPGAGSPTD VDQVSFPGNLGMPIGEAVGSNDQIKQMPLASPTRLEGSRTQSIPIRRKPVYQTLSRSP SPRKHSIVSINGTGTPFTLVLIRRDPSSGLQWNVGRVSSRQVDPAEDGSDQAAQTDST SPPTAMPFPRRHPPIDIELENSGYAKFRFTTVNKSSRTEGSVEKALQSLARDGAKQAV GVFSRQVAMEYSKSFATNVRETMHRIGHASRTRIDRYRSDSVGSTLSNTSDVPETAES IVTSGPPPEGMKARGYTFESPWNDKCEFRTGMAGRSVICRRVRHEAGSSAQNPLASDQ GGLPGLRGDSQLVSELRFNLPGADLFSEHAKNSKEQWKGNFGKLFNSVHYGDCDDRDE DEDNGGVSPFKMNLGSEKAGGGNRGKRAKLAKLIIYDDGLQMLDLVVAANIGMWWGAW EKTF UV8b_07715 MTSASPPPGTRDSEGQIQSRLRQLAPAPKRRDAASPPESSSSSV AGQVSIACEACRKRKAKCDGGLPSCYFCQKRRLACVYREAPKVQLKKMLTELQRSSAA LDIVRAMQSRNDGDAMEIFRRIREGVDPDTILRQLRAGDLLLQLHVVPETRLRYEFPH LTQLPDHLQSFDNPYLKSQLFEWTWTDSAPGTVATSSQSSSDDRNSSQVQYLIPYRAA TLIEPTIDQLHLSQWTTVSKDDSLLRDILRAYFLFEYPYFSIFHKDVFLDDMLSGQGP SCSPLLVNAVLASGCHCLESIPDRSESWNPRNLTSRFTKEAKRLWEQDVSEETLLTKL QASVLIHALHISSGLDKIGSEYLLQAVTIAFHLELFESPDCVPDLKLQHARDFTAWCF FWWQSVVCYAYRQFPLFRNPPKSLLPDPFEKPAWYGEILVRYPLSPLLVPLQNPQTQK ARLQLGILINRACLKLFPDDSEGNTPPYNRRAVVLDLITGFESWHSALPEALGPQNIV FPSQFRVHLHYFNILSELYLVLSAEAEADHTPPQGRRPKPIGLTYAEACYETLLRLYF LRHSFAIPDIMLAQFLTIFAMTAVHHLDAIRNANAADDSHSNDTSSAMPPARDLNSLR SAIALAGKGLSDQGRSYYLAQTMSYILISRLQPEDASLVRTSAGVKQEDDLSRQMRAL YIQSQYPIETTHIMSFRGRKCMDKLVKEYAEVTIDEGDSAEAPDPNPGDGDSPIKSE UV8b_07716 MRSPALPTFAGGLARRQLSSAPRAAPVASSLVARTRGYATPNGP PPANFRTSRRVEWPWDKERTSDRLGRYFLLTEMARGMYVLMEQFFRPPYTIYYPFEKG PISPRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEERADGSRRTTRYDIDM TKCIYCGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLANGDKWEPELAAAIRA DAPYR UV8b_07717 MFRTALLRSARAASRPTLLRPSAARLAIALAPPSKMHPLLPPAR MYSAAAALSKDEVQGRIMSLLQGFDKVNDTANIKPAAHFANDLGLDSLDTVEVVMAIE EEFSIEIPDKDADAIHSVDKAVEYILSQPDAH UV8b_07718 MPEHRHVRSGSLNIPSNAASNNSASMMPRSPPNTSHVPCKFFRQ GACQAGNACPFSHDLGAASETICKYFAKGNCKFGPKCANIHILPDGRRINYGKNGVTI GTTSINLSGRPSPSPASPPLPALSIYQQSSASALTKSFYGVDQPGYSAAFSGDDRQLP SPSHHLGHQPSLDNGLITIESPYTHSNYGSPRDNNDANRLGFGLSPVNGKGLSILDAP LPASFDSNGISNAARFPAAPWPSSVPSKFGLESPTPSLNNAKDSRTSETLKLLHTSAF GPSEHLATPTASSPPNSQPAIWDEYFGKRTMHSSRGYAKPRMLSSSMPKTSSVDRDWE AEFAFDDDNLPENYVPQNLQELLTPAEKARRGSMRAEDPVVDGFPKYGSPIGTSPSRW GSLFQRQKEEEDAAKAARNAGSAFGHVGSPLRKSTLAQEMGDDCKSSGSSSRPSAGRS TSDSMSVLSQQLQRSRLDEGFSGSGSGAGSSPHLHPTPARLPSSSLAPIGRDRGMDRH VSGGSISSSLTGRFTTPIDEEDPAFVFSMEEEDDAPSARMRKRISAGLNIGGLSNYAN AVGNKGAPNSAVKESRDSVPVNRR UV8b_07719 MMQQTWDEYGRGALRALSFDLVLQEEGVGYVTSHPKFGRDLRAG IHHRTWESQAGKRKTRHLGHILAYRACQFVGNLVCPDDLLAGISRTPPSSKGVHAAQR QSPPPLSLMSTTRPRECHCNAQKSGISVGLVECRGGLSISARQRKETCNFCASRLSRC RWPSPESRMRRMGGCEALADKRVYCLSVLVAPKCSIFRPRRFLNRFDQPRYSLVGPKT GSDGFEKTGGVSIPTLPSHDGRKHPDGLPVPQAPKLMHSDSPSVRVQRRG UV8b_07720 MQIDPEAMKRGGAVAALWRRYHGHATPLARSYPGRRPPQPLFLD AHGLISVPRGVLVLAFVRAKTTVVAVVDRCSHSWESHRADSSPRLKRMNLTFRHQPES CTRRTRAGPPWPPTVQGMLGTVGDQRHITPNEGLPASESAERDGWIYSTAGLHTATHA FDQVEFPALLQPWLDTEQARQDFKTHAVTDELKLKTLGPLGPLPPPC UV8b_07721 MDERDSSTTMTATDASRTGQHPCRCSLASRADAHDQENGANRSS ACDSGKCPEAEPSGQKPTRMQKLLRAGRVEENGIRPLPVEERSERRFFNIFTIWFSIN SNILAILFGMLGPLAYNLSLRDSALVILFFNLLATTAPGILATFGPKTGMRQMVHARY SFGRYLVSVPVLLNLATLTGFNAIICVVGGQCLSAISSGSVTPTVGIIIISILSLVIS FAGFKVLHIFETYSFISALLSIIVATGVGGNGLKEQAAPSAPATVAQVLTFGMIVAGY QIPWAAIASDLTTYFDPKVPSWRVFHYTYWGLLCPTVLLMTLGAAIAGALPNNPTWQE ANDAYGIGGVLAAMLSGAGGFGKFVVVLQALSLLGNTCSSFYAITLNFQALLSALSNV PRYVFSVVITAIIIPVAVYAYRDFYANLNHFVALIAYWSASFVGIIVADHFVLRGGRM ASYDASVWNQGDKLPWGVAALASGIASFVLVVPCMSEEWYVGPIAAQTGDIGFEVAMA LSALAYVPLRMLERRVSGR UV8b_07722 MVAFAGVGSRIGSCQNDSSSYRARHVRDSSQDMLIQHHNDFLSC GLYTLPRAHQDPSSLHANPAVVTYAKNAAPSSGISPSPPATSSSSSSPSSSSSFSSTT PPRPTSPPSPQRKAPASSGSSSTGPQRKRAASSPLAHVHPDSEDAQVAIKRRRNTMAA RKYRQKRLDRVSHLEDALASVTGERDHLKLPLARREAQVEALREMLLRK UV8b_07723 MAGNNETKDKSGKNASGHSTLRAANAQETCWLFQLPSEMRLSIY DCHFRNTRITHGVSYRTTIIPAPHSLALLKTCRRVRDEIGGSWLGQVLFNFETCEEMM VKFMALPAATLSKIRHLRARIASFDVDPICTNPYYLPDLLKRLPGLQLDTLTVLNRHG IDVPAGCGRLRNIVALHGFIGCGQGWKELRFISHHSSLRDLVDEVSKDQYQRDPQPAG WIDEMNSRDGVESQPSVTVYQYARAGVTCPVISEATRVPPTQTPPEAGEAAAAAAASA AQENAPITPRKEEPKVAKDLAVVVKRGKGVDHRQRKQPPVPPPPEAGDVGMEQSPPRR WAQIRLDFFECRICAEELYSGDEDDRFLLANRLPRRVDVYKHVDEYEWVPKGREDSGS EA UV8b_07724 MTSEVEVDDAAQTLRKLDFHHPYTPYDVQEQFMKTVYDVLDKGE GQIGILESPTGTGKSLSLICASLTWLRHHKANKFEISMHNAGDAYKDEPSWLIDQLLR RKREELAHKWTDREKRLEAIRSREKALEERVRKRRRLDAASVASGKQSDVEADESEWL LDDWEDGNAASLDTLSGLSKESREVLERIGLGGPRKRQEDDDVLEEEIKIYYTSRTHS QLSQFIAELRRPAFPSSLPASVSGEKPSCAEPVKLLPLSSRQRLCINPSVARLGSVQA INDRCAELQQPKSAKKCSFVPKEELLSQSHEFRDTALATIPDIEDLHRLGKALAVCPY YASRSALPGSEIITLPYPLLLQKSARDALGIKLDGNVVIVDEAHNIMDAIANVHAAEL KLSDLRKGRGMLGVYVKRFGKKLKGANRVNVGRVGRIVDGLSEWMESAKSSKHEHGIV EPNDLTRHKGIDQINLFELIQYIQESKLAYKIEGYAAHVENDKDGSKPGTVMSSTPVL HKLVSFLASLTNLSSEGRIFYQKIGRPTTDVQLSYLLLSPTHAFSSIVSSARAVILAG GTMSPFDDYKNHLFPQVKEKKVTTLSCGHVIPRENLCVWTLAKTRPGGPTFEFSYHRR GDQDMVQELGLAILNICSVVPDGVVVFFPSYGYLDEVVAAWSRQQPGPQTIWDRLQSR KRVFRETKGCSSDQVLEEYTRAILGSVGGTEAASETGTKPNPGALLLSVVGGKMSEGI NFSDRLGRCVMVVGLPYPNMASPEWKAKMEYIETTTTASLMEQDGPALPKEDAASRAR QAARDFYENACMRAVNQSIGRAIRHRGDYAAIVLADGRYSTDRIQGKLPGWIRDGMGL GPKDAQGKAASNSLGGLMGALGAFFRDKKPQR UV8b_07725 MPTRTSKTRKLRGHVSHGHGRVGKHRKHPGGRGLAGGQHHHRTN MDKYHPGYFGKVGMRYFHKQQNHFWKPVINLDKLWTLVPSETRDAYISGSKKDTVPVL DLLPLGYSKVLGKGRLPEIPLVVRARWVSKLAEEKIKKAGGVVELVA UV8b_07726 MATAVMRRGPDDPFDIYEDTCTEETEVMAEEEVDHLENDAGIDE TDELMDQAEEEEEVDAEDQDEEEISDDERVGNSVRADMDKLGHDFPGFRGKYRLIKRI GEGTFSTVYKAEDCQYDRYDNSWDFGDDSSKWTPPPLKRHASHSSSGRSHRWKPRYVA VKKIYVTSSPARILNELELLHDLRQCPSVCPLITAFRNTDQVVAILPYFRHGDFREYF RDMTIPDIAIYLRSLFTALKSVHEHKILHRDIKPTNFLYDPATQHGVLVDFGLAEREG SDSKPCLCHEYREVRKHRQVNSVWAQTATSAQPGYPKSDTRLSRRANRAGTRGFRAPE VLFKCTEQTTAIDIWSAGVILLTILSKRFPFFNSADDLEAMIEIATIFGSKRMKAAGL LHGCVFETNIPTIGQQGFTMEKIILWSTCRTDDKPLTSDEKLAVRFLERCMELDPSRR LTAEEALQHPLLHIDQPAGQPLGDEDEVDILAA UV8b_07727 MATISFDINDALKHYMSDPTSIPTPEADSALFDCENDPESLTNP VINPILNPIVDAVAHDPDAILKAANMDSLQFLLKYPSFLPTHALSKVFDLVMSGLSAE CDYMYNDMDTADEQDSVPHHKQLLEIYGFLLQWTIAAVESKAAEKSSTAPMGRGRGKA KKSTSKEEVWDSASQLQAALEIMCKVLKQKLSKIFLTTSERDTFISLLTRPVYMILES EQRSKATSIRMHCFKVLCIAVKHHGHGYAAQINVIQNLTYFEHLSEPMAEFLHILAET YDYPQLADEVLREISNKEFNSNDTRGPKSVSAFITKISELAPRLVIKQMTMLAKQLDS ESYTLRCALIEVCGNMIAHLSQQDERSENLKSQLNAFFDVLEERFLDINPYCRCRTLQ VYMKLCELDQKFPKRRQKAAELACRSLEDKSSNVRRNAIKLLGMLIKTHPFTVMHGAQ LSRKEWQERHDKVEEELKALKPPPGMPGFGEDNANTTVDNALLDEVTQMDSPRKPKEM TEEEKTAAFKKAQEEAATGEAIEKLTLTKRYYNEALRFIDVLHDATETVCQLLGARNK SEVVEAIDYFEIGDAYNIEKNKVGIRRMLRLIWTKGNSDEGKGVQTHLIECYRRLFFE APDSFSPNDAANYIARNMISLTFGATPAELTSLEQLLATMMKGGIIPDVVISKLWQVY GVQKREISRTQRRGAIIVLGMLATASPGIAVDEIETMLRTGLGSRGRNDLQLAKYTCI ALRRINPVGRHAKESTVTFNRLANDHAVLGRLAAVAEVQSESKEWYGVAEQAINAIYA ISKHPDVLCSEIIRRKTKHVFAQSRPGSRPTSRDEGKGGPDMTMNPTMNPTMQEDKPK KSRDNAVNLSQLLFIVGHVAIKQIVHLELCELDFKRRKQEKEKQTPAKTDKDKEDVDE LDLIGGTTEDDFTEAMAHIRERELLYGPDSLLAIFGPLVSEICANNTKYADKGLQAAA TLCLAKLMCVSSEYCETNLPLLITIMERSPDATVRSNAVIALGDMAVCFNHLIDENTD FLYRRLADDDASVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDEDRRIADLARMFF TELSTKDNAVYNHFVDMFSLLSAGGRMEEESFKRIIRFLLGFVEKDKHARQLAEKLAA RLPRCETERQWNDVAFALGLLPHKNEEIAKLVSEGFRVVQAAA UV8b_07728 MHDKVVSLGSRLHYPITITKLLKSPGDSIKKQETIIEYKFTWRR RVSDDVWADETTYTEYDSPAEGKLKEWRVTEGSVINADTPCMLVEEACGHQVQIQGLC GYCGADMTGVNWASEEKDTDRAMINMTHDQTGLMVSKSVAMKAEHDTQKRLLRQRKLS LVVDLDQTIIHACIEPTIGEWQRDPSSPNHEAVKNVESFQLNDDGPRGVASGCTYYIK LRPGLHEFLEEVSRMYELHVYTMGTRAYALNIARIVDPDRKLFGNRVISRDENGSITS KSLQRLFPVSTNMVVIIDDRADVWPRNRPNLIKVVPYDFFKGIGDINSSFLPKRTDVL PAASASQSNGSPPAAKKGSGDGDSRGHSSASNGTLPDGKASPLEEIARMGGADDEASL RMQAAEQEKTLQKQLTDRPLLHMQEELDKEDQLSAQSPETGDSPANHPRQHLLNDDDE ELIALQDHLTDLHSSFYDTYDRRRRERRKSDPTIKPGHMHLHRRPSVDDGVDLSMVPD VGDILDELKSNVLSGLVIVLSGLVPLGIRVEESEVGMQAQSFGAQVLDSVSRRVTHLV VSLARPRTKKVQQAAKLPSIKIVNHKWLIDCLSQWRRLDERPYYLNITAERDRADDAA DPASEAENADPEPRDLKDFDWATADKDLEEFLGTDNEEDDGEDEEDEEGGGEVVKDKD GDGDDENEDASDNGDSELDNGTESGSEADRDVGTDSSETVGREEAGRKRKQPAQHVGG ESGADEGGDAGESVLAKKRRLSRNRGASGLRSVRTPNGDDADADADADADADADAEYQ GSHAPTPGLDHAQGAAAADAAADAAADAAPSQDKDVDADDEELERELLAELEAADG UV8b_07729 MRTARLGLTWGTQHLHGSITSPTPGRSAHRIYILPPALLQHHHP PPRVPPSSSMLLLAALLAGLASAHMQMAYPPPLRSKHNPHSAAVDYSMSSPLLADGSN FPCKGYQADLGSPAGRPTAAWSPGGTYNLTLEGTAVHAGGSCQVALSYDRGASWAVLR SFVGGCPLTPTWSFELPPDAPAGEALFAWSWFNKLGNREMYMNCAAVTVRGGGGTGTG TGTAARRSRIDARAGMAGRPALFVANVGNGCATLEGKDVAFPHPGPDVEDVSGGKAAP VGRC UV8b_07730 MESFIERGMLGVALAAIGSRENRGSREESRLFDISTRLGTLVSS NYQDPPMAEVSVNAQAGRPSVLQQDQRSNTCEKPTMPEADVLHVRRHVGKWHQATAAR SATSRCRALAMRLKRNRARRIGGVSTALSGFTAAFKETAPTGRRALTRPSVDGYYELR QFSPQHPVGHDVFQEILMEEDGARAKSGSTLILTLWQQTWNLGQSLLGVAMKETAVQE EAGRRATLWLRLLVTRPVLLSRLLI UV8b_07731 MVALRTPLCLAALLALAATGHSKRRFVIPGAGLPSPVDIGLTSK ELYSMDMPDLTEGLKKSRPRCGPSNHSYADTRDILACYHYLDRLGNRTCKATENMAVV PFCYAGSAQISGQALDSRGSFSYCSDVAMAVLYTVNNCTREDETAAGFEAANGNGNLI IASTNKKWMGS UV8b_07732 MSKTRPPENHSLFFATVLLTANNSVGSCPAATAPPSRTTSPLPS RTVSPSYPIPTGLTGVLPRTRGDKDWPSLNAALGAAYAVLVGCRGENSTSDVDGSETM RCLSEHVSRWRFCAKGVEMGARRRQQRQQQQQRHGSSCAGLVNAVSLAFDDAYFHASS SSRALAAASLHQLHIIQPKCLSPRHLGSIWQNPWTDTTTAPAPHISPLNAQTTSSNAS FVSEPCLSLLVHLPQSSARPVANGREQQGAHPTPPIIIIISISISISISISISISISI SISISISILSSPPVPPSFLIANIGEAFCHHLRAHRVNLHFVGFGTQ UV8b_07733 MNCYSRPTGSREAYQGIRTVMNPMARGVSSNVQVIHVSERNTDA DTYMELHVQTLGHFPPESDAAAESQGQQVPVLADSYALVSLREAKQQYLQYIQASLGR TLRTSLGRRSGILYQTYLRAYYTMKDDSASQETRHLLVLTFKLWMSIRLSSKPIVMIG AQDVDEHGTPQPDGRPTWTALPPNIVMQLDYVLTGQIQMELREKVLSGLDNMVYKRKQ RNWLTIYLATFILLHNLALIKADNGTCTAKCRTERCKHELAAEEYHKSANNLLAHFHF CNNGVQPFSEACPSYRLADLLDGNDDTVKFIQNCRSHVRLHRQHWDAIRRNCQYDDEY FFISQLFDDRWAPHPMESERPRGPSLAQMV UV8b_07734 MTPYKNAWFAVLTFRQHAPSAGPSTIHFIDRHHGLVLWCRECGK DFASRCQLNKHAPVKQRRREQPVPFTCQKCLPKVTLAGTPEIYPLTHGRQRGRKHVQI SACCQSLGAEDDSAESDESVAGIKFACFAAPGCKKLFSTTLKLLHHLENGRCCSHQLR RPKRPRRGIKARKHPKWEREIDSDEYDGNYEYEYDEHDAEDDERPGIDATLL UV8b_07735 MSRSVSTLSRSFSKSSQSSPPREFPSTGFELIDPSQKVEEERLP FYNRDEYYPMRIGDVVYGHYQIVAKLGYGTTSTVWLSRDLRNQTYWVMKVHINSLKYS HELAVYRHLANHTEDHPGRKNVREFYDSFKLKGPNGDHEVFVMAPLGMSLRSLQEIQK DGVFREQLVIGALPQVFLALDFLHEADVIHTDVHSDNLLIGIVDKSVFSKVEEYEMHK PSPRKVVGDTVTQVSQYMYAGQGPLILCDLGQARIGREQLGNAMPVPYRAPEVILNMP WGHSVDAWSAGLLAWDLLRGESLFKVYDLESRELNDAHHLAAITALIGPPPPELLQSS EDSRKYWHEDGEWKGPVPLPPKKDFETLVSDMVGVDKENFISFMECFIAWLPSDRLTC LQGYFHPWLKTKRAPGAGAT UV8b_07736 MKSHLALLPLSLGTALALPAVLEARFKVPFLSCYSTRFFNCLSE VTNPAVTCAWAAAFAGLDFKEDSKCVGGIMSVAESLPHDCLVCLGLDKHKKHKNKGHG HGHGNGNGNGNGK UV8b_07737 MPTTTVRPRTRTAPQAGSEKTPDLQLLRRAVPKHCFQASNTTSA LYALRDLAAVCVLSLLLSLVPHVASAPARWALWTLGSFLQGLFFTGIWIVAHECGHEA LFTARWLNDAVGFVLHSLLLVPFFSWKYTHARHHRYTNHMEKDTAFVPHQSRQALWRS RLGALLGLAEDSPVQNTLLLLGHQLLGWPMYMLAYVSAGPQSGFRPRRLPLRSHFNPK SGFFTPKEQPFVLLSDLGIGLVAAALWLAARRVGCATVLAAYVVPYLWVNHWIVAITF LHHTHHSVHHYKSETWTYTDGALSTVDRDFGFVGKHIFHGIIEFHVVHHLFPRIPFYH AEEATESLKSVLGDRYVSDPSPFLQSLWKTFATCTFVAEKTAPGVMEWVQ UV8b_07738 MAQDMPPRGGYEPIQYKRNLPAKGFRPGVLLMGAGAIMGFGWYK LIVGIREANELAREKMWARINLIPLLQAEEDRDQVRRYWADQAREKELLGENTKVYNN ERRFVRPTLAVSPPPLK UV8b_07739 MRRESPSYQYGLRIGQGQNVQQIQHRPAACPLSRHSELDDVLPP QQSPAAPIPVCWPALFLWPLLKKALDTRIQFAWGVANKEWN UV8b_07740 MEGTTAQHEQEWLHAAHTDPVFVHPIGYKHITEVVKLELLQRTF WTSLTRWVEARRKVLPSGEILGGWSRADMYGMMDWYRLCFNMTSRYEDAVAAHKDGIW RTVILTSPWWDWPEFAYLYVKTVRDANGVPQRDAAGRIRRETRDPYDDRLEDDFMDLW ERVANTRPLGKHPDGTVVLPVDRKPSAASGAGPAAMAKASAASRVARATTPQAAR UV8b_07741 MDNFPALPPGADAAERDAPPSTSAEISVSQRRVSRKLKKKRLLD PAAPLLELPDPLRHSDSDDEDSPNDPASRRGRAVSVNMNQSIFGLIAAAGSRVDFNTR FDDGSSDEEDGETPRISDGPQDLSQTVILPARSRDERRGHKKRLSGHRLLKSLSTLPT LPKRKSKKRETSQLSAPSLDSSDDGKETTSPSPTAAAHLDGQDYRLAPVMSRMLQARA EVASRPSSDLDRRSGDSPGTDDAGESSALAKRLMEIFEFDEPELVIEEYPCWLLQSVL LQGFLYITAKHICFYAYLPKKANVVAKSGYLSKSGKRNPKYHRYWFRLKSDVLSYYRD STNLYFPHGQIDLRFGISANIVDKDKDGLHFNVVTSHRTYNFRADSAPSAKEWVKSLQ RVIFRSHNDGDSVKISLPIDNIIDIEETQMMEFSETCKIRVIDNDETYAIDEYFFSFF NFGKEAINVLKILVDGASSNSRTPGKLVAEHEQRYRGLEQQQKQRHGEQAVRQTSPRP SGLSDRQELHVEEMRTTKLPEAVKATLSPMPPHSTGPSPRASMDCSSRPSADAFRGMR RRSQDVSAMMREQSPRRSFSGHRRTQSTRRVDEKKPKHGVESPDSNTHSSTEDPSFSN LAISVATEDPTASQILQGSEVFHSPTMRKSIDASSQSTDAIGKDAKGGSSESCVPGAS IPMKHAATTGHISQLGYHGKSAQQQQQQQQQQQPERSSTPTLQSISKMSAYPLQRAGA FADYLNKTGKRMSTLLATESMGYVEKVSGMWKGGRKHYGEPAGLRPDEDELEEDSDGK VQTSMDRFRAHFALPESEKLQATYFGCIIRVLPLYGKIYISDRSFCFRSLLPGTRTKL ILPLKDIETVHKEKGFRLGYSGLTIVIRGHEELFFEFSQVDVRDDCTITVLQSLETSR YLRESGILHQEELEEQQVAMAERDALKSARREEFPAHEMAPPHDAESQPNAPTILMDE TDGSFINFKPPQPMRITCLTIGSRGDVQPYIALCKGLLAEGHKPRIATHAEFQDWIES HGIEFRMVEGDPGELMRLCIENGTFTLSFLREANSAFRGWLDQLLDSAYAACEGSELL IESPSAMAGIHVAEKLGIPYFRAFTMPWTRTRAYPHAFIMPEHKMGGAYNYMTYVMFD NIFWKATAQQVNRWRNKTLGLPNTSLEKMQPNKVPFLYNFSPSVVAPPLDFSDWIRVT GYWFLDEGAEYEPPRELSDFIQRARRDGKKLVYVGFGSIIVNDPAKMTQEVIDAVLKA DVRCILSKGWSDRISPRDDDASASAAAAAAAASQPRPDEPPMPPEIFVIKAAPHDWLF GQIDAAAHHGGSGTTGASLRAGLPTIIRPFFGDQFFFASRVEDLGVGVGLRRWGANSF GRALWQATRNERMIVKARVLGEHIRKDRGVETAIQNIYRDLEYAKSLIKRKAGPHDEP DAEEDDTEESWTFVGSDEHDPDAVTKKLSEGLGRPAPGKTPPAALGSKVLKG UV8b_07742 MAARFSPMDNGSYVTGPSCTPYLDLHPPPSSILHPPSSILLHLH LHPPSRQTPKSSASAPTPASTMPLFPAYLISPQATSSLPAGYTIRPLDKDDYAKGFLQ CLQDLTWTGDQTAEQFNARYDDMDTQGKGPYYYLVIEHGGRVVGTGAVVVEKKFIWNR ASVGHVEEICIAKDHQAKGLGLAMIRALDSVARNVGCRKCLLNCDLAKSGFYVKCGYS SSGVEMQHSFDDDAPSADAIYRGVGCLLLQSWRTIARLGGAQTRKETQKALHCSPKLG SVTAFAGAAPLQELFSQHQVVERKIAAN UV8b_07743 MPVDKEPPGAMSAFERKRLENIAANRAILTDISAAAKKIIPGKP AQTPAARRSRRSEPAKREPARPTRMSSRLAGLEADNETLKRKLEVEAEHQAEAAKARK LRVADDLNLGDVAVEGKKWAAGLQGLTSIVRGAQPGVRTFTQDDVKESTDRSLKELRR RMAGLELYQRWLPNDIKITPQRIYALGFHPTEDKPVVFAGDKEGAMGVFDASQAPPEL LDDDDDDGGGGNAPRDPEVSAFKTHSRTITSFVFPPAEPNAVYTSSYDSSVRKMDLAK GTSVQVFAPSDIDTDMPISAMDMAPSDPNLLYFSTLDGGVGRYDVRAPGSQEIWTLSE QKIGGFSLHPLQPHLLATASLDRSMKIWDLRKISGKGDLRHPALLGEHESRLSVSHAS WSPAGHVATSSYDDTVKIYDFTDSASWKPGHDLSAQAMEPKHQIRHNNQTGRWVTILK PQWQKQPNDGIQKFVIANMNRFVDVFASDGGQLAQLGGDGITAVPAVAHFHPTMDWVA GGSGSGKLCLWQ UV8b_07744 MALTLLPFLYQTRTLQRAIRTRDALLPSARLAHVLRRGRHRKPD NAIPFVWDHASPYDEAEGAPARESTITPSEAEIFRSIFDEIAQGRMPSAARKQQQQQQ QQQLAAAAQGPGRASGEEALFAGVQKPRVARSIVEQARVMEFRDRILRRYPPSLRSAA HVALGLYDSEPGGEKGRGVVELDEANYSTWEERARYERARLRERERVDALMKACATDA ELWRVMDEEVFSLPAKLGIEQRQAATAGSRGRGRKDKAAAAAAAAADEAEKKHAANGA DPKKKKTTTTKKTKNAKTCTAEAGAKKKAEQEKRETNDAARKKVAVAESAADGEKPAM NVHGTLYSHFINAGLGLFDTAFARPSDYAFQILPRVKELGLPSYVLGVSTPFYARLAR IHWNRFGDANAALDLLREMSSAGLHADQDVCDLLATIRNQLHGCAWGAQGPFVMGMME APPYDGTLTHRLAEMEKHVVRAMDERAAEMEAAA UV8b_07745 MAAEATAPVTAQPAPAPAPAVAAQQPSQNKSPIDQADLDDWKNR FNDVMSRSGEVIHSKSREGAQSWFAGFFDCFDPIDTCLVAYCFPCVTFGKTHHRVVKN GNLDGYEPINTSCLLFCGAGCFGLHWVPLAMQRMNIREKYHLQGSCVEDLVLSCCCHC CTIIQSDKEAAHREALLQGGAVQQQYQPNTNQMQYPETKTG UV8b_07746 MASVTLQSRDHRGLLDIIDKLRSQGISRYVDIPQIIVCGDQSAG KSSVLEAISGMSFPAKDNLCTRFPTELILRRHATSTVKVSINPGPDRSEQDRGRLAEF KAEIHDTPDIGDVVEKAKQAMGLSDTKVFSSDILRVEVCGPTQPHLTMVDLPGLFRAG SREQSVQDAAVVRKMVRGYMESPRSIILAVVSAKSDFALQDITELAREVDPRGVRTLG LITKPDALDVGSDSEIAYLKLAQNKDVVFRLGWHVLKNRDFKTRDATSAERDEAEEAF FSKGVWTSMDPSLLGVKSLKPRLSNVLKDQILQQLPDLLQDVDAEMAACEAQLRRLGT PRGTLKEQRKYLLLVSRDFTSLMQAAIDGEYSDPFFGDAQTEAGYRKRLRARVRNTLT AFQQEMCLKGESKRIVDDASDTENKEQSSRRISRSAYIDHVNVLMSRMRARELQGTFN PLIISELFAEQCQPWSGIAEDVMGSVLQIVDDVTRAIVDHIAIEEAANGIFCIISSSL DGLKGDLHAKLQELLRPHLQGHPITYNNYVINIVQKAQAARRHGRLENVLKKVLGRDD LQAGNETSFDPYQLLKSLEEETDDDMNQHASESAIDYMQAYYKVALERFVDDVSVLAV EQCLVSKLPSLLQSDVVLDLEEEEIARLVSESQDTSLQRARCLEKLDVLQKGKEELRR LVSQRSLGLGAHSGSSQVGDQEANSQELVVPEANDGNGEEANDGNGEEANDGDEEKTI VG UV8b_07747 MASRDKAEGDSAASAGPSAGPSADQPDQPDQLASTAFNEGESDE FEFGGSLGALGLMMGFPLLMWYMWIGATYYHGKLPLRNDDQTWLQFGGHLARLVYQGA FPTAKAWAIYWAFFVLEMLMYCFMPGVLVQGRPLPHQGGQRLPYYCSAYTSFYATIAA AALLHVTDVFPLYTLVDEFGSIMTVAILSGFLNSFVVYVQAVVRGRTHRLTGHPIYDF FMGAELNPRIGILDFKMFYEVRIPWYILFLITAATACKQYEQYGYVSAEVMFLVMAHY LYANACAKGEELITSSWDMYFEKLGFMLTFWNMAGVPFSYCHCALYFANHPPSEYRWN KYALAALLVVYLFMYWMWDTSNSQKNSFRLMERQGSLVSRNTFPQLPWRTLKNPKALE TAAGDRILVDGWFGIVRKPNYVPDMFFSFSWGLITGFKSPFPWFYPVFFMTMIIHRSN RDIERCRRKYGDAWKQYEKEVPYLYIPYLI UV8b_07748 MKDAPRKRTKAFTGCWTCRARRVKCDEDTPFCRRCLQLGIECQG YGLRLNWVHLKPSTILNQDEQEVEEGHRGGKAPRRSLVNLGVSDIPRLPSPEIDSTLV QIDEWHPGGEYRVLGGFSVFAIDPWPSRPPPMERPQSGSPSLSASPELAQAMKADSGR TSRRSSGPLVDTSTAGAEAQDAVPVAETLLSLGSSSTTHGGPSTPSNALADASRSRHA SPRHLDLLRMPSGENRLIHHWLTFTSRKLVLIDEPHNPCRIMMLRMALRGLMSCSKES SANIAIFHALCASAASNLYELGGRANDRDRVLALNHEQQAIRHLRNNLAQADSHQDET FAMAIMACITADAISGTTQRWRTHVTGGLAYLSRLHARGLHEAVVGDFQKHMVSMAIL CEIPVAQHLKSFLYDESSAESLEFTFPYYGVSRSFLRAYDYMNQLGRATDNMTPELER QLDAFQLQQYLDFPAPPPPPGGLLASPNQMQGLVLFHTAKVFYYARLVFFQRSIRRDG LDTVQSLVDLGMQELESIERIGRGELGNMMLWPVIVLGAECRSRGMQRRMTTWFEKQR KLGFRNVAVLEELVATVWAARAGGGGGGGGGGGDARNIDWRDVIVLPQFDVFRL UV8b_07749 MYECETCTREFYTSRSCSQHMNDTGHWAARYDCDTCSKVFLSRR AADQHMDATDHWAPQHPCETCSLVFRSEEDAERHMDQKGHYEHYCRPCRRSFSSANAL KMHLNSSTHRGKKVGCPFCGGRYTSASGLGHHLETASCPNAPQLNRETIFRLIRERDP GGLITNKLIGWKEDRLGPYEATDHAYNGDSWECYICHRTFSTSNGLNQHLNSPTHKQK IYHCPNKRGGCAKQFVSLAGLFNHLESEECSFMRFEAVQSRVDNLVNGRGMIAF UV8b_07750 MKVLLLLLGALAAVPCARAQGSSSSSSSFSSSSSSLLRAAEQFP GCAVSCLGSYLPQCDFTNETCFCTSQVFRQEMNICVLANCTIPQALTVKNLTSNACGE PVRNRSHLFVAVSKIFGITSIVIVILRFAFKLWARIGLGLDDWFCLLSVVVGTPVTVI SCYGLAPSGLGRDAWTLTPDQLTSFGLWLYIAEAEYLVEAIIVKLVFIFFYMRIFRAP GVQRLLWGTVVLVSVAGVVFVSVVIFQCTPIQYLWVRWDGLHQGRCLDMSMVAWTSAG LNIVLDGWMLAIPMSQLRTLNLDWRRKLGVGLMFGIGAIVTFISMLRLKAIVHFASSS TNPTWDYFDLCLWSVLEINVAILCSCLPSLRQLLARLLAEIASTGQRCYAKCGRRATR PSDAAAPVSQVVDDKSQGGPGPGPGPGPGPGAPPIHISMTNTFTIVYGDDEANEKGHF SAARVKDEEMASSCGKSASSALS UV8b_07751 MARRESLTEIRAANPDLGLSGNIISAAFHMPYSFTYRKGRDWDL RPRRGQSALSDSFAYVSSDATPWNHTLVAWTGEIESPHDPSAASPAAEPCGQSSGSTP VPVDANTRLPTPPPVDGLWLPREDQSKLEHALSHGQPIRTVPVWLSDEADDSDEGVML KDQSRWRRYAHHDLYTLFHYKQHEPTDGRKERVQWADYYRMNQKFASKIADIYKPGDI VIVHDFYLMLLPSMLRQRNPSMYISFFLHCPFPSSEFLRCLPRRKEVLEGVLGANLVG FQSYSYSRHFLSCCTRILGFPSDTLGIDAYGARVQVGVFPIGIDAAKVEAHAWAPSVD EKYDNLKRMYEGKKIIVGRDRLDSVRGVAQKLQAFERFLEMYPEWREKVVLIQVTSPT TIEADRDGDETKIATRVNELVMKINGEYGSLGFSPVQHYPQYLGQDEYFALLRAGDIG LITSVRDGMNTTGLEYIVCQRRGSGPLILSEFSGTAGSLGEAIHINPWDLNGVAEKIN SALTMSEGERRRMQTHLYRHVTTHNVQTWIAKFIRKVHNVLGECSSAGSTPLLDRAVL LSRYRSAGKRLFMFDYDGTLTPIVREPSAAIPSERVMQSLRRLADDPRNAVWIISGRD QDFLKQHLGHISRLGFSAEHGSFMRNPGSEKWVNLAEEFDMGWQAEVMDVFQKYTDRV PGSFIERKRCALTWHYRLADPEQGLHMARECHKELEATVAGKWDVEVMPGKANVEVRP TFINKGEIARRLVATYHSPEGQATELDRSPGRVEFALCMGDDFTDEDMFRSLNGAAGG VLRPEDVFTVTVGASTKVTLAKWHLLEPEDVIECVALLAGVGDAASLRQMGEVNLAAL SAVEGHIPASETAGL UV8b_07752 MHAESVCWSSVAASRARGCEARLVVMRHTRADCQVASRDASSTP KMRVFGLSSPFCCFSVHPNCSALRAPARPPLRRLSGATADCRTCPRLMGVECNATAPS GGLGPQHRPMPARQQPPANQQTCKRADVQTCQRARDAAAIGQCLASWVVFRD UV8b_07753 MAAQHLLAAPGPGEALDPTVNPKLTRLRRACDLCSRRKVKCDDS NIPCRPCRELGADCTWERETKRRGPPNKHAEAAKAAKRARLEMAAASPSPQTAAKTLM NIAADGILDAESIAPLPVLELLVDDFFTYIHPLAPFPHEPTFRQSFANREDRVKPEFL GLLASMVGALVASFPRSAREHLKAQHGAHLFPKAIVLVEKCRDVALLSRGSRWILKQP KTLDDACASYFLGLTGAYTLQLNVARHFMAETLILVRELGYVKPKHAGELPTFGADPF SQDALPFNHVKDQIGKRLFWCLLVTILSMSQSGISGADLVIPPSTPSLPYPAYPENVD DICVLANEIIHPAEGSVTLLTGFRFGIDVYTTMNGVVSLELAYGMSTLPWADQRILLR DGLLAAKSITDDLPPELQLGPQGDDANSLAFLDESGLQYVPPAWPNAQPPHDIRNVIK TQPAQRRRLQYEIQKANIFMSQLATRSHFVELYFNLRDIHLAEQQNSLVESGGGGGET AADKTFQDIDDAEIYTLIADEREVIVQNLLEVLGSISQRNMEPNGFSLISKIRQVAST LLNDSQDRKGPVALKSEEALSQLIDILIKLEKTGAAAAGRAPDPSQMTAEDEEEELSH WADLREYQLRFAASGGFAGVEAYGSCEKGLLTIRACKNEL UV8b_07754 MNSLGFALAALAAGVSALPLGPPVLADGASPCWNLCLREPVCPS GFYSRGSGDCYTCCENPRVYARAEEDVDDIYARAEEDVDDIYVDDIYARAEEDVDDIY VDDIYARAEDDIYDDVVVPVEDLQARDDSGNSGNSGDSSDSSDSGNGGDVVMSAPSQA PSNAPGVVILTAPSQTAANVPVYTVPPPAVSDAPDSVVIVSAPTLAASNGPGSVVILS APSQAASNAPGSVVIVSASDNFVPWSTAIQATAPTDTGVPLIYVESSAASGPAITVPA VAPSAITVPAVAPSGSIVLINGQASVTTTTAAAATSLSPDFYRYSSGFGGGVFHLVDT LRKLLGAQKAETAKLADALKKAEAAAKAAVKADSAKAGSTVAKVKSFFGNLLPGQKAK SGNAPGGMTSFFATPNWKQNFARSLADTVKSDVGKAKSFAGNAKNTVLTVENKAQGVA TTVDDTVTKVIGAGAAVNSKAQALVNKAKQDVKKAKYVAHAISNFAREEEEPSIFERE PEEFTNEFGEEEWIL UV8b_07755 MNSLAIAIAALAASVSALPLLAQEVSVGGGSSCLVRCLDTPPAE CPAGYYIGGEPGCYTCCKDLPVKAAPVFARDSEDDEDIFARSEHLQARQSADDGSSDG TVDGGDQGSASDGSAAPASGAAAPVPSTVAPPSGSDGSGDSSSKSLGSGSDNSGGSSG GSSGGSSGGSSGGSSGGSSGGSSGGSYDGSSGGTSGGSGSGYDSSSGGSGSGYDSSSG GSGSGYDSSSGGSGSGSSIASSVIDGISGTLPMMMMGGLGGIGLSGGRGSSLKNKIIP TNVRAAASRVRNLARPTGVRAGSGVANLLPSKLAAHLKPTAGSKIGALKNKVSGTIKA PVAKANTVAKSAAQSVKGAETKAKTMVNKVSGAVKAPVTKANTATKNTVQSVKNVQDK AKSAAQSVTAPVKKLSSIAGKLTGNSASTGKVNPLITSSNVNPSKLSVISNKLGSSNK APAKQASQPAAKKALKIASTSLKVASKIGGKRRGRRSVVEREPETYFDEFDNEE UV8b_07756 MGILPAERVGLRLAGPEFAAYGPSATAGLVTVSRIGRQPVDHRA RVEWQALSSFKSAPFAMYAHSPTMPMRLAIRLALGRAHPCRPCRQVPWANLLLRRGRA ESPGHLAGLAGDKTGEPLSG UV8b_07757 MAAAFRPVNSLIEEPIKEETTTTTTTTTTSSSSSATPRPRPVSR QARLRQSPSLHDDSKTPTRATFNVPLDQKALPDASLPPLPDAKDMPRRQNSQHSSKSS KRDSMEIDVDDSDGERGATGEDGAASDAESVNADGSKSSRKKKSQRFYCTEYPPCNLS FTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHVNEDIPMDSLAATGSR FQRQMRTTERVRQAGNRARASTGGSAGGPIRGHSKSLSTSSIASIPSVGSGYGGPQTE ARRRPPPLVMAADPRSRLSVESYRSNADSTFSYRPPSPGDFSNPTSATFSTAQSSPRW ASRMGSPTSGHTRSQSLYTSGSRTPGRRLSVPSGANPFQSPVLGHPHHNHHPHHQAFG APGQFNSSHPSAFPPAANSSNLVASPTSSASGWSGRRDSVSSNPDEAWRRRTWHPESR SYVNNQQQPQAPTQTNQQLAMAGQAMVRPNPPAPPPPPAPIANPPNPQSSFRLPGIES FDPLPPRRHPTPPPPAQRGPSSPLAMDSEPPYRRPYAPGAGEMTQPDERRNLNMYDAS LQRGLNRLDLSHKTPPPRDGAGCWGSEANRAMLAQAERMQVNQPTVRFQDQIPPSQQY GCATANGRALHQHTMSAPSITTPRESKRHGWYHGPAPSQGGYAAQDSRMAHVDRMVHP NLNGFNGFPAREQPPLQPQQDRQANADPLRRLEALVAVATSEGSTATAY UV8b_07758 MIELDVFRARDLHIRENITYPPGANATDTLIAGVHLNATALNIS EYRFYPGNRTLSNESDCYLAFEPYQPAFLEANGTFVNATSCYSSIYPIGPRGFAGIGL GVAYALAIVLTLVVLGKHGALYLPVTRRFYPIGRRWQWYWACFVSACALVSLLTNVDV ERYFLQDLPIVLCVFFWFLMCQGTLALVWEAVRHWGSWLERQYVDPNPFVYREDDGRA KVEFWLPLWFYFWVWMNFFMIVPRSWNFARSQHSPAQTAEIAVAAATSARFKAGAFCL VIAWLTILFSLSHSIRHYKPRHRGIFNQAFGFAQAVPLRFVLLVALAAALIAYQALIS FAWDLSLVRARDANVAVIMGWGYGPSLLILYVQIAYGFLAPNEDKELIRQRRARGDVN DRELGIVRKPAWWRRVRGDHLRSVRDQINRNVNEVGGKRGVGRRTEDDMELHVRLEAE RSAVDDDDGVELGGLPDRPSNPRRERPGVGNLAATRTTTTAHPPGVRYMGSNERRHAE AIMQNASGALLPSEAAAERARRAAALMEDGPPPPPYSSDETRHRPESQGSGSAQRSNS ASTTQSINAEPQKVRSMLDV UV8b_07759 MASPDAPAGLDEPSYIDYEAFLSPDFSPAAFANSLVLATNNPTD TPLDLSTPLSRVLFDAQEIDSHIDLVTTRSAVPLLDFTKRQNQASRRIVSELGTQLTA LNDSYKQLEKEVVGKHAAADEVRHVALRLWETLRLGRAVGRCLQLGRQLEVQHAELGG AGSYGEDGALVRCSHTVLSLREVLDAKAPGEEGHGLGDMDAVRTLQDARVAPMERAVR ETAERLVRDFAVPANTTFSQGEEARLKLESAMTALYLLSPTSGIRPERWTPRLLLAAL EAYIRSSLQAGITVLSRSLGQLPSLDRALAEVTAKCQNIIALEIILQANKPPPHPLLP TAAPQNPAPLIQLLLAHLETGSLASYFWRTMAGSLATRVQDILSRGGVVARTLRANKA NVADAVRQAVIQGSQPPAAFVTGRNKRTVAGANWDREVAVMVGSVTNSLR UV8b_07760 MANPNPKVSCQCGAISFHASRPKPLAVYVCHCTECRKQSSSAFG TSAVFPADGMWPLPADVVPRLGMWTRVSDKGTTLECYFCRECGVRLLHRPLRPDGTAK PTLTVKGGALEGFTLERAKHIWTRSAIMPIPEGLEAYVESPEGSDAEGEKGLM UV8b_07761 MPSQGSQAVSSASLHGSQSRGIDTRSIIDSRPVHYLTRAGVAAS YPIRGIWYFLRNKEFWPLFTGRLLPLSLISFLVYLVLFTFAFLPQYAFLAIFHGWGAW VNAVVLTLGEGLIIIQSLFEGFFVDECRVDVFDATFIKVGLRDLIAPHRILFDDAPNA VKMLGKPTSSAIYTPWSVIQIVELVVFLPLNLVPYVGTLGFIIITGTRLGKLAHYRWF QLRGLSKEDQRRQVRSLTWDYVWFGTVAMILELVPILSFFFLLTTSAGSALWAARMEE ERKRGGIDSLIVNGQAPSQDPPEYSDNMA UV8b_07762 MQATTTVFVTVYPTSPVPVDTNPSTQNAGSDGTLYRTVYPTKTV QVSPLNSVEDGHQTVQAFTTLTISDLWISESSAVDSPTSADLSSVSAAAATGVSPPDG SPSRGPIDYSTVPNASGAKAGETNGPSYSGSSSQAQGTANPSSAGTQYITVTDTDVEW VSGNGGPSPVTILSEHTVTLASAEAEATDGRQAAVTCWTVTGSDGKETVVESVISTIR ATEAVPVSTIAAISPDGTFFPQAVTSAVQDQAPVTTITVVGPTPAYTGPGMTTTTAIN VLGPDGISSTVYSTWVIPGASVADASGVMPDATSVPPASTQRPWNGDDVASRTSYTIL GSDGKPTVVESILIIPASVILATDLPQNLPNGISIQATPLPASQTLVAGSDRPGVVTT CSSYTLLGSDGRLTVIETTYLIQASIVSPTAQATPSGLVTGIPAQATVAPGQALPGAM TAQGLTTCVSYTVLGADGIPTIIESTIALPSSNALPTNTVIGLPSMVPQVETSMLPQG TQVSSAGIPCTTVVTVDVLGRDGLATPVVETIILPPPTAGQQSATAPSTTIGLPSLVP QAVSDLPQGITPSVSGPSPITTAVTVTVLGPNGTPTPIVETIVVTPQAQAPVSGLAPS LPASGVALSTSAPSLKEYGSVTSQGQVIISPPFAATSATDFLPAGTNAATNAPIFTIV TGPGGIPVFSQAAAGGYGWLPAGASPAAYAALLSDPSVVQPQQAPTTAVQTSTWVNVI PEPTTTYTMKFPLTTLATVFVPARISAAKRGLRRQDSHAPFAGGWSNVTSKVTQPVSD DGPLAPSTQASPASPAALTSTSLDANQGVSPVVSAPEICPEGTKVGNITINFDSSTPG PLFNPDGDFWFSQGFLVAPLSPQSVQGYKASSGGQLVEFVPPALSSPSTSGSSDSAEI GVGPNSSKPCFRFNFYGANLGCAAQAAEQWCEFEVSAYTYNTAAANEMSIAWSEVKRV AACPSFPGAPCALTPVTFDGYQNLTSILIRLHVGGNLRTWWADDFQLGWTDNSCEVAQ CRQGVAPQRAKREVVESAVRDGVWHWTRSGLKRMQDRYVWNSAK UV8b_07763 MRPSTSLALLSLSSQGLAQGGDDFNWTAITASKTLQYQPCYGNR TLCARLILPLDYKTPNDTRSVIVAITKYAAAVPDDHPTFGGSIFVNPGGPGGSATDFV RKLGSELAGRLETSGRRHYEYIGVDPRGIGASWPPSNCFPGDMFSRQMFKMESRGLGP AIAGGRPLSYGLALQDGFGKHCLEADRSGANGGPVMAYAGTAYVARDMVEVADRIEEL RGKQQLNGTRTQSSRAKDVARIKYIGFSYGTVLGHYFASLFPERIERVVLDGVVNAKD YSTGPGWTTSNVDTDKIYDKFFSGCHQAASSCALARATDRSPHDIKARFATWLGKVKA KPLNAIGPQGDVRVLTEYDVRAYMGASFYNPIQTFQRMASNFDGAINGNTTALFNDYF AGITPPHGKDGCVSDSALGADGGDGGYPVICGDGEDVTAKDAAWWRHYARRLASTSWI LGPSWINVRLPCAGWKFRSNWRFTGPFTTPRARTDAAGNPVPGYPAAPNLYLSTRLDP ATPLASAREMRRGYPGAGLAIAETMGHIAMQSGGNTKCLDSLVEQYLETGLVPRSEVV CQPACGPWDKNCSLESGSPGAGAGAGAGAGAASLGFDAAFLSARLY UV8b_07764 MSEPSQDPTKTTASRDSQPRPQSEPARGVGAEPQCAYTIQCASS VYERMFYALYRLGVQSNIAVSARYSSQRRQLSREAVLCALHAVVQAHPSLRAVFVRRP STRKGHHDLFKATLNVIRIDDAVEFEYDDGEGVTSALLERIHNEWAWMPDEPDKPWWK LVVKGRDLVWVCHHAVADGMSGFVFHREFLAALNGMAWPRPLMAEAACAARTVKTDAS VPNLPLDPLTVSTVRYSILELIGDLVMYTLLALLLGKWTIYSSLPPSRSCLRDTSKPA NAENYTKTCISSRRIPAASMAEVLAACRANGTTFTPLLLVAFTMALAGDHFPNAKLGR SRYSYDLRPLMDTHQLGGSTPSGSILNLSAGAQHIHWLRRFRAADAALSAEDAARRQS AWDLVREYKAGMERRMHGKGTRLWKSVSLLGTSVEDFVKLSFDPLGRTLPPTYHVSNL GAFPSAPRVADDKKSAAWAVQDAQFSVAATNGTVGTHGIILSVAGVRGGDTVIHAAYE AGVVERGMAEQVLQGIVRRIEALVASSR UV8b_07765 MKPILTALGALPLAALATSGSVSNQAVLSGADAGTADDQVAVRT PMGIIIGSVTTVENFNGIPYAEPPRPKGTEPGDNLPVLFYIFGGGFMFGATSANNAED FIQFAEGKDKGFVFVGVNYRVGGFGFLGGSEILEDGSANLGLLDQRMGLEWVADNIAY FGGDPERVTLWGQSAGSISVFDQMALYDGDATYKGRPLFQAAIMNSGSVIPTERVDSS KAQRIFDAVASKADCRGASSTKLACLRSLDFEDFYHAVNSAPRILDYSSLALSYLPRP DGVILTDSPEVLAKKGRYHAVPVIIGDQEDEGTLFSFAQKDLNSTEALVGYLEDYFFA NATREQVEGLVATYGDNSEAGSPFRTALLYEWYEAEYKAGKGFKRVAALLGDVVFTLS RRLAVEGMVRSNPKVPVWSYINSYAYGLVPYYGTPHGSDVRMMFKGTGNPAQSSRTYY LNLMYHLDPNVDRGIYKEWPTWTTEERKLLHINLGVNEIIEDDFRQESYEYLAKHAQV LHF UV8b_07766 MAQGLPESWLQSCARAAVLRALRRIKHGRLALKLAYRDGGDVVV IPQAGAGAGAGAGSTPGATVTVTVHHPNAWVRICQALNLLWIANRETLQPPTGLAMQR LTTALQLVFRPANDAGRARLNAAFHYDASNTLFSSFLSADMNYSCGLWSGHAHETLES AQLSKVAAVLHKARVAPDHHVLDIGCGWGHLAIEAAQRTGCRVTGVTLSRQQKQLAEK RVRQAGLEDRVQILLCDYREIPRPEGGYDSVVSVEMLEHVGEAFLPRFFASIRDLLKA DGVMVIQGTTVLDPILHGRRAEETFITRYVFPGGHLPSLGELVTAISEGSQGALEMLD AQGVGGHYVKTLQCWRQNFLRNWDAIREDYLSSHEGATEASVEAFRRKWVYYFAYCEQ GFRSRIIGDYVISAAKIAQEPSLGG UV8b_07767 MYSWNGRWERGMSLGQRREGAAGCVETGPLAWDGVNRWDDDGPR AGRSFDVASRVYKKPGGRTKPRVCGGRSNSTDAGINASQAFMLVAAPRGHAPRTGCRH PAGRDDRGSRCWCGVGVSGGKRLPGLHILMIPVGAANRRLHTIVPSVPQAHPRTAGRT AGRPATGIAPKTACLRPCRRCPSPGPDGPSHPRHHLTLPPVLLGPQSARKPNRSDGRR HTSRRASPVQPTAPQPQRRILDAASPKEPARSKSSSRAHTPPV UV8b_07768 MKLHALALAAFLLAAAAPVSAGRCCTHTCSACAPYTWDFCQEHL DCGIGEPFVRCCSTTHRKKRFRKKSRLSVEGVDAGGLGT UV8b_07769 MKFVLVATAFAALALAAPAPGSPIPDAEDAAIIPSESSLEGLSL AEKQPEEPKKIKGFPRDNSFAEHCKGLTLDTTLAGVAKSTCDGEVKELSLDRCVNNVD GTLVHDLNGRFSASCPKKWVNLDGTVLNAKCARIRTENGKSVYKRTSIDLKDYLSFYK GALHCN UV8b_07770 MASSPPPDYELIYWPGIPGRGEFIRLLFEDAGVPYLDTAKSADD DDAATRALLQLVAPSNTGDATNGPVFACPALKHGRLTLAQTPNILLYLAPRLGLAPPD DGLAIYHLNQIALTLLDAFANEVHDTHHPVSVSAHYRDQKPEAERRARSYTRERLPKF LAYVQRLLDGDASGQGPWLHGGRCTYVDLVLFQGLDGTMFAFPKTVAKLRESGKYDGV FQLYEAVKQRPNIKAYLASERRVPYADGIWRHYPELDE UV8b_07771 MAKRKKPSPTDAFFARFSQFDYDPAAEAWLEFERMVTSPTWSIY GVEVRAARRRLIAALVAQFDLAYGTREEDKLETLQTLCGKLSLSPVPETITACKKAVR RVHVNIIDFIDSQRTGRPVRAFKTEARLRRYTGDTEKFFPKDEAKERPLLRYLLRDVV UV8b_07772 MGLLPGLLPWSHCLAAALATLLAPASAATASKRADLPYPARSSY RIKGIQPDFWPDWDDISGNNAGGVSMNLVWASWEARVAAAPCAPGRQEYDGRCFTVDA AVDAAIKAWTDRGLVVTAIVYGTPAWARGKRRCAPPAAGMDLFCVPDHPADFGRFAGM LARRYDGRHGRGRIADFVVNNEVNSYEWFNIGCGRGQGVACAEDEWLDLIAANYVAAY DRIVSEQPTAKVLTSLDHRFGSELDDPRSGTLSGMTVVQGLAARAGSRRWRVAFHPYA PDLFSPAFSADDYPKVTYGNVGVLVGWLRQRFPDKPHAWTVQLTESGVNSGGPSSEAA QATAICQSFRNILGTPNIESHVYHRMQDNAAEGGLLLGLRNVNGSAKASWSTWALANR NDLSPPQLSCGFETLPYTRLTRGYSSSRGHVASTRQLPLGFAPEQSWRLLRDEKAGTR MLYECKVAGHSLLTPDPGCEGQFPLGPVGYIYASQVAGSVPLYRCYISQNGDHFVSPR SDCEGPYKMESLLGYAIPTSPANCPFPLTSTSLSAQASQSNRETSIAPSLFHPPSQTR YFSHRLPHKKLKMADAGRQTGTVKWFNDEKGFGFITPDDGGSDLFVHFRGIEMEGFKA LKDGQAVTFVAVQGQKGMQADKVRLAA UV8b_07773 MPLIVSPSSCPAAASRYASYSTLGFRSLQACSPPIIPCGQRMPR CTRPRLRSARIRGPVSTCIRIGHAATCSALLYRSNAHVRVEAQRRRATTPRNDAAQRR RHSCAASHIGAAVRTYVRT UV8b_07774 MASGEPTPRRESLRDSGQPGLQTAPRRTTLDGFDRRFVKEHRPG VPPIEWGCGVVLSRQLDHAVSKNTPAAKPLPLVPIIEWTASEDEMTAGRLRTWRLVGR KHRGPVVARPPPRDPSPNELVAASSPRRTPTPSTVAC UV8b_07775 MADYDAAAPRIRYRSLDRSKKEIRLLEVQPAASADVNERLVCRL VHEPLSDSSEFTGLSALYGDITVTEAIQLNGASVPVPASVAQALRHVRAVLLAPSLPA PAPTAKSKKGGGAARAGHDQQEAGEDDDADQQEGADEEEHEHEHEHEHEHEHEQAQEQ EGRARKRQRQRPPVSRLSDKAPGWLRSVLKNVKHMISEPAGARPLRIWLDLLCINGRD AKEQAERRATMTRAYRQAKVTIGWLGLKDSTSDLAIDIIRAWDECMPPSFGEPGDREA HPENYAPIMQWMAPVAHFTDVPEGVTDPREIPTYKAISGFLNRPYFRNAWMLEDMALA RFPAFLVGDEIVSWKQILRINRVNEDIKDHGAAMFPSEFIPLLEYMPLNSVHTFLTEF DRRQKMEETPAMFSTAGSTRSGGSTTSLPRPT UV8b_07776 MCQQAQDLVAQVFAGLDDDDDDDNVVAVQGGRDVDEKTSARLDV GSLASLNEKGAAEQLDTEPGVGLVELRLSAELEQWKQSQHAAGNVLPPKGAGLTRASD RVLQLFGAAGWPEAMTTNNALFGCGMANLLIGAVDVQTLFSNYVTDMAFYYEHGYDGV FPSLDLLLDKGLQDGHARKAPGGRERRDGVCIGKRYIQGKIRLEAKHKGRLANRSARL SRRLAQVVALSESSLLGMAAEVAARGFDPGAIMSDLVFSSPGTDVVDVGCDLVNSEVM NSLLSTADITATGVVSEEALRRVYDAFAATGARMLTQRWHEPVARMCSALYTWHIQND RHMFWRRAVLGWPKARKAPARPQREADFDEAFDEQFRTTGFSRPLGARYACNGEEPCD HVARFLRASPRAHRKLLGDFWWHLVTGPLDYVGGGRVDERREQELAEGSRVRMAELYS RGLVHQTVWLVAHANHHAWQVNYLFEAAMFGSILDGGKLAGKLDRQQG UV8b_07777 MPQPEIVRHHSSCPSPQAAQSANVRNAQHQEPLATHSTHDPAVG DSDTHLPRPSFADQDAYSIPDTYLNLNELAASRPVDDADDGSIHGHASLDRTRSLQQQ QQQQQQQHSPLDASPPADERPGQEPASRALTQLYTVSYLVFFALAGTLARVGLAALTH YADTPVIFTTIWANFGGSLVMGFLAEDRKLFRHEWAAHVDRHAGGNARKSDQEEACAP PPAADCAAAKQAHLAFKKTMPLYIGLATGFCGSFTSFSSFMRDLFLAMSDDLVAPGFG TRPEESRSGGYSFMAMVAVVVTTLALSLSGLAFGAHCAAAAERFVPSVPRRWCRRALD PLAVALGWGCWLGAVLMAVFPPDDSWRGKVVYALVFAPPGCLARFCLALALNGKLATF PLGTFAANVLGTAVLGMAWDMAHLPIGGVVGCQVLQGVEDGFCGCLTTVSTWVAELSS LRRRSAYLYGATSVVVSWAALVLIMGGLRWTEGWSALKC UV8b_07778 MSALPNVHVSHHPSLQAKLSQLRSQSADSKAVKSLVNDISLILA TEALASNLTAVDGSKDKTPLGFEFTSTTVTPQTMCIVPVLRSGLGMVEAVQTILPVSV PVHHLGMYREPSTLDPVEYYNNLPHRVSGSSAPDGGGASGLAILLDPVIATGGTCAAA IETLREWGAKKVLVLSVVGAEEGVKKAAGEWPEGTELWIAGIDRELTREGMLRPGLGD IGDRLFLTIGK UV8b_07779 MGDRKRAPSPDADAQREEEMQYGAVGPAKEELEQRYPNRPRNHS KTLAFSDLFLHLFNPLNEIRKQPAGGVPARKKGPHGATSLSPQEQRRHLIERFINRWR KEVGNDFYPALRLILPDKDRDRGVYGLKENAIGKLLVKLMKIDKNSEDGYSLLHWKIP GQTMAARLAGDFAGRCYEVISKRPMRTEVGDMTIAEVNQQLDQLAASTGEAENLRVFE TFYNRMNAEEMLWLIRIMLKQMKVGATEKTLLYLWHPDGDVLFGVSSSLRRVCWELHD PSVRLEQEDAAVSLMQCFQPQLAQFQMPASFQKMIDLLRPTEEDPEFWIEEKLDGERM QMHMVDDPSHPGGKRFCFWSRKAKDYTYLYGDGFLDKTSSLTRHLKDAFAPGVRNLIL DGEMITWDMAVDKIVPFGTLKTAALSEQQNNSGSNASGHRPLFRVFDVLHLNDKSLTQ YTLRDRHRALEKAIKPVERRLEIHPYKSATSADEIEPMLREVVATASEGLVLKNPRSM YRLNSRNDDWLKVKPEYMSEFGESLDCVIVGGYYGSGRRGGTLSSFLCGLRASRNHVQ AGADAEKCFSFFKVGGGFRGEDYAQIRHHTEGKWMEWDRKNPPTRYIELAGGESKQME RPDVWIRPKDSVVVSAKAASVAPSDSFARGYTLRFPRFRSLRLDRSWDTALSVDEFEE LRKRVEQEAKEKAMTLEDHRRKRRKPNRAKRELFIAGQDEAPAEFKGESSRVFEGLEF CVLSESLKPYKRTKTQLETVIKEHGGAVSQRALPGGQHVVLVADKKVVKVASLLKQGD VNIIRPRWIRDCVEHQHPPFLLPYEEAHLLHATGEMHAIAAENTDPYGDSFARDASVD ELRQILAGMPRLQKAGDFDRDHFLGELEERGMGRGMGMGMGNLRSFLFRGTAVHFRVA DGARPTALDRLVRYVKYGGGEVVDELGGGATHVVLVGHGAGQAGDEAAAAAAAAAAAG VRREVSCWKRVPRVIGEKWVTECWEEKTLLDEEAFAV UV8b_07780 MSFPRRSTNIRYSVEVSFLRAAKDAVQPSQHRTPEKVIIRCNNE HITKRSWQSARFDACVLQPWTCTPSACDCASDHRGATLRSPMIDLASNHASKTDEYMQ ALHSTQLDVKTCRNLSISIQPGNTFMTLLEAKKLATTAWITEMEILRLFKEKHTSVIQ PLSTHSAVGTSHLDRDNTARDPLLQAMTDLHLPSRMHNPATQQHLQHIWAISNMRRLE RALDSTSGELLGFTLRVYDDDDVDDDDDEPWLDYHAIGTFRHAQWLPFVQASSTWVRF VVAVGKTMFLSPDKYKLMVGSLEREVGQAATGGERWKTILETLGVGDCYEEWVSMAEG YTGQGKGYDL UV8b_07781 MTSMDGEAKRDVPVLSGVTPDGKPAAAASNLDARPPTSSSVHVT YEPSFDSRNLSPCRVGARNPSPRSDPDNYQGGSVSRRRSFRTATFKSLDEGNDLDSYG LPDGWQPGSEPGYDPELPDGGHASVSALSAECQITVVDFSTERMVKRHFENSTFIDFL NSQPQEDWVKCRWINVNGLSWDVVQAVGNQKGLHRLALEDTMNLRNRTKAEWYPNHAF IIMTLQKLVHIFHDDNDVSSDSTSLASRSSWKRMFQGWVSRVLNKRSETDPEIDPAVG PEDRIRDDNSGPAHGLSCQETWALRSLQRYHASGNDARTEFMERHSTLAEYKMAVSAE QVSIFLTNDNTVISFFEISAADVESPIVTRLSTPGTILRESCDASFVVQAIIDAIIDL ALPLTAVYTELLADLELDVLTSPSIRQSRKLYICISEINKMLRFFSPIDNLVNVLRDH RTLLSQENATREPGSAACGVTMTPLTHTYLGDVLDHCIIITEAFSQLKQSSEDLINLI FNTISANQSQSMKQLTMVAIVFLPMTFITGFFGQNFDREGFPDIHNGIWYFWACAVPT AFATMVIVMRGMIFSWFGRVATRKQIWSIRKRKRRPGRPR UV8b_07782 MKFSVGTVLALAISAATPIAAQINDDGDFNLEARDAGFEEAIPY LEARQAEPDFEEIDLEARDIDQDLEARDVELELEARDVEPELEARDVEPELEARGLTS GICYDSFCPNLYKYYITGVRGYKKCKGLCNTIIGLKNQDEITYCLRLCDLRKPGNLRK ACQTLCFRTFPSQIKLE UV8b_07783 MLTTKLARKPVVAVLGPTASGKTKLGVAIAKAFLGEVISVDSLQ CYKPGSIATAKPRPEEMEGVPHHLVDYLEADEEPADFVAEAAALLDGLTAADRLPVLV GGSTSLTIPVLREAFRRDYQVFALLLIPQQPAYEALVKARGREMLERGLLEELAELWD LERALLGGRPCFERGVWKTIGYPEFHPYLKETAAAAAAAAADPGGDGAVPGKREILLR RGRDTMHANTLRYGLGQLQWIRESLIPFLHRKQAVSISLAVTDKASWLSTVESPALCM LNQLVLGHQVAKLPPIETPESQSRVICLFGGSSSGNNPAHLEAARSLGVALHTHGIKL VYGGGTTGIMGAVASTLVRLSGPDAVHGIIPAALAKFEYTSADRQYLSRFGRQTVVRD MHARKQLMMQSVIKGPPGSGFVALSGGYGTMEELLEIVTWYQLGIHRRGICVFSVDGF YDGLLGWLGQLVRGGFVGPQYADILGVARTADEAVECLARPQHKSGLDELEWA UV8b_07784 MPDATQNTGGSPASRFASRRFVLTNRRLCLAVASRFANRRLVFS IAAVSILSAKCIHVYAHIAALPPAQVCRWGLSFFAQDTALLLMLRLLLDAESPVFAAV SCLRFVATALACLITLVQHSLAAINISFFVVAGSELHWRNVGLAGDSSSWSVLLAGLV SCSIAFATVLVVGWLLQDLCYLLAGMAIDIVKLPFTFLFSKISCRGLNAPNRIRYNHV PQKELDLEDGSEDDDYHMENKHRLLAPASDAVHESKTTWLLYTVVGILLVAQAVLTTV RPAEGSLVFMSWTPILLPFIDFAHSSPTLASLMPYYGHGIGWGWDNQTALAEPIRWDW LPRLDEPLPGFEDWYQPGMQHYNAANDPLKVSNLDDDLLSPLRGKLAGVDIRHVVLIK LESTRKDVFPLKKDGQIWNKLAETWENGVLPESARRRLSSLTPTANFLTGDFDDGFSH NHTRRRGGVNVNNDHTTGTYTLKSLPGTLCGITPLVADFNLEYDHHVYQPCLPHIFNA FNALDHSNDSDSTTDDYTGYKWSNSFMMSVTNFYDKQDLLMPVLGYKNEELITKEYLQ SDDAKFGKATLPNINYYGMRESAVEDYIKDAFETAKENKERVFLTHLTSTAHHPFSMP DEETYVPLADGDKLEDLSHYVNTVGFVDRWLGRILEILEEQGVGNETLVVLVGDHGLS VPETGAVTPYYQPNVGNFHVPLVLSHPALPAVDVDSPVNSISVLPTILDLLIETGSLS RSERAAARDLVRNYEGQSLIRPLQRESRHTGQADWQFTVMNTGRAQVATRSARNPQWR VVVPVVKDIEWRFTNVETDPHEKDAVLSFDFENFVRSVEKKYGGAAANWAEEAAFVTR WWVDENARRWRFSP UV8b_07785 MMMDLRASVATPLCPKQPEATRSDPKRPEATRSDPKRAGCMHST VLPDRSVLGTPGPMQNIAWRAQEKPPHRGRDVSSLVNHAVGFSARGVPSPGHGSPALP CPALPRPGYRKRAPHCLPNGPDTGRRPPEMVGRALALLAKKVLIERAP UV8b_07786 MAPVKLAEYLFTRLRQLGVGSVHGVPGDFNLTMLDHVEPAGLTW VGNANELNAAYAADGYARIKGLGAIVTTFGVGELSAINAIAGAYAEFAPVVHIVGIPA RQLQETRTLMHHTLNDGEYQRFSRMAAEVTVAQTRLWDPTTSAQQVDDILATCLLRCR PVYVELPVDMVDVLVSGDRLETPVQVPQPEASPALDDVLARLVSKMEAAKQPIIYVDG ESRPLRLLDSLQQLVSATKWPTFTSPFGKGLVDETEPNYHGVYQGQWGHKAAHDFVLA ADLVLCFGPHFSGTNTYMWNSIPTGGEMISFTTSGIKIGAEHHRDVSAQLVLSRLVRA LDVSRIKSYSPYPDIPNYELLDPSSPPGDEIIRQDKAWKILGNLIRPGDIVMGETGTP GFGVREMPMQKHCRVFTHVTWLSIGYMLPAAQGAALAQKELIAASQWHGITRAKTILF IGDGSFQMTAQEMSTMIKHSLDVVIVLINNDGYSIERFIHGRKQGYNDVARWRYLQAP SFFGAPEHTYTASATTWAELRAIVDSSEVSDTKGLSMIEVVMEREDAPPGQLQRVLDM QIENEARGLTEPRGQ UV8b_07787 MTCFGLCDSDRDESEREPLLPRYNDATARQAALHEKLHTYQMLL AMSRGYMPSNKQVIVHVRTLLGARLLNPSERSELSNSGRALVRTTRIWLQQFIDLLES KNSQDQIQDFIWYLCKANFGVDTSTVTKSIKKGKAKADINATYESLRTVLSLALLNKD FRVFLADVATIARQVLRDTALALGDVSKEAGERLDSSSKDIEALKETDRKGQAPVSVD DVKKTGSEAAEAVLEEAVYVGEESYTSFKEHMTPESRKILINRLKSAVSNLRQRPDYS ESVTTLSSLLQRYLRIYLAIGSETAQAIENNVHLDEQAHQAARNFWLFISSFGDKEKW DAVHQAFKKFIDNHKLDEHLQEFVGEFATLVRQMLSDPEFFDNIEQRLDELRERLNQL TSSSSMGDDASDVLTSLQQALRAAAEDKAVSNLASTTLRLIHILSPAGKVWNPDLVSD CTNIFVPTMIQSIQYIPIPRLELSTPDIDLLLENVILQPGKTVSHSSFFPYKLQVSTR NDVDITKAPFGTKSTVRSLATVKIAGISIAADDLGYWMRVHSGLLWMVDEGIGSFHLD ERGIDITLDVELGRERLEQLVTLRRVHVRIHHLDYSLSQSKYSCLAWFFKPIIRPIIR KTLEARISSAIEDGMRSLNREFVFARERLRATRICNPNDVWTFVRAVAARLVPSTDPD VYARVGAKPGGGVFRGRYAPGSLVKLWEEEGRDAEQNVFEYRRDRWRNDIFEVQTVPA M UV8b_07788 MAQLAADIHLPARSPLLTGRELDKLNLVSFSADGYQANLTITTV ISLDYSLENNRWPLKQFKPGNRTRSGVAPAFSRRAMFECNTHNDQETTGTVTVHFIPL FALPLAEAWSRLDGHVGIAKGGFVSMQWEIEVGQGSSEDGTGRDGT UV8b_07789 MMALDGPRAAVTVSALDGHEAEALEQRRPSTRFSVQDDQDAPMN PNQRPEIFRSTVHEVLFVFTATMSVAMPSFLQGSTLVISSFIKRDLDMTTAQLTWMTA ASSLTAGSFLLFFGKLADMFGRRGILLSSIFLFAILSLATGFSPNALTLDILNGLMGL TCASTIPAAQGMLGSIYDKPSKRKNYAFACFSSGNHLGFVFSSIFSGLATQFFGWAAS FWLLAIIYLVVGIVACFTVPVDDSDKLPLTLEVLKQFDVVGALLTIGGLGLLIAAISV GPSAPQGWKTPYIIVFMILGVVMMAGFIWWENRFAYPLMPLKIWRDREFSLLLAILLL GYLSFPALFFFAALYLQELFKYSALITAVCLIPSAVSGVIVNIIAGRLLHRVSNKLLM GIGAAAFTISFVLAAVQRSGASYWAFTFPALAIVVVGTDMEFNVVNMYVVSALTKSQQ SIASSVFQTTIKLSVTIGLGICAAIFTSVSNNPASTGYYAHDPFEPYAALFWFSAALS FVSLLLVPLLKIKTQENNGWDFDDWVQRMRYRRTLYLLGQIHESIAHGFGRGECDGY UV8b_07790 MVETNWIMISSRRTKRFAYLLGLSCFLFFCIARLSSSAESSELN DLERYMHQGGHGGPTALAVKSSFDWSNVEMSYLPPAKLAPLPKGPRARLPRVQGARFP PESFSNETLRLARRNQVRRVFRDDWENYRQYAWGKDALNPVSATAKDQFSGWAATLVD SLDTLWIMGLRDEFNEAVAFVGKLDFGIVAVSDRVNIFETNIRYLGGLLAAYDLSKSK VLLAKAIELGNLIYAGFNTPSRMPVDFIDFKAAKAGTGLEIEYSVVSASPGTLSLEMT RLSQITGDPRYFDAIDGVMRKFHEQQPRTKLPGLWPVHVSMRHLDLSSGRSFSLGGGA DSLYEYLPKMHALLGGREPMYENMTVNFMRAATGHLFFRPMVPGEEDILIAGNVDVGS GGRSALDPESSHLACFIGGTMALAGRLTGQPDQVETGAKLARGCAYAYHAFPSGMMPE TYNMVACEPRLSTSCPWNETRWIEERGKRSQWKPHLPKGFTTAKDPRYILRPEAIESI FYMYRITGDPGYQETAWEMFQATHRAAKTKHGHGAVHDVTIATDEASREDNVEDYMES FWFAETLKYYYLIFSSPSLISLDEFVLNTEAHPFRIPR UV8b_07791 MDGKSGLPPYSPPDLAVARAGTAESRQPLGNHPNRLRSPRGIRR SRGTKFFVLACLALIVLAQWKHVFGSRRSASSARLSLDRLNQDLATCKRLRRKPQDPI GLGRGTNARFVHGARPTLIKNATIWAGEPAEGTSDAEARAGKGWQWTRGDVYLEHGLI SKVGQDLPLHSLPQDTQLFDAEGRLLTSGIIDMHSHAGVDSLPALRGTSDFNEFSDNV TPYVRSIDGLYVFDPQIQVIKSGGVTTSLILPGSSNNIGGEAYLIKHAVGRPDGRNET SANDLLADPERHWRYMKMACGENPKRATVVPGRYTSRLGESFAFRHAFEEARDYVRKQ DDWCDKATALGVESMGGYLPQEIKWELLGAALRGQVHINVHCYTVPDLEAMVDHTQEF EFPVRTFHHAHQAFLVPEILKRTWGGRAPASALFADNMFYKMEAYVGSESAGKHLYEA GLTPVYVSDNPVLNGQHVLFEAAKAYHYGLPYHAALASVTTAPAEELGMGKRLGKVKP GYDADIVVWDSDPLSVGATPVQVWIDGHAQYTAPVYHDKPVEGPIGPDAAAAPAGVAS EPIQVADVVFKGVSKVLLSDDAKYEIRDEPANVVVSKGRISCIGTCHAEVVAAAAQGG QVLELKNGYVTHSFTGVSGTLGLNEIDAEESTDNGNNVDKFTRAADGLLLDSKKLRVG AQHGVTRAISAPKFNALNTHHGTSVGFVTTARTSLDKGAVFAADVAVHYTLSAQARAA SKSYTEAFGALRRKLLRAARANETEPEPEPYSEEAYLKRVVSGAMALALTINSADGIA SALRIKAEVEGLAAARINMAIVGGAEAHLVADQLAAASVGVILSGYQPMPVSWDSRRA LSGAPLTNGTAADWLVTAGATVAIGLAEDWCVRDLGFEAGTAYRNGDGRFTERSALDL VSTNIYKILGVRVPRAEDAGHFLVSEGSPLRIGSRIRAVGSGRGAVSVFV UV8b_07792 MFSTTEHRVSAYEKTCYSRQPLLHRATSRRRIDIVELLLNKGAS IDLEFPALRIISRQLANSFHSVLKYLRDRGADIDYRTRGSMH UV8b_07793 MSALDAARRVEKTPPETIDDSAHGTESSGETATKLTFRRFTAFA CMACCFTSAQIPILLLGGIQDIIYASVGGTDQEDWFDTAYLIAFAAVCPFVGALSDLL GRRYVALLGCALIILGQVLCVTAHSVNTLIGGMATCGVGASFNELISIAGAAELAPTF KRGAYIAVLVLAVLPWVPSVLWAQLIAAKYDWRYVGVLAIAYTSVGLTATFFVYHPPP RVNSASLSKREAVARIDIIGGLVCTAGIVLLIAGILCGSYQYPWSSVHVLAPFLGGIC LIAFFAFWETSMAKYPMIPRKLGKAPRTLMLVNLISFVSGANFFSIMMLWPSQAYNMY SHDPVSVGLRSLPFGFGVMAGCAIMLAAMTALRGRGMRILLVASSCVMTAGCGAMAAA DRGNENAVYAILLAAGLGVGGILVPTSVITTILCPDDVIATAAALTLSIRIVGGAIGY SVYCNVLARKLAPELAGQISAAMLDGGIRDPQVIRQAVDLAAASLPQEILRLPGVDGN VTLWEAIVSAGQEAYALAYPWAYYTSIAFGGVSILASAFVQDICGLMDEHVAVAI UV8b_07794 MTITTMDTDTELQRLRASFAQIEQQNEQLKQENKRLGQQNERLE QQNDRLEQQNDRLEQTQQNTTLSQYLKNCHRLLFQSLAVRPADSGTGTTVTKVDGKFY PRSLRPWTAYRALKEEFEFIKDVLKEDRLFSAIISIKDLQRRVCGTPVATEDDVKPFE YFAIEGPVMDIIRELCTRVDSNPAIASLNASGITFTNHSLGVNPPADEILQGDLPEGT EKQRRDQSPRKRVAVEPKKIIPDRRCLREDPAGNREISFVIEYKAAHLLQPSRVRRGL TKHLFTNVIKARASTKSSTDEAQSSKDRSDRILAMALTQTYDYMIRLGLKYSYLAAGK LFVFLLVEEDDPTTLHYHMVDPAGEAEDDEGVLTEFRTAVAQVACFTLLALRTKTRPS SWTAEAQEVLAEWPIPYADMEHETTDEEEFLPNSSSSSDLSFDGDALKVSPKKFVLRS RGACKNPDAVHGRREGADDDDDSNDTLGHSSRSAFPRLDVRTKRAAPSSSGSSSSSPT DTREQSRPYCSLGCLLGLKRGQQLDANCPNVASHRMATTSTKHPIHFEDLATLLQEQL AHSLWIHCEPLEKTGKYGAVGTLFKLTLARYGYTFVGKGTIQGFVPYLRHAAKVFDRL ERIQGEVVPVYLGSITLAKPYPLTAQNAIRFAGTNIVHMLLMSWGGEAATSMGERIDL SGEVMRSLNIVRSEGVDHDDPRNANWLWNEERNCVVVIDFDTAHLLPPPKPRLLRKLV GRKRKSMRGQGSSQARKRMSLSTSS UV8b_07795 MKITAGAAALAPALVLASPRAGLDARPDALSSRNSQAHEHSLAS RDPNIINSCDPLTLDSTFFSTVVTQCANAKSYYRKTQIDLNNCIANFEGQLVKARHGE FYRSCYVYTCSLDGYMYSCMCRTSDRGAKTSSIDLSKVLYNYWGKLGC UV8b_07796 MKITAVAAAAAALAPALVLASPRAGLDDALSARDARAREHMLSS RDPRVEHSCTPLSLSPADHETINAKCINAKNQYQATSIDLSHCLMNSEGTFVKAKKCR EIRCLLYHVIVHSQGEYIFLHVQNYLSWATNGFYRLEVLYNYWGDLAC UV8b_07797 MTLSFMRHSAGDRSWSQRDATRPARPDADDLIYPSACETRGNGL ELFRCMHGRVRRRRRRRRR UV8b_07798 MASPKLKILALLGLVSPEALAQLFTVNCAPLTTFRGDPIVFPGV LSSHVHAVVGGTRFALSLTNEEARNAKATTCDKVLDKSNYWQPLMYHQRRDGKFEVVE MQGIAAYYIDRACDYAPGRKNCRGMPHAKAPPKGLRMIVGDPSLRTYNRSNPEQRAIS HVCLGKNNSGETPNLPTQQCERMRTETFFPSCWDGKNLDSSNHKSHMAFPAIGDYNTG VCPESHPVAILSVFFEFFHNTNAIKDFNRLVWAHGDATGYGLHGDFLNGWSDQDALER AIATCTGARGVNDPGCSLNVGPNGPGRASRQPLERAAPTEDIGLQGPLDKLPGNNPVT P UV8b_07799 MDVNKLWITFAPRSAKTGAVQKLFCAEILHSVKEEIFAGHVHTC LPSHPILPHRSYTGFSLRWPRET UV8b_07800 MEEKEGEKTEKAEKAEKAEKAEKAEKAEKAEKTEKTEKTRRVTT RRLPTPGAAAAGVRSQCRRHCQGAKKMGADGPNRRRKSTRNQLGSGGARGGCAGLRIS PKEASPVRGIDDVIVGPSLGLAPSFAYCYIGAQPCWVAAALLHGLDIHAESTWILHCP GPSTEASCTCGILFCFRSPLMSPDFEPRMTDDG UV8b_07801 MIDRSRPRSWDDSRLPTRRNSMSTIYDVPRPNVKPDPRPSPDSQ HPMVSSPFAPSLEAQDEKQQHKHGRHHNGHLDAYDTDRPRLGFKQRLHHFTWAWYTLP MSTGGLALLMFAQPHQFPGLKSAGLAVYIANIVVFATITSLMAARFVLHPGDMLRSVT HPREGFFLPTFFLAVATLISGTDRYAVPKDDVALVWAVSGAFWVYVGLTLALAVGQYS YVFAAHSFGLQTMMPTWILPIFPIMLSGTIASVIAETQPQAAAIPIIVAGLTSQGLGF AVAVLMYAHMVGRLMQSGLPDREHRPGLFMCVGPPAFTALALIGMANGLPNTVDLDMD GNDLDSGIIRTMATITAIFLWSVSFWWFGIAAIAVLQSPPKHFHLGWWAMVFPNTGFI LATISIGRQLRNDAVLWMGSGLSICLLGMYLFVLGNHIKAVVKQDIMYPGRDEDVEDH UV8b_07802 MKPEPLLPPASAPASAMQQPPTASPSAADAALAGLGYKAELPRN LSMMSILGLSFAIMAVPFGLSTTIYITLYNGQAVSVLWGWVLVSLVSVCIAASLAEIC AVFPTAGGVYYWSAMLSTRRWAPVVSFVDGWLTLVGNWTVTLSINFSGAQLILSAVTL FDPDYAATAWQTVLCFWALMLVCALVNAFGSRYLDLINRVCIYWTAASVLVILVTLLA MAPSRRSGAYVFGHYDASASGWPTGWSFFVGLLSPAYTLTGYGMVAAMCEEVQNPERE VPKAIVLSVIAAGITGVIFLIPLLFVIPDIATLLQQAQPIGLLFKTVTGSAAGGFGLL FLILGILMFAGIGALTAASRCTYAFARDGAVPGYRLWSRVDAKLGLPLWALALSTVVD CLLGCIYFGSPAAFNSFTGVATICLSMSYGVPVLVLLARRRQAVARSPYSLGRLGTAV NVVCVAWIVFAVVIFCMPVSLPVDAATMNYASVVFAGFAAVAVAWYFAYARRNFHGPP VLARDELDGVPARAHRGPEASIGAEQATPELPLEKKMSIRA UV8b_07803 MSKRVADENGSGPLKGGHRPDAMDIDDDDKDVGEFEDEFEDEFE SEDEIFEAGVDGRPDAEREADEKAAAMEVDQGTFIVGRSKLEPGQTLAPDPTTYEMLH NLSTPWPCLSFDIVRDALGDDRKAYPATMYLVAGTQAESSKASDNQLLVSKLSGLSRM ARRGDDDDGSDDDDDDDDGDDDDEDAEPILESRSIPLNSTTNRIRAHQVPSSSSGSEP GRPPTTLTATMTESANVFIHDVTPHLASFDNPGSGVTAQHDRPVCTIRAHKAEGYAVD WSPTVPGGRLLTGDNDGLVYATTRADGGGWATDARPFRGHAGSVEDLQWSPSEASVFA SASSDGTVRVWDVRSRARRPAITVRASAHDVNVISWSRQTSHLLASGADDGAWAVWDL RQWKEAGGAETPQPLASFAFHTEQITSLEWHPADDSVVAVAAADSTVTLWDLAVELDD EESKDTAGVKGVPPQLLFVHYLKDAKEVHWHPQMMGSLVATGEEFSVFRTISV UV8b_07804 MPVITVTSKQQFDQLIRDTDSVAIQASAAWCGPCKAISPLFEKH SDALAADHAVAFAKFDIDQVPDLAQELGVTSVPTFYFFSQAERTSVVTGANPGSLKTA VDDLTKRATGTAFSTTENF UV8b_07805 MSSASSSPVMPGGDEAPLTLGHLTSDPSETSGSNRIPTPQPAPP SRFLTTPLSRSNMPQTVKDKEPRPLFKTPSGTTPTGNLGLDPLSKHIYQRSNSIVEPT IAQRLLQSTRGDSPTRDNLQRQSIDSQENPNATFDSARDRKKPSSFLSRLAIRGAWKR ADEANDSDSELGELRTDGSHARALTSVDRAGGGYIPLHKEPPRYIRVKSHYKKSREFN HLFLAQELLGAAKKGAEDEARNREPAIAVGSKILKSGHAIWAAEFSLDGRYLAVAGKD QTVRVFSVISTPEERTAYQEEEEKCRRGEGEKLSAPVFQSKPTREFEGHTGDVLALSW SKNNFLLSSSMDKTVRLWHMSRPECLCTFKHDDLVTSIVFHPTDDRFFLAGSLDAQLR LWSIPDRAVAFSAVAHEFITAVAFSPDGKTAICGLLGGLCLFYETERLQLKSQIHVRS SRGKNAKGSKITGIKTAALPSEGGKADVKVLISSNDSRVRIYSLRTKMLEVKFRGLEN QSSQISARFSDDGAYVISGSEDRQAYIWSANSTDYEMKDKQPYECFDAHPEVVTTATM APIKTRQLLSASGDPIYDLCNPPPVMLLSLEEKMASQTKLSQGGQTESAVSLKKPEES PLYIERSKHVDGNIIITTDRTGTIKVFRQDCAYAKRLQSTWETSSKLSGRLNGLGRSG SLATRTSAGSRVQSRRDSLNLGPATQQTQPASDRIMSWRQDVEGGRSVSLRASRSERS LSPVKSVRTSLNSSRVNVASEARKHAYASSLASRANPGSPASSIHTGRSSPRTNRDKD RGSLLPPTPGFSLLSVSDSRSGEDREESSFWNLSRWKSKSGLRYGSSATLLGSANSSN AADNGSTNVNDDRGPGDKPAAQRSLGVHDMRRLEAEKNAAGQNTLASAGGRAFLVVNT KQNLLYPRHNSRVKRTDGAKTAQVQVHGRGGSFKLRRTKSQSLSAFSSESSPGWCHMP RMRPLTRLALHHHAPLRKRKLGG UV8b_07806 MQLRTRKTVDGDENEVPLIPEDPEDMWHAYNLIVPGDLVNGHAV RKIVCTDDDTGASTAKRVHTDLTVKVKSTFFDPAVSSLRVSGVVVNENMYVARGAHHT LEIEVNRDFSVTKPDGWDSVSKGTLAQALSDDKNGALAAVVMQEGLAHICLVTQHRTV VKTRVESVVPRKRDSAADQDAGVRRFFDKTLASLRRAVDFSGSRPLLLASPGFVAADF KEYIMRQGRDRSDKGLMAMSRLATVIHAHSGHMHSLNEVLQSPEVVARMRDMKYTREA HYMDDFFHKLKKDDGRAWYGTAVVEKAVADGAVGPGGGVLLINNSLFRSQDLATRKKY IALVEKVKADGGMVRILSSDHESGQRLGMMGDIAAMLNYPMLGLDEDDQEAEGQDAPI EDGGDDGEEDDGMLDSVI UV8b_07807 MTSKLTINSTVKLNSGYELPVLGFGVYKLPVESATETCAKALTL GYRHIDSASAYHNQVPCAAAIAASGVPRSDVFFTTKIPMKGWPLSYDSAVGLVDAALA DTGLGYLDLVLIHCPYGGSENRLGAWRALVELVEAGKIRSIGVSNYGVRHLDELEAHV RALEAERGGPGRGGVLSVGQWEVHPWLAREDIVRWCRERGVAVEAYCPIVRGERFGDA GVAALAAKYGKTEAQVLLRWSLQRGLVPLVKSATESRIAENAAVFDFELTDDEVEGLA TDEYSPCAWDPTVEPLDK UV8b_07808 MTSKQVRSAYRASTRGPPVSRAERLRRDRAEQDRIRKEAERERA AARARLARERRRDKELAERAHRRKLRMPLASVRPSQDTISRFARGGGGGGGGGGDGKP GSCCDGGDKENEAPRRGCARAGRSDLLEEQEQEQEEEEEGASPTRVPPPPPPPSTQAI LINADAFFPTSSQQARELQDDANAAPARQRSLSAAGPEPSPSRPQRAVPPQDRQPPRM ADTPSSPAASARTGNTEPARWPGADAQPCFRESQETEYGGEWVDELASELGF UV8b_07809 MAQWRLSAIFFGHVQEGMVARMERIQGLAGLTLDGKGRRWRRRN HRVGCQPWICIKLLLLLLFDGPVCEFRLARLAAHQPCKATLWHGGYFGDASVVASPRF VLYQVAVAI UV8b_07810 MARVGDAGLESTSEDDLVEVRVNNSSNRRRNALESLLNPARDSP SFPPTPPARSRSLRPTVRLAPLRMPRSQRQSQPQTPQSTTVIDLTDEPDSPGQARPSH PPRQMARNPRRTDSQRTTPPSLSRSDSTAMGPEAGVIDLTADSPQGERSFEPRAARSH RNHLHHHHHHHHHHHPRRPRPVGRDELIELEFIDAAGGDSFYSNIARGVRRMAGFLGT EFAAREFNVAPIELPPSFPAREPSPKPPMEPVPPVREGFTRNTSSTEEKVVVCPACNE ELAYDATGTTAPRGTRKRKRAPGEHHFWALKKCGHVYCADCFENRRPTKASPEGVGFR SPPGKTLIGAPNDIRCAVEHCDTKVALKTEWVGIFL UV8b_07811 MLLGKRPPWDGVREKSGRTLLVLVRVLWTWHKVAEVKVAEVKVV EVKVVEVKVVDVNLVEVNLVEVEVVASQAGFEFFFIGGGWGQTGSTARRTKASAFGFV VSESAWHLVSGALVLPRCSTEATRQHEPPPFLGNPGPLMSEPIIDDGGGVKVASHQGI KEKQVQV UV8b_07812 MLCGISGEAPQEPVVSKKSGVLYEKRLIEQFISENGTEPTTGEA LTADDLLAVRSSRIVRPRPPTLTSIPALLATFQSEWDNLALETYNLKEQLARTREELA TALYQHDAAVRVIARLTRERDDARDSLSKVSVAGRVDTGDSMVVDSVQGLPDALVERV EEAHQSLSKGRKKRSLPEDWANSEDLMSFGVTASNPLPVPQATSIDLEGNYAALGGLK GEAVIYSVEADKLEMQLAVNEAVTNTLLSGSKLFLATSQGSVKVYEAGNEVASLSEHA GPVTSLSAHPTAGILGSVGADKSIVFYDLSCMARASRADADAALTACAFHPDGHLFAA GAVTGDVKLFLTKNLEQAAVFQLGAPIQALTFSENGFWLAATAKGQTTVTIFDLRKEG EAATAKILETGGSVQSLAWDYTGQYLATGGASGVTIQQYTKSSKKWSEPFRNSTPVVG VRWGESAKKLVAVNGEGVVSVFGVKA UV8b_07813 MSLSRLPPIGQLRSLPAAAQADALDLLFEPSAAIHSTLLPVIQT SMYSTYPELIDQCRVALFDLAAKSTPDNPDPTLLSVVASHPRLGAKKVDSAQSAAEQA NLQGQGEQLAELNREYEEKFPGLRFVVFVNGRGRPEIMHDMRVRIDRGDFSKEIDAAL QAMCDIAQDRATKLLALR UV8b_07814 MLEFKESLADAQERAIAAYREWPRMGFQEFMELVPIPDEGCKPG PGIKRFMSRQPAWMPGKELPWDALFQNIKGQRPRHSGLGVFGGCVYAQAALAASRAVE EEDNQQAVATGVVKPKPGIHSIQGVFAIPGFGDRPFVFDVSNLVSARTFFGRQVNVRQ PKQPSSNPAGPFPRSDAERPLNDVCFSCITTLKRPTQGPDDVQSSDSAQERYADILSQ RAPDEWEAAPQSDIDAITALFPGAGHGAFPMLDMYKVDMSTYNQDKEIPDRRQLMLYR PLKPIPQDDVNGHIACHAFEADRNGLIMLGNHLGYGFNMGMAASLSYSFYVHTNADEA VMDGEGWWIQEINWPRVSAGRCMMESKIWSPRGKHVASAYQDGMLVPAREPMQVKQVK L UV8b_07815 MMPPGFEPTVPGFEKALQTPYEKAYKCNADETLYLLPDSLRGTP TNKITESSPYWDRNWTSLDAFIALEEETVALKSRYMALKRLDPSNTDVRAKAKLYLDN VSKHRKIRQIFGGQSRYHPNQLVSKQHMPPQGLCDMDLMYKLACKISGLAVLNKHGIM AMDCWDFIRWRISIAIRERLGGFGSAKDIVRSLIFKIFEDNSDDLIMREAVLLSAKYQ GSLNRYKNYGRKVKTATQPNRNTPTQNRQPAADTARPLEMSARDEARRDRLHRLATQT LRENPLWNRRQAADTTSLLENSAMGEARRERLRRLARALYRQPSADATRPLGTLARDE ARGERRRQLAAKTEGYQGVNAFRALKKEVEELVKKEDKREGSCRDYSVEK UV8b_07816 MFLQRSAIAAARRAAVSPGVARSFSTSFIRLQAAKGPSTPNEQA AALAGTVRKEVGDYKVLSEIRSEDDLYGPGAKPGTVPTDLEQATGLERLEILGKMEGV DIFDMRPLDASRKGTMEDPILVRSAGDEQLAGCTGSPADSHIVTWLGLSKERPIERCP ECGSVYKMNYVGPEDDHHHHHHGPEFEEPKTFADFIKPEYRYR UV8b_07817 MPEKITSKNLSYRSSLPPFLARLHAQAGTASGPDPVLAAQRRSA KKRSSSEEAEDAPLVVDEHGNSVDVRLDHDDGAAEETHPEEAAARVQDHGAKPSDESE KPGAQPKSSIGARKRRIGRVVGTDVADSPLGSGRGEKATTGETDAAADKKVKRKAKKI KLSFCEDEA UV8b_07818 MDLIRRAKGMVPSTSKLRLPAHDAKAGVTKRNSLISRLAFFRRP LRLRGNSTVSVPLGVVLVFPLLVVILILVLFVQHPSSSGRVSVPAGAPPAIRKISEKH DKVFVTGCLEPDTSKPRANATLVVLARNKELEGVIQSIKSVERHFNRWYHYPYVFLND AEFDDNFKDTVRNHTSGKVEFGRVGPDMWGFPDWVDHKVAKEGIAKQGDAAVMYGGLE SYHFMCRFYSGFFYNHPLLLKYEWYWRVEPEITYFCDITYDPFLKMIEHNKTYGFTIA VKELRETVPNIFRYASAYKRLNNLTSQGLWEMFVEPKDAAVEKNKDQLPDEVLQNDPA NNAPPKIDPEEMEGEKYNMCHFWSNFEIAKLSWFRSKEYNDFFEMMDRSGGFWMERWG DAPIHSLAAGALLGVKDIHYFRDVGYRHTTIQHCPGNAPSRQLPRTPYLEMTTLDEKK RIEEDKYWENWDEVKENGVGCRCRCDTDIVDVEGKEGSCLPEWVDVAGGWAP UV8b_07819 MAARLSAPSMICCGFQPGASVKSLTACLAGLGVRQQTRRASILS NLANNKGALHPKKRIGRGPSSGHGKTSGRGHKGQGQHGKVKAWFQGGQTPLMVKHGRM GFTNLRAAKMSEVNLDQMQQWIDQGRLDATRPITPKELIQSGLVGSVKDGVKVLARGK GCLKQPVDVVVSRASAGAIAAIEAAGGRVLTRYYTKLAIRRLLTGESVNTDKPLPVGG EHVERVLGEARRGPFRYRLPDPTSRADIEYYRDPAHRGYLSHQLAPGESPSLYFRVPG ERKVKSAAKAERKVAEETLW UV8b_07820 MSLFGTSPPEEHEPSPGRFRGGGGLFDDSPSHRASSSSLFDDGS ASRPDGSSSTPWDLPTPRKQQSRAELLRNLLPASDAPDSYAGIFDAVVGASGRASADH VAGLFASARLGPEAQARIVSLVAPGDAADVSLDRNEFNVLLALVALAQEGDIISLDSV DERRQNIPQPKLPGLTAEPVLPPVAELAAKPPQTPGIGQVQADLQAQQHQQHQQHQQA LNPPSPLALPSPSPPAQLLRPVMDDPEDDPWNTPDVHKGHDHSKLNGHHKSHAALVHG QSSFDASPSTRIADQSLSQYISASRVPSSAPGGRSGNGGHGEWAGYFPAAANASTDNS NNNNDDTINNNNNSSIINTGAFNGHPRNAAPVSANPFGGDGGSGPPATAAIRPTSGRT GSSVEENVVVTLMPGKEGIFMFQHHNYEVASQRRGSKVIRRYSDFVWLLDCLHKRYPF RALPLLPPKRVAVNGNHFSNDGSFIEKRRRGLARFLNALVRHPILSQEQLVVMFLTVP TELSVWRKQATISVQDELADKVLPPGLEASLPPTLEDLFARTRAGVRRSAELYINICN VMDRLVKRTGGVAADHARIAMSLTSLTESSADTYATDTNEVPLLNDGLAAMSKHLRTY QNLLEDESRGWDEGVLEDLKRQRDSLVSVRELFDRREKLDRDNIPYLERRIQANETKL AGLRSKPEGMVKPGEIEKAAEAIIKDKESIVQQHNRSVLVKECLRDELVTLQSSQYHV SRWNQDWAGERVKYAEMLADNWRRLLDELEGMPLGD UV8b_07821 MADLEKDASTATVPVPGPDAKSSPDYIQFDCLPPGGPLNRWSAV LTREHDFPGAQAMLYGAGVPNEQLMKNAPQVGIATVWWEGNPCNMHLLELGKIVKDSV QREGMLGWQFNTVGVSDAITMGGDGMRFSLQTRELIADSIETVTSAQRHDANISIPGC DKNMPGVVMAAARHNRPFIMIYGGTIRRGHSALLERPVNISTCYEAAGAHAYGRLHAA TDAGRPGRGPGDVLADVERHACPGAGACGGMYTANTMATAIEAMGLTLPGSSSYPALS PEKRRECERAGRVMRTTMARDIRPRDLLTRAAFENALVLTMVLGGSTNGVLHFLAMAR TAGVPLTLDDVQRASDRTPVLADLAPGGRYLMEDLYEVGGTPAVLKMLIARGLVDGSV MTVTGATLADNVAAWPSLDPGQRVIRPLADPVKPTGHIRVLRGNLAPGGAVAKVSGRE GLRFAGAARVFDSERELNAALAAGAVGRGGGGGGNLVVVVRYEGPRGGPGMPEQLRAS AAIMGAGLTNVALVTDGRYSGASHGFIVGHVVPEAAAGGPIALVADGDVVTIDAVANS IDVAVSDEELARRRAAWTPPEPRVRRGVLAKYARLVGDASHGAVTDDW UV8b_07822 MNEAVLPRLSRTPLELGKTGRLVSRTSRDRDERANDGHRQSSTV IFDSHRQSSSTVIFDSHLRQSSSTVIFDSHRLPSAAIDRH UV8b_07823 MNRHRNGVTKNRKTSLTSSSSGRAWREEEEAYLIQTRMLKTPYK HIAAHLNKTELACRLHYHQLSHGSTRRKRIASFSSGSSEPSPSQPAAPSSPVCRGARS LSPPMSGGGFLGKSTQDSDMRLPRILSAKRSPRLPAILPKPEGVPFSPAIGEALPRCA SITSEQCINNTLPPVKMHHFQGGATYQPSGPPRCLDGSRLSPPSSSVHTPAQVDLKRL FAVYERHKRAFWAVIGDEYGMNASPAALEQAWRLGACGQYSDSNPMTRVGSPAEGRRA ELGSRGRDKTRIASILDDGPEMRLQR UV8b_07824 MRPEYRGDLMSTPLAFYALFLACTQYIAVLLLRTLPSLDVQCSM LDIRCSMFDVQCSMFNVQCSMFDV UV8b_07825 MCLGASYSPQSPQNPQNPQRSTAVHTPSGYWVSASTALSRPRLA NVNYLALPISQDAGILYYANPWLLLSTTPDPDPGTTFQGPLQQSTSLAADLSEGLIDS VACEHHAIMQQWQVGPVPEYVYSNSTHPPNDMHNHPYQPDMARRNEQRMEFPYAAAQP NMAPQQQQQHHPAPGQPPISVSQAPGASGAQQQAQTPTPAQQGRQRKRPAPNTAPSPA TAAAPAAPVPASQVQPPAPTPPTPQAAPAPPPAQAQAQAQAASEDANTTPVQPPPAKK SRTNTPWTPQEELRLKQMRDAGNSWAEIAKTFPARTEGSVKKHWYKDMHYAEFAEDES QALLNAIKEYENNKWKVIGQKVGKPAKACEQYAKEHFPDLFTAGKGR UV8b_07826 MKRKLDHNGVPSSPEPEPQKRSRSEPCPDADLSFADLGLDPRLV QAVAEQNFLKPTLVQQQAIPLALNGKDVLCKSKTGSGKTAAYVLPLLAGILKRKRVDA SSATSALILVPTRELADQVFKVIEQFSSFCAKDVRAVKLTDKLSDAVQRSLLSTSPDV VISTPSRAWHNVNSNQSALSLAKLTHLVLDEADLLLSYGYDEDLEKLSWSIPKTVQTI MMSATLTAEVDSLKKTFYRSNAPALLDLEEADADGPGVTQLVTKCGEDEKFLLAYVIF KLQLVKGKCIIFVGDVDRCYRLKLFFEQFGIRSCILNSELPVNSRIHVVEEFNRNVYD IIIASDEKEVLGNEGKANQETEDEEAQPDKGDGSDAKKQPQRSSKTPKGAKRDKEYGV SRGIDFKNVAAVINFDLPTSASSYTHRIGRTARAGKAGMALSFVVPKELFRKHMPTSI PSAEKDEKVLARITRQQAKKGKEIKPYNFNAKQVEAFRYRMNDALRAVTKVAVREART RELRQELLKSEKLKRYFEENPSEIHHLRHDGELRTARQQAHLKHVPEYLLPQDGNKGL TADEVGFVPLRRSSKLRGQRKGKGARRGGFRGGARKGDPLKTFKARRKAR UV8b_07827 MLRSLAIATLLPACAVLAAKCDLNNKCPQDSPCCSQYGECGIGA YCLGGCDPRMSYNLSACVPAPVCQDRTTKFTTLDSIVDISSYYGDASKKDWVAQGEPA LLDGNVLLTMPKNSVGTVLASTTYMWYGNVKARFKTSRGAGVITAFILLSDVKDEIDY EFVGVDLKTAQTNYYFQGITNYHNSGNISLSDTFYNYHDYEIRWTPDKIEWLIDGQVG RTKLRSDTWNATSQNWMYPQTPARVQLSIWPGGAATNAKGTVDWAGGPIDWNAPDIKN VGYFYATFAEVSIQCYNAKSGPGTNSGKSYTYNSVTTTNNTVVDGNGDTVLGSFQGTG WDLKKGSSSSSSSSSGNQASASQSGSSPANTAAMIPGGGNGASGQDHGSSGSSSGSSS SSGGGSGDGGSTPGGSGASSGSGSPSNNCPVGSFSQDCSGASSSSSSSKKSSGSKTGS CAGAGALAVMIAGLVLHCCL UV8b_07828 MKASRLAAGAAVSWAAATWAAATAATAARVAKLVLSDAAGHIYL ADFDGAAFRLRCNASVGGAPTWLAFSPPSGSLYAVDENSATTMRLDVDLQAGTVTPSA NVTSANGIVHLEFSGDGGRMLGAAYGSAGVAVFNTAGGGLEYLRSVASSDRGGPVPGR QDKPHPHQTVRDPSGRFFAVNDLGTDRILVLDGRDDAFAVVNHVPVAPAGCGPRHGAF YPAGAARASHYLVVCEMRNLVNLYAVRYGGPRGIDFFFEQSLSTLPPGAGAVSPTAAA GELVLSRDSRNVYVSNRLVDKDKRADDSIASFRVVPAGASVKLELLGLTPTGGRLPRM FSQSADGETLFVANQAGGLGLVALRRKPDGTVADAPVASVAMGEFPGGNGPAFVQQIA UV8b_07829 MSFGQTITLNSGHAIPRVGYGTWQAAPGEVGNGVYEALKTGYRH LDLAKIYGNQKEVGEGIRRALADVAGLKREDIFITSKLWNNKHAPEDVEAALDDTLAE LQLDYLDLYLIHWPVAFKPGNDQFPKTADGSEVELNRNVTISQTWKAMTQLPKSKTRS VGVSNFSIEHLEGVIAATGVVPAVNQVERHPRLPNFALTEYCASKNIIITAYSAFGNN SWNIPLLVNDPQIKDIADRLGAEQGKTVTPAQVIIAWSLVGNHAVIPKSVTPSRIREN FQEVELDEKALDHLTKLGEDPQRFNIPMTYDPAWKIDIFGDDKEKDSKAQVLIK UV8b_07830 MESRATAGPPAKKRRFFRDADEASADSGRPAVVAGVSEQPDSPA GNLRNDRRPALSATLGKCAENGKPKEPPAEEPSRDETCPAFDRNLFESLVGENVCHEV LSIIRDTCGDNLEAAVNMYYDGTYKKHQAEQRQQKQQPAGHPSHGKPPSCQPAADRQP AEPVSTKTRGSMPRKRYIGAFGVEGWATRSGTNLLKHGDVVKIERQRIQPPAPKLKAK LGVSSSTPTRPSPAASRRVDVIVRFTNSSGMEVGRLAKETASWVSTLMDQDVCHLEGT CVYAPERMRTNDTVFLQLRCFLLRSAFDSRRLDLAEDRSSSLLDQTETQEEKELRLRQ VALVRLFQEINLEPTRASAAARDGRRGLLQAAEMDEQKQKDTKRAPAAAAGSESEPAP PTSSDAEEGEELEQDQLDALYKKAQCFDFNTPEAEPAESFAMTLRPYQKQSLHWMIAK EKDDRASRQPSMHPLWEEYEWPTKDADDQALPEVQGLARFYMNPYSGDLSLDLPVQEQ HCLGGVLADEMGLGKTIQMLSLVHSHRPGVAREAARAPHGSSSASNVNPLTRLGSGPA AGGVSAAPRTTLVVAPLSLLAQWRSEAERASKQGTLKIELYYGGEKTGNLQALCSSSN ASQAPDLVITSYGVVLSEFTTLAAAKNGGGGGGGGGGSDKSSRNGLFSLRFFRVILDE AHHIKNRAAKTARACYGISADCRWVVTGTPIVNKLEDLFSLVRFLGVEPWNNFSFWRT FITVPFETGDFVRALDVVQTVLEPLVMRRTKDMRTPDGRPLVTLPPKQIDIVEVELSK PERDVYEHIHSMAKRTLSRNVEAGTVMKAFTTIFAQILRLRQSCCHPVLVRNRDIVAD EAEAGAAADAASGLADDMDLEALVARFTAAAAADDDDDKDKDKDKDNCTYGAHALEAI RSEAGRECPLCFDEPMSDQTVTGCWHSACRTCLLDFIKHETDRGVTPRCFSCREPLRP RDLFEVVRHHDDDGSTDGGGGGGISLQRLGPNHSSAKVAALLSQLRSLRAEHPRVKSV VFSQFTSFLTLLEPALARARVPYLRLDGALAQRARAAVLQAFADRAGFTVLLASLRAG GVGLNLTGAGRVFMMDPWWSFAIEAQAIDRVHRLGQEDRVVVKRFIVRASVEERMLRI QDRKKFIATSLGMMTDEEKKLQRIEDIKELLS UV8b_07831 MRRPRVSGHSLQRLAKATASRRLHGTSADVESARRYCFKQLQTS DYDAHLIRRFVPPPAQDTYAALRALNLELARLPEAVSNPATGALRVRFWHESLDKTFA GLPPREPICILLHHALRGLEARAGPATRKSIKFWVSRLVRTREKQLDGRPYPSLMALE DCAENTYSSIMYATLASVPLRSVHVDHLASHIGKACGIIAVLRGVPILAAPSRPVKTP TGSDAPSTRQPSLLLPLDVMAEEGVKEEDVFRQGPSAAGLQDAVFKVATRANDHLITA RDMLKRLKAGEDPGHDFEHEGEVEHSYQGESDTPEEVRRGFGVFLEAVPAAHYLDCLE KANFDPFAVQTGGWKLPWRIWQALSKTSL UV8b_07832 MLYELIAIVRPHNLGEVKEIAQTVGSLVLRNGGVIRGLANWGVF SLPKPVSIHQMKHTHGHYFVMRYDSSTKVHQDVRTMLRLEPRMIRSAHVKLGDGKLNT LSRFGPPKWRTQGSEA UV8b_07833 MQRGHLYQVSIHKYVALSHSALLLFGTVTKRHICTCDGEAVVQT VDLLICWVSSTSKSVAATICYARQQLCFSSSLVLVVDGTRPCFARGAQKPVSCSRSTM UV8b_07834 MPPPSSTQQKVLAARFAALTGASERQSLKFLKSAGYSLDEAVDA YYDAGYDNMGPSPIESKLDALFNSLRDGQNDEKDKLEVDSTMSYLDSKLGVNLENAEL FIALEIVQAPNVGEITRSGFVEGWKQAGAGTTNEEHAAHIRKLSTALPTQPALFKKVY RYTFVAGREGDQKALSLENALVYWSVLFSPPGMVWKSKRHDWLDLWTTFLNETWTRSV NKDMWNMTLEFASKSLADESLSFWNEDGAWPSVIDDFVAWCRVKGIGKPESMDVDEEQ UV8b_07835 MDDMSDAGQEEEEEAAAAAAAGQQQQQVSSSKQVSSSSSRSAAA STGEWDGRV UV8b_07836 MASSPLVDDDAYSIDPSKPLRDVIVCCTSIPPDQRTEIAQKVDE LGGIHKYDLTPEVTHLIVGDYETPKYRHVARERPDIRAMDAAWIEAIAELWKNDLELD FLSLEREHQLRALEKSGSEIASAHQEQSTGRQSLLICLTGFGEQRDDIAAKIVAHGGR YTGDLTRKCTHLIASKPEGKKFTAAKSWNIYTVTLDWLDKSIERGMILDESRFDPLLP VEEQGLGAWIKKDPRRASSLGKRSRSLASIVAEDKGARKLRKTASMKLNSQRDHLWGD ILGHPGSGDDSFAAEQPSMEPHPHPHHALAEASSTRRQPEPFRHFEVTQKEGVFRGCV FAIQGFCQKRYTVLQDTITTLGGAVASSLQEVISGGAEADDFHRFLIVPQLSRPDSLP DASSRDGVETVTEFYIEKCLHGKQFFHPSEHVLGQPFPVFPIPGFAELVICSAAFTGL ELSQIARSLTQLGAKFEEEFRRSTSVLVCRSLSAMRKDKLRYALEWGVPVVPADWLWE CIATGSRVPLDKHIFAELRSRYQAKPSEAAEAAEDDDDDEKQKKQKKQNKGKQPSQVG GSSSGASDTRPTPAASKAKIIGGFDASDFDDFAPGPGKKSQPATPADSFPAKSRPPTA TLAKAGTDDPLGEVSEARLNKSPPPAKRAANEAAAEKPAPSPEEAASASASASAPAAA EAARGTAASAAARQQSRASERQALSSKLSSLMHSAADCPAQDAARPRRRQILGRAISN ASNASSAASLDGASGASGPRQLTDSLRAAVAAGLDDQDSLDSLAPPAATQLEYADPDA QQVKEAIMSRMMGGGV UV8b_07837 MEGNDMSALPQQEQHAVWGETERNFWQKFDDDSHVGCAAAEQEY QRQTSSVKEELQALSVKRTSLTQRKEQLSKELTHVEEELAKIADSYENYIATLTMMEQ NYQKCEQERLEKRDQVALTMDRFFRDKQGEDRSPLSQKDGDLFHQVSRNTAATAAATA AAAAATEATATKTTTRTTTTPPRKRFPSRAAIYAEALKQGNEVTRLTQVLPSIRTTPP PPPPKPDSILVNVIDADGRLIGPIERVEPWNQWVDAIQNLPIRRPVKIRRGRKFTPDH LATIYDRSDPKGVKWIACLIQAMGEIQRRRCHSCDKNQGAFDDCTILGGPLFQKCGNC EWNRQGCHMPLVCESDNSSGNPALASGTGESKPSVSLPRLYSSYQREPAQLLTPKEQK GPAAPGGPTNHVQESHLPPTLPTLQPLSNGFTPANMRSRQPWSPAPPEVRTPSVQSAE DSPPPLGEITKSNLILRHNGTVYTYPECVEGVPLVKIDQNHPYWDPRWPPLQSIIVPQ LEMWRVKYEQACIRKARGESGPAKFQTGRQVNRGTRILEYLEEGEISPYQLLSKQYTH TGKGTITAYDTLFRMCETLFELTKYRMDISPVEWLRHRLHEIIVEQGAYFNYSKTMHD FYRDPKLAALRDANGFKSIGRPSGYKPSQNDGKGESSSGQKRKSMQSQADTPRATPPA PAANGHSPLSSHSVNLYHPPHDHAPPHDHAPPHDHLPPHNHLPPHHLPLHHPPPHHNQ NQSPLPSVGNGPRYAAEHSSFQAATSKRLKPDLSVYTSPNAIVFPDEVSDTDSWSGAL LDKLDWRIYQVKTRLYTSNTTVTQYWHWKDDERMFEHQVIKETDPVVWGVHRSPIDFH VPLDDIVEVNWNMEALQLQLVMSQFSSTLAKQDGKPRGDIMASFKRKTTMRRFLSYCL QRRLKLVKITAQDMEQRWASMQSEKLPLTEGEASSELRE UV8b_07838 MSGFGDFTSICRTVPLPLCANIGPTLAATNRAGIEPDCYARNIA LANTIIFEGAAASMHIVALVMTIVMILHVRSKFTAVGRKEILSFFYLYMLLTSVSLVV DAGVVPPASGPFPFFVSLQNGLTSALVTCLLINGFVGFQLYEDGTPLSVWMMRICSSA ALIVSFLVSLATFKGWAGLGPTNTVGLFVVLYLVNAAELLVYVVMQVMLVTRTLKDRW PLGDIAFGVLFFAAGQVILYAFSSKICNSLSHYLDGLFFATVCNLLGVMMVYKYWDSI TKEDLEFSVGTRMNNWEVRELLPEDERRATTYADDPYGQTSGHDLPYSPAAQRYSAKY UV8b_07839 MSVQAPYMAHYLPVSPSLRHCLQGRNLASSIALPKPPSASGYSS TTLGHGLRTPPVDDDMSATYQPAMTTYGSHNVHAYPVPMAHASHIKPVMDDARVPQPY RYPSQQLLAQNGTYSSPLPDSSLHQAVMPATPLAEVPVPSKRSDPVTRRGSETLIYHS LQLPKCISPTGGNLSDFAAQMTCLFWFESIEELKNAETMRPSAANIPISRLPALARPH DQFLKWIYNVLSTTQVTQNVIFLALLFIYRLKMSTPQIKGRAGSEYRLLTVALMLGNK FLDDNTYTNKTWAEVSCFAVQEIHVMEVEFLSNMRYNLLASKDEWEQWITKLSCFHEY YQRASKLPASPVHKPSPTHRALHSPLPSPTATGMPPSMAAVKCNLSPSSRHSRNTCTY QANATSPLAGKVSIPLPRSRKRSPDGYLAEHPAKRHVFSAAPSARPPNATLDKNMTNS NSNRLPVPHLTVATNQQYVGGITHAAQSAGTASQQMVSLPPLQPGVRALSTMYQVNPS VGLVQAQPVGAVTSTTPAPRPMYPVPTLATQAPIGFGTPTKHHSPGRVVASYGSSPLV ESFGQTSAIHTPVVHTPISNSPSVYLQHRASPYKPIRHVNRLLYPPPSASLDQYHISS FWCTIVASTNSKSRHRACCNYNNNDKGTTQSRNIVTQSRLALSGRSRCSSVWPPLSPN EGHFIKQTIKRIPIFLLLNLPIIHSLRGFWMRLC UV8b_07840 MFRIQQYKLESMQVIEWCWEPFRQGVGLLKGSRHLRSRRKLFPP DDLYRSPPKSKPTLADHSSPMGHGHYSLS UV8b_07841 MHLLRPIIALAALLAPLVEALTADEVRNAIQSNQLARFNSLMAR VEVHHLPWEAAHVAGATRNVIRVHVTRLDGTQYTRDFLVKAAVRSPNPQGDAQRPRKG KGRSYSS UV8b_07842 MRLLRSILALAALLAAPLAEAVLTANVLRDTIQGNQLARFDLVM ARIRINHLQYTADYLPGENTIRIHITRLDGTQYMQAFMGNRLASAYFNAPQPYGDSRR RPRKGNAKRS UV8b_07843 MWPPRGMSHVRGDGPGVSSSPNQQPELETFLDCLDIDALRSCSR QNDDRDAPVPPELRIPGKHFETGPKLQHRIRPQLTVTSPLALAGEEDFGQDELAGPAP AAAHPSVSRHYLSLAKQLSAYDRSTCEGLAWNQKYAPVSSGEVMQKRSDVLHIKDWLE AMKVQSVGTGCGDGAGDRGRSSSDEVPKRKKRKKSKLDDFIVDTDDEGSEFEDVSGED GEDADFWQSRKSVVRSGSARFKEPARLRNTIVISGPHGCGKTATVYAVARELGFEIFE INSSSRRSGKDVVEKVGDMTRNHLVQQHRARQLHGDGETNKPKGKEPTSGKQGFMTAF LKNNAATKRTKPARQLKKTTTSPPPPPPKAQKQSLILIEEADILYEEDKQFWATLMGM MNQSRRPFIITCNDESLIPLQSLHLHGIFRFLEAPLPSAVDLCLLVAANEGHVLQRRA VESLYRSRHHDLRATISELNFWCQIGVGDRRGGFDWFYSRWPRGCDVDERGDVVRVVS EDTYQRGMGWTGRDAVMAESNRHDREVEALQQAWNFWQVDMGDWSRSDGMASLAQDLE TTASTCDARLAALGALDKFYRTQSDADLCAGGSLATQLQEQTDPTLPDLALGARDDFI VGRTLLNADEPCRHGSCGNAVSAAIKSHARAQLHDDLQSWLPSAPDDGRAAVLGPVDE PAAVHELDASFGSSAKRVTRTDVAHAFDAIAAAPKAQPTSHLDPSVFDRTMQLIVVDV APWVRSIVAFEQHLMQQRLRRSGSLLGQGIQGAEAEAAAAAAAARKRMRSTRSAYSAL EGSERRSTRRERYFGDALTTGLVMRTGGDSWQDAAAAEAAHAERAAETGRDLKGTMDR CETF UV8b_07844 MAAEYRIHRPYVLASLPRPLDHTDGRIVAREVYSLRDGRKKKKR MELAVGVDGETASIYDIPGSRHITSYPIPPQESFTCPPYSIRIRRSNSDEVYRYTYIA TKDARLCKVTLFKDVVCGDGKTTSTSTSQTLQSSPVRHIAAASTSASGPGDVVLICED GQITYLSGENLALQWSAPSRSTVQDVVAQGIETFAVEYASSGGVSDFRDGIFKSRPEV FSALPRDLDSYPSMIFLIVKSVAKGQVARHALVLAAMEGLSAVTSNLQKLIPLDISPL KNDFISKDGKPVYHVDVQSGHLMELVDGRLSSYDITGAVPKRKSAIQLEDANSFTCLS RPFLLSASSSALVLYNHQYRSIHAKAGLDLSELPIDGQQARCCQLITHLRSQDLVVAL IDDVLVSIHVEPPSSHGKRCKRGLLIDSIGRGTSSQFPEKKLKTSSGSAEFSRLLPGT MTDAYMAKYQADVKRADDLLSKNDLRKWETFLRRKFGLGIRKEAATTMEEKGTEEAVQ EPPEWEWAKQPGSYPVADRRWVLYAIGRAFAVEASASEDSKSELRLIMPDSNVATYLV VAGHLTISNLTSVFRDELTGRDVSDTPALARNLIDGLTDADPSMTLLLNYLQATKLGE VELLLAIRSLMSSMDLLPDTNKLNKMKLLADDAHDQKEIDSQEMDLDDLERDIALTEH YLGDDSSIRSRGLTLAFAKLWRVPAIATVKALRATIRTDELLAFIYLLRVELVRGSWT SLYIDQTGLESEDDDPPPDGVITLIADLLGRCVDAMGAGGWLLNDAMSWADGAEASDF LTALKLEVAAALEGIEEAVYLSGIVGEAARFGLTVEKNSAARQPWHPNKANTLRVESR ESRLLPLGLKAKLMPTRQKVVSGGEVVRRNARETGHLISQKVEVYSLERLAV UV8b_07845 MSASTHLEHIDAADAFARTLFLRAKSCPSPLFAGVAAAVRQLHL ALRHLRVEAADPDSLLRRADAPVYTRQLRPLVQDCSFALKQLEAVLENHDVARGRETS GLADRVAAVRSRLATQTASIDMFLDTVQLHNPASNAPELVPLDSSDANLEIIKDKVDD IATRLFRRRDTEGSIVDDQDDKWRDFKSELETEGFSPRVLQQHKDILRAYIRELQSVS TANGGAPPTVRGLLEQAASNQPSPPVPPKEPLSPRELHAGADSDKNLPGVKQLQRGTL DLAPVVPGDAKAANKRSFSFQDGASGQGDSLALVSTQDLITMDNLNSRMAGMHLHPTL HPHSPPSQARSISNPLPLAIAGSWPPPHVTTGHPHTTSSLSESPADTASSASRTVASL APDRYGKAIPMDAPWTKVRRSLISPEVLERAGVRYEARPEYVAILGRLPREQVAEYAR QSAECRAARACAPAAAAAPPPPPPPPSHPQARHHHHRGRANSSSSRDDSDDSVLWDES DATDYDDDKPSERAARSYPYIVSPPARSRTSPSTTVTPKPILKNKNANHVRFDPEPHE VRAADATSPRCSDRRQRHRDYRDSGERSRHSHKSDRDRDRDRDRDRRRDRREERHMRR KTWGETIGVVGIGGAAASLLGVLTEAAVGF UV8b_07846 MAFRRPSASWPAASFHWRTAVGSPHGHQGPPARRLSRRSDVPRQ RLATLSDAHHPRRTFTEPVPLREHGTELLDNVLAAVQIQDPYQAYHAFCKWTDALGDA SSPAHQAAVRQAQGLPGPSLSEILRTLDPLLPVTHDTAHGLNLTKGQAQFSDAGKLLD QFGVRVQHRRVLRGMQVLAAARGESPHHRSGLAAADYEILLRCAGAALDYQAAKDFWA DMARRGRQDLRTVRTWTEFVKARNREPLPMDRLKRLDDMRLSINAMMREPWNRRPDEP DEDLRRLLRRRGGYRGYRGHWIRALCYGHDLDEELLCASLVAFARSSSLHAIKTLVFK KHYDIDIDVGSAQVSGGAELPPGSAVRPTGRLLRAIVEAFGCMSHVRLGMKLLDFVSR RYAVPIPPETWSNLLSWTYLCASKPFRSMRRIHGDFPSTAVTAADVRLVWSAMTSEPH RVAPTFHDYDAYIKCLLVQRTLGGALHIIRQHILPLYARVLGDYHAALLDELVQNDSG NGNGNGNGNGNSNGNNNNDDDDLARAATTRRRLQAEVRKDYVHNRIASWLDRLVKTAS ANRFHRDGAVMRTLIPNLLVEFGDFFPPQVRYRTSQGRVRLDRPDAARRCDWERRLRR TLPQKKAGIHARDAEGSDQPGFAYPRVPTLTILEWQRRPRRRMARVGRVPRDPERLEA WRAKLEEELAL UV8b_07847 MADAGDGASHVSEPLDLVRLLLNEVVFVKLRGDRELKGKLHAYD SHCNLVLGDVEETIYAVDDDDETISRKSEMLFVRGDSVVLVSPQVAF UV8b_07848 MAMSAKNAKALAHEKRKGESALSEFAEYVEQQQNLRFPASRQAK VSAAPVAGDADHHEELDELFDNLDLADTAPRIPLRDLVLGQDDATYEKLRDVIADRIT EGMGETVFELGYDTNGESMGLSLNEWHAAYDRLAKAAKSAGADCELLLSKNVGGKVEA ESSTKAKLDKGGGCNGKVLIRRRPSSVGDVIETRIAVVGNVDAGKSSLLGVLVKGDLD DGRGKARVNLFRHKHEIETGRTSSVGMEILGFDSVGRVVTSDTPGRKLSWEDIGKRSA KVITFSDLAGHEKYLRTTVFGLLSSSPNYCLLMVAANNGLVGMSKEHLGIALALNVPV MVVVTKIDICPPNILEETIAQITKIMRSPGARKVPTFIRNREECINTATQFVSHRICP VFQVSNVTGENLDLVRAFLNILPHHGRYNADAPFEFHVNDTFSVPFTGTVVSGVVKSG VIHEGDNVLVGPDSLGQFASTAIRSIERKRIRTPAAVAGQSASFALKKVKRKDVRKGM VVLPKLEGQASPKVYKEFVAEVLILSHATTIKKKYQAMLHVGPVSQTCAIIDIDRELI RTGDRATVAFRFVQRPEYLAPGDRLLFREGRTKGLGIVKAVGYDPNHPLTGKPTDYDA PRDGEQSHAGQGVGVSA UV8b_07849 MTVANSVSLCPSSHNLADHRISLMPTRSPKSARSTVSSLVTDVP KPVASGSGVSCSILLAERNIFLHGFDHDGRLPRDGEGGTALLRGKLQLKVTKNVKLKA IQLKLLGRARTEWPEGIPPMKQDVFQEESLRTQVLTFFNVMHDGWETEFGNQCTYKLK TGSANSSSTSLTDLSHAQRPGTLLPSSATPRGSLTARDMKRLSLQSIQSRSFGKGENG VATATQAKGFKVFYPGTYDYSFELPIDHHQLETTKLLYGSVKWELHASIDRAGAFKPN LHGTKEVSVVRLPDQLSLETTEPISISRQWEDQLHYDIVISGKSFPIGSKIPIAFKLT PLAKVQVHKFKVYVTESIEYWTSDRRVTRKDGGRKILLIEKVAGKPLDSNWAASEVNT LSGGELCPEQRRQAREIAQARRAREAERQGRPVEPLPEPANNLLGDLDLGLEHMWGST EIEANVQLPTCQMMAKDKSLRLHPDCSWKNVNVYHWIKVVLRISRIDPQDATGTKRRH FEISIDSPFTVLNCRATQANTNLPAYSNPTSQPAPCQSACGCPDAATLAGEGPPGSST GTLAGVDLSNEALPAPPRAAHLAHSTSWTTSRTTAVTNPAADVGGTWSRHVGHVVDAE NQGEPRPIHLLRVPSFQPPAFDDDTAPPPAPVLATEDGDGNGNGNGEATDAPLMTPPP QYDVVVGTPSVDGLADYFARLADYGYENEDGHEWESEDSDGQPARILDRSGRVNVRNP RTPGGRMPSRSMEMTRPRVNLANGAE UV8b_07850 MAASDTTPAAFDTTPTASDTTPAASHDAALAALAALAVPPASYL RTHSLPWDAVATSALVFAPSGRALVLQRAAADSLPGTWEPPGGAVDAAPETILQGCAR ELREETGLAARRFARLVARPPAFVTRAGRLVGRFVLEVEVEVDADADADVGEPGVRLD PREHQDWLWVTADEVRRGEARGRRRLVFAPGARAVLLRGFALRDGGAGDAGDAGDAGA GDWQDEAA UV8b_07851 MTDIDAGRFGLGERCDNDPASVSEPRRVVGYDGHVSGTPAEQWA GCDGKSLTRCNRSHAPLVTPTDCFQRRGRERRGCERRVLGVSSDKGPVTTDSLIPPDA SL UV8b_07852 MSNTAAASAAASAATSTSNAPAATAAAAPKADESAPSITVSTKA PSNFPPPKTDKPRPHVCATCQRSFARLEHLKRHERSHTKEKPFECPECARCFARRDLL LRHQQKLHQTSTPSSRPRNRRESASGVTPAQSRRKNSVAGVTAAGVGSANASMRPRAN TISHVDGAAMQLLAASANASTARSMAHSRHPSLAGLPAHSLDHVFGGMSAVLGQRGLH HGLPKLETCPIDGHDFDAGGGLRTAPPMAVFGLEYDFERLLFGQGSTINPNALHYNDS PQSMALDQTSPFGQSLNDVAATQHFDDGLDWVTGFENHMSFGANETVIDGSSPSAIST TSQSGISDVMVDGSNHPAPAGTSTMWQSSVMGPPQMPNPFAMDLNGSVFPDLLSGAPL SPQPATQKINDPYFSAPPTPLSPLSKSVVSGLNPQSLKNPSAAAAAAAAAAATLCFYA DPDTPSALNGGNHHITSPVTTIKDETRTTIVTILSLCLPFGSRSSQASPVQSGGDACS NPAPAVPSTQDLQRYVAAYLTCFHPHLPFLHLPTLSFDVSPETTGSLKGADGNGCLLL SMAAFGAAYEMEHAQSRELFEMAKKIVFFYLEERRKSDVGKIDLRCSTIPSDSASGQQ QNLTRPSTPLWLVQAMLLNVIYGHTCSDKVTSDIASTHCTALVSLAESAGLLRRGQED ASGADAADVEMSSDSGRAACPADEQEQKEWLMWKSAEERKRTVYAIFVLSSLAVASFN HSPALTNSEICSDLPCDEEFFAAETSAAFAAKGGVEAAHHNCSSFSDSLDELLCANER RKKHRHHRHVQQQQQQQKFASHAAKASESPVTDLKPSAFGCLVLIYALHNYIWETRQR HQNRTWTRTEAEELLTRVEPALKAWQVAWSSNPQHSVERPNPFGMGPLPADALPLLDL AFVKLFVDLGPAKEKLWQRDWDGLIEELSRAGQGQDEVDGWSEGETGPDPLSHSALHL GFMDSPAAAQDYLGGGGMSVDKAFGSLHEANGTGRAVPSGERCLRMASLYAVDSLSMS DRLGVTFADRSSRELPMQTALCTAECAQVLAGWIAALQERVGPYLGVIGQDAIDFGQV PALVLLDEEDVKLLGKIKEMLSMAELKMNMRLAFGGGGGCVEGRESVLCPDGFEGYAA KILRLAAWMLDQSAVWPVAHVAARGLEMYASHVRARAEESVLGVAMTM UV8b_07853 MKSPLLVLVLCSCLPLASASAAVVTVTAAPAVPSQEPQWNSAEV FTSAILNSTNFYRGQYNASRVGWNQTLAEFADDYLDKGGCKFEHSGGPYGENLAEGYP SATASVEAWGNEAGGYDFAEPGFSHDTGHFTQLVWKATTAVGCGRRLCGQRGWYLVCE YWPRGNVVGEFGDEVDRRVSGAPRAGPRAAALLSLCLAGAASWAAMAMAMAM UV8b_07854 MLASLALLAPHASGFFLTLSNFQPVSAASLPLECVFAYNTPMRG CGARDFAGGGGGGGGGCSPMCRGAVATTQAGIQEACALVSAGAGSLLGRAQSGNLVAA LCGDDRPHEASARATGTASPVPPRTAARTRADSSPTRASSATAATTSGTWTSPSSLGG LASRSQGSTAQDTSAATFASPSSIRAAARPTQTQPQPQPPPQGGGGSPFDVFAPGRGV RGVRGVCEPGCGHALLGLVLDGD UV8b_07855 MGNTAMSQPSEAPPGCWFTKVHPEGRTYHPWVPWVDVARMYEVS MDCDCRLTKHCPPGPPPLPPSLLEFMPSNISDDVYYRCGAQHHPGADRTWNPDWGEPW LAWFPYKGFSRVKYEDPGYREYKGRKPRPRVVRKRRTDPSYDSLFVGMGRREAAAQIY RWAAPDKRAALHRRFGGPDGDTRPFLLALLLAEPDMHASTLIERLPPAERDAVLRCSN VAVRLGLRAMRREAEALALARRATRGDAAAMPAYLARVAGRYLDYMAGGKPVWRRGIA DGSLDDHNDGNDDDSNNNSSSSNNNDDDDDDAACAAVYRDAVADFKRPQEDRYVAGIS EILFSLDKMAADNELLDALASAGPRVNLTMTGENMRQAFGPAFPDVAVGLEFFTLALH AISAGGSAGDPARGAAA UV8b_07856 MAAAAGSEVKTAWTDSRLGAGQLMLSGNGCRMDTCSPDLSAPRA SLRRGNPEKQRGNNGNNGNNNNKNHDDGDVDDDEGDGDGVDDDDEGDGDGDGDNDDSD SNRVSVCPLQRGQVVLVLQVLVDGWEKGTGISTGAVMEL UV8b_07857 MAWEHLDITKPHLVYIILGGFTSLFMLCSSFIKERMYIGEATVA TLCGVIFGPHAANLIDPNSWGNADIVTVEFSRIVLVVQCFAVGVELPKYYMEKHWKSV VFLLVPVMTFGWLVTSLLVWWMIPALDWLESLVVAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSLYLIQYQRSAREVSFHFIVYVVLYECIFGAV YGFLLGYVARHGIRFAESRDLIDRESFLVFYFVVALFCAGSGSILGLDDLLVGFAAGV GFSNDGWFGMKTEESHVSNVIDLLLNLTYFVYFGTIIPWEQYNDGVFGMVAWRLVVIA VFVLLFRRIPVMLALKPFIPDVKNWREALFAGHFGPIGVGAIFVAMLARAELEHSEPV PLGEIPPPDSPHYKLIYLVWPIVTFLVISSILIHGSSIAVFTLGKRINTLTLTMSYTA APEDGPSWMNRLPRISSQSRSMAKTMSEASFDDLKEPPSLPAGTLAPPTGFLRRQRDE DGHGHHSRPGSRASSLVSKKRRHKKWDDGIGPGGPISQSAIFPNRSPQPETSTSPSPP TGEPDSTTLASDEPREKQDAEAEKQACQPTSRAPHVNVYDEGHRLVFENDDGDVIDIQ PSPEEDQDTKPPGAQDEARADAARPGAERWGLGGLRRKVSEYYTSEMAKRKDKGKSER RHEPARAYQFGNAIIVEDEDGEVVKTYELPSSKSDNQGSHLVGTGLKYLGLGSRRHED KDAAAAAEEGQAGPKASFRAGWAGFGQRGEAGRRKQAAQKQQQQQQQQQQQPPPPQKK KPDGVDDGDDQKIRFTIGGVGRRMTKDDFIREMQKLDAGTRNQVVDRSSASTAIKSVA KKEPPPDPQSTKTPQGQARAVAAAVRELHVGSDSSSNGQGSASGGKSSGSGSASPLRQ PEQPAEPPRGRRDRSSSSDQEPAETAVERRRRLAALAGQAEGRLNNDDTGETPAERRR RQAALGIGSLAAEQDSDTEDEGMERVPPARRGIRFAEPQRKW UV8b_07858 MPSTRKQTIASIPLRQPDRSAPPDPTLLGLAHQRNLFEQAAARQ AQLARETHPRGTPRRAERILEASLWTASIAMLHFTFDVLVQHQYGREIHWAAVWARAA RAWSVFLFLFYFLHAREPADVLVPGVAARHQRRLRLALFFAASVAAGCYLIHISNTYG YLATMKQAPPLGCLWLWAVVELDLAWGCASLLVAALFLHLGGYRLR UV8b_07859 MLPALGLLAALAVVIPALYTYAVRAAQTRLPALRNKRICLLIAH PDDEAMFFAPTVLALTRPATGNHVKILCLSSGDADGLGEVRKKELVKSGLHLGLRDAD DVFIVDNPADFPDSMTQAWDEGKISDLLRSAFAPQLGRPAGDAAAPPSASIDVLVTFD AAGVSAHPNHVALYHGARAFVRALARRRACPLALYTLTSVGLLRKYAGLLDVFATLGA WAAAAAAAAVQPQHPLDAAHPPRLVLMNRLVDRPGLPGLPTAWRAMTRAHTSQMVWFR YLWIGLSRYMLINDLRLENVDGQ UV8b_07860 MLDENLPTFRVQPCSDSPLDALLYLTHNGSDPAPEYLLRRAASR GTPYALGLLDAHHPSVVYAEVLISPAAPAPASAPAPAPASASAAPAPAPAAPAVPPEA FDVLLYNPDAVVTVRHHPGGWGRSESWEFEVPERSFKPPSASQLDRDEAPLRRDLEPR VVFRWKRDGRLSRDMTCCMAGRSAGGRRSREPDITVALLRDGGRGGAGACAVTLYEPN MARVEVQDRKGLEVVLLLIAGAVRDVYLGARPDAPAAAAAAAAAAAEEKKEGEEEEEE EEKKRQRDRRDRAEQERIREMLRDEEERERRRREADVERETERLRRQYGVQASPPLPP RPGASQSQQQQQHHHHHHQHQQHQHQQHQHQHYYASGALGGAPPAKPPRPSSVGPAPP ADGPGRKKLSRPLGQLPALGGFFHRPDGPSRTVVRKKSV UV8b_07861 MASIAGGAVADALSGAGMSAPTGANRTTTTTTITTTTPTPSPSP TTQPPLTSVLTTYSMTTYTLPTLTSWGTAPDALGDFNLGDRVLQLVSSGSRGEKIVRG FLMGVALGILLGGALCCWVPCFGRRRRRRRRQRQRRRNVGRTGGPAALGHADGMPTMP VSERSYMRMRWEALSRPRRQ UV8b_07862 MMSFAGAAYAESDADDEYERSMGDRSPVADSEASPIDSELSNSA EHTPTMYGHRSSADRLPETVITEWSADECADFISAIGLPQYADAFVENEIVGEALVAL LHDDLKSMGIASVGHRLTMLKSVYDVKKAQDVPVESDHYCPLSADAEAQYAAATLKDI KHLVEQLRLRDERMNLLEQDLRRMTDDFRRLREDMLPALRLVKDQQTPLPNVTSGGSA GQGYPFEATISLPAPTPPPGSGPGVKRQYSQRKILIGATPKNASPTQAVHDRTIAEQT LDPASAAERAVISSSHLAAMNGSSQTSSSHPSPNMPSPTSPQNYLSGTTLASRSYRGE SSVASNRSTMNELDHGTGTHTSSSSSREKSAGVGAGPPRRRETPAPDTPTPGANGGAS VEIFKSFRVSMDDPCYKVLPAALRKYQINAPWDQYALYIVYGDQERCLAMDEKPLILF KQLDKEGKKPVFMLRKTTNAQLDNEPGSGGMANAARGASTGYDPPGGII UV8b_07863 MPRKLANPFSTSSAMSQSEKVGERRPSTSKGNRISQFFSSSPKS KEHSSAQQALLAMQQQQQQQQQQQQPPPPHHPQQQHLPLPVATPFQQAQANGLPAGIS PSSPSLPIISLSTAVAGDHNSSEPPTTTLFRPRSAEEMERKRRADAQFGPLCHSSHLY VSKSHGVPLEPPVEDEPPYYFLLTTYISYLLLILVGHICDFFGKRFGNKKHYDSLKVQ NGYAPLNDDFDSFYTRRLKMRLDDCFARPTIGVPGRYITLMDRKSDDNNRTYRYTGTY TETLNMSSYNYLGFAQSDGPCADAVEECVRRYGVTSASPRADAGTTDLSLEVEREIAA FVGKPDAMVFSMGYVTNASSFPALVSKGCLLISDELNHASIRIGARLSGAVIQSFKHN DMSDLERVLRENISQGQPRTHRPWKKILVVVEGLYSMEGTMCDLPGVLALKDKYKFYL FVDEAHSVGALGPRGRGVCDYFGIDPARVDILMGTLTKSFGANGGYIAAEKHIIDKLR STNVSTQYGESPAPCVLMQILASLKLITGELCPGQGEERLQRIAFNSRYLRLGLKRLG YIVYGHDDSPIIPVMLYNPGKMPAFSREMLKRRISVVVVGYPATPLISSRARFCVSAA HNKDDLDRLLAACDEVADVIQLKFSTGVAGGLEPLPATVDVAPERESEWRRANGVPMT PPRWTVQDIVRCGVRDAKRPLR UV8b_07864 MGEYVGDGAAPGELWRHPAPTSTPMWQFIERVNSKRGLSIKAYP ALYKWSVENTSAFWEDVWDFVGIVASKKADKALPDHSPIYPRPDFFSSARLNFAENLL FPATAEAIDPSAVAVITTTELPGELRSTSWAELREAVRRCSNALRASGLKPNDVVAGY VSNHVEALVAMLAAASVGAIWTSISPDNGVSAVLDRLSQIGPKVLFADNGTVYNGKEW PSLSKTSQIVAELSKTGLQTVIGISNTKADLGLADLQSHGVCAVDYDSFLASASPHLL RFEQLPPSHPLYILYSSGTTGLPKAIVHTALGTLIQHKKEHMLHCSLTCSSRILYYTT TSWMMWHWSVGALAVGATLVLYSGSPFRPNSYMSLPRLLSDLKVTHFGTSAAYLAALE SNNVRPVQDPSTDLSSLEAIYSTASPLPPSTFKFVYEAFPRKINLASITGGTDIVSLF GAPCPLLPVRPGEIQCAGLGMDIRVVDSVSGEELPAGEQGDLVCVKPFPCQPLTFFGA QGAEKYKAAYFDRFENVCGAKGAVWHHGDFVKMADASTGSLVMLGRSDGVLKPAGVRF GSAEIYNILTRFFSAEVEDAVCVGRRREADKDETVCLFVVMVAGKSFCEDLRTRIKAR IRSELSPRHVPVVVEECGAGVPKTGNGKKIEVAVKQILSGLSISTNASVANPESLEWF EEWARGN UV8b_07865 MSSLGANDVSPEAMQARIQQARREAETLKDRIKRKKDELSDTTL RVIAQQAHEPIPKNQLMRAKRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYT TNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNICSIYNLNQQRDGPTRVARELSGHA GYLSCCRFINDRSILTSSGDMTCMRWDIETGQKVTEFADHLGDVMSISLNPTNQNTFI SGACDAFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRAD RELNVYGSESILCGITSVATSVSGRLLFAGYDDFECKVWDVTRGEKVGSLVGHENRVS CLGVSNDGISLCTGSWDSLLKIWAY UV8b_07866 MGNDGIERANAQNSIKRLCRDWLDGFRNRGLRRRGVTAAGWFAL LWDDGFQPGQTASMRPGHKTLSIAPVDKAGRVSVPILRAKMA UV8b_07867 MASTAAQDEYDDLIAKNSARESLHPEDRVEATDSSSREDELDEE TAHRNAKIEAAMRTSTTTTAAELRLPPASFDSGRSTGVKGVIADARSFETARKSRWVD RVRTARCSVLGGVAQSTQSTQGGAGGKSESETDSDGHSLDASGEEAFLRQWRETRRQE LETETNRAVRTRRTSPSMRVYGRMEEVDALGYLDAIEKVGRDTTVVVFVYDHECHVSA TIESALLPLVQKNTAIRFIKVHYEDIEFDNAAVPALLAYRNQGDLFANLTGIIEMMPD EEPVGTPSLTTLLEKHHVL UV8b_07868 MNGAAYQPLSDQVSKHSFLPIRGPETYKYTFVSLLPFTSCAISL TLLLARSHRATKPSSVRSYQLCRLSNSEPFLRFPRPQGNRRLANWISTSNPDIMRVDA DNDEPGLAESTYELISGADTESQEENYTASISESVGSLDFHRPEDVHSLAGTEQTYDD ESLMDDDVEHLSQSIVHGEASDLNNQDTTLTQHGSDASESDEEARSLCSLQYTQHSLK TPSILTPDASKIIEMKPSEADASYRSYAVVSLWIDAAHSGLRWAWDHAADATSAVLPG ILFVALLSLAANLLYPSPALFHKDAERVAVSAITATITSHVVRTSQTAPTAPHIQQTS SAKGMGLIPLTDKTSDEWLFGSKKPDVQFIPLGQGEVNISVASDLKQTWLKKKNCLSI SAMRETDPVELEVLPQNYGFLVKFPRRETHGVVKLLIRAACRPYANKAVKIHFGKGIV EEALQITKNLAHEISGLVPAAALEAERRLVGAKKSFDAVSDSVTSKVATASDGLICKV RDLGKEVRNLLQEIPTPVATSAQELVGKVSETLDSVRRQLREPFSAGSCLKADIQDGI LDTRLFLLTTQISARMWWLKITGQTVEHDTYEAKAREFVANMRLEGRNRIRGLSPHAG QDHVLSRRTRRGRCKS UV8b_07869 MSAVDNTDPKVEETKPAETTEGTPAPAAEEEKKPVTSSAVFSMF GGGAKKKKDDEDRGDTSGSAKAQRDAAKAEAGEDEAPESEDVHFEPVIRLTEKVETKT NEESEEQLFKMRAKLFKFSKENSEWKERGTGDVRLLKHKENGKSRLVMRRDKTLKVCA NHYIVPEMKLSPNVGSDRSWVWNVAADVSEGEPEAVTLAIRFANSENANLFKDAFLKA QKENEEIFNKANKANEATEATEAKEASKPE UV8b_07870 MLVERKVKVVTEQHQIDKPSPVSEFPMREWSLQLYLLDEDGNER PADVFTKVVYNLHPTFANPVQTFTKPPFLCSNEGWGEFEINIECYTTEKTKLPLITHD LNFQEEKYQTTHTVVFKNPSQALQERLRETGPLPNDEERQKKKGAAGKKGAQKYDYEK IAEALEKLDEEDLLRVIQLINENKGPDTYIRSDVEAGEFSIDLYTMPDVLTTKLWEHL SKKGLVH UV8b_07871 MSGAWQLPYPYIHTARYVQRLQPPMLGEAATHLQFNHSALFGPV SQSFNGWPDAGWPDAGWPDAGWPDAGSPRQNRTGLSGRPWALPRDLLSRAMLR UV8b_07872 MAPSQHAAGTAASSPSVAAAAAPTTPGGGRQRSQPAGSSSATTP APAPAPAQPQQPQEHQPLSQQHAQQHAQLGAQGPQHKRVYQACIPCRRRKVRCDLGSV DNPHDPPCVRCRRESKECFFSATRRKRKTDDDGEGSDVDDYVIRNGRKRAHPEGSPPP RLDRRFYSDVPLTPGGSHGRSQPLRRPDGGAPRQRRDSELDGDGDANLENLEAQTAMR RAVYNPHDALDLLYKAATDRCAVPEPSGTMVCSADFASPAANNNNHHHVREGSIASAT TAPAHHPTPQDAMSRDAPRPRSMSVRLEVEQQQQQQQQQQQQLQLQLQLQLQHQQHQQ HQQHQHQHQQQHQHQQAPQHLYENPSHQLPQPTVDLALAQPPALRSQPGYVDALRAWS RFRFVRAGWFTAQEAIEYIDYYYKYLSPMTPISPPTFSDPASHITLLTEEPILTVTLL TISSRYRQMPGTGGHCRSHAIPEQLWTYLRGMIERCLWGQEAFGGGFCGSGGPGSSSL IVEESDTSSTAPWRGMRKGSLRTLGTIESLLILTEWHPRALHFPPQEATDELMLPDYG SGGPTIASDDSNRNLGAGFGGKRIESWLEPAWRSDRMCWMLLSTAMGLAYELGVFDNI DEMLRDDTITRPEFMDEPYRTRANRIKRLLLIYTSQLAGRLGWTSMTPEHLRKADPAV ARRRTMSNEGNTPSTASMVNGFNYVPDLELDDQIIHCWAGISNAMHIGNEKLFRSRKY TTEIIQSGRYVELLRDFSPLLKDWYREFELFRLPPFIRHILTIEYEYVRIYVNSLSLQ AVVERCTSNAGHTANGSNGNGNGNGAGGGGGGDRGQAAGGPAQLSPQTMINYGKLPLG QLGGFTIHDQEYVREVVDGCRNLLRTVVEGLLPGGYLKHAPVRTYFRIISGAMFLLKT FALGAPRSDVKMSIDLMDATTEALRNCVVDDVHLGIRFADLLETLTSRLRNRFIQAPS LQQQQQQQQQQQQPGAGSPPPEGVMTGGGGGGGGGDPTASWVGKHAQKLREGLNGQYR PASPGADGNISATPFDLSACSFPHGGASSVGPSTPAAHVDSSSSSNNNNINNNSSSSN VNGGAAAAAAPGVDLHLFEDWNDPGNEMWYLPPGPAFFQNMGDSSVAMTAEGVNVGGL DLLEYMAMDPVQFPGIDGPTGAGSGGGNAGA UV8b_07873 MSLNGLDDPKVVEAYEAATAEPGGWFLLKYEGRDEVQVYNRGKG GIGEARNAVAEYDETSPLYGFLKYRRRSVVFKYLPEDCSRIIQARVAVHFNAVCERFS PYDTIFEITTASELKDSKLSAACSLHTATCSTSSSTSSLRQRRLMEIAEEKEDEQRAA NRQSLQGADDQGTLGPTDRPLTAEPVSLNSDSASLAENNNELSAATNEASQFIDVTTP SDSDVFTMNSYFHTKPKVKLGPRPSADAHGRQQVAGNFRPVSAVPAGFKLFGKVGRRG KGRDSSSASPLRDENGDATSIAAASIASDDTQDRPGTSSSSVTDSAPLPSPTMKKPAI SREKARLMKAMKLREEKKKMSMISSAEKPSADGAGAVNTEENVARGPDEAMPTPEPDA YSEKRAYRLSLNRDESALVTDNCSQSLITADQSSLLTPSDSHPASPVVGSSETGHSSK ASSISEPTDEAMQVKDKQATPQDEAFKASHPSVAIVAKVAEDPAATVAQPPTASNSDE NGDVAPSKGETSESAALAVPKLPAHDPARRVDDGHAPTTVASTPQDTAALSDPTFSAG IAAQFSCEKDETPAQDLCTAVEAAPQPGATTPPAPVEEHAAKVSQSGTSLLAVKNEPS ERRSHKESAQTTANWEQQAETTSDSCSQSVVLEEGTPITIIRTPVTSNFPPGPLKGED ERPLSAHTVRTVSNPVRGNLISPADPSQPSARSLSSGAAYVHHLGQQQPGGHLLKKSN IGSSISQRIKALEKLSAGSAGSADAPAPGARERPSSTFFAVKKREAARASSVMARANS FRNQTPPSPDQTHDSSPEAGRRNRLERSGSVTSRLSLFETPITSPSKRTLGPAPPTGG SSNSNSSGSGSGSNAGRGRPEAVSVMARIIRDPNQSAQTGFDPCRDPSEYGHLELKQS PLLVDHHTSSLPDRAQHHHQQPPAEAAQQAKLKRSRPPSISIVKGLIKERRRSAASDA ENSLTSPGRAESAHANAAFSPRLSLSSHRSSFSKDRDLVLSSGESGSGDDAKSYKKLS RAGRFMRRLSNLSSSKSKNSNNNNSSSSSSSNNNNNNNSNNISPLPNHSTRDQCLEPA QARPSTTGTPSIVTYMGDVNVQFPDNLLWKRRNMCLDSQGFLILSALPATTGRPAPGT KRYHVGEFRAPYIPDVEVQELPNSVVLDFIEGSGIQVACEDRNGQLRVLQILQDAHST RGSSTYAL UV8b_07874 MFGGNYNSSGSKTESDTTKAEAKTPSSGSDSSANASDPVKTAVI NQPASGEKRTGNGGPPDRNDNGGSTEKKRRSSGVGGKASSFLASAKNSLNFSQVGRGN SDMGAQTPLQKLGKQDPALVVPQGQHNNSAGESVPGPKSTFRVGVWEDRNKKCRRTME DTHAFLYNFLATPQTIGDASAKPTSEMGDAEGTLEKEMAESDNGYFAIFDGHAGTFAA DWCGKKLHLILEETIRKNPNTSIPEILDQTFTTVDAQLEKLPLKNSGCTAAIALLRWE HRVSNDKLALVNPTKPPFKSNEANASNASDKDKTATTTQSLARSVSASHADAAHLKSK PFTARQRILYTANVGDARIILCRGGKALRLSYDHKGSDKNEGKRIANAGGLILNNRVN GVLAVTRALGDAYIKDLVTGHPYTTETVIQPDLDEFIIIACDGLWDVCGDQDAVDLVR EIQDPVAASKLLVEHALGRFSTDNLSCMIVRFDKTATAARGKFAGESEAGLDGNPSVK ISEAEKILLETKERIAQGTTPAVGVSASNSGRGYDLVPTEGDEVVVTTLSEALDDVEG EGEGADTAGEGDSPEVSTSTEVKMAPVEDKTTDVPDEVSNK UV8b_07875 MNILEWAFGKRMTPAERLRKNQRMLDKAIRELDQTRVKLERQEK TLIQQIKTSAKNGQMGACKIQAKDLVRTRRYIEKFFAMRSQLQKISLRLQTYRTNEQM MQAMKGATMALGSMNKSMNLPQLQRIAMEFERENDIMEQRQEMMDDAVDDAMDVGVEE EGDEVIDQVLEEIGVEFNQAAGETPLALGNPAVSEGRIAQAIGGDSGGDPVDNDLQAR FDSLKK UV8b_07876 MVTLTEVEDEHFQATQTGPEYDDDDFTDTDSEISNESDYDPVNE TLAERLYALRDIIPPTTRGWISSRVSSISSKAWSVLSFSGKGAWVITTSALFIGVPFA LSFAEDQQLTAMEQEYNMRQAGSELLTAGAEHGTADKAAAALGDAQAKHSL UV8b_07877 MLRWATIDNFRDAPRKGGREEGKEFEKAPGCPRLSAMLKAKTQS RETGQVLTSCTTRNGYPGTPFTWSADESALPLDGLEEAIPMAEENVAKTRACS UV8b_07878 MKLPAALLYALSFATTPVDAQVGHTGDSVGCVVAVNGKKELFKI TVAFAKAMAVRGGDVGNIPGREPSLYKGPITTWYDPSCNTQNARVLSYPIYRDGRIPG LPTPIGDMTKYRTIYVIKGSGRLAWCGVEYNGVECLLTYP UV8b_07879 MTSSAPKPKALAELRTESQESSRGPSPQPTHFSVPYSTQTNGNG NRILRSATVGYVAPEFAGKTEQMASVKHILQQAGYIPDPLIDDQIKWFYEQLGIDDVY FRIESPEAIANHVSSLYAAKVAAYSREDKKEEIRLDMEAHDHAIYIDTSEPGESNVSG PRYESRLEAKYLDHQGKTKYRVETFRSPAVIGASPSSKATLRCYFVYQCQYAQSPEQT DPKETNIERISDVGFWQKATDNTKQIYQEIIELAVNRTGPVIEVFDIEDVAEKRLVVA FRTRTARGMFSALSDLYHYYGVTSSRKYVEQFSNGITVMSIYLRPASHDDGFFPPLDE SIHQITKEISLLYCLPQNKFHNLFAVGSLSLQEAVYAHSAWVFVQHFLNRLGPEYASL SEVLDANDPAQAALLSKLKRRLRTETFTPDYILEIIQTYPELVRLLYASFANVHLCAK NEQVAVTPAVSVLSDEKLKEKISKTVANEHDEMVMTAFRVFNNAILKTNYFTPTKVAL SFRLDPAFLPEVEYPRRLYGMFLVIGAESRGFHLRFRDISRGGIRIVKSRSKEAYGIN ARNLFDENYGLASTQQRKNKDIPEGGSKGVILLDPKMQDRAQEAFEKYIDSILDLLLP AQTPGIKDKLVDLYGKEEILFMGPDENTADLVDWATEHARARGAPWWKSFFTGKSQKL GGIPHDKYGMTTLSVREYVKGIYRKLELDPSTVRKMQTGGPDGDLGSNEILLGNEKWT AIVDGSGVLADPNGLDRAELLRLAKKRAMISEYDMSKVSKDGYRVLCEDANVTLPTGE VVTNGTSFRNTYHLRDTGMTDAFVPCGGRPESIDLISVNRLIKDGRTTIPYIVEGANL FITQDAKLRLEAAGCVLYKDASANKGGVTSSSLEVLASLSFDDAGFVENMCVDAQTGQ PPRFYNEYVREVQAKICENARLEFEAIWREHEETGVPRSILSDKLSNAITTLDEELQH SDLWSNEKIRQGVLKDALPNLLLQKIGLDTIISRVPDTYLRAIFGSYLASRFVYEFGS EPSQFAFFDFMTKRMAQMTGHPDGAEQVRRMSISMNSF UV8b_07880 MTRPSMIRADTVDLQDPLAPSAKDHSNHTHHHPETVPSAQASSI APHQAETLREVAQETAEAEAKTPRVSWSVAKANYVSDPHHQHSDERAVSSSNNPTAGH DSRTKMYNHEQEEEALAVTQSGGASSMEEDDVDGESESELEDDLMDKISSSPSIEDGA LNPRTPVAWPRRESSLTSPQPNKAATELPGSDCKETSWCSSERTLAVRETGVSACDGK PPVAEWRPCDDGYLLQPEDIDFQFVYALHTFVATVEGQANATKGDTMVLLDDSNSYWW LVRVVKDSSIGYLPAEHIETPTERLARLNKHRNIDLSATMLGDQAQKQKNSFKSIRRR RKNVTFAEPTYVDYSDFDYSTDDEDDIEELFGTRPNSLQRKERQQRRESREQEQHASK QNGLDDSIADDTVKVEPLKTRTRKGAAAQAANKLQAEDDVGSSEDSVEEKPEGPSRSR NGTVRNTDSFFKDDSVETKKITLTPNLLRDDNTPRPSSDSITREARSGSSLEKIDREL ITDKEKKKLKEKDRKDKDKKSGGLRGFFSRKDRKKLSEDDDESFGKRSMDMMSDSRDS DDRSVEDQLSPERLGTAQRQSSKLQKAAPHNRTAPAGNGQKPLELSSYLAEGRTNDVS SVPPASMRIVDPETNEPQDVPSNQPANRDAEREPTLSTNAQREETLAASKRAASRGAS STGTDTKAQKPARAQTRLELDSSDSSGDDEPRTQRPPAATPTPPAGAEQRSRTDGSSR LPVNNRQVAHAAAAAKPEQQRSPRGDSLPRGLGGSEHPSPTGASNPPALVADSSSPED ISPEASPSPDPMSPMGDSGRGGSSASTAKEASWDDGKLLAFFDESDHIRDLLVVVYDK TNVEPAGTDHPVVGGLFREQNAKLAEITTQLDNMLGDWLARKQRLRGTI UV8b_07881 MVSAKKHVPIVKKRTARFNRHQSDRFKCLAPSWRKPKGIDNRVR RRFRGTVAMPSIGFGSNKKTRYMMPSGHKSFLVNNVKDVELLMMHNRTYAAEIASAVS SRKRIDIIARAKQLGVKVTNAKAKVTTEV UV8b_07882 MSSTTQSVQCFGKKKTATAVAHCKAGKGLIKVNGRPLSLVQPEI LRFKVYEPLLVVGLDKFAKVDIRVRVTGGGHTSQIYAIRQAIAKSLIAYYQKFVDEHS KNLLKQALVQFDRTLLVADNRRCEPKKFGGPGARARFQKSYR UV8b_07883 MNTAGASTPRASGIHKSNSTASAGARSTKSHESVLVVGVHPLGT LRVLRPERSPRSTEQDPAVCDGDDDDQQDPPPLDPPDPPDSPTDDAYAYHLSGSRSQQ HAGFSARPPRRPRDGQTHDMGGGDVIIILDLPPIFVVGYDAVSFMAKGFAGVRDIPAG PHFFWVAHPSGIAARAGVWLFASETHDRVHVVQWDRYNEALTEPTRSESRNHAEAVPN IHAKLVPHPDPSAVGPSIGHLSSSRTDANLRIWTQLTNSVTPSLLDRIAGPQTGGWIT HTLDRAYGVVQFSAEVQLERAVPNKNLQQRQLKFTFERTTRLFSRESFGSERSLQAID PTDYVLSQIEDPGNDLAYEDLVGEFQFSFVVGVLLGNDACLDQWWFMALKLLVKSHLL VRKRPLLAASLLRTLTAQVTYGTSWVDTTMLDPSEHNCRELRVGLIVYKRRMEEFLQG DGDLITPNHLAVGTAFSRLESTLTGLGWDLGANYPREGTFMLEDGEEVTLEHVELDAE DERGEWAPEIVELDEHGRQRDLISWND UV8b_07884 MDRSSSEYPQSGLPSPYPSNYGDNHSEGSNADHASGAHYPVKQE VTYPTSATPNSEYGLYPQSSRSGTFPEHIQRSYHPASSSSGGGMAQQQSNPSIAAPSP SYPYPPPQASPYAPNPDMSHSYSHHSSSMYAQPRPDWAGYGQHGASPMTAGHPGVYGQ SPASAPPQQRQNQVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLN AHVTMQSHGQKRTPEEFKEIRKEWKQRKKEEEAQRKAEEERQRAAAAAQNGGPDQGPD GTSPTYPGSRAVQLPPIGYQPNQYPAPPSGGVPPQGLNDYDAGHLYPSYPPHSPYGQA SQSIYSQSAESLNKLRVTPRWHESMARLRE UV8b_07885 MSNYGGGRGGGYGGYGRDRGDRGDRNSYGGGGGGGYGGGRSNGY SNGPSYGGQSNGFGGGYGGQGGYGGGGGDRMSNLGAGLQKQEWDLSALPKFEKSFYKE SPDVTNRSSAEVDAFRRKHQMTIAGTNVPKPVETFDEAGFPRYVMDEVKAQGFPAPTA IQSQGWPMALSGRDVVGIAETGSGKTLTYCLPSIVHINAQPLLAPGDGPIVLVLAPTR ELAVQIQQEITKFGRSSRIRNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLEAG RTNLRRVTYLVLDEADRMLDMGFEPQIRKIIEQIRPDRQTLMWSATWPKEVRAMASDF LTDFIQVNIGSMELSANHRITQIVEVVTEMEKRDRMIKHLEKVMDNKENKILIFVGTK RVADDITRFLRQDGWPALSIHGDKQQNERDWVLDQFKTGKSPIMVATDVASRGIDVRN ITHVFNYDYPNNSEDYIHRIGRTGRAGAKGTAITLFTTDNQKQARELVNVLQEAKQQI DPRLAEMARFGGGGGNRYGGWGRGRGGGGGGGRVNVNNQPLGNRRW UV8b_07886 MASSSRALATVGLSSRLTNPVGASSRAYSTVIRNHALRAATRPS ATMKLAATGRIAFRRAYADEAPKPRPGKLRRTLRWAWRLTYLSAAGIVGYTCYVVYQD RHPEPQYEADPTKKTLVILGTGWGSVALLKKLDTENYNVVVVSPRNYFLFTPLLPSCT TGTIEHRSIMEPVRAILRHKKAAVKFYEAEASSIDPERKVVKIVDNSEIKGATSETEI PYDMLVVGVGAENATFGIPGVREHSCFLKEIGDAQQIRKKIMDCVETAAFKGQTKEEV SRLMHMVVVGGGPTGVEFAGELQDFFEEDIRKLVPDISPHFKVTLIEALPNVLPSFSK QLIEYTENTLREEKIDIKTKTMVKRVTDTAVEAEVSRPDGAKEKVVIPYGLLVWATGN AVRPLVKDLMSKIEAQRDSRRGLAVNEYLVVQGARDIWAIGDCAVAGYAPTAQVASQE GNFLGRLFNNMAKTESHEARIQELSSKLNLQGGNSAEAAQEIESLEKKLRRMKDIKPF RYSHQGSLAYIGSEKAVADVSWWNGNLATGGSMTYLFWRSAYLSMCFSTRNKVLVLLD WLKSKAFGRDVSRE UV8b_07887 MIEMKGRVLVVAGSDSSGGAGLEADQKVLAAHGCYAMTATTALT AQNTTGVKAIHTIPAAFVEQQIEACVEDIGVDVIKTGMLAAASTVEMVARQIIKHNVG SVVVDPVMISTSGAQLLPLEAIRELSRHLLPLATIVTPNIPEALLILSENVDAAHAQR VVCSVADVEQLARQILGLGPKWVLVKGGHLPFRSDMTVAQTEEEKQVVVDVLVGPEDQ VMRIESPYLASTSTHGTGCSLASAISASIALGTDVSTSVRSACRYVQAGIKTAPKLGK GNGPLHHFHSVHRLPFSPGYFVEYLLERPDVKPIWKTFVHHPFVMALGDGTLPLDSFK GYIIQDYLYLFHFSRANALAAYKSDHMEEIGRANKIVTHILRETKLHTNYCKSFGISE EQIRATEEKQVCTAYSRYILDVGQSKDWLSLQMALAPCLLGYSAVANMLQSRVSTVRD DTNRYWPWIQNYLANDYAEAVRNGSELLEKHMRLQSPSRIEELVGIFIHATRMEIGFW EMYPCK UV8b_07888 MPTQPPSQHNSHHASNTNSSQNGRTSTDRDGGGLQLPNGASTRN TAFSSVSFAPRNSSLNHSIGPGSFSSELRSQMMSSRANSKVDLASAYAGTIMDKVEED GAETAMQQALFALRDELNRELKIKEGSENMLEALNMKKAKQTKEQRLRVEAELSASNL RIKNIRQKISEAQRTRPVPPMTPTRNRAQETTLQPSGALRSPTSVSRSAAGSDIDETA ESPTFALAELLQALEVEGLTPEYYVSRANQLVDLFKRHPALKYDLVWAIFGLRMQMML LSESREVVAAGYRVARYAISDASSIKRIRSLNTDFLVIRSLNNYRKADVEREQALKFV RSFLDVKDGIKEISRAIVRTIVAVAEQGEDRRPGMPVADQSIDRLRPICIETLAEILV RDPPLLVASGGLGTLSEALSDVTYKSPESLTSAFLFLLDVPQRRKYLVPGYGLDVVFT AFTNHLAGAEGMLKQNSRAISVAMRSWAGLMTLSMYDFRSIRSLIASLLFPNQAIRET ILDLFFSLLRIKPPAWATSFLAGRRLTTYGRVANLKAVTRNRSASLISEEDCGEQNFV DHYTALLLAIFIKTGLLHSLLQLAQTEEDPMLKRKSTLLIGEILKLASRLLPPSWSAD LQLLPELFRAAVDFGNEDHFIASGIVYQISSVSRILYRSAPTESATGILPSDDSMRNL GRLDDQPKMSNTTVALDDAAFRQLLIDSGVLNSSNYMKWNWEVIVKVIDGPLQIGKRL DEAFKASKFMKRLISFYRPFKYKFAEVKNSKNTQKYVKAGCLLMHSLLQSSEGIKFLA DSKLLRQIAECLAQCDPTSGLTAQYPMFSKDRLTDTLCCGYFPMLGVLSSDPRGMLLI ERWRMFNMMYHIVDFKQRPDLVKLLLVNFDYSLQGHPRILLSKALTAGTKDIRIYATN ALRKSLMGPAASISGRDDMSDTKWAIRLLVTQLYDPEIEVCSTAIKILESACNKKVYL EYIVECRPALDHLGEIGAPLLLRFLSTSIGYHYLDGLDYISNEMDDWFLGRNDAYVSV IEASLARAFLSDPEDNSHRMSLFDEADPEAEFHDSHVPPHFYRELTRTREGCKLLSDK GHFEEFAVTIREHGMQTEDAELMIKVKGCLWAVGNVGSMELGAPFLESTDIVGRIVEI AETHEVMSLRGTAFFVLGLISRSTHGLEILSEHGWDSNATALGVSLGLCVPNDLSKLL SVAPWKHINSALITIPQTQRTIQEQPPALRAHAPLESCELPALLNDEAISQRILELIV DLGNTVLYKKTLMELQRLKERKPNAFRSTEFFKKVMGLMEWNHYRLGIRRLVIDLFEK NVMRQIVFGEEDGESSEASSADSGGDEGGEGSSGDDRTERQRSISEPAEMPSDLMPAP LRVRR UV8b_07889 MPYVSAARYGKDNVRVLKVNRDPATGVQAVTEMTICCLLEGDID ASYTKADNGVIVATDSIKNTTFILAKQHPVNPPELFASILGNHFLQEYSHIHVANVKV KTHRWLRIDVDGKSHPHSFIKDAGETRNAHVIVSRKGGISITSAIEGLSVLKTTGSAF HGFVRDEYTTLSDTWDRILATDVDASWKWNAFSDLAAVEQSSSKFDKAWESARNITLK LFADDDSASVQNTMYKMSERILDAVPETQSVAYSLPNKHNFELDLSWHKGLQNTGKDA EVYVPQTCPNGLIKCEVSRS UV8b_07890 MSQTVGLTRLAYSRVWHQISASTPHKTLTTQPDVTPPSLGRLAS RIAVLLMGKHKPIWDPSTDCGDYVVVTNCAALHTTGNKMWRKTYYRHSTRPGSLKSLT MDALMEKHGGSEVLRKAVRGMLPKNRLRDKRLARLKAFEGEAHPYKLNLVRFGGVVVG SEGWDAAVERIRESDKKRL UV8b_07891 MTVHRSFQTVFAVPLSCDGCITAVSDEIRKIGGITKIEGNLEDQ VISVEGSAAPSAIVEAIQATGKDAILRGSGASDSAAVSILETFSDSSETYTDSQQREV RGLARLVQVHPDRTLVDLTVRGVAPGRYYATIRELGDLKDGVESTGKVWSEGGANAKG NLGAVEVNEHGRGGIFVDLAFQIWEVIGRAMVLTKQDETTGPLKNDDHTVLGVIARSA GVWGNDKTVCSCTGKTLWDERKDQLRKASAHYAAVVEQNTPNMDVSSFAIAEDVDCQ UV8b_07892 MSLKLEIETWVAALARYDNNEFDDSLREFDKICDTSKILFNMGV IHATLGEHEKAVECYQRAIRFDQYLAVAYFQQGVSNFLIGDFEEALANFNDTLLYLRG NTMIDYAQLGLLFKLYSCEALFNRGLCYIYLQQKEAGMLDLLYAAKEKVVEDHNVIDE AIAEDAEGYTVFSIPVGVVYRPNEAKVRNLKTKDYLGKARLVAASDRSNAFTGFAGPE IKNAGKAEAKDDRPPDNISFAATNLVKPGIQSRRQQSEPSANRNVFPPTPPPENDRPT RGGSVRSGSRPMPAKLTIQTQDPNRKYEKAASPEEARATRSASSSAPSLGFARRDPVP TQRRPARRIEEEDNDDPADFYASRDARTSARSRRQPRYADEDDESDYDGGSLDGAEFE MVSNHRRGQGSRSEPRAPPRQPEIHKIRVKVHAGDVRYVMIGTATEFPDFVDRIRDKF AVRRRFKIKIKDEDMPEGDMITVGDQDDLEMAMHSAINMAKRQRQDVAKMEVWIFEM UV8b_07893 MRCRLPVRWDRLISSCPDFHSLSQSRHSTTTGAQNTTDTQPAAR ASGFQALRRRNEAIKKEDGKVNNKSHANHRTILGQSPELPIRARFAPSPTGYLHLGSL RTALFNSLAAKASYRGAFILRIEDTDQSRLVGDAEERLIKDLQWAGLSWDEGPDCGGP YGPYKQSERLATYQSHVQTLLDRGHAYRCFCTSEQLESQKRELHEAGKSTAYPGTCRH IDSADSDRRAGQGVPYVVRFKGADFGTLKFRDAIYGLFQKKDVEEDFVLLKTDGFPTY HLANVVDDHLMKITHVIRGEEWLISTPKHLALYKAFGWEPPTFAHLGLLVNPDGSKLS KRNDSVNLSTYQAKRVFPMALLSWIANLGSSFRSNTNAPRTISDISDALTFKFTRGGI RLNPGKLDHFEAQYLDAILWDTVPELSEIETNLLNANVIQPMLQNIDSMTGGDQELQR LLLPEAWRGPLIPLPALESKARESYIRSACASKRGVFLSTEMLLRHHPYLLWRVPETL YRLSLASYHPDPRILQALHDAIENKDLWDSEGVKVMDAIWAALDGQDMDKLAVYHTLR LVGAGAHDVISQSSSRMFALLGRDEWRLRLDMVKRVLDA UV8b_07894 MSGAMSEEEIDLYSLLSIERDASQEQIKKAYRKAALKYHPDKVP EEQRQESEIKFKEITQAYEILSDEQKKHLYDTQGMAAFDPSRGGAEVDLNDILSQMFG FSMGGPGGSDGPKRPRKGPDEEQEYKVTLEELYKGKTVKFAAHKQVVCGQCKGSGGKE KAKSTTCDRCKGHGVAEAIRQVGPGMMRRETVLCDHCQGSGQVFRDKDRCKKCKGKRT TQEKKVLEIYIPRGSMQGERIVLEGEADQYPDQIPGDIVFTLVEEQHDVFSRLGSDLS AELKVTLAEALMGFSRVVLKHLDGRGIHLSRPRGKILRPGDCLKITGEGMPMKRGEAR GDLYLLVSVEFPEDGWLKDDAANEALQRMLPPPPAAIVADEVDEVDYEDDADIEKMGE NSGDPRFMSEWEDEDVDEGQTQCQTQ UV8b_07895 MSRKGVGIGAFDRSRLTSAHFASHGSSLRASNARALETQLAVFR SLLQQFAQTHAKDIRSDPSFRAQFARMCTAIGVDPLASSSSSGGGGSSIWAQLLGKTV NDFYFELAVRIVEICGATRGENGGLIGLPEVRDRLSRGRTESGAATISDDDVRRAVET LRPLGGGYSIVPVGHKEYIRSVPRDLSNDQAAAVEAAQVLGYVNVSMLRDNLAWEGAR CRTVVDDLVAQGMLWIDKQTGGEWEYWSPIFMVDVDALSASVGD UV8b_07896 MSVKIERDTLRQTVQNVMPSHVPEGTKGPIPGTSGKHPLAEQVG TMLTGGLQNAGTKGYLAAYIKQLESNPLRTKMLTAGSLAGAQELIASWLAKDRNKHGH YFTSRVPKMAAYGALVSAPLGHFLIWLLQKVFRGRTSLKAKILQIVLSNLVIAPIQNS IYLIAMALIAGARTYHQVRATVKVGFWRVMRVSWITSPICLAFAQKFLPDQLWVPFFN VISFIIGTYINTLTKKKRLAALRKKHFGDGRSSGMVRADDYPPMGPNPQY UV8b_07897 MTSASASPPCGMKNFVVGLIGMGDMGKMYADRLSAAGWRILACD REDRYDSLKVQYAGKSNIEICRNGHYVSRASDYIIYSVEAAIIDRVIAQFGPSTKMGS IVGGQTSCKWPEIQAFENHLPPDVHIISCHSLHGPGVDTHNQPLVLIQHRAPDEAMRK VETVLGCLRSNYVYLTAEEHDRITADTQAVTHAAFLSMGKAWHANSQFPWELNRYVGG IENVKINTMLRIYSQKWHVYAGLAILNPEARKQVAQYAKSVTALYKLMLEGDLEGLRN RIYSARDKVFGQAPNRASRPLIEPSILSSFSLGKPTDGPPRPNNHLSLLAMVDCWAAL SIVPYDHMLCSTPLFRLRLGVTEHLFRDTVLLDDTLRTAVDDKTYRSDDLEFTFAARG WAECVTLRHFETWEKRFVSTQEFFKPRFAEAKIIGDRMMKRVLEAREDGG UV8b_07898 MQAVFANGSNGSGQLGIGHSEDVSTPKPILFHPEPPASEVVKIA AGGNHTLILTASGELYGSGSWSTGACGIPQDSVDVPAFHPIHLVREGSSLEIGRIVLI AATWTASFIVSKDSTGKRTRVFSFGTGLKGELGSGELIIRSSAVNLLPNFPPKGTEIV DLSACMGHVIAVLDNGIAYGWGNCRKSQAGEPPGIIHEPRKIDDVGFKVHRAVCTKEA TCLLGTPESGHIHVLGSDKWGIMSSSPKKLLPWKDVGAGWGAVYVLGQDGTLHGWGRN DHGQLPPASLPPVKQIAIGSEHAVVLTEDGDVFSWGWGEHGNCGPDVGNNDVKGRWNV IASSKFIPRGSQIAKIGAGCATSWVCVERE UV8b_07899 MPILSFTLSDEGVSAFRDTLICLNKFSDDVSLEARRDAFVLTTL NNSKSAYASFKFTTSRFFSKYQFRPAGQFRDKFYCTLYIRALVSLFRSRSVSDSQRDT EKQTLIDRCDVIIDDGEGIKSRFVARIVFRNGLTSTHHLPFQILAPVHAKFNRQDSPH HWTISSRTLRQLMDHFGPGIEYLDINTDGDHVNFTCFSEKTVSEEAVLKKPLQTSIAI EADEFDDIDVEDKLHIVISVKDFRAIIQHAGITGNAISARYSLPAKPIQLTYSGDALF CEFTIMTVGERGSNPDQKIRKIRKGTGNSAGPRLEATSRKTSVTPFEAARHAQTGQPP ELAVAPQKSAISVPAPHLGTARASVSRIGAFDLRPSQKPPPPTIRSESLFVDDAGWEP VRDEDEDVEDDVRLEWDHSADPNKSAMQMTPADEENAASLEHREVESNAQAETQAAES TYLEPTQKLSQVKNLALFPD UV8b_07900 MPNTPPPALLPPPEGIFRSFDDLMASVQRVAKDQGYGIVKLRAS NYRDGKPTRYDLVCDRGGVKYNSTAKKRNPSTRKIDCPFRAKAVCEVQLGNQWRFALQ EPRHNHEPRVPSGTPGQENAPLATTIRSFTNKLDRLSHDMAQGFMRIEQRLDNIEKRM ENVEARAGGYEPRFQTIESRLQNMEGPRMDGIGMDDVESRLLASTVM UV8b_07901 MNAQSTKGGVIDTDVQVMDEAQLEQAMKRLKLLHIKARLLRDTI PRMLDPLVQKHASPDALFNAFVKAVNDARLDVKEFVDLMRDDTSQQIFAYVEKSRNEN PLGIKPWRHRDHPDWFKMDKD UV8b_07902 MATQRVTYRRRNPYNTRSNRTRVIKTPGGELRLLHIKKRGTVPK CGDCGSKLIGIPALRPREYSQISKPKKTVQRAYGGSRCGGCVRDRIVRAFLIEEQKIV KKVLKEQEQSQKKK UV8b_07903 MASNFHGCYCSLRSARPIIRRHLSHPYAIGSRSLISIALPLPLS RLPPADLRWRARCFQTSRFALRSHRDAPSEPPPTDFNNLNMLGDIPVPSTSVDVCMYD GFGLNSGITIRGGDGALLVDGEAFAWRPWEAVGSLNLLNDNGQFELPQEAFGLFDLLW PRPDLLVLGVGKQNLPLSNKTRKHIAELGMRVEVLDTRNAAAQFNLLATERGVSEVAA ALIPLGWKEGIGVSE UV8b_07904 MAASSESCPRGSKTLVVDSDHTDPPLLKNNSRFKTYKTRQYEYP DIRVFFRPHAKEAELPKTPAPLPLLVCVPGLGGSVAQYEPLLTSLVHLAPCLSVDFPG CGRSRYAVTSWSAYTSEELAELLETIIEDHRDKEAGQGVVLIGHSMGTALCAQLASTS GSRKTGLAQHVMALVAICPVSGPPDEKKTSLFRKLLWIPGWLFGLWRIWDGIGGPYSP SVSRFVGEQADPELRTMQYRFNQQSRTPVWRRMAYGSLPAFDKGIPVGGLPGLDTWAN IDVPVYLIAGENDHLTPPAEVEKIIRVLGGDKENSHANSALYTSASKNSSKIAATSLS VSDATTQVGNAVYTRTDEDLRLDTSSTTMSDNDNDPSTPIEEGSTIVPPQPAHPAKVV RSFVMPAPANHTLLYMPQYSRVLAGLISDFLATHVTQRLSLTWQLQYLSREGKWDVKN LNKWKSVTPVSLAIGRQGKPIFRAMKTLREVDDFHCPSKFVERWGSVIKVVVDISKDQ PVYDPRGLERGSIQYHKFPTVSKIPPQPDEVESFIQLVDRLRETLNERAVAEGWEYPE RCVVGVHCHYGFNRTGYFIVCYLVERCGFRVQEAIEEFARARPNGIRHSHFLDRLYVR YNVEMG UV8b_07905 MASEQSPLLGDHGQHARDQVDEVTRVDDKRATGWPRIREIATFV WALVATAALIVVAVWTQHYEQTCGHGSRAAKRNLIFMVSDGMGPASLSLTRSYRQHVE GLPEGDTLTLDSYFWGSSRTRSSNSLVTDSAAGATAFSCGKKSYNGAISMLPDLQPCG SVLEAAKRAGYMTGLVVTTDITDATPACFASHVMLRQMKDEIALQEIGHGPLGRSVDL MLGGGRCHFLPNSTAGSCRLDDIDVAGLAQDKHGWQYADSRKAFDALEGGNNATLPLL GLFASTDVPFELDRMKMNDVYPSLSEMTETALRALEKATARSDKGFFLMVEGSRIDHA GHINDPAAQVREVLEYDKAFGAVLKFIHDSETESTLVSTSDHETGGLSTALQEPGHLP VYNWYPQALVNASASCEKLATNLQNHVSKNAQSTSKQQLKSWINHELIIPGLGISDAT DEELSLLADDPEGSVNTFAAIISLRAHIGWSTHGHTAVDVNVYCSGGPETEKIRGNVE NTDIGKFLSHYLEVDVDKITQELREKMTKKYVLQSSQQPEKVSWGSDSYHKLEPHLDN RSYFRFGKQVGEAERVPSSFFAKHNACDWSFRKQLDSSDVIFMSTVDVQKFLLYETHW QIDQVIICRALVYLVCSTMRLVIGLCSSIRGFEYDS UV8b_07906 MASQFGATFVPGGFDDYYMPEVVAPSPQRATPQVPKDMQDDLQR LELEASDTEKRQGRQPSLSTFQQQRSYTGSETEEPATSATLNPDGDTTAHKDPRSRGA ERIDIMGLGAPSFSPFPKVYGDNVPPADDAKEEILWSARKHVLHSQNVDMQISWARDV LIWVEIAMDATARELADKPRPATPRIEHELRVDSLNIINYLAEQEHPDALYIRSKWLE FGKFGHRVDKREAYSGYKRAAELGNARSEYRMGMLYEQSNDMSKAKEHYYKGMSLKDS AALYRMGMMSLLGQHGDAKDFLGGLELIRAAANSSDEDAPQGSYVYGMLVGRDLPDIT IPDGLLPHSLEKAKMYVEKAAYLGFAKAQLKMGQAYELCQLGCEFNPSYSLHYYGLAA KQGVPEAALGVSRWFLFGYEGVFKKNEELAFRYAQEAAAAKLPTGEFAMGYYYEIGIH VSQSISDAWKWYRLAADHGNKDALSRLESLSHDQSLSKKDHETIALTRIKSQHGSQRG KRPERFTQLQTMPALTEETASPISPKNDNTLIPSTSGEPSSAATGLPRFTAQPSAVFK PMPVSDHATLPDPSRTPFVGSERLSVLNIRLDSNSKILVRPNSAAPYPDDDKPVSLNV NRPRSTAPYPDDDVGLPAASRHESGLQSRQGASADRPSRAFGIKPQPSGGSRLIPLSH STGSLQPPMHPKPPRGRVVSADWERQASPDGDGLSSSGLHLTRKITAGDDIYSRPSTT QPYPEGNVPQNRLQNQQSRHPISSGAEPTREHGPRISSRRGSEMLPPLPPQDARMTTQ NHERFSRAPAATSRLERIGSSTSTHQPPRTPTSPSHERSSKPWPGQTIGQTRSVSPSA ASRRPFDSNDQPGSVPEHTVTAPSNPVKGPPVKGPATFEDMGIPQGKQEGDCVVM UV8b_07907 MSDRNVSQILAQLKHSPSMSYQEANALLSKAKLALLNLNALTPN SSSSPQLLVLARETYEQGALFAIRARNPDAFTRYVQQLQPFYELPSAALAPNLTERNK VTGLSLLLLLTQGRYGEFHSELEGLANRDGGGSAGDVEGDRYLGYPIRLERWLMEGSY DRVWKAMKSSEVPCDEYGVFSEILKNQIRSEIASSSERAYSSLPIGSTKSLLFLDSEG DVIQFAKHRGWAVEDGHIYFPDTSDAGEKNNQSKDVSQVIIENTLGYARELETIV UV8b_07908 MQSSPGMLTKFESKSSRAKGIAFHPKRPWILVALHSSTIQLWDY RMGTLIDRFEEHDGPVRGVDFHKTQPLFVSGGDDYKIKVWSYQTRRCLFTLNGHLDYV RTVFFHHELPWILSSSDDQTIRIWNWQNRSLICTMTGHNHYTMCAQFHPKDDLVVSAS LDQSVRVWDISGLRKKHSAPTSMSYEDQMVRANQNQADMFGNTDAVVKFVLEGHDRGV NWVAFHPTMPLIVSAGDDRLVKLWRMSETKAWEVDTCRGHFHNASGCLFHPHQDLIIS AGEDKTIRVWDLNKRTAVQSFKRENDRIWVIAAHPEINLFAAGHDNGVMVFKLERERP ASTTHQNLLFYITKEKQIKSYDFHKNTESPTLLSLKKLGSPWVTPRTLSYNPAERAVL VTTPADGGSYELVSLPKDGSGAIEPTESKRGAGNSAIFVARNRFAVLDVSSQSIDIKD LSNNTTRSFKPPVGTSDIYFGGTGSLLIITPSAVHLYDIQQKKSTSELAVNGVKYVVW SADGLHAALLSKHNVTIVTKSLEQVSTLHETIRIKSATWDDTGVLLYSTLNHVKYTLL NGDNGIVRTLDQTVYLVRVKGRNVYCLDRTAKPRVLQIDPTEYRFKLALIKRDYEEML HIIRMSSLVGQSIISYLQKKGYPEIALQFVQDPTTRFELAVECGNLNVAVEMAKELDK PNFWARLSSEALTHGNHQIVEMCYQKLKQFDKLSFLYLAIGDHSKLARMAKIAEHRGD FTSRFHNALYLCEIEDRIQLLKEVDLYPLAYMTAKSHGLNEECQAILEATGVTEDQLT VPRLGKHLTTPRPVVPTFHANWPTKAGSQSFFEKALLGQVEGLSLDGEAVAAELEENS GDDASFKRHVGLAEDDDEDAAGWDMGDDDGPEADSDFVNVDSAEASCAGSNEADLWAR NSPLAVDHIAGGSYESAMQLLNRQVGAVAFLPLKSRFLEVYRSTKSFLPASPGLPPVV NYIRRNVDETDPRRVLPIIPRDLEYLATNDLQRGYDSMKANKLEDGVKLFRGILHAIL VNAVSSEAEVIEAKKLITSASEYTVAMGIELARRKLGSPEALMQDAMKLKRSLELSAY FTIPKIEVPHRQLALLSAMQLAVKNKNYNSALNFANRIIANGGSTKIVENARKTKLQC ERNPHDAVDIEFDQFAEFEICAASHTPIYSGTSYEECAFDGSKYHTKFKGTLCRVCEV CEVGKHGSGLKLFA UV8b_07909 MLLLPTSWLLIAFWAIAKHASAAPAVRIGLTAAFDGGPYLLELV ETAAGENSSAYFPLMDRIASGYFTTASTDVELYQSFLQVLQDDGHITTPQALSTFNLA LSLRSATPRIEAHYQHYVAAVEPFIELKNCATWILLNGKQHCRWDLGTIVKDRSLSSV VKSLPFDRTLGVGREAILYADPTSVEFRTSHQTLSQAARDMKLKYRIRYRSSGAHVRE PLSMSGYGVELALKKTDYIVIDDRQEGNGDGKGCFRSEDVLDGESETFDLKPLSSSEL AGLGIKAASFIQKSEEPFKKLVKMTQDFPKYAAFLATQNISHHSLSAYQQNLAEKVQG GINFLWINGAQLIDRQIEPFALIDKLRTERKLVDGIRDLGFDADQAVALLGHKALSSA KADEEPLRYDWTDRQEKGRAIIWLNDLEKDDRYSSYPKSLSSLLQRTFPGQIPPIGRN IFNVVFSVDFSSVEDFSFLAEVTAIISRGIPIRFGIVPLPMSDKAKAQAKIAYFLNNN YGTASLLPYLERLSKAHNEGIDESMLSFVTASRQILPEGQHMSLDAILEAEEYIEHLR YAGQWVSRLQGDTAVRPLFINGITVPQDQGWMQSMSMALAGDLQTLQKGLYHGVLEED TSVVDIFLDRAAPRRNVYISLADEKSLRVLNLAKLHSENRAFFDILPVIDSDPTASKE DWAVVTVLANMTSDSGLSLVQSALQFRRLNPAVRIEFIDTQEDAMASSRVNAAMKDVE EKLKDVKSLEELKSLVRAAPEYDASEFYARDVIKVLAESKLTLGSQSVIINGRVVGPI APSSPFLADDFEELLSFERTRRILPVYAAIEELGLREKINDSTAAAKLGSIIALSAIS DLPEGIFESAPATRSTVYNTWNTEDIAIEKGDPKTASIHIIGLLDPASEKAQRWAPIL KILSELDGVYVKLILNPRDEIEELPVKRFFRYVFEPKPIFDEDGRIKALEATFAGLPS DVLMTAGMDVPPAWLVAPKSSAQDLDNIKLSAAKSDIEATYQLKHILIEGHSREDKGS APRGVQLVLATDTQPFLTDTIVMANLGFFQFKANPGVYSIRLKEGRSHDIYNVESIGA HGWEPVPGDEGTELTLMDFQGVTVYPRLKRRKGMERADVLENHDRHSGTNIVARGFKY AESLLGKFGNEKSKSLLQKEQAEINIFSVASGHLYERMLNIMMVSVMRKTKHSVKFWF IEQFLSPSFKEFIPYLAREYGFKYEMVAYKWPHWLRQQTEKQREIWGYKILFLDVLFP LSLDKVIFVDADQIVRTDMIDLVNIDLNGAPYGFTPMCDSRTEMEGFRFWKQGYWANY LRGKPYHISALYVVDLRRFRELAAGDRLRQQYHALSADPASLSNLDQDLPNHMQFQIP IHSLPQEWLWCETWCSDDDLSKARTIDLCNNPLTKEPKLDRARRQVPEWTIYDEEIAA LQTRLKERNTTSRTVSVHPKEPQTDIQLQRDEL UV8b_07910 MPSYPFNHESQIRVSRSSRPTYKIVPWDPDFGVSRVSRGNKQAD GKITMDKTLRVEELSNGLRDIFGFAPSLEKNP UV8b_07911 MSQIVSSQPMGPGDSLDDIIRNNQTEMNRRKSISQAYRSPSLET RSPRRIPSIGASGDVMAFDSNNPDLSTFQFTQPVGQSFSNLHSNFDITDQIGNFISDA ADFSGISPGLMGSMHPSTFSNIDMSQLSTEAPLSLFPSPPVSRPGGNIDSPMTGGGFN TGHMTGIDATYSAESATNNTSINASANTVGKSVDDRSESMLHLSTSQTNAVNLATART SDGMAVTNGSNAFGQTLPPAMSRHVSSSESAISPQHGASSISATQPSSTSGPTTPINT AAPRETKEKMIYSKSGFDMLKALWLVATRKNPRIHLGAVDMSCAFVVCDIMMNDCPIV YVSDNFQNLTGYSRHDIVGKNCRFLQAPDGKVEAGSKREFVDDGAVYTLKQMIQEGRE VQQSLINYRKGGKPFLNLLTMIPIPWDTDEIRYFIGFQIDLVECPDAISGQEVGGVKV NYKHSDIGQYIWNPPASSQWEPENGQTLGVDDVSTLLQHCSPKGLVSDWHKQSWDKML LENTDDVVHVLSLKGLFLYLSPSSKRVLEYDTVDLVGNSLSSVCHPSDIVPVTRELKD ATAGNQVNIVFRIRRKQSGYTWFESHGSLFVEQGKGRKCIILVGRKRPVFALSRKILE TNGGIGDTEIWTKLSTSGMFLFVSSNVRSLLDLQPELLVGTSIQDLMRKESRPEFGRS IEQARRGKIVACKHEVQNRRGQGLQAQTTLYPGDASDGQKPSFLLAQTKLLKASSRTN VPASTQAINKTNSSTRLNAPAPTKTGSGNVSQPVGGVLPRNTQDIIAAEDNIFDELRT TKCSSWQFELRQMEKVNRILAEELGGLLSNKKKRKRRKGVGNMVRDCANCHTRNTPEW RRGPSGQRDLCNSCGLRWAKQTGRVSPRNSSRGGNGNGDNQSKKSESPIHSSPLHKEY SETSNPRATVESETHGGDRVSVSQAANQLTTIAQQNSSLQNSTTAMPPPSQPSLCGGA AGSTMTSIREERETSQP UV8b_07912 MPHHNGMLRDKFNLWTSKIEPNAVIRGAQLTFVGAHRALQNPEI FTTEHYTQVAYAIIAGIVIRFLVVIPIVAVKLSLRVISLVYSLDNVFWDNTILEWLNF TGEHILQVPFFLMTVMRYFVPTLDNLFMQSLNWVDTTYMRKHIYDSPNDLRELYYPNL RSYHLENQFRSNRYRTAFANFLFKYARRAWLSIVIYTLSYLPVIGTLVLPMASFYSFK KAAGFAPAALIFGIGILLPRDFLIIFLQTYYSSRNLMRELLEPYFSRVHFTSEEKKNW FRNREGVLFGFALGFYMLMKVPLMGVLIYGIAEASTAYLITKITDPPPLPPLMRKYAI SQQTWQNKHQFLHLKIQDIDNIQRKPVFKASMKE UV8b_07913 MSLLSVEVHAELTQLLQALQSADNATRSHAEEHLQNSWTSTRPE MLLLGLAEKIQGAGDNAARSFAAVIFRRIASKTRKIDAGNNVDVFYSLAKDHASVIRQ KLLETLATEPDRLVRNKISDAVAEVARQYTDNNDSWPELLGILFQLSQAPEAEKRENA FRVFKTTPGIIEKQHEDTVLQAFQKGFQDDAVMVRLAAMEAFSSFFGTISKKSQIKYY ALIPDVLNILPPIKDSRDSEDLSKALLALIDLAETAPKMFKQLFQTLVQFGVSVIQDK ELDSICRQNALELMATFADYAPSMCRKDPSYTNDMITQCLSLMTDLGEDDDDAAEWLA LDDLDQDESDQNHVAGEQTMDRLANKLGGQTILAPTFNWLPRMMNSMAWRDRHAALMA ISAISEGCRDLMIGELSQVLDLVIPALHDAHPRVRWAGCNALGQMSTDFAPKMQTDFY DRVLKAIVPVLNSPEARVKSHAAAALVNFCEEAEKSVLEPYLDDLLSQLFQLLQSDKR YVQEQALSTIATIADAAQAAFSKYYDTLMPLLVNVLQNQSEKEYRLLRGKAMECATLI ALAVGRERLGPDAMTLVNLLANIQANITDSDDPQAQYLMHCWGRMCRVLGSDFVPFLN NVMPPLLELAMAKADIQLLDDDEQAEQMNGEDGWEFVPLKGKMIGIRTSTMDDKHMAI ELLVVYAQVLEGAFAPYVANIMEKIALPGLAFFFHDPVRYISAKLVPQLLSSYKKAYG CPSNELAGLWAATVGKLLEVLSAEPSIETLAEMYQCFYESVEVVGKDCLTPIHMNGFI ESVLSTIEDYQNRVAQRAQDKEEATADDVEDEAEEVGREIEDDQTLLSDMNKAFHSIF KNHGAAFLPAWERLMSTYESFLTSTDPTQRQWGLCILDDVLEYCGPDSNRYANYITQP LIDGCRDSSAAIRQAAAYGIGVAAHHGGAAWTPFLSGSIPYLFQVTQVSDARNDENVY ATENACAAIAKILHYNAGGVGDVQNVIAQWLDTLPVINDEEAAPYAYAYLAELIDQRN PVVLNQASKVFIFVGQALEAEALQGQTASRVASAIKALLAATNTDPMPLFSHFQQSSQ QTIMQYFN UV8b_07914 MLPANRIIRHAPISDPKVSLISTLDSHYNSSKKQQYFASSVATL SSGVGDATSLDIFMGKVRANWLRRSSGASMYNTDSFVEEDSGDDDKSENEPAIDNPKF ADELAISVALFFSRPIALVGLAGRVSETVETFLKLLKAFSIMCIRKAFRNGSVLFLIA YSFIA UV8b_07915 MAHIGLYESKSVGLYCVAYQVTTSVNEYVGKSDECQVKYFHPYI ISHALRMQVFQSLALNMLNTETEICDSSTNEKYLYRLTGKHGEGVRKLHSMNQSRILR EVQDDLDRYTLAKSRVAECIN UV8b_07916 MGSLVSKPSQTTAKIGRKFPIREYGDRGPPKLHRVGQEGSNPRS TKCDVISKDGKDSDIISAGFSQRLHKMGVARPNPTISPSSRAPTEVRHTWKGIERKSL PRPTNNWTLTVMETRESLQQLMNKEITSPGQGARRKRRFVDMRTLIDVIKMRNNGVPS EDIEEKFGLQPGITRKIGQVRIPKRSSRNHGIVKYKTPNDRYFSGWGTPTATQRLVDN ICLLATASAGFNRRMLLLHLLTPHVFLFYRRITDLARKSGMITLVAKQDDDFNCNSWG LNIVWIQCTVPHARHIT UV8b_07917 MGTAKKESSRKIRQGKTGDGLGNVRVKGENFYRNAKKVKTLNMY KEGKAQRNAEGKIIKAASYQSRDVPDARIEPNRKWFTNTRVVSQDTLKAFRHAMAEKA NDPYQILLKSNKLPMSLIRDGQGTNGIKQHEAKMTVEMSPFGEVFGPKAQRKRVKLGV SSLKDMVDNNEKSMDSYQDRLEQARLLSGMAGQDEEHNPITMAIEPVFDKGQSKRIWN ELYKVIDSSDVVVHVLDARDPIGTRCRSVEKYLKEEAPHKHLIFVLNKCDLVPTSVAA AWVRSLSNEYPTLAFHASITNSFGKGSLIQLLRQFSTLHANRKQISVGLIGGPNTGKS SIINTLLKKKVCAVAPIPGETKVWQYVSLMKRIYLIDCPGVVPPSSTDTPTDLVLRGV VRVEKVEHPEQYIPALLGRVKRHHMEKTYDVKGWENSTQLLELLARKSGRLLKGGEPD LDGVAKMVLNDFMRGKIPWFTPAPSTNLECDGSNSRDDCLGEMPKKGMQFNANEVYRQ NSVEQHSITDADMNNVECEGLDGNHNTSETFNDTMGATVSAKKHKHVSSTPARSPYID IASFAKKESEQPSKRRRKS UV8b_07918 MALTAPGKFGRLPLVQSCQISRTFLTSHPVGSSRVPPESPYYVR LPTPPQSDEIKPIRVRGHLPVPREVFPRLEGDRKVRADYIEKTAPSSTKPREGNSTAQ IWKTAMAESRRNNLKDGLDALWSRRLNLENSRNIRVSRKFREHNKARSAAERDDDRLT RPTILESMLDTTVYPDPSRFFRANRSRTKVMAREDSNREARRDSLMELYISASNFIVE EADLKIEIDKVFAEDCFRQKSQNDFHHSITENTWGMHGKPPSVANMMETSTGVSTKVM DVHESEHDRSAKRQKRIAEDLTGGKMV UV8b_07919 MAPSGWKQLSIGAVYFLPSLISATRNATFLDVDILRSKLALMDS RRADCPPCFNCLLPSYSCAQYADCNEFNGKCSCPEGFGGDDCLQPLCGSLARGRDRPM RSGDACECDEGWTGINCNVCTENKACNALVETGSSGVCYQNGEVVHHNHQICKVTNKK ITDLLGDQRPEVTFTCQKDEGICEFQFWVDAVESFYCHLSDCKSSAEFSDKANTTSYQ CESIRCRCVSDRMLCGKDGSIDLSDFLDQAIRGPGGFECKQQDAGAHDCAFTEPEMDK LIRSLIGDSSILLNCQSGECLHETQVPGYTRPIKRINTPLIAGVIATCALFLVAIIIT TWVLSRRRLKYGAIRLEDSDDGAAKLIAEHKPAALYFENVSYELNGRAILNGIQGTCL PGEVTAIMGASGAGKTTLLDILARKNKRGLAQGLFLVNGEKVSTSDYKKVVGFVDQED TMLPTLTVHETILNSALLRLPRDMTHAMKEQRVLEVEKELGIHHIRDSLIGYGDGKSR GISGGEKRRVGIACELVTSPSILFLDEPTSGLDAFNAYNVIESLVTLATNYQRTVVFT IHQPRSNIVALFDRLILLAQGKTAFSGPFTQCQSYFASIGYECPPGFNIADYLVDLTM HAGESISADDGSVLLDVATVGPSSAKTVKSVASIYGNSVEDEGAIEASAAQRPGNLGR GSIRLHQEQELFTRRKRAIDTTASSDTGAEIAGNKIQINSSNVKAFKLREDAHDLPPA AKISTDLDLITHAFAHSSVAEDIHRKIEQAMAVAVEANGPTFDGYHQRGSNMLAGTVG RGFTRIGYWKQFLILSQRTWKNLYRDPMLMLTHYAIAIVLAVFSGYLFYGLTDDIPGF QNRLGLFFFLLALFGFSTLTSLTVFSAERLLFLRERANGYYSPITYFTAKVVFDIVPL RIVPPILMGSIIYPMTGLVPDSTHFFKFMLVLVLFNLAAASICLLVGILFKNGGVANL VGSLVMLFSLLFAGFLLNHDATPAGAVWLQNLSIFHFGFESLIVNEVIELTLVDKQYG LDITVPGAAILSSFGFDNGALWTDIRNLGIFALTFVVLAYIAMHILLVEKR UV8b_07920 MSQVRNLRAMFENKGDSSPPNRGRSPATSLSRRQVEGTASTGGS PQPLSKVRTQFVAIEKGGQIGLQRDDSGDSTPHAESNINGLENASSALLENDAHLHRT MVGKKTSSDRPKDTLAAHSVQAIQDSENPTRRPGSLPIETLNPASLCNSNEECRYPAD SQSAKPSKFAERTGERCITLSASHSTRGNTSRVKNNGQTSFASKAEMHGPHQDQRATK PIQNPRKSANGISSLAEAENTSSGPSKPPLLETKTQSQTRFAKPKLKSPAKPVHPPNS LTATTASSVSKVNGPYPSRARQGEPRSSSSASSQVPSRINQSISPPLTAMMKLQESSA SKYRPSLGPPSKKLSKSTSLNQRHSNIDEGLLARVMRPTQSSSSKSVDKTTPLRKMTQ RHMQKPPDNRFSGGLPRSLSSIGFSKVSCRVALARPADGGAGAGIPEVHSGKKMAGPG AEAASSIGPVKSMTESMTFNERPTKTPTGSRSASPTSEHRDETGGAIGPDSPSIHIAS IDEDIEKTCLKKGNNIMDAVSGTAPAPVTALSTDTMRPSGTDTHIGQPIKDLLNNHIA DLLEVHPVVPEPLIETQTDGWTNETMLHIPDEAPACLAAPLADDEERQTGEILPSGPR NSDSQQMTEHTCPEERIHTSAGNDEPTTQYEPASLALEDDIANSTIKEPVSTCDVGLK VEGIASADCETLDNSPVSTIE UV8b_07921 MGQSSSQLRKQSSEPPHLVSICSLPRGSGFGLLYKLPHQLTVAK LRSHNLPAIFQYPDASFTSHFLRLVRRFTHIPHFTSHLSAIPPKTMPSILNVAHMCSH LQNASKSRLGITSVKNSKYNLHLALAMHRSGFFSAVYRAGPHPPTMEQMVSETPEQVT SANVSRMRIWLGMKYWNGKPVLSKAHLISKPSRLMTANIDELGRLTRGFPANLKGGVV PGLNLGECMFVATSRGVLEAREALARKVGGLLICRVS UV8b_07922 MAIPLKTVIIGAGPVGSLAALYAAQRGHEVELYELRSDLRNPGI IPLNFTKSINLALSERGINAMRHSGHDSLLETVMAATIPMRGRMIHGKDPSGKLYGHA QDYDAEGRTIFAIDRSGLNERLLNVLESMSNVKIFFNHKLTGADFRLRRAWFEVRDTI FSPSSRPREIEIRFDLMIGADGAHSAVRYHLMKFTRMDYQQEYIDTLWCEFRIEPKAE KCEENNSSKHRISPNHLHIWPGRDFMFIAIPSNDGSFTSTLFLPSKQMADLEADATKL PSFFDHHFPGVTDLITQDSLIAAFQQNPHLPLISLKCRPYHYGSSVVIIGDAAHAMVP FYGQGMNAGMEDVHILFSILDTYLEASEDNDKISSSASNRAQALAEYSAIRTIDAHAI NDLALQNYVEMRSSVLSKRYRLRKTLEEFMSVYFPSFGWHTKYYRVSFSNEGYNDIVS KSERQGKILLRVFMVCVSSPAALAFIVLACRHREGVLSAIARFFGLE UV8b_07923 MMSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYINPIELC NRLNAYIIPEAAVHSFLTFLFLINGYWLALVLNMPLLAWNAKKIMENTHLLDATEIFR KLNIHKKESFAKLAFHLIMFFFYLYSMIVALIRDESA UV8b_07924 MKRNWELTSHILGGLTSYCLVGQRSSSNGVRNLKEVVLQQKIPK ETPYATKKFLIHIGMQISSSNLSSISSRHLYS UV8b_07925 MAPSRVHQLSALCTLMTFENVWHRLERHSLTESPHLSLSLVLFL SGLICLAVSYLLRWLSGADGQFEFYLSRGHAHHGLPASSTVRATETKHGIRVPPRPKR YSVPVVVACIAMRLEVFHYVNCSQQCSVFGVESWLILVLVANNIVTWQGSWSTTPLSD ELEDPWRTVLDDFRDWLSGPRTEHLMSVISAIFLSYGTSLTLDQATRSTYVCFAPLDS RPHIISLQILGLFLDAATVSTLWRVLCWAGNLESRLRMLSNVLLISPLSLSFVWVTTG IFTGTRYSSIAFGHLDASSFVFDSFSFAALAFSLAVWTCDATIDTSAAIITSFVGIWH ASANILSPGDWMHSSRSSGTLPLWLTSAGLVIFVHTNNLRYILFIRRPLFVLLITLLL ITSIVFALVKPDNHFEPRHPISDLIYEAHTAHTRWLLHAATSKSVTTAARVYEERHDG RVPPPRFDEWFQYASNTTVIDDFRRIDKDLSAFWSLSPSTLRRRAELATSYAGVASIV VRDGQVTISELDREDGGKRLALSELADTIKKFSKHLPDMVIPVNLHHVPRIIPSWTDK QHRSQAHLHSMAQLVSARTARSANWSALVPKDSGSTETRDTSIDQRTWANDFRKIYTE ACPSASLVKTSPHWDFGSFCSTCVKPHSRGQLVDDWAKSLDACEQPDLGHLHSFFMTN PSLPPVRTLMPLFSPFKIEGFSDILFPLSTSRMDEPDYGEIFTKRKDALFWSGSIGNH ALSEQATRGNHNLRLLHLLKDANIRDRVTMVLPVPGSHGKFKSHSVPVAEANRDLSFQ VGTTNDSACIGKNCHLLKQVYGTDARPRDPLQHRYILLTDEDDGPPKATVRMLASQSL PFISTIFQTWYSDRLTPWLHFVPVDSRYQALHTTLLYFSGTSYRAKMNGINTYIMGRS DDAEWIAQQGQRWVQRALQSRDMEVYLFRLLLEWGRLIDDRRDEIGYRRGANGVYQND AWIPQQ UV8b_07926 MDPSVFTEVVIVLLMAGLSDAPFMQSLAVPAVCSLIAYLAYFSQ YLLQTSSLEPGPPSRKETIVFNFCLVFLWYAYFKAVTEDPGRYVFPLKRAEETGGNWC KKCAAPKPARAHHCRHCGRCIPKMDHHCPWTANCVSMTTFPHFLRFLVFANVSLWILG FLLWQRFHSLWEARHLPAYLGPTLPALIGLAVTSLICFFTSLALGIMLATTAKSWLFN CTMIEGWQLERHEAVLDRGGRDWWDFNGPDGMKIRFERVEFPYDLGIWANMSQAMGTS NFLLWLCPFAGNPKVGQDGKGTGWEWEENGFNPREGMWPPLDPEKIQRADRQWPAARR DYAAEMRDVEPSSAEQICAFRERQLMDTLRPKTLLAELEEDESYGLPYAEEDEFHRDD WRDWRNDDGEYLTDYGVDEEAEDFCTAPIHQDDVPLAEFLRRRKHGHNGEID UV8b_07927 MGISRRPRDKASRNVQAGGSTAQGSGGNRPKKATFEATKKREIG VSDLTLLSKVSNEAINDNLRKRFEGGSIYTYIGHVLVSVNPFRDLGIYTDQVLDSYKG KNRLEMPPHVFAIAESAYYNMKAYHDNQCVIISGESGAGKTEAAKRIMQYIASVSGEQ SGDIKKIKEMVLATNPLLESFGNAKTLRNNNSSRFGKYLQIHFNSSAEPVGADITNYL LEKSRVVGQITSERNFHIFYQFTKGASRHYQELFGIQKPETYVYTSQSKCFDVDGIDD VADFQDTLNAMKIIGLGQSEQDEIFRMLAAILWIGNVQFREDDNGYAAVADQSVVDFV AYLLEVTPDQIIHAITIRILTPRNGEVIESPANPSQAIATRDALAKAIYSNLFDWIVE RINKSLKSRQTSANTVGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKTEQE EYAREKIQWTPIKYFDNKVVCDLIEQIRPPGVFSAMKDATKTAHADPAACDRTFMQSI NGMSHAHLTPRQGSFIVKHYAGDVSYTVDGITDKNKDQLLKGLLNLFQHSGNHFVHTL FPQQVDQDNRKQPPSAGDRIRTSANALVDTLMKCQPSYIRTIKPNEKKSPTEYNSPNV LHQIKYLGLQENVRIRRAGFAYRQSFDKFVERFFLLSPATSYAGEYTWQGSTEDAVKQ ILKDTSIPKEEWQLGVTKAFIKAPETLFALEHMRDRYWHNMATRLQRMWRAYLAYRAE SATRIQRMWRKKRTGAEYLQLRDHGHKLLQGKKERRRMSLLGSRRFLGDYLGINATAG PGAQIRRAVNISSNDRVVFSCRGEALEAKFGRSSKPSPRLIIVTNSKFYVIAQLLANG QVQIATERAIPLGAIKFVGTSTCRDDWFSLGVGSAQEADPLLNCVFKTEMFTQMERAM PGGFNLRIGDVIEYAKKPNKLQQVKVLQDSQQSVDYYKSGAVHTQRGEPPNSVSRPMP RAKPVPPRPITRGKLIRPGGPGGRPSRLNGNRPTQPLPGAGPRVVEQPHSVLASTSAT AAISVGTANAPNLGAASTPIFKNIKNPAIVSGGATRAPPPPPPAAAIPARPKVTAKVL YDFQGQKENELSIKADDILEIIQKENNGWWLAKNDHGQAWVPAAYVEEQAAPAPKRPP GPPAPKTRPAPPAPPAKRPAAGRKPAELAQRDSGLGLNGASGSEGSRSNTPTPSLGGS LADALLARKNAMQKEKDEDW UV8b_07928 MSVSLEKQPKEVDETPISPIHPDTARKNSLENYLMHRPNRGELV DKNILPASSAAPGLLAHQKELERNMLEDKLNDKISHRPTPEELVKGGVLHEDPRAAD UV8b_07929 MAAHGDGKVNEADVHPAGSSSSSDDAAGLRLVPSRVANLDAAWK FLNDHRDAAGVDAVDLNALRRRIDWHIVPLMFLCYTMQFLDKVILNYAAVMGLASDLR LKGNDFSNVATFLFVGLLCFEIPNIYFLQVFPAAKWLGVNVTLWGVATACGAAAHNYQ SLLASRIFLGIFEATIAPSLMLISSQWYTKSEQAPRFSFWYLGLGLGQIIGGLVSFGF QHMTPNAALAGWRTMFVTLGCITVVCGICTFLFIPDTPMEAKWLSITEKAALLKHVSV NQTGIQNRKFRIREIKEALLDPQIYLLLLSVILLSISSGVITTYSATLIKNILVDEPP SRISKKAALLNMPSGLVSIFFTLMVGYGIRKQSHRWAWIIACIIPAIIGGALMSFLPK SNKAGLLAGIYLVNAVVAPLAVFYNWTVANCAGASKRAFAAALVSGSFSIGNIIGPQT FQARDAPDFRPAKLAVMGTQAGCTLTTFCLFLYYVWANKRRNDRSKETEEQYLSPEVW ATMTDKENKHFRYTY UV8b_07930 MSPVATSALTGRQLLGRLATGDPRPPPGRYRTGPCFAGYPYCVL RTLTSTSLPTWVTSVAARSSFSQAVNLLLRSRRNFNDTTSTTLYQMGSVW UV8b_07931 MGWVANAIPEVEAQSQWASILAVCVVLSALSIATVSCRLWVRGN SRGFAGDDYMSASSMVFALVYSVLCVVQTRYGLGLPVKLRPQADAAPYARVNFAGRPI YQVGISFFKIALLISYLRLLQGTDHRTYRLVVRATIAIVFLAHVGCAISLIFACSPVQ KSWKPWVPGTCLQPGPSFTGYAVVTIISDIVVAILPVPVLLRLNIRLEKKIGLVFLFM LGLFTTLCSIFRYIQIDRIQNGDGNSTMLVLWGTIEFNVGNMVSSLPFLAPVCMKKAR DYRYKFSGGYGSNSDQARGRLGLVNEHYKLSDIGNGKSVFSTHKSISQENMLESGAII KSVTYRVQILDEGELRQQRDTKSTECPV UV8b_07932 MIYDLNIAWSPATTTERLLQTLSLASSLGYSTVALNQTLELPLP ANPTSPFPPIPPSSPSRQLPSVLLRATLPLSDPAASNYRLPSLAKVYDILAIRPLTEK AFQNACLTLDIPIISLDLASHFPFHFRPKPCMAAVSRGVRFEICYAQLLTADSRGRAN FISNATGLIRATRGRGIVISSEAKGAIALRGPADVVNLLSVWGLASEKGMDGLRSIPR SVVVNEGIKRTGFRGVINVVQVATEEERKDDVAEGSSPSAADGLGAGGRGGDDQKRKH ALGESQPLSRRQTKKMKLAARSGVGG UV8b_07933 MFFFFVCGEHTFRSQVPGYEGMVCQCHHCGNMAAHVAKSRPFFT FCFVPLIPFTISGYKDVSCDICNFQQPLKSRPDVVSMANGRGNHGAPAPQQGYQQQ UV8b_07934 MSVRETSCGNVDEDSTIESAIRESVRAMRARGATLDTLHEANNF FFEKGSIFEDEEYRIRTFILPRYQDQCERSSLLQGKAIRPTPTMRTLKEPLESPKPHC LTPLWVTKLTFSGPLQNQNKN UV8b_07935 MAEEKQPLVNRRAARGQGQPQPVQQSDHVHAQNDDDNAEALVTD GFLIPNLDSLQEQVEPLAYGQQHGHVLIEPRFDAGAKVTDHGRVNISLDSKNRRLADL LPPVFNSQASTESEPQAAPPHAFSPPGLDAEPARLAPPRLNVVIQIVGSRGDVQPFVA LGKVIKEVYGHRIRIATHPNFRSFVEDHGLEFFSIGGDPAELMAFMVKHPGLTPGMDA LKSGEIGKRRRDIQKMLIGCWRSCIEAGDGMGPPEPHLLNKPLDLSSEMPGDAHAQPF VADAIIANPPSFAHIHVAEKLGIPVHMMFTMPWSPTRAFPHPLATIQSSNADDMMTNY ITYTLVEMITWQGLGDIVNRFRENVLGLASLSLFWAPGLINRLKVPYTYCWSRAVLPK PKDWGEHIDISGFYFLNLASSYTPEPDLVKFLESSPPPVYIGFGSIVVDDPNALTRTI FDAVHLAGVKALVSKGWGGLGGNEVVTPNGVYMLDDVPHDWLFEHVSAVVHHGGAGTT ASGLKAGKPTVVVPFFGDQPFWGAVIARARAGVNLVRHENLTAEKLAEAIEFCLQPDT QERAKELGQKIREEAGSEVGASRFHKHLDLDSMRCSVAPSRAATWRIIRTDVQLSPFA MTVLVNAGLLEYKDVKLCRHVEYNTEYQPPEPVFAAAASLLGDIGGISLAVADVGQEL LRYCRKTDLQEPESLTSSDLTRGSTGAPKDATSKVELTNIEPESAFAIVQKTDATVLG TPSKARSGYVSSADGQQPLWSRQELFPTLANLETAIETGRSVAHVVSMGMKSPMNLCL GLARGFRNIPRIYNDDTIRLAGKVTDFNSGIRIASKELGLGLVDGITGLVMQPMKGAE KGGAGGFVKGVGKGIGGLVAKPAAGIWGFPAYMMQGIHAEMQRMMTKSVTNYITTSRI VQGLHDFSAATEGEKADIIIRWNNLRRDLQDCYDWKRE UV8b_07936 MSSPRAASPVSASGASTGASINRPSSPTPPGGPRTAIRRRAAAD QKEKIANARPASTRSAGAGGSSSTMLRLYTDESPGLKVDPVIVLVLSLVFIFSVVALH VIAKITRRFSS UV8b_07937 MGNDGNELSPDEVGTSKGGRGLLKVPSRSSSQQRNQASTASTGL SGATVTDPRTSIDARSKESRGSVSGRQRNGSASTHRTGADSERGHTLGNSQPSSPSTS EQKRKRKKTGGLLSLLGCCGVPDSANTLEEGESENIHKVEKLPQRPANAKTRPQNPQE QQIVIKTLNEKEPTQDTSTPGHTENQASSSTQDPSSNVEGAQPETKESANPAIAVDAP SQHAENDAETGDQTAVADNGNTDMPDAGQDEVPEALVTGGSDDTQFLTIPPPPILPPP PGPGSALAPPSTLMESGPAAPEQQAWLLPSIAPEHKGRKCLVLDLDETLVHSSFKILH QADFTIPVEIEGHYHNVYVIKRPGVDEFMKRVGELYEVVVFTASVSKYGDPLLDQLDI HKVVHHRLFRESCYNHQGNYVKDLSQIGRELKDTIIIDNSPTSYIFHPQHAVPISSWF SDAHDNELLDLIPVLEDLAGPNVADVSLVLDVTL UV8b_07938 MAAQSNLRRTAAEDALAAFIEKWRSAVRSKLRGTSRTTRILATL ALTLSVVLGGAGARRRWKRQRQEREQGRKLVRTNSWLHNEDGSRTIYVPYKDGTSKVI INTTKPLTFEAHRRLFLNPPRVSGLGDGSVPSTQTKPGLNLAFLHQFLSLLSIMIPRW SSKEAGLLLSHGIFLMLRTYLSLVVARLDGELVRDLVAGNGKAFLWSIAKWCGLGGFA SYTNAMIKFLESKVSIAFRTRLTRYIHDLYLNENLNYYRLHNLDGGVGHGADQFITQD LTLFCAAAANIYSSLGKPLVDLCVFSFQLYRSLGPLALSGLMSNYFLTASILRRLSPP FGKLKAVEGRKEGDFRSLHARLIANAEEVAFYGGANIEKTFLNKEYKSLKSWMEGIYM LKIRYNILEDFILKYSWSAYGYLLASLPVFLPAWGGIGGAAEMVEHVEKGGRERNRMK DFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRVHANAYYLRSGHN ELYSLSDVQGTIQKGFDGVRLEHVPVVAPGLWPQGGEELLDSLSIIVRSGEHLLISGP NGVGKSAIARVLAGLWPVYRGLVSRPKNIGQDGIMFLPQRPYLSPGTLRDQVIYPDGH VDMREKRTSENDLQRILDEAKLGYLPDREGGWDTRKEWKDVLSGGEKQRMQIARVLYH EPKYAIIDEGTSAVSSDVEGLLYETCKEKGITLITISTRASLKKYHTFNLMLGMGDSG DAWEFERIGTEREKMQVEKEVQELREQLAEVEELKKRRDEIENELASVWTDKGESLEA PTYTREEPEAVETE UV8b_07939 MVPSIKLNSGYEMPQVGFGLWKVDRATCADTVYNAIKAGYRLLD GACDYGNEKECGKGVARAIEEGLVKRQDLFIVSKLWQTFHDKERVEPACRRQLADWQI DYFDLFLIHFPVALEYVDPAVRYPPGWFYDGEKEVRWSNTTNQQTWEAMEDLVDAGLT RSIGISNYQAQGIYDMLKYARIRPATLQIELHPYLQQRNLLSLAKTEGIAVTAYSSFG PTGFVELGMDRARNVAPLMGHQTVTTIAFKHNKTPAQVLLRWATQQGLAVIPKTSRSS VMEQNLQSTDFNLEQEDLDMIAKMDVNLRFNQPTNYSKDVTTLSIFD UV8b_07940 MSSVPEKGHGHPVHIDIQDDRVSPREDGDDASPGMKNSKGWDGK LRIPKSALVTNPEAVSDPEYSDDDNILPGDEIRADEDLLDSESSDTEEIMCTHSRIRS ISSLRLERFSNVVRICLRQNSIQEIEGLAPLADTLKDIDLYDNLISHMRGLDELRKLT SLDLSFNKIKHIKNISHLTQLKDLFLVANKISNIEGLDSLDKLTSLELGSNRIRELKN LDKLKSLEELWVAKNKITNLTGLGGLPNLRLLSIQSNRIVDLSPLKEVRGLEELYISH NAVESLEGIKENTKLKILDISNNQVSSLQGLAGLKDLEEVWASYNKIGDFVEVEMALK DKASLSTVYFEGNPLQLRGPALYRNKIRLALPQVKQIDATFVRV UV8b_07941 MFSSRIFDPSNRSFSKSFSKGQKTSRSSSSFSKDSGVSKRRHES RHKRPATASTVADCGDATAGGHSSSAIVTLVIGSEQRLFAAHEDVLCASPFFNNTLHA YVDSTVKKIALPDEEPEIFSSVLEYLYKGDYFPRLTHNNRRNSWELEPEDAERRVEST VYHRSVDGDLLKDTVIYCAAEKYGLQELKNLALRKQGLQSGIQCSTILASARYAYANT PDTDSKLRAHYLALIIRSRNTFKRSGTMQLEMYNGGTQLFFDLFVALCNHVDDISSVQ GTPRSSRHK UV8b_07942 MVILRAMKDKTWITHVRIKKAMHGTYILGVTIDHAIISDGYLNA SSNTKCRCGEFQWPRSVYSFTEFNPPCKRTGDWPIPVTTSFISIAMQ UV8b_07943 MNKLFEDFAKAHTIRNGYLLAQTISPVAPANEPHRLRRIWQSTN AQGAKGDIKHLIKSKKLNGTSLNRDEVNGWADVYVAYWNAIGEILAGESGKCSWTKVY EAWKDLTSMLIRGYNNSGFEAWTIPSLYMVGKYLRLFAIKSDHERSRNSTDSTNTASL MQDDFDPELEKQGQLRDCEQHLKRMFTLCLNDRAPIEESRKWGIYFVINLLFKTYFNL NSASLSRTILKTLSVYNEKGDMPPLDAFPAAQRVTFKYYEGVLFFLEENYVQAEKHLM EAWRLCHKDAQNNAERILMYLIPCRLLTSHVLPTKALLERFPRLQKLFLPLAECIKRG DLKSFDLALQEGEDVFVKKRIYLTLERGRDIALRNLLRKVFLAGGFDEPKEDETETSP VRRTRIPVAEFRAAICMLGGGEIIDNDEVECLLANMIYKDLMKGYIARERGIVVLSKK GAFPGTGV UV8b_07944 MTYSQIQPSPRALTIVTMGRLQEYEVIGRHLPTEANPTPDMYRM TIFAPNETVAKSRFWYFLRGLRKVKKATGEIVSVKAIYEKHPQKVKNFGIWLRYDSRS GTHNMYKEYRELSRTDAVEALYSDMAARHRARFRSIHILRVVEIEKSEDVKRPYIKQL LTKGLSFPLPHRITKTSTKKLFSAQRPSTFA UV8b_07945 MAEPSPFEWVTVKTTLPSVPFPPLDARPDIRTERLVLRRTLESD IDGWHALRLQPEVMKWTGQGKPDPDLEWSGEKLKMRLAPEGNTKYEFIVCLADTGELI GSAGCHLMVGELGWPAIGYMLRREFWGKGYATELLHAFLAAWWALPRSDCVVKVDKST AVEEQDGRVRECIVAVTLDDNTPSQRVLAKANMNLVKAWKEPVRDDISRTRNVILYGY ANKRPLP UV8b_07946 MGTASGPAKLQAVTDLVNKLSDDLENISLLPKDRNDALEELKIY GRDANYADPIFTKGGITMLLRYSFYDAPSETSRAALRVLANAMLLKPDTRQMFVDQGY AAQACHQLKVDNWDNEFLLSRILFLSTYGTTIDLTDLIENHQLAEHIVNNLERHVTVL SHQQEQKLDPMESMALGETLKLMFNATHFCKAKVGMFTPAISHVIALLWKQDISDAAP LEPPFGPLVNSLLNVDLHADQHQTVLFATENPNKVTSRLVHLLDKGIRAYSGNDLETV VTPVVSLLSKFYEIAPQPVRQSMQEWLLPTAQDRQGVLGRGDSLPSKVLHNSTNVTAP ALRDAISHLLFDLSDKDASKFVQNVGYGFASGFLLRNNVPVPASLSATFDACDTNGAQ KPVNPITGQFLDKEKFAETPEMTEEEKEREAERLFVLFERLKKTGVINVQNPVEKALH EGRFEELPDDFVEELD UV8b_07947 MASSAASAPSTNILESLPARPPTPPREAPDRVDIAPKSVAHRNL AFDPELSLQTPPNVNSLTTPVATSSNPNSSRTRKKVEWSAHTEYREAPFYHNSLKLNK SSPPLPAPSSATSKPVKSILKPTSSPNPLTSSVTNQLNGPILPVNIIEMLDSTIKQLA GSDRDSKLDAYMMLSRALKASNNLPDRVALQGKMSLFMQFIQRDITTRAGNGSLDSSL INHSLTLLATFLHFPAIAATLTVDFGIFIIDHSVRSFEDEKLPKDVIRHLMQVVAFQD FSPKVMTFDRVGRLVNSLHRIEKHLKGKSIILSRLHIYKRLVKQSRTHMAAHSDWLKD LFTDLLSSVRDIRTQAITLGTEAGFALRSQKNVLRKVTDIFQACDDNEAYIDFYIKRL QGMLKEKQSSSAVPQIWRVVILFLRCPLDRWQYYGPWMTLVQSAFNMTDNLTKQEANF AWNHYVYMSVSDAKLSPKAIGTLCQPLLSQLRRKSNPKQQDEAIKLRNIVIGGICNLY YYAFAPGNGKYTPDMLWEVTVQPVISQLAELDHRSDTLGDEIMQAARLLVGLLDATTP RIWRQDRIMEMPPVKSDELPGIDSKWTRKNCDKVLDSVGPIVLQKFYDLANKDSLVYR LWQALIHSVMVASAKDIKVSDDTTKFVGCALRLLANALTTEASRSNASNAKFLSSISN FIQLLVNGLGILPFTEKKLSMAIPNTSEPASTPLQKPYPAEKPRELVRMPLHHLHVLE AARSKLWGAPPMLCKGGLTEPMDSLYKVGNHFLNWLYNNCGENPDKIATAMSLLKSID RFTEQNMAQFGLIPVTKLESGLSVWIEDKNAHLASVHKSVLLQTTITLWDRVCCHIAK QGRLEKQDRDQITLLLEAGFKSTQAIILQRTAALWNVIVNDEEDAAGYANSLLSIISS IQSKGDALLPLDFTAHSEYGAPCLNPLQKESALINPPLASITENASESSQSPVTTMPR RAVTRRTPELITSSAKRASTPRLRHNNSQIQFEPIISSSPPQDDSQHLTERQKEVRER QRETTALFSEGQTTPQGDSDSLPGPGKERINPSESSEQIQESTPKRSKSFEDAITSTP TPRRGEVIQMDDFNDPPSSPLLPRPYPLLSEIQSRSRAGGAMESWEFSSPPGSPTGHQ QPEYADLPKHAETVTANRNQATTSAPVVGVTTRARRALQRAGMEEQVSAEARSAERRS GRRAEAKVKRDDETDSNLRSTSGVEKFIKQETTDAALPLQSTSRPIKRHACNKVYDDS TVSPEKPETAPRQISSQVVPSTPIEPPHSIASSVPRRKRKRGARNGGNRIKRRRSVEI DVQESPTPATPGFQNERAGGVETRSGLRRRQEQAAVDERKIRRKESERQSVDLCINLD SGDTDDEVVSQLVTESNAASQSMSDKAEAIDTELSAASKSEAQLKLAEDNIRSAPNEE EKMSIIETLRNDLEQMRGLSLTRAEVYQVEDILMDMKRELFEAERRGRRERGSGRSRK RKLRDE UV8b_07948 MADFGEYPPNMAPQDALVVRQQAGQDHAVVPYTADDLSRPKFGP ANPFKDESITTKRKNILTGHAEETFLGEHTFRTKYRAVERKGGPDREYRTGATLKEQA AGLRATRDKKGDAAIAEGSGSYVGPWARYNRPAYETVEEGEELASDEEHEVVEEDEGD VVESGTVLRAPDTALARRKEVQELGDETTTFHGSAEFDYQGRSYMHVPQDLEVDLEKE PGSTTNYIPKKQIHAWKDHKMAVTALRFFPDSGHLLLSASADSTVRIRDVYHDKELLR SYSGHSKAVSDICFNASGTQFLSASYDRMMKLWDTETGACINKFTTGKTPHVIKFNPD PEHSNEFLAGMSDKKIVQFDIRTPGEIVQEYDHHLAAINTITFVDQNRRFMTTSDDKS LRAWDYNIPVPIKYIAEPDMYPMTNAASHPSGKYVAYQSSDNQILVYGANDKFRQNRK KNYRGHNNAGLAIDLDCSPDGQFLASGDSGGFVCFWDWKTCKMYHKLRAGSQAVTCVK WHPQETSKVASAGLDGEIRYWD UV8b_07949 MYVRVGFNVLHGSLIRDHPTSCDFDAFRASWKYHPAAAWRKLAR DGASQPKLRRNASITGLQMRKTSHLGISLDEQSNKRVSMSLS UV8b_07950 MLLAPPRTTPSHLTLKRNATPFTQLQQLPYSSAARFNPVGNPPC LIERLPPELQRMVFAHLDYQTLIHLSTMNRYFLRTINPYRLSSATDKAQFIMRAAKDF RQHRPREKGPDFRPGNFECYICFRVRSPDKFDMLQPQSAWVNARGLVVKDRQPDARTD RQVMLRRFCIECGVSEGLYAPFDCLTTRTGRDLWICRCRRVWSKPSCLRCPSCRGNCP LRPRKRLTM UV8b_07951 MSNEKGSFTQNDVSFAQILGGECSKTCYLGWQDRLLDQGRGRTV FDMVSLPKIRMARLIDVVFGAVCRGMAHLGRHVVGRRSHFPSGSRTGFVCMICRRGSF AILHVSDVEAAFAKLTSQAL UV8b_07952 MAPKIAIVYYSMYGHIRQLAAAEKKGVEKAGGTVDMYQIPETLT DEVLAKMHAGPKPSDVPVLDNPALLQQYDGFLLGIPTRYGNFPGQWKAFWDKTGGIWA SGGYHGKYAGIFVSTGSPGGGQESTVIAAMSTLAHHGIIYVPLGYAKAFPQLTDMSAV RGGSPWGAGTFAGGDGSRQPSALELEIASIQGESFCQTLAKATG UV8b_07953 MGLPTRSLWVLANSHHSTRRDLNSKSVTFIIIVAAIVAVALFSY AAVSLLKGRQRQLHGQDSIKNEGCSFFQALKRRRISRYHQASADNLDGANTSHPLGRS ALSPNALNERQTSRRTSHPTILGNASHVRSGSSVDRHTSVRSVMTLPVYCAIAGNNEQ VLGREGERDGVDVIVDLPTAEEEEALREEEMETIYQLRLVRRAQNAEREEMRRQRQAA RQHGSASASVGTQSRATSNYNHIENLRQDLSRVQEQRQRAVPSVSYADLGVARHDGTR IRANSNESERMGLLSDAASIAVSARSDARSSRLHRREPSAISLVSVDNDTHPVPVSTA RVSSRSSTPRLSSGEFQSAPSPEIAEADLGVEPLSPPEYEDISLHNGGRGLEATETPS NGPPPYHFVSATRAPPSSNTASISNSSLSSEGETASIQQASRDSSALTRLPSLRLSAL PIITIERTNEESPIQP UV8b_07954 MTALIGEENVATLFGDVHYFYGPADTKPRHHRFDKGSYVYLFEN ASDRRCRIEIANQPGTVDQDAFDGFLDQAHVRYSYKQHCTVSLTVGDTAGHDGWHLPT YDPRNENKYHYRLDSLDIYFWTQQDALQFVNGVRRVLPASQVEVLDEPCLPHGMSSVV QRLENVAISDPQYGHDLLMSQVSTSQTNIGITPASLPPPPPPPSAFSPMAYNPAAPAA PETIYHRDKTPPPDEDPLNPLAVAVVNDYQKQPFSPGFPPQPQHLGAASSALAPRGIN SSAGTPPHFAGPPGRLGVQRAVTVPATTTGLGFSELNSAYGSAVSSGTAAPPPPPPPP PPPPSSSSAISSSQQRGSQDYSIHQQFYQPTEAESVSKKQYQYQPKTEGFGAQPAKRT PAHLTGMPEYP UV8b_07955 MPSTPNTPKGQGHSRPSSTELDKSKTLKYQLLGASLTKAGQDNV DQSKVSEIIYNASKGSKFFNREEARDQILTHKIERILAKKIQLNKKDLTAELRNADRI INEMELSRDLTQCIVHIDCDAFYAAVEQLERPELKDVPFAVGAGVLTTCNYAARQFGC RSGMAGFVAKKLCPSLILIRPNFQKYAAKAEEVREILADYDPRFESASIDEAYLNITQ YCQENNMGPAETVEQLRREIHQRTAITVSAGIAANAKLAKICSNLNKPNGQYVLPSDR ACIMRFMRDLPTRKVNGIGRVLERELSEIGVNTCGDIYQQREYLNALFGRKTFDFLIG CCLGLGRTRIQPAAEYERKSVGTESTFRDMSDSVELREKLRWTAEELERDMKRIRCKG RTLCLKVKLHTFEVLTRQIATPKLVSRADDLYSFSLPMLTKLEQEIPGMKLRLMGLRC THLVSSEKPDAMAFFGFKAGKGDVDAVPDYTNQDSLAGGSDSNGPHDEISHQVQLHKG LSAQDVDAQGLNDGLPGRHGREVVPDGQEHGSPKAAEAWWDCPVCLRPQEANQKAFNG HIDSCLSRQAIRDAVQGQETRDPQLRQLEPRRQQVHDKKRGRAAQLDRKQKKLSFR UV8b_07956 MKSTAFLSALAAAAVGLVASEELKIDVTHKVACERKTKNGDKVS MHYRGTLGGSGKKFDASYDRGEPLTFTLGTGQVIKGWDQGLLDMCIGEKRTLTIPPEL GYGDRGVGPIPAGSVLVFETELVGIAGVPSPDEAKKEGLAQKAGEKIASVASEAAEAV KIAVADTDGGSQEHEEL UV8b_07957 MNYPVVRTASPYPDPSVKGPRILIQQSRSVLRKMISSNVNKTAL HPSGVQPHHQHTELEEELHETAHIDYDRVAIIPNPSVAALYEDALVYETGSAITSSGA LTAYSGKKTGRSPLDKRIVKEPSSEDDIWWGPVNKPMSPEVWQINRERAVDYLNTRNR IYVVDGYAGWDEKYRIRVRVICARAYHALFMRNMLIRPPREELEHFHPDYTIYNAGSF PANRYTAGMTSPTSVAINFSQKEMVILGTEYAGEMKKGVFTVLFYEMPIKHNVLTLHS SANEGKNGDVTLFFGLSGTGKTTLSADPNRALIGDDEHCWSDRGVFNIEGGCYAKCIG LSAEKEPDIYGAIRYGSVLENVVFDPETRDVDYDDATLTENTRCAYPIEYIQNAKIPC LSENMPTNIILLTCDARGVLPPISKLDRAQTMFHFISGYTSKMAGTEDGVTEPQATFS SCFAQPFLALHPMRYAKMLADKIEQHKANAWLLNTGWVGAGFAHGGKRCPLKYTRAIL DAIHSGELAQVEYENYGVFNLQVPKTCPNVPEDLLNPEKAWTAGAESFQNEVVKLGKL FRENFKKYESEATQDVVKAGPAI UV8b_07958 MSNLNSWEDDPSAQDENLSRRAQQQLNVNPGQGQNQGGFRPNVA SFQPTAQSFQPGQAFGGGFPNQYQQQQQQQYYQQGYYPQYGGQQQGFNQYNQGYGGNF SQGYNQGYGGGYPQYGQQQGAQAAVPKQPATHAPPAAPAAPTAPKGLTKEGGPKVPSI GGDSSASKPKAKVLSIGPTSASKDEPKDEVKSESKPQPTNTPEAGQKASAAKAIEKTG EKAGKAGGSAKSSGRTSPTPSSGRSSPSGPGEKKSQREIDAVTKEQAADVDDETLKEV YGKEHVNIIFIGHVDAGKSTLGGSILWTTGMVDERTMDKYKREAKDLGRESWYLSWVM DLTKEERTKGKTVEVGRGFFETEKRRYSILDAPGHKTYVPNMIGGASQADVGILVISA RKGEYETGFERGGQTREHAMLAKTQGVNKLIVVINKMDDPTVEWSQDRFNECSTKLSQ FLKGTGYNLKTDVFFMPVAAQSSLNIKDRLPKEIAPWWDGPSLLEYLDGMKALERKLN APFMMPVNAKYRDMGTMCDGKIEAGVVKKGMTLVMMPRKQNVEVSALYGEQEDEVPIL QCGDQVRMRLKGVEEEDVLPGFVLCSPKRLVHCVAEFEAQIRILDLKNILTSGFNCVL HVHSAIEEVTFAALLHKLQKGTNRKSKNPPTHAKKGDSIIARMQVIGGAGSVCVEKFE DYPQMGRFTLRDQGQTIAIGKITKLITEETE UV8b_07959 MDQFLSFIGVQAVKYAIRSGIVLTSNYALNQCSRLISTLDDRDL EGELKEYRGLLESHLKVKTLGRVFEDVALVAESVNSGGKDNQKKSESKDAEHGIRHVI EETKSLLDRIVRDLPLLQLAITASGESLSTSIPSTISPSRLLQASTFIAMGDWEYAQC PRTVQIGPAFSLSLYMLFIAHAPVGSIKGSNPCRADAYGLGENDRKPIWQEVIHKAYV RLYRYTTTEDGDQMCSCKTGVTSPCICERKGYRYKLEIQEDLNDGRLHCDNDETNPSA GDNLSQCECIRVAEICKLFYTDKAKLLNIGDASGEDVRPILLLKRDVERSQQTTLGED SVDSFAGYKTEGRRRNKPSEDYDLNDRHVPQSFLSTSASHDTKKLRFLSDLPKHLDPE WLAFEMFAEASDVDSAVGGEDNGEDENLENSEHVDTSRFKNIVGGIDRSEDASDHAST LDSIISSKMGLVSVNSSTHNGQSAENEAMLSGLQGFKNISKDLTFLYQIIF UV8b_07960 MCAHGVVVVVVVVMKGGTSSTASLAASLTASQWKSRFQRSSCRK PLSLLSVAAAKPCPRACYDIQHFQQEALIPKRPCVFPRDAGSPTLRLPALSRWFSEAA SPAAGHLSLWSYLSAENSSNWLFPYELFRPSESLDTFSQFLDSLMSSTEVTDQIMAGV LQSAVPKRTEHEFFQVHAPLRLLRKALEFNASRKPGAASPLSLYIAQSSLSDLPQPMQ DDLPVPELVQRAGRGDVYSSSIWLGTQPTYTPLHRDPNPNLFCQLYSQKSVRLLPPAV GHRVFHEVQARIQRRGNSRIRTTDMMEGDERRALHDAVWEASPPTDQMYPVSLDAGDA LFIPEGWWHSVKSGESDGKLNCSVNWWFR UV8b_07961 MSSDSGSPESWIASFCALLGHEYFAEVSEEFIEDDFNLTGLQTQ VSMYKEALEMILDVEPEDGDDDEEDDDEDDDDDDENESAVGGGQERMGGRPGERRHHS RMASDLSVIESSAEMLYGLIHQRFICSRAGIQQMSEKYELGHFGCCPRTNCEQARTLP VGLSDIPGEDTVKLFCPSCLDVYVPPNSRFQTVDGAFFGRTFGALFLLTFPEYDLTKR GIDVLSALTSRVPDEERLVNGMYAKNIAPGLGSSRIYQPKIYGFRVSERARSGPRMQW LRDRPADVNDLDEARIYAARCPDLDDEDESMNVNGRVMVRRRPPGGSARQRLRRNQNG SPMALSTNGADSEL UV8b_07962 MDGDWDEVARIPFPPPGVHAMPTPVTTMTFDTSHELLWTGNDYG RVTSFLGSDLQRYTSFKPHLTPDGPVRQILVSEKGVIVLGSKDVHMAQRRGPPIWHMR HDDMKDLRCMSFTSKGTAEVVVAGLQDTMLVIDLNKGEVVKQIATDHQYSIMKRARYI CAATKGGTVNLLDPVSFAVVRSWNAHSALISDMDAQHDFIVTCGYSLRQGQNYMLDPF LNVFDIKKMSSMPPIPFPAGAAYVRMHPRMLTTSIVVSQSGQMHLVDLMNPNTSNVRQ ANVITYISMFEIAPSGEAIALTDAECYIHLWGSPSKLRFVDLATPIDFATPEEHAAQI EWTSETPLNSVGLPYYRETLASAWPDLISDIGAPPTKFDPQFLEGLKPTEFGLYGRNS RGFRRNQVEDTRHSHRIAVSGLKAPKFLSEKARESAKSESHGLGEGPNVSNAVADNET DSKKSDVPVMYRNVEIKYSKFGVDDFDFGFYNKTQYSGLETHISNSYANSLLQIMHFT PLIRNLALQHAATACVNEVCLLCELGFLFDMLQKADGSICQATNMLKTLSSHPQAGPL GLLEEDPHGTSLTVMLQGLTRFLLDKFVTDFKSMSPATSAMEQVLATSATSSIRCMNC RSEYTRPGSTFVNDLLYPAFKPSGRTAKLPRVTFSQVLKSSVERETTSKGWCSRCQRY QTIATRKTVHSIPGVLMLNTAITTHDHRALWSTPGWLPQEIGIIVEQGQFFCYEGEDL KLHLQRGIHSITVYSLIGLAINIESGQTQKPHLVAMVNVAHAEPEAPGQSQWYLFNDF LVRSVSSEEATTFNASWKTPSVVTFQVKQANNKIDATWKEQLDTSILYHDLYPNPHNG RKTYRVLDPETERPGPDTIIALDTEFVAIRQPEIEMNSDGERETIRPIVYALARTSVV RGQGENEGLPFIDDYIAIQEPIVDYLTSYSGIRQEDLDPRMSKHSLLPLKLAYKKLWV LLNLGCKFLGHGLKQDFRVINIHVPKAQTIDTIDLFFLKSRLRKLSLAFLAWYLLKED IQMETHDSIEDSRTALKLYRKYLEFEDAGVLEAMLQDIYRAGREVNFKPPRKDDGGVQ RMDTPPLPAVDGPTAGPSTPVRRIIGAAPGSGFGGGSSWTPGKGSPLR UV8b_07963 MDIMASQDWAYLPDVPRDIGPIRKILLEYSKISPADVDGHILRV REAAWKVSRFPCVGRWKFLRLTDQNDPCYQQVLFRLKVPRSNDAFLDLGCCVGQALRQ LRHDGVEGSQLFGVDVQSKFVDIGYDLFQDRRDFCATFVIGDILDPDDRRLDELSHKV TIIYACSFFHLFNWIQQLYIGIRLVGFLKHGTKNALLYGRHIGTGSPRSKSSTSTAPY LHNKNSFQQLWNEVGEVTNTRWTVEWEPASEMIHDLPNIEKEVQPIDFMVHQVT UV8b_07964 MGNRSGSHVSIPYMVFHAATITRRIDARQQPCPEYPLSRAKGQC QAEDLPTGPQKFFPPPCYDLQGSNTTHKLPPRHRVLPIPLSATGPSHLSSSDPESYLK SLELSAPHRIAGCLPIL UV8b_07965 MKSEREGETEDTSRLTYPSPGPEAMDSGPFYHSSARDGAAEPPD QPDQPHQPDQHMAADLQGQEHDQTHVTAQEPATQQHHLSRPANLEELQLAAQLGQGLA GAADANMNVEDSGERNMMPHPHPHPHPHPESDQHHAPPYVQEAPAPDPMAQHQMPVQA VGPPLPPHYSIGDGIPPRKRSKVSRACDECRRKKIKCDAQSDTGGTPCSSCARSNTTC LFSRVPQKRGPSKGYIKELADRIHSIENKLESEGNLSQDDLDKLFSTDRSRHSNGLED STRKRPFSSISTGGDLTTHNGIRQAPWGSDPRSAPTAPTAADASAHEYTSNSSLAPQP SPVRLDDLPTKPASEAADVSVADGDVADIDDQVYQDFLSSVQPVYPILPTDKTTMQSL LSRAPAAVRTAFSLALPCVGQSSSGDAKIASSVLHEWESSGIARTRATDIVHAQTLLM LIIDADWRASSTLPFLLARGVALANTMKLWKMPTVDVESENDSEDQLCVRIWWSLVLM DRWHAAGTGQPPLISDRSAVIPAGLENIIGEVSFYLLRLSKLLNRISFVISTLQAGAS AADASMAAILTDYIENYREDLPAHIDAAAYPMIHLAYWHCRLLVALLTPGITPKEMMW PTKELAHLLTVSAEVKSPLINHFVSLATLALGELGRSEATRDEVADTIRGISERPGGD HWDGVRDKLCEQLRPAASLSGVEATASQGLQHLADLATAHDGGAAGDEAQFGCTISIS AGYLEAAAHG UV8b_07966 MLIDGEKYACEACVRGHRVSNCQHADRPLQHINKKGRPVSQCHH CRTMRKSRSAHIKCDCGEKTSKCAHLQQPVDGHKETCCCNHGARCTCAHKKEPSLDTV PEAESDRGPHAAAATRPKAPSRRRRANTVHSDGLMAFDQNGNHKPATKHNRAAHKCGP YQLNRVNSTNSTSSLGASSEGMLYQDSRAPDSFSNRSRVAIGCDRRRVRSETASPLLS GGSLAHLPGRLPPLDLTALEYPSYVSNDTFEMFGSGVPSEVDGPIFSAGLSAASVDWS HYDLAEAKRENFAPSSYSQAGAHSFNGVFDFGSGSEQLPRLANTTSTSGEVSEVEEFM GNGDGDVDSGLGTGSFLRPANVVGSATDLTSIDYDSFYSKSSDNNVAVGTISMVEEDA AFWMPNYNEGIATMAESPDQMTATSVGPFWEL UV8b_07967 MQQKPRIILNILKEGPGRKARKRLEQQHDMDPSTSGIINIVVII VIIIVAGDLEMHPIIFAGGPMPQSGTISNSHPAFDMDNDSA UV8b_07968 MVLLQRESSWAIRSTRPHSDARHSHRVTWLVIPHGLARQTSHLM SVNSTPTGNHNEEEGVDLARKLTLRRDRSPLVEVNTACLSALAFVCFVCFVCFVCEET PSVAPAEAVPDTLYRISASCPAHHSKSCANSTTTYKIALNYYYQDLSCDVYLRPLMLA PIEAQA UV8b_07969 MEESPRDDAEDSAPPPQSRQPQHDKQPSLDTRQPETCVSQQQQQ QQQQQQQQQQQQQQQQQQQPWPGQITSATDFPSTASGAQNPFSPCSADSEIQSGHYPS AASDISGDRVFPIRSVISVDPTSRPCMTDDSFLQSSDNECRGLSSHGPTTYRRDSRTD ARRIDFTPMSPTSATPGGSSLQRRRQRSMSGPFSSIQADAVRYGTVAPLELDIAASGS ESEGEGESGELRRLDAAADADAACRFSRGDDAVSTTSANQSIGELPHVTTRFTHTETE DGHAIITGRDGVLQRCEDEPIHTPGAVQGFGVLIAMREDGNGSFVVRYASENSGKILG YTPKQLFSLGSFLDILTEEQQDNLLDHIDFIRDEDADPATNGPEVLSLSIKRPKEAKS VKFWCAIHVNNAHPDLVICEFELDQDDEYPLRPADEPTPDTPHDTLHSNPTLEEIEES TEILSKPLRILRSARKRRGEHGAMQVFDIMSQVQEQLASAPNLDTFLKILVGIVKELT GFHRVMVYQFDASFNGKVVTELVDTSQTVDLYKGLHFPASDIPRQARELYKINKVRLL YDRDLPTARMVCRTKEDLDTPLDMTHAYLRAMSPIHLKYLANMAVRSSMSISINAFNE LWGLVSCHAYGDHGMRVSFPIRKMCRLVGDTASRNIERLSYASRLQARKLINTAPTDK NPSGYIIASSDDLLKLFDADFGVLSIRGETKILGKMDHSQEALAMLEYLRMRKLTSVA SSQDVTEDFPDLRYSLGFQVIAGLLYVPLSVGGSDFIVFFRRGQTREVKWAGNPYHKE VRDGTADYLEPRKSFKLWKETVMGKCREWNEEQIETAAVLCLVYGKFIEVWRQKEAAL ESSKLTRLLLANSAHEVRTPLNAIINCLEIALEGTLDQETRENLARSHSASKSLIYVI NDLLDLTKTEEGRSLIKDEIFDLSLCIDEATDPFHGDAKRKGIEYHVIKHPGLPQFVH GDSRRVRQALTNITANAVEHTHSGSVTVEMFVSEVKDGVVLIDFIVADSGVGMSPKQL DALFRDLEQVSTEDSEPTAPGTTDRPLETRTLGLGLAVVARVVRNMDGQLRLKSEEGQ GSRFVVQLPFQLPVDTPSPECGGSPQEHAAGSNSGLAVAEASAATIKAAPDGEITLIE RGSHINSVSDVAASAAAAAAADNQPKGASSVGSRRSLESLGSQISQKSDADRLIDAIK TPLSLTDEKPGYFVTRRSSLESAGHHQPLPRIAAGGRRLSTSPVKLKPPPPPFPTAPS RGEPGTAEVRDSKTPIKAVKIPDDYAKAPMQTWKGDEPGDLSERPDDKRRPPRLALKT AVLPPKTAEVPLTILVAEDDPINMKILRKRLERVGHEVHETGNGEDCATVYKEKSPDF DVVLMDMQMPIVDGLTSTQMIRSTEQSDEHKGHSAMAVMNRRIPIFAVSASLVEGQKQ VYVDAGFDGWILKPIDFKRLSVLLAGIHEPETRKSSLYVAGEWERGGWFTCGVDQGSE RPGSSEATPTADDGGKQLKCETNIKPC UV8b_07970 MALRKQIWTLMSKNFRWLLFRHLTLCVVMAFLLPIILAAFFSFA KNLFVPPAKFGFGTVRPVLSLAEAFDAARSSGRDKVVLVNNGHDGGEINRVLDKVAEA VVQAGSMKLVTVGREDELLTECRSSLRGVTTCFAAVVMRSSPTEGLGGLWNYTIRTDA AFWNEPVKFRVDRSDNVEQVYLLPVQRLVDAAIFSPNGSGSDPLAKNQELAFTSMTQE ERDRNVREIFHEAIVNVMAVAFIANIIWITYHLTGFVAAERETGMSQLIDVMMPVRQP WMAQAARIVAHHLSFSVVYAPAWIIGSIVIRYGVFTNTSTAVVLIFHLLAGLALASMS ILFASFFRKAQLSGITATLAVLLLGILAQALTQPKTGPVAILSVLFTPCTYVYFLTLM ARFEEKDRATNLVQAPPDSPWNIPGLVFFVILAVQIFLYPLFAALVEKKLYGACSAGR KVQIPQSSDNGLAESAVSIDRLTKIYYPGFLSRLFPSKSKKAREPVVAVKELSLRAGR GQIVALLGANGSGKSTTLDAIAGLHRLTSGSITIDGRGGLGIAPQKNVLWDDLTVTEH LTIFNRLKAPTSPASKKQILALIGAIDLYPKRNALAKTLSGGQKRKLQLGMMLTGGSA VCCVDEVSSGLDPLSRRKIWDILLEERGKRTLIVTTHFLDEADLLADHIVVLSKGTLR AEGSSAELKDRFGGGYRVDVRKNLGFSDLPTVEGVRRKDAFDSITYVAPTSSLAAQVI RSLEKHGVGDYRFSNPTIEDVFLQLAEEVRDEDAFNGSSLAITKEAGSTTEKDTSSQD GSTQHHRELSLLNGKQVSYVGQVMILLRKRLTVLTRHWILYLAAFLLPIFAACLTSLY VKGKPQTGCTAADQASASSTEDAFTQFNHDSNIVFVAGPAAKMSTMAMTSLLRPIFEG SRGGTALGFAALKNLRLVDTFESWQQYLRDNPGIVTTALWLGDGDSNPTLGWAADLFI TSSMTAQQLLDVLVSNMTIATTWSSFNVPFNPGVGDALNLVIYMGIALACYPAFFSLY PSTERRRFVRALQYSNGVRPLPLWVAYVLFDFVFVLASSATVTAVWAGLSNVWFHLEH VFVVLFLYGLASALLSYFVSLFTKTQLGTFAWAAAYQAVWFFAYLIVYVCVITYVQVS KIDSTLLVCHFVLSGFAPIVSAARALFLATNLFSTACDGNELSTRPGAMTAYGGPILY LIIQCVVLFGLLLWFDGGSVGSSFRRLFERKTHVETDHEVDEEVASELRRVTASSGKV EDDGLRIMHLTKSFGKNTAVDNVTFGIKRGEVFALLGPNGAGKSTIISLVRGDVMASR NGGDVLVEDKSVSKSLASARRHLGVCPQIDALDQMTVREHLEFYARVRGVSDIEHNVA AVLGAVGLQGFASRMGHALSGGNKRKLSLGIALMGNPTVVLLDEPSSGLDAAAKRIMW KTLAAVVPGRSILLTTHSMEEADALAGRAGILARRMLALGAPDDLRRRFGNAIQVHLV SSSAPRTTEEEMDRVVQWVRERLPSANVEAKTYHGQLRFSVSASDIVALAGRRGSGSR SGGSGGSGGNDDDAMRIAHGGEVAHAGRQDGVIGQLAVVLEESKHELGVSYYSVSRAS LDQVFLTIVGQHNVREENYEEKESRWRKALQLGGALRALKA UV8b_07971 MGQDEDYVFLARQSLEGLGLDDWRLVAASLRAAKFRQVPSARKG LIAWPQSQAVSKAVFELAAAATADAAPPDVIKASIPPPPDQTFLGTGTWHWHLALAPD CSATWQPV UV8b_07972 MAGRKPTSSRSSKRRAEDLANTGPDIYQQMLAEAGVSAANPSRI EPPLKRRRPGLTSGAKSSSRDQITTCQAQEQPVVALPSTANENEHDGEDDDSAIEFED VVLPAPTVQTIEIESDENEDDDDDDDENEVFLFEDANLPMSHPDIVASGEETGTLELN LSALNSAASPSKRYGARRKPVSKEERDRRLHIHKTHLLCLLSHASRRNHWCNDARVQE TLRPLLTDKNIDLLNPGSHLPQFGQSESLKKGLKQAAEIWRAKFKITERGLRKSLWAE DPGQLQNYEPPSNLDSCLDREDFRNASKRLQGSRDVGAQLYCALLRSVGVRARLVCSL QPLSFSSGGPTLSEGKPLILGTKPAQNEHVKAALAKYKALAATTDPPSDTPGSSTPRR RLGHPHATSYHFQPIPSPPRKQRAFHEPAQIRESAFPIYWVEVLDTGHQKWQPADPIV TQTFWKPKAFEPPITDRENSLSYVVAFEADGAARDVTRRYAKAYTAKTRRLRIETPLD DGGQWWRTAMRPFQRPSPTDLDQIEDNELAGMEAREPMPRNVQDFKNHPVYALERHMR RHEVLVPDAKPSGTVGAGSRGPLEKVFRRKDVRVARTTEKWYRLGRQVKPNEIPAKWL PKKRRLKGPRYRDKDEAHTGDDGVVPIYTTEQTELYEPPPVRNGCVPKNKFGNIDAYV PSMIPKGAVHVAHEHAARAAFIVGVDYAPALTGFLFKGRQGTAVLNGIVVAKEYEAAI RAVMEGMADVEQEMEAERKRLAALRVWRRFLMGLRIRERIWKGVDEEERKAAELAAAE SDATEEYDMVVDDEHEHDEDHDHDHDQNHDVGNSGGGFMVD UV8b_07973 MAGGSLKQAVESARNKASEAIGAVRADGVAVAQDLLRTPYMRAA LPFINGGISGMVATSVIQPVDMIKVRIQLAGEGTSGGPKATPLSVTRHIIASGKVLDL YTGLSAGLLRQAVYTTARLGFFDTFIASLAERAKSQGREISFADRATAGLAAGGLAAM IGNPADLALIRMQSDGLKPLSERKNYKSVIDALTSIARSEGIGALWAGAAPTVARAMA LNFGQLAFFSEAKVQLKKNTDLSARAQTLSASAIAGFFASFFSLPFDFVKTRLQKQQK GPEGKLPYKGMMDCFAKVAKQEGLLRFYRGFGTYYIRIAPHAMVTLIVADYLGWLTK UV8b_07974 MFDSTWTFVSYTRRIKGTAERTEYLPVMCLLHSVCCPEAGFLTT GQHQLSIHVHTPQYLIIPAIDDPASPSRRLLLLSRALVDSPSCLVTGIYLLVSFCSGA DEKSAFPNIKSRYQRPDVFHLHCAASANPDH UV8b_07975 MRFPDRKLCRECKALWKLVTNHCFQTALLTILAHWLWKMDLHEF SSKSSAVASTEQKYLPTLVEVWYLRYSAINTWVHVHTIIIPLVAEPSPVKSPTVGLGS SKYCVLRSLRGDAQPNVDAMDPYLSVQQTSSFLDHRVFHSAGGFMLPQLKMAFKLNTW IRERYKTKKTKKALSEPSD UV8b_07976 MPKRKRGVEHEVRGILEKFETKVFRALKASKGFERQRQSKRLRE PDVTPGKAQRLEREITVLKSLDLHHIARTHLYLSLLKFKAIATSPDLPEELKRGVSKP DLPQEEQVAFHNVTSGLYNRDLVKQAIHQAVQAMCVALNVPVPERSSRSRKDTRAAQE AGASSTKEVVAEGPESAQDEQSIEHDGKAKPEVLSGDANEPGSDLGKPVNVDDGQEVT EFDELGELLGSSSDEEEEDWSNPKFAQLRGRETVNLDDISLSGSAEESGMESDEAPGD SHSSSPPPDKTQSKEKGRKTGTKQAQPGHSTFLPSLMGGYISGSESASDIEKAKPKKR RGQRARQAIWEKKYGSSARHLQKADKKGGRDPGRDSGWDMRRGAVDGDGQGGRRRPWK DGIETALGAKSSRQGEGSASLKPSRRDDEGTLHPSWEARKKAKESQKTVEFTGQKVVF E UV8b_07977 MPEFTGIISHFRQPDHGLASFRHWNHRCSDPESGQKVRDMGSLY QAEMKARFHLRTRRLDSATVPFSEIPRLAENIGHLIYVINDEDVKEIVAIVAEVLAQT SFDSNLFNATSIRDERTKQYDSPATGLALGRHSIIPRISAPADPATTFSVPKTLFTCT NWRNREMSAAISRSGEPVKSTIVSRASVSEIVWTECYSSKCIHIPDPPSPLGSIDSPT EPNRIHHMQASKIDPSQNSMRDAFLERQRQSNSFESSSTTLAFRKRMSRSTDEDSNMT SFPELRPRHCTNDWLKPPAEIEQLMAARTIHIWIVKTSFSLSSSISFVRIAIVTRESR ARTTDVGTYGLLAMIIRRHSGQAYLLGFLRESVLLGNPP UV8b_07978 MAPGSHTPPTSDRDRAPGNPPPPGDGYTRLHITPLDQELLKIVI PPSVLPGTRNISLHTIETFPERRYGFVDFPATEADKLRKKLHGSTLKGVKMRIEPARP EKQTQQQPDDDAAAAAAAAKQARPRKSPDGAETSKKRKRDPNLVEGVLLKDRKVKRGW TESADTKRKSRSERKPKSSGGKDRGSEEEEKKKKKKKTRQRSKYTEHDECLLKTRLPP NAAAADSGDNASGSNKKKKNKSKGGGGGGGGGGGREVTVHEFEKATRFPGFLKTAAPE ASGSRAAEFVEGKGWIDEHGNVVEAVKQRAASAPASKQSAGSAEGKSIGAEESSDDGT SSSGASTDDDDTDGAADEEGGHHEKEDGGQNSESGLPDASAASPRHTHAEAGERNKRS QASQADADDSSTSSDDTSSSGGSSDNDHDSDEERNKGGPTDGDGAESRSDAPSSGPSP SPAGEIPPAPTTPSAKVHPLEALYKRPKLNVSVATGSQAGQPFSFFGGPGGETPLAAA TALPMTPYTRQDFEYRSVRSAAPTPDTAHPSRAKNFWAPQDEEEAEPADEANEGGDAS DAQPEKGQSASSEFQTWFWDNRRELNKSWMTRKKSAAKEKRHRENKARASRAI UV8b_07979 MGWFWAEPPPAPAPIAATSPPAAKRRDQAPSPGCPVRQRTLDAL SPGDKPQTHDAAPSSCPVSRETKTRAAAREPKSLVSQLNPLNYMFPDLSQQRAPDQAV ALPTSREESTIPKGSGDGTWEYPSPQQMYNALLRKGFTDTDVTAVESMVSIHNFLNEG AWAEVVGWEQRFSQGLYKGWQICRRGEAHAHEELERQRSGDEPRPSLIRFQGRPRDLT PKATMLQVLGWIYPSRFGTELPFDRHDWYVSRDVDGRPQQVRYVIDYYSGDPEPTGEP VFYLDVRPAATPRGAAERLIRWGTDVWWKAVGGDKHEQDPEPFFRHNASKPWG UV8b_07980 MTHAVLLDRFFHRPLLRLALQASAGAAAAALSAGVFVELSQTGN GGPDETRELRMLEASRREIRKSVGDDERGVSRAVHRLVLLLDLCIWEPLCTGLRFLQL VVIFVPVLAAAPAIWVGSRQPGRDNERRGALWWYGLLVRSMELAGPTFIKLGQWAASR SDIFPGEMCEAMSKLHSNAPAHSMRATRRTVEAAFGGRPFDQVFDEFDEEPLGVGAIA QVYKAKLRPELAMPDGLHDGHGDRGDDGIARHVRRSVETVLKSSPRRVPSSYVAVKVL HPKVERTVRRDLRIMGFFAAVLNAIPTIEWLSLPDEVAQFGEMMKLQLDLRIEAANLA TFRRNFRDRTTVTFPYPYTDFTTRQVLVEEFAQGIPLADFIENGGGVFQHDIASEGLD AFLRMLLLDNFVHADLHPGNIMVRFYQAARPEIRLPRKKPQDLAPRGREREAEQRHEQ RHGQADVTEQVLQRLRPLRHRGNRAAWEAELAKLDAEGYRPQLIFIDTGLVTELNATN RANFLDLFRAVAEFDGYKAGQLMCDRCRQPDAVLDRQVFALEMQHLVLGVKSRTLALG SVKIGDILQRVLAMVRRHHVRMEGDFVNVVISILLLEGIGRSLNPDLDLLSSSLPILR QLGAQTGASMAKRGDFSMILVWVGLEARKFLQASIEDVERCVKYDLLSPNV UV8b_07981 MARDRDLDNTSNTLAGGQSKRPPLHQRDSDSHSDTTNTSGAPSH HHRSKHHQKQRHVGRLHARVPSTKAIIKQHAHAHAHAQAQANGQAPKLNRRTASRPPS PSHLNDLSHHPPHRRATSDVKLPRQTSSGQVPKSLSQSSLKRNRSHVEVAKRTRSADK LKRTSSGTGIHSSSRLGKTQVHFDLGSDGPEDEWVDASGTNSPYLSRKGSLNSSAQSS LRQGPSIENSRPVTPTAPPQGQEPSSSSPNRETTQHKEYLTSRLLRRTPSHGAPPQMT ADIAKAGTTQSSRSNPPAHSTSQRSENLRQRPSSMADLAHSPNERLESEATPVVEVDT SALVPKPGRRTAAPAAETSRTQQKLNLQRASSVLEPGQGLSGAGGVVGASPLIGVRGP GHDGGSSRDPRIGKLLERTGMEYLVVRRHQNPVARSLNRLGRLGGMGKTRRIPRTNTG STNGARGAADTNARHTRNVSLPDARQPTPKAGTNGVAHGFDGNDANRLTERLSGGSLV GADDDNGTSVLLRNLWDKAMDLNAGAD UV8b_07982 MQAWSNHAGHPTLRGPFVVHSISPSWHLGTRGGVAERDIGHVIP GSRNIASQRHHEPEQGISIATSFNPTPLSDFLIMSSTAAIEEEKQQYQEQLDIIVQQL RDDPENAELLALQEELNNLIGLLNENIAELQPQSALKPDVKEPTPPPQPEKWSRENHP AFKKPAAPVAEEKDEPPVTYHVNDTVMAKWVSGDKNFYQARITSITGSSANPIYTVKF KSYDNSETLRSRDLKPVSNKRKVDDAPPTPTGPGVVSSAGATMYDGTQKEAQQGEEAT KAPKPKKIKAKKELEAGKAKWQAFSSKSKFAKSGKKESMFRTPEGIHGRVGFTGSGQA MRKDPARSRHVYQVNEDLD UV8b_07983 MRITHQRLFQAFRYLQHENPLGLPRSGEPPSWPRRPRQRKIAGV DKVIAVSSAKGGVGKSTVAANLSLAFARLGLRAGILDTDLFGPSIPTLFDLSGEPRLS SNNQLVPLTNYGVKTMSIGYLVGDDAPVVWRGPMVMKAIQQLLHEVDWGHLDILVLDL PPGTGDTQLTITQQVVLDGSVIVTTPHTLATKDAIKGINMFKKVDVNIVGVVQNMSLF TCPHCHGETSVFGSNERVKKLCKEHEIEFLGGIPLHPSIGDDGERGKPTVISEPSSER ASSFLQVAQAVLAKIGLEKIVSSHGVQRMP UV8b_07984 MTAKMAKAKSRAKEFRDPHEVTRKDYDPESNGPASDHGSGTDES EDENLGTEHYVEVGKSRLRDKQGVSLGPEYRGSRVSRSALNADGADQQGGSDEGDSDG DTYDDPDDADLAMDEMEAGDSEIESDNALGASDTERFKGFAFRGSSKPGKRKGRATAA DFMSEGDTEEDEVENDVMEDGPDALSNHGETGSEDEEEQATDEDEEDDSDEDEISDGL CEDEDESVADEDDDEDGDEDDEDEDEDDEDEDEDEDEDEDGDEQSALANGTAKPKLLV PSNAADVAKGTAIQAQRKIYDGLLNLRIRLQKALVAANSFPTIEPEVDAESEPYEAAE EAAIKLLNTISSLRDNFCGSQAKTGQKRKRQLSMAMSSGDIWEQLQQEDEAAAGQREE RLERWSKKVQSVNMPRSTGFSQQKKSLIDSLREQLLMPDNRLVKRTRVPRSCAPAQVS KKVMTDEYIYDDADFYQLLLKELVDQRTVEGHSARAATVPTVMVTASKEAKLRKQVDR KASKGRKMRFTVHEKLQNFMAPEDRRGWEQGAIDRFFGTLFGRKLELDEDEDEDEDEE DEEAEGRDAEEEGLRLFRN UV8b_07985 MEQIETQASDLRYLAEAPVMLSDTPSASYGTPRPGVQASPSSGS AHAGYGDNSAAAASGGHGAAGSAANTRASASASAGKRKSFDDGEGAEGASAQKQTRSK RNRYISIACNECKRRKIKCNGETPCQRCGNLNLACLYAPNCCSSSFKDSDEFRTLASQ LARLREEVDGLSQAVKTMQSADPGRLAPLATDRAPSSGGLLLSLEPSPAPTYAPPAPL ARPGPGPFRGSTSLAFSLDVANNTIANMGYSEMEESDDQDPQGGSDTAPRTMLGPGFD PLLGFDKDEMVRLCELYEDELGIMYPVVNIHTVIAHARSIAPFLDSIRNHERPPEGLN NDNKTLQLKMVMCSAQVVESHGHSERAVELYESMETVVNRKLMSDASEVANLPLLCLL AGYRFVSNEEVLAWRVMGQVMRLCLELGLHQKSGLTSIPDESERRDAINSFWSAYVLD RRWAFGTGLPFAVHDDEIDPNLPLPAEFPVLVSMITYSRISAKVWRHLSHFGPVLARE VRQEEIETLDREILQWYETIPEEVKLRSWDKEKQIASMPSYNLQRLSIWAYLRLNQIR IWLYTPILHSATSIMQHPAKAQTAVDLSSDTIQFLSHLNSADDLYRRTQVLYNHFLAS AISVVFLASVHAPVRFSAVCRKEFYLALGLVKNLSAKSWVSKRLWRTIKSLKNIAPRF GLDPDDDAHSSAALGMMGLARGHADGMPAAQPPFSLAGMSASQQNQSQDQHKSHHPAG PQQEDQNGRRIQGELSRIYEGYVGLNGFQFGGAKMPPPPHADLGVEAAGLCAPDGTVF LHLREMF UV8b_07986 MQDVRSWWGHLADIWPNPRELLRRRGVVKALPDLALAAFWTLGL AVACVSIFARDLWRLLWSRVNPRSPRNLQRREWQRQHRTLMELLARDPGTPISPVGRE PALASPHAQQPAAERGCRIFSRVPPEIRRQILVAAFGHQTIHMDLRFRPPLHLTDREP FDGWEVHARARARAAQAPTGHPRPDRCQQECLRTWKWFSCVCHRWDPDRTAPLSLGRR QNYRWAQFGEPEADQCLGGSGRCSCWPGQWPTKCQVGIMGWMLSCRRAYFEAMDVLYT TNTIHISSSVLLQHLPDLLPSHVLARLRSLELVWQPRELPISHGFLPTNPAGSNRPQP LFPRLAYLRISFHRFAMNNIDDATDMMLPYDNKQLLSERLHENLLPQVDELVHRIAPP AAEVTLSCARWDWYELMDLALLQKQGKEATSMQRADIDGLRCWRTMPSRTAEAPADVE AAAQPCSPRAGYWIHVPIGHVRLDNSYGYDWQRNELYGLGTQRLI UV8b_07987 MRAGIAAFLYRNTGEILCHWRPSYCVLVTVGQTWTYARSPRKTM AVFFKGHAHYDEDRILNLIWFGLVGLQVTIVLSVAARAARHYFQRRLRKQVLP UV8b_07988 MAANATVHVKNIAPATSDDEVKNFFGFCGKIADFKVETEGETKS AEVTFEKKTAMKTALLLNTTKLGPNQISVTSASGSADEDGSHDAKSEERDSDHISQEE KPRARILAEYLASGYVVGDAAIERAIELDHKHNVSNRFLTTIQNLDQKYHATDRAKAT DQSYGISQRANSFLTGISSYFEKAGNHPTGKKIVKFYTDGSRQVQDIHNEARRLADLK KQEHGGSAYKAAGLERVFGKEAPKQPAASAPAPAASADDSAGGALATTAPSAATAPTE AVPATKTTESKDS UV8b_07989 MPEGHQSPPPERQSGKQLHEPPAEGFGTTQVGNKGEEVQAEIQN LESNPKGPLDHHLKDKFQKGEGNSVGASVQK UV8b_07990 MPDLNSVPPSPHSLAAMSRRQSSQQMAPPPQPAPTSHSSSSINI LPSNQNAVSRGHSRRASLSQPHAASPQLHATNALQSQPPPLTGDSSVGPGPGPLRHPR PLTASELHMQLEKEQEAVVNRLTRELSLLRAAQNASVVSNASSASASASAHDPLTETS LLSGSGFTIPTTRRHHRNSSSASQNPGLQLASSYETRHPVPRAPQAVPLSRQSSRSRI SRTNSPGPHQSALDPSSYFHSQRVPHASSVPINSVAATPGSVSHADQLSPGLMPVTSR YEETAFYRNELETAKKENETLKRRIRELERMVHSRRSSDVSRPRSDSVSTTASVSIAP SGGMSIAGPRDSGIPHRPDRERGMTLHSTVSASGSMAVGVPDDEVKVGESAASAGVAG QPL UV8b_07991 MRSSPSAAELPHQPSLTYGSHAHLALQHPPPPRALRRSFLPPKH PAPAHPPAMDATYYKHKCESYKHRSELLKLAADRAQDRLAAQERQAAHLTDQLQRARD RLAAERHHAAQLRRRAEQAGADADAYAHDARRYRTALAAAFKLVDELSSKTTLRELLE RTDIQLERRRQGQGQGETPVKGGVPRTVPAKSEVSYDVGSGARGTGYGTGYGTRYGTR YGTRYGTGYGTEYGTGYGTEYGTGYGTGYGTGYGTGYGTGYGTEHGTEHGTEHGTEYG TEYASECGHTSCPTS UV8b_07992 MTEPLSKVDSAVQGLSSSPPKEKGHRRQSSSAAGVMNINDLEKE GIELEIAIETQKTGWKINKSSTTVEDSSILKIPLTKPPVKKIDLHFPLGMEVTARNMK GVTIKDALDAIHKAYKKRADDELENPYLAGFEWDKEESWTRLIVHLQKEPGVSAHGGG KKKKNKE UV8b_07993 MPVTQFETKEKYRYQCGFNSHFESEAVQGALPIGHNSPQQPPFG LYAEKLSGTAFTAPRHENKQSWLYRILPSCAHPPYQPSPEDAARELVRSRDSKMHYIP NQLRWDPFSHDESKDHDFVSGLKLVAGAGDATQKQGLGMYVYAAGRDMDENAAFYSAD GDLLIVPQEGDLDIRTELGWLLVRQMEVAVIPRGVKYRVGLASGPARGYALELYQGHF QLPELGPLGSNGLANARDFLAPVAHFTQDFGPTAHEGSGRHVVTVKFNNALFRTTQAH TPFDVVAWQGNYYPYKYDLGRFNAMGTVSYDHPDPSIFTVLSAPSAAPGTAVADFVIF PPRWLVGEDTFRPPWYHRNTMSEFMGLIRGAYDAKRAGAGGFVPGGASLHNVMSGHGP DAESHEAARAAELHPVKVGAGSCAFMFESCLMVGVTEWGLRTCKKVQEAYSEESWGGV VAHFNLPEGCAVRSHLAE UV8b_07994 MQKKDNKEKTSQVLGKPKEIIKPLSDEHVERRKTLEGELVATED DILEARELAASMSMEQVRAMMKKVLAIHDGDPNFPRVTLRKIQEFIENEDIAENPEKH EEIIQEMKVEAALITNNSPYAEVRAVTDNHDDPSTPVSTVRAWTIGIVFSCFLAFVNQ LFSIRQPPIRFDTNMAQLLAYPVGKAWEKWMPQADMRIPFTSRVVNLNPGRFNKKEHM LIAIMANTSRSLPYTQYIIWTQVLPQYFNQQYARSFAYIFLNAFATNFIGYGLAGITR KFLVYPSYCVWPRSLVTIALNTALHNEENHPVAGPLGKTWNVSRYRFFMVIFAGMFVY FWFPNYIFQVLTYFAWMTWIAPDNVHLNVLAGMQNGLGLFNPLSTFDWNVINFNETDP LMIPAFSTFNMAGGMFIVGLAILCAWYTNTWNTAYLPINSNRIYNHFGKLYNVSHALD ERGMFNLEKYNDYSAPYMSAAYCLVYGFYFSIYSAVVTHVVLYHRYELKIGFKNLFKG FRWGRRGRNTEAKRENEHVADGEYLDVHNRLMAAYPEVSEWFYFATLVISVICGVLGI TLWPTYTSPVVILYGIWLCLMFIIPIGVVTAMTGIEVTLNVFAEFIGGIIAEGNALAM NFFKSYGYVTCAHALSFANDLKIAHYVKIPPRVTFAGQMVATFVSTIICAGVIKFQMD IPGVCTLNPPMRFKCPSPTTFFTASVLWGSIGPIKVFGRNGQYKWLLLGFPLGVALVL AFYGLKKLFPNSRVLGQVHVVAAIAGGLHWAPYNFSYSFPAVPVAWLSWIYIRSRYLD FWSKYNFVLSAALSAGIAVSAIIMLFSVQWVGAEIKWWGNAQPSVGCEGTPCTLKALA NGERFYPWWDASKVPAP UV8b_07995 MAAPAAPPAAAPAPTPVDAVLRNALRYTISAREYATLHRYVLSR SRALRRAAPSPASVDKALQPRPRPHPRSPPPPDDYNARAVRHALRVFAATLAAVRGWE ALARRLPGGRSSSSKGGGVAGVRLSASLSCILLLYRLLFRFLCRLRAHLLDPQVEPFR RRNPRTAAALTSRYAPAVGASLAGAALGVYPARQLRVSVAVYALFRALEFAWNAGEAA GAVWGVRNGRRRDRPWWFGSWMLQPFAFGQLLHAVAFDGDCFPDTYGSFIFRHSDGYL HPRPPGLSPRVAWPSSADVVRSLAQMARLNWPPYVSPTMFPGAGAGAPAAAALPAVAP LTAQAHPLIASLSCAALHPADPSCLRAYLAFWPASFPPLARALLALHAALAVLPPRLP ALYHRPLAVARRAVARALRSAAFAAGAISTAWASLCLFQAVLPRRALATQRFFLGGFL AGLWAWVDRRHGRPVFLHSARASVDCLWKVGVKRRWWRAMRAGDVWLFVAALMVTGVV YERDARAVREAEWRKGLSWIRGEGWRDWSVDDDDDDDDDDGDGEDGEDGADGNDVKDE UV8b_07996 MVPPNGNAPGRKAAKAAVSQQQQHAKPVVPALPLSYAKRKAASA AKGHAVAALPTQALSKSLQAPLTKPDVNGSTSQDTAAGSNTVPADIAPELEPESLASA AGQRSLHSDENGQVGPNVGNHQTLPPDMDGKVSSPLAAANLSNGNDLSEQKSAADPSI PRKKVELPAESSPRLNTAASKNGPEQGSGHHDYVQVAKSRLSPAISPRYQMPPPFQPA NETYGMVNNGDIALGSRPPLSSGPAHVHHSHPSNGSIHFGTFEDSQNTSPAPPHTGGV VPPPPIPPADARSGYMAPTANGFPPMMPYGADMMQTAAFESYGHPAVGFAPMDAFPPY GNNFGPSTPHSFHDSQSSGQPEENGVYHHYPAANGHRNGGVGPGDVNNHSHNHQGRLF GQPEYPRMVHAHGIPPHMTMHEDSGDGLVGYMQQQFGSAEVADCFLELRYVDDRAPPV RIPGHRLVFARSSALVALLRQQAVPLGADGPAPTLLLETGCKWLRSDSFYMAVQRLYG LPLLPMPPRGRADSPGLVNAGSTTEQLDFALAYAAAGHLLGWGPVLRRGCEIATQLLS WHSLERVMEFALEGYIDDGSRPEFFKYGEGSHVLLNALATFIVHNLPPTFTLDTLTEN PSRCARIPDYPPPPPPPPPAVVTAKKQPAPVVARGSSVQLGKGRRSQQIAGIQFGDLS ITDDGNARESETPKATRQAQPVPHAVLSRALINLPFSQLKKILESAGSGNVNGWANVE SRYRIIRKAVEEREARRLEALDAVLRGRVPDTEHVRAALRNPNPQDMGPWSVVGWKEE ILPYGNADGPSLGRKWIPYSEQVSEPAAAYP UV8b_07997 MANWTSEKHGSETKSTEQVSGSSAAKLCGNETLMLHNLIGILGF ETTQAPSVKDPCCRCVEDATGVSAAAITYRRRCIGAAVLALLVLMLPCWMIPSSSGVD DRIAAAALVLQRTVLYRVY UV8b_07998 MASLPSGDPAKSVKDCDQAVVLARGNGGEESVASSDSAPARATQ APAIEAGSETDDEFGLDGGLLDDDTTASVTSSIYAAYAYERGRRYHVFGDGRYPIPND DIEQSREDMKHAMLMMLTGNKPFLAPIGDHPQKILDIGTGTGIWAIEVGDRYPSAHVC GIDLTPIQPEWVPSNVSFLVDNCELDWIERDVDLAHFRFMVIILKDIKTVLGHAYDSL RPGGWIELQELQGTPLCDDGTMPDDDPVKTLYSTAEEAYKKFGMNTTLSAELDPFLRD AGFENIHCQVMKVPIGIWAKDKTMRILGLYQKMAVLDFLPTLSGRPFKALGMSEAEAE VTVALARRALEEVNVHRYFNYYFWYAQKPGVAQRPDDL UV8b_07999 MQPFLVTAFKTKEPDASAAAAEEEEEEEEEEEEEEEEEEEEEEE RGGGRKKRKREISPVD UV8b_08000 MPPAHRDGGKTVAIVGAGLTGLLAAQGLLKNGFQVVVFEKETSM DARQRDWTMLIHWAMPLFEKLVPEHVFADLSKALCNPYLEFSDDVESLPCYSGVTGEL LFRSPTPRARRVSRQALRRLLAPCVDIRWHKTVEALSTTENGVRISFEGGETFDADYL LGADGSTSKVRELLLGVEVARPRGSGFQFATGITRLADAAKVKAIVQAHPVAALMMGT GAVGAVGVMSVDDPEVASTWTTFWTKIWRGQSVHVKGDGALEYIQKHTPPLRDVFQSA IDWTPKGSSVHINEMKYWVPAPWDNLGGRATLAGDAAHPMLIFRGQGFQHSITDVDNY VRALSGLGSSPTDAGLREKILAEYDAEMVERGSKAVRQSLDEAEKCLDMGRINQMLMA TAGHGRSA UV8b_08001 MASKAAHKRLTREYKTISENPPPYITAHPSESNILEWHYIITGP EDTPYHGGQYWGTLIFPPNYPFAPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSDEMTTGSVSTTQSEKKYLASRSRWWNSTGGGSHAKGTPVQKGN IKAGDGGAKFRAEWPELDAENWEWIKKHKVDAATGNRLDGDNGSSCGPQLGIAGSSGH QAQAVVDAVVQQRDAGRGWIYRNKLLVAGAAIFVYVLIARLMSGEGIA UV8b_08002 MASNSSSAGTHPGGLIDKLQSSADQVLDAAKASPAHTHAEHEAR QQRMAEMMADQMSMPMTVNEISVNGARNIRRGFLDPIFSPILSGSPDAPQTVGEVMAR LQLASAKLSGLQILRETPEMYLSRASQADPSTSPGDINVSVGLKELPRFKLQTGTDVG NGEGSAYGSLLWRNMFGGAEMLTLNAKTGTRTRSAYSANLSAPVLSDPDRRISLEGLA SAAEKPWASHEEVVKGGSIRFSWLNAERDAHSVEYSAAWRQVTGLGQGASPTVRADAG DTVKSAVKHTFYRERRDNPQLPQSGYMLRSAWELAGAGLLGGDVAFSKSELEVSGAVP IPLPGAAERSGASLGAGLRVGMLYPLPLGFDVGRGSLPSRLNDRFQLGGPTDVRGFKL GGLGPHDGQDAVGGDVFACGSVNMLLPLPCRGADSGLRFQIFANGGRLVAVRNSGKTA REAGSQGLSAGAVGRGVLSALGHLVSGGAPSTAAGVGLVYAHPVARFELNFSLPLVLR RGEIGTKGLQMGVGINFL UV8b_08003 MYGLNANYDSTASSHGVPLSNRHPRRDEQQAEAAADDDDPPETL GHGDSRPELMAAIPPAGKEADDPADAELERRLSVVQTLARSYSRASSPGGPGQNPFRA GKDSPLNPGSPHFSAREWAKAVVELVLQDDKAFRSSGVCFQNLNVHGFGAATDYQKDV ANVWLSAVDAARQLTGAGRQRIDILRSFDGLVRKGEMLVVLGPPGSGCSTFLKTIAGE MNGIHVGPGSYFNYQGISPEEMHTNHRGEAIYTAEVDVHFPQLSVGDTLTFAARARQP RALPDDLDKAQFAHHVRDVVMAMFGISHTADTRVGNEYVRGVSGGERKRVTIAEAALS GAPLQCWDNSTRGLDSANAIEFCRTLRLQAELFGTTACVGIYQAPQTAYDLFDKAVVL YEGRQIFFGRAADAKQYFVALGFECPARQTTPDFLTSMTSPSERIVRPGFEGKAPRSP DEFAAAWRSSAEYKALQAEIEEYKKSHPIGGPDAEAFRASKRAQQAKGQRAKSPFTLS YAQQIRLCLWRGWRRLVGDPSLSVGALIGNFVMALIIGSVFYNLQDTSSSFFQRGALL FFACLMNAFASALEILTLYAQRPIIEKHSRYALYHPSAEAIASMLCDLPYKITNSIVF NLTLYFMTNLRREPGAFFFFLLISFSTVMVMSMIFRTIASASRTLFQALVPAAILILD LVIFTGFVLPKQYMLGWCRWLSYIDPLGYSFEALMVNEFHDRQFRCTDFVPTDKAPTP SVVDKLREYANIGPDNRICSAVGAVPGQSIVSGDSYTRSSFDYEWDHRWRNFGIVVAF TVFFLVCYIVAAELVSEKKSKGEVLVYRKGHRPAAAEQAEKRHHDPEAALANIGPIVT AERSRVQGKGEDGVLQQQTSVFQWHDVCYDIKLKNETRRILDHVDGWVKPGTLTALMG VSGAGKTTLLDCLADRTSMGVITGEMLVDGRPRDMSFQRKTGYVQQQDLHLQTTTVRE ALNFSAILRQPSHVPREEKLAYVEQVIKLLDMAEYADAVVGVPGEGLNVEQRKRLTIG VELAAKPPLLLFVDEPTSGLDSQTSWAILDLLEKLTKVGQAVLCTIHQPSAMLFQRFD RLLFLAKGGKTVYFGDIGENSRTLTSYFERNGGHACPEAANPAEWMLEVIGAAPGSST DIDWFQTWRQSPEYRRVQDELEQIKRENEPRSEASAAAAAAEKEDDDDYDDDDPGRYR EFAAPLMVQLKENLGRVFQQYWRTPVYIYAKTGLCTLVALFIGLIFFNAPNSIQGLQN QMFAIFQLLTVFGQIVQQSMPQFIIQRSLYEARERPSKVYSWKVFMLSQIIVELPWNA LMAVIMYFCWYYPIGLYHNAVATDAVAERGALMFLFLLMFMLFTGTFSTLVVAGFETA EAGGNLANLMFTMCLIFCGVLAQPSTLPRFWIFMYRVSPFTYLVSGMLSTAVANSEVV CASNEFLTFAPPGNQTCGEYMRAYKDVFGGRLQDESATGTCRFCPVADTNTFLRGVSS DYGDRWRNFGLLWVYVGFNIAAAMFVYWLARMPKNKLGKKK UV8b_08004 MRCLGLLEKLFAKSKRSVSMLLIRDYASGKIILDRDLTGCEKLY GAPWLLLHRADYMDVLLDEAGRLGVQIKLGCEVQHVECHVPRVELVDGTIYHAHVVVG CDGIHSTVRGFIHPSVKAARTGGYAYRAVLTRSQLCSPSLRELVSSRGTIQCWLGPGA NAVLYPLQDGKLFNLVIPVADAAFNRSYDQDRSLSSMLDHLCGWDPVLTQVLKSAPGL ARFPMYEVARLPHWSQGSVTLVGDAAHPMLPHLAQGAAVSVEDGYIVGTLLGRLSQHI ADASAPSSVRARVSTVFASYEASQYERAARVVSSSRLMGTLDHLAPGPHQRARDAEFA SYDAEETVSAIPSIDARFNKELLGRKVDQVVEGQVSALMANGKLGCRVNADASCWESQ RPASQSGASSRS UV8b_08005 MNRFRNKKKARDDASIGEQQSIYPESSGPFRMFSKKKSQDDEHK TELDLAAALPSRDDFRTSLLMTGLSARFSMLREQDDPNSKLGKASDDSVLFPKRQSRL AEFGGLGGGLQDIAEVESLKLPSLGRYDSYQSDDAASTTGSIMSRSKATEGNNLFGGR QKIYKLAAGSRAGMSGRALYDDDVAQSAFQRWRQEEKEKMALREDGDNDNLAAEPAIN YSRRSETGSTMSSVPSVARNSTAATSIASQTSSKDAQFTSNFPGLERSVTRTRRLYEQ GLSQDLQDHQSAALSRMDTISKQRPFTSRAPDSVPSPTASTFGDRATERRTVLSKASA PNLRSFSPSTVGSSPVDSTSKFSRQDRKPSFGVSPPLSPPVSDSGEHPVLAMQPNDRG KATAMGVFNRPALQYDESRFAQRQRQLQQGRDAFTFRPTTESAPSSVGGRSRSSSAHR APAERFGPKESPSELPDLMEDNGGGPLFDDSDDISDSHLMQPATAPRLKIERPDDQDH PAFRKSALPSPLSINSPKDAGEQVSLEPKGHLGLPGDSPTLGPQSGLSGMVRQHLRHD SAASSVCGSLNHEGGPSKTETHGKPSPPDGNGASNADEIKSDEHDEFAQHLADGARRV RERLITYVESDQDVSAPPTPPQSEQNKEFGGMSRSNGLGILGPKSSLGSLFDKEKERG RGKAAKASGSRPTTAGTSSPPYKASSSRSGSQHREVAATRDGEGVAPQSEENLHGGLK AFRQARRELQKLKELEVQQRHQQNRSHNQEHPPNSRGMAYDNVPPPALFNRVPREEQG YGSRSRGGSRAPSERNRSGSETSNGGRAYSRGPRLRNGSVTYDERHGRAGINGPVSQD AKRQGTESPIMSPCKGTGPPHVGMSPVHNVGPFESHSRYHAPGMQTPPLQDLPRHGRR RNGSLLVAAASTPNLHGSAPAPPLPPINPRRKNGFARGGEDLYGGFGMSDGYVGDNDA AADSHCYSDNAHARGQLRQSPPRMSPPRVRRPPLPQSSVSSASLPGGMI UV8b_08006 MESRASCRGSAKRVNVNRSGILFLGVVPAAAACHRPYFHRPSST GKLACTGGNTKDLSASHKPHFDAKTPVTHLHNTKKAYAKTLSLFPCPSARPVPGEEPS RKTGSTETTHFWIWISLHVKGGIAAVFNTGAAEPVRESSRKAKKKDIARVPED UV8b_08007 MRIPLRNGAPAGQAGPGLAALQLHPVPSKQSLLVKVVPPRVPAS HIEHVPCDIVLVVDVSTSMQDDAPVPGENESTGLSVLDVTKHAALTILETLNDKDRLG IVTFSTKSTIVQPLTYMDVDEKEQARSSIKRLEPNGSTNLWHGIQDGIRVFEEALDNA NVRAMMILTDGMPNHMCPPQGYIPKLKTLRPLPATVHTFGFGYGLRSGLLKSLAEYGH GNYSFIPDAGMVGTVFVHAVANLQATFATGATLTLSYPPSAELDQVGEETVVKQHPRP IGPGDATHMEFQIPLGNLQFGQTRDVFLRVKDGEAPNLETAVVTATLCYQRAKIAGPS ILGPPASVTARSRILEPSAISAAEVAYHESRAELCRFLSSMFPLGPDGEHRPDLGRIE TFQRKLAKLMAEIPARDFDDEKNASLMLDVNGPEPQGQVSLAVNNAGFLQKWGVHYLP SYSNAHASQVCNSFKDPGPLQYGADSPLFISCRDRLNDAFDNLPPPEPLSRETYWHGH GHGHGHGHFGQQHQLQPVHMSRYRNAAGSCFAASTPVELASGRRVPIRRLRRGVKVRT PAGARKVAMVLETPVRGETLCRVGSLLVTPWHPVSLDGKAWGFPASLAERAVRYTGCV YSVMLERRGDGSQSHALRVAGAWGATLGHGLTTGNDARAHSFFGDYGRVRKSLVRVGV DELGVARGGGVDRDSGGRVCGFRR UV8b_08008 MAPDPEPNGIILGSPLDPSTPPDAGQSASSQATLPSTEIPASPI DADAADESFRTEANDDGRAAVVIPSSLTPPPSTQLAAARGSARAAFPSLSRHPALCSP PATTVSKAVPDREQGSGGAGGGDYRPPSPRQVLHASADELRPMLQICIAEQQKLKTET AHHRLQYNLLSLQADDDAKRSAVEHEMMRREVDALRAADHARQAKRELGIASDSTHAK YAQMSTWYEAAMEDNETLRRRLKLAKRVIQQKEEERLSLAEERDLLLTRIRENREHFH MLCSPGGIFHGAMTPSQPAVSTPQQARAPHRQTPRSSQKEDATRPEYGLSALLQAMSQ SQDNNNNNSSAPCTPLTSHRAPQKHSARHSRNAQSMSSLPTTPLNRLRDSGGLLPSVD LVPRTEPRHRRAHQDAARPRTPDPKRGGRRRSRESTISADDNEELARQALQSVAGGPR SSRTLPESRTRTAPEQQGGSPGGDDDDDDDDDLLDSQASQAATELLRRHPGTSFEAGG LVDARQASPMAVEQSLALQSKMLRASRSAAADKRKLGSGNPAPEDGQRRQPSQPSPTK RIKVASLGGDQRVGLGIQYGR UV8b_08009 MDGLKHSNSGIPRTSRLPVPRSAIPKPASPTSTTPLRPQASRDS FDGGELHVPKVRANASRDEFRQRKQDANGSHSPLRKAFSRDQLRSSQQQIPKSRSQID SLATSPGVGHLQKQASMSSAQPWIMGSLSGLVDLVEHDSSGTCASQNRLPQKQAAPSR DTTGIRDLVGDGLVNEIDTAQTPSTSRSRPRPSLTERTMETLAQVPTSPALSKRPSSF FDQVRPISQAGSASLRPGSSYSSESSARASSGQGSRPGSSAGLDDAATSAFRGGVASF KSSLSTISGTPRRASGFGIPKPTQKEATPSRARIPSATKPTTISVPSMPVPDAARSPS LDKRAFGPVPSRSGGKTMAARPTKPRPSATGLFKKTSLPSLVDSAAATETAWGESDAL PLPKASVSAAKGLSPLTNRKSSAALREQIAKAKAAKRAASRQASSNQEMATEFDAPIM CSDGYGPDLPHDDPFNLRKGENPGAKVLQQRVESARTTGRLNIAALGLKEIPHQVMKM YDLESVGSYGGNWAESVDLTRLVAADNEIEALDDAVFPDSAPDMAGAQDAGEEEPQGN IFGGLETLDLHGNLLLNVPLGFRRLLHLTSLNLSSNRLENSSFDVIAQMTSLRDLRLS KNRFSGTLSSALSNLKAIEMLDVHGNGISALPDCMDQLSRLRILNANENKLQSIPFDS LSKLPLTELSVRKNKLSGVLFEPSIEALPLLQLLDASGNQLTHLTAADAKISLPALHS LCLSVNRLQSLPDMTTWTSLLTLAVDENHVASIPDNFTTLQKLRHADFASNDIRTIPP EIARMQGLSMLRLTGNPLRDRRLISASTDELKEVLAARLEPPPPYQEPNENSTLVELM GGHVERGRQSKDAAGFPLVTRCDEDAGSDVDDDFTTPPTSAPHTPTRTRSETVVKDVW FVKPGGLLDLSGSNLSDLNADTCSAVACQNQVRQVQLHHNPLQAIPMALAAFGSTLSV LSLAHMQLSGGSYLSATLELPALRELSLVSNQITSLAPLTEQLDAPALEKLDAALNRM TALPPDLKQSFPQLSVLLAPNNQLAELDPESIRGLKIVDVSSNDIGQLNPRLGLLGGA GGLQRLELSGNRFRVPRWSILERGTEATLRWLRGRLPAEDEEMEAWRIANGEAGQQEA D UV8b_08010 MGGERWSRDRVYDRDRVEDDSYYPRGGRGRERSEDRFDRRLYFE DDYVRDQRHHDDAPYEARKEPARPPAFDPRVVMEKDRDADFHRGSSPRRPAFLRRQSS LDTYDRRPLRHVLEPRHEYPPPARREDLHRDDYRAPPYAPIPLPRARGLPPPGRREDR YYDDYRPSEPDYYPDEELHRHFPDRFREREVIRERHVRDRSRESRTTRSHTHRSSSRS SLSSSSSSAGGTTVRSEYPKKGKTKIPARLVSRRALIDLGYPYIEEENTIIVQKALGQ ENIDELLKLSEEYNRADQEVVITRSRAGTVVGERIEERTEYAAEMTAPPPPPPPPPVA APAPPPPPPVVAPPPPPAPAAAPTVVDASPRVTETVEVDKTIIRASSPTQSWSSWDSG HHHRRHHHHHHHHDDGALVVVPRSRSRSRTRHDIRAEIKALERELVRRPKTEVERQVV RTERLPNGEVVIYEEEVERSIARPKPPRIEKDKKGPPPALLRAMLATLT UV8b_08011 MAPRPRGPATGQRWISSKGKVAMLGRRHVARDVAACALGRELLF YYAHRALHTRWLYQRVHKTHHRFTAPVALASEYAHPLEHLVANALPVALPPVLLRAHV VSAWVFVAAQLLETAAVHSGYDFLRGLARKHDRHHERFNVYFGGLGLLDWLHGTDEAG GPKAGGKTE UV8b_08012 MALTSAVSDLFRSIYELFASLVAAVYAAVYAVFAAAQSVVVGLA TAVRDVLAQAVHLTGGVAKFVTGNFVALAVGGLLVLGYLRVSASRRQEAVRAKKTQ UV8b_08013 MANKLSPTSFTRAVMRSFMAESGLEPRLLGPRLRILSAQPGRVD FELDIHKDHTNRLGTIHGGTLASLVDLGGSLAVASTGRFKTGVSTDLNVTYLAPGGAP GDTLKATAVCEKIGKTLAFTTVTFTNSKGQVAARGSHTKYVTGTMGADGPFVAPAEYA EDVE UV8b_08014 MSAAAASSLQLADYLEKLPGTTFRKLYQQPSTAFAIFRRMLPHL AKTLVMRILYMPKPMLLSDLDAWVKPDAKRHRDNAIATLKGLHIIQVSVPSKDKPQEV QLTANFRNSLRLALTGGGQHGSFGVPSTLLVPPEIDVAFLDRYARRKWDDVLQFVVSS VGYKTAGDVAGGPNKNVKELLIAGRLVDRRPSGAIGITQAGFTFLLQEPNAQVWTLLL LWLEASETNRAAGLETVDMLSFLFVLASLELGRAYDTTALTEQRRNMLPSLLDFGLIY VPQHKRSMFFPTRLATTLTSGGSSLRTISDGVAAATAAAASSSSSSSSSSSSSSLSTT LASSSSSPSSSAAAAAAAAAATSSPSTAAAAAAATSQPAPPGSSSDQKGSVIVETNYR IYAYTQSTLQIAVLALFCKLTMRFPDMVAGRVTRTSIRQAINFGITADQIIAYMAAHA HEQMHRAAALTNKPILPPTVVDQIRLWQLENERMKTTGGFLFRDFDDHKEFLDTARFA EEIGVLVWRSDRSGMFFANKYEQIRDYLKSRKRVE UV8b_08015 MPRRLLQRPSISAPFGPVVNSRGPDLVRSQEFELVGCIADCVSG SAAPSVSAASSRSRRISAGFVSEHAPSASPTIAESELSAITLVGSTKSSKGSLGKRSA LFSLSAKSLLKQPSRYTLIATKPQPHGSKEDRENVAPIAPTGPGIGGTVTSNGAGSTA AGRIPRSKTLTVWQGLSKSFSRTSLAGGAKAAATEVASTPKSLPAPRKPGKMEASIPK PCRPASSSTTICQSHDAPSPPAVRLHRNTIDAPQTSEYWTGRFTALNDRILSEKLAER PLVSSPWDTNPWQQSSTPGRDYASLASSTKRSTYLPPSNTTPALSTVTCSSRKLPSDC EDDLRCQRIFGQLNSLCTTSEAKQSLWTWQQAYARRLGKPRLLPQDGTMSDKARTSRV LTGMGGRFKSSERRGTVLNELPSPRGGSLATNLGSLRDVAVC UV8b_08016 MENISQPAVGSRQLPAGSPPVRQSASPWPVAAGAIAAKRQARRR EWQLPAICPLPGPVSEPTRPDCFVLSRLVSSRLVSSRLVSHRHRGPPPAKQ UV8b_08017 MCIALLTTAHPDYALILLDNRDEFLLRPTSRPHWWKHPRTGQPV LSSRDLQRAEKGTWMGVTKTGLWAVLTNYREADDSRKAGAGAGTAVRGTKSRGGMVTA WLAGLGGGVGEGVQQLVREDGVKGVGGFSMVCGKLRGKGSGVAMVSNRAGRADEVPLA ACQRGETLGLSNTAFDAEVAWPKVELGTRLLRDAVRHAVEAGSSEDRLIEDLFRLLDT DTFPACEPGVPFEEMARLLRHTIFVPELGDRGHREAMRAARARGKAEWAEDQGSLKAV EETPFGRQAEEEEVAAAANGFETGTYGTQRQTMMLVDLGGRVKFVERALWDGNGNSIP RGEGDAVFEFQIEGWAD UV8b_08018 MADDETFGPDGTEPTDHGSRDSCSTARNRGSSEKDAVLARTAVC VEQAEADFAQLQRELTRVSRTGRGESTTKDVDAEKGVTEDSAHFSANSSFDLEAALRG NLAAAGEAAGIRAKRIGACWDGLTVRGVGGRANHAKTFWNACIDFFDIVTPMAGFLGL GKRPQEAILLDNFQGVCKPGEMVLVLGKPGSGCTTFLKVIANQRYGYSSVEGEVFYGP WTAKEFNRYRAEAVYSAEDDIHHPTLTVEQTLGFALDTKMPARRPGNMAKGEFKERAI SLLLKMFNIEHTRKTIVGNHFIRGVSGGERKRVSIAEMMTTDACLFSWDNSTRGLDAI TALDFARSLRIQANLYKTTTFASLYQASEDMYNLFDKVMVIDEGRQVFFGPAKEARSY FEGLGFASQPRQSTPDYLTGCTDEFERRYAPGRSEKDAPHDSSTLLEAFANSSYKAKL EREVAEYKARFEQDKHEHDGFQAAVEESKRATSRKSVYQVGFHLQVWALMKRQLILKL QDRFALTLEWASIVIVGIVMGTLYSGMDKTSASAFSRAGLLFMAVVFCAFRSFSEVGG IMTGRDIVNKHKAFAFHRPSALWISQVIVDQAFAATEILLFSIVVYFIAGLARDVGAF STFYLLILTMNIAMTLLFRVVGCASPDFDYALKICTVFASVFCLTSGYMVQYRSERAW LRWIFWVNPLGLTFSALMQNEFRRIDMTCTADSLIPSGPGYNDINHQVCTLPGSKPGT AFVAGPDYLADGFSYHPGGLWRNWIIVGIIIVFLLTLNAILGEVVRYGTDSSSLKVYQ RPNKEREALNEKLLQKRDRRRRDKSSEAGPEFTFWSESILTWENINYHVPVPGGTQTL LHNVYGYVRPGEITALMGASGAGKTTLLDVLASRKKMGVVTGRVLVDGSVPGKQFQRS TGYAEQLDHHEPTQTVREALRFSADLRQPFKTPIAERHAYVEEIIALLEMETIADCII GTAQAGLTVEQRKRVTIGIELAAKPELLLFLDEPTSGLDSQSAATMVRFLKKLAAAGQ AILCTIHQPNASLFESFDRLLLLQRGGRTVYLGDIGDDAYVLRDYLKRHGAEAGPTDN IAEFMLEAIGAGSSPRVGERDWADMWDESPELEQVKVDIARMAEERRAVARHSASDSA KEYASPTHHQLQVVCRRMFRAYWRSPNYLFTRLFSHLALASITGLSYFSLDDSRSSLQ YTVFVVFQATALPVLLTSQVGVMFHMKRDLFFREASSKMYSPWTFATAMIVAEVPCSV LCAIVFFACLYFLPGLDATPSRAGYQFLMILITEVFAVTLGQGLAALTPSPRVAALFE PFNYVIFALFCGVTIPASQMPGFWRAWLYQLDPFTRLIGGMVTTALHGLEVVCTSAEV NRFTAPDNTTCGEYMSPFFERGSPGYLVRNDTQNCEYCAYKVGDEFYKPLGMSFDHRW RELGLYAAFVGSNVMILFAAIRYLNFNRR UV8b_08019 MASKDRPAWQPTRRFPRSLVPDTRALVTAPTFAALVLARMQPNQ STSKSSHHLPVWRFSRFRKSSVQANA UV8b_08020 MHYIRLPGPPKLMVGRDGIRAELVFAICTDLGDKFLWPTDPVTL GVKAHIEPSSIWDAEKSCSLSTVQWSPGKRIVKQSFMLPRMVENAFKGGKTVSVSISP DDPTLCADGVRNIIRSSVSTRSGDGSAKHGLILPVWVGLSGPSVDGDACLRRILLAGP SARPRYLEIGEEIGESIARHIWDAGLVSLCAIAGAFEFPELETSQSSCMTRLLGILNK QDGVNVLELGCGVGILGIGLSAVYPRGVRECTILMTDLGEAEARARSNVGLLRQQRFG SDRGYAQVLYENLDWESGQQGRFGSRVQDRRWDLIMLSDCTYNADSIPALVGTLSALH RLNLEFPAAESEEPFSTKVFVATKPRHDDELVLFGMMADERWTMLDKLTLPLPVLDTE PERVEMYLFEKV UV8b_08021 MSTSRKTGNTKALLSPNAKTLWNSLKRLTTLLAQKSMGSWWFYS EQGLGCIRFPGPFAGTRAEWTKRRARELGPRPLGAEKWPEMWESLFRPCERRVSHPIA QFAATCRTFLRLSSWRFMADFVVAEQRTVLKTLGNWELGSMAKPLLLPCQPKPDRRLT PESVCMRKFLKSGLF UV8b_08022 MNYPSPRTPGSTPAHDWEYSRMSSATPTPSPRPNWFDSSTPRRP ATRAAHTRASSYSQHGGGGSTPRTHLESPRYNSCGDYCTVDVSFQDFPSSRRTSAQYQ TPPRRDRRNSYTYVRASTPYGESDEDELIEAMGFTLKIPARTRFKSRHTANATMHDWG WYTNHGLHPQSSPYYADPAYARTSPYDSPQQPPPQARPPTSHGHARRSSTSVPQRPST VRPGASGGQRSKPPPAPAKATEADAKRHRIPQGYQLKNWDPNEEPILLLGSVFDANSL GKWIYDWTVYAQGASSPIADMSGELWLLLIQLAGKMKRAVEVAGRVRSAENRDIINDF IDSGERLTDKLRSLLKACESPMLKAAKKKGSGLGKHSGMEFVNTLFGRERELAKTEKF MQNVRLFNLRFDANCEEIVQNPTI UV8b_08023 MRVVKHGPARRSGSRNQQSRLGMHLLPELLSGKSASQVATMTCD PNPTKSLEPTSRGHAGGRKQEQKQKQVDRQTLHGNLQPRDSGLTSHLGALGRDARSPE KSPAEPTSMTPIQLRALLHARLQQSQGHSRGQNYARRADSPPDVVLLRGNVQTLPCQM CAFQQ UV8b_08024 MRPSIVAALGAGHLAMAQFTNSTLSGAISATAGPFTLMGCFTLS IQSTPGFQIAGSVSSMSVNLCAASCPTLLMGVSGNNCICGTIMPAAGAQQVDSSMCTQ AGAAFAIYQRMASASGTAMSTSTATSTQVVTITSCPPSVTNCAVGSVVTTVCAQPTTV SNPAPSSPALSVFQRNAITCYGGNCAPQAPCGCPQRQQVVCNGQDCRTDVCTTDQCNR LVVCNGSGANCGYYQCQGQACSQSKVVCYNGNCAWQNCIGNECQKNLVCSGDNCKHVS CSGGNCYQNMMCNANGQNCQPACSGNCQYTPGSNPNPGSNPNPGSNPNPGSKPNPGSN SNPGSNGTMAVPGSPYPTKAVVAGAGSIAANLVGVGAAAALLLAL UV8b_08025 MEQDTTPRRRQHEHAQQSLAGVAACWALAGFGIGIGIGFGIGFG FGFGIGMQTRTNVPNCILCPTPLSWLVVPALLICKPRRVSRDAAPVLIKPPLRRLNDK RFSRPHHQAARPRFHSFTQPPSAPPSLL UV8b_08026 MVHKLPFHADQIGSLIRPAALSDAQKAADAGTGSPAQLRDAQRA AIADVVAKQRSQGIRALSSGEYDRKYYFSGFFEKLAGFREVSPVPWDLARPSAPPVAA LRRAGQPYPMAAVCHGKIRHETSPYLENWKLLRDAVPGDLWAECKFTMPPPCYFHLRL APGKAYSPDAYADDDAFFADLARAYRKEIRTLYDAGLRNLQIDDPTLAYFCSDDMVES LRAEGVDPDGLFDLYMKAHNACIADRPADMHVGLHVCRGNFSKSVHFSEGSYERIARR FFTTLDYDTFFLEYDNPRSGGFEPLRFLPRDRNVVLGVVTTKDAELEDVGAVKRRVME AAGIIAQGQGREVGQVMANVGISPQCGFASVSVGADGMTEQKMFDKLRLVRDVARELW PQ UV8b_08027 MPISSAFCAPSAAHQLDVPPDGAVFLIFISSDDPETQQPWCPDV RASWPHVVAAFGADTAPELSVVRVGQRAEWRSEGNAYRRNWGVRGVPTLAKYRRVDGQ VVETARLDENGIQDGEALREFLK UV8b_08028 MFDLFAMLLSSIASFLFPIFASYKALKTSDPAQLTPWLMYWVVF SICLLVESWFSLVLFWIPFYGYLRLLFFLYLILPQTQGARVLYEERVHPFLQDNEHSI DDFIARAHDRLKTAGMSYFRRAVEYLKTNVLNLPPSEPQPAPAEAASSAGPQSYTQAL LARFSVPRARWAGAASTGNDFYNLLASAVSAATSAGGFAGAAGGSSERSMTDSGTLIP PHLRGSAEKMSFIAAQRERLTIVLRALDREAQQLQRQRDGGGGAPDVEDSEAAQRPPS GLSTFSGLTKSRSETDFEKVEAESEAEEDVNLRRRHVSSGAATGGSWMTWGWGNGADP AAGAGAGAAGSKED UV8b_08029 MRRRFFPTVPLPKAIQDCPIGAGLDKFRNDFSDLYEDVGNNISV EARGRLADDIKPLLALLNALQNLPACLVLSSRLGGKNLYGDLLKLAGAINADDFDLER IIPLLQAVFRKEPDDVIWKSVYAAVAESTPPPTLTLTSSIPQTPLLRTTGSFSNTTER RKHIDGVLKEELGKMHVGIPGFFEAFFGEFAGRSSAAQAAFDKCEEGDDPLFREGSGW RGWPEQAEESHVLKWLAVLLDKLLGFAEEHQPGCRTQRRPLAQPCKPLAGSTASRKLD IGFVDDPNAGVNSKCHWSHILVPGELKRNPKADNLGNAWFDIGRYAREVLAAQDSRRF VLAFTLCGPMMRLWEIDRLGGIASAPFDINKDGRQFATAMLGFLWMSQEQLGFDPTVI MAGNKRYIEIERGDRKERLVVDEVIKRVPCVAGRATTCWRVHQIDDPKTPLVVKDSWQ FPERNEEGELLRLAAEKKVVNVARYFHHETVRVGGQDDDIRGNVRKGLDITKATNHKS EKQTARSSTTGRRASQRDGSSGVARRKRSSSCTGASLPPSKRSRSNTPSEPVIPNRVH RRVIVYDYGKPIYKASSLVSLLAALEGCIEGYESLHARAGLLQRDISPNNLLVNEDEE NPSWPSFLIDLDVAVEEKREKASGARAKTGTWPFLAIGILYGTEQHSFMHDLESFFWV LFWICIHYDGPGNSIGPTRYEEWNYQSDRELGKLKAGTVSSERDFLKETQDYFTPYYQ PLIPYVNRLRRTVFPGNNRWTKPNPNLYLEMKEVLRAAQDDLEGR UV8b_08030 MSAPGGGFLGRSTSSNANMRGLVQFIADLRNARARELEEKRINK ELANIRQKFKDGNLSGYHKKKYVCKLLYIYILGWDVDFGHLEAVNLISAQKYSEKQIG YLAMTLFLHEKHELLHLVVNSIRKDLLGSNELFNCLALHAIANVGGREMGEALSSEVH RLLISPTSKSFVKKKAALTLLRLYRKHPDIVSPQWAERIIHLMDDADLGVALSVTSLV MALAQDNLDGYKGAYTKAAARLKRIVIDGEYTVDYLYYKVPCPWLQIKLLRLLQYFPP SEDTHVRDMIRESLQKILNLAMEAQKNVQQNNAQNAVLFEAINLIIHLDTEHALMKQI SSRLGRFIKSRETNVRYLGLEAMTHLAARTETLIPIKQHQDIILGSLKDRDISVRRKG LDLLYSMCDATNARIIVGELLLFLQNADFAIREEMVLKIAILTEKYATDVQWYVDISL RLIAMAGDHVSDEVWQRVIQIVTNNEELQVYTAQTALQYVKSDHCHETLVKIGAYILG EFGHLVADQPRCSPIEQFMALQGKLTGCSPSTRAMILSCFIKFVNLFPEIKPQLLHAF EFYSHALDSEMQQRACEYLTLARLPTDDLLRTVCDEMPPFPERESALLSRLHQKHANT SDRRTWVVGGKDANADGAELGMVKPGALKRTFSSAAAAASGARSGAAKPAADNGANDL AGLDMSAASAASTVPAPGPRAAKPPPNLASAAHLSPGWEDGFRKMMLVPDGVLYEDGQ IQVGVRSEYRGQVACLITYFSNRSPSPMTSFTTTLDLDAGEKGNLSWDVKGLPESTIA PGAQTQQVVMFESKNVFAKCPTVRISYLAGALQALTLKLPVAAHKFMDPADLSAEDFF KRWKQIGAGAREAQAIFGVASARPAAGPGTMRFTERFVKRTVEGFRWRLLDMVDPNPK NLVGASVLHTSEGGKFGCLLRLEPNYNTQLIRLTIRATNEAVPAILLQLMQQALAQGF RTLIGLREQRLKDATKD UV8b_08031 MASRPAAARQTWRCLRGGPAQACGRQSYAVQSPGAPRFQVFSRR VKWLQKERAASRPQESRQADYLKDEVAVRVTERLLDINRRFPRVLDLGANSCNLARAL VRENPDPDPSNPKSAPLSARIAELVAADSSETLLYRDAGLPFNRALNLTRQVLRDEES LPYEPASFDLVLSSLSMHWINDLPGVLAQINSVLKPDSPFVGAMLGGDTLFELRTSLQ LAEQERRGGLSPHVSPLADVRDVGGLLQRAGFKMLTVDVDDIIVDYPDTFALMQDLQA MGESNAILGREMGPIRRDVLMASDAIYRELHGNQDGSIPATFRIIYMIGWREGENQPK PLARGSGQVNLKDILENK UV8b_08032 MSIIQQHTNATLSDAWRTIDIDAYNEDSPVNFDVSTLHPPQPEV GDAQARQLAGQVRQLLRAGDAQAALMGCLEAPVYNGTDAAKEAHLQTIMEVLQSIKAS EMSPLLRGIYELHGGSEYLDVLMKYIYKGMAMGHSAAGRGSRITPQSTGGFGQAGARA GASNEPASGAMSVLLSWHEKVVDVAGLGCIGRTMTDWRRL UV8b_08033 MPLPGKVIGLRITGVLASMFSVGFRLDLDLDLDLDLGRTSRGNL GECDGASFG UV8b_08034 MAQPQSQVPPRAFSPPQHSPSPAAQQPGFALPPNKRVRTDGPSS HPGSPYAASPYAASPGAAGSPQANNVASPTFSPTASLSSNYHTPYTNGNHASGLNLPD ARAGTSTPPLVTPQPPPTPMPQSQPPSSQQTQPQQQQQHQQPQIYTSSTLVAVPQAMA SPAQTPSVMGPPQRPADRPTKDYEYDVTDSLAGTGIDLRAEEQYMSELYSNAMDANSE ARTGFAHHPSGGKASFYGAGPANQLAETISEQDQTRFALQAAEQAWQESSMRLAVQRT QEVNDPFLLVAILHRKAEKIAREHHVGLNLDMKNNSQTMGKWRLPEQFASPKVTVKME PGPGSTMVQTTGSYIPQDSFLVDQLALLSIATKQRLRELVEDARVVSVNRQTTSHGEV PEEWTAAAAPMNAEPLGVGGTEADAVTEAEDGAAVPSETAPLKRSADDALLENSGPRN KKLAKISSFMTVTMRDLARQEREWEEARLRRRQKRKEGVEAGSTPSRSGSVAPGTPGS VAPEPDKPMSKKEMKKQQTMKAAEANSHANQNMTSSMFAGLGGKGGLFGKKKTGKTYD WMNVGRGGSGASTPTRTTPGPGGKGPNGGGGGGGGGGGSGTAAAGSLAMTTEGRNRLG TWREDREKGKGIQLRDWVAVLERDGREPKALQQAYMHLDGSNPK UV8b_08035 MTAESNLWPSSLFAARDESTALARVKLGSRAPCSVPLLAAAAAA AAAASAASAVAAAAAKRADFPTQNVRGGKTTCIT UV8b_08036 MASKRKASALNPAVAQEPVDPSDELMFLCLGGGNEVGRSCHIVQ YKGKTVMLDAGQHPAYDGLAALPFYDDFDLSTVDVLLISHFHIDHAASLPYVLAKTNF RGRVFMTHPTKAIYKWLIQDSVRVGNTSANSTTQPVYTEQDHLNTFPQIEAIDYHTTH TISSIRITPYPAGHVLGAAMFLIEIAGLNIFFTGDYSREQDRHLVSAEVPKGVKVDVL ITESTYGIASHVPRLEREQALMKSITGILNRGGRALLPVFALGRAQELLLVLDEYWGK HPEFQKYPIYYASNLARKCMVIYQTYVGAMNDNIKRLFRERMAEAEASGDGAGKGGPW DFKYIRSLKNLDRFDDVGGCVMLASPGMLQSGVSRELFERWAPSEKNGVIITGYSVEG TMARQVMQEPDHIPAVMSRNLATSRRAPNGEAERPLIPRRCSVQEYSFAAHVDGVENR EFIEEVAAPVVILVHGEQHNMMRLKSKLLSLNASKTSKVKVYSPRNCEELRIPFKADK IAKVVGKLASLPPPQDVTVDAASAPLVTGVLVQNDFKLSLMAPDDLREYAGLNTTTIT CKQRLTLSAAGIDLIKWALEGTYGTIEPLPEMRHQVNGKPNGTGAGKGEDEEKEEDKD EGEDEDEDEYKPEGAESEAADEEVGTLVAAYLVMGCITVRYRTTGQVELEWEANLLND GIADSVMAVLFSVESSPAAVKRSSANHAHHQPVRPDEENPHARPSSADRLERLFWFLE AQFGADNVRPVETPRLAEQEQQHPDETAVDGDQDSEERQRKEIERLHKLGIPVPGISI KVDKMVATVWLEDLDVQCSNKVFADRVRAVVERGVEVTAPLWA UV8b_08037 MAPKQDTPFRSADMSMVQLYVSNEIGREVVTALGELGLCQFRDL NEDVSAFQRTYTQEIRRLDNVERQLRYFHAQMEKAGITLRKLDLDTERLASPSTSEID ELAERSQKLEQRIFALNDSYETLKKREGDLTEWRWVLREAGSFFDRAHGNVEEIRAST DNDDAPLLSDVEQHRDAPEVERSFSGMNIGFVAGVIARERVAAFERILWRTLRGNLYM NQSEIPEPLVDPTNNESINKNVFVIFAHGKEILAKIRKISESMGAEVYNVDENSDLRR DQIHEVNGRLQDVQSVLRNTQTTLAAELNQISQSLSAWMVLIAKEKAVYSTLNLFSYD RARRTLIAEAWCPTNDMPLIRSTLQDVTNRAGLSVPSIVNEIRTNKTPPTYLKTNKFT EGFQTIVNAYGTATYQEVNPAMPVFVTFPFLFAVMFGDFGHAVIMLSAALAMIYWEKP LKKVTFELFAMIFYGRYIALVMAVFSIFTGLIYNDVFSKSMTLFPSAWELVRKKNWQP GDTVEAVLNPHGYRYPFGLDWAWHGSENALLFSNSYKMKMSIILGWAHMTYSLCFSYI NARHFKRPIDIWGNFVPGMIFFQSIFGYLVVCIIYKWTVDWTNPDPAIGGQPPGLLNM LIYMFLQPGKLDVQLYKGQATVQTFLLLLAFAQVPVLLFLKPFYLRWEHNRARAKGYR GIGETSRVSALDGDDENEGLVNGHGNSFDGNGQGVAIISQNIDQEHEEFEFSEVMIHQ IIHTIEFCLNCVSHTASYLRLWALSLAHQQLSIVLWDMTLGNTLTVGGALGVVMIVAG FYLWFFLTIAILVCMEGTSAMLHSLRLAWVESFSKFAEFAGWPFAPFSFNTLLEESED LKEFLG UV8b_08038 MARAISPSDAGSRSSFSSVRENDDGLAQTFTRSKVSSYIETSED VFDESPVSEVAQLSFQTPLTQPHSKLHGFWYPPDSFKGWKEIPIKGKAASRSCEDLRK LHMTWESPPASPSPSKKPVGVHGIGHSPLEHLPSEVLGSIIDLLVVEIPPNGLTTRNT DLMALLLTSRSIHAATLTTLYRHITIPHSRIFRKFLNTITEYPALATIVRRLDFSHFN PSMLFSTASERAKTQNLTTETLVKCLELTPYLQEFLAQEYIDIDLGPPVLRKLFFDLP NLQALDFCGCSSTVFKNSFNSILQEPWPEMLSISKLSFHKCLGLPSAVFETIMPRLGN LSHLDVAGTRISDEALQLIPATAKLTHLNLAKCKELSAEVVVKFVTSHPATKDSLVFL SLATDPTNHLLLGKDDIDALLPNLPKTLKSLSLRGSRMHASHLPELVRLMQTLEELAL GRGLEMSDIHRLFYQDQQWIPHSLRYLDISDIDSIIGSASLLLAPASAPLHVIEIEER AYERAAKVNKNLQRVGWVAKEFGSRYWLVRMNADGTTRDNGARWWKMGAESWGMRKVP VAVAEVGGMYGSYMFGRRV UV8b_08039 MAGAGCQFEEGARALTTVDNHPKVQAMAMIETLINPGATECYGV LRSATECYVRASQLKIQAESPS UV8b_08040 MKSAKSVAVDTPPSLRRSQPVRQARTNTPRSSANAGRRAGHGRD AVNGRPLGNQPIEIFPAVTHFADTMAALPKELVRHFTLLKEVDAKIFAPEEQLFNLVA ATAHSSLPEPRVNNDSSSSATPASAPMSAQTSCSDLAKYHQAPPSSSTPSLHHVHSTS VAPTRVFDPSNIPRRQLFRQTAFKIQEMLVSLEEKNHVLSTANEALQRQLQRAEDVWP YLENEFSDEAKWGSTTHWAYPENRLGGKVSHTEKVRRDGAAAISAAAQALADEAAARS DARKQAVQAKKNLKNQHQDSDADDLDGRQKEGPTTKKTGKSRKSAAGADANFVGLGIS GSSTNGNPPHKRRKVEKTANGTAAPTSAGAGSGAGGGATTAATASAVLGAGAMSAAFA NNTPNPKTGSPRGTPAPEAQKKRKALPTGSGQAKKKNGLSPSTTSSPVMAVSLDPKVP ARASPAPSSAPRPASSRARQNSIQSNADNARVRAPSATAAAATTPAPTPATAPAPPAA PASSASKTNGNVQNTPEEASTAASPGTNQDKKPFKENSMPVKPEPVKMEHERLAPTTA PTESTTASTPIATKRDSKPEHADRGKSESTPTPPLAAPPTVTTKSGRACKPSTPALAT FQEAAGSRSRPSRTMTEGAARKSHKKTGSVAAQALIQQVQAADTDASSSMQDDDEGDI DADEPTYCYCNSVSYGEMVACDADGCEREWFHLACVGLKVAPGSKTKWFCEDCKERLK MGGKKTGR UV8b_08041 MSDVASLLRRIFVDSPPGEWALNRLRELLIGALRQGPVPQHVAF EMDGNRRYARSHRMETVEGHHRGFEALARIMEICYKCGVKVITVYAFSVENYNRPKHE VEGLMQLAKVKLEQLTTYGDILDRYGACVRVLGQREMIREDVLKVVDKAVARTKHNNK TVLNICFPYTSRAEIATAIRNTVQDFLDPPPRSTPFSPSRIRQKILSAQLDGKEPLPT ILDETAEDEANAGEDDAGDASSSTTLPPGSPEPHPKRGLFDSSSSLPSPESITADILN DHMYTAPDPPLELFVRTSGVQRLSDFMLWQCHQDTQIVFVDCLWPDFDLQHFIWVMLE WQWRQKQKERDGPGVKSKGRRLLD UV8b_08042 MVVFDGHEYLTEEERRLKEDREKIKYWKKWGPYVAERQWATVRE DYSPDGDAWSHFPHEHARSRAFRWGEDGIAGVCDTHGFQNIAFSFWNEEDDFLKERLF GLSNPQGNHGEGVKEAHFHLDNTPHSYMKFLYKYPQRKFPYQDLLEENARRGKQDKEY QLIDTGIFNQDRYWDIFIETAKESDDPDELLFRVTAWNRGPDPAPLHIVPQVWFRNTW SWGREAADKKPSIALDSELSLRSKHHSLGDRSVHFSPSPGVGSSGRDVLPRWMFTEND TNFKALYGQENKQPYVKDAFHRYVVDGEKSAINPAQTGTKSAAWFNFNEDGGVNPGEC AVVRFRFSRKNMVYLDEEVFDDVIEKRREEADDFYYRLSPLPMADDLRNIQRQAFSGM MWTKQHYYFIWDEWAKGDPATPPPPPDRMRVRNSQWRHMHCDDILSMPDSWEYPFFAA WDSAFHCIPLAMIDPDFAKKQLDLFTREWYCHPNGQLPAYEWNFGDVNPPVHAWATFR AFKIERKMYGRQDLDFLERLFHKLLLNFTWWVNRKDTEGKNIFEGGFLGLDNIGLFNR SEPLPTGGVLEQADSTGWMAFYCLSMLNIALELAKHRRIYEDIASKFFEHFILISDAM TFRTGQKDEKSLWNEEDGFYYDAISWGGPWIQQLPVRSLVGLIPLYATATLEPELLNK LPSFRKRVQWFVQNREDLAERNMASIRKRGKGNRLLLSIVSKDRLEKILKRMLDEDEF FSDHGIRSLSKYHKQHPFSMSVNNQEFKVGYVPGHSDSGLFGGNSNWRGPIWLCVNFL LVESLQRFYLFYGPEFRVECPTGSGDYMHLGKVAEEIQHRLQHLFARTDDGRRSVNGG DDVLDFDEHWKDYLWFHEFFDGDTGCGLGASHQCGWTGLIARMIHDTGVNCRLPQTPR TPSVAMAHYFDDVFHRSLDVRGSEPRRHHLRRSSTARSIGARSDFDSSTNGLDDDAHS TADSVLHDGKAREKEEADLHLHRYISDQLNRYKDETLNGQTHEDEFETQV UV8b_08043 MLSRQAFRAVRAASLRPAVRSFAAAPTADSQPPIAVFGLDGTYA SALYTAAAKSSTLDPTATALGSLGAIIERDAKLSEILAAPTLSARDKSDIVAELAKQA AGGETVRNFLATLAENNRLGLLKGVCDKFGEIMSAARGEVELKVTSAQPLDTKTLARL EAAVAKSEYVGRGKKLKVTNQVNADIVGGLVVEVGDRTIDLSVSSRVAKMNKLLTDML UV8b_08044 MAAAVLRYLSPKAAKTMPPVDDSMISVDEYEQEYPAIAAEDAPI ADVYLDDSNQRTKNQFRPRVGKGGATSYQLRQYAEVTLGGGSLRKVVKLPEGEDENEW LAVNMVDFYNQINLLYGAITEFCSPQSCPEMKATDEFEYLWQDNENYKRPTKMPAPAY IEQLMTWVQATIDNESVLPSKIGVPFPKSFPALVRQIFKRMYRVYAHIYCHHYPVIRE LGLEPHLNTSFKQYVLFIDEHSLASGRDYWGPLGDLVDSMLRSD UV8b_08045 MAANTLVTRGVRVAIEGCGHGTLNAIYEAVDKSCKVRGWKGVDL LIIGGDFQAVRNAADLSVMSCPVKYRHLGDFPDYYAGRRVAPHLTIFVGGNHEASSHL WELYYGGWVAPNIYYMGAANVLRFGPLRIAGMSGIWKGFDYRKPHHERLPFSPDDVKS FYHVREIDVRKLLLLRTQVDVGISHDWPRHIEKHGDSAWLFRKKPDFKLESQNGQLGS VAAELVMNRLRPPYWFSAHLHVKYAALKRHQEENGVPHPAEASNAGDAKNLAKSGTEA NPDEINLDMEDDDADANGDDEEKQASRTPNEVEETNEVPEEIRAQLPTSFAPPQPRQN NTPGQPVPPGITNRETRFLALDKCLPGRHFLQLCEIKPSNSEDLTKYPAKPGEPRYSL EYDAEWLAITRALHSTLAIGDGSAQPSPDLGEDHYLPLIETERAWVEDNIVKKGKLTV PENFEVTAAPHVPGTPEIVQEQPEEYTNPQTVAFCKLLGVKNLWDSSSGERQARRDQG PPPSEYHGRSGGGRGGGRGRGRGGRGRGRGHRGKW UV8b_08046 MPMAPLGRLTGSKRNYLPRQKQIRIEAAARQNRRTARDYNDESY FLPTDADEQDRLNRQHEMCLLVLDGRLGHAPVTQPKHVLNVATGTGIWAYEYASAHPD TNVLGTDLYPIQASHSLPNLAFMQHDTSGEWAFPHPFDYIHLRYIVCCFDSKPSVIRK AYDNLTPGGWIEIFDPCIDHLAVDESFEDSAARRWSRAVRDGGLKLGRPLNSNKLYAE WCNEAGFVNVTEDRYALPSNAWPRDGKLKEVGALNSEVLYALVDSLGRFLRAEVGEDE AQALEEGARRDILDGVRFCTDV UV8b_08047 MALLQPRNKYVTLISGDGFEFVVLREAAMVSPIIKGMLDVRSQF AEAQYARCVFQEISGMVLDKVVEYFHYWYRYRNSDDVPDMDIPVELCLELLAASDYLG LDQANMNMK UV8b_08048 MASHHRNSQYASASYDQPRRQQRTRSSDGTVSTSMSSSSGRESA ATHVTDAPSYSKKIVVVGDGGCGKTCLLISYSQGYFPEKYVPTVFENYITYPTHNPTG KTVELALWDTAGQEEYDRLRPLSYPETDLIFVCFAIDCPNSLDNVLDKWYPEVLHFCP YTPLILVGLKSDLRYKKTCIEMLKTQGLTPVTTEQGLAVAGKMNAQYMECSSKEMLGV DEIFDRAIITVVANDRRNQNAAARDKNSAVPGMKPPKRRKRLCLIL UV8b_08049 MAKRQRTRDKTYFEEQRQALILDIATSFEHVLANINKLNRGLED VIKVGNEFSAVEALWSQFENVMGKDDGDAQTQSSHRRDNDKQDHDQLEAQQ UV8b_08050 MLRQALSTSSRALRSLPRLAAAQPRPSPKFPSSPTAWSSRTAQP AASRWYSEAKEPPREADKEAPVKEQAGEAGADADADAGVVAELKKSLEAKEAEARDWK DKCMRTVADFRNLQDRTQREIKSAREFAIQKFAKDLVESVDNLDRALAMVPADKVAAR DEATQDLVNLYDGLKMTEEILMQTLAKHGLERLDPDGQKFNPNEHEATFMAAQPDKEN NLVFHVQQKGFKLNGRVLRAAKVGVVKNA UV8b_08051 MAAAHASRLQEAQKLAKSDPRKAESIYKEIISKAPAATSDAATR EYESALISLGELYRHDKKPQQLVDLIRESRSVFSSFAKAKSSKLVRQLLDLFAEVPNS TDVEIYITKDCIEWATAERRAFQRQDLEVRLVALYMTKQAYYDALTLINGLLRELKRL DDKLRLVEVQLLESRVYHALGNIPKARAALTTARTSAASVYTPPPLQANLDMQSGMLH AEDKDFNTAFSYFIEALDGYHSLDDGAKAQAALQYMLLCKIMLNLADDVNQLMASKQA VKYAGKNLEAMKAIARAHSNRSLEEYERALSAYRYELGSDAFIRNHLRRLYDAMLEQN LIKVIEPFSRVEIDHIAKMVGLDTQQVERKLSQMILDKVIIGVLDQGAGCLIIYDETQ RDESYDSALATIEKLSSVVDVLYTNQASMLE UV8b_08052 MSSPDPLNDSTMVDLVSFPPSSATRRITRSQSSQQFLPLGASPR KPSSESHAGDRMSESNVGSGARRKLFQQPTSPSPSPSPSPSASPTPVRQRKSRTTTTT VPLRQSIEDEDGSTRQKTRGRQRISNGTPMPGAGTKRRADTPVRRTPRRPRTMTDAEV NESRLEASAQDAPTARRSLRARKNSIAAAASSEVETSNTPRPSTTARRGRRRRQALAP EELLELADEVGDISLDMTQLPPVTSDDEVDLVRAPSESTDDEAANPPPPASPPAMNAP SSPSPANGEAVVPDSDIWIASLSDEAMPPRPSTRARDAIPESSSPRFPSMDEMHMHHG RPEFETSQADDYGDYGYGDFAAPLNDRSSVDEPLRDHAQGAVAAKTTDFGSTPLGHGD HSSIDGLADNEMHVPVSIGATAYDTIAQGEEFSMIFMDSIQSLQPNFNSSVHPVGHEE LGDETSLIISNTLESLRQRVDQEEAPDESMLQAGEDAQPAPAERQTTPTRQSLSPSTR TSPRFSRSPRKTITSSPLRHRVLRYIAIQAEESATAQANQDHEARSSPPSGSRALTAD DQHHKEISSYEDSFSEIPEAVLTAATPRRAQASTSYDDLDDEVDQAQLEEPQDKEMAG EEAHRHGDAESTTEERVEIARAHDDGDVQYPDLSRSMIRHQHMESKQVVGPAHEVRVN EYEEDEGLEEEQAEHQAFRNQGLLIDWSNESRNDKRSQEIEVDELEQSDQHVAAPAAA QYDQDQFQGAVDENRQGAVDDAAVEGTGEYELEAEGEDVARMPPQAQADYSLDYSLRE EHAEEQMVDSQEQEGDATSSVDSSHEEEQNDDQKQTRAVQEQDEYEEVSVHDDIEDDV MEEAEMQDGNGVAVSAASTAARPGLARLPTPDDTPPQAEVQAADSAGGKSSNRGSLPP SRLRYSPVSPGRLSGVARDASERLESSSPPPSRPKSSPRYPSLLVDVAQPLVQARKPT EDALFVPGEPVPGRGHEQNSEAEPKTEAEPEPEPEPEPEFERQNVDEDMDDQQPHSDE QGQLPDEDDGDVSTRPQQPRESVTAESFRRSLDTTPPHQISSPVQEPQSLQHETTLQK TLRPHLSAIVRAGQVLQSITSDPPSPEGQEKQLGSPFKRSGSKESWNGSRDSQTSHRT SRSPLQPRAGAQTAATRISPAGQDASAASPIKPGRPVVSGSPGQSQEPLPERDDESPA TSSPASSMRVTPPSDGAMSWIAREGPISPSLRGDNSLREAARLPERQTASPAAAQRAV QQPSEAAQEPPREAAPDRDVPDDETDIWELEAQRETPRPPRQQSFGKRVPTSNHRRGA IPSPWTKRSVQRPAASRMISQAVPDLSHLTEEPTVGPEQGSQSSEPDEYSRLAQRQQQ EEREAREAEAAAAAKRAAQDAEPAGKGRRFDLSSFFSSPTAIPGMLAQKLMRPSQAST QMSMADKAPPVVPTSSMFPQIPQREFRPDSSAKSMLMQLSHADIHRWTQQTSNASEAS SDFQQPLLRPLPPKNASPAKSALRSPLKPHTPGRVVEFTSSVLSPGGQARARHDRLLS AFSLHSDARAPASATEPEDQADSDTQDVYMEDAPPLAKQQPLSQTTWTRQHWLLLDEL LQMRRKAPFGIRYARRADRYLGKTVKSHGEAMILERWHLDCVDAFRAEVGGWDEAALA KRLFALILGEERRGRRQRVMFH UV8b_08053 MASKTPVPQNDNVAHALAGAGGGILSMVLTYPLITLSTRAQVES KKAESKFIDAVQRIVAREGVAGLYSGINSALFGISVTNFVYYYWYEWTRASFEKAAAT AGRAGKKLTTLESMIAGAIAGSATVLITNPIWVVNTRVTTRQKNSETDVESGKPARPT STLGTLLALLKNEGAQALFSGVLPALVLVINPILQYTLFEQMKNVVEKRRRITPTVAF FLGALGKLFATSVTYPYITVKSQMHVAGSQSNKKEGMSQALKRVVREEGYAGLYKGIG PKVTQSVLTAAFLFAFKDVLYEQTVRLRMGRKAA UV8b_08054 MADYGIGAADEISRLSICQPSEPEQQPPKMYSAGIVASDITKKF TDAVQMLEPGEVVKDGFFTLFDSVAALEIMDPKMDSGCIQPGEEFEVLYDVLRPLLPE EVLGIMDQLICHEMSWHLGYPLSQTLFTSVYVEALLMPEPGSIEDACFVRGRASELSQ QPMLVVLRAYCLGMLKACGHVNERIKAEHFYEEEDFVPTTYNRTLLTYFPSKVVQDLL TDAAELILTLKGSTVPADIADALISRLDLRSVFLAATESPQHVKEPLRAKDAWEKALQ ILPRIRCSHSLGRPVDDAFSPKLQRKLASTMPPRPIVKLGFDDAFGHLFRLFKDGSEV MNVLEYTDSQCLQTFVHTFQSKKPQPMIYVRTLLQTFLFNDMEILGSMSIRQLLDDDF SIVTLPASPLLDRANDEIEAVRDPRFAMSQQMELFRQRAAQPFLDIFRTACQNRCRVR RTLCHLLRDWENLQVDAEDIDQILQVKTKEPPLMQRSTVGFGPAETYSLPLSSWTYLY KLRLMESIVQLGFELEVYQVDELAGMYWYLTFLSKSRLQHVERIKTFIVRQANQAHSQ GPAELDVEAQLQRSLAFARLFMLDAAVTWELSDALCCVYTVLHRHGLITSPQRPYSND QLRHELRMRPFAPVGLPALPTFEQFQDGTRQVESSTLQLLEYAERAATNAKRGFEALN RLSAKDSFSVGSHAWWSGSAKAALKSCIATVVAISTLQKAFKAAGEAKTPRVSAEIPT PDKAYHEWWIVPRIVPSSC UV8b_08055 MTAAWKAAGLTYNRYLAVAARAVRRSLKEDKRIVAERRGEMDLR FAKWSNGKQGEVKDLGAANAASMAESASS UV8b_08056 MTLCSFITRVNNHIAQNQPHSRPAPMTFVSPLLSHPRDTKNVGN NIGPFGTPGLLVQASAKVATIMPAINNEGSVGRVEDFTMHVTWAGIPAAGIGFKQVRK UV8b_08057 MKRAMEIGSRGFTNAVVPLLFAGSSRLEPLARPDPIAPHGLHGG EQSDCRAKPPGCAGHKTSPAGSGARPIAPQAPQGTFEHHDEKQGGRAPHLPRLRWAKG IGLAIIATATNHGQPSLETGPDERAR UV8b_08058 MDLLFRTGLVCFEVQAKAVRKGEFVLMQSVKDASRVKDSGLCKQ LKNALPQLKVKRVTRDESGLVVKRRATEAFAYRTGKVKTSEQEAKSRSGKGKGG UV8b_08059 MYTKIAALAGALAIVDQAAAFNVHRGHRHQKKDGVVVWETLVKT VYVTDSPAAQPSKPPSNIDVALDVVAIPTLPAVVDAPVQKAPSSPAAASPAKGGSSSG GSSQGSSKDSSKGSSAGSSASSGGPGFSGKRGLAYNDPLLANLFGAACKNCGWAYSWG KYPGSLDSKYSFVPMLWGLKEVSNWDSAATSAIANGAKALFSFNEPDNRGQADMTPSV AADAHVQYMNKYADKALIGAPAVSNSNLAGEGLDWLKNWVKQCEAKGCKYHFCNVHWY SPASAIDSLFDHIKQAHQICGGKPVWLTEFAPIDASPSQIASFLEQAIPKLESIDYLH AYSYFMVSTDADRLLSSSSSLSTIGQTYASL UV8b_08060 MRVSQSLARHGAVYRPRFHGHVAAASERHRLSWLVIIYAKSRRP LTPDTRPPVSRGFMPVHVCSGVCVSHVPWLGCPW UV8b_08061 MGRCITVATCSLRQWALDFEGNTARIIESIRQAKAAGARLRVGP ELEITGYGCNDHFLESDVFLHSFEMLARIMSDESCHGILIDTSCPIQHRNTIWNCRVL LCNGKILYIRPKMDLANTGNYRETRWFTPWMKRAGWEEYHLPRMLQKLQGATHVPFGD VVLSTPDSTYGSEYCEELWAPESGSVLQSLDGIEIFSNGSSSHYELQKLGDRVQLIAA QSKKVGGLYLYSNQQGCDGERVYYDGCSMIFLNGELLAATPQFTLEDVSVATATIDLE DIRAHRQISSRNFQAARKTLTYHRIHTPFELSPDLDDDHLSRRPTLPREPKYHHVAEE LALAAGCWLWDYLARSGSCGYLIPLSGGLDSCSTAVLVHAMARIAIDAMNAGNKTVTD TLKRLFGDQALPKTAQELCHRVLHTVYMGARVSSSETRRRARDIAASLGSYHLEMSID PVYDAMKTAVTENLDIHPNFKTEGGSDEEGKSLQNIQARVRMVAAYTYAQLLPLKRQL RGHLLVLGSSNVGESLRGYFTKWDNSAADISPIGSVDKQDLVRLLEWAKEEFSLPVLD ETLKATPTAELEPVTEEYCQSDEADMGMSYAELTLFGRLRKERKMGPYSMFMHLVRLW GKDGDEVDDAPSLEPAAIADKVKAFHHFVAINAHKRETLTASLHCNSYSCDSNRFDLR PFLYPPFWKSWSFKRIDREVARLAQGKQRPERPS UV8b_08062 MIVVRQDAAPPEGRVMAMTVSLLSASVLSLFFTQRILAIRSWAR LPIVVWLVFAIYADSYVFVFATAILQHALGVSLSIKTCDAAILLCLACYVTTKFVYLF LVEKAHIVRSTRKRRSRSKLYIFNSLGMLGVYAAVVILNFVFRIARFQDGQCIIGMKS LAMIPLISFDAVVNVYLTMLFLIPLRNLYSYRNMPHSPANTRLRTVAFRTFCGSVCAL LSSITNLSVLVSLDGEPGWICLMSCNCDVLFSAIVIQWVTSKDNAGTRSSSPLNICLD QRGRHLGPGSCLGSLAPSTPDSSLATAQECAQGNLYFQGRAKGQDAREAEEGRVVNLA GEHEM UV8b_08063 MPRPTTGLWPALLATVLATAWTAKAQDGTVGGVFTTAHQDVPEW AAPNSLNLMLTPQSERQLPVQFTVIPLTASVGLNGTGTIRGSIHIEGRLQAANATTYT RLQGNGTMAFLSCDGSTSDGNITPDRMLSDLMGASLKAIVLYSTDRNICLINADGPLP YTSILSMVDAGEAGRVLGFLNGTQAVPYVAATISGSTGHKNTDSDSGGGGGSNSAVAM SILYSITGIITLLFLAIIASGAIRAHRYPERYGPRGGYGGRPRQSRAKGLARAVLDTI PIVKFGNPQPAKPDPELELDAATDGNDAAAAAAAAAAAAIPRTGRETGKDGPEAKTAA AAAAAAAPVDASPRRSNEQQHSSRGNDAAATDSARNHASEDHGSLGCSICTEDFTHGE DVRVLPCNHQFHPHCVDPWLVNVSGTCPLCRLDLRREGHGPNKGSFLEHNEHPSPPLL LDAADRDDAHASHGNCLARLFDVNRLGHASVEERLEALRQMGAQYRHHETRSQATEAA NRHQAARLTGKLKEKFRIRTRAQPAEC UV8b_08064 MTPKKPSPPQKGQAKHSKLITNLHLSLHGRLQTGLERDLKAEYK MADIPIQVISDNSVSERRITPSWSISTLKTKLEPITGIPPSAQNISLKSSTRSEAIPV EAVDEDSTQLSSFPLAPYAELHVADTRPPGARPNYTDTSGVEKYVMPEDEYEKKTDSV LAWKKAQKLGRFDPNAPSHEKARLDALEQEVRQRGIQVGKRCRVGGEDTRRGVVKFVG EVQEIPNGIGQWVGVHLDEPVGKNDGSIDGHRYWGSPSPMKHGVFVRPERVEIGHYPP MDDLEDMEEI UV8b_08065 MDSMDSMDSMDSMDSMDSMDSRNLRAPLVLLRRAPVLGYLCFST AVGSNEDMGIILHG UV8b_08066 MPPDEQDDFEAAHVHAVYESIAPHFSATRHSPWPLVSRFLRALP PGAVGLDVGAGNGKYAPVNPALHLLASDRSPALARLARAQRRAEVLLADSLALPYRAA CADFAICIAVVHHLSTRPRRQRALAALLRVLRPRGRALVYVWALEQGSSSRRGWHAAC PQDALVPWVVRAKGGLPDQTYHRYYHLYREGELEEDVAAAGGVVCESGYERDNWWVIF TTA UV8b_08067 MASGAPYILKWGIMATGQIAETFCKDLLTDPASRDVHDVGHQIV AVASSTSADRAAAFLRGIDGPASAKAYGSYAELVADADVDIVYVATPHSHHFQNAMLA LRAGKNVLCEKALTVTASQARKLVDTAREKKLFLMEAVWTRFLPLSVKIRELVASGEI GTVYRTLADLSFNENSEQDDGTVRFPDSHRMVNKDLAGGALLDLGVYALTWVFQSLYH VRPAGSREAPVVLAAANQYRTGADANTSVICQFPRSRSLGVATTSLLVGTCPRGQGAA QAPAVRIQGSKGEIQVAHPAFRPPRFSVIRARGAGAGAGAGEGAGEGEGAAEVVECPV PRDAGRDWGHGMFWEADECARCVRDGRTESDTLPWSESIVMMETMEEALRQGGVEYPE RITTDVYDEESPLNTGGRV UV8b_08068 MAGSAWTRRGCEANDSNDVVVEALNLKPPSTSARPRGDQMWHLQ PLLRLTSSRDLILRRGKSTTYSLSGSNIMAPPPSDNGPKSATHGS UV8b_08069 MSEDSGFHHYDTRSRLPRLFENSGTDPCLDAAGALLEDKSDDET DDESGSENYILSNDDSACTVDFTRVPMESSPPSFVAPPVPPPSAASSSAAENSSSPPS SPPSSRGKTKPVPRTLPRATRDRRRAADKPPPLSDDALGPFACKLDRSTRDKVRSSAN ALRREDGTVCELDMVNVFLSIGTIRNASKWQLLEDSEKEIQKMRKERIDLERVRERQQ KEVAEARRKERQRFANKRRYNLIRNREPKPSSTPPQDQQDASE UV8b_08070 MESTKINLSQAKGAVLVRSEAMPEGSQVVEELDFDQLKGPITAQ DLYLGMRNMGFQASSVAEAIRIINDMQGWRDAETGDRTTIFLGYTSNLISSGLRGVLR WLVQHKHVSAVVTTAGGIEEDFIKCLAPTYMGSFSANGADLRKKGLNRIGNLVVPNDN YCAFEDWVVPIFDRMLEEQESGRGTEQEINWTPSKVIHRLGKEINNEKSVYYWAYKND IPVFCPALTDGSLGDMLYFHSFKSSPRQLRIDIVEDIRKINTIAIRAKRAGMLILGGG LVKHHIANACLMRNGAEYAVYINTAQEFDGSDAGARPDEAVSWGKIKTGADNVKVYME ATACFPFIVANTFAKDI UV8b_08071 MEYRDRYRDERSRERERERDRDRERDRERDRERDRERERDRDRD RDWRDLRDFRSRRSPPGRGRTPPRDFRDRERDGPPLDADRSRRGSRDTGPPSAGSSNS DPVFGFPPFGRGGSFLRGRGRGGRGDWPSDRGRGRTPYDDRNDRYPRSRSQEARWVRE RDDRDRGDRYPPEADVRRDLRDDPRDRTDRDLIRPKKEGRPSISHEPSLQIRDVSPPP VAPSAPAFGSVPSRQGGGGDGAAAVAGGTGKPPPSAPRALADRPGSAGNLAPNDTSTQ SSAPARAESHESPSIPLGPRAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRPSSK QWINPDLKKPPSSPQMIRAQSFSQTGFGSRRGSKEVDQHVASRRTWGSDAETDLRTSG FGNRARSNYSAEPGEITLKSEMERDSDMSEKTRKSAASSTTHQALKEGTGTSSQLFDK AENAPKRTRKPPAVSVVRFSLPPRTTFLEQDSESDDDEDMADYFAMEIEKTETELSKL PQPSLPLEVVARYAALSHGAMARILNESEGLTEILGSISEGVVAASSTKGEDGPTVNQ DVEVPTGEETAEPTKRKPTMEPDEVTAKPQSATTTLGESSAKGEKIGTDGPPAPSIPA SEAQSRNGSVSKPSSRPVSRSGCSTSGTSAAGKEGFKLPSQAFESMVEAPDIASKPPS TPSQVADEDDETESEFESYMDVETVRQFMATPPVDSLPDYSCETWTKDEEFMASLDTD PLVDGFVTEHLEKIHLEQATGQKRQQKAYADNYIHYLDFTLSSDPAAIKSRDKFSIAV PMVEAVEQVPSEPKPEGRTSGRRFATERDLERVLQASMREDEERKERELRAQKEKFRS EKEAIIPEMHWNAEQRAKAQYVDRSGYTPQDRLVATWQVLAPVNNFTEEENELFEKRY LENPKQWGKIAEVMPNRDFGTCIQYYYLMKKDLNLKEKLKKQPKRRKKGGRGKQRSSA LVSELGNGDENEESQDTGENGERRRPRRAAAPTWGFEQPATDSENATPAGTPGRRVAS AVARGEQGDKADGRKGRRRVKDKDKDKDRDKDKDKDKEGKAAKANQALPTAAGGGSVK GRSRSSSRVPPDMPVLMPSDAAGRVSGAAGFEQVVPSGIHPPLAVHQQPQPQPQPQQR TQQQQQQQQQQHQHQHQQQQQQQQQQHQQQQHHHQHQQQQHQQQQHQQQQQQQQQQQQ QHQQQQHQQQQQQQQQQQQQQQHQQQQQQLQLQLQQQLQQQQQQQQQLQQQHQQPPPP PPVQVLERGKPIAGSSMAELMAAPSLRPEPPTQPAMTTFNLQPQNERKAPVQASSYWS VSESNDFPLLLRSFGSDWTAIAAHMGSKTAVMVKNYFARQKDQGKAEWDAIVEDSDAK RARGEKRPDPPQPTTGGRGRRYDTSSAVGSTRPLAVAPGMEMHNEPAQPKLEPAGQPP QAQPFPTYGVPIAQAPPAQQQPVPPPGQQTGQQQPMAIQQHVAVSQSASQAMSPGSRP LRAPVQAFGFAEQQQQQQQQQQQQQQQQQKQPQPQPPPPPPPPTQQQQQQREREVVAQ GQQPRVPLPPKSGPGAGGGGGGGGGGASQSQVPELREQRPLIAAQPMQAGHSDVMLDR QQRERVGERDHREREREHARKPERQQPLRMNSEAELAPQRHYEAAYGHRHQSSLGGQG PRGEPLSLSRPPSQDPSRASGHGGQPYPPPMHQQQQQQQQQPPHAIRGMMEHPSNIIS SSNINCININIKVNRINISRTSNKCTSTNRTSINHTSDNPTSNSRISNHLISNINRTR SINCINTNISNINGRLMDSRAASRAPEPPRKTSNIMSLLNDDPPPPPTSKRVNEVSAA PSGPSATPPPQGMGRPPPVPAPGPAPATPSQMRREPEPQYPPYGRASSGASAMPSLKP SSYGGSPNPPPHLGAPRPGGLVEQVPVERDPYYRGGHPGYQPGHQSGNNSPQTSHRYP AAQPAQAQYAPPQSGYPASYGQPQQAHASSPPPQFGGAPGPASRTREIPAPPPPPPAP TGREGAWQQPPPPTGSAAWPSQPPPPPPPPSKASQGPPQTSWGPPSSTPKPSTPAPTW SPAPRPQHVGMRDERGAPSVYGGAPQQSPPQHSMQGRFHQPGARAPESMPSPNPGYPR YASTPGPGPGPGPGPPRDPPRSYTPGGYDARGPPPPPGPGYGPDPRELQMRDARDARD AMGRGLHGREYERHPDRYGR UV8b_08072 MPHAFFHPIDERRETRDERREASQPHSREPGKPFRGAMLSKSLD AARAAVRDLFRSGGHRHERWTDSAADEWGMSKPTRPPPDPYATARQRARSPSLQSSLT GKHTAQSTLCTGGRVSSTLTRSDRTCHRGGTRQPPRGAGGAAAAGPGRGARAVQRAGP GWRTEGAEAVARHDDPDSDPDPDPDPDAPDETAPQQEGTADSTLFAYAAMSGAGAGAA SGPWARRLRDKQDPLPSGPRPRPRPDRRRRWLTRRAGGGAETYDISDDEGDPGRVSPR TFRLWATGCSAPGPRRDRLASPSSSSAADDDDDEPDPADDASASSAASSLARARRAHL HSWHTRLAHARPSARDPLRPPGAVVSLTSASVTSALSHPSRPSSIPPHVQQVLRHRHQ LLLGCEHAEAQLLCAKRASGARVKALEALVAGAAASSSGPREPAAAAAQRERDDIYRH VEGHLASVEARCRACQGRVAMLVGEVERLAWREEALRSRLGGMGLG UV8b_08073 MPDIRSFFGPKGGAAPARKPAPAPAKNEDLSKGKRTKTRKIVED SDDDAETVESVKPAAKATLRKKTVRTEPKGVVTSADAYFASSKGSKVSQQATPKKAPQ VKAQVKEELAVRSSPRNKRPAVVKAEPAAPNGSPRKRRATTAYKQHQDDEGDAYMDDA DEDGDDIFAADVKGQSKRKDDEYVEEESEEDLPRPKRSNLRGQASAIPDADKGERRAV SRKRKSPMPESEDEEEGIPRKKATAAKPRAPRTTKKVDEPEDAAIQDIIDSVTTVRPP TPPPKDPNAKFDWRKAAAGGGNASVQPMTEVELPEGQEECLSGLSFVFTGILQTIGRD EAQALVKRYGGKVTGQPSSKTSFVVLGNDAGPSKLAKIKSHGIKTIDENGLFELIRKL PAYGGSGKGAQKAQEKKRAEEDKVKQQVAEMEAEEKARKAEATKSAQQAAKARGTPAP APQAACQPTAQLLTSKYAPTQLNHICGNKAQVEKIQAWLRNWPKAKKYDFQRRGADGL GGERAIIISGPPGIGKTTAAHLAAKLEGYDVLETNASDARSKRLVEEGVSDVMNNTSL LGYFARDGKPVDVAKKKIVLVMDEVDGMSAGDRGGVGALAKFCKKTEVPLILICNERR LPKMKPFDHVAFDIRFNRPTVDQVRSRIMTICHREGLKLPPPVVDALIEGSNKDIRQI INMIATAKLDQTSMDFDKGKAMTKAWEKHVVLKPWDICQKMLGGGLFAPASKSTLNDK IELYFNDHEFSFLMIQENYLRTKPMLLSGKGFTKREEKLKALELFDQAAESISDGDLV DRMIHGPQQHWSLMPTHAVFSTVRPASFVAGQLMGSGFTSWLGNYSKQNKLGRYVREL HSHMRLKSSGDHNEVRQQYMPVLWKELVDRLQRDGTDAVPRVIELMDSYFITREDFDA IQELGVGPMIDEDVRVETKTKAAFTRTYNAMSHPVPFMKASSVVAPKKPVKEAPDLEE AIEEEEDDGDLAEVPDAEDDEADLKKDKYIKQPKKKAAKKATKTAADDDGETEKPKGR GKAKTKGKGKK UV8b_08074 MTSATSSSLLSAFNGLKLCASAPRRQMRAPSLRSTQSPDAPRQL LQGVRTFSTTSPVLGTWLEPSLDRKNKMAKGRPRVATGGSTKGTTVIWGDYGLRMTDH HRRISAKQLKMAEDTIKVRLRGEKYRLYKRKCCNVGVYVSGNEMRMGKGKGSFDHWAT RMAVSQILFEIKGQIHEQVARDAFRLAGNKLPGQWEFVKSGEAPMVGITKLDGVTLED LKRPRRQVAPTPIAEPVSTSSASRSP UV8b_08075 MALIVDKHRPRSLEALSYHPELSQRLKALAQSGDFPHLLVYGPS GAGKKTRIVATLKELYGPGVEKIKIDSRVFQTTSNRKLEFNIVASVYHLEITPSDVGN YDRVVVQDLLKEVAQTQQVDQSAKQRFKVVVINEADQLTRDAQAALRRTMEKYSPNLR LILLANSTANIIAPIRSRTLLVRVAAPTHEEICRVLAASAKKENWEVTKGLHERIAVE SGRNLRRALLMYEAVYAQNEKVTDSTPIPPADWEALVGQIAREIMDEHTPARILQVRS KLYDLLTHCIPATTILKTLTFKLLALIDDGLKGEVIQWSAFYEHRIKTGTKVIFHLEA FVAKFMRVFEMYLMSMEM UV8b_08076 MAEEGKAPKVAEAHLVDTFHPPEKMLAKHPSKPHLASFEEYQEL YQESITNPTKFWGERARELLSWYRDFETVTSGTLTDGDVKWFVEGQLNASYNLVDRHA FKNPDRVAIIYEADEPQHGRNVTYGELLRQVSKAAWALKQMGVRKGDTVAIYLPMIPE AVVAILACIRIGAVHSVVFAGFSADSLRDRVLDARSRVVITTDEGKRGGKLIGTKKIV DDALKQCPDVSNVLVFKRTGADVPMQAGRDVWWHEELDKWPSYIAPEVMNSEDPLFLL YTSGSTGKPKGVMHTTAGYLLGAALTGKYVFDIHDGDRYFCGGDVGWITGHTYVVYAP LLLGVSTVVFEGTPAYPNFSRYWDIIEKHNVTQFYVAPTALRLLKRAGDEHVKGNMKH LRVLGSVGEPIAAEVWKWYYEVVGKEEAHVVDTYWQTETGSNVVTPLAGVTPTKPGSA SFPFFGIEPVIIDPVSGEEIHGNDVEGVLAFKQPWPSMTRTVYGAHKRYMDTYFNVYK GYYFTGDGAGRDHEGFYWIRGRVDDVVNVSGHRLSTAEIEAALIEHHAVAEAAVVGVA DELTGQAVNAFVAVKDGNEINDALRKEFIMQVRKSIGPFAAPKAIHVVPDLPKTRSGK IMRRILRKILAGEEDQLGDITTLSDPTIVDKIIMVVHDEKAK UV8b_08077 MAVLRSFAVALASVALLAPTTPAHSIKRNPVRYITTVEEAVIHT PSHRAHAFSSFDLTFTLHNGQQRIRLALEPNHDVLHQDFAITHLNENGSVREVNRVPR SEDRVYRGDAFIERQGIDGWSKAGWARLSIHRDGPHPQFDGAFRIDGDNHHIIPRSEY QAMKDDRDPAVHPSAAADAMIVWRDSDVADDDEHNAELKRDTAGTSRCSADSLEFNGN LGLQAEDDGRSSGYRSLFGRQIDDGGGGGTGLNLLDSIGSTTGCPTTKKIALVGVATD CNYFSAFNSTDAVKKQIISIVNKASEIYERTFKISLAIKNLTVIDRGCTGTAPASTPW NVKCSDNVTINDRLNSFSKWRGESRDENAYWTLFTTCASQTAVGLAWRGMLCRSASGP SGDRDSSGTNDTVAATNIVVRADTEWQIFAHESGHTFGAVHDCVPETCPAAASSQACC PLSKSHCDAGGKYLMNPSTSKGVTDFSPCSMGNICAGLRSNMVKGNCLTDNKNVETSA DIGSRCGNGIVEAGEDCDCGGEAGCGGNRCCDAKTCKFAANAQCDPGNEDCCTEQCRF APSGAVCRPSMGECDVAETCPGDRAACPEDKHKSDGEACGGGGGDGDGRKCASGQCTS RDLQCQHMASSLTGRNNTQACPDASDCSLSCSWPGLPPNQCVRYNQNFADGTGCGAGG RCAAGQCQGSSTWQEIGQWIANHKAIFIPVAVVAGCLVAVALASCACGLARRCARRRR RRRSPTPGAPDMLSTGWPAPAASSGGLVPHPGHGGYPPGYPPVYPPGPPPLARGPHSA RYA UV8b_08078 MTDSIINLHSTRESMASPAEPFTPAMRDRQARGKNPYKLTSRTE SFQDRERRDFALSVLDSPEQLMMYALSAGDSVPGQRVRFMRMLCGFEG UV8b_08079 MVVKEFLDSDRVNFLIWRYLLEGNYRETAAKFQKEWHVNEPHRD FAFAPHVKGHALVSIVNRGLLYYALERDFALKQLPRDAASAEVEALQVGIFGPLRSQS LAELDQGHQGTAISSAGDLEASRKRLQQQQHHLPNGSPAKRPRLSNGYADASATPAPL PTANASARAIASAPGSAPAPPAVPEAPTTSVTTPIPMHVDDAYAHQPLSEHPHDGLPD SSNNHAYPSPLEGEQSPPTVVRTDGPEQGTQVDKVEELLPDTTFIRLMDDDGAVSESV ATPTPTPASAENAPVLLQCEWNPRDPSILAAAGTDALARVWTISRSTALEPGQDHVSP RAHTLLDPETPRTTTVTALSWTSDGTAIAVATDSGSVAAVSVWSADGVHMQTMEVSEP PVIKLDWNPSNTALLAISPEKGGSLITVYSSATGNGLTYSLPGHDIAATQLDATWTND VEFLLTGGDLLLCLRCTESSIVQVRKFETKEDDGFTQVLFDWRSKLAATSSDKGILDL WDESGQRRSISAHQGAITTMAWHPLPPGQPALDDERLIATGGDDSAILIWNARRPESK AKCFLTMDSPIVRLAFTPDGAFIAGATSRQVLIWKVGNHSLPRASWKRPPHPGWLSPK GSSDSEEEDEHCLCWDVSGKKLAYGSNSRLAVINFTR UV8b_08080 MPPRINLPPFTRALLVALLFQSVLSAAIRYRQWTENARILIPYL TLVPQLSLVYPWTFLTTSLVEGNMFTLAASGLTLYHGGRYLERAWSSAELAKFVGLVT LVPNVLTFLLMVFFFALTRSESWTLTTISGGISYQVAFLVAFSQLIPAHTVTLFRGVL SLKVPRFPLLYIGVVFVLSMTPLLSSSAVWQATLGFLVSWTYLRFYKTVFPDLDSSQH ASLRGDSSETFAFAEFFPGPVKPLVAAVSDQIFNLLVAVRLCTPFSQADMAAARGERA MQRGTPGTARAEAERRRAIALKALDQRLNAASAGSAGRAPPAQPTGPTVQTQPHPSTH TAMASKPGDVLGETKFEPDDDHDDDKN UV8b_08081 MANHHPSPTMMQMQQQHPPNVAPPQNVPPTHFPPSHQISAMNEA VWLQIGSFSELLRVPEEAMHAYERALQANPNSTAAMNAIGMLLKGREAFDKALEFFRA IVQLEQNNGEAWGNLGHCYLMTENLQKAYDAYQQALVNLRDPKDPMLWYGIGILYDRY GSYDYAEEAFSQVMQIQPDFEKANEIYFRLGIIYKQQSKFNQSLDCFKYIVNSPPGPL TQEDIWFQIGHVHEQQKDFDSAKAAYQRVLDHSPNHAKVLQQLGWLHHQQSTSYETQD RAIQYLEKSVSADNQDAQSWYLLGRCYMAQQKYPKAYEAYQQAVYRDGKNPTFWCSIG VLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQIADALDAYQRAAELD PGNPHIKARLQLLRSGGSNGGGPPPAPQPADVHPQAYQAAGPSGPVGPQWGGSAQQPP AGGPPSAPPGAGDNWAGRLSNVNPPPQPPNPYENRGEPFRGPPHPPQRQPSPQPESQM RPGYSEPRGPLQSSSRRGPSPATPAHQYGQPPPPPQSQGPAASERRVTNPNWGGHLPS ASAPPSSTANGASANGAAPFRPTSSPRGEVRPHDSRMASPKSAYPQHQAPPGYAHHPE APPGPSDNHSGPPPGGAPDAAAQRPDERPPSVGPKRMREWEEESVAKKQANEENRARL EDMRHRRPSTPPRDSYRRNSGEAVRRAEEPKKEEARSQNDNYHPSDAAHHPQNHAVGH KQLPPMQPATVSKGEKTQAGPTPKEERPSSEQTSLAAAPPVVSEPERAARKMDVDEDY DDIPEDEKKNASTVVGSAPTTGAADAKTSSPSTTGVNGTSGSASKTKMEQN UV8b_08082 MSHRKFEAPRHGSLAFLPRKRAARHRGRVKSFPKDDPKKPVHLT AAMGYKAGMTTIVRDLDRPGAKANKKEVVEAVTVVDTPPMIVVGLVGYIETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYARKHSDNKGASITRELERIRKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQINGGSAADKVAFGHGLFEKPVAIDSVFEQDEMVDV IAVTKGHGFNGVTARWGTKKLPRKTHKGLRKVACIGAWHPAHVQWTVARAGQMGYHHR TSVNHKIYRIGKGDADDNASTEIDITKKKITPMGGFVRYGEINNDFVMLKGSIPGVKK RVMTLRKSMFTHTSRRALEKINLKWIDTSSEFGHGAFQTPAEKKQYQGTLKKDLASA UV8b_08083 MRCADIPVSDETNGRPPTESTSDTPASQVPPPTSRVLTACVRCR KQKLKCDAARPCTLCSRSGMKCEPREPAASTARRAQKRRPLTRLAKPKPEPKIDSHPR QAAAAGQSPSPSLQRRSPTDAFRSPPASQLLPDGNASERRQFGANSSAVGFVARIFGT SAAPQSSDSPSIPGQAGRSARALSSPDAGLLWSLETMACPSLAMMEALLDAYFDRMHW FVLILHEPSFRTSARDLFSRSSWHQGELGAVLACLMASALGLMGAARDPSWTGHALLA QAGLAADSFAASLIREVRLHFLDCLDDCCIETVQVCSMLGAHYMFHASPTLAWSLFGL AVRTAYALSLHCDSDTGAGAGTGTGTGSDPDPDPDPILAQVRRRNWNHIALADTFAAM IYGRPASLDSAFAHVHPLKDLDDMALGPTLSKHPLLAPPHAAAGAPSLQTFHVLKFRI YEIIRQALNRYRLLRLQSPISPEDLDVLVRTVQEVRALLDAWRADLPRVFTCDPDAQE EVLAEIASIKGLCPEEERKRRHLSLQILGLRVTYSSAVIFIHRPLLEYRVPASSQQAV PRRNLDAAAESLRASVNAALHMSRIPVKQLEGQLSMPFVLLNFFNAGVILCIPPTTWP LSSVAHEAKAGTLRIIRASRSMKHVGPIAAYTDQLLTGLLKQSLQQEVDNGLQQEALA AGESQRSRPASPARAPATQQHQRPDPTAGSNPCSYGPEALSSDRHRTSIPDLSRSSPP HFPSSSKRLSPSPAMRHLPDAGGGPQANQVLLQHGGTEASGAVDLGASADSAWDPSDS NYQPARGEFDLIRPVNTMGYYYSEQRDQVDSQLDEAIGTFGQMLFNLVPNDPYTAWNW GTGWM UV8b_08084 MSLSGLKVQQQNAAMSRKDKTCIFWPSCHYFMKEVFAAIGVLSR PEGKSLSSTARLDKPQMSASDDEEPQMSASDAENPQMSANDDDEPPMSASDDDEPQMS ANDDEEPQMSASDDDEPQMSASDDKARPPPPSQSHFSKFDNFTPDDDASFDHEFARLA SSQDWAPGSQEYTQERTIAMREELKLHYFSQPQPLSDIDEELTEEEKLQGYQDLCREV RIPPSDSIAECKRLLKSTLVNIVDLIDARRMRKEVKVWDDFDAFRDYTLQDEHRVNME EAKKDGGYLASLLQRLGGARLGGSSSSSRRRRRRSARRDGRHSGVISGRVLKERP UV8b_08085 MASDEDYMSFLNKANQDLSDGQALAKHQKEEQGKAAFKTTDQGS QAPKAIQAACRDAIYVTDADEPFEEVSLKWSGDGLPDETEFARLINHWDADSADVSIM DPVDWDCHGQYSGIIDAVREATKGNDVRVYRVVRDATRSEYWVISRDEGRIVGAKALG VES UV8b_08086 MAGPQAVLPTLETVRSVVGKPHTGPGRKPNLVPIYRQISSDLIT PSAAYLKISAASSSDYSFLFESAATEQIGRYSFVGAGPRKILATGPGYGPETDPLPAL EAELAAHVVAHVPGLQLPPLTGGAIGYVGYDCVRYFEPKTARPMQDVLRIPESLFMLF DTIVAFDRFYGIIKVISYVQVPDDDDDADDDGAVRPGALDEAYAAASRTIDELVRVLA SPDVRLPEQGPVQLGREAESNIGQRGYEAHVARLKEHIVRGDIFQCVPSQRLARPTSL HPFNIYRHLRTVNPSPYLFYIDCKDFQIVGASPELLVKSEAGRIITHPIAGTVKRGST PHEDQRLADELRSSLKDRAEHVMLVDLARNDINRVSDPYTVRVDRLMVVEKFSHVQHL VSQVSGVLRPGKSRFDAFRSVFPAGTVSGAPKVRAMELIAELEKEKRGIYAGAVGYFG YGGEDENGSVVPGAMDTCIALRTMLVKDGVAYLQAGGGIVFDSDEYDEWMETINKLGA NMACINSAEELYHHQQQQDAAGQK UV8b_08087 MASQQPSSMSKRPRLSLQIKTACNPPLKAQPTTAINSPKRFSLQ SPIGPNKLKQKVVTPLVATCPETPLSAQPVFPQRENFVSPSAMTATPPLSAGAVDTSS QVFPFSSTEASSPQSHQQVTDSLRRRNMFPLPGWNGQLPYTHSRSLQSILRNSPLPPR TTVPPPSPRRQSQRLQEKAAKKVEYDSPLEQEITTFEYTWSHIDLLNDDASPTSPASI RSPTSEPESMLDSTLAFSPNEIQDGGQTPGPFEEMTRRMAGLGAASPVSSKFTVNRKQ KRAGEKRQWIWTIGQEDDDEDVGGAIAALRAKAAYRKGETQQLE UV8b_08088 MDQQSRLVPRQKRESVILQFDYDCFYAQVLENKNPSLKSKPLGV KQKNILATCNYNARRLGAKKLMLVSEALKTCPGLVLVDGEDLTPFRDVSKALFNFLRS HSWNGRAEKLGFDEVFMDVTDVVDYNIECLNTNCLRQSFFHLSKKDPEAGFSCDLTSV AGCVEGKIPDPAPLCNKTYLRLLLGSHLAKFLRSELETKFGYTSTCGISTNKLLSKLA GARNKPRNQTTLLALSDDDAVAFVDGHKTRQIPGIGSRTAMLLESHVLGEKVELESQF SSALFVREVRQHPSLSPSFLETLLVGPGAERGVGARVWGLLHGVDPTEVKMSSNIPSQ ISIEDTFKGLETLSQITEELHKLSCSLIRRMRVDLLALDHHADVPGTQRWIARPKTLR LSVRSWPARQQNHSQAQDFNRVSRSGPLPGFVFDVEGAIDALAEQLVAEALLPLLRRL PGEKGQKWNLQLINVCVANMVATAADDKQGVGRDISAMFKKQDEVLRPYKLEPVSDHD DEPLDSDANDEFLSNMEWEHMDRSVCTTCGYCLPLFAMPAHTRYHEMGG UV8b_08089 MPENITHHQAVDGEKRATASTYMELKKEALVYFGKWQAGVLQRL REVKVSYADNGAQRSRGRGRRLRPGSRAGRSGQGGRGCLMPSTLATEPKRAPTVHVDP ELASRYPPIANALWTLHLDRRKLLLHIALLILVSLQEYSANSRLLLVSLASSLNLPFK EYEKDEMRLAIALAETVLEEPAEEEATPKLDDVKGSRKWKPGLGGASSTPAPAFLAAP LQNAGIGTSRGGLGLTTSAAAGLLGIMAENGALMGSLFGMNPTRPLSKSLENILKEVQ DFAFIRLAFRGPYEYVDPRGSPAEDRRLRVVVAMSGFLLQEDDMTRNWRGLSSQTEAY AVRWETAALLNFGHALETLIKTKAWKKTRKSIASKTTPRGSVNSSWPAPILRVSKIID NSWSVGFVRAEKAGANLADSLTKQRFQGDRPVSLIGFSLAARTIYNCLMSLAERRQFG LVDSVVMLGTPAPADSGVWKTLKSVVSGRLINVYCENDCILGFLSRTSNTELGLAGLQ EIRGANGVENHCVKSLPKGHLSYSSLLGHILRDVGWEDLDAGALGLERLAA UV8b_08090 MENSSTPLADYFWIAGVESISYDDPLPLTNLPVDSTIAEDGEPD DDAQNGCKPTAARHSWQNSANRLSKLSLDGRFSINCTLDELDGSTRSNRSSATIKPVK LAAPNGTATNGAGPVSEALPQGLMGMPDFDFDKALFKFAAERENFLEDLSFTAGAKLQ SRPPMINPRAERIRADEGVPSGRMSPLRSLKGSIRRKISFREMNSVRKGPPSARPGAC NRASSVRTAKRLSNYNSVIPPPEPLNTDPNMHPLKRRFEPVLLDRYPPKGETDELARR GKFPDFVPMFAFPNDIQIVSSDDRPRSTWHGFTMTGEDNAKLYGITIIIWTALTAERA EEVELRCERWRQDHMSNEERELAASLGVRLAGERSHLSQLLSKLPTMPSGSPARDRLE DEISTVEEKIALMTDMLRPLRHGAASKIEGLTAGESGLWIPRAYGVLGRDVACMGFWK EWLRSIIVPMTDGAVLRIPPSSPKVGRWQPLERYVVNLCTEAFSPLGSKTQVELGVRD LRLYARKEGANELPGSRTIDLYALFRCLSLENVVALFEYAMSESRVIFLSSHTSMLHL ACHALANLLYPLKWASIFIPVLPARLVSALEAPCPYIVGVERRYERIDLPDDDYVLVD LDKDTIDATSQPHRLPRQQRRKLMSLLQLAAPHALRYGVATGPPPYAVESFPYDSFSS ENRSVFTPVAPRATLGKWVSQNSSSFAERDAPEHVDPPVFNAFTVSSADGGKSDRPGT SRSSKTSPQSSVSPASTTFPPMPTTPLSRSDSGFALTATLREKRSGHFGEDKGGGGGG LGGRRSSSFGLDKLQAVQKPGLPFLNGHQQQSSVSGFSVMSESSFGGGGYAPSTYAQS TLAASTIMPNMQIQPVRNTETTVWVEGHCFSFNVKDSCLSTCTICDDRSEGDGFYACA ACKTAAHGRCLGHVSLVCPEAFRADRVRAAFVRCLASLLYTYRKHLGRPTKQQKSNGQ LYAFDMEGFIKSLPYDQQEYATMMRDTQAFNEFIHERETTPSTDPSIRLFDEVILAKK ARGRPGLSSGLSRLSTIRQSHGASFPAAAAAPAAPSSARHPFGKTPSFLSDTSDHIWR TASVPLPKGNFPGEYRSVITRIPSRLDRTLMREPRAIQGVPRMEQRGARAFVRKQVPS MLGTTPPP UV8b_08091 MSHQNYPPHDPVKEPHSVSVKVLRLSRPSLVHQYPTSAPLAAAN ATAAPCRFPASVSYQSPFATNPDPFLLSPILNLPASFGSAYVGETFSCTLCANNDVAA SAAKHIRDVRIEAEMKTPGPGTPHRLDLGGRAQGGGGGVDLGPGDTLQKLVAFDLKEE GSHVLAVTVSYYEATETSGRTRTFRKLYQFVCKASLIVRTKVGLLGVEDGRLRRWVLE AQLENCSEDVMQLDRVVMETDKGLGCEDCNGGAKPVLHPGEVEQVCFVVREREARSAP DGRIVFGVLGIGWRGEMGNRGFLSTGMLGTRVAA UV8b_08092 MDDSERRTAKRSRFDQTEPEPKRASRFDRRSRSPATRRSEGRDR SPLAEDSSGAKNPPVDAAAAAAAAAARIIAAMQARRSAQHADVPPAQGVGSPGGQSGE AKIYSVSKEMYVADGDYIQDIEVNDLRNRYLITKSSTQKMIKDETGADVTTRGNYYPN KAMATAAKPPLYLHITSTTKEGLEAAVAKINELIKQELPQLVDERRFRRRDQEQQELE RERVDRDREHAGRRKWPDEKISIDLAPIPGFNLRAQIVGHGGSYVKHIQQETGCRVQI KGRGSGYLEGATNRESDEDMFLHVAGPDPAMVEKAKELCQDLVANVKEQYEEFKARPP RYSDRGGHHGGDRYRGGNDRHHDRGHHSAYGGYGSEQNASTNSSAQGTNSPGQSLADY SAAYAQYYGGADPYAAYGGYANYVAMYQQYYGAQGQGQAAAGVPGAAPTSASPPPPPP PPSEAAPPPPPPPNAPPPPPPPPPPPPSGSPGGNYNAVPPPQGL UV8b_08093 MASLRSCAAPMRWALSATRSSSHGAVRYSSSHKSSTSAIAYKAL RNRSAPLPVSNQPPSWSAQAAVSNILYETPTPSMAPPKRHILNCLVQNEPGVLSRLSG ILAARGFNIDSLVVCNTEVQDLSRMTIVLTGQDGVVEQARRQLEDLVPVWAVLDYSNA ALVQRELLLAKINILGPEYFEELLAHHREITAGEYEGEQAPEGAEQAQSLEETSADFH PSKLAASEALRHKHEHLKTITYFTHQFGGKVLDISTISCIVELSAKSSRIDSYLKLVA PFGILESARTGLMALPRSPLRAPTEEPLLKDAEEVVDASQLPPG UV8b_08094 MKSSSLCTARLAFHGLQKRAYSHVSAPRHLMSISDVTPAEFVSL VRNAAQRKNMVKAGETPSSLSASMSGRTVAMMFSKRSTRTRVSTEAAVTLLGGHPMFL GKDDIQLGVNESLHDTSVVISSMTSCMVARVGPHSDVAGLAKHSSVPVINALSNDFHP LQTIADFLTIHETFPASSLSGNSLGLSGLKIAWVGDSNNVLFDLAIACVKMGVHIAVA SPKGYGIPEGMKKLITSAATGVESPGKLTETTIPEEAINGADVLVTDTWISMGQESEQ KKRLKAFEGYQITNELAKRGGAKEGWKFMHCLPRHPEEVADEVFYSPRSLVFPEAENR LWAAVAALEGFVVNKGKL UV8b_08095 MPSESDERSPLLAAAAEPENESETEQNEATESSPLLAARKSTSP GPAERDSNAPSGQPPRDETGVRRKRRWPSFIAMLVMASLVVAIMVLGFVVPPAVQQYI ENAVVLEPTDLSVESLTAEGIRARVKGTFRLDGSRVADENARRIGRIATSIMRQIGTE ETKLRLHLPHYGNALIGSALLPPITLHLVDGTVTELDFVTEFAPGDTETARQVVNDWL KGNLQQLKVTGATAVGLKSGIIPLGTHDVTESMVFEAKDLPPMPEYTINNLVFHDVPV GDGGQMGVGANVSVTAYNRLPVGLTVPSLGFEVLVANCDASQPNIRVASAVSSTIEIH PRSNVTVEAQGTIRELPASLTKACPSSELSPLDNLMKRYLNGQDAEVFVHGKASGSGG LPDWIGSLIESITVPIQFPGRSLDGFLREFALEDVDFKLPSPFADPGRPDSKPRVSGT VRVLAAIPADLNINVSVSSLRASGDLVFRGSKFGELRVDEWQKATSSIVHKPGNEEDF LSVTSRIRDAPIDILDSDTFSEILQELLLGGKDIMLDVRANVDVKVSTVLGDVVIRGV PATGKVPVKHVPGDTLAALNPQVGEVRVLSTSKTGVHIEASVNMTNPTPYTAWIPYMS IHMTKNQHLLGEAVAKEMRLGRGDNSNLVVQATWDPESLGGPPARAAARRLLSSYLSG KNTTVTLRAHRASVPACPAVGEALSRLNVTLSTPRLKLPGDADGDAGRGFVRDATFHI LSSTATFTLASPLGRDTVHVERINATAFFNHTEPVGRILHDEPFDVPPGLSRTPRLPV DWSADRVGFGKLRDALGGTLKLDAVADVTVRLGRWVEQVNYEGEGIGARVSL UV8b_08096 MDAATIQETNRIRASLGMKPLPVPGAAASHADKSHASGDQEAAS TLETRQAQSYDNYQKHQDAEAARRKRQDRAAAIRKARDEAQRFAVLEGKGLGDAGDKD PQDARSWLMGQKKRQKRIDKARRLEEELAAAEAAAAAAVQYTSKDLAGIKVAHDTSEF LGGEDQILTLKDATIDENEDQGDELENLGLREQEKLSERLDLKKKRPGYDPNDVEDGQ GGILAQYDDEIHGKKGKKFTLDGSGTIAELADILGEPAPQTNGINVQLDDVIGDAPIS SDYLAASEVKVKKPKKKKSRGTRQKQYDDDDIFPLDPAAADRNGMELDNQESKKRKVD SDTFVDDDDLQASLALQRKSALKKRNKVKPEDVAKRIKEEQENDAHEDADGNAQSDGG GLVIGEISEFVSGLSKRDDDEERKPKRPRTQTMSPQQPSEDHAMENGDDDDDDDDDDD EAAAKEALEEPLAETGVEEEKTVGGGMGAALALLRERGLIEGSQGTEYDNFRQREEFL ARKRLLEEELDDQTRQQRERDRMSGRLDRMSVREREDWARQQNMWRDQQQSRRMAELF SANYKPAVQLKYTDDHGRSLDQKEAFKHLSHQFHGKGSGKGKTEKRLKKIDDEKRREA QSLFDASANGGMSAATAQQLKKRREAGVRLG UV8b_08097 MSQDPRALLQKAEKTLASAGGGFSFFGGREDKYQNAADLFTQAA NAFKMQNQNVEAGKAFEQAAQVQTAKLNEPDDGANSLVDAFKAYRKDAPSDAVRCLKT AIDRYCQKGNFRRAASHNETMAEVYETQLGDAKTAVECYEAAATWYEGDNATALANKL WLKVADAAALEGDYYKAIENFEKVAAQSVNNNLMRYSVKDYLLRAGICHLASGDLVAT QRALEKYVDMDPSFASQREHLLLRDLCDAVEAKSQEQFTDKLFQYDQISKLDKWKTNI LVRVKNAIEEADDEFA UV8b_08098 MLPLRTSCRLLALRRTPRRPAPSPTAPLSTRSPRSLDDMLSQPS WSVRSLRDPSPPAEPPSGTAITTAQLHHLLRLAALPLPQTAAEEASMIDTLESQLQFV RAVRRVDTDGVEPLRAIRDETPAALRESTVGLADMQALLARDELVGHYKRPRRARERV DEQAERWDVLGTASRRADRYFVVQGAKTGGE UV8b_08099 MSESTRQRRAAAAPPRASQSQSQSQSQSQTSQSQSQTQTQTQTQ TQQPSRILRLRGQHGASARTVQWAEDVVDNEGLGRKSSKVCCIYHKPKGVDESSDESS SDSDTESETESRRGDGKKLPACGHSHGRGRGKAKGKKNRLPSPNAYEKVPKVKPKDDE S UV8b_08100 MHRVPAAQTARWLNRALRLADVAPVTAVPVFLCPAVGLCGGGRR RAAAASATLARRFSHADALATSGGLDAQEPPEVAPKPARQLPVTCSGCGAFAQTVDPQ QLGYFDSQSRRVRSWLNPGESPAQRAGSEEDKVVDTVLKSLDPARLEELGLSAGVLID DDEGLAVARTALEKPPVCDRCHDLVHYGAKSGTARLTTYHPTVESLRETIEESPYRYN HIYHVIDAADFPMSLIPRLNVLLGDIPLRSRNRRSRASKFQNDGKTEMSFIITRGDLL GPTKEIVDSMMPYLRDVLRSALGRLGERVRLGNLRCVSAKRGWWTRDVREDIWDRGGA GWMVGKVNVGKSQLFEAVYPKGRMGEGSKTDRAAASTYPRGAVTNGRKSSRRGSEVGE LLPPAQPATNYPEMPVVSSLPGTTASPIRVPFGNGRGELIDLPGLARSDLDLFVKEEH RPSMIMKKRVVPEQVTVKPGRSLLLGGGLIRITPRATPDTVFLMYNFTPLDEHLTMNE KAVGFQNQTRESAGVRNVTLPGAGDKMKRAGTFQLRHDVTKKRAGPLTRKDAVGLQVD RLPFRVLSTDILVEGVGYVEVVAQVRARAFERWSQMQQAADKDKAAAEAAREGGGAAS VEEAAPSDDPFEQMVLKRRDVSGRAEPARLPAKLPAKLPAKLPETLSETLPEPDWPCV DVFSPEGRFIGSRKPLEGWLSNKPRVLAKHKKSRPRRSMKGVKKRAKMERRAAGAS UV8b_08101 MRSLGERLALLRKRVRTLPHPTSLTGEEELRQCARQIPSAHAKQ LINLRCGPGAAILPPEVTRIHMDFATRMSGGHMGARKFWRDFLPRLKYHNPSVAMVVN RHDQNQNSPLMTIYLRTQAGTATDAAAPSSIQPASSRTNLAKAQPPGPDEKTVTIDMK DKHGSEILEHFLAETRASPVPASREDIAEMQHLDHMKKQASIDRERVRQLRAEKKKEE DMLKRARAAGGMSEEEEA UV8b_08102 MASSSSRNPSRQPRDLITRIHTDALDTPTTLIGRIDISVSQAHE DSSSSESDFKRRKPTKQPPRHVRSLSNPFPSFFGGSRRRQGSTSQLRPPDSTSSKSNS SIVMTGRGAVNARQEQIPAARKDFATGNCMTCGSLMRWPKDLRVFKCTICVTINDLEL PGDESLKSGFFGRRRGDSTSHAPTQKSSRQPISVQQCRALVRQCVHAYLVAKLVPTQL PRGDFERPIQHGSLHFSTTDVNPSVYCECLDSDAVGIHDTHGNYTSKYIFAEQPTLRP NPSRPALAAKSYSYSYPERSPPPPPLSPNLPKPDMVVRPRAASPSLHVCEPKRIFKSL EEYIVNAFGSYQSVATSFSSQQRGRDGNAKESAQRRPLPSRGRSGSRPILNSHRDDLQ PDNSIDGIDAKMLLLGDVAENGLWWTGGRSQLLPPNTPASTHRSESPQKKPSSLKNLN LDWDELANWYSLIINAAEGWFQVYEDICKEYTVEPQSQRDLQLLEQELLQGQLHTQRL LLKATESLLKRPGRPITDPSDLRTLFVIMDNPLLHSNSRPFRGFLQPEAAAPVVFPQT HPKPSKPKTLPTSGLLSGQHSGIVKRVIGLFSNAPADCHQQLIAWFAKIGNPRFARIK DLVSGFLSYRLLRQIDKKQHTEVDITAGLIPEMRTGRSVGGSYLHDEISSGGLSKKKK EAEPKIAYTEDWQIRAAARVLALLFAANNAPGLRQGLSAQSAVSVAAVGSTRQHGLSE GQLLPTSDFYNSIVDYADLVSDFESWESKRTKFSFCQYPFLMSIWAKTHILEHDARRQ MQMKARDAFLDSIMTNRTFNQHLSLNVRRECLVEDSLTAVSEVIGSGSEDIKKALRIT FRGEEGIDGGGLRKEWFLLLVREVFNPDHGLFQYDEDSQYCYFDPNTFETSDQFFLVG VVMGLAIYNSTILDVALPPFAFRKLLAAAPAHGLGKSSRPRPSMQYTLSDLAEYRPRV AQGLRQLLEFEGDVESTFCLDFVIDTEKFGTLVQVPLCPGGERKPVTNNNRREYVELY VRFLLETAVARQFEPFKRGFYTVCGGNAFSLFRPEEIELLIRGSDEALDIASLRAAAE YDNWNHQQPDGHVDVVGWFWETFHEATPAKQRKLLSFITGSDRIPATGASLLPIKISC LGEDCGRYPIARTCFNMISLWEYGSKQRLEGMLWRAVFESEGFGLK UV8b_08103 MASLPKEMKALRYEKPEDWSIVTVPLPELRDNDVLVQVKACGVC GTDLHIHEGEFIAKFPLIPGHETVGVIAAVGKNVQDFKVGDKVAADNSELCNECFYCR RGQVLLCENFNAHGVTMNGGFAEYCAYPAAKVFKFKNLNWVDATLLEPASCACHGLEK IRPKLGSHVLMFGAGPTGLMLAQLLRQNGGCQVTIAAPKGLKMDLAKSLDAADTYVEL SRENPEAQFDQLKKENPYGFDIVVEATGSVKILEDAIHYVRRGGTLVVYGVYANAARV SWPPSKIFGDEITIIGSFSETFMFPATIDYLDSGKVRTKGIVNKTFKLEEFDKALQSI KDKSAIKAAIVFD UV8b_08104 MRHLHQDFEVANTSTNHHPASQHDVMVKIITVTLMQMISNDFRG SKAPHKIKLPIETLSLQNYQEYMFCTVEIFSTIFLPSIFCLPIHLRNQAENTS UV8b_08105 MLIPKADRKKIHEYLFREGVLVAQKDFNLPKHPDIDTKNLFVIK ACQSLNSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEIVPATHIKQQRSHAPPR GMLGEGERERRPFGRGRGGDRGDRGDRGDREGGYRRRDAGEGKEGGAPGEFAPQFRGA GRGRGAAPPS UV8b_08106 MDSRNINVLVTTFGGLGIPSTLAVPVPPSTSISELREEILDRLP VTDSRLIITTISNRQLPEVSAAPISTYLSSSEDHFLSLRLAVPLCGGKGGFGSQLRAA GGRMSSRKKKNQEDHGSSRNLDGRRLRTVNEAKALAEYLAIKPEMDKKEKEKRRERWE QIVQASEEKQAQIRSGGQVRLDGKWVEDKEESSERTREAVAAAIKAGNYRDNLFSTSH GSTSSEQLEEQASSEEDKSSSNGSSPAEAAGSLSKGKSRAFYCFDEDDEFMSSSDDEA DT UV8b_08107 MAEEDGQELVTKPFKFVTGTDARFPNVNQTKHCWQNYVDHHKCI IAKGEDFAPCHQFKIAYRSLCPSSWYARWDEQREAGNFPVKLDS UV8b_08108 MRPTATLRMFRPTTRMMRPVPKEDQAGHTISQRLRKLKQMPAEL IPLAVVVGFAIFAAGYSSARKLVVDKNLRLSRQGSAGREDH UV8b_08109 MTEAARWKATVFVGGLSPVVTTGHLFDAFIPFGEIVEVQLPPAD SRKSADPHRGFAYVEFEDADDAKEAIDNMDQSEFYGKILKVSQAKIPKSAEEGLGSRK AVWEQENWLAEHAAEERAGAVEGQATSANGSALDPMQGLEGLDVAGPKPA UV8b_08110 MVRLREVPRTATFAWYPESGKPLLVTGTRADAFDPDFSNESKLE LWDLCLDDQQQGLELQPLASFTTDSRYYDIAWGPAYSQYTQGIIAGGLENGSLELWDA AKLLAGASDALISRTTIHTHGIKALEFNPLKPQFLATAGAKGELYIFDVNDMEKPFRL QSPAARSDDIECLAWNKKVSHILATGSSGGLVTVWDLKTKKASLTLNNNRKSVGAIAW DPNNSTKLLTATPDENTPVILLWDLRNSNAPERTLQGHERGVLSLSWCSQDSDLLLSS GKDNRTIVWNPQTGERYGELPEMTNWTFQTRFNPRDPNLSATASFDGKITVQTLQNTN PDTSQKSAETNLDGEEFFRAAQTQPQGASWSLSKAPKWLERPVGATFAYGAKLVVFKA NPAQPGQKRSSKIIITKFSADAGVSEASEKFQQAVGSGNVAAICEERVQQAQTEEEKA DWKVMQTLAGDNSRAKIVEYLGFKEDELVNGECKEDGDVAEKPTDEAESENDAEKKKE EVSDFFSGGDDGDDFLSSVAGTKTANDNPFQLFSDGDGSVEKDITKALMLGNFARATD ICLREERIADAFLIANCGGQELVDKVQSAYLAKKNGMPSYMRVLGSVISKNLWDVVYN ADLESWKETMAIICTFSDPTEFPDLCEALGDRIYDHGSRKDASFCYLVGSKLEKVVTI WTAELDEAEKEGLKAAAGGSGFSVHVKLLQNFIEKVTIFRHVSKFQDAEQSQTSGWKL ATLYDKYTEYADILAGHGQLEVAQKYVDLLPSSYPAADIGRNRVKLAMQKPAAPAAVR QPASKAASRAQPNVGYPMSPQAATPAPSANPYGAYGQAQAPPAQPSPYAPSQNPYQPQ GYQQPSGAYQAHAQPAYGGGMVPPPPTGTGPPRSSTPAQSGPPLSKARNMQNWNDVPL VTKAVPRRSTPVAPITSPFPGSSSTAGPPSGPQTGPPLSGPYGPRTGSPIAPPPKGSA PPRVQSPLTSPPPPQGIPPPPRPSSTIANQYTPPVPQPGAVPSAMPQGVARTASPYNA PPSGAPPSNRYAPAPAAQQVNQPPAAGFMPPPPSGLASRPPPPANPYGAPPQAQQATP QSQYAPSPYAPQQSQGTGPPPTGPPPSGLPPAQLATAPPPPPKAAAAAASPPPPKARH PPGDRSHIPANAQRLFDNLTQDMQRVAAKAPATFTPQVKDTQKRLDLLFDHLNNEELV QPWTIERLTQLADAVQGKDYATAQKLQVEIQRDRTDECGNWMVGVKRLISMSKATP UV8b_08111 MTDTADASSAAPAAQRASEQARLRKERREAKLKAGGADRLSRIT GMGGRVVGEAPAPARAPAHPDPEEVDISQRVWEPPRPEQPDALLQAMLGARGIPHPQA HTHTHTHTHTHTHTQRAPSGRAWAWRLAHAVVSLALGVWLVRLAGFSGSEAGRAAGKG GGEDDERRRRFVWAFATAQVVLVAARLAVEGGGRRMAGRARCSGCCRSR UV8b_08112 MGPRATGLSAKSSVLPLRSIPPALRPLVRAYLLGYASAVLPRLL TLLLQHLSYRKRATPKYALPERDQASFVDSAKHILRTGLDPCRFPTFCAALVGGSTLL QAPLSAILARSSANLGDAARIRLARWLSTFIAAWLSLTLLQAKNTWRRRHTGPAGRYV DPQTGVKLAGRTVDLTLFAVTQAVDVIVGELWARHKTRRQAARKWTKVEALVSRMTDS VSFVASCALIMWSWFYAPDNLPRAYNKWITSAAQVDIRLIEALRRCRTRELSYARDNG QAPLLGSMCRDYHLPYEWGDPCKAIPFPCDLVHMGRGPSCEYHAWRRFWLSWKWSMYT YLPLALALQLRRPRRSSVRAAVASATRSSAFLGTFVALFYYGVCLGRTRIGPRVWGRD AECRQRIDGGYCVAVGCFLCGWSVLIETAGRRRDMALFVAPRAMATLLPRQYRMEVRW RERLAFAASTAVVFTCAMEKPGRVRGMLGGILGWTMKE UV8b_08113 MRKASHASYGSQPRLDTVGTVPFSWPVAAALRAPTVKSIVVLRG IVLVDLCDLTRCHGFLGWVSFSIRGKLP UV8b_08114 MLAVQHPPRMGSTQPESDPLLMFGYSVDSSQENLFDGAPEPAPG APLLTENDSKFLSSFFEDMTSNQYNMPSFGEGLNFSDAWLDLPPQFLGSSTSFGPQDT PGAFAYSVGQHNDMSRILSPGSGMMMPPPPPPPPQSQSQSQSQSQSQSQSQLQPQPQP PHTFPLQHSDDVLSAAATLFQNGSRASAPKSGGGGTTTSAEHSSARGPGVYPVGHLRH QPMEEFEEENRRSGQIAEADHTFTRWMWGSKGAASASKSAVTDFQWGSDASFTEIQGY VPEPRKESVESMHQTQMKCLECLEVNRSAVNSRPASPVHRHPPTSSNGDAPVSMKRED DPNAPPRKRRKSKIVPRGGGGGGGGENDDDDDDDDDDEAGDCNSSKSGRKRKIKPERS ASGSEPPSDTTGSGGRRRKAAVNGAKPPRENLSEEQKRENHIRSEQKRRTLIKEGFDD LGELVPGLRGGGFSKSTTLAMAAEWLEDLLRGNKALTMQASALEQQ UV8b_08115 MAAPVVTVSESKDLRGLNLIAAHSHIRGLGVDATSLEPRATSQG LVGQEKARKAAAVILQMIKDGKIAGRAVLIAGPPSTGKTAIAMGMAQSLGPDVPFTSL ASSEIFSLEMSKTEALTQAFRKSIGVRIKEESEIMEGEVVEIQIDRSVTGSTKQGKLT IKTTDMEAVYDMGGKMIDAMTRERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGV DTKFLQCPDGELQKRKEVVHTVTLHEIDVINSRTQGFLALFSGDTGEIRSEIRDQINT KVGEWKEEGKAEIVPGVLFIDEVHMLDIECFSYVNRALEDDLAPVVIMASNRGNARIR GTSYRSPHGLPVDFLDRVVIINTHPYAAEHIRQILSIRAQEEEVDVSPDALALLTKIG QEAGLRYASNLISTSQLVSAKRRAKQVGVEDVQRSFQLFYDPSRSTKFVAESEKRLIG DDGAVDLSVAANGPAASAEKMDLS UV8b_08116 MRPHPRLAVPSLQIVAKPVSSKQEMASTRGTASAIPPGQQRSPR SPDAQNQPQPEAVPQRDGELKRLLDLQSDRTWCFVQYEQEGKREKKFQNDLSRCPPSS VESAPYVNILKHQVEQCQKNRKKYLEHINLLDSKFISASYKFLDKYVRSEEPVTQDNA VKREEVEARLEGFRAGLKSSFGKQLDDAVDKIKSSQSTLESENRGLKRSLEEEKERND RLERRLELLDQKLNTLSRAHSGLSTEVASQQGQMDSEMAKWTIEQKEVLGRLEWLSLN TVGKADVSETLKELDSMMSSDDSSRASKQSQNMDNAPGCKTRAQLRKLADTVESIKSI LHSNEADSSLPMIQNVQRDIKDCAKDIKTQSTQCAQMSEAIRSLEASLRSDKHSRQPA SRASSASSNHKGPPDTTAPDVMEERLQRLSDSLASHIKADMQLKLNKVATEIGSFVDK ERCKTQEAADKAEKSQVEAQSLRRDVDQLKGDFSSFTAWSRSQLAALDTGMQVCNDAL TNLDARLRDASKESAEHLQALAVQLQVLHAWQANFTTKPLYRDIVEHINATLPKGIPR QVSALTDRISALEGMRRQDDEAEWRKRKLQHN UV8b_08117 MEAAAKTVEVLSKRILPDKPHHLSLSPTRRYCQLPEGDDARSSR GAKRRFEEWHNTRLQYSTFLSEADRGTLFTRSYYDMREDPSEPLPRDVGALSRAANAG EKKKLSLSDYRNKKTGALLPASASPPEPEAAAKRKESERAAPASVPSAACRPPTPTPT PVDVDGGKTQHQTQHPGTTRRTDPPNGPKTREPASRLSGGAKAKPSRDRGPAAAESRL PPKPASLPLKPPSPAGKRRVLDADDDRPSKRSKPDDRPQLLNRDDAHRRKDGKLPPLS RDRDRDRDRDRDRDRDRDRDRDRDRGGPPAPQPQPAPPPSSSRDDRGHSAHSLTNGRA NLKGSAPPGRSTPPAGRPRGEAAANGVRPSSVNSNRGTPTKTDAAAKTFVPPLLSPLH LSFGGREKRSRAEDDDAMTKRDKKRRDDAGDAAGAAATKPKRLADAAAPPPPPTTTTT TTTTTTKRQKLPVAIPPLLSPTLPPAVEAELRRRKKSSSDSSDEKTKDGRDALGIKRH PADDDDDINNDDDGGGVGGGAEEVAKRVSKLGHRRRLIMVLSVPKRLRASFAKIVARA PPPPPPPPPPLHRKNSQSQSEREQQDRKPCRAAGSDDALRPQPQPQRQQQQQQQQQQQ QQQQQAPARKRPMMGAAEAGGPSDATAAKRPRSSDVAAAVAAPAKLGTPSTPSKKTTT MSRVSSTNSAAHTPAEPATSTTPSASATDRRPNGGSDPPAPAGKADRPEARLMKEKED LLKAVGKKLKHQADLKRSPAGRGDARAPADAHALKLGYVLSLESIIAFVMGFHAQNLH RGMLSKTGDPTGWNSMFPLFEFLQGEMRRGNAAAAAAAAAAADADAPGCHQPLYAMLL LLHAVALDEMLKCYIHLDSPGAHGVTLDGLVRSERRKHRLWLQLRLLHRRFLFAVPRG SFSFHLHNDADSSWLCFFFLNSFFKKNPGNEYRLLSINFLDSFTLDIFWVNPRETGGV TCSADPPNRNPEPKTRVRTHG UV8b_08118 MAVKVGINGFGRIGRIVFRNAVEHSEIDIVAVNDPFIDTEYAAY MLKYDSSHGVFKGEIKHDADGLTINGKKVKFYTERDPSAIPWKETGAEYIVESTGVFT TTDKAKAHLAGGAKKVIISAPSADAPMYVMGVNEKTYDGKADVISNASCTTNCLAPLA KVINDKFTIVEGLMTTVHSYTATQKTVDGPSAKDWRGGRGAAQNIIPSSTGAAKAVGK VIPALNGKLTGMSMRVPTANVSVVDLTARIEKGASYDEIKEVIKEAANGELKGILAYT EDDVVSSDLNGNTHSSIFDAKAGISLNKNFVKLISWYDNEWGYSRRVLDLIAYVSKVD AGK UV8b_08119 MSSRHSAARPNRDGENFARTHHNDANADNAYAKFDVRNPSILAP DSREDDAILEADVIGGRNPTKRGAVNLDGYDSDSDNETFNAKAAARKKGKVDINDQLD NYEAAGSAAVSRSIADREGEKKDEDEDDMFAFDGHHTDGVNGDQEGIEDDNADFDKSG KKKKDVRFLDSAQIMGQEQDSRSGGHIRLDDEESDDDEIEAQLAAEEEGLDEEVGAGG LKRNAPKIEAFNLKAEMEEGQFDQAGNYLRKAGDPDAVHDNWLDGLSKKDMKKAAEAH EKRAIEARKQRLEEADILVSDLLKALITRLEPSETPLEALARLGKVQPKTKKIPKWKL KKMNKSAPDTEMDTDAAEDPEQARVKDDINAVTDAADKLLSRDFDEVYDQDRELLIRS YRRETGEDWVEPLSRQKHEATLHDASNASKLWEFRWTDGRDAAAQQGPFDTATMKAWL DAGYFGQGVEFRVAGNGEDGWTTTASFV UV8b_08120 MFQPGFGGGFDPSNPEHMYLMARGRRPIIQRFDEYYRCYPVVMA PGAERPELNYGSKIILPPSALDKVSKLHVQWPLLMEMINGEKGRHSHAGVLEFIAEEG RAYIPQWMMETLGMDVGDMIQIRTTSLELAKMVKLQPQSVNFLEISDPKAVLERAFRN FATLTKGDVFNFEYNDEIYEVAVLDVKPETDKMGVSMIETDVSVDFAPPVGYVEPERR SGANTPGSSRSGAAAGGVLHGQGTMAQAIGFSSIAPSVTSKPTNFLGEGQRLVKKGSK TSTPKPATPAPAETAPAAAKSRTGAPAALRLAPNKLFFGYEYKPLKTTAEKEQEEAQN KRPRFAGQGQSLRGANKRKGEADDKSKSPEKKGSGEGHRLDGRPPR UV8b_08121 MPTSSLTRSPPSIGTERPAPTHMFPLLPWLSSSPSSPSFLLVRI NQGIPTSTGFPLAPCSSSTCTTLISAMAPGGHWADAAFLHDLVVAFFEAGAETGAHTA EVRNAITSRMDEMHHKVTWEGIRQHIQKLRRDQTSPQKANNGAGSAGSPTKSATPRKR KAPSKTSKVAPEYYSDMDDELPFKAEDADDKMLAKRIKME UV8b_08122 MMNLKNWNDRADKDLFFTILSVKNIGVISGAEWTTIGNQMRSLG YGFTNEGCRQHFQGLRRAQNKADADSTAPLTARQADPTMNPITRRPGPGRGRPRKQDS SGAQPVLPPGRVTSTGAGPNPSAVSTPPTAAHELQASQAQGGLRMLSEQPGKPDGANG FDAVPSAADMAVAPAPRVDGTSEVVEADEDADGEDDEPPIKRQRIEAQQVQIEDEQSP PLDDEAVLALAADNGAAGPHRYSSEFDYEA UV8b_08123 MSPNGDNAMARFLFAILKQKNLKDIDWNQVAQDPVLLQPISNGH AARMRYSRFRATVTGHEPQRRNRHGEKDRVSKSSSRKEDSSKKGGIIKSESGVSLASL TQFSPAFHSPPYSTDYEDLKTRFLTPCSDDMTLAMSVNPAALEDRQHQKSGAYSSTMD CHSDLFTDPGSLTHSPAFPAFDAAYELDGFSAHPAELNGPNLSDLSGTRCAPEWNEDF HDPQLF UV8b_08124 MAETTPKKKEPTASEAMFFFAIVKHTRNKADIDWNSVAMEQGFK NAEVAKVRFGQVKRKLGISTTDSPGQSAAPRTPTKVRSAAGTPTKVSKAAGRVGGKGK GKGKGKKGAVKKEESEEEDDDNGDDDDVDDSVSAVKMEEDGDEDEDEDDAELHREVKQ FLEEDPY UV8b_08125 MPRKANVTVATPTPGETDSSPGLTDNEMRFIKAVFDNMTQRPDA NWESVAADLGLKDAKCAKERFRQIVKKVPRTPTKKTAKSAAAVEKSDEEEDQAAAE UV8b_08126 MPSTQEPTEQVRFLVSCIGHTNNGRPDFAAVAEELGIVSKAAAQ KRYERMLKAHGVSTARSPAKEAEELPSPDTTPVKRKAAAPRAASGSAKKPRAGKAKVK KHESDDEPLDTKDDVKKAEDSDSGLSDVPLIDCSEI UV8b_08127 MWNRPRMAEKLLLHIQYECSRYKVQLPWDAIAHRLHPGSSGQAV CQHISRLRRELVAEGHLVPPLVPRSAPHTAPEIRGYIRQDMEGSDIETTRPVTFDEKI DDPKFSLPDSINLREEESVPYEDADRFERSPSPSVSVEESVSMEEPVSAEEPVSADEP VSMGQPIPMEDWQFPSPTPLPLALPTVSGGVMIAHEMLPPRFPALADENEQNSKYDTS SASTLEATQPLFEDEASPNESFQSTEIRPEEELAAKERHLLGAGQREPTFGYTLAAAG PWPQHILYPTFAPSNLSSAPAVAFHEHEYFRSPFSMPTAAHYASYRSMGSAALGAEAL TQPLSRPKIEDPRNRDPGEP UV8b_08128 MQRALSSRARATALSASRHRALGQQLRFAHKELKFGVEGRASLL AGVDTLAKAVATTLGPKGRNVLIESSFGSPKITKDGVTVAKAVSLKDKFENLGARLLQ DVASKTNDVAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGIQAAVDSVVEYLHK QKRDITTSAEIAQVATISANGDVHVGQMIANAMEKVGKEGVITVKEGKTMQDELEVTE GMRFDRGFVSPYFITDTKSQKVEFENPLILLSEKKISAVQDIIPALEISTQTRRPLVI IAEDIDGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDIGVLTNGTVFSDELEI KLEKATIDMLGSTGSITITKEDTIILNGEGSKDALAQRCEQIRGVAADATTSEYEKEK LQERLAKLSGGVAVIKVGGSSEVEVGEKKDRFVDALNATRAAVEEGILPGGGTALIKA SAQALKEVKVANFDQQLGVNIVKNAITRPARTIIENAGMEGSVVIGKLTDEYAADFNK GFDSAKGEYVDMIEAGILDPLKVVRTGLVDASGVASLLGTTEVAIVEAPEEKGAAGPP MGGMGGMGGMGMM UV8b_08129 MSQSGISVPAEVTDALKKLGKPYKYIIFKISDDQKTIEVEDASE EQDWESFREKLFKATFTKKGVVGPGCRYAVYDFEPEVPAGRTPVRKKTFIMWSHPNAS PWANVPYASSMPAMKAATSGFHEVEANDFEELEYETVAKEIIRRYSR UV8b_08130 MEAAFAKTFLSTLDARPVKLSPDHVENPRNLPARPYILPRMPVP MSRADTSLPGQERSVSVAVRSLRSPPLDMTLASQPVSTSVLDIKTQVSRRTGIPVGKI KVLHDKRPLADSKILADVLAPADRKLELSVMVMGGAAALPGVAASAAPGGPASGEDAL TTDAFWADLGGFLTQRLRDERAATDLARLFKASWEASRANGGLP UV8b_08131 MGPGRRMGRKQGAPEPLSEEHFAKLKRKAGLPVEPASEAHDHKR RRTGKKAQAKSKTGAAAGKTKTSSGAGARDAAPNGRPKEKKKKKPEPEPKPKATRASR VTPPAEDQDGHDDHDGSDGSDGSDLHVGKLSDLDGGEGPAPSLGDDFLGSDDSGSEPS VFDSEAEDDDDGVAKPVFSEDEDESGAEEKLTAANIEGLSRRLDRRLAEEAAASAAEL AESAMQTNIQGDRPRVVDGGEGEGEGDGGAGAALAPDLQLLRSRIAENVRVLDDFASL AEAGRSRSEYTAQLLRDVCAYYGYSAFLAEKLLGLFPPREALAFFEANESARPVVIRT NTLRTHRRDLAQALINRGVTLEPVGKWSKVGLQVFESSVPLGATPEYLAGHYILQAAS SFLPCMALDPREGERVLDMAAAPGGKTTYLAAMMRNTGVVVANDPSRARAKGLIGNVH RLGARNVVVCAYDAREFPRPMGGFDRVLLDAPCSGTGVIAKDPSVKTNKTERDFLQLP HAQKQLLLAAIDSANHASRTGGYVVYSTCSVTVEENEQVVQYALSRRPNVKLVDTGLT FGKEGFTSFMGKKFDPSLRLTRRYYPHAYNVDGFYVAKFKKTGPTAAGAGAGAGAAPG REQGQDGGVVDRTPISEERDAAAAAAADDDDFGGFDDAEDKAYIERGRRNAMRRRGLD PRSQAAKR UV8b_08132 MEPQPSKPQQLLENEIESWDNDEDFLVEGDELSFRSSFATAAGP ASSLALSRPPSRRRDSASSHVSFRSEIESVAGEERQLYLPGDDETSTVDAIAVAEHAG IPLPKNVPPSALMGGTIKRLGGRKIKKIIQEDWENDLELPDASQGLNLKRKTYPEFPE TLRQVSGGSIQSGPTKTVNSPTWAEPERRQSVQSNTSALSSAINLDRFKDTEEDGEFF NDGAATVKASRCGQPPQPVSFITPPTPQKSRKAKEPEDDFELDLELPSDGKLRLSTRK DIPKTPSSNFDDLDWGEGSLGTRYGGTRRDGRSNRSSSASALSPSISSSITAESEDET FDGLVLPSGPFNLKDRLQKRNKSFSPGRIPEESPAPAPTCSASKPAPAAADRDDFFDD LDIGDGNVFGPGKLTLHRNVKLKEVQTASPARPKTAVSLTFTNKPTSTTRLPRLNHER AHSTSLEPVYESSETATAWRNRRSHSRLGHSHQSSVSSLPTPTTTSPGRQFPLSAPAP RGSGREVGSRSSFSSLKSESSTTTSSQLLKQKRSLRGLSAQSSKPMPHRPCDRPPSRN ETCRPQSGLRPKTPVERQRSGLTDSPGSLVRKPQPFLPAGASLGKSQHVASKTLRQFR RHDSDNCIDMRPMSRSFSRSAVRSPSPQRYRVAADTWERLSKPKNKRHFGDGHELDGF DDLPISRESETRFLKQPITSGSKATIRNRLYQNILPDRTATPAPLTPCLTAKTPTTTS TTTTTHTPRFARDTAASRIARETSLAQRAPSHGSLSSIAAQREAPLGPSPNMHHHHHH QQQQQQHSAHLPQASIRSKKKTKRPQQLKPHLISNLNSGKESKVVNGMYYNADTFRWE GNDNVLKAFDAAAATPSTATGSSQVAREKEVCTPRPALITNISATKGVQVVSGMVFDP QAMCWLKLGQESKARSDASDTMDGFDALNDEDEDVFKDIPDLEDKPAEEEGGEGGGRV SDVKDDWLVGEEFDVGPEFVRRQGEEEERWRKKCEKWVARGPRDRDMWRWTIRDLVSQ FDELQM UV8b_08133 MAPKRIIKVSHQDEASFVLIQVSSKGSKPLDLKLVGTEGEAPYV CSLRHDRVASLRTKNCPVSETEWQNILRLIFEQQPQPDIQATATIQTESSLSITVRKQ VQGITQRLGTITLNHDPGEAIELLDWCASSVDAAARSIQTAGDYAAKLQDLQASVDEL KSQLEELIRAKQEDELELLQKFRSLLNEKKVKIREQQKIITELSVNAGAWAAPSVRGG SEEPPASDKAGGRKSKAPKRKAKAVESDDLEDDVAAVVKSEPEDSDAGHTTEETASAA GGDDDDDDDDDDEDENMGEPQEQAAPEPQLKKNQAAGPPPPRSLPFQSKKPAAVVNES SDDEL UV8b_08134 MAAAASAKPSFPPKLKLNDGNEIPTFAYGLGTAHYKSDTAFDQG LVDVTELAIEVGYRHLDGAEVYGNEEELGAGIKASGIPREELFVTTKINGVTKQPTRE AFDLSLRKLGLDYVDLYLIHAPWFANSDEDLQQKWADLEAIKASGKAKSIGVSNFTQG HLEAVLKTAKIPPAVNQIEFHPYLQHGDLLAFHKKHGIAVAAYAPLTPVTKAKGGPVD PIWSELAHKYNVSESEIGLRWVLDQGLVAITTSSNRGRLEGYFEKLPRFKLTEEEIAR IAEAGKQKHFRGFWGSRYDPTDGR UV8b_08135 MLLRPSPPRGISRLRAPFIMATHHHSAATPGPRHQGATLRDLPK SWHFTQSLPADPAFPTPADSHKTPRDEIGPRQVRNAIFTWVRPQPQRDPELLAVSPAA LRDLGIKAGEESTDDFRRLVAGNKLYGWDDDRLEGGYPWAQCYGGFQFGQWAGQLGDG RAISLFETRNPATGTRYELQLKGAGLTPYSRFADGKAVLRSSIREFVVSEALNALRIP TTRALSLTLLPHSKVVRETVEPGAIVLRFAESWLRLGNFDILRARQDRKLIRHLATYV AEDVFGGWNNLPARLENPQEPDHSPEPARGVPKTELQGPSETCENRFTRLYREIARRN AKTVAAWQAYGFINGVLNTDNTSVYGLSIDFGPFAFIDDFDPNYTPNHDDHMLRYSYK NQPTVIWWNLVRFGEAVGELMGAAGLVDDPTFISEGVKADQQDEVVSRAEKLIMQTGE EFKEVFLREYKRLMSARLGLRQPKPTDFNELFTPLLSVLGTFELDFNHFFRRLSRIEL AELATPEDRKAKAGVFFHKEGVVGEDDAREQLASWLEKWHTRATEDWSGGAAEISKEN DQERIQSMKQANPNFVPRGWILDEVIKRVEKGGERDVLDRIMHMSLYPFEDEWHGKTF DGTLYQGDAQEEQRWVGDVPRMGRAMQCSCSS UV8b_08136 MLRQSLARSAWRTSRRTANTSRAFATTPRRQAEVELTVDGKKVS IEAGSALIQACEKAGVTIPRYCYHEKLMIAGNCRMCLVEVEKAPKPVASCAWPVQPGM VVKTNSPLTHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEIGG KRAVEDKNIGPLIKTSMNRCIHCTRCVRFANDIAGAPELGSTGRGNDLQIGTYLEKNL DTELSGNVIDLCPVGALTSKPYAFRARPWELKHTESIDVLDGLGSNIRVDSRGLEVMR ILPRLNDDVNEEWINDKTRFACDGLKTQRLTMPLIRREGKFEPADWEEALAEVARGWE AKKPRGDEFKIIAGALTEVESLVVAKDMANKLGSDNLALDTPTGSQPVAHGVDVRSNY LFNSRIWGVEEADCILIVGSNPRHEAAVLNARIRKQWLRSDLEIGVVGETWDSTFEFE HLGADHAALKKALAGPFGKKLQAAKRPMIIVGSGVTDHADAKAYYETVGAFVEKNAAN FVTPEWDGYNVLQREASRAGAFEVGFTTPSAEVAQTKPKLVWLLGADEFSEADIPKDA FVVYQGHHGDRGAQIADVILPGAAYTEKAGTYINTEGRVQTTRAATSLPGASRTDWKI LRAASEFLGVPLPYDDLTAVRQRMVEISPALAAYDVVEPVALRQLGKVQLVDQNKGSK ATGEPLKKVIDNFYFTDVISRSSPTMARCSVAKATGDPRTNLMAPGMEEDRPQGQIAY GS UV8b_08137 MIPIARPPTPPRPRRPPPLADDEIPALETIAPAIFVPLPPDFWR AFSPPASRAAHLEQALATTDLLAARVRDNILALTRRECLRIARRAAADEAAFRAGNPD APAAWARKPVGPDDLRAFVTNLEAAPSDPGRPAAQLPGPDFDAWEALRPADWGDREMT ATIARTLNEVRGYEAYVRKVRREMEVALEEERSRSRSRGAENDASGSGSGSGSGSMDM DTSL UV8b_08138 MADSVDRVFVHALNTVKKIPKTGASRPPPSDRLRLYGLYKQAME GDVDGVMEQPTAGPFLTAEELRRERDKWDAWNAQKGLTRTEAKRRYVEALIETMYRYA NTTDAIELVTELEFVWNQIKHNSPSTTDSSPKAAGPGKAPARQLQQPPRGSQGPLKML SPMSEQDEAELRSQKLLDLDDAGEDGSGRGQTSTRWQKKVERAVTQLSAEVAALREQI TTGREWRSRKDRSFPSWFGWILWVVLKHFVVDCVLLAAVLIWMRRRKDRRLEDLVRSA LKIVREYARKVLP UV8b_08139 MTKRSASHSDENSHGGKRHQTERLSDSHHVHDQLEPNTMRLAMP SMYFITRWLSSEISSSLPPIPKILDQKLEETVFRHPAFRQLGPSYERLEWLGDAYLEL IASGLIHQTFTSTAAGRCSQLRELLIRNSNLAQYFRDYGLQSKAQLPPEIQKATSHGR GRSKDKDLVKTQGDMFEAYVAAAIISDPENGMANTVSWLKTLFGRTIKDQIVQNEEAL KDPVGGANLMTHHTYLAAADQGSKMTARDQLQDEIGSKGVKIRYEDIPGNAKEKNLRL PLFTVGVYLDGWGERNKLLGTGTALAKKEAAQKAAAVALANKKLLKTYKEKKRIFVEA LRAAEGDDAHPAGG UV8b_08140 MSVPRARILDLMKAQCQVFATIYNPEGVRMGNKVLRQRLKGPAV AAYYPRKVATIKDVKREFGPVLATWDEDEQDRFEYIEELKQRGKSAPKKKSGPPDKHG KKR UV8b_08141 MHLSSWSRQVDATLAKSTLNHAHGSHATNQCAKDSEATLSSVDS RSPLRQQAQVCSGGQRLRSSFSQRYGARVSDSSVSLVQ UV8b_08142 MSASDFRASSAEKTGVIPGLVFQEDAAMLGSDRPSPVGHTKDHS SFISGQQCVNVLATSKLVNQATSKASLEDSVTNESYPMDKLLARLSEQKAVLSRQNEA LKSVEDDSQTCQRLPAHVSSTNSLPITPATEGFSSTAPSTRPASATLDGPRSDSDEIL RLKLQLAQAQNKISKLDQELATRSQKGDTDISVTNAGRCSGNTSRENAWATTDDEQSD ASDATSATTFGRAKGIWENAKGSIASNALQGTVNEPQPGTWPGGRGFNQGFSDASTAY QMMDGYRGDRLSPDSDLIMHQSTRGRPHRFDHRVHNSHHLPNVVYNPVSVGQIGQFEA MGGTMQTGTLHPPPGLGTIGLGTYSGYQQQPVGTPLSPHASEFTSKTNWKTEGLSTDG PTYLPPTEPLNYRRLLDRNVTCNWKYIVDKIVCNNDQQASIFLQQKLKVGTPDQKYDI VEAIVAQAYLLMVNRFGNFLVQRCFEHGTPEQVIKIAQAIRGNTLNLSMDPFGCHVVQ KAFDSVPEEYKAVMVHELLRRIPETVIHRYACHVWQKLFELRWTESPPQIMKFVNEAL RGMWHEVALGETGSLVVQNIFENCLEEDKRPCIEEVLANIDIVAHGQFGNWCIQHICE HGAPPDRGRAVDHVIRYAAEYSTDQFASKVVEKCLKIGGSDFLGRYLDRVCEGRLDRT RIPLIDIASDQYGNYLVQWILNNATPQHREMVAAHIRKHMVSLRGSKFGSRVGMLCTN HAVTTRPGPGVGPGMGGRMGPGPRFGGAYR UV8b_08143 MAQPTSPLPGADRIRSLQDQDSIFHAFDAYPWTKDPTFLSGLSA ILGPPNSSPGSPADMAVHARIFYYAQRVGVQIDFSHYAAWLAQHPDHKPPQVLPHEYL SSPAADLSEPLLPWQQAAPRADLFVDRKAAADAAAASGDGQPSYPMGFAQMLALLQQG KEIPGIRQIPDTIARAPSVKPVGTRAAPRKPWEKHVSPEASELNLPNALDTEFPPIDT DIAYPSSTAETVAS UV8b_08144 MHRAALRAFRTTSSYLTAARNLSRASLFSAARHNARRQAAANEP LRSSMYFRRLPVALVSGIVLGYGAWYSINTTGARTVARSFASTQGTATPPDDAPPART VLVVGADELRQGTIVGEGPISKPTTDGRRIVEMLTPDQATEKLRKLEQSFSVNRGQGV TRYDLVQLPSNDPIEDDHAEKIVEVPSRSAGAEGSSSDWMFWGVFDGHSGWTTSATLR ESLISYVARELNETYKADKGNSPSDEAIDQAIKTGFNRLDHEIVHKSVERVFKASSKA VAAELLQPALSGSCALLSFYDSRSNLLRVACTGDSRAVLGRRSGSGRWTATALSEDQT GGNAKEAARMRKEHPGEDNVVRNGRVLGGLEPTRAFGDAVYKWSREVAGKLRSSFFGR SPSPLLKTPPYVTAEPVVTTTRIQPDKGDFVVLATDGLWEMLTNEEVVGLVGQWIEGQ AAGAGAGSSSPSSQFEAVWSKIFGPPSRPLPVEQAKADGVDGQRTPIRLQQWGISPDA KDRFTVRDKNVATHLVRNALGGSNDEQVCALLTLPAPFSRRYRDDLTVQVIFFGNGPK TGEVLVNLDATADAAEPRAKL UV8b_08145 MAAHDSHVVSTATTRSNSPVYGYESPNEENQPCFFSAPSPTPSV QRAQFATPVQRPVQAPGTKFASEPPVAAVPRPRVSFNRPYFQSNQSSINDVMVKAEKR LSLGQVTLKDRIACYQWTYFTMTMATGGIANVLHSLPYHPPWVFGLGVFFCLLNLTLF LISCIMLSVRFYLRPGSFVNSFTDQVESLFIPAFFVSIAIILINICEYGVPNCGTWLL RTMEIAFWIYIGASSVASAGLYLVLWSTLVFPVHMMTPTWVFPAYPLLISAPFAANLI DAADISGHTLFTNKAAIALCATATQGTGCLIAFMISAAFIYRLMTQKLPRDMQRPGVF ISIGPFGFTAAGIAQLGGQAKHVMPDNFLETPITADVIKVVSALVGLWLWGLSMWFFL VSVGSLWKYVRSGHKLPFQMTWWSFVFPNTALVIATEAMADVFHSNSLHILGAAMAVV LVVVWVGVFLTMFHCLRTRKLLWPKDAPV UV8b_08146 MTFTNAPVTRLLVLGLVSASIGASMLDVKHYFYISIDTHLWKYR QFWRLLAYQLCYANSTEVLFAAMSLYNLRVVERMWGSRKYASFLVVSSLFTALIPPTI MAVLRPLSASFFNYMPAGPTPIVFAILAQYHAIVPHVYKYRVATSPGSQANDSAGITL SDKSYQYAIAFHLSLLQWPGSVLGAFVGWAVGNAWRGGFMPLSLVTWRLPGWMVGLSS HRRTAEFEGLRRRLEGENTSATGVTSGMESEGQQTERRRTMGQKIVDQFREAL UV8b_08147 MNSYPTGRAPHDYGVSSLSPPASTSSSKADSAAMNGYSQDAPSL DQTLGPTSTPRSARVELKDPIQIHLLTETALSDSRGYEILSQEEVDELKKQEALLSQR VESTRSNLAIQSKYRDASASMARLGQGAADHERIKEAERERLACGKRCDELAQELLSL EKRLLVPRRKILEHTAAILQLTHKASRRKAPPQNGQLINGVPGSPESLYTYSHGRNSL EQVGDDNYYFGDPSVYQLDGLERSRKNAIEIPLKSPIREQNQLRVELDRLREENSHLR SQTDGLLGKLQGLNMSLRDTIVRFNPEVNRGYDEPPRVTLTPDATVANLLESQVEYLE SGLVAVQAEQDSYAGGSGGGGGGGDGGSQLGERIEAINLQLRDLLMSSNPHYTPTMLP SDSDVDGQMTYLEDSIRSIDSQLARIGSSSATDETGPVLSGLWDSMRRGFAEAKQRKD DRRRARLEKGLPEDDEDMSDSEGFDATEPYSLESFANKVRWMQSQAITLRDQKYVLKR QIKQQRELNNKSDAEKDEELAHKQEELEQHRQLLSRADKDAAEARKMLSETMADLEEA RKARGGGPPGAAQAAVEQRNVRIAELEGRLEAAQDSLAGAESRSQSAERRLADVGAQV ESLAQEKQTAVEAARGLQKQLAGAETRSKEAESTLAKVHAQMDSLTQDRRAAVEAAQE LKKQLAAAESRSKETESQLAKVNAQIDSLRQDRRAASEAAEDSKRELAAQTKQFKVKE DEVEQLNMTIAELKTEVTIARAELDGAYGSRAERAAEVAAIKQSAEVLKLQNQVDRLK KELGATVEELEGVTKETIGSEKEKADIEARLDEALTAKARLDAELRRARDETAKLQEE LDGERLRVPGEACRPGAGASVLSERFRATMRAERKKFQEDLREERLRCRRVEEELARL KRGQGGSRGMLSPR UV8b_08148 MVPEQGAGGPVERTRRSLSTSAPCPNNDSKAMQDADVQMQPPPQ DEPQSSSTAKPSSQESPRRCNLRASTPGSSALSRNPSTESSSSASTPEPAVTRHAKRA SHQQHSWPLEPPVTRSTLSELDVSKIIYNPKLRHDINFDPGLHFRPNLDGEKGRKKQE RANQFWKMMKEELAMFITDRPSFYAKHGESDEWTLPTLLKAVKEIIQTLVPQRDRQFL DEGLNIDLLMQQFHKGMADLEKLALWLSRVLKSHCAPMRDDWVDTMYTQLSHGNRDSD LDQLVTGMRSLLSVLEAMKLDVANHQIRCLRPVLIEDTIHFEQKFFIKKINSRKVDIS GAQEWYRDADRRFSGTLGSDNTYSFGDMGVFFEALSRLILPSSGEKRVPGTFLFDEER IMKLRSDMVDAINLDICMRMYEDLERVSRYSFRMLGKNSRPLDEETLSTATIPSSEFN FNTPLSSSRPSSLVLSASGSAASSPRSSLVMPLYVAPESSEARIKAKNVYNSLVALLQ SATPSTRPASRWQTMAPSMALQIFRFTEAPSELLPTFEEKLMDNICRVDSAMHREVEQ AFHSGLMAELSSRVREFKSLSGVSLFGVATGGRVSSSSSGGGGHGHGHVGRDFDSFLR DGQEEGGIEDMANRLAHLGVLHWRVWAQLAYLGDGEGEGDGDDMAVDFTC UV8b_08149 MSALFNFRSLLLVLLLLICTSAYVHHFTPGIMDRHKNGVMGVFW KSARVGERLSPYISICCVLMAASILIN UV8b_08150 MEPRARAGKNVGKMNFSHNELAQLLYAHGDVKNPLPETIRVLDE ILTDFMQSIAFEATRAAHYSGRQKIKYEDFEFAFRKNPAFLGKVQEVFEKQREIKKAR EILRDGEDEIIKDAAEEQKKRERARPEEELGEADDDVEAEADALGKKR UV8b_08151 MQRAWSPDGRGSAKLQAAEFQRRSPSPTLSSHSSSSSSSADAAD AWGGMRKGQTSLAKRFWLANRPALLVALAQLFGALMNLSARLLGLQGPGMSPVQMLLV RQGATCLCCVAYMWWKGIPHFPYGQRGIRGLLVARGCAGCCGILGLWWSMMYLPLADA TVITFLAPGVAGFLCYFLLDEPFTRLDQAATLVALAGVVLIAQPAALFTGASSSAEGG HGSTAGDAGSPHGSVPGAHGDATPQERLFAVGVALIGVFGAAGAFATLRAIGKRAHPL ISVNAFAAIGTVMSISALALGPVLDIGQPSLRWMRPASPRQWLLLLSLGAAGFVNQYL LAAGLAADKSNRANAMVYTHMVFAASFDRWIFGHRMGLASLAGCTLILGSALGVVLMK KRPPRTTKAEDVGRRSDRDGVADRSPMLVVGEAGHADDGLSSLGQGRRANGLIMKQAS LPEC UV8b_08152 MVQISEVKGNKRDNRTAAHTHIKGLGLKSDGRAEKHAAGFIGQV PARESCGVVVDLIRAHMMAGRGVLLAGGPGTGKTALALAISQELGTKIPFCPIVGSEI YSTEVKKTEVLMENFRRAIGLKVRETKEVYEGEVTELTPEEAENPLGGYGKTISTLLI GLKSAKGQKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPIPKGEVHKKKEIVQDVTLHDLDVANARPQGGQDIMSMMGQLMKPKMTEITEKL RAEINKVVSKYIDQGVAELVPGVLFIDEAHMLDVECFTYLNRALESPIAPIVVLASNR GMCTIRGTDDIVAAHGIPTDFLARLLIIPTAPYQPDEIKQIVRIRASTEGVAITDPAI DRISAHGVRVSLRYCLQLLTPASILAKANGRSQIDVQDVSECEDLFLDARRSASLLGS EAGHGYIS UV8b_08153 MAHIEPSAAREMETVGAFVQRHISLDRSFRSCRGFDLASDGRHS PSPFRTGSGRKRASSTVSAVEAHHGMYEPVGVNPPRQIPHEVSRDLICLCAPAPKIPR PRNAFILYRQHHQSQVTADNPKLSNPEISKIIGDKWKHENDDVKDNWKKLAEEEKQRH HHQYPNYRYQPRRGSKAHGNWTGTSPADEHGRCLKCHGQSISTPRTPLNPVSSSSLGH FGSLSQSQPSLRHLDTGVSSRRSSFDQSPTSTLPFPRQFSLLRDADYSEPNSPETKRR RVNDVGGYHAINGAAGSYTIRPPPELGRTPPDGAASLMRSYTGTTLPELASFPRSQSG PMPMPPPLRPPESSKWPVDEKGPSRRHSVFDQSLRLPPLQTPIPPSPSRSPVVEDKRV SIPSSTTSPVSAIKSSQHTGSLNTRVTTREPVLTQKIGILSTITEPLPPAGHHGLRGR KRGYFIAVEGTDPKNLDEVGRFLEKVLVASGDVALKVWENDESVDSEGAELRATTRRQ GIEAKEAVASVRDDLLSRYFDTILSWRQKSKQIAYHVTGGRSMGDAPNGGEFEGSRVV QALSTEACTPPHDDRSPEAGPKTPVALVKGGHSLTVADSYASAMPISDKYTPEDHWQW LVSLWRGTPHADLVVQVEDCQEKTGSVATVDVSRSIGLVLVRIPKEKGLDEATERRLA FEVMEWMRDGPFREDFASKF UV8b_08154 MPDFKPGQTVQLNDGRRGTVRFAGQASFQVGEWIGVELEEKTGK NDGSVQGVRYFDCPMGYGMFVKPMMATIIAQAPSTKPARKPARPNSFAPAAVKSAPSG DVALAKRRSLNAPSPSPVPKVRRTSLVRSPVKSPTKQLSATSSASASRTGTPSNARVP SASATAGASRARTSTITHRTSMGPPAVVASRTARVSSISSVASRTGGPHARSASGVRP LGPLRSLSRPESGRRPSADSQTGRDSGSADDAGPGSPAKSEAEILSPQPTSPVAARAH ILERIVSQRPPPGTSSTPPQARRPSAPSAPRTTGSATAANREIEDLKTKLKVLERKRM EDRDKLKQLEKVQGERDKFEAIIQKLQQKYQPQQQELAELRKQIKEAEAKFESIEAMQ AEHDTALELATLDREMAEETAEVLKMEVDALKQKSEELELEVEVLREENSEYSKGMTP EERASTGWLQMERTNERLREALLRLRDLTQDQAEELRDQIAGLEEDVKDHGRLREEQA ATKEKLAQSEAAVDDLRQQLDNALGAEDMIEDLTERNMSMSEQVEELKAVIEDLESLK EVNDELEANHVQNEKELQEELDFKDSVIAEQARRAAQQEEAFEDMEYTLSRFRELVTS LQSDLEDMRASQAVTEGESDKLNDRSRAMMDLNMKLQLSAAKAQVKTIDLELRRLDAQ EAEQHLEIVKLFLPDTYQEDQDSVLALLRFRRLAFKANLVGGFIKERINGQPHPGHED DVLAGCDAVDKLVWVSAMCDRFVNDMSHCTVDKFSKYQNSLLELEPVERALNGLVDGL RRDDLKEKKCADDLQRTIALMSHLAEVHVSDDLASLADDMHMSSVIVQSHLDTAALCL GIVRGMVQRALPSEGEPEDEAAQQVLAKKLEAAMTQTRSTKVVSAKTVRALQDLKARS LCLPPDTKEALDKCATATGELASMARTVGDGVHALLTRDEGRAEPFTLAEVQGAIHQA VLDATCTSEPDSLSAYLGKLRTVTSQINELAALAADLGRTQEFDVHAAPWKLRCQELQ ALKTVPVDAEEELRRLKEEHNEARRTIAQRDEHLSTATLKIETLESRMRDARANVERI GGLQSRLQASAEQVASLKEDIEKQDRELKTLEGERDKWKKVASESRACADGAGGAAGA KAGQERAVATAREMDALRRDVESLQSAVRFLREDNRRARLAEQHRDHDWLAEPLKKPA GVAEQRRALVMAEGKDALGELVKLATSATVFRFSDLPKDKLKWRPARSTPQYHSARQQ EDLAAWKSWQGSVLKKSSLVVGHAGTRVGGGPAAQLHIRFPDRGGKMPTGSGAGRGVH VVDCREWEALQGNRIAAM UV8b_08155 MQTRCQDQVRRRFRHRFRHRFRRNFRRAPYSTSHAVGFQPPSAI RHPHRPKPRPPPSLHPTSSHLIPPHPTSSHPSLPAPQLSITMAHPRVEEVSDSDPDVP DIASLQDDTDFADSDIMRRVSAAQPPQQQLQPPRLPRPSPPPPPPPPPQHPQQPQQLP PHDADQYRSAQCLYPVYFDATRSRAQGRRVAAALAVANPLAHDIASACARLRLPTFFE PQKTHPKDWANPGRVRVALKPDAAVSKHRLYALVAKQLQKSPTTESSPGLRVRMKGLA EPPEGAAYPRPAVPRGWKMGEWLPYLSPALTGGGVSETLLRDMMKEMQMGGDPMAALM AGGGAASAEDGGGAATRRKKDKKGKGRA UV8b_08156 MAVASNLGDFVQRFRDIQQQRDASDPAGSGRPDVLRQARVGPFR HQSQKLADELQDCKRENRNLQTRLSDSEARADRYARQNEELRGRNGYVLVAIDGNGLL FRDLWIKQGVEGGKKADAIAAHCGARADDVEIVAKVVANFGGLAKSLGRDLADVRDFA LGFTQAEAAFDFVDVGYGKDCVSSKVKDTVKWHMSNHSCRHVLMGIAPDAAYASFLDD LAEQDGRRRRLSILHGSPAAADLAASSSSSSASAIATTDLGRDVFRSDRLADRAAAAW PTGAWAAGPRTASPASSPGPRGLDEPITVSVAALDSVKKRREADRLCNNHFLRGPCAK GDSCFFVHDYRPSGEELKAIAVLARQNPCASGQECDSDECIYGHHCPSIRDGLCIHPL CKFPEDAHPPGTRFKNPNIKAN UV8b_08157 MGTKSNRASQHGRECPSAGSTREAARRKTRAERSCSLAQASPPT PPPGYSQSVWCFFLEEPRGRSTRSCGAQARAKAPRHPRAWCRVGRNGD UV8b_08158 MASHPTLKATYSSRAQSATHPLNAYLFKLMDLKASNLCLSADVA TARELLYFADKLGPSIVVLKTHYDMVSGWDFHPQTGTGAKLASLARRHGFLIFEDRKF GDIGNTVELQYTGGSARIIEWAHIVNVNMVPGKASVSSLANAASRWFERYPYEVKTSV SVGTPVADDSEQDDSGSSNEDDESVDRRPPQAPQDGRKGSIVSVTTVTQQYEPADSPR LSKTTSGPDEVLFPGIEEAPLSRGLLILAQMSSAGNFMNKEYTDACVDAAREHKDFVM GFVSQETLNRHPDDQFIHMTPGCQLPPEDQDQDQDAKVPGDGKGQQYNTPQKIIGSAG ADIVIVGRGILKAGDPEGEAERYRSAAWKAYTGRVC UV8b_08159 MCSAGAPWRAETRRDETSVYVFRVAAAQHWGSRIRAEAAGGTTV DRLWTGREQAEQLKWTVGLEQWGCPTYSAPLLSSSSSSSPSSSSPSPSPQAHAPVM UV8b_08160 MSTRQTRRKSAKLREAAAASDDDQHVLAVNGNGSARHNNPPAAD AHSEKRENIFLFWPNIIGYVRIVLAIASLYYMPVHPRTCSVLYSISCLLDAVDGYAAR VFEQSTRFGAVLDMVTDRCTTSCLLVFLSSAFPRWAILFQGLIALDFSSHYMHMYATL VVGGADSSHKNIDKSQNWLLNLYYTNKNVLFTLCALNELFFIGLYLLAFSSPWLSPHL LRSVEETSGGHINPAAPVNTSVLRQMFPDPFSAAALEIARTNKMDSLGPWVIAGVSFP FMVVKQAINGVQLYNASNWLADVDVKMRKEKGLPRRTKSKRA UV8b_08161 MAGLAHLIAVLAALACLAAAATVNYDFNVTWVRANPDNAFERPV IGINGKWPIPTIQCSVGDRLVIKLNNQLGNQSTSLHFHGLFQNGTNQMDGPSGVTQCS VPPGLSITYNFTVSQPGTYWYHSHNDGQYPDGLRGPVIVHDPEFPYRKEVDEEFVLTL SDWYHDQIQHLIPRFMSKTNPTGAEPVPNAALMNDTQNVTVPVQPGKTYHFRVINMGA FAGQYLWFEGHKMRIVEVDGVYTKAAEADMVYISAAQRVGFLLTTKNDTNANFPFVAS MDTTLFDKLPADLNYNSTGWLSYDKSKENPAPAPVYDLTPFDDVTLEAYDGMELLPEP DHNVQLDVIMNNLGNGANYAFFNNITYTFPKVPTLYSVLSSGNMATDPAVYGEYTHPF VLKKNEIVQIVVNNLDTGRHPFHLHGHNFQAIYRSNESAGTWEDGGGASGKTFPKVPM RRDTMVLYPTGNMVLRFKADNPGVWLFHCHIEWHVISGLMATFVEAPLELQKTLSIPP DHLAACKAQSVATQGNAAGNTENLADLSGQNTPPDDLPEGFTKRGIIAFVFSCITGIL GIIVIAWYGMSQPVEAAPRSVAALVQEYAD UV8b_08162 MAKDVFSVPVFLVVFRETLETVIIVSVLLAFLKQTLDGPDKDVK TYNALRKQIWLGVAAGFLVCMVAASALIGVFYTVGSNGWDANENYYEGAFCLFAAVII TVMGAALLRIGKMQAKWRLKLAKAVESPVKAGSRGCFAHFLEKYAMFFLPFVTVLREG IEAVVFVAGVTFSAPAYAVPLPVVVGLLVGGLVGWILYKGGSSAKLQIFLVASTCLLY LVAAGLFSRGVWHLEAQKWNEAIGGDAAETGSGPGSYDIDQSVWHVNCCSPTAANNGG WGVFNAILGWQNSATYGSVISYNLYWIFVMLCFAAMRFKETKGHWPLVKARRASRPDL SQADSTSQEGGVVEKTPGVKETGTASA UV8b_08163 MGDEAPEPSADGDASSSNTARSDPATGDQVVNVAEQGDIILDVT FELSERTLQRPGTLAAAAAAAAAARTLSNGQQPRPILTPRLQMAYRVSFDVLKRHSAY FANLLTNPAFRESVLISAARENLLLRGIEPADADPSDLPRVLITDDDEATQSAGRELA FEDMLRIVHQRPVRNARPLMLYVTTLAVTADRFDCTPAVARALAEIKFKWPLTSTKPY LDEAGRPTDVEGVLRQKVLTAWLLDDKGLSAAWWNLPQGIEEELRHRRECILNAVSSI QTHFLSLYSSRDRQCKLGYDSSPACDSFQFGQMLKFLLSRRLLFLVDFSTASAERLPD TSLVDVEELLATLKQCPNYQLDKHHMNCGLRIRIDPILDYVRAMLSAQVISIPLADWK RTRADVSWVSGKAADNGQEGEARAFAFTRALANDQRLRYEGAMHADKMARSLFTADTW DWTPEL UV8b_08164 MAFLGRKARCSAPRAAAAAAYLVAEHAAGRSAPRTTPPVDDASR SPWLGRPPLPQSASGAWRPSPSRRPRPLPTACRSSSPTPRHPGPQTRRRFHSYFVTHL PSSSLHPDPRRGIGPGHKLPRDASTPHTPSPGSSPAVSIPNMSSRDLTVVRIPLRRAK HHFGSATARGSRPYNEDADQAGTVDIPAFARRAPMNLRQKPGEATPADSVLGDPQIFY FGVFDGHGGTQCSHFLRDELHGYVEEAAREFGLQSSLRSKNPGRGQQQQQQQQQKPAA DAGSSSPGAPAPSTKRQAPDSVETKPAKEARGSIKVPGPDGGGGFADRPDHGDPLPSA ASAPAGSRDAEKAVQLERDLVKAYRGAIGGYFRRFNPEFFDLSHEASREASVTVESSL AYAFLRADLDFVSAQARKADPDDSDMPLNDDEILGMPHATPSGHGIGGPTRFKGGSTA SVALISTPTTAPFWHPAAHATLVAAHVGDSRILLCETATGLPHPLTSDHHPGTPTESR RLRRYAPAGSMVSGDSFGEERIAGLANSRAFGDIQSKRIGVSAEPEITRVELGPAQYS FLVLMSDGVSGTLGDQEIVDVVKEAKTPEEGARAVVEYATEVSRDGDNATCQVVRLGG WERRSEGGLGGMGTKEIRDVRRAEALDPRRGKR UV8b_08165 MPGPLSSRGTGSSSTFRSFSATHWFLVLCVCLALLPGKAAAFGA GNIPSIAQVEGHNWRHGDIEDMLASIAFLQGKKWSSMLIKRTYFGNWLRDYSQAVDVG SLKGVNAATIRILVWVLSFMAFGYATEEFEVTEERLGCYRPEEHIDNPLGYADGVDAR KFDPRLRGPVEPVETEIDMRTGMKNYIANETGRWATSAGYVRFSFARSIHFGRLYTCG ERGKGKDENLCEALRCLGQALHCLEDFGAHSNYCELALRELGYRNVFPHCGTQTEISI HGKRIYPIVTGTFGAVDFLHSVIGEANDHFTQSEVDEVDVALKNAEGARGGPVAAAGD RGLFDGSASTDFISLLSKVPDLGMGFADEARELKAASDAQERENEQRPRADNVNVVPG MSPDFDPVKTARRIYPILVFRDKIVKAISRGIAKVPGLEKLLEHISETLTAFVLGLLA PFIRPIIKSVTKVLKDGSSGVITASSKSQLEPWENSRCSDPTHSMLSKDHFTNVLNSC AGRVAATILQYAVPRVLFAWENPNVPVDEVVNDCLRAFHHPALRNENVEIQRDMFQTV RLWADQHPKRHELDRILSSESVRQGKNHTLNQKGSKSGGGHSHGAFESLSQLGHGKVA GSLWSQIKTRDLDSMSGNDGKESANYVPNSPAPQNSKMPTPPSYSSAPATGEAASYMS ESSAFHAGPTGGYSAPPPPGQYYQAYNQAAPQPGGPQYGGVPPQGQWAQSPQGYGGPG YGPHAGQYPPYQGQQSPPGWNQYPGQGRY UV8b_08166 MTIRFYRVQSDESFTHWDSDGFTAMGRYWMDYCHWINRGKIECH LNWKDRSIEPTPFISIFDNLRDAEQRAELMRAGNNGVFIAEVVLPDPQQSTLEIDFSD RRVDLPALLHVDDKGTTTFLSTTDIIMWVHDSFTATYALPFRRRTFMGAVRLSFKATI PDTLKKMSRDLDVDVDLVLRLSFLHAVFDMTENCP UV8b_08167 MPARTLPTFTRAEVETHNSTSSCYVTIGSKVYDVTDFIDDHPGG GSLIVDFAGKNVEEILKDESSHRHSEAAYEVLDDSLVGFVVSDKLSTASNATKPTDGT ATLSSEDRPYVHPRTGMSCEEDLSKDTDVHQDFKKHKFLDLSRPLFLQVWNGGFSKAF YLDQVHRPRHYKGGASAPLFGNFLEPLSKTPWWMIPTLWLPCVIYGIYTASQGLQHSS EAAAYFVFGVFFWTFIEYCLHRFLFHLDDYLPDNRVFITMHFLFHGIHHYLPMDKYRL VMPPALFVVLASPFWKLSHAMFYYSWYAATIVFCGGMFGYICYDLTHYFLHHQNLPLW YKQLKKYHLAHHFLDYELGFGVTSRFWDRVFGTELQTSIKTK UV8b_08168 MSGLDVEALLEATAQSSAQKKDPNARDEDSSRNDRNGRHHNVAE RDGSRNRDDSRDRRRDADNGRRRRDRRDLTPPRHRKGTPDEATPRSDTGSHRSRRRSE SRDRDRYNSRRHRDGDYYRGRRGGGRSRSRSRSPRRRYRPRDDRDRKDRRERSNRGDH GRGKDRSPGRRSRSPQPNEDERDSRTVFVQQLAARLRSDKLKKFFEENAGPVNEAQIV KDRISGRSKGVGYVEFKSEETVQKALQLTGKPLAGIPIIVKLTEAEKNRQARNTESTS GQPNSVPFHRLYVGNIHFNVTEQDLQAVFEPFGELEYVQLQKDDTGRSRGYGFVQFRD AGQAREALEKMNGFDLAGRPIRVGLGNDKFTPESTANLMHRFPGQNNFQGSSFSGAGG RGSQASAFDRAGGRDSDKAGGASALDDTDVAGVNFNNYSRDALMRKLARTDELPNGRE DQAVLKPKTEAKPLPVNVNMASRCVVLHNMFDPEEEEGDDWVKELEEDIRAEAERQYG HVVHISVDPNSKGDVYLKFDKVQGGENAIKGLNGRYFGGRMINASPVVDAVYSSLFSR TRAI UV8b_08169 MSSCPDNGQVPRFPGTSLPSTPLDLGVSSLFRLSSALALLALDA VSRWFVVLGQVSRRTTNEVKHGQYQTR UV8b_08170 MTDSDQTSSEGITGAGPLDCGALGTPSREPCLFQGDSMLSVDFS NPLSGAARSERIRQLSHNHPAFEKSRVTQEILVKIQQSLRRMPGVSSAESSEAIAQLQ EVGQIVNQETCQLVDALTNITLDQEDTDKAIARMGLEASLAKATIEDQAQTIRDLRGE LSAEAKKRQEAESHVEKAVNELHELTGSFKDLKDKADRSEGSEAGRGPDPRDAIIKGL EDGIRHLEDQVNNRRSLWVMKHSDPQSVARAIETLADSIQNNETAHQTIMSMKPAFMR GGSGSGSRLQVDDDTAARAGYADPAPPARPPSVFQPFHRPTTAAPPKFGPLQAGPPRA PSAFSQERRYPTSIWNPAQNPFHTPGAPPPSSFGRPPAARKTHRYRASNAAAAAAAEA HGGIPESTPNRPGTALGHRHYYPECVPQTPTSGRGRCGRFPGDGGGSGSNPSSGTSTT LVGRSALLPGPLIHMTERSVAAWNESIMEFYALIRAFVERHASVPDPAMALRISTTHL WPVLLATYHPLSAREATSYLDLHLRDDNPKCCLVTRVIIDYIVNRVWVPHAWTGSSDA QATYALMDLEKELEKTQGQPSAQRQPLLDKQALYIDAILKTEPPAFHASRVQDMTAAM LATIQPLLNRLHNPADAHRDMELVADHAWELSSRILTSRLTFDFRFPEVGSRFSSQSM LPIWPALDPTELQAKHWRVALVTTPVITCRNDTGSNISAHSVALADVYCMQ UV8b_08171 MFGGRKFSFNRHTGAPKPRIRRFSNAEPTAQETQSEIHRDFRQA HEGHRPHAGLDASRASTGVIWCTERAAELGYFDEPDSWANLGQGAPEVEDDIAGCFKR PETIGISVAAREYGPTAGIRPLREAVAKLYNEMHRKGKESQYTWENVAIVPGGRAGLI RIAAVLGNSYLSFFLPDYTAYNEMLSLFKNFAAIPVPLSEEDGYHIHPDKIAEEIARG TSVILTSNPRNPTGRVVANPELAEIQDICRGRATLISDEFYSGYNYTSNCDGTTISAA ENVDNVDEDDVLIIDGLTKRFRLPGWRIAWILGPKEYISAIGSCGSYLDGGASHAFQD AAIPMLDPKLVHTEMVHLQLHFRQKRDYVVKRLREMGFVIKYVPDSTFYLWLNLEGLP ASISDGLNFFQACLEEKVIVVPGIFFDLNPSRRRDLFDSPCHHFVRFSYGPRMDVLRM GLDGIERVVSKHKKAAE UV8b_08172 MAGFQPAVTSGWSTHLSRTFHPRSHGVSKTTTVQARAAAAPARR AMSLHTSPTFFASSEDAHAEADADAAPAQRALPAPGHHGAAATTLDVSGGGATVKLDH LGPLVVNVDGTMSRIGNWADMADVEKQNTLRILGKRNKARLEALRKAKVEGGHREAAR IEADVISYVMLMSFERPG UV8b_08173 MSTYNGRHGPNVSQYLRDLNTISPQDTTGAAAAEDGFNMEDDLA LFTNTQFFDFDSGQNTDFQAQPGKVEVEHAAHHNASPSDDLGATQSNVGDMPSLDFMS GDFSFADFSNAYAAPPMNGFPDVAQGFQPLQPSHAAVPMPHQQPQFRQNTARAGDERK PEAMSSAPAPPPTPIGQPGNFEEASRVAAEEDKRRRNTAASARFRIKKKQREQALEKS AKEMTEKVTSLENRVAQLETENKWLKNLLVEKNEGSDDITALWKEFTRHAGERTKTAA ESCARDGPVKEER UV8b_08174 MHLLPSAALAVLLAAASPAAAQKYAPGKRNICVKENQKIGTPEI ILWQGTDLCGGGVAKWPNSLPVGDKKVDPTVCCLDVHGYGNFYGVIQGESANCRYHSP GGQRVSLIIRKVNDSPNLYDVSVRESTPWVDVSRTPGRYICKAV UV8b_08175 MSRLSVATRSRLPSSLRVESSNSAVVKTISRLSREGLILLALDW IDEQSLAYTAPYLAAPRAADDDDGDGDGDGDGNGNGNLPDGSAADLYPPCRSLDELRQ LYADLREQRGSRRDVVSRILEGDWRHGLTLYQLAMIDFAHIDEHPTSQKWTAYRIQPL NLADQRSGDQAPRPDERSLTLPRFHPSTFLQSLQEQVLPDIKAHYHFSRPKRFPLLML RIFAIESPYNTELALSGLGAGATATNFTSSRTIYLAFPDGSPALYITRAQATGPISPG ESKSLHSLIATGVPQALSRPRERYTLKPTSLVSRNLEALLEKRGPGRTNAAGGGWSIY ANDKDRKSPLDSVLPTPPLSRESSHADAAQKQKQKQKHGHRQKRQRPLSQHQRAAKRA KTVAKARFGESGIVTDGKGIEKFEVVLQDPYPPVNGGFVQDSEDEDDRQTRQRDRRRS KIDAALRQALGDNPDELDDDDDVDPSRWAPLVRINLQGSHVFAGIRQLVEAGIVDGER MPGWMTGEDGVTTGVVRHGLIRGHKGAGL UV8b_08176 MPLARLHARVLLSTNSPSIRSLHSPAAVMSSAVQAASTPSYTPR YIDIGINLSDPIFRGRYHGIQKHPDDLAGVLVRARQVGCAKLIVTGSDLQSSRDALKL SAEYPRTCYATVGIHPCSSAVFGREDDVAKQREHSTPCEADGHAPMPEDILPDPARSA RAVSELGSIVAQARSADRPGVVAMGEFGLDYDRLNYCNRVIQKHAFAAQLELAAGLEP QLPLFLHSRAAHADFVAALKNAFGARLERLRRGGVVHSFTGTLAEVDELMDLGLYIGI NGCSFKTADNCQVVRAVRLDRIMLETDGPWCEVRPSHEGYKYLVADKEREDKAVPNGA AEAPGTATPEAKQPKKQKNQKREALAPDRFKVVKKEKWEQGAMVKGRNEPCTIERVAK IVAAIKGVPVEEVCEAAWRNTVQVFGLEESA UV8b_08177 MFVRKRDGRQERVQFDKITARVSRLCYGLDTEHVDPVAITQKVI SGVYGGVTTVQLDDLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQWSSVVSDLY HYINPKNSCASPMISKATYECVMKHKEELDSAIVYERDFNYQYFGFKTLERSYLLKLD GKIVERPQHMIMRVAVGIWGDNIERVLETYNLMSSKFFTHASPTLFNAGTPQCQLSSC FLVDMKEDSIEGIYDTLKTCAMISKMAGGIGLNVHRIRATGSYIAGTNGTSNGVVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEVRARDLFLALWI PDLFMKRVEKNGDWTLMCPNECPGLADCYGDEFEALYEKYEREGRGRKTIRAQKLWYS ILEAQTETGNPFMLYKDHCNRKSNQKNLGTIRSSNLCTEIIEYSAPDEVAVCNLASLA LPAFVDYDQGKYDFQKLHDVTRVVVRNLNKIIDVNHYPVQEARNSNMRHRPIGVGVQG LADAFLALRMPFESPEARELNKQIFETIYHAALTASVELAKEEGPYSTFKGSPASEGI LQFDMWNVTPSDLWEWDSLKEQIKEHGIRNSLLLAPMPTASTSQILGNNECFEPYTSN IYQRRVLAGEFQVVNPWLLKDLVDMGLWSDAMKNRIIAENGSIQNIPNIPGEVKALYK TVWEISQRHVVQMAADRGAFIDQSQSLNIHMKDPTMGKITSMHFAGWKLGLKTGMYYL RTQAAAAPIQFTVDQQALKVADTNMGKERVLKKRTAPAGTSYYMSSVSTMPRGTQEKK DEGNGSLNANGNATVSATALPSQAAVIKADVDDGASPKVLPTEPSAAVKDEEPAESGA KPAGQSEDGEETSEGRERDIYSEAVVACSIENPESCVMCSG UV8b_08178 MRSGLLDDVDALHPPSLRGVVCGQASSKPKVCNLLSRCSISCPH PTLSEDCSQPSHEMGPVARSTLDPGGSSGKDPRAPERRAREPQRPPSS UV8b_08179 MKSAVLALSLVAAAAAQDIASLAPCGQTCANNMMAASKAQELGC NQGDLKCLCSNANFFYGLRDCSGAICSPQDAAKVVDYGVKACQGAGVAISGGHGGSDG ASQTSGASQTTGGSQSGDGSGSGPHLTTIYSTYTTDGKAIVTPVTTSTVQGGSAHSSG PAQATTLYTTYTTDGTVVTSAIATSTVEGGAGGAVLSTYTTNGSQVVVTISTQMSQPS SAQGTESSGASQTGSESASASSGSSASPTSEGAGSQTTSAGAAATSTSKGFAVTAAPG LLAAAGLAVLLI UV8b_08180 MRARKPLLSTEPIKLDCSPQPSHMAPVHLGDTLGPGLLDLFSLV RKHRTSDFTSRRLHLLDIINRADYPDDSIILSVTLCLVSSVPSIDGYYV UV8b_08181 MAVPQVNGDVPVRPNSAFLQHLLNYPLINDSIHTVKSNEYAQRS LKLGDSAYQTFAAPVLTWFAKPYELVSPYVARADSLGDKTLDRIDERFPIVKKPTSDL YNDTRSLILLPYNKGIEGRNHVYEIYASEVKKNEQKGLVGQGKAAVSTAFVVSNETLG WLSSFLAAKKAEASGAAKEKVNQ UV8b_08182 MDKCRAQSARSPLGARLSYARGGTAAAAGGVESEENGTRAAVAR ESSLARLAARDIMYEPSRTPLAVGSATTLRRPLCREVVCPILDYVLDGPPSFADEHEH GSFAARSRRVCRSKPPTISNSRGDGWG UV8b_08183 MHVDAICMTQRKGPGQDGLVLLADIASLVARITNHLAVAVAEDQ NIKGSRFPGSRPIDTMHRPASTSHPHMAGSGAFIPRSNRTLNIRESCGGGCSFISQVK RGRYGRAMLESRDTAKGQAPVVVPNCWVKPGDVLPPEHREETSPPGDGGKAFPDDTRP LRFAGPRPWCVRQTMYVCMMAACAKQSACVANKETRKDQAGASEACRPENRVDPRSVE NQAHGRRGRLIRFVAPGGKARKIALCHLRLVRCLGARNPHADCRMLSVPPAESSLPAA RPCGFVRRRQNLFSRGL UV8b_08184 MAPSLNTRSSFTRPRTGDRDGRPSTLEQPAGADMLIPSRTSSLH SRITQPISSTLNFKPHLRTPKTLTHAYMVCGVGREPSQWVKAPALAQGKVGHMKGAVG QFWLPEILGSSPRLEQDNEIARSLHAAMRACFPHDVEICTGRSQPHCVHHAFVLQQDS SHTLYGICLRVWSRADDKRAETIRDLRKRTEPDFYDNPEETYWIPYCLTFLSRYPLYN LLGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPT GFQNFSMWPLFSCLSIPNIVGVIEAALSPTRRIIFVSHYAAMLTMAAETVRYCVRVYE WSGLYVPVVYARHAQELVQEPGPYILGVTAECRSLFTAPTDALVVDLDRNFVLTSSPP TAFTAAQRNKFVSRLTQALNGDVTPAGVPQHLRSAYGGGKLVPAGQIIVMRGEVESIQ DPEWWNQDAVMAVMDHVCEKTGRNSGIKAVFGGSVKKPLMTKVSMRHLNEIVRERNQY ARDALEAWQDYINLKGRMDMELGKVNKRNNYLVEELESLKQQFLKFQSFAEQLTKETQ ELKVKIETHKRENRRLTGLIDKQKDDNARISVRLHGTEKQRDDALEALVLQQEIAEEL ERERKKNKKEIAQLNHTNTALVRQRDEARRVVMHLQSLIGGQSHHMEHLIQSLTKSDE LVAEIEAVFDSDEQHEIGHENEHEHEHEHDGSDAASADDHSQVRPTSRSSKRFSAASF ADVADRHLKDKTDAITHIVRNIADQCQAAVESLQLAQDSEYRSSRRHSNLSIAVSEEG HDDRSVATSDHVDERRRQPGRTSSIPPTPDLIMNRSSTSLSFASATTTPERSSQQYNL RDEIPTKIVEGDEEEYENHSETGGACNENDVTAKLAHSLLHRPSGARISALGGGR UV8b_08185 MADPLLTSLCSICHVSTPKYKCPRCRIQTCSLPCIKKHKAWSEC SGQRDPTSYVPRSKLRTAAGIDHDYNFLRGIEVSLERSEKLLVQDKGLVQQEELRPLT MQQVRWKTGRDGRKRRVLVTQVLREPRGRAADKGLAQKLRRLNVQVVRAPLGMSRQRE NRTTLNKRTGRVNWAVEWRPALGGREVTRSLSKVMDDVPLCEAYAAAAAAAGDAAGKQ TGQPRRQARSAARAGEAQHPAGSRWHFGVDCLQDPGDGRWAPCAAAASLDEWPAQRER RQRERFRFFLAGAQTRPDGRAQVTALEPDQCLRDVLADTRVLEFPTIYVLGAGDVLPA GVVLGPKDAAASARGTKRPPHHADAQGIRSKRRRQHGGGHDSGHEDGADEEGGLEAGE ILAEQSLGEDDDEGDEDDGDDDDDDDDDDDDGEEDDGTSSSGSDSE UV8b_08186 MDDGPVPKLSDLLRHPDDLDRIPALKLEFSRKKGAVDGQLRSGL REQLETTQSGMTGLGDGQKSVQLIKEEMVKIDRLCSESQTMIADFASINLVSQAHRNF AAVETMRRDLETFNDRLTVVERMLRDDDEDRENMPNLLPCHHELTQLRNIRDDAMEQI RRADDAGLESTLADYFARLDDTIDWFDEHVGLLAMNLVGLVVNDNNGLVVRFALVMEA EERSDRRVAALHEALKDHEEMATRFQNMTHGGAKKVRGYKQKFLQAIRLAAEQQFEQA RDEFLDDAAQLDATMRWYFNDLNAVRVGMAPLTPRRWRIGKTYADIYHQLMHDFLVGL VDDPRASSAHTLEIIGFPEKYYRKMAKMGYRPDDLAPHVLDNREAELVRDFRQLIIRF LDEWIDRIFAQDRRDFAERGGVEGSNLDQDEYGYLRTRNLVATWRMLREQLDAAANSR RADVVEGVVDAMFLRLRARQQAWQRMLDDEAARYVAARYEAGRASSSSSSAAAAASAS ASAPASSSLPADLEGGFQALQDWLVATANDQIACIDDRDDEAAGAGDDAVSNSNSNSN NNNNNNNNGGDGAAPARLGYLSSFRQKFEPHVTANYLERGEHEVAALRDGYVDFSTWC ITKFAHLIFAVDFKAVMPDFFTPRWYAATAMKQMVVTFDEYLGDYRHLLHHSLVDIFT EIFADELLIRYLSAVRNKGARFRRQDPFRDKLFNDIATAFDYFGALPNPHVGRSIKDT WRVTEPFLALLSCDRDAVADEYAAFKTAYWDLHLSWVEAVLRARDDFERGMLNAVKAR AAQLQVARGPDTVMGKVK UV8b_08187 MNCHPDGGCPSMLSPCFKGRCEEGPASKNAEAVEANRPPEGNLL KVNDTAASSDLGLEAHEKLPKDTPERIGDITEIVDLRKHTEEWVDDARAKKGKHRDET SKVEACGRTIIWRDCAENVLSHASVPQCEELVAIFECVDQVSASIKDEEKVQKTHSLD EYKEALLEMINSYPRTTLVLDALDECHLETKSERAGFLEDLVEKSTRLLKVLVASRKE SDIESSLKSSEDRNMLVEISPEDIKTDIGKFANEKLIKVKQHWAFNDKDGLEPPVKGT LMAKSDAM UV8b_08188 MSQASRLLLVDLISEKSAFAIIPLPWPLAFLGGGGVTVNYMVFV VPSRSDFDDKWPKGCKWNDVAPAASRLARSQARHSRPLLGWRSVDMIKQPDEKHQIYS SLSWNMVQGHPSYQIRLTSCWRQVETYGKEKIIKLRTAAFFSARAHISCLLFNSDAVP NKQILTAKKTGVTLRETRSTCLVLNGTDAKNVNLYEKKSYKIVIFVYVTHGLLSPGVL GLAEGKTVIDKSPYLQTDGDVGAAKAFVQDMVEKITGPSAGSALRKDTNTAAIIKAVT SGNHYAGIAKTGAIVDTNANVYGTDNLESVFTASLHKCRPFIY UV8b_08189 MSVDISAESSAPPNLNLSPEEKRVYGQLFRQADTEGVGVVTGDV AVKFFEKTRLDSRVLGEIWQIADNENRGFLTPAGFGIVLRLIGHAQAGREPTAEIALQ QGPIPRFEGLWPPPPSLASPSSSNTASLQAQATGGAVRIPPLTPDKVAQYTGLFERQP LQANKLPGEQARNIFDKSGLPNETLGRIWALADTEQRGSLVLAEFVIAMHLLTSVKTG ALRTLPTVLPAGLYEAATGRVAAPRQSPSDTGMSPIPRPLSGQTQMRTGSPLGRPPPG PQAGSDWAVTPADKAKFDHIYATIDKTNRGFITGEEAVPFFSQSNLSEDALAQIWDLA DFNSQGQLNREGFAVAMYLIRQQRSGSGGQLPTSLPANLVPPGVRSQRQAHHQPPFPP PPAPRPAAAAAAPQPKSALDDLFGLESTLHSSSPAPAQAAMTTGGSNANAPFAGASAV LPPSSPLQATATGTAFKPFVPSSSFGRGLTGPSQGDAPKQSQDLLEDNDPEASKKISE ETTELANLSNQISTLSRQTQDVQSKRSTTQNELNQTNLQKQNFEQRLAQLRALYEKEA EDTQSLEEQLRKSRAETQKLQAECMTLEGTYRDVQGQHQQVLAALQADQRENKNMRER IRVVNGEIAQLKPQVEKLKSEARQQKGLVAINKKQLATTEGERDKLKTEAEELAKSGD GVSRHVDGSSPASGFAQLASPALSTSSANNPFFKRSASTDIMGAFATPPPRSFTDKSF DDVFGPSLAGRSSNASTPAPAPPAFQQQQHTGTSVASAGSFPNNTGRSSPNISRQGAI GLEPPAPPVSRQISSSFLPFPDKAESLSSSRQVSPPASRADGPSAATAGTRGLDGEDD DDRSETPGATPISTGGAPAAAPVTEGASKVEEEKQEAAAPKADPFGGTDQAKAKADFD NAFAAFAASSKKSEKKSPSLAHGKADDAFNTEFPPISELERDDDSDSDSEHGGFDDDF APASPPTKEAKFKSPEAVFANAAPAPAPAPAPAVPAKEPVEVPKKSPEQPSSPATATD NSQPALTADDIFGAAAPAPAAAAAAAAPRAAASTSAQAPSQTNTAAAAAAAAAAAAAK GVFDDLDDDFEGLEEAKEGSADDDFANISRDDFNPVFDSSPPASQAKSESTAFGNEST FDFVSHSSAAGSTAATQQKTADSHDWDAIFAGLDEPTNVTPPGIAEGSSADKKGERPP PPGRALTEQGEHDDPILKSLTSMGYPRPDAVSALEKYDYNLEKAANYLASKS UV8b_08190 MQPLILLSRTSRAAPHRLASLSRQLSTTVAAMAPIVRETDYLVL GGGSGGLGSARMASSKFGAKTLVVEASRLGGTCVNVGCVPKKVTFNAAAIAETLHDAK SYGFSVQQTAPFDWTTFKNKRDAYVRRLNGIYERNLGNDKVEYLHGRGRLVSSNQVEV TQDDGSKVLVNAKKILIAVGGRPSSPPQIPGAQLGINSDGFFDIDKQPKKVAIVGAGY IAVEFAGMFNSLGTETHLFIRGKTFLRHFDPMIQESVTKEYERLGVKLHKESQATKIA KDADGKLVVTYKDGQGNESSVSDVDHLIWAVGRVPETQHIGLQEAGVKLNDKGYVVVD EYQNSSVDGIYALGDATGQVELTPVAIAAGRKLAQRLFGPAEFSTRKLDYSNIPSVVF AHPEVGSVGLTEPQAVEKYGKDNIKVYKTSFTAMYYAMMDADDKGPTNYKLIVAGPDE KVVGLHIMGLGSGEMLQGFGVAIKMGATKADFDSCVAIHPTSAEELVTLK UV8b_08191 MAFNLWIHQFCLACDKQVQVDGAAYCSESCRLADQEKTSTPSSQ ASSPALTPPGYPWSTLSSSSSSSSSSSCPPSSSSPRSRFYLSPAYDFSNAQPYGTACV RNQPNPPLGSNATGFASAATATSSLTRNLTPSSSHSSLCSMQSTSTTGESSHLSDTAK QELKAYAISFEHVRLQRRRSY UV8b_08192 MGVSKTVIKEGTGETPVKGQTVTIEYTGWLKDESKPNNKGKQFD SSVGRGDFVVKIGVGQVIQGWDDGVTQMRVGEKATLDISADSGYGARGFPNHIPPNSA LIFDVELKKVA UV8b_08193 MQAPVLVMNTNSGERQTGRKAQLSNIAAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EILAQALPQLERNIHPVIIISAFKRALEDAIKIIDEISLPIDIDDDKAMHQLISSSIG TKFVSRWMDQMCDLALKAVRTVTWEAGNGRTEVDIKRYARVEKVPGGEIEDSRVLDGV MLNKDITHPKMRRRIENPRIVLLDCPLEYKKGESQTNIEITKEEDWNRILQIEEEQVK AMCEAIIAVKPDLVITEKGVSDLAQHYFMKADITALRRVRKTDNNRIARATGATIVNR VDDLQDSDVGTQCGLFEIEKIGDEYFTFLTRCKNPKACTILLRGPSKDVLNEVERNLQ DAMGVARNVMFHPRLSPGGGATEMAVSVRLAQLAKSIEGVQQWPYKAVAEALEVIPRT LVQNAGKSPVRVLTDLRAKQAEGKSSWGVNGDSGTIVDMKEYGVWEPEAIKLQSLKTA IEAACLLLRVDDICSAKKAQTGGGAPGVGGGDD UV8b_08194 MSAPGPSSVPTSADPRSRRPTKKRALTPTSAQAASVDALFAKPD QEIRIPCSSSSSSASLRRPRHLPPEIVANVQGSSAGAGSGEFHVYKASRRREYERLRQ MDLDLRREKDQEEFERDKTARLERDAAKTRKNRGRRDRIKARKAKTDQGVAGAGAGAG ADDGRQGHDDAPGASARAGDAGDARDAGPGARAPPAAGGNGVHEASSADDKGRRLPAT PGLVIHDED UV8b_08195 MAKPRLIILIRHAQSEGNKNREIHQTVPDHRVKLTPDGWAQARD AGRRLRALLRPDDSLQIFTSPYRRTRETTEGILATLTADQPQPSPLRRDRVKVYEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRVSGFNESLWRQFG DDDFASVCVLVTHGLMSRVFLMKWYHFTVEYFEDLRNVDHCEFLLMRKQDNGKYLLET KLRTWTELRRERALATKDTATAAAAAAAREPADREAPRLERGRTYVVTRRWGGCPNGC NHSNPYKKRHDLEALRQKDSERRGRHRHKQPVGPSLGGGENDDDGDDDDDNDDDDDND DDDDNDDDDDNDDDDDNDGDNDNDERQPSGRVRHPEPPLPRMRMHMHVGRDFGGSYSG HASSAAGSGSGSDSDSDSDASRDAPSCLGACANRLGDAPPPAARAASPDGTEDEDLDR AEERDRSIRGSVY UV8b_08196 MPQRRSSYPLSSPSESTGRGQQEWHSSKQQQSHPQVPATPSAAA ARLIKNSLSAFDDSTPSPPLLPTSQQQLNEYRARLARDLELRELSAKGVVVAHPQKTR FSPILEESAGAASSPMLTAGLTTSATSATSTESGNTVRGGATPAAATVYTPSYPFPRM AGAAGQYARVIPQLPASPASRQQMPSVFEYRGMPERVPCESPATASSFAFEPLPSRDY PLDYDFPAPNLYDLSLMLSAEPGLDAWWSTVVQIMRDIYKAERVTLAVPADTTDLENV PWGQKATYNAHQEDDFSLGYLAKGSIHHHKSSDCEASEGPASLESAAAGSVNLPRPGL QSRHSFTAFEESRQQSQDRTSVLKRPTPLMRSKTQQHSSHRAAAENAAEPRGDFVHLN KDALSEHDAAREAQHEIPSWEAPYVARYQGQGRVLPVLQALDYEADPLIDHAGIARVL ERGRTVALTRTYPYLPRNEPEPDQGSEQRASSKGGTVDAKKPRRQRTDSVSKLSSLLP GTSRAKGSHASDSGKTSGKTMSSSSHYLDDEDRKPSTPKYEEYEQAPSSPWSQSPAPS PAVRADALENPFFTDAMVDEESFNPGSPPSSYTGMRPPEAIGVDNSWTVLHIPLTHVL LSKPTRTFKLDGAALEIKSQTRSKDECPPDRKQTPDADRVTQEQLKRNRSAPIAILSI LSTVIPYPSALRHSLEHLAPHMATSFSLCRHYSNLETELAGLQRRRPSTTGFGAVAPF ANQGDATAHAVSRTMLPQGSGGGSITSPSDYSAISKSTTASPMATPGWEPGSLSHFMD RRLPLGSPSVTCQGAESYFASKQRAALKHEIDTAPAKERSRAASKEGSPSESRQSFLS SARIGHEVSSPEQAAAKSCPEADEGAAAKFRKDGSSLPGEHEDAVANPAGESTAPRPT TMDRAAGGSSKVERGRHSVLHSYGADFASTFQSLPPSSSVTSKLPPTPATPSRSGSSI PTVGDMPPPSDKLKGLILDSLPAHVFVSLPQTGETVWVNSRFLSYRGQTVADLSADPW GSIHPDDREGYLKAWGHSLRTGEQFSRTVRIKRFDGAYRWFYARAVASKDKRGVIMQF LGSYMDIHDQHIAELKAARQEEIEASEAKHRLLANLIPQIIFTATEDDGITFANDQWL SYTGQSFEDSLGLGFMDFVHPEDLTRCRIPHDRGTTKPSNARSLSDETSSSSSSSNNN NNNPAAGTLSSCKTGTCAPRGEHEAPPHHLGGAGGLSLHHAQQQPSMSRTSSSDSDSV YSLPSAELTELARQGIIKVAMDTSGRLSYTTEVRLRSKTGEYRWHLIRCVEIDTLDFG QGASSYFGSATDINDHKLLEAKLKEAMESKGRFLSNMSHEIRTPLIGISGMVSFLQDT TLNEEQRDYTNTIQTSANSLIMIINDILDLSKVDAGMMKLKYEWFHTRSLIEDVNELV STMAIAKRLELNYLVEEDVPAWVKGDKVRIRQVLLNVIGNAIKFTSEGEVFSRCRVCT DSDASSDPTRHEIVLQFAIIDTGRGFTKEEAELIFKPFSQIDGSSTRQHGGSGLGLVI SRQLVELHGGKMEGSAIPGKGSTFTFTARFTLPSAEDHPNAPVSPESSAPASSRQGSS EDVVRLASKGAHGADNDGSGGGGGGPKEIAGPRPADHHHHPAPPSPMSVLVPGSSDSS ARLGRHLPLSPSTRSVSSGLARFSEAAKASGQDLSQMKLELTAERGSAYRTSMSEVES SRPTSDFRPPAYSILIVCAQHHSREATTKHIEMTLPKEVPHSITAVATIEEAEPFLGG DDDPVMFTHIVLNLAPAEDIMDVMDRITLLRRIEGTMIVILIDSTQRQAVQKLAADTK HERVLTENTVTFIYKPVKPSRFAVIFDPDKVRDFSVDRNRSTAQQMVESQKASYQEIG KRMGNKGYRVLLVEDNPVNQKVLNRYLKKIGVDVEVAVDGAECTEIVLAKPHGYYSLI LCDLHMPRKDGYQACREIRRWENAAGFRKMPIIALSANVMSDVQEKCIAAGFSDYVTK PVDFIDLSRAMAKFF UV8b_08197 MGIEEMKDGQCDRRQPPKGDYGFMAWRKGWGQSEMRWPCWDQIK SGLGLVLVWSWSGGSHEAGAGAGTGTGAGAGAGAGAGHTARANTAARATSTTAPSSST TQQQHHPAAAPSSSSPIQQHHSNHPASPTQHRPPSTAHPAPPPSPPPPPPRHSLPSRL PTLRLQPASASQQQDPAGGDFGCVNCDSKEAARPRCPCCD UV8b_08198 MTSTRRGHQYRPIETWAACCMLHVALLQLPRSLPARFNGRPPLH QLRDHSLAPGAPNQPAPASTSAFHRRQGKGKSAAGRQRVTGDKATGDKDGGQSKVSQS TNSLLGHGKGPRPCLDDDDDDDDDDDDDDDDDDDDDFKTRGWPTRPGRPGVLDGLACL GN UV8b_08199 MASSDDQTEPLLASQPAAARQNSHMEPLLGKPGDAVLPQGASVM RGFFIGTGILAQLGSVLLCINIWAHIFLRPVILFSGHPLAMSIGIFSLVQAILSLQPT VTPAQKCLGQRIHASLNIFALVSFLAGVVFIQVNKSLYNLPHFHSAHSVLGGLTLLVM MAQYIVGFTMLFTPRLYGSVDRAKRLYIYHRYAGYLILVLLLTTVCMAMLTDYNLHVL NINVWGVMLANLVILAGVISRIQKHKMGYGA UV8b_08200 MGPWHLTDNDDPKSPAIPADLPLSLNDRRHAPLEDYVAETEMYD GWQGQSQFLTTPALAKPLGFGNLSLNDDDFGPDAGKGPRDSDARLMEMLQAQAAAHQA GHGLEDEQTIVNDEKLSDSEKKDRLQKALTMAASNGDAPQVRRLLDGIAKAYLDVNAA DEDGTPPLIYASCFGHESVVKALIEAGADVNKQDQSQWSALMWAMTNRHKGIAKLLLD HGASSDQKTSSGRTAFDFVPPDSDMSFYLHDNGYNIGNAGMGDDFYNPGFSQDRFEEE MAENEMRRRLMMDSARDLEVDLGNVGLDDQPEPVDEFEEEQQEFDWSRCLHDQMFVFQ EHELDRILDIIVTKMTPQRSPSQKPVPANMIFLSARYAHYHANPELLEKLLVTAIDLI NDVVERCQWDMTILAFWISNATLLLHHLKKDAGLVEATTEFQAHLAELINEIFILIVR DAERRLDKVLDAAMLDHETIPGFEDITFQNEWKLFKRKATVKEQPLEKRFRPPSPKQR AKPAPRNVTSLLSSTLFVLDLYDIHSVITSQIVSQLLYWIGAELFNRIMSNRKYLART KAMQIRMNVSILEDWARCNNRQAEHYEGGDTRASGETTMDAARRFLAPVIQLLQWLQC FSSLDAGDLEALVSTLQQLKRLTPQQLIHAAAHYRPEVGEKGLPKSATKHLLAMQKEA ALKRDRRRSRAPSPQPKTGQGQGGSGSSPTTPVKGKVNGNGGDNLLDTPGSALSCTDE GPGADGGADGGGGGDNGNGNGNSNDDGNGNDDDDDDDPEHLLMDPALMLPFTLPSVTD MLVSYGAGFGGVNRERERKYIPSVPAEFLEKLEISGVRKEPMFEEKDWENEQV UV8b_08201 MLPSRFPSVRQRNRQSVGGKPSFRDDVSVVGVRYEKTKPAEPPT TLPPTRCSLRLPNNSVTLSRSSTAATATSRSTRPSSVTLTISCHPVVVVPPALALMSR LEPPTEQHPALRSPLPANAQCVQDGKRDSGHAATAASDPVIHEQECEHDLKRLQIRQC HFLEAEPEGCHKLYCVSREIVPAVELVDNRVDADRALAAVTLEQAGSAAGAPPLTPAS TAADSPSTQSNNNNNNTTTTTTTNTHDTSNPLPSLKTPSFSPRRLMRKNRTRSVGLES PDSSDVSSEGASRLAATLMELPSTMRGSLSSPASSMRRQPTHSEDDVSALPSSSGPST SGPADLPAQFAPLAVSIPSDNLLDDAFMSGFSFSKRGSIMFGGKRTMLPALDGATDLT SSSATTAPVDENGDNVETLPSPPLSPTVSKEARAERASSSTAVADAAKRTPPPRHHPS SLNMPVLSPDVEKESQKVRLLYEFGDAMNWEDGARFSFCEHLEPTPEVLAEDSHDPAR VANPGSASAAPRCASSFSHYHADNARSDFQLAGGMEDWEDVDIANVDRYGFIITPRQQ STTRVNTCTELKSAQFSPRRRHVLQKRDPMGFSSGLVPGKAPSRKVSARSLNTVNSER SVASMRSSRSVIRQASNLLPHNRSRRWMDEAGDMLTISPNLQDSIEEAKVEKISEALK RKEWERSEKWHKMARVINHGEPGEGMDFEFDVKNPKLIERTWKGIPDRWRAAAWWSFL ASAARDHEGSPSADKVMARFRELQGQASPDDVQIHLDVPRTISRHIMFRRRHKGGQRL LFRVLHAISIYFPETGYVQGMASLAATLLCYFDEEKAFVMLVRMWQLRGLERLYSPDS FDGLMTALREFDQSWLSKAMSEKLSQLEIDSTAYGTKWYLTLFNLSIPFPAQLRVWDV FLLLGGRDGGPAAQGAAAAAAAARATTETAASTATSNPRTAATDKEQPGEPSGLDVLH AASAALIDALRDVLVDSDFENAMKALTSWIAIKDEDLLMKVTKAEWKAHQGKKKT UV8b_08202 MGGQAGDGTRESRRAGAVPWRPTSAFRSFLANGREELRDVITRC GGDKAMLSLADVDADADVDADADADVDADADADVDADADADADADADADADADADADA DVDADADADADADAVPLCLCIFHTTSTPPSPSPASASPTSPASPDTRHQTPDTRHQTR PDRARPCLPAITPRLKGHVSTPRQQTCKAHVSSPQQHALKLNVSIGVSTARTVRVSYI CMINMQRQTNSKNTHNPTQPASIDSITCYIVPLICQCIDTKCDCAIR UV8b_08203 MSAPHRGLPPPAAMALPPQHAPTSAVPPPPQHGHQPPPPPPPSQ GAAAPHPPPPPPPPPPLSHPLPPSQQGQPCTALPPPPPQQWHGSEEAMRNWLQAKAEE EKTRQEGLRLEQRRVEMDMLRDSLRGGIPPPMIPLVFAGMASGGTLPQAALDWAQHFF PPSQTHHLQLLPAQRQPSPETHQREGLVQGQATGQYPSGASQQIPPPGSGGYGPYPGS PSRPRGQTVSGVVGRAAGDGLVVVGGGGGGGGGGSGGAGGSLPHGGQPAGAPYGPQAH LRTQSAQQETSPGLYFHHWQPPATQLGGGSSSNRPGTPSGESSKKRKALGPPQPGGTP GGQPLRSPPPFIQSTFANPPPGRKGGHKRQRSDVSWYRPQGYHVEESERAPRPVTPIR DVKAEFSRERYVADPSRHSVSTLLSHETETSRSHYPMSHDHDRSLPRRREHEGSHRER MSPARDADQA UV8b_08204 MILSTAGLLGIMGTKRLASEIEAPPSRAASNKIDHCDHCDHCDH CDHCDHLGTSASPGQSPDPLFGPDGAQ UV8b_08205 MTPQAVFPIKEAPLLRTARRALIGLRVGRAAEMGSAKSTTHLHI SCKAHFVQHACLHAMQAPEDGTYCTSPSMARPLGEGERGRARYHSSWALGGQLSFPTR GTTTYYSSEENFGLWVKRLSSTSTSAAVVSCLARAGAPDAVNQGPDALKLKAERATGP LSGGVLPLLGEGESGESGELGELGELGGEATLTRRGVVKDNEGANATSNHRQSSRPPS SVTHHPWAVICRRVRPPPVTRHPSPVTRPSVTSTRPSPLLGV UV8b_08206 MDGTALVPDDVLDSPPPPPPPRLFDRLRQIPGYSWDESRDLIHT SYDFWLVFGTRHVSPAAPSPSAWHDESNPASVAKLHSGRPSPSDPGNQGLPSEAHGAE IPQTPPPPPSPTSSGEPPLATKEAVVARVSFCHVREERAFHIARNVTATADPHGDYMA KPIDLIRLNAQPGERKPMTVSIYQHLGPNYLSQLVDFGPAYYLARRDGDAFVSCRPQP VNPKEAMNLEFFLDFAIGAVRCLEILHHGQGIIHGEIRGDAFHFNARDNKVRIVSFGS GLRTFEHGFTSTGWLTLSKEVGVKNKLLYISPEQTGRMPAEPDTRTDIYSLGILLWTL LTQQPVYTGETPMDIIQGVLGRRVPNVATVRMDVPDVMGRIIQKCTAKNVADRYHSAS GLRHDLVRVQHLLSNGDSSSLRDLEIGAKDVSSFFILPTGMFGRDDERDELIKVIDRV SRSHFLSTSRVTSLFPDGSSLMNERVIDDVSSEGGGSSNDGAYRRSGSFTFSASADAK YPRHNIHTSTLPDAQTLSNETVSSSQSGLNARPPRPWERHHSISIDTSSAADSTIADS GRQGLADSGASSLSRQLGTAKFRRRGSCEIVAIEGAAGLGKSFLVQSVLGEARQRGYC ATAKFDTARRIAFGPLLKLLSSLFKQVWGETNTETPFHQGLKQYIRPMWPTLHRILGL PEFLLGPPDHAGSPTLRLTSSGGVPPPASSRSSARFSTDRRGSSPGSSPGPVNSTSNA VPQTSQEYLCAGTTSKTSRMMNTCLDILRVFTTHKFICFCLDDLHAADDESLELITQI IGAKMKMLIIMTYRPEEFPREKMDRMIYPASKLDETPRSSRPRVTRITLAPLTDADIL EYVSLTLSRPKEEVLSLAMVIRSKTAGNPFYVREMLSACHRKKCIWYEYQDSRWHYGL DRLFAQFQGEKDYDVLDTGFVTRRLAELPSAARAVLAWGALLGSSFSFELVSRLMRGE FDYPEDDVPDGCAELTKRSYSEAEAISGLQAAIQASIIVPSEVDDRFRFAHDRYVNAS AALEECDSPRMHFLISQTLLKHYASEADQRDSTASHMCQAVPIIKKRVRVRREFRKLL TDCAQAATENGARTTAAKCYGAAIELLQSNPWDDEADDVSYDETMQLYLRSAETYLFM GQLSSANDLLSVLFGNAKSAIDKAPAYVLQSRIFAQNGNALAAFISLKECLAALGMTL EDEPTYDKCDKKFHKLVKQIRGSDRQLLLSPKKKTEPPTASLGAVLSETASAAWWSDC LQFYHLTLVTMEMYLTRGSFPQSGIAFLNMGVVALARFGMTEFAVEMGACCRDLLRSA RDAYSMARGQMLQECFIGHVQYSVSLSIPQMEDAVELAAVGGDRLSTILSYGLSAQAK FFASENLSDLECYCQFGCEDIVNWSLDTRGGSLLVATRQICRALQGKSFTHDPVAVMS DEQHDSGAYKSWLTSQTQDSNRSSLLYESFELCALYLYGHYERAVEIGERCIGKLAML WSARHSRLILLFYGLARTGQLLRQMQDPRSQSEDFSTETKEVATRLGGFVRMMEDWST VSDVNYRSWSRLLRAQVAELALDDGRAIQDYEEALDHAAEHNFVFEEALGNYLMAGFF VRHRARRSARAALQDAVGLFRQIAATGVAAAIEEEHSLLLHGPTRNHRTADAGVQTDL GPVVPQPSQERPAADGGEEGDERAQMLPPGGLSELGGERVGAWRGSTRMRTGDEAGIP ALDMIDLHAILVSSQVISSVLQINELLKTMCDVVLQTCGGSATRAAIIVHDKDKDKDK DKDKDMSDDNNNDGESWCVAASGDPERGASAHKPGLPLSGSTLLAENVVLYCTRFREP VFIPDLLADERFGNVGGSWLRRYPGGKAIIAIPILHGAKPLFGVLYLEGEPGSFTDRN VTVLQLLVNQIGISYSNALSMKNVEKISAENRSMVSIQRRALDQAREAETKAKIAEAE AKRNVKLAEEAAKAKAIFLANVSHELRTPLNGVIGNSELLRDSRLNKEQQEMADSIRV SADLLLTVINDILDFSKMEADKMKLYIIAFNPEEMVREVVRAVSYSNREKTSKKNVRI VQDINLPPMLIYGDPIRLHQVLGNLIGNSLKFTEDGSVTIGARLDSQDGDSATLTFWV RDTGIGIPAQQLAKLFQPFSQADASTARKYGGSGLGLSICKSLIEMMMKGTIQLESEE DQGTTAWFTVTFEKAKADVSAGDALGKASPPIDRYSLTTSPLDRCTSSSSSSADKAAL MDLSHMAKEDVRICVAEDNPINQKIAIQYVQRLGYPNVSAYENGLKALEGLRNKAAEG EPYHVVLMDVQMPVLDGYEATKLIRKDADEAVRKVLVIAMTASAIQGDREKCLAAGMN DYLAKPVRSEVLKKKLDAYVNAAAQSTGGG UV8b_08207 MGGADINVDVLVIGMGPTGLGAAKRLNQINGPSWLIVDSSDKAG GLAGTDTTEEGFLYDVGGHVIFSHYQYFDDCLDEALPKQDDWYTHQRISYVRYKGLWV PYPFQNNISILPKEDQIKAIDGLIDAAMECRVANTKPKDFDQWILRILGEGIADMFMR PYNYKVWAVPTTKMQCEWLGERVAAPDIKTVTKNVILNKVAGNWGPNATFRFPARDGT GGIWIAVAETLPKEKKRFGKHGEVTKVDADKKIVTFADGSTVGYGKLINTMAVDDLAE KMGNKELQTLVKGLFYSTTHVIGVGIRGERPEYIGDKCWLYFPEDDCPFYRATIFSNY SPYNQPQADKKLPTLYLADGTKTSSEAKEGPYWSIMLEVSESSMKPVDVKNLLRVSIQ GLVNTEMLKPEDQIVSTYHRAFEHGYPTPSLEREGVLKELLPKLQDMGIYSRGRFGSW RYEVGNQDHSFMLGVEAVDHIVNGAVELTLNYPDFVNNRKNTERRLAHSFDYSASTQI NGTNGTELPCRDGASQSKQKSA UV8b_08208 MADEKSRVSGDVPRPEPVLPTVNPQVDKPQPPKSSVHPALYVFV WIGFSSSVILFNKWVLDTLNFRYPVILTTYHLTFATVVTQLMARFTSLLDGRKSVKMT GRVYLRAVVPIGIFFSLSLICGNLTYLYLSVAFIQMLKATTPVAVLIASWTLGVTQPN LRQFLNVSAIVVGVIIASMGEINFVLVGVLYQIGGVIFEALRLTMVQRLLSSADFKMD PLVSLYYFAPVCAVMNGVVALLWEVPKVSMADVYNVGLFTFFLNGLCAFMLNVSVVFL IGKTSAVVLTLCGVLKDIMLVVASMAIWGTPVTGLQFFGYTIALGGMVYYKLGYEQLK GYMGEANRQWAEFGARKPVLRKLSIIVLSVMVLFTLFTSLAHSGGYDASALTDEVRSR FGKTRS UV8b_08209 MKFLKVGRVAIITRGRYAGKKVVIIQPVDNGNKPHPFGHAVVAG IERYPSKITRRMSKTRQEKRSKIKPFIKVINYNHLMPTRYTLELEGLKGAISGETFKE VSQREDAKKTVKKVLEERYNSGKNRWFFTPLRF UV8b_08210 MAAPTYIISRVGDPIFAVLIGLSAAAMRIGREERAKGYTARQTV ENGLRRIGFSKK UV8b_08211 MSHVDLSTRDANVLEKIKDPEFTPAAAAATDPSLPPDPHVADPA VYAALVARERAIVTRVQAAEAQLAQLAQLAQAADAADHPARQGYARCLADLDALVAER PDYASARNNRAQVLRRLYGDAMLLDETAAAPPPLPLVEHPPAGEKRQAAARALGDLDA AIALLGPHAAAATPVSPQAARTLAMAYTQRAAIYLRTAKLLPHRALDVDGARAEGAWT RVDFEQAASHDLACGGRYGSQVARGLAVSVNPTAKLCGEIVREAMRKEYGPSFDA UV8b_08212 MAPSAVSPPRLPADEEPPAPAGNFTGYDHVTWWVGNAKQAAAYY VGQFGFRPVAYRGLETGSRFFASHVVANRGVRFVFTSPLRSLAHLPADEDISPDDRAL LADMHAHLERHGDAVKDVAFEVDDVPAVYARAVAQGAEAVRAPQRTADPHGSVVSAAI RTYGDTTHTLVSRAGYAGPFLPGFREARSPPPAASAAAAALPPPPDVRLARIDHCVGN QDWHQMLAACAFYERCLSFHRFWSVDDKQICTDYSALSSVVMASPNNLVKMPINEPAP GRKRSQIEEFVLFNAGPGVQHIALLTADIVDAVSALRARGVDFISVPATYYATLRQRL KTDRRGWELKEDLDTLERLNVLIDYDEGGYLLQIFTKPLMDRPTVFIEIIQRNQFEGF GAGNFKSLFEAIEREQAERGNL UV8b_08213 MADSDGEFVANASDDELVDHRVTDDEGGPSRSKASRAKRKKDRY SAQAWEQSRRTWETNLPEEDQDGVLSLTLLEAEKRRRLTRDTTPLRRGIIRHLMLVLD MSFAMADKDLLPTRYRLTVSYAVAFVREFFEQNPISQLGIVGMRDGVAVRISDMGGNP AEQIERLRAVEGQEPQGNPSLQNALEMCRGALFHAPSHGTREVVIIYGALLSSDPGDI HETIASLVADRIRVSVVGLSAQVAVCAELCARTNAGDESQYGVAMDEVHLRDLVLAKT TPPATRAAERSAASLLMMGFPSRTLAPGGAISLCACHSRPIREGYACTRCSARVCRLP AECPACGLTLILSTHLARSYHHLFPLRNWAEVPWQQASRSAACFACLAAFPGPPPKNG AGAGQQDGGKRAKPANNGVSESGRYACDVCGNHFCIDCDVFAHQFVHNCPGCQSSAAD GAMDVDA UV8b_08214 MGSTAFGNFDSFCSSSTLPVCNLFSGPNHDQTGPWGGCQLKGIS LSGGRHLGNLGSILLCGAAIAVAILLLLRSEKKRAAVGRREMQLFLIGYILISVCEIF SVGEFPLNPTARVVFSAVHIGLIIATTWILMLNAVVGYQLIDDGTPLSIGLLFASAAA LFIGTGYIGLDTGLQWTKFWNSSYELPNRNVALYVLYQLMPLLFLVAFFVLEAVLVVR ILGEIQPMLYLISAALSFAIGQVFNYAISRHICSGTSGKIDGSLFETLFTLLSVVLVW IFWSSITEDDWPMQTAGTYD UV8b_08215 MAPKTTKAGSKKAQDAAKAVLKGVHSRKNVKTHTSTSFHRPKTL VLSRSPKYMRKSIVHEPRLDAHRVVIHPLNTESAMKKMEEHNTLVFIVDVRANKSQIK ASLKQLYDIDCVKINTLIRPDGQKKAYCRLTPDVDALDIAANKLSLV UV8b_08216 MDVILDLCETFVGDHVFAWLHPAQPGAHSHIVSSNYTSPSPYGL PCHYEPPTKYFTIAPSPAACMSSWPRDNVFRQSINLFLIFWLFGTVVYLLFATLSYVF VFDKEMEKHPKYLKNQPRMEIEQSLWALPGMSVLTLPFSLAEARGYTKLYDTSADGPG LWYDVLQYPLFILFTDFSIYWIHRGLHHPLVYKALHKKHHRWVMPTPYAAYAFHPADG WAQSLPYHVFVLLFPMQKFAFVALFVLVNFWAILIHDGDYLANNPLINGAACHTVHHL CFNYNYGQYTTLWDRLAGSHRAPQKELFRKDTRRDGTEWQRQCNEVEDTVRGEQGNDD GACWPAESKKSV UV8b_08217 MLPAPRSQEVPLRSPPEPPNIPSTNPSRLPSNIPLRTLPTRSET EQDSQAASLLGFSNHRHYHQHLAPSQSRLSSDSESSWTDTGDIGDQLGDQRDPVLLQL PDDLDQDLLAGVQKRQAKNQKKVRIHDPSPRRPYRRSQSLPRTVTKEAIEIPYVRTPR PSPALRCIGAIMSGHSGSIHGLTGKALIYFTSIFVSLGVFLFGYDQGVMSGIITGPYF IDYFNHPSKAHVGTMVAILEIGAFISSLVVGRVGDIIGRRRTILYGSCIFFVGGALQT LATSMAMMMVGRIVAGLGVGMLSTIVPVYQSEISPPHNRGKLACIEFSGNIIGYTTSV WVDYGCGFIESNLSWRIPLLMQCVMGALLGLGSLVIVESPRWLLDNDHDEEGMVVIAN LYGGGDIHDAKARDEYREIKMNVLLQRQEGERSYSEMFRKYRTRVFIAMSAQALAQLN GINVISYYAPYVFESAGWVGHDAVLMTGLNGITYFLSTIPPWYLVDRWGRRPILLSGA VAMTVSLSLISYFIYLDIRWTPRMVVLFVMIYNAAFGYSWGPIPWLYPPEILPLSIRS KGASLSTATNWAFNWLVGEMTPILQEWIKWRLYLVHAFFCVVSFVIVYFIYPETCGVR LEDMNSIFGDASTVMGTPSVHGDTESLMRAGSPGPSRDVNIEAGGDRGGDMDDDHKSN NFQTSGGEDGSIGGWLSRIAARGRSPSISSGRQGRYAPLGQQDEANRNNR UV8b_08218 MPATTETIHAYRHLYRSLLRAVQYAPPWRYVARDQLRAAFRDPG AGALDAEGAKRTLWFLQAAARERGLEHRVLKNLLRVRLFNRWGGAWKQVLHRREGEGG DEAWRHYRMTVGMLNASMGLCLR UV8b_08219 MSRQATRQISRLLAQAARRPAATRPAAQLLPAAAAAAAAGRSSA RPPSRALSASPLRGRGIMPETDNPAKHDVAEVQTSIGVADLSEGQYHELADSYLDAVL SKFEQLQDAREDIDIEYSSGVMTIAVAGKGTYVINKQPPNKQIWLSSPVSGPKRYDWC ILGEGQADKEGTGSGGWIYTRDGVSLSELILAELDVAIEPASS UV8b_08220 MINAFLVFNGQGQPRLTKFYTQLETSIQQRLISEIFTLVSNRPA GSCNFLPLPPLLAASGTSHSSDEEQNDVPSLVTYRNYATLYFIVISTSTESPLALIDL IQVYVEALDKLFENVCELDLIFNFETLHAALSEMIIGGVVIETNLDRIVSGVRAQGTV AKRPVNEGRSTGIGSGLGMSANFAWATR UV8b_08221 MFAASTRRLAQAAKESVAKAAQSAIASNPYKARKVWPPDFKELN HAQQLRFEKKYKRRVLLAGRSPRWEKGVKLAQLATIAAALVWLLFYSEFEWWGKQYKP SEEMRKHAIHLFGVVDPDKRHERRRDAPEPYPHPAPEKPDPVSK UV8b_08222 MAAISVPKAMEALTISKTKELKGTEKRDSLIAVEKKYQQKWQDD RVFEVDAPTTAEVPLHSISAAELREKVPKFFGCMPYAYMNGVLHAGHTFSASKVEFAA GVARMQGKRALFPMGFHCTGMPIKACADKLINEIAKFGKDFSGYNEEKEEEVVAVVGG QNKAAVDAKPVAPAAKQTKEDVTKFTTNKSKANAKTVKMKYQFQIMQAIGIPIEEIHQ FADPQYWLKFFPPLAQRDLTSFGCRIDWRRSFITTDANPYYDAFVRWQMNRLKELNKI KFGKRYTIYSIKDGQPCMDHDRAEGEAVNPQEYTALKLKVLEWAPKAAEAVKGKIPAN ADVFLVPATLRPETMYGQTCCFVGPKITYGLFKAGDSSYYLVTDRAARNMAYQGILAQ EGVVEKAAEVQGSDVVGTLVNAPLSLHREGVRVLPMETVLPTKGTGVVTSVPSDSPDD FATVSDLAKKADYYGIKKEWAELEMVPIIETPSYGDLCAPFLVKKMKIASPKDTKQLE EAKELAYKEGYYQGVLKVGDFKGEKVETAKPKVRSQLMDAGEAFAYSEPERKVVSRSG DDCIVSLMDQWYLDYGEESWKETALKWVENADGKGLNTYTQETKNSFEGVLNWLNQWA CARTYGLGSKLPWDPQFLVESLSDSTIYMAYYTVCHWLHKDLYGKEKGIGNVGAEQMT DEVWDYVFCRRDLGDDVLGGSGIPRATLESMRREFEYFYPLDVRSSGKDLIPNHLTFF LYIHLAVFPPEYWPRGIRANGHLMLNGEKMAKSTGNFMTLRELVDKYGADASRIAIAD AGDGVSDANFEEDVADNNILRLYTLREWCEEMVRDRDGLRCGGTNDFQDALFDNEMNA AAHEAVEQYAQTNYKLALKAALYELTGARDFYREACAAAGIKMHRALVLKYVETQALL MAVIAPHWSEHIWREVLKKGSTIHEARFPRVPAVDAALSAKRDYVRNTFSNVNSAEAL QLKKKARGKEVSFDPRRPKKLTVYMTDAFPAWQGKYVALLGELWDPATRTVSDRELNG RIARMGEMKKAMPFAQALKKRLQGGEPASAVLQQKLAFDEKQTLRQMLPGLTRTAGLA AVDVLVVDEGGRRGVNLADGREVAITTALAENAVPGVPTFYFENVEG UV8b_08223 MRTARAVGLLGRAAAAVAASSSGVQLVSPSVSLTTALQTATTPF AAATVLSSSLLTPESSLQSSLLANATTIATSAATVPTARPDAPSSSHTAGVAGGAIPQ QMQASMAGLLGFCIMGLVML UV8b_08224 MSDDETLSIYDEIEIEDMAFDPALQLYHYPCPCGDRFQIALDDL RDEQDIAVCPSCSLMIRVIFDLDDLPKPPPSSSGAQVPVPVAA UV8b_08225 MASLSKLSLPGARSARGLVLELTRLYLSNRSRISRAVWITLFVA LVNRVRHAIAEQKAASAREAAQREARRATVSSAAAAAAADADAPRKKVALDRQFFRSL LRLLRVVMPGWRSTEARMLVSHSFFLVLRTVISLRVAEMDGAIVKALVRGNGREFLSR IVWWMLIAVPATFTNSMLSYHQAELSLKYRTRLTQFIHDKYLSNLTFYGIASLDDRIK NPDQLIAVDVAKFSNSLAELYSNLAKPILDMTIYTYSLSKSVGGEGVVFMSLLVQLSA NVMRVLTPPFGKYVADEARLEGEFRYQHSRIIDYSEEVALYGGHAAEKDALDKGYFTL IKHVNYILRRRFYHGFMEDFVIKYFWGALGLLLCSVPVFVKLPGHVGMNMGDRTESFV TNRRMLLSASDAFGRIMFSYREVMELAGYTSRVASLLGVMDDMQAGHFEKRLVSSGDT RGNEAVLRGRGTVHESQDITFIDVPIISPNGDVLVKALSFSLRHGDHLLVVGPNGCGK SSLFRILGGLWPVYGGTVHKPPFHAIFYLPQRPYLSRGSLRQQIIYPDSLRQMRARGV TDADLLAILRLLELDHLVGLHGGGGGGGGGGGGDHQQQQQKQQQQGWDAEAEWRDVLS GGLQQRVAMARLFYHRPRYAILDECTSSVTLDTEKVMYDHAKALGITLMTVSHRRSLW KYHTHILQFDGQGGYVFTRLDAERRLRLEDEKEELDVRLRQVPELQRRLDELTA UV8b_08226 MRHSIIFTALAATSVSGHGLIRSVEGANGVSMPGLTVADGTPRN CVVNACGAQADTGIIRDAEINSGKVGPLGSTQGHGRVDPALVVANFMGTGGPPPTNKG ASGSTGIEDKLESLFMMSKRRRQEHRRSFGKLLSGLEGTPLSRVYGLGGKPKTYPVET INADLRGRGAEKGLPTADDNGVITLIYRLINEDGGGSMTAAIDATSGGTDAKAFLPAK VVRDVPGSSVSGLTPVTNTDYTMKVQMPKGVTCDGEIAGVKRVCIVRVRNQALAGPFG GGAAFTQSAENRKRAIAYRLRKRVAIGSRAEGASDE UV8b_08227 MSCKRIMIATSGRTTVTTRHLRHVNLGFSPAGDARPRRWVFNQT HADDRPRRADVSSVSGLGAGRSTLA UV8b_08228 MTVLALRPCVASLFSQQRPRGERLRWKPTPFWWPVVGNPLRPAG STAVFSLISLWFRMEVYVYSSIVCIACLILSPPFLHLIHRHSFQPTPPSIHFLAGRAA FFFRKTIDNNPVCLPLILFSPSILAAYLAKINMKFSLVPAVFAAALVVHAAPIREAGE ASKREFNMGGLPFGSVLGSGTPQDAAQGAVAKVFGLGDLALNGPASLIGRS UV8b_08229 MPGSLCDDFGHGACWARALRRLPGGLCTSVGPPMPLAWVIDTLS GASGQGVAMYLLTCLDPVLPRVILGGFDTTPTMREYLEWGTAAYKGSTVFLIATDC UV8b_08230 MEEFPQENPSADGLGHLRPTSTGRLLDLIEQVRAKGVGDVVSLP QLVVCGDQSAGKSSVLEGITGMPFPRKDGLCTRFPTEIIMRHARASDTSIVASIRPSN SSTPGIHQTLAAYRRQMEGMAELPDVINKVSRLMNIRGYGDKGSRAFAADSLRIEITG ATRLHLSVVDLPGLIAVSNEEQTQDDVEAVHDMVASYLRNPRSIILAVLQANNDMANQ PIIKLARQHDPQGERTVGIITKPDLINKGSEAKLALIAKNQDSIKLKLGFFLVKNPSP QEMSEGLTKDARSARELRFFSSAEWARQGLDMDRVGIANLRAFLQKLLDTHIERELPN VRDEIKKALKDGTDQLKDLGDARSSIGHIRSFMTDISMKFCQLLQAALDGSYHSIDAR FFDNPDSRLRARIQETNTNFAAHMQQYGQKRKVSDSAEGESVNSTKSSDSSGQNRTGD QPAQLTVSKKSMMAWVKKVYLSTRGRELPGNNNPAFLAELFLEQSRLWPGLAEHHICN VLGIVSGWMPVALRKTISEDSVRGHVHAILTEWRDTTEKLALGELERLVEDERRDPLT YNHYYTDNVQKARLNSQKEAIRNAVSHAIAVDHRGKLLIGNTREDLEGLISSMESRIT LDMDEQACNEAATQLDAYYNVALKTFIDNVTRQVVERQIMAPFRDAFSPKAISQLSDE ELLRIGSEPEEQTRKREQLSKMVQGLKDSLKQLDRL UV8b_08231 MPPKTKKYHAVVRGRIDTPTIYSSWAEAHPKVTGLSGAIFKGFL TIEAARRYMKERGVANPSEVIKDGAGSKAPVGDDPCFYAIANGKHPGVYTDYHKAVDY FFGNCYQLFGTRDEAEAFITKTGKTPTPMCADWR UV8b_08232 MVMANMPAHESTLSNGILDSRGCPKPLALVTQWSSRYLRVLCPY CLRRHRHHDIDVAKTRELPCEAESELTYQLYFPYEEKFQAQYSYQIDSESGVFVTVGV ADDEEESESEYEEEEDDGDGEKTSPESASRDLPSLQSEPGGSSRGELPELEAGLKQLE IGDAKQPKPPSAGGTLEKLMLGPCFRRGADGVIDFSGGDVNIVDRRGRTPLMLSALWG RRTAVDTLLELGADPRAEDRKGRTAYFYARPSRKTAEMRSALSHYREDVNAEANRRII AVKMQVFEPAPTAGQTDSSGGPKPGLFVTRRTAWGTEFRFYELSVTYNGLKADKTVAQ LDRGRLFPVESAVSGCKLDGYGQRPDFSEAHILDSLLWRDRVLELCRLIEHDLPEDNK DAPARPGSYYASHAEKQLVAYYIDQHVILPSDLFSKDLAVGELMEEWTPWANRHCQRQ ELKKVCPRIPTIQAVIQVNNTMCKDCEVFKSKVEDQLGIRFEVKYCPSC UV8b_08233 MPWQPLPRIAFAVATYPFSAERPADLPLEIGDELYIIEETPDGH WLRGYLVAPPSLLAGLTSVKGQTLEARVFSGIFPRSCVEVREMLGETESRDEHDDDKE NLTHESIPGEDLPPASDSAKSGVEAWNGKLKLAALAASKTKGRRPLSELPNGTSANLS VPVKRNPSEPKPAAPVPMLKIGDETPTSASEPLIDEIASCLREWHSKNLHELLLSRKY ADLDKLSNLITSLNLSRQQFLYNLLTSHEYETLREKTVWDLVTVNKMCGGEVIVRDPA DRGRILTGDDSVVQVTRLQSIMSLLDEPPQPAVEQTSLHHLLLDVKGFAGSSSEATAL VLFLATKPQHEKLSMLSEPFIVDIPAGGSIGGFAQGTSSRTLFVDLSAQDVGDSPSTK SDLYLVVKVLASQRVLSATPISRSGTSPESSHLRETSKTPASAGPKATRRSLMWTSKA GRSTLSRGSRLPKMDPVSEQQGERSVVTAASYGTPSTASSKAGEAMPDASQTVQRTIG IGVLKLNPIMKQSEEVEQVLNIWSPASSPASTEADAAEDLDPLIRELMGSPSSRYERS RRGERLQVSLQAFNHSDADALINSTPTMLSGVCKTSKMGFSGAPTKPRSDIYLTLDTA ALARQNLLARFGGSATSMPSGLQALSLQISLEVRNPSGQRLENCIFAGSNREPMTTFK STATERGESWNQTVRLSLSPGDVPTAHVVMFLCDVPGPPFAVAHMPLWDRQAFIRDGQ HGLQLYRIDENTFTALPGPSGKGGYLSVPWAHRGRNEQSENVTGPLAALFVDTFLCST RFSQDRVILGLVNWKDIPEDEVSSALKQLMFVPEIEVVKLLSDVLDGLFGILVQHSGN TEFEDLIFTALVRVLGIVHDRRFNLGPLVDQYAETQFNYPFATSGLVKSFTRLLEKPT EPEASRNLRATFKVVGHILKLIAHARKQQKAKEAGIGITSSPQGFTRQLRCIFKALDA MMRSTAPVLVGSQTLAVQHFHTWLPELAGLLDKEEILHIAIDFMDSCAKVKGKLILYK LILIINYSKLELFAHPDQRSALCANTVRWISPYWGHNDNVVDQWREQVRLCCSVIASQ AEHLGPEIPDHIPRIVDSYLSLLRSPPPPRNRLSLLFPASYPFPFKPVSSEIAFDEGL VELSAVLSAVSGSALGMQLELTGEDELATILENLLRVHMSILSGEAFPAGWLSVHIYH HQSTMRTLQYVANLMLDNLLPDPDQAESFNMELWKLFFTTLLKLVGSTSLALETFPEQ KRRAVWKIAGDVREHGAELLRTSWEAIGWETTAEERSRFGLRKIGGYQVQYVPALVRP IVELCLSVHEGLRTMAVEVLQTMIVSEWTLSEDLTVIQTEMIDSLDQFFKSKPLTESI LQKLFIGELLERFEPLSQTLDEPLHAAVQELVGTLNELLDLLVAVHGAEGNGEATNII NCLRLMEFLRDMQKEEIFVRYVHQLATLQAGSRNHAEAGLALRLHADLYDWDPTRQVE SLAEPVFPSQTQFERKERIYFDMIKHFEDGESWSNALTAYKELRVQYETNVFDFAKLA RTERAIATVYETISKSEKVVGRYFKVVYKGLGFPAAVRDKEFIYEGGPHERAAAFTDR MQEQYPAAQIVTSDGIDQVEGQFLVVSSVYPHRDLTHQVFQRARVPQVVREYLVSSHP QAFSFTTKRSTSGPAEGHYAEKMIFFTAEPFPTILRRSEIVETRQVRLGARQTALERI VRKTQEMTALERRLASGDDEAAALLLSAVSVSVNPSEQSVMCYRRLLPDAEEGDADEE AEAEAEAEAEEEAELEPQDMAIKTALVDHAMTIKRALALFSRSTNAMLAEKQEQLHRY FEVTCAAEIAMLAPHPPAPREAASTPSTTFHPSLPPPHDASPPQRPSMSRFSLNGSAG RPDEAATVRQVSLKQQSPRLSLLPGRRPSEAEQAADAERVHASPESKTSRSRSFSYGR SGGHQKTGSRAPPTAVDVGPAERAAAAAHANGSGRRGSSASKGSLDRGASIDEGASGA TGGAAGKRLGVKKRFSLLKMGITGKRGGVMGSVDEE UV8b_08234 MCDPAVLSVNIPVLTYLLPFIVQYLFLSIFVLLFIVQYLAPHID LPRSYQDLLYPYYLTYIRTFTRSSRTYPTLKYPMADRKSVGELERLLQEAIQRANNLE RERQEERQRAEENQRRAEENQRRAEERADASEEKIRPTTLEEYLTACHTHVFSKLTIE TNPKLSSMGPITSPRNKWCPENLQPWTDFIHQQRLTFGALNATFPIESRVFENRVFLT GLGDRVFQRRVADEKGLEYFFHNSVEDPVRVIIQKLREVEEVRAVFEIGDGVVFENHP HALSDTAEEVVERETPATPRTPDHGLDLNQLRPDQICVTRSYDGPSVKSTLVYVSEYK SPCKLTVQHLRVGLRPMNIYKEVVNRKTIPTSVDPDAKFRCTAERLTASAITQTYHYM IESGLEYGNLTTGEATVFLKIDWKEPGTLHYHLSEPKYEVSAHPDNFHICTAVGQYLA FTLMALGSPEEQREHGQEERKRAMADLKTWADDFETTVRTIPESERSASSDHSPDYQP ITYHEFDRSPYLTRQRRCRAAEQQTDDRLMRRDDRREPSDDESARPPDTPTPASRTTG QGTRRSQRLAQRPRGDNHGQGRQYCTQKCLLGLVRGDILDLKCPNVALHCKGTPTHAR HPVSHKQWLKLLWKQLERSLDDGVSRLNQEGSRGVLFKVTLLAYGYTFLSKGTVRAFI SDLTHEAAVYDRLKPIQGCVVPVFLGAIDLRTMGKTYYYFHRVYVVHMMFLSWGGDSL ADAMKTGTIGKDPESMAIASLRAMHQEGVIHRDVMLPNMLFNREVQRVMMIDFERASL VEPLRPPLGQIVPNRRSRRPEERVGKSVATLSRNSRRARRGFEEDILNASMLFLDPAS LYGTAMVACNGPR UV8b_08235 MGTPFISILEPSKLDSFERGKPHDQQSANVPKVFIDAMEVRESV FVEEQKAPLENELDSDDSRSCHWVVYASVNKTEETEVRDQDGNVIQPRKSSTRTTPIG TIRLVPFPHEPHPRIGAQYWKGQLTHGKERQSPNIKSLPITAATDRPTTFHDGKEPYV KLGRLAVVKEYRGNGLSGLLVQTALSWLRSDPSFFDPSITEMGLEQMGASNEADIPKW GGLVCVHAHEEAVEMWARWGFQVDDGMGKWWEQGIPHVGMFKRLDIGPRKVRI UV8b_08236 MSDGGDIEVENTVLSDVLPKDVVKEVGNIKLFNKWDYDVEVRDI SLTDYISLRNPVYVTHSAGRYAVKRFRKANCPIIERLTNSLMMHGRNNGKKLMAVRIV AHAFEIIHLMTDQNPIQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAI ALLTTGAREAAFRNVKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR UV8b_08237 MAPAAGAKKQKKKWSKGKVKDKAQHTVLLDKATSEKLYKDVQSY RLVTVAVLVDRMKVNGSLARQCLRDLEEKGLIKPVVTHSKMKIYTRAIGDA UV8b_08238 MSGDAQPKVLGMPPFVADFLMGGVSAAVSKTAAAPIERVKLLIQ NQDEMIKAGRLDRRYNGITDCFKRTMADEGALSLWRGNTANVIRYFPTQALNFAFRDK FKKMFGFKKERDGYAMWMAGNLASGGAAGATSMLFVYSLDYARTRLANDAKSAKSGGE RQFNGLVDVYRKTLASDGIAGLYRGFMPSVAGIVVYRGLYFGMYDSIKPVLLVGSLAN NFLASFALGWCVTTGAGIAAYPLDTIRRRMMMTSGEAVKYKNSFDAARQIVAKNGVKS LFNGAGANILRGVAGAGVLSIYDQLQLILFGKAFKGGSG UV8b_08239 MRLGGRYCITTREIALVYKLQRPRKASAKPEVKYAMPMPEHIFL PFPSFPLPSIPVRLLIHQRTSRQSPPITRNQSFVTPKL UV8b_08240 MPAGATSGRGGRARPKGPPNRKESPGTASSERSDPFESEDEPSG REAGKGRRGEAPDAPAPETTIPRDLLTRALHDFFTKDATRISRDANAAVGKYMDVFVR EAIARAAVEKSAGFLEVEDLEKITPQLLLDL UV8b_08241 MKKPASAVLAGLLGLAQAIQVHVVAVGKNPGSIDTGLRFFPERI RAEPGSLVQFQFRAGNHTVTQSDFDNPCVPVSSIDPSVAGVFSSFQPVAGAAGAVPVF TVLVNDTRPIWLFCSQGAHCQKGMAMVINENAAANATRSLETYKRLAQKAPTGQGVPS RCGDGSAGPAASSGYLPTTAAGGNGGGGGGGALVTPAAGGTNDTADGLPPAATTPPPP AVSSPALVVSGAAHVAVPGAMLLVLGGAFMFL UV8b_08242 MGDAELRQRKATTPAPEQASKPADPKPESQKRSVDVDDDDDDYT PWLDILRLLSFLLVASCGLSYMISGGESWFWGMKNKPSYLRADWWKAQLSGPIYLTEK ELLAYDGRDADKPLYLAINGTIYDVSGGRRMYGPGGSYSVFAGRDAARGFVTGCFAED RTADLRGVEEMFLPLDDPDVDKYYTAAEMEKLRAEELASAKQRAHDALQHWVGFFANS NKYSKVGYVKREQGWLEKLPRRALCDRAQKGRSKRKRKGDD UV8b_08243 MDASSSLPGSPGAGPLQAITPEHVNRRDPIFSSLKAEGKDGSVH DKINQFNSLSIAMQSKQMERRTADAALKRAMVGREEAETELRRLREENKALRMQVEQG KERERKVGERLETVMDNYGRAKETHAHTQALWEKEIRRARKENFKSQSTIVKLQEELR SARSSSKSAEESLAREKERSKVREQEAFTARYQIVGVQEQLEKALERVKIVEQERDAF KTAARNEEVARIAAEGRIPLPATHDATDEFASPEKKRAAASLQLGGRAGPRVSLSTME IVSSAASEMEIEELTTQVLWERQRADRAQEMVEFLQAECQMHLCACSKTSNARESPEA PQKRRRVSVDVSGERHDAAISFKEESLSPHSQRASSRQSTTHSLRSSVRSQEPTAEPE IEPQMGHHEPEPEVERPEVDVTQHQPQRIKSRKEPRRSTIFCPKEGIFRTVSEHEAAA LDAQERAAAAAHPAVDHQTAADEPLPSPEANAHHRMYARTPSVEPPAFALLAHERNSL DFLLLDAPPDDAAPDAGSAPLLSIPSIPVVADTQPVREPKRKSLHEEDQSFSPPGSPG PRPHGASTASFTVTTTVPLREEPARSSTSLNGRLRTPSHGSNASFDYNDPAMTPTMTR EQALAKIRERRGRARSAAQAAPSSSSSSSGKKPAVKTGANTGPRGRPVKRDMSAPTAK ATPRFK UV8b_08244 MASATPSEGYPDAHGTPGSLAGALNPPPAPPTEKTIIQIPPILP RERVFPIQIGSELFTLSGASISSDAPSYFSQYFLCQLRQAEESGDEAGAVRTLYLDRD PETFRDIALHLQGYHVAPRDGKHFVRLFADAQFYTLPKLISQLYEESIFISIGHREFQ IPRDLLTDPRNSPNYFSLGFAFFFSRPDNLFPGLDRQGLLRPPSILPPSVPNRSADTF ADLLRLLRGYPVAIRDDAHRQELVRDARYFHFKGLEQQLVPHALSHNPFRGRAEIAIR LENVQRSGIGVSRDAQAGEHHAAAAAAAVAWVTYARPHVDDAPAELVVEIGRESSRLH WLPRHAGCRVEFLRDTKARVAKLLQVVAGKLGLPPAAAQALGQPPGGEEGLLRAVLDP QAAICLDGEEHSPDAADAADTDAADEANPRKRRRGGGGGAGGAWTVTTGQWRLLLRAA DGGRGAVECVFVAVRLDAVSSERGRNKARGFLA UV8b_08245 MSDFEDEMDVDGPPPSKDVIFSSEAAKGKRSAANLPVEAEDSLP WIEKYRPTTLDDVSGHHDILATINKFVDSNRLPHLLLYGPPGTGKTSTILALARRIYG TANMRQMVLELNASDDRGIDVVREQIKTFASTKQIFAMGASAKASSMAGFKLIILDEA DAMTNTAQMALRRIMEKYTANTRFCIIANYAHKLSPALLSRCTRFRFSPLKEGDIRAL VDKVVEEERVRIGAEAVDALVGLSRGDMRRALNEEEEEEEDAPSVVVPDGEVQREAIT TETIYSCVAAPTPDAVREIMRTLLGTADVASCLGTMNALKVSGGLALADMVTALSEEL GKLEVRPEVMISWLDGLAEVEHRVASGGSEMVQTGAVVAVVRGGVELMGR UV8b_08246 MSGTRRDAPPTCTTSAAAAAAAAAAAAASRTDNVVDAGLKSVNH YKRALPRWRYNLRQQVLPLIRWETPYLSWMQEKLRTPALDSYFAITANLGTHTFFMIG LPICFWCGWASLGKGLVHMLALGVFWTGFIKDFYSLPRPLSPPLHRITMSGSAALEYG FPSTHSANAVSVAVYGLFSLHDPENTLGPTAKVVLEFLSYFYAASVVFGRLYCGMHGF LDVIVGSTIGAAIGLLEFYHGPTLDALMHSSSCMAPVVAGLIVIIFVRIHPEPADDCP CFDDSVAFAGVVIGVEFGTWTYGKIPSDPWETHAYGRGAIDVAALGPWVNAARIVFGV LVIFAWRETMKPALLKLLPHLFRLMEKYGWDLPRRYFTPASEYKSVPPGSRLDTLFPK ASDFPRMVEGIRHPTTRGRSVSIGPQSAADAYEALAYRERRRRESISSNQSPRSKSSH LDLQGKDEHHPSGGSAQTSGLQKPDSHGVEQGDGDASAGKVAEQKGAAMQQDDELEDD EAMFSRLVRPRVRYDVEVVTKLVVYTGIAWFATAQIPIMFEYVGLGTNHLAVQLA UV8b_08247 MNIRMNAPQLGNSSAVDMMAAKLAGKHAVVVGATGVIGSHIART FAAHGAVVSLLGRTALQARPHLEPQLDPYRGPSAPDTPASHRFIPLDVTDTPSIKEVF ADRGSKDVDAVGPLDLLVNCAGISQTTLLKRTPDEGLASIVDTNLLATMLACKHARIR QHGCIINVASLMATKAGLGVTAYAASKAGVVGFTRALCREMAARSIRVNALLPGWVQS PMWNHLKPELQEAYLKDTPLNRVARPTEVADAALFLASNEFANNCVLNLDGGLSAA UV8b_08248 MELSNQTTQRDAQSDLSRPASQPAASSAPATAPAASASCAQGQA SAQTPDTPSEKIAKPSEQPQPAPAEQDQNGQPFAQIQRPSQTRQQNPAPQQRSRTMAR DRYNQQSLGPFLNSSVKQARVLLVGAGGIGCELLKNLVLTGFAEIHVVDLDTIDLSNL NRQFLFRQEHIKKSKALVAKDAAERFNPNVNIVAHHANIKDENFIVAWFKEFSIVFNA LDNLEARRHVNKMCLAADVPLIESGTTGFNGQMQVIKKGVTACYDCTPKETPKSFPVC TIRSTPSQPIHCIVWAKSYLLNEIFGVSEDESAFDHSDDAQNAHEIEELKKESEALKK IRDAVGTNEFPQMLFDKVFNSDIERLRSVDDMWKSRRKPEPLKYDSVFTQATQAVASK EQILSNDQQVWSLEENLVVFRDSLGRLSKRMLELKKSKDAAGPEPTIAFDKDDIDTLD FVASSANIRSAIFAIDCKSRFDIKEMAGNIIPAIATTNAIVAGLCVLEAFKVLNGDYG QAKEVFLTPFAAGRLLAPDTLRPPNPECPVCGVFHARVKVDLSRATLNHVVEHVVRQQ LGYGEKDFVVNNEVGILYDADEDENLPRKLRDLGIKGGSFLTVIDEDDEEPLVNVVIT VEESAIDEKDEPAKAELEGRLEIPRKPKKPAVPNGEANGKANGDQNGTNDAQVVVMGE NKGVKRSHAGGDDAPPTKKAKLAEDDDDDVILVQDAGGAIVIMDD UV8b_08249 MSSDDEADPELVQLLRQHLQGKLAVEQEADTGVLEGAQYIYDQG IDVAIDMRATKLAAETIYTQMQQKHYSTATWAEHELHPQEKNESTVAFIFTMDLLNFS FWSELPDEERFAVEYRGKRWTGYWSLVAAMRRALDEDIPITDPHYWQNEEECNLESLQ HVFRSSTDEQMPLLQERLDCLRQSGRVLYERYNCSFTNVILAADGSAAELVNLLAREF SCFRDEHRFEGRKKPVRFLKRAQILVADLWACFQGEDYGDFYDIDKITMFADYRIPQI LITLGALYCSPPVAAAIRDKKMFKSGDLWEMQLRACSIWCVELIRREILRRHPDTLVN AILIDFFLYDWMKELEAAGNEPFPHHRTRSIWY UV8b_08250 MHTSRRSTAGSRGRTGPTKGQSTISFANKVTKSVPKATKKLQSP AHTQPELPERTAPGAKRPQETVDDGHEEDEAGAPQPEAPAAKSAAEAAAEKVTDSQIR KYWKGIERIRKAPRVHQAELSVDEKVLRYFDVSSQYGPCIGIDRTKRWQRAERLGLSP PIEVLSVLLKEKKNPARGAETAQMDDILNPIASGP UV8b_08251 MPHQHRLAAPPTPTTNSTASSRAERIRHRSKLKMSKQYLTVHTV DDAHITDIFSVAATPRAVLSAGGSSTIHVHDTRDPAFPLRQSISDAHRLGCHHICASR DGRVAASAGFGGEVKLWSLNQDTGEWSAGGEVAASSAKPGEVWALALSEDGSYLASTT HDGRINVWHVAGEQPRKIQEYETGSAGSGSFGMSVDLSRDGKYTASGHQNGAVYIFNN DTGKILHSLSGLAKPVRAVAFSPASTRLAAAGDAGIIALYDMKHGEHVGNLTGSSSWI TAVDWSDTGEYLLSGSMDGKVKVWSVERAACVATHSETDKALWAVRWLPKTAKSEMFC TAGANRSLSFYREAT UV8b_08252 MAAATVSMLPRLATAIPFPSSRLTTLYTRYFNTRLLATLSIAVP GVTLNLPTFLGDIWESILRAVPKKKVSHSRKRHRQMAGKALKDVNSLCQCPGCGETKR THRLCQRCLEDMRKIWRDDYPANKPF UV8b_08253 MAATANTIFPKSHVGFDSITSQIEKKLLKRGFQFNVICVGQTGL GKSTLINTIFASHLIDSKGRLQPDEAIRSTTEIQSVSHIIEENGVRLRLNIVDTPGYG DLVNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGHSLKPI DIVVLKKLSDVVNVVPVIAKADSLTVEERQAFKERIKEEFAFHNLKMYPYDNEEFDDE ERSLNGQIKGLVPFAVVGSEKSIMIDGKQVRGRQNRWGVINVEDENHCEFVYLRNFLL RTHLQDLIETTSQIHYETFRAKQLLALKESSAHVGGASSRPISPAADRELSRNSQRMA MNGY UV8b_08254 MPRQSPPDRRPLVISGPSGVGKGTLYKRLFERHPDTFCLSVSHT TRKPRPGEQDGVDYHFVAVEDFEALIARDGFVEHAKFGGNRYGTSKMTIEEQARKGKV VVLDIEMEGVKQIKQSALPARYVFIAPPSLDALEARLRGRGTEDEASIRKRLDQATHE LAFAETPGVHDKIIVNDNLDDAYKQLEDYVYKPLDS UV8b_08255 MPNDDDKSAARPVDDDDEPDEWDKRIFSTGCADENAALTDCYFA KKDWRACAAEMQDFKQCWKRHGNDGRTATKDA UV8b_08256 MHFPRRAVSAAAQRLAPRARLSLPGTRFTSTSTSTDAAAATSRL PPPAATSGFPPPAATSTPPPPAASSKWTPQKFAKPAPATRKPSFSSAAPAGNAPPPTY EPKTIAHRQPAAAADDDASPPPADGTVDWATSFHGVAAKPVTEDQFRALMQPVDIKDI EVKPDGVIYLPEIKYRRRLNEAFGPMGWGLIPKGKPVVGDAMVTREYALIVDGRFVSQ AQGENPYFSADQLPNAVEGCKSNALMRCCKDLGIASELWDPHFVRWFKKSQMEEAWVE HAVTKKKRTLWFRKGLVEVAYPYKLVN UV8b_08257 MRFRLPESPLELRVTYRRLRKCTGRRKLLLQLLFPVPWHFAIPP QSPPSYYESIDENSLQPNMMMLRWIPLFRMRDTPLRSLYRLYEDLCAKDLIMMGYEAD YFFNHTDKKWKLCQMPDPQDPDPTRYAVLASMVEALVSSFNWKLEVGLRRDGTHNVDG IKEALHLETRPAWTADVKPLPETLRLGGSREVHGASADFLRRNIEAPMGYLYNV UV8b_08258 MRTRDTVWSIDLGPDDCLYRILRENGREQRVVYVLLRHLDLIPE ESRTWGPGVIRELSKLADWDGGWKTLTISKDDSGIRTRANVFEPHRLPREQPPGLTSS LFNICDLEPVQSMKSRVTRVQVNGEQCFMKISRFEFEVAAIAHEVKVYETLMCQGSTL VPRLLGYVYEETPDRVIGFVLEAISGHYPSIKDLDMCRGALQRLHSLNIVHGDVNRYN MLLTKDGPKFIDLEVAEIDSQDGARMDKEMQGLEEQLMTESRIGCPW UV8b_08259 MIPPLLVILSLSACAQAVQVSSEQAVAYFISQLNQTISIDMANP PWMDGDIQDVPLSCIDQECPVAQLWKRTLLQSLDYVDILYTAHSETPRLNAGTEDMLI SFAKSTSVMVATTWGWHIGAKFSYSGQAIQVGYGVSTTVATTVTTSETDSINCQAGYE CTVKTLTFYTMVHGKCVTPTYLSCWGVYNICDYATQPCPAFQNLHDATCRADQPVSPC SIRVPLLDDENQPIIAKVAVSNKIPGGTKRSLGRRLPRPRLGKR UV8b_08260 MSFLQPRTEHRFDPREPHPELEGVDIGHLQHTDWENNSDPFGMY TSKGLPPPPVVSAHHVRRQAKERVSSIMANYRLLRAIVERHEEKIQKRWEKKTKAQRL AILLQQWPEMPKDHRPEFAAFRKHPQLLHQAAARYSGSFRWPYINQEDLAKPRTLPFL LSSRGRNHPSVFAAADGDSMHLGKVTMVIVPVFLNCYIMLLNGMTRDDDYGRVVGFEE HPDAFYWMTTRKQFLPGEGLVILEAQDRLLAFLVSCCKQILHEIPPGELTGDKHPILP EPCAKANAHVGGLASLATLAQEAPYRVPARLDLEKIESLLAARASRAEEHLWALREDP SYFAEQLIEMREHRQEMLKDTQGSLHPTMKLPRQGLLWARVIGDVILQATLQLEFFSE LHGQARKLRILHRKYEREISPLRDLPEEFLGALLKFRHYLNQVTKGPLNLLKQSVVAS PPMRQFFVREVPESSSSSKITVTGKPGVKLDKTTSHLIWLLRTLWEDGYNLFICRLPT LVDELDRLMQADQKARDLISPYVAMLVGDLAVTGECLRQLDIYQPWANNFEAALCDRE KDIKAEFAERTKLMAQVMDTLREEKLLRLSPFGDPSDNKFDYPVGRRRNKENTEKLRA AEANLDAFWRKFDETLYAKLGSLEGTSLGHVLSKAWPLQRTPPWAEPAAVKADEPGKD SRTSPEAPAKPFSTLFIGHEEPSPRKELPAEREARRKVKTRGAAQTCPAPTADAAALA TPFAEARPTREPVLWVDSRSLKVFRIVFFDPTANTTPGEVAWTDFLHAMNHAGFSARK LYGSVWHFQPTVLDVERSIQFHEPHPRGKIPFLIARRHGRRLHRAYGWTDRTFALKAK DDTHGG UV8b_08261 MSPAEEMRINPARAQALTSQLESVKARISAVANRRNPRLVAVSK LKPANDILALHQAPASHRHFGENYAKELADKVELLPKSIQWHFIGRLQSSDCKALARI PNLFCVSSVHDSKKASLLDSARGALLSSDPSLPRLGVHVQVNTSGEEAKSGCRPGEET VSLCRHVVESCPNLRLLGLMTIGAVARSQAAAAGGENEDFATLGQQRDLVARELGLQQ GQLELSMGMSDDFEGAIRMGSGEIRVGSTIFGERPARADAKIRE UV8b_08262 MASDQTHSRLRTTGNAASLPIRSGQQPTALVQPKSPHTSDEFLH DFLNPSFDAAAFLNAALPPLATKQATTTPSDGAVPLTELCDQTQTLLSQLNAHTTRLC DTLTQLTDDILRSGSRLAYQVDLLRGETLSLSETLTETLRQDIETFIPGGLPPGGNVG GEPSQTDAAGAADDGLGAADDPHVRPQAAGSDAPSAEPECIKQLRTLTLVRSRLDAVI KTFGDAMEFTFPPSEVSVSSGFLSVSAPEPGSAEQSSEEKGQQVLQGLRQDVSDMLHQ ADDPVQGIERAAARIEELKELATVWKGTAEEKGRSKFIESLARMVEDRHRDLMREMDQ AARKEGWAANPSGATRQDGAEEAKGLGGFGLISQLQKLRNGL UV8b_08263 MAASNNTMPSSLASKVERRTPPPPAAQSKRDRKRQALMDRLSSM TDKFQREQDLTYRDQLQKIQYEIGLVQRFDPYHPNALEVAAELQKEHRQTQEPAVHAE NARSLMDMAGIKFPNFIDEIEDLIEIRDFQLTQSKNEYDRKVQEYKNTHAYKVETAKR EHAALTGTLRDRLINTLTQKKNRLNREKEVLEINDSNALLLNPNHFSLTNPGSPGGTH AKRATRLRKDAEDLQMFSDKKRKRNPGEEDGSPVPMRRALDPNNTTALWQSEKARAAA KQNGPIYSLDKLFTDKELSLHYNSAALAAHQYILRNRVNGNASSSPDDSDSPNGDDND ADSVPCAPMMERNVSHATRSTRGGASAANFLDDKILGVEGIASFEVPANLDLMHAQEP PKMPPHVPQQYLKPYPRTADQNFPVPLSQDDILSDMSVMGFLKQYDQSHKPGAHLDAP TGLRRILEAVSTPYQQGRFVAITGAAREDPDMFRDSLGLPTSSLRDQTSPGHGGGSSQ SLGGQPAPAVPMSRQSSQGGVAMSRQGTTGSALARARRG UV8b_08264 MDRQTTNGGLAMQSSAPGRYLFRPTLPDRRVTAPTIEDAYVHFI LYCNPAIDVSSDTTLLREGFRTPPRSGGKVFDTFTIFELVRRFYNREIKTWTELTTTL GVEPPDPSRDESAQKIAQYGVRLKKWMNSMHVKAFFEYLIGVPNEYWTSIPKDPNPIA WAVRDGVAVEDDMALRALLPEIRPKRGRKRPEGDDAAAVSPAQRQRLSPPSALDEHRQ ARLSEPWSARADGGNNMDMGSSKTCGPHAAWTGNEPGQTPVSRWSQSAATPTTRGSFW DDALQPQPAATPPSTAKTSGHRRGAKNVSSAWKTVGQDPGTRPRGRPPIANRAPANGP DQHLQQPWTPTVETPGKSSFSLPPPTLPPPPLPQSPHGHANGNQQPPPQQHLDQHFQH AYHQHISNGYGASADMNHTADPGPQMAYDAPRPATGARPSVSLQVPEPVGDPVRLATP PAPAPSSQLPPGQAKGAEAAGQYHPGDVDSKYQDGWRKFAKEATDAYEQGGGQSSGAK DSTLDDEDGELEDYYFEKMEDRTNVDVLVAYFTRSMVEADWRDVNGQPTKTVGLEESM AMVHAMLQTMHKTSTSPQAFLINLAALAGSTNLVTNRPKCTRLSEQDGQFTYKCEWEY RFGQVKGGFTFEQVVPASMWSRAKRKQPCPAPPPTANPVSADVESKQDDDLFSKEYWQ KKYEALTIAMEDRDKHLTRLRDRVMSAIGRDFA UV8b_08265 MATYLYNCIWGSNEAPTKEAPEPCRHQPSTHLPCQSCKADEAMA TKYRWKIVLGLVAPFTLQALDATIIASALPWIARDFSQLSQQNWIVSSFTITSAAFIP FWAQIADVFGRYLSIIAAVLTMMLGSALCAAAPTSAYPMLLLGRAFQGIAASGLNVVV RTILADRVTLKESARNWALFAIIGGVSYGIGPVVGGYLSSADWRWCFGIDLPVGALGL AAIFFVLRKELLGPQPITELNETAETGVRRKLVARLKTIDVGGQILFMLGFGLLVLAL TWGGVTYAWDSAAVISSLVLGCLFVVLFFVWESMLTPGRMLNRLWPSQRAMIPWGMLT NRDVGLIFYTEVATGMALFSVLFFGNIYFIAVQGYSADKAGLQLLYFVPGMGVGVYLC SFACNTYPRMTFPTLFFGTLTEAVGLSILVWAMYIQHLPTVFGMMIMVGIGVGLRFMV APLHGIAIFKNHRAALIGLMAIATPLGGTVCLTIMSTVFNNTSGLDYKHGDFSESQNG TGPAAEKAIQDAKMGVVWAFVAIVPFMALSFLSCFLIGNVKLGDRSAVDDDEGNLNVI FTKPYFWTWLRGEGAEAEQEAIRLQSGNESAKTSRASVVQSLAA UV8b_08266 MSASGPAVGIDLGTTYSCVGIFREDRCDIIANDQGNRTTPSFVA FTDTERLIGDAAKNQVAMNPHNTVFDAKRLIGRKFNDAEVQADMKHFPFKIISDKGGK PLVEVEFKGETKTFTPEEISSMILTKMRETAESYLGTTITNAVVTVPAYFNDSQRQAT KDAGLIAGLNVLRIINEPTAAAIAYGLDKKVEGERNVLIFDLGGGTFDVSLLTIEEGI FEVKSTAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLSTNSRALRRLRTACERAKRTL SSSAQTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTIQPVDRVLADAKIDKSQVH EIVLVGGSTRIPRIQKLITDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTN EILLLDVAPLSLGIETAGGMMTKLIPRNTTIPTKKSEVFSTFSDNQPGVLIQVYEGER QRTKDNNLMGKFELTGIPPAPRGVPQIEVTFDLDANGIMNVSAVEKGTGKSNKIIITN DKGRLSKEEIERMLSDAEKYKEEDEAEGRRVAAKNGLESYAYSLRNTLSDSKVDEKIG AEDKEKLTKEIDRIVAWLDDSQQATREEYEEHQKELEAVANPIMMKFYQGEGGAPGGM PGGMPGGPGGFPGAGGAHGGAGGDDGPTVEEVD UV8b_08267 MGWFWSSSAPPPAKSSASPPNKPSSGPDKPSSPAPPPAEPVDPE IQHFLNLFAPNPDSQPAPRQPPTPSPPREPPSPASSSSVASWLALKASPARAPDAADA PVGDPVSESLLPTDMSCRQAFDLAWSCNGLAGQFSSVYRYGSMRSCSEHWDDFWFCMR ARGYAGALKADMVRTHYRNKAYRKYGGGKPSSEDVWEPRAEKLPPGSAFSMPAGEAQV SDEEWRRMEEERRRKIREKLGYDEP UV8b_08268 MISARTLVSLGPRQSVVRPALARCLPTRRGTFSPATATCQRRWE GGAAGAPVTPPIVPPNAPGSAEPSAQKEQETGHFQVKPNESLLFFENIFPRRLSALLR YPAETDRDMTDLLGRFYSSSSSGGGGGLDPIALVKRAIPDDMPLKVTEILPRLKDGGA FVKVQYDASTSPSEIESTLRQKLEKHPLKPWFSPFRGVTARLVRGTPWLEDLYRFPSS LVKVEFVPTQPGATAEELSEEKLYSLFRRYGKIADILPQPFDSKVVPRYAQLEFPRLS DAIMARNCMHGFVVDEAMGGGRSGTALRLSYVKRVKAHSVWNWIINHPKIVIPILAAL LAGLSVIVFDPIRNFFIKLHVTRSLKFKDSRLYKWFRSQTVRHKPQHMEGLHAVWNHR RDLIERLRNWLDGSSDTFIVVTGPRGSGKIEMVMDQALAGRKNVLLLDCKPIVDASGE AGTIKRLAAAVGFRPVFSWANSISSMIDLAVQSTTGVKAGFSETLDSQISKILHTTTG ALKQIGLSSRSKRDKDAKLSEDAYLEAHAETRPVIVIDNFLHKNEGMTIVYEKIADWA ASLVQNNIAHVIFLTADSSFTKPLAKALPDRVFRTISLGDLEPGVAKKFVTSRLAEDA EDAPDGSVADDDDDSGGQDGAKRGTDLAGLDRCIEVLGGRLTDLEFLSRRIKAGQTPR QAVEEIVDETATDIVKIFLMGKSNDADRKWSCEQAWHLIKSLSESPSLRYHQVLLSPT FSSSTSASAASGEAALEALASTELITIKSHQGRPKIITAGKPLYQAAISALVRDRVLK AKMDTAVLKEMAKVEGKTVASVEAELGMLAKLPRQTLETAGRVAYLLGKLDASQRKIE QVEAQMAGLKKVLAEEY UV8b_08269 MAGWQVSQLIRLTAYLTPRQHDTIHTRAPPSSQLRRPHPVSNVV SNITNHLHTPDVLQYSPLQHGTPLLQNDPAPLHPRQTLELLQYSPLQHGTPLLQNDPA PLHPRQTLELLQYRLLQHGTPLLQNDPAPLHPRQTLELLQYRLLQHGTPLLQNEPAPL QPRHTVELLQYRLVQHGTPLLQNEPAPLHLLSRLGAAGDVSFRAGLARPRPRSQAATM SSFIMDHCWVLVEELLSGKRQ UV8b_08270 MEPRPDRVQGAPEITNDFHTALSTEAGLSSRAGSVPQVNLNSNI EAKIKNPLIGIPRRVLLRNVDHFCLEKDLGDYRHLIRQGALVAQDPTGYEDIIGPEAL SQEDVDSLRAEVLHKWRIPKVLYLTIITCSVGAAVQGWDQTGSNGANLEFPKAFGIGG NSNREKLLVGLVNAAPYIGTALVGCWLTDPINSYFGRRGSIFVAANFCLWPVLAGAFC NTWQQLFACRILLGVGMGTKASTVPIYAAENSPAPIRGALVMSWQLWTAFGIFLGTCA NLGLMKLLENVWRFQLGSAFIPAVPLALLIYFCPESPRWYIKKGRYRDAMRSLLRLRN SPVQAARDLYYIHVQLQVEKEFIGHGDYVNRFIDLFKIPRIRRATLASFIVMIAQQMC GINIIAFYSSDVFRTAGASPLEALWATWGFGLINFLFAFPAVWTIDTFGRRSLLLFTF PQMAWTLLAAGLCTLIPGTTGPHLAMVAAFVYLFAAFYSPGEGPVPFTYSAEVFPLSH REVGMAWAVATNLFWAAVLGITFPLMLGRLGVIGSFGFYAGFNIAALVLIFLFVPETK QRTLEELDYIFAVPTRVFVRYQTTKALPWWCKRWLLFQKHARLQPLYQLDVAEHEEEE EEEEEPEDNKSSHGGDENDKAMVELKDVVGYATTTRTREPMRRSSSQ UV8b_08271 MVANRQTSGFIPLGSKSEHDMNEAGIPLTAVKSSASTSGNRKPS HATSHSCDSASSEKPENELHHRHAGRRRKVGDDKLGRNNTGGSDEVSVNALGRLYEKV VGFSVVTRYMVYVVPVAVLLGIPLVALHLADKKDEIFLGSGDSFKDANGKAKNKNGPT LFKLFQWIEITWLSLWAAKVVAWVLPKAFMFICGVVSMGVRKYATVLKNLTITLSLFF WALATWITFRNLFAEPINFHVSWCDTMEKILGALFVSSAVLLVEKALVQLIGVSYHQR SFANRIKASKHEIHLLGLLYDASRTLFPMYCRDFAEEDYVINDSIDMMLRSKKKKIIG GNGAATPMKIIGDVGRFGDKVTSVVGHVAKEITGKQVFNPNSAHSIVLEALEKKLPSE ALARRIWMSFVLEGKDALYLDDFEEVLGPEYKSEAEEAFNMIDSDANGDISLDEMVRK TMEIGQERKAIGEGMKDIGQALRVFDKVLLFVVVLLTVFIFLFFFHSSFLTTVATAGT ALLSLSFVFAVTTQEFLGSCIFLFVKHPYDVGDRVEIGGVQMMVERISLLYTVFTKTS QNQVTQVPNIVLNNLWIDNVTRSKSMTESFKVDVSYDTSFEDIELLRAEMEKFVRHPD NSRDFKPEFTIGVDGVNNLDKLTLDISIQHKSNWHNGVVKATRRSKFMCALALALKKI PIHPPGGGVEALGGPTNPTYSVAVTDPWAAKSREEAAKKADAARMVPAQVGQTDEEAH AAETQAAAELNARTLAVETSGNWDSRDDRSIASRSPSMDRQRSRDIDSIRNELLKRES QRGRRRAGEGLQSLSPSVAGAGGYLMSPRLGSFDEEAQTGLPRRFFSFSRGGQHPPAV QEEEEEALEPRLRPTESQRSRLGGLSRGPSRGPRD UV8b_08272 MLIRESHVDVPTTANGRTSNMRIFVFHPTIAGYPNARFPAVCLF SEIYQVTGPVARFARQIAGQGYIFKIEKTLESYDVDSFATVDYLLSLPTCTGRIGSTG MCLGGHLALRAALDDRVSAAVAYFPTDIHSRTLGPYTAPNSAYASPPASSHTIDLLSR IQAEVSLIFGIKDTHVPDAGRDLIRLKLREANVAFSFHEFAWAQHAFIRDELSKGRYD PAVSKACFEVLMEVFGRVLRTELGSREGSGAEPEHVC UV8b_08273 MNGHFSAVGDKPAGGSYEHGVQVIDEDKDFNDNLNEYLQTTHVA DSGFNYHIISVFGSQSTGKSTLLNNLFGTEFSVMSETERRQTTKGIWMSKNRREESAG TKMADNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGANM GLLKTVFEVNLQLFLKDKQSTPRSLLFFVIRDHLGTTPLTNLRTTLIQDLTKIWSTIS KPQGLENSRIEDYFDFGFAALPHKILQGDKFVSEVHKLGSRFTAGHKGNKGANHELEG GVFLPEYHRRIPADGFSVYAQGIWDQIVHNKDLDLPTQQELLAQFRCDEISREVLVAF DLVLVPLEERQGEAAKLGKILVLPDLGTVSSEARGQLLRAFEAQASRYHKGVYARKRH ELEAKVDARLKALYMGQLAAAHKAGVVTFSDAVTNKVKAGQKAGGGYEFAEIVASEKT KTLEIFKSEAQGLAIQGLPWTNFQPQYQLFEAELDEVSGKLRKEEMRRLATRVERWVK SRLGEAIGLEFNKLGSGRAGTGAPETGDKPPEKDLWDRVWSVFTGIVGEAEGRFADRA KSFDASDEEAEVGMWRLRRKSWVGLRERIEEEVMEGNILLKLRENFEDKFRYDEAGVP RIWRPTDDIEGIYTKARESTLTLIPLLSRFRLSTTYAPPDLVSFIGGQPGGAEAGDEE DLAPIGGVDEDEGKSLEEEMTVLSESKRQDLVVRFKKTADGVYVEAKRGAIGGVAQIP FYFYVLLVALGWNEIVLVLRNPFLFVFLIMLAGGTYVAYTLNLLGPMMQMSNAAVNQG VEIAKQQLREFIANSDRARHALDVPAEPRAHRANGDGISLDRLDSRGKKAHSTEEADM DI UV8b_08274 MDEPKPFVPPSVHPLPLLTGASFTHYSPIPPALLSPAPGSAALP PCCLGVDEAGRGPVLGPMVYGVFYLPLPLSDPLLRTTHGFDDSKVLTPAVRSSLMQTL CTPGSDLHSSCGWATTALSARDIAAHMLQPSTYNLNAQAMDATVALIQGVFARGVNVR EIYVDTIGQPAAYQAKLQRIFPTTNITVAKKADSLYPCVSAASVCAKVTRDAALEEMY RARGEGQDAGADAGADAGAEGMAWGSGYPSDARCVSWMKRNMHPLFGWGPECRFSWGT AKDMLDGKGVAIKVDWPEGPEEDADAPRLTDFLTGRTGDKSDELGGWYGTCVGTEAF UV8b_08275 MARSAKRPRTRPQALHQLGVRGRKTGVVLRDRGERDEHGMQPLD AIFSPHEGQRAPGDESSDAGSGDMEIASSEGPGPQTILRNRHSITHPLPKSRSPAKTK LKSPAEKTPRLDRSSSPSRSQLTDDRDLTVTRKLDFSTKGDRPRRSSAKRISNVSTSS RRSSRLLEQQQQEEEEELEEEELEEEEEDGEPVSDIHPSPLFQKDPTVSDLVTQSMQM VDAIDENMTAAGLGQGAQGDVPPTGGDDYPEDEPPGVPSPAEPPSLPKSSPALPPPPK PSRIPPPTQSIYSPKKRPPLEMGDEPTGSEDEQSRSEAKRRRTKTRSPLPPRAPKAPL IPKSKPAVSNTAAQQLKRGRGRPPKAGRRATKPSAGVEAGAGDDGDDDGEGDETFMEI QRGPPMPRSRGLVSLRNDGGALATQARLGRRPARPRDYWTGDIREYDDEHDKEELPVK EVIRLPADAPPSSRAPHSKTRAKVKATIREAVQEDEELEDWEVDEGTVTGEIVLWEAA HELDPPGDDDEVQVTEERLAIAADAIETHDNPNTTFRFAKLLTLPFIGAGVVDLPPEA EKRPKNSRKMHLVFFVHYGKVLVTINEVQFRISAGGTWFVPRGNYYSITNDYQVPARI FFAQGCEVAPAQG UV8b_08276 MLTYLLRSKLKAMLTRIHDWLKPGGVFVFNLATIDKEELHGEFL GHEMLWSSYGVDKNRELLAEVGFDILQVEVLQAGDGKSEDDPDFEVEFMWVMAQKKGS PEKGTSGDKLTKPGELFV UV8b_08277 MLGTALSVMPPGQWLKTRIMAPRLCKRAFSLFSQKPTAPARVLS HPIEEELLPKERLKHFHATHPGQILDGRFKTIAKIGYGAGSTVWLAENLSYKRWFKSS IPRYVTIKIPATDIDSAAELKVLKLIANANPLHEGLGCIRTLYDAFDLPGEHGTHHCL VLEPMRETLFTFQQYLPRKRLGLGIFKAYMFCLLQALDYLHSECHLIHTDIKDDNILI TFENDSVLKELVDFSKHNPQPMHIREEDGRVTYLSLGPIDGWEKGSMIPKLVDFNTCF HGLPDNAGRTNPIQSHRYRCPEAILGGGWSYSADIWNLGLIMWDLLEGKSLFHPAGEG GEYDAHVHLAQLASVLGPPPKFLAKREQLYRTVKLDFELVNRQGKKCNTVNDFWGGPF FDQDGGLIRRDLIKGGMGLHSTVTELVGEDKEEFLDFALCMLHWLPDGRKTAKELLQH RFFDKKGGRDPRSNGYMDFVE UV8b_08278 MVRPGFLPLWLLPLAVALPPVHHQDFQPDHVLRVSAATIDIGCQ KRLSAVVNGTTPGPELRISPGRSTWIRVYNDMPHDNLTMHWHGLAQSAAIFSDGSPKG SQWPIEAGRFFDYEIYVTDEEAGSYFYHSHVGVQALTVFGALVVESCAPPPHSYDEER ILLWSDLFNKTDKQIEDGLVHVPFEWSGETQGVLLNGVGVGADAADAQPSRSCRLPVI DVDPGKTYLLRFIGATGLSLLSIAFEAHDNLTVVKVDGMQWVQPVSVGHVQIASGQRY DVLFRAKSPEELRAAGKQTYLVQFESQSRPTTYTGFAVLRYAQDAPLPAVPAVSPVHL PALDTNWLEYQLRPLDARSARCPTLAEVTRRVVIPVSQLTDPASGKIIWNMGNQTWTE NSFSSPALVDIYKRGDAAVPDYDAAVRNGGWDPRTGLFAARVGEVLEIVFQNTGSLVS NNGGVDAHPMHAHGQHVLEGGSGDGLYDADENEKRLAAMDYRVALRDTSILYRYAAKT GAGQPAGWRMWRIRVSDPGIWMVHCHTLQHMIMGMQSVWAVGTAADIQRVPYEYISGY LDFGGSAYGNLSYSPRSVHEFSDVNKKSQSGCEIKVDSCTRPQ UV8b_08279 MAIYKVVAIPTLIGSALSFTATSIVLVLHALYPPPHHFRHSLIL NLLIADCINSLNNTLSGSYILATGHQTTQSAASRTCLANAWVGQVSVQTVDFSVLLIS VVVLVAALSKRIVKSSTRTSVLLCVATWIPGLITGTVGLVLGAYGYVDGNWCWIRPQY LGLRYTLTHGWRIAIFATTVAIYTIVYIHVKRVFGKLMPSATASTVLASNTWPPQQQQ QQQQQQQQQQQELNLLKHAGTHDRDSPEPLFSRASLPHDSRSEPMPAPAPAAAAGGSR PWFKHAFRSQRAAPFLKVPANPCTPENRQMAQRKSDLRKILFLNGYPIAYIVLWIPGI ANRLAESLGNSPRWLVVLQSSTQFIGLVNALSYGLSEQLRRRISEKREQVRLRD UV8b_08280 MPIVPIAWTSLDEYVENLCEFISSPIARQITGGIHVNDALIHNA WATLPKEWTDWWSLFPHHRLAQQQLIDSIREEADRPEASSELDGMDSRPGQTPESLAA WLARLQQLALPRTPREGPTTPLPEILTLRMTPKKIAEVSKVVAYIHSICQRRSITRVV DMGAGQGYLSVSLAYLFPQLRVLSIDGSEAQVAGSRSFSGSLAISEHRLKHLVHWIDG SPALAKQIEDWADGQPCLLVGLHACGSLPETMLRYFTTVSCIDAVAVVGCCYNHIVPR SPSCPDGFPISSALQRHNVVLSPTALMAGCQAPNNWKRKSAQTMAAEEDSVFERRRLY RAILEKIFFDKGIPVAAGADGERPIWGIRKGDVASFTKFARRAMECLQLGYETVPTAE LVGYEERYKGCMGQISILWTLGVLCCKVVESVIAVDRYCFLREQGARAVDIVPIFDVN ISPRNLMMVAEKEPNPNPL UV8b_08281 MHYRVQLIVAMFAMGGLARPSGCQVQQGLDCDDFKTPEKPERPL MCNFRNQYNGYTCFPVNSCNQCAEMNPGRKVWCGVKAHDAWVCNTPPKGM UV8b_08282 MAPEVASKADHLTTPTPLRQPRQFARENSRPSPLAEHAACNDKY VIVYDFAGIDFDVASKEFNRLLDCLEAAGLHTEVRPGYEQTILVLVKANSELLGNTVY KHRVKDWLYAIRQSHPGGDKDTVVKGSYEAEDLLALYHLISWPKDLGGAGITPEVTPW ANVKSIFPLHNEAVNQSLLGHLSKRLILTSEDFDKIRDLHGPKVAFYFAFIQTYLMFL TFPAITGLITWHFLSKYSLTYAILTGVWCTVFLEYWKITEIDLSIRWTVRGVNKVKVN QPSFKYDKIIVDENGRTKHYFPKWKQISRQLLQIPFIVLATLVLGLMISSVFVVEVLI CETYEGPHQFYLKYVPTIILAVAIPRISSSLEGIASALTEYENHRTADDHEMSLTQKV FVLSIITNYLPILLIAFIYVPFGDDIVPHIKQPLQRILPASFADKLVFRAFRADADRL RNQVIALTVTGQLSDFFEENILPLIKYKLSDWYRDYRRAYTKGTMLLTLTSDDPDEAS FLKRVRNQATRSKYNVQDDIAEIVLQFGYLALFSPVWPLISMGFLVNNLIELRSDFAK ICFEHQRPAPTRSDGIGPWVTALETLTLLGSISTAAIVHLFGTDSVGGGSWSTLPVTI FVSEHALLILRALTRWIFERYGSEQIRRERNERYARRLHHLEQIEHNKQAGLNLSPAE RERRKSVLVLGSDSFWTKQLEDGSSAAAGLSLINLARQWKKSQSGEKED UV8b_08283 MPLLRVPTLWLAILAVSVQPASIVYVTDLEIFTSLAPCASYAVS YGVFIETYSSRCGDSQTALQTCICSNSNEFKQVTNNINADLSSTCGTGAGTSDTWSAS VVMARYCSLDEAITFPKPSNVVNAYITELSQMSYLPHCAQSALSYAVMADMADKCPKD ASLFAPCVCNSHRAKGISETLSKSVRLSCTNDQDVTAAQAFYNEYCAMNSGTTSFAAP QGPPGDVSYYITALPQYKALRSCAQSAVSSAVLDQTAWNCASGPQALASCACLKSGMR GKVSSSLTSQVKGYCSSTAIDDVTSAISVWEYYCSAAENKVVATVSESVSQTGATGVP SRTQPSAPLASQTGDSAGGNGGNGNTGGTNKTGVIVASVLGAIVAVVAAAGLFFFFRR RNQRTAKEEQISRTEELDTLSKHPYPSVDASIPELSTPSHMPRPELYPNATLLPAELP PEQCWGRAELQGGGPQHPTSASSEHQVGAQYAVRGYGGDGSGPRGGEVYELGMNMPGT S UV8b_08284 MYPPLVCFFFAIFFLSITSTKVLHLFLNARAFPTAVTVVCLPAF VVPDIVLISLVWLLLRPKNGFFSSLGFLAACLICLVTLGAASSQWGFFYQSGGEPDWG DAASFAASEEGRKILLSEGAMPLSFACGILVISWIIKSRLYRFVSRALAAAGIYAQSL FRWTTSKAGLRNSTKWEETESSLLPTLQHDFDSDSDSDSDSNGLHEKMGATSSRAMHC PRYLPLTFALLVLVGLLGISAFFDRDRPYSRMFSTLPLPLLAIFLPKPVQCATSWPLP ELIDKSAWEAPQGYFKGWAPGAANHIIQTYRDRQPDWLPAIPLSGFARWSRDAKASTN ELPLNTTERQRKHCSDPLILDPYYNPANDPIKISNLGNPFLEPLQAVFKDGSVKIKHV AVILMESARQELFPLRQGSGFHNLLMKSHDELDYDDVNELLSHISPNAERITGLGGNW RSSNGTAFGRKHSEWDDKTKEGFGGININGALTTSSVSMKSLATVLCGAWPMPVDMFQ ESETESYQPCMPEIFELFNRLKTKEPSDHFHQQQWYPAFFQAITDGYDRQDVFEKKIG FPLIVNKDRIKQDSLDEADLEEVNYFGYPDTAVKHHMANYITNATANNQRMFLFHFTS TTHHPWSTPKWFNTSEYLGQAHGLMQTHKDMNSYLNSIRFNDVWIGQLMQMFDDHGIS NETLVVFVGDHGQAFKEDVAKTGTYENPHISNFRIPITFRHPQLPRVQHHVNATSVSI LPTILDLLVHTGSLNERDASAAADLMQDYEGQSLVRPFRTSYKGRRAWNYSLVNPGGR MLTITSSDTPWRLVLPLDKKTEYMFTDLGQDPMELYPLLEWSISSLQASVRRRHGNEA AEWVVEAQKVGLWWASERKRLWKYEG UV8b_08285 MRVAPLLALSSSVLAADWASESWDAIVVGAGTAGIIVADRLSEA GLKTLLLEQGGKSYGIVGGREGPAWLNGTDLSRVDVPGLFSSIFRGKSSLLCSPDQVS AFQACTVGGNSAINAGLHFQPPASDWDNYFPPGWHAADVQPSVAKLLRRQPPLTHYSP DGKFYIQSGYKAVHDWIVGSAGYKNVSLLDTINDKERVFGRPAYNFIDGQRGGPTRTY LQSALARCNFRLVIGASVKYVEQRNGVATGVTISHDGTIKTIKLARGGRVVLSAGAML SPKILMYSGIGPREKLAKLAGQRFTPYVEPSSWVVQPQVGSGLFDNPNTFIVLSSPDV QSYVYKYDDPDPKDRDLYLNGRRGPYSLAGQTSVFWTYVNHTDGTRSGVQGTVSSSGF AEFTGANTITLNIYGTSGLLSSGSVELSDGNFVAKPSSGIYYGHPRDAQTIASFIHSL FQRLPPSTPNSPAKSGLTPLNLAQNSTLDEIVKYITTPSAYAVGSVQHWSSSCRIGKC VDKDTKVLGTSNIHVVDASILEPVTVNPQFAVMVAAEKGAERILASMRGRPRTRKARN UV8b_08286 MSQPLVVGIPRGAQTNTLIGNNAPVGEQESCGLASPGLNLVFYD ATWQHATICNLQAVGHAAGNRLTASSDTPRELKDMKTAASGPTGSRRPEDSVGRWEFW VLGSWRSTWGERSGEYESRTTSC UV8b_08287 MWPECASLGPQPVSCPSSRSSSPSVPGPPTQTDHSILGVIFNFS PPAMPDQVDQQPAKTEILPQDPTQPVETRQPEPESRMAVDDVRLRGGEYASCNCCGCG CSEECC UV8b_08288 MAPYLGLRGSALSRAFIGLVVLPAFFCYGYNLSVAGGLLTLDSF VQAFPQLDTINTVGEELRRNSTIQGTVVALFTVGGMLGSLSCVYLGDKLGRRRVIFVA SATTAIGAALMALSFSLAQLITARIVLGIGTGGYVATVPVWQSEISKPSKRGAHVVTN GIFLGAGASVALWIALGFYFVKGTTLSWRFPLGFQIILLVITMVFVCVLPESPRWLVK QGRHDEARAILAALGDVDPDHDAITQEIRDIRLSLSVCGTMSWKTMFSMGNQRMLHRT ALAAAGQMFQQLCGINLITMYATTILEQHLGMGPVKSRALAASMCMTQPLGGFFAFFT IDRLGRRRLMLWSAAGMAACMAVLSGTTSARDNAVALLVAIVFLFAFQFIFTVGYSGL TFLYAAEIAPLPLRAAISAVSTAVVWVFNFLLAEVTPVGFNTIGSRFYVVFAVLNAAI VPTVYLFFPETSGRSLEEIDDIFTRSKSIWDPPRVAKSVQSSPEGGASLDKDESIGQA AA UV8b_08289 MLTVLVSVLLSSRVLLVAAEAVFYGGIPTVGVLYDDKIKEHYCT ASVVESTSRNILITASHCLSTDGTEMNFAPGYFNGTAPYGTYPVEALYVSPDWNKNFN IKRDFAFVRLGKGKYKGKKVHVQDVTGGNKLRIGAGYTQKVEIVGYAYGEQRPRHCSS ETYRAKDGQMGIECGPLQSGTSGSPWLANYNSGTRRGDVIGDIGGWHTGGCDDYETFS CRFSKATLELFNRAVAGGPGDTVTGGAPENCNSSSQSRKKLHAAVKAPMSACDDLFER LHAFVGPSILFSEQRRLLLSSVTHAAT UV8b_08290 MLQLLSTKIALDLLALFVVPWQNWEPWPQAHKISARMLRFRIGV DAVLQVRVVDQVLLTFVFTIESSILGRKCRCIKTGRRHAPNASGLK UV8b_08291 MNNSIPDGRVGNLTPEQEAKLRELWQAVFKLYDILLEWNRKKDT EAPAAPKVEAPKKSRWFGLGAAQAEPVAPEVPEEALKLIDCGDTDKYGLSKQFQQVIA TQTSQSLRAMVLGAIKHEHPDAVVLRFLRARKWDVNKALVMMFSAMNWRHNEAQIDSD ILTNGEEVLVRDEHDGKIKSKCLAQDFMKQMRNGKSFIHGFDRQNRPVSYVRTKMHRA ADQSVESLERYTVYLIETARMTLHPSVETATLVFDLTDFTLANMDYVPVKFIIKCFEA NYPESLGSILIHNSPWVFKPCWKIISAWLDPVVASKVHLTYGAKGLEEFIHPSQLLKE HGGEDDWEYKYEEPIPGENDAMKDTATRDALLKERQDFAQQFEDATRQWIQNPEGTQA ASLKQERESIAVSLRENYWKLDKYVRCRSLYDRQGIIVPGGKTN UV8b_08292 MADDGHSILAPVSAASQPLAHKNHVGTTSRAKSSGATTPPLGSL AVGVADKDDSGETSEELSQDRLKQIAAAGNAHFHRLGWKRLAIVTIVEAVALGALSLP AAFHTLGMFAGVFLTITLGLIAIFTSYLVGQVKLKFPETSSYADAGKLLLGRFGYELF GAALVLELVMVVGSHALTGSIALGTLSDGHGCSIVFSAVSAIILLILAIPPSFTEVAV LGYVDFGSIVAAVAITLIATGIQANDSAVGLGGIDWSAWPREGVTFSQAFVAVSNIIF AFSFAIGQFSFMDEMHTPTDYMKSIWASGFIQIIIYALTGALCYAFVGSSVKAPALLS AGPTISKAAFGVALPVIFISGSINSTVALRYLHGRMFKNSVARYINTPMGWTTWIGLV IAFTLVAWVIAEAVPIFSDLLSLASALFVSGFSFWIPAIMWFKLLCRGSWYSKENLLT SIASIVAFIVGAVTLVAGTYSTIVDIIQETAQGSAHSPFACRSP UV8b_08293 MRPTQINAVWLLAAGALAQDPQGWYQSHPGMARISHVNQDTHQI IDEFGRTRFFHGTNVVMKEPPWHRPLEWMPGVSSFGERDVQTLRDLGLNVVRLGHHWA GAEPVRGYYNQTFIDLMKTQTRMAAEQGIYVLVDVHQDCLARQFCGHGAPDWFAKKDW VSRGKRYPFPLKLKPFPVDQDGFPTPHSICGTVDWGLSYTSVALGNAFGRLYNNDDGL GDAFAAYWKKLASEYADAPNVVGYNLLNEPWVGDTWADPTLLIPGIADHRALEGLWNR AAQQIRVVDNNTLIWFEGATLDILSGFNNVPLGAGSKSVHSFHYYSPPQLGSISETLR NRRRDSERLRTAGVLTELAFWTGDEKHMRRLEEAMAATDASMVSWMGWAYENLYNGST GQPFPELARHYGRAYPAAVAGTPRGFGFDASSGTFRLRFAADPSVDAPTEIILPAASF PEGYSVKVEPQGSLVQHVPDGRTLALFTAPHVGKGSDISVTITRK UV8b_08294 MPQGGRIAGDPFFLWKPLPDLHRPDFPYHRGLNLSIRRHRPPPP FGITGYLKGPERQESPPEPLRQVTQSEWCLQHPPANTLPHHDSSEHQLYIIDEIACED GRGPQLVRCCLDEDNSQTYAAKIYDPLYYSYADRGFGTPVDVTWLADQHYSRECAAYE DLSQAEVDGILVPKYYGSWTFNMPLKQSQDVRPVRMVLMEWISGFSMQSLIDRQKVRH FSPEERLCILAKAMEVECYINFHGVRHGDFAPRNIMLEPSVDAQNHRVLLIDFNYSST FSRPNCKWKRQNATRPTSPRYRYWGPCPNDFFLWVPEPHRSRPAAFQGWLKSQWEHSE KFADRAEVNLRPYFNYDEPAEMVPPQPDVTQGHSKRFCVAVSGKSSVYIDSY UV8b_08295 MQDSTITKLTILDILDLIPALASIVAVGIFSALTGVARSHKDPP SLHLHIAYAMLRKATARLTPLQLQLISPLTDVVYEQYARSVKIKAQTVDLGNGAQGHW LGDRDAKNVLIWYHGGGFCLAANKGYFAFLDSLVASSRQSNKDLAVFVLTYTLAPGAA YPTQLTQAVSALRYILKQTRRKPSQVVIGGDSAGGNMVMGVLSHLAHVHPAIPELKIT EPLLGAIGIAPWTLMGQDHSKREIYHGGDLVTPAVDLLWSTAFLAGAAQDYFTSVSDA PKSWFEKFPVRQVLICGGGSEIMLPVIEDLAEKLQAALPNVQLFVGEREGHVAPVYNI YVGDRTETQQGKKIKAWLRDVL UV8b_08296 MSSKLVLENDISYIQTPKQAPSSFAAFDCGVWTTDSATIHNPPL PSEGAGNESFSNLLLGALLLGVPFLVIRFVGLGFKTWFFFVVVLALPILIVYWTLASN FSPRINENVRLPGRPVETYVTFKDAADKAKWGGNSKVPIQTFSELYINGRADFNGDTL DVLEYRHDWSKFSFTWDLFKYIFCTFFVDVLFHTREQDMEQIRPNYDSGNDHYAWFLG PRMIYTSGVISDPTREETLEELQDNKMAVVCEKLELKKGETLLDIGSGWGTLAKFASV NYGAKVTGVTIAKNGAAWGNDVLRKAGVPEEQSRILCMDYRDVPKQKFNKISQLEMGE HVGIRKLTGFFRQCYDLLEDDGAMYVQLSGLRQAWQYEDFIWGLFLNKYIFRGADAST PLWNYVRSLESAGFEVKSVDTVGVHYSATIWRWYRNWVGNADAITAKYGQRWYRIWEL FLAWSVIASRQGSATCFQILVVKNLNGVHRINGVYSQFGLSGALAKSRALGKASLPNS S UV8b_08297 MSGKLMSGRLERVDRKQPHDGIAAKTEGVYCILEVAGAWPGGPI ALRIVATIAATIAIVILSCAAGA