-- dump date 20170509_025438 -- class Genbank::CDS -- table cds_translation -- id translation UREG_00153 MLNSRQARWVENLAAYDFTLEHRLGACNPADAPSQQPDYKLTDM QEHQMDMLPILQCKLALEIVKSENPYKKPADLWQEARPGAGTSCLESLIPRIIVIEAQ EDENVYGSVSGSMGGLLCKLQNGDAWSAELCVVLNSSTESAFYWQAG UREG_00154 MGNVAVDLEDESSSHVREDSSEENDGDVTMSSNNLLIPSPSPSS DTNAEDEQNDGDSLSSLSSSGIPEEQRFAVVICKNLCL UREG_00155 MENHKNVLAQSCGIMQNLSCKHCDEGFSLFTDCVLVPRHLKDAC TNCYYNSSEYHCSFHLDAKKRKHSKAATTTTTTTNNTDIKKSMEAENPTAKKAKKLEQ EEQVAQKMFTVIQTATMAATAHAEMQQVLAAFLKMSQTAAIAAAKASSALATVLDIVT AEYTEKASYEGIEGGKKRKGTAERRI UREG_00156 MGVDDVENCDILVPGAHLLSKEFETHLKIHLDTVFDEYKIYEDA DFTSFTSKTANNELKLIMAPLKPASIQVMHPLLSHGTTETKPHQHVTVSLVSFSESSM QPAHLGTVWSYVEEWHTHVHMIMICKFQCLFQLEEHLYQAKALGSVWNSIEISSDEEL VIGNESSESEDDFNNKIHNCSSSEPDFKSLSQTHSQSSTSMR UREG_00157 MSLLAYVFCASAVSFTMRILIFSVLFFQKERSVKNAARHIVSVF LCCVLQITQSDETVDDSDNDYSLSVVSTSTFSIIWNADSDDNNDDDDDKSAINENGVD SSHERSCAVSESSDGGAVISDDV UREG_00158 MQEHQMDMLSILQRKLTLEIIKSENFYKKSAGSQQQAGPGAGTS CPESLISRIMIVEAQENENVYDPVLESMSSLLCKLQNRNV UREG_00159 MPSSASIRLLLKARRGGMSVTSSCLEEKTYHANGYVVGASNRVV VTTMTICFRSPAGCRRCSRHASSEEQAIFPCILPGAQVPFRCDVQSIHQRLRIEIFGK HLPPEELSISRSEHRPSPDHSVEALKQMDSGRLPRTEVGHLETMAYRSSPLLTVIYSS LTNHNLSRLPILEIAVSDGLEDFARKCTAEKGVVLLSPELEHQDSPKESVYKFEAVAY SAAMPDIDETSAQLDGNEAEDGDF UREG_00160 MAKKTRSLLHGEITYSFAKDEEVNILHRLGYVKQRAKFFNVLYR KRAWMKAIVAHHLGASPNACYVAGSQDWIYGSFNVCIPVTVSSSWRRKTQRLMLRFPL PYRVGDHFCPGNGDEKLRCEAGTYAWLQQNASDVPIPHMYGFALSTGETFTTATCLSF WKRWFFKLRCSVLSFLGCPLPSRYVPHRPHSQATDIGYLLIEYIDNSAGRMLSNTWST KRHDDRLRGNLFRSLSQILLSITRTPLPCIGSFIIDNNGYLQLTNRPLSLEIQALENE NIPTDMPRNYTYATVDSYILDVLRIHDNHLLHQPNGINNLGDYSSQAAALTILRAALP SFFDPGLRRGPFVLTFTDFNQSNFFVDEDWNVTCIVDLEWMCSLPIEMCRIPPWLTNK AVDEIAEQPEEYGQLRQELVDTLAAEEANLLATNKADAQQHRLSMVMNQGWELGTFWY SLALRSPTGLHALFYKQIQPRFLQKCPEHTEFDNIVPWYWRTDFLEVAIKKMEDKQNY DRQLREAFDQNEGP UREG_00161 MEKIQGIPLAEAWRRLQETDKLKVLLKVFDYQRTWSSVSFSRLG SLYYTEDVHVSPEDYLYADKNGVHVQNPKFTVGPAANYEWFENGRDYANCDRGPCKLR VAGLYQPNRERKLAAMQSYLQVLETFLPKDPNLLKGHIWHSDFHDENIFVNPERPTEV TGIIDWQSTYIAPLFDHTLDPLFFDFPATDVDDNLEIPKAPENYSTLQGEEKATVARH YLDKCLMVAWRRLVKKKNPSHYSAIMFRNSVIGVFLEVSRRVSEVGEANARALLLDIR DDWQNQGPRLDTDPIPFPIEFSDSEVAEIESDAESAERGMDAMADIRRRLGSSWPEKG IAEHEKYEEVMETLRAAKIDLMKQYTHTSDDRATFESYWPFKD UREG_00162 MAGLRISARLRLAYVSALFQQRISFIDKLPVGRPTTTITTSANL IQAGIGDKLSILVQSLTLVIAAYVVAFRYSWSLTLVSSSALVFLLLLLSITVPLFMKL QKKVDFANEKASSIAGEALGTMRTIVACGAEDRLGSRYAGWMAEARRRGLRISPVMGI HLSPLHFALYCNFALTFWFGIRQYSRGNVEDVGEVVIVIFSVLIVVSAVSSIASPIMA ITKAIGASEAFFEVLDKPSPSSSGLREPEVSATKDIKLNNVTFSYPSRPSVKVLNNLS LRIPAGKITALVGPSGCGKSTIVGLLERWYQLDDVLEPLKISTAEQNSKNSDEDQSVA VDTIAPNAGFISLDTTNIIDLDLKWWRSQIGLVQQEPFCFNASIYQNVSYGLFGSKWE NEDETIKRQLVKEACHEAFADEFIDRLPQGYDTLVGENGIKLSGGQRQRLAIARSIIK RPAILVLDEATSSIDVRGERIVQEALDRVSKNRTTITIAHRLSTIKKADKIILLKAGQ AMEEGTHEELLSLEGLYYDLVMNQQLEMEGDPSSPERVEEDVSDPVLSKAISEKRAEN DILEVGEESIKPKSLINSVGLFLWEQRRLSLLYLSIIVGAAGCGGRHKGISTRQPETN LFVAGFSVQSFIFAHLIQTFQLTGSELLNRADFWALMFFILAIAVFFFYFLLGFSSVS VSTHIATIYRQQYFEGIIHKPIPFFDKEENSTGALTARLSSDPTQLQELLGPYMAFPL ISLFNIIGCIAISFAFGWKLTIVSVFSAYPILILAVFIRSRYELRFEKLNNAVFAESA QFATEAIGAFRTVTSLTLEDSINKRYSDLLQNQVRAAFLKARHGCLVFAAADSLDLGC MALCFWYGGQASGQFGSLTPNMAQASEAANRIISLRTSPGHDDSKAPGSVVELDGGAK IEFRSVSFKYPTRDLPVFKDLSFTVVGKLRSFPFSRGSIRDNVMLGVDPATVTDEEVY QACRDAEIHEFIQSLPDGYATDVGNRGLSLSGGQKQRISIARALLRNPQVLLLDEATS SLDSESEKLVQAAIERTAKGRTIVVVAHRLATVQNADVIFVFGELHTGRWGKRECTKG SGIVEYGDHRSLIRRQGVYFEMCKSQALDR UREG_00163 MTVVPLNGPLRRLISISPKSTLYFSKRTFATTLPRDADWGFIGL GQMGYPMARNLRAKIPESDTLVICDANPESTQRFVAEHSNQRVEVASNPREVAEKAGT VITSLPEPQHVKGVFHTILKNGLPKLTKERLFIDCSTIDPISSREVASAVHSTGAGRF VDAPMSGGVVGATAGTLTFMVGASSKVPGLVQEVEDVLLLMGKKMWLLGEQGAGLSGK LANNYLLAISNIATAEAMNLGVRWGLDPKALGQMINSSTGRCWSSEVNNPAPGVIETA PASRDYSGGFGVSLMKKDLRLAVEGAREAGTHLELAAKAQEVYDATEAAHRGKDFSVV YQYLKDHSN UREG_00164 MAYIVPLHHASSISNAITLQFMKPGEDCLVIAKSNRLELYTKAP DGLALKYSKAVYGKISVLQKLPRPNPSETDLLFVGTDRDAYFTLSWNSATGQLHTEQK YVDMADPSLRDSQSGDRSWVDPSGKFLTLEIYEGIITVIPIAQEPLKRSSLSGPSLGP PKERAHLGEPVQARIEELAIRSTAFLHQDSSRVPRIAILYESTDGRVKLKLRDLIYTR GVVNGEASVAEFHNVDELYDNLELGAEILVPVPLPLGGILILGEKCIKYVDTISNETI TLPLEYNTVFVAWEQLDNQRWLLADDYGRLFFLMLVLDSANAVRTWKVDLLGETSRAS VLVHLGGGVVFLGSHQGDSHVIRITEGSFEIIQTLSNIAPILDFTVMDLGHRGDTLTH EFSSGQARIVTGSGAFHDGSLRSVRSGVGMEDLGVLGAMEHITDLWGLSAFCAEENCD TLLLAFVNESRVFHFSPDGEVEEKDEFLGLLLGETTLHASNLAGCRILQVTERTARIT DVESELVIWHWSPSGHQKITAAAVNEQYLVLMIGGQEAVIFDIASDIQVSGPKTFKAN KQVSGVTLTSSPAQACIFCFPQSAEISIVNLTDLTIRHTETLGEPGDAVPRSVLVANM IPSKPPSLFVSMADGSVFSFSLNAEDYSLSNANKLVLGSEAPVFKLLPRGDGLFNVFA TCDHPSLIYASEDRIVYSAVNSDKATRICHFNAEAYPGAIAVATPDEIKIALVDAERT TQIQTLMINGTVRRIAYSAAERAFGLGTVRRSLVQNAEEVKSYFILSDEIMFRQLSVF DLNSNELVECVIRTEHPAFNGQQNNGRPKDIFIVGTSVLDPAEAPESQTKGRILIFDV GVNRELRMVSEFPVRGACRALAMVNGKIVAALMKSVVILSMKKGNSYSIDIGKESSYR TSTAPVDLSVTDNIIVVADLMKSISLLEYQAGEAGQPDSLKEVARHYQTLWTTTAAPI AENAFLVSDAEGNLVVLNRNTTGVTEDDKRRMQITSELRLGTMVNRIRRMDLQASQSS PVIPKAFLATTDGSIYLFGVIAQFAQDLLMRLQSALASFVASPGGIPFSGYRAFKSAT RQADEPFRFVDGELVEQFLDCPLEVQEAVLAKMDGGGRDVTLSQLKDIVERLKRMH UREG_00165 MRTYTVPYHLCHGNDELLGKIDIRLIGLITHHSAESFPQLGFQS LSPATMSDYPILAVLQTWCGNFTTNVSSSISNLTIRDYIRLVWIIGGYLFLRPYLDKG FRKMFERGAAKSEKEEADAEADQMMPTSNPNLLRDGRDQETEDEDEGDSSAVPQWGKS ARRKQRKFMEYLEQEAERRKEEDDDKDIADLLED UREG_00166 MPSIYIVIIYLAAMVNSHMQLAQPFPIRSPLDKQSTNKDYSYAA PLKPDGSDYPCKGYHKDAFRATAKYQSGQDYSIKIAGGAPHGGGSCQISLSHDNGETF RVIKSIIGGCPLKKEYSFTVPRDAPPGNALLAWTWFNKIGNREMYMNCAQVTIVSGNK RGRAATATAFNSLPNIFTANINGEGRCKTREGADIIFPDIGPDVEYGPGGSGKPKKGF TCDDGGQPPDGAPALPSDTPQPSPTSGLQSNKSPISTSDFLGFERSSMPLPTSTGFSK SPANDTGNGGSCVQRFTPSRNSIKTLTPSYVPKPTSSAKLPPFLTAVNSNTSTVIPAS VGPSMRALNLSRPRKYTAIVRLGYRHIQKHICYLQYHFAHGCNLSRWTLAKDLFYTGF LWNFGVTWWLFDRRLRREWNSPVGITVGLVEINNCSSRTDVATSRKPEHRPETRGSKD IELAKIRSIADSCGIAISSRPASDFATRDIDQDLARLLKNEHATGTLPQTDLKLAMGS AAALIKYLGAMSDPSNFGQYQLYQHDLSQYMKLDSAALRALNLMPGPRDGAKSMSLYG LLNHCKTPVGGRLLAQWLKQPLMNHNDIEKSVTSSGLICVTVSDRGIDISRARLCAIS GHDGNGDKGGGKGEVKNHSQTCKERNTADAKGEDRSQKGVESSGP UREG_00167 MSQISDYAPYLPPKTFVLCFDGTGNKFSGTEADSNVLKIFRMLD RNNSRHFHYYQPGIGTYVSTASLSNTGLLSRIKGSYMKAKDSAVGSSFAEHVVGGYRF LMRYYSPGDDIYFFGFSRGAYTARFLAEMLDYVGLLTAGNEELVRFAWKTFSKWQQRS GDSEEHRKEKQKLFRYMKAFRETFSRPVKQIRFLGLFDTVNSVPTFESAWMQRNKFPY TARSSAKVIRHAVGIDERRAKFRQDLISECRHCTVSHYSAAQKYSRWRHRKDKKNGAR KFAGNQPNNGTRNGEKSKRNNGDNGCCNKQRPQSPSRTDSYETEDRYRPPVDQRAWKD HNVHLSTHRPGDLDSEIASARSGISQLSFHHDYQTAFEDGAQDIQEVWFPGGHADIGG GWDLRPGEAWPLSHAPLVWMVQEAQKAGLQFDERKLRQFRCDGPYKSRNQIMEVEKPS VVVSDENGAHPCIEDNDDDSLDMAQRIADERFHTALYLSSTQGHLHDCLSFDGSLSAI SVLIWKVMEYLPFRRMDLQPDGSWKPIRWPLPCGEVRDIPKDAHIHVSAIRRMKADKN YRPGNLIVGGGGRGVKRAPEHLGIGEWVIVGDQGHVVREIYVRKDSRAAE UREG_00168 MSATNGTSNGAHHANGAAPSSKPATNSTPNTKHSNRGVKRPEVE STFKQYAQLIHAARRPLPTQSGDGSYLRKSKAKSSLLKDLRSMGPRGWGTLLAVRKSK RSGDLIDDKTYIMERVINLVAGLPPESTMRTQLTNAFVKELWDTLPHPSLPAFGDEYM YRSADGSNNNPLFPKLGAANTPYARSIIPSSIQLGALPDPGLIFDSLFAREEFVPHPN GVSSVFFGWASLIIHGRAKSDANFQRWKIETRLLLRTQASGPSPRLQCYSCHVQPVKL LQLSTGGGKANNFRFHNYAAEQLAHINEGGRFNKPRPGLSPEELAKAWAKYDNDLFQT ARLVTCGLYINITLYDYVRTIINLTRSNTTWCLDPRVNMRTNATPEAASSGVGNQCSV EFNLAYRWHSCIGQQDERWTEDIYQELFGKSAETITMPDLLMGMKKWQMELPKDPAQR SFAGLERKPDGRFNDDDLVKIMTESIEQIAGSFGPRNVPKALRAVEILGMQQARKWGC GSLNEFRKFFGLKEYTTFEEINSDPYIADQLRHLYEHPDHVELYPGIVAEEPKIPMIP GAGICPTYTLSRAILSDAVALVRGDRFYTTDYHPKNLTNWGFSETHYDLNINQGCMFY KLMLRAFPNHFQPDSIYAHYPMTIPSENRKIFATLGRENHFSWEKPSFIPPRINLTSY LGAKTVLENARDFRVTWGEATGFLFGKEGLNFMLSGDSPKHAKQRQVMGKALFQERWK QQVKEFYEDITIKLLKQKSCTIAGVHQVDITRDVGNLAHVHFASNVFSLPLKTEENPR GIYTEHEMFMIMCVVFTCIFFDLDPAKSFPLRQAARTVAQQLGKIVEAYVKSVKATSV ISGLLDRFRKTETALQDYGVHMIRRLLASGLSVSEVAWSQIMPTAGAMVPNQAQVFTQ IMDYYLSDEGRIHLPEIQRLAQEDTPESDDKLLHYCMEGIRLNGTFGSYREAATAMEV DDDGQHVSIKAGDKVFVSFVSANRDPDVFPNPNEVRLDRPLDSYIHYGQGPHTCLGRD ANMVALTSMLRVVGKLKNLRRAPGPQGELKKIPRPGGFYIYMREDHGSYFVFPMTFKV HFDGELPDFPKRKAPS UREG_00169 MPAKALKGEYIETDTGNKVSRRNQVHGTQHIILGGKTVIQADVC IRGDLYRQQPHTSSAPGPPASSNPNTPSIAVSIGRYTYLSRSCLLRPPSRLNRGVHSY YPLKIGDHVFIGERSVIEAATIGNHVHVGKDAVVGCMAILKDFVVVLDGAVVPPGMVV PSFCVVGGAPARVVGEVGEGFGIEGAEGGMARERYRMVGR UREG_00170 MGGQVSKLMGKIFGSKEMRLLMLGLDAAGKTTILYKLKLNQDVT TIPTVGFNVESVTYKNVKFNVWDVGGQDKIRPLWRHYFSGTQGLIFVIDSNDRSRIDE ARQELHRIILDREMKEALLLVFANKQDIKGAMDPKEVTEKLQLNQLKDRIWFVVPSCA TTGEGLFEGLAWLSNNVKTQQQRQGK UREG_00171 MPDPYCVNFDWIRYQLPDDAAIKFTHGDLHRSNIIVKLTPQPHV VGIIDWEQSGWLPEYWEERKALFTTFQWEEWASTYLPKILQSYKNTEEAWGFFTQGVF UREG_00172 MARTHRKMKGEVTYSWAREEETNILIALEYHPKPVKLFAHLRQN IPFIQQASAHHLGLHAHLCQVGDMKTWRPGSFNVCVPIVVGKCQSKRMLMRFPLLHRV GESFLHLSTSQQPQPHHSHCSTMDHPQPTALFSLPNELLDHILSFLSPNTSPSRHNLR EIPDHNISASPTSDLKAVARTASRLRALSRPFLFAYSRYELRDQDRFLLFLQRYSLSH HVRSLVISVRSIFPGSEKAFWWRQLLEQVDPETITLIAPPFFLADIAQVSLEGTHAWA FDVPLQILHFRQPRRKRIPRMGSPAQPPTPPENPMSESFFTVRAWTEILFNEGSSLKA YRNYEYYLLRLPSLMDHWGSVDPLQNATLPYPVSVISRLTSFQYTSIFPFYNHTNLVL KVIRNMTNLRYLSFQLAPSLQNLRRVFSEEQEFGSLDPNDPWMELDTGYSLISHSVRY LGVQGKLERFRTWDFELEALKDGIVAKMNGRLRGRWRHDGTGLWVKDLSFQAAAADAE SD UREG_00173 MENASKRVNRVSLTRGERVLESGFIPQRHPPPDSFGSFSQSNPT SQKYLPHCAQASRLRIAAQILSTGENGPANEMTMFPQHLHPPAVCTFCFISRRTLANG FPAPPSSPPLLPMDDDEDEDIAQEEGFRDIDDIDEMAEDEDGIDLFADSFERDYELRD GDNYETAGIDDDGDHEELDAATRRKLEARLNKRDQELARRRKMPAAFLQEDDFDAIDL SQLRRARHNYDEARSDIDMDNPDEELTLEDMADIKADNVTDWVASPAVHRAIYREFKS FLTEFTDKDGISVYGTAVKNLGEDNSESLEISYPHLSEAKSIIGFFVANAPAEVLRIF DTVAMEAVLLHYPDYQRIHSEIHVRITDLPVRYNLRQLRQSHLNCLVCVTGVVTRRTS VFPQLKYIMFNCTKCGVTLGPFEQQDSSSELKISYCQNCQGRGPFTLNSVKTEYRNYQ KLTLQESPGSVPGGRLPRHRDVILLADLIDAAKPGDEVEITGIYKNQYDLPMTNKTGL PVFSTIIEANHIKKSHDQLASFHITEEDEEKIRKLSRDPKVIERIINSIAPSIYGHED IKTAIALSLFGGVSKQAQGKMNIRGDINVLLLGDPGTAKSQMLKYVEKTAHRAVFATG QGASAVGLTANVRRDPMTNEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQ QTISISKGGIVTTLQARCSIVAAANPIGGRYKGTIPFSQNVELTEPILSRFDILCVVR DTVYHAEDERLAKFVMNSHYKSNPLRDSQGEPIPRECRPKLYQIDQGKVADVFADMRK ESLATGAYPITVRHLESIMRIAESFSKMRLAEYCTSADIDRAIAVAIESFVGSQKVSC KKALTRAFAKYALPAPTFFCLCGRWLMFGI UREG_00174 MDAPVSATPAESPREAEGRARRPSLASQNSQTAAEFINEQLRLE ADAREALPYSFDSCTRPLGALRQSLFSCLTCNPPPSDPKSPYTAAGVCYSCSISCHGE HTLVELFNKRNFVCDCGSTRLPSTSPCTLRTDPTSGAKSVHSEKPVADNKYNQNFRNK FCCCGEVYDPSKEKGTMFQCLGLGTVETGGCGEDWYHPECLLGLPRNWNDISSRRPEN QLENESEHDVTAEANDGDNDPPLPPGFPAEDDFETFICYKCVDSNSWLKRYAGTTGFL PPVYKESQRSQSPMQPVGTMETELNPEHCSKKRKFETDVGEDPEIKRPKAELTDQNPS ENLAKSDLIKQKHDSLPADSPRGTFSLFVKDDFRDHLCHCAACFPLLIPHPQLREEEE TYEPPLSEADDGEHPARSAGSQHTGSLLDRGEAALSNLDRVRAIEGVMVYNHLKNKVK EFLQPFAESGTPVGAEDIKAYFEKLRGDEEAIREAGAKRNGADGGGEGDGDSRREQSG Y UREG_00175 MEPSHAAQHHHHWADFPCWEELYYSSVNPDHSVDVVPHHLNPPP PFNSRPPPRLIQPLPERYLNPVEATANLGSSIESSESKKASPFSYTSKVKIREKYLIV GFISSGTYGRVYKAKGKNGQVGDFAIKKFKPDKEGEKIEYTGLSQSAIREISLCTELN HPNVVRLVETILEDKCVYMVFEYTEHDLLQIIHHHTQPQRHAIPAPMVKSILFQLLNG LLYLHTNWVMHRDLKPANILVTSKGAVRIGDLGLARVFRKPLNTLFSGDKVVVTIWYR APELLLGTRHYTTAIDLWAVGCIFAELLSLRPIFKGEEAKMDSKKTVPFQRNQMLKII EILGLPKKEIWPGLASMPEFPQLQALSMASGSGHLHQPSTLEHWYTVCLKCGGYATNS PAGTPGKEGFDLLSRLLEYDPDKRITAKEALSHPYFTTGTPVTKDCFEGFEGKYPNRR VSQDDNDIRTSSLPGTKRSGLPDDTLTGRAAKRVREM UREG_00176 MEPSRLSEARATPYLIFLVLITTLGPLQFGYHLAELNAPQAVIT CEKKSIQSSWLGLASSSGGLPQCIPMNPSQFGLVSSSYTLGGLLGALLAGPLSTKYGR VLALRATTLFFVLGPIAEALAPSIPVFVSGRLLSGVGAGAAIVVGPIYIAEIAPPKDR GFFGAFTQVMTNVGILATQSLGYFLSKGILWRIILAVAGFIGLADLLGLLFVPESPIW LAEHQRLAHAKRILQRIRGQNADIHAEIKNWQINISSTDTTEEESLLTLPPGNLPPKK PPVSIFGVVRDPLYRRAIIAVVAVMITQQFTGINSIIMYSVSLLSTILPTTAALLTVI VSSINLFTTLLCAPLADKLGRKKCLLLSITGMGVSSALLAISIASNLKLLSAISTLLF VASFAVGLGPVPFILASELVGPEAVGATQSWAQATNWVATFIVAQFFPALNVALGGKG KVYWVFAGMALTLGGFIAWWVPETKGKTTMDEVWGRADRRVD UREG_00177 MTTILAPTRASTTNKITLHSRLDDAVNRTLRSGDWAHSRATDNQ FHSQTASRSALIGFRGLQSPPTVSILEGRVAMSQARVQEKDAKAHSRAKSGPREAGKE NHIVNGWSEAAMKRKALDYEEDIEGFQFSRTSRSKKPRPSPEPLPKDRAPQKVATQGR WEKGKAIKSGDRASLAPDTPNGQDTRRRSKRLASDEPERPSSSRRKLQLPDSTEDQPM TKKGKSARTKASEMRPQVIEDIEERATPQPPAEIKVALPFADTPVIQRNKDMRQERGR GKRRSSFGMRGRRASSLIDSGASNALPHDKVDTADFYKHIESEDLSEPRRMRQLLTWC ATRAIGEKPSGSRSEDESAKLAARVIQEEILKELSNRSELSDWSNREETASPAVVVKK PNPKNIQNAEKIKELEEHILRQRAVGCLMLRTEKKQQSESEPQPSPIDSSLLDPSQQS LLDLTQAPIFPPQPHSQPFVPSDLQTPLDTSDPVLSLTTHLSSLSSSLAPILDSFAAG IHHLELYRSAADNVAGGVLRACAKRLEERDLRASEKSYVFREVKSEGEEGEGGGGGRI VKTEIEREDLRPVLGALSRLERR UREG_00178 MRFSIVAVCSVVAAFAGTATAGLIGDIKLDNIKLVSDGAIQIGQ DGILRSNTGDGSVIDLIKLSPTQLTSFLDLLKLGKGKRTGGTVMAARERRVPRELAAR CTKAACKNNGDCQAVNCRACVETTCF UREG_00179 MVRIPLPHRIASKGTPSPDDLASPPGFVDCKPLVLKTTVLRGRD LAAKDRNGTSDPYLVVTLGDSRQSTPTIPRSLNPEWNVSFDLPVVGVPLLECVCWDKD RFGKDYMGEFDIPLEEIFSDGRIQQEPQWYDLHAKFKKGKDSDVSGQIQLQFSLYDSA QPVRRARRHLSPASSPLSPPPDDDYDGSSPGPPPKTDDNKSIAARAYEFSGAKDGVSG IVFLEVGKVLDLPPEANMTRTSFDMDPFVVTSLGRKTLRTRVIRHNLNPIFDEKMVFQ VMKHEQSYSFAFTVMDRDKLSGNDFVASANFPLQTLIQAGPVADPDTGLYQLPGLSQP AAVPPPASGKSRFRLAISRSSSASSLTKLARPGLKSRTSAASLSSQFQPVEQTHAPAT APFSSSESTPQLNVPGTTSAYPSDGSLTPPDAADLKPYVIPLVLRNKDRWEDRHNPEL HVRAKYMPYPALRQQFWRVMLKQYDADDSGRVSKVELTAMLDTLGSTLKESTIDGFFH KFAEANEPSETVDLTFDQAVICLEETLQALQKKTVGSQLQRLMPARSQSTEASETEQP SGDEVPARLSPSVSVSADPRGIVTKTISRDESSSGEEGTLHPDDLADERGEEHVIELR ECPLCHQPRLAKRSDADIITHIATCASQDWRQVDNLVMGGFVTSSQAQRKWYSKVITK ISYGGYKLGANSANILVQDRITGQINEERMSVYPTVRKMLKSLSIKQGRKYDDPASAS QIEDFIQFHQLDMSEVLLPLEEFKTFNEFFYRALKPGARPCSAPDNPDIVVSPADCRS VLFDRIDEATKIWVKGREFSLERLLGKAYPEDVQRYKGGAMGIFRLAPQDYHRFHIPV DGVMGLPKTIEGEYYTVNPMAIRSALDVYGENVRILVPIDSVSHGRVMVICVGAMMVG STVITRQAGEKVTRAEELGYFKFGGSTLLVLFEPGRINFDSDLLDNSRGALETLIRVG MSIGHSPHVQQYTPDMRKPAALVSTEEREEANRKIGGDPTPAITLEVSSH UREG_00180 MAGDHYIPMDGFPSAPTSGESSRAPSPLGIGTAPLHSVLRRDSF SRNSGQRSKELRWSSPVGDAPDDSQRVSSQMDFSQPPPRIPPAAHLYSCSPYRGGVSS EYSPQVDAEQHVNGELSNSARRKGKAVHSAYEKARRLAARVHRPSFARRTSLPNKPTN NSSEGDMSTRDVSRMGEDQDEAVYTTESYPFGTSEAHNIVRRMSRPDSETLAPGQPAK FHRNEARRNGERNMNDWEEPHGQYRGSILFELLKLYHHGEHGEGGGGGDERRHSSAST LLPVHEPPSRGLSGQSTPRHKLKWYDKSRNVSSSSVNTLLPETRSPSLDGLKRSKSSN MLSMAAKKLLPRPRLEDQIHITVHIAEIISRQRYLMKLCRALMQYGAPTHRLEEYMST TAKVLQIDAQFLYMPDCMFFSFGDATTHTTELKLLKYPQGVDLGRLADVHEIYKEVVH DIIGVEEAMQRLDETMHRKDKYNKWWLIGLYGCASATVGPYAFGAGAQDMPMAFLLGC ILAGLKYLVVPHSPLYSNVFELTAALVTSFLARALGSIRAPGGEAGRLFCFPALAQSA VALILRGYMVLCSSLELQSKHMLAGSVRLVFAIIYSLVLGFGMMLGTAFYGGLDRTAS SAYACSGEGRFGPLGEAARKFPSVVLFTVCLAMINQAKWKQTPVMVGISLTGYVVTFF SGKRFAQNPQLASVLGAFAIGVLGNLYSRLRHGLAAAAILPAIFVQVPSGLASSGSLL AGLAVANAVNESARQAGGAGDGRGAGAGGQRGRDRGGRVEGGGHEPGVREHCF UREG_00181 MENKYDPSFSQLNREANSGLEQQSEIEIGFHLGRISRSQGKQSK QPRARRPGSTKVMLTVRPSTTKRLATHGSHQWPRRDLLNLYLARDPRELCFPLAWLNF GSIFSSNVVRSQ UREG_00182 MDTGGLAQMTFNASINGGSLGVPNNGFASRGKGSHIKRLSVPHP SNFGSGDESQALPTPRTSRSHLLAGLRTAPKQPLTPTTAPLLHQHPHYSGLDASGYGV SQQSYFAGAPHTATGIAFSARTNNVGGGINNPMYSLPEHVLAPPALDQILENGEPMDE SLYNELVQTNLFLAAQQQRLQQQLATVTAAAQQFQALNLGTPLNTQHVLTPGLAGMSL YHQQAQHGMQPVVNPVPGKRGVFSVFNPMTGQHSYLVDNTALEEPQSATYYEQLQSPG PPNENFGGNFAARHEQGSSPVRSQPSNSPTRISSPARQASPLQQQISNRRLHRKMPSV SVKTALDVGRNGTPKLANFPPTPATGTFGPGQGRAGEHPIRQPRGPPSLEELVSKPTA KHEGSKNFVTRQRRSAVHNLVRAGMERRSCHSSTGGSVTPSSDTEFNFSPYPGDDGSV NPSTQSSVENLRGAIGSEMKGRETSPRSSVGRVSPVGGHFGGSRTNSPTAAEIVANHS ATNNNQLPERRKMPMFVLSSAEKRKSPLMLEIK UREG_00183 MATAGPGIEDQIYAATYSNVPVYEFKVGLDSVMRRRHDDWINAT HILKVAGLDKPSRTRILEREVQKGTHEKIQGGYGKYQGTRHYTAGTWVPLPDGRHLAE RNNVLDVLCPIFDFVPGDTTPPPAPKHTTAASSRPKVQKLAAPGKRGGRAASFLHPRT AKAASFVHSQDAPSQVPQSFNDDESLAQASVESSSALAEEDIAQLSQRSTHQRKRKRG GDANGLTAAEQQHIVYGDELLDYFMTVGDVPAGIRVQPPVPPTPFQPDRPIDDQGNTA LHWACAMGALDVVNDLIARGANICGLTSHDETPLVRAVLFTNNYEKRTMPELTEILKD TINFRDWFGATVFNHLAVATKSKGKWRSSRYYCQVLIAKLSEMYPHHEVGLLLASQDS NGDTAALTAAKNGCYRLAEILLTKCPEAGNLPNKHGETANEVMMMIQQQQVQRDYPHR PSSATQCSTHEGEDLVESHNTVTQSQQTSPNEATTVLLKKIGIIMDDFSGKLARAYGE VRSRPQNFDGKSHPREIYEQVEAERESIRKEKEETLSKEAECDRFEALVSSHNGAKQR YESFLERTQKLVLNKRLAAHDSEAAPGKENQPATTDDGPEELLQKLQILRKLSRTETV RRSGVKDIVSHRADAGPDARLNVHRKLVALATGLSEKDLDPMAKDLASNLEFARAHQS RPNPAPSNAGTQPKRNPSQEPAVGANAGV UREG_00184 MTLKELIVGGGSQPTAATREEMSNARLPIAYRDSCAHLLIPLNR CRTQEYYLPWKCEDERHSYEKCQYEEFKKRVAKMDELRAAKGGARSN UREG_00185 MDLITKHKVDQAAQELFSGVQQCLSRYITEKTTIPIRRLYGYSI DGDKTLGLPFILMEFIEGNTLYSMNLREMDRDKRKHLYAQMGDVYIQLYRQQFDRIGA LTLDENGGWTFTNNRPLTVDINAQEVAGHDICRFLPPNRTFNSTIDYLYMITKLISND FYGGRDCIVDEDDARWYLYSIFTSQGILMERVKPEYNHGPFIWMHRDPRPPNIVFDEN FNLGMELYYSSSNVCAPILAYQ UREG_00186 MGHTVRRLSVVPPIFFIPRWRMAAPTEQTLHDAITDGLEEKRQR LKAIQLEAEEIRAFMETLKAQKKQLEQLATNAKKSQNARHKQNKRIYRRRANSKGKRS AQTADG UREG_00187 MAEQSSTARSDPHPSYQPHIVPEDDHELYDDDSDGASIESSTAS LSSSIMNYRYGHYLDSKCAPASNLQADMNPMMNESKIGWTWYASLWLPAVIQAVSYCC QHHHIWSMITGGDLYRAPLPPGVSKILDLGTGTGSWAIDVAELPPNCKFEIDDFESPW NFTQPFDFVHVRNIEGSVKDYPRLFKQALANLEPGGWFEVADSTVGLFGDDDTIEKAT SLSEWRDRLIEASGKFGKPMGVSHNYKQWMIDAGFENVTEELYKVPFSPWAKDRKLKE LGRYQQAMMLEALEAYSLALFTRVLGWNVARIQLLLVGVRKELMDRSLHIYSRYYIVY GQKPKAS UREG_00188 MDLRQFVSGQPVLAAAVAWLLYVISLVVYRLWFSPLSKFPGPKL AAATLWYEFYYDALCHGRYTFEIMKMHQKYGPIVRISPHELHIDDPEYYDVLFSRDSP RDKYEYYTRQFGTPMAAISTVEHAHHRLRRSNMNPYFSMGRIRKLEPTIQALVDKLCA RFREFKGTGTPLVVQHVYTCFSTEVISGYTMGSGFNYLDEPDFVPHWCDTLSGIAKAT VFFKQYPLLLHIMKALPENFVGKMDPGMNLMFIFQRRCKVLIDSVIAEHAAPDYAEKR KSYDHPTFFHDILESNLPPEEKGPERLSQEIQGVIGAGSETVAKTLSWLTYYLVANQD KLDKLLEELNRLDPDQTASFVDFEKMPYLTSVMLEGLRLSYGVSSRLQRVAPDRNLQY KEWSIPAGTPVGMTSVMMHHNEDIFPDSHSFIPERWLDPAQRRYLEKYLVAFSKGSRQ CVGINLARAELLLAIPKLFRQLKFELYETTVEDVTLAHDLFLPFPKKGSKGVRVLVV UREG_00189 MGLLKKRIKSESGELRYPEDLSACEAQLDHPDETSLAIVEYLEQ GKGQGEGRVALGRRGNCLQHLAERWGAWVDFLCQVEYLVVAVSDEEQRARLSLRQAEI LKSLEKDEELAEGNDSCVRGLIDGDDPGIRAEPLPKFHPEFGRFMLEATPGKPWGIGF RDLLKVESDMKRRYIDCMRQVYPGLLLIDPITRRVIAKNHMAPNEYPITLTTFPRLGT KDDFSTPSFPLSGDALRSQFVPDEIANPHIRFPTLAANIRQRRGRKVQLNVPVFRDTN TPWPFNDPTVNYDLHTWPEDSDVRNGAVKENHVYMDAMAFGMGSCCLQITFQCKNINE GRKLYDQLSPLGPIMLALTAATPIYKGFLVDTDVRWNQISGAVDCRTAEELGEKPLKN DRWRIPKSRYGSNSTYISQDSRLRPEYMDPDLVIDEDIKRRLMEGGMDNLLATHFAHL FIRDPIVIFAEDLKELDLNKTDHFENLQSTNWQHIRFKPPPAENDIGWRVEFRPMEIQ VTDFENAAFSIFMVLITRAILSFDLNFYIPIARTTENMETAHARNAVLEKKFYFRKDP FPHRLPRQSASNSPSSSRSPSAPPSPFLGPVESDKLPQPNPISRDGRVWTNAKWIREF VAKHPEYQHDSAVSDKICYDLVKAVEDITEKEGKGGSVGWEMLYTNLTKSREAAT UREG_00190 MGCPAGTRTKRLFWIVRFLLMASIWDLIFGYDRNHRSSSKIMII IVTINFLTAFVRTATPSVEAEKRVQQRIPAGRQAGFKAGMVQCGQSPLDPPYALDNAH MVTHRPSMTSGRGMRRSTKRPLVIVLEAESEQALSRRDPSWIASSEPASPETMDARCR DADDADSPTPSVPAVHLGPRLPHAISGPGFGCESLGYDRSNPEAPSIG UREG_00191 MGTVEATTRQWPPFGSSIPGHVTLPRSRQDAQSLQDPTKLNHAP GESLPSIGFLDLGKSNKEHIHPSTTPPVSPSTAAYPTRPSHHTYASSLSTSTSPPSGS GYVYASNAHPLRQGERDLHPPLQQPHRQSLPSIHEALGSNPLPFQSSASSTTVAQSSA SSQVTPTPGPDNTNGPTNPFAHTAQPPFAHDHFASQHSAKPASIRSEGQRSSVASVHS QESRNPSIMSLGSGKSPTQSSRTTHSQGSGYEVQSAPPTGSVPSPTAYGAYPPGYSYP PNGSQHNGAHPVAPYGFKGNAPWKPSPAENPGIEPATSSALVKGPPTPYSEPLKRQFD MFDLQATWNEIADGSNRTMEFSRAHATRIYQSNRTDQTLPPLTEIDDLLQIQRRNMDA LGRIRHAVLDQEHALAQQRERMRVMQNEHGYSDDRAAYRDEYKGGGFAGGDAKKRRGV UREG_00192 MAISIRPATATDLPAIHTIYTHYVRNSVLTFLVNDPALSAITDK YDATQARGLPFHVACLDNGGSEEVVGYACASAYRGYLLGYAPTVELTLFVHPGHQSNG AGSALLSSILSSLKSETKHLAKDLGGDPQRETCCEGDGHGVMVRNLLAIMAVDTEGKG KGMRLRDWIDVIHLQYALS UREG_00193 MSALIPLSEIPSISLLYRLKHLVPAVSPRISSPSQILNDTICHL SSDITKLEVDCIVNAANRSLLGGGGVDGAIHRAAGPSLLWECRNLGGCQTGDAKITKA YNLPCKKIIHTVGPVYWTEMQNNEDEPERLLRSCYRRSLALAAENGMKTIAFSSISTG VYGYPSDEAADIAIRTVKEFLEGCPQALRKVIFCTFEKKDERAYQKLIPQYFPPTQSD VPQSPTEEETPAVEDSDPPSANLAAQLPDAPTTEPIPQGGPEIKKPKLESVVAESRTL DRDDEKSEDDWEKVDQSEGITPIEKLDDDPVEVDKAPTAADVQSVVSSVADLGSSTEM KQRKE UREG_00194 MGVTGISVSKKALAKAKNGDCGRDLDPEFACVSGLAERLLGVDE LALGINWLGCLRIGSVEPVLDRRRLEEVENWQKRNLARKLD UREG_00195 MYRQSFPQPEGVGQRQVVDETPVTEPRIEPTALTPIDTTHETPD QHLNEPEPAPASTLESYPGQPSLSDATPISTLGSRSGFSTIPSDPALRPSSSSHLPDS YYTAPEFQGASRILSSSPITEEPFDLGGDGIDDRIENRPANISWEEAPPDEQASTTSA PSPSRGTQSGADVTSQTFLLPHGKTFNGESPSPRSSGHLRREEPSFVEHEETGSKSRR QRFLTRVTSGMVRFNIEDSIGDRQRRIQKRLSRTESEQNSATKRQRRASRWGEIVRAE RMLVRIESTTQSIPREYNENDSIKIDTKIMSKWREFLVCSYEIPIVPNRTKVNLFSTL DKTLVVWQPYKLGTQIFIMRPRSSSHSVEWFTFLRGALGWKQPSTLFVHVPDLDISLH LENPFAHLGENRNEDDDVCTAVLRTMKEERAIASGIVKTCLTTLSECSEWNKILNMWA GKSMKMGLAWRRYDRLEWVQGPNEQRMYGTMAMRMTHELELRPKQHYPTSTKPRKSGP RLEEPSPAEGFLILLTSQRGRQKLLGKNFFKKLYFFTQDQYLCFCRPARANPPAPPRL SIIPGRHIPTSAEIRKEVPIVYNVDPFPIDDDGEIAWLSVGRKEFAKKHDAEAFAENR RIAKNAANAEGYINLCRVSEIRPVSSDSMQEEQHANAGDVEYHGERGRPANGARHETK DDRSFEIVLEDGLVVRFRSYNMQTRQEWIKQLTKLWEYWKMSGPLYRKPRRRGAFTKC SVLLIEGQLLIFQGALRGWTGEQLPHTHHFRQGILDLRDCYVYSGIITSEDLLYQHQT FDSNLPGQHERPRMYTGDGWTSSDEDTATCFVIWHATRKALFRAELGEKGKIKRRRWR QVSALGVPGKSIVFKARSRAERDLWVLAIATEIDRLQQREDLRIVEPS UREG_00196 MAATVVIPADGTWFDTVRRSFANVPIDKENDNAISTTEFLEAAE SLVMLFDLLGSVAFTPVKNDLLGNIKKIRDRQLAAPAESETLQQLVLNELKTGKPVAS GGLLWLLRGLDFTAQALRHNLSKPDDELSTSFRAAYGTTLKPHHSWAIKPIFSAAMSA TPYRKDFYAKLGQDAAKVQAAMDTEIAALEDVVKILQDFLKKVDVKG UREG_00197 MAAQPRAADTGPSADDVADGLLTDNDPREHGDFPRLWQPFFLRR STFLAFIATFVALLAAIVAVYCYAARGDGRQGIETQGWKYYYLWTYGPTAVFMVLGAF WGQVEYRACQLMPWLLMSRAPVAASDGLLLDYISPWNVESLYMSVKRRHYLVSLVIAG TLLVNGMAVVSTSFFELRDVPVKRSASLRLTHDFDFSLDSFKPPDVTTIPHMRATGIA LNGSDVPFGILGNHVFSPFQEGGTFRSGNFTLGANREIAAEVDVITVKVRCENATMEA TKNQTECTLSMKSGSCSTGPLAQPLTQHTVFEDNATFVGFPSLCDGKQLHLPQDEPLY TEAHWRIWVYISRHDPNGLFLNATKDFGKKAKQKAGKFAFTCAFCTPYLDISRAPVKL SPSPDGINIITDIDMKKQRPLSPSPPPTLDNNAGALLYSAWVSFLNAGRDDLSLLGSQ SGDREAFFDPALLSQALARGLESRAVQVARDQLLQPVDRHTQGTTTRMESRLFVRRLS FGLMAGFISVLILISVVIDRFYLPLSVCCRDPGSIGGLATILARSPKLMATLQNMNLK WPSEMKELLAGYEHGTRINAEKEFQIEVHDQRTSPVQVCESGTDSTPRWWRPISARPP VYIFTFVLPIAVIATIEALLHISNTREGIVRVGGRESGRDQPWAYIPALVMFCIRVLY QMMEANVRTFQPFHCLARGRARANASVLENQQRKITAWAILDSIGKRQWALAASAVAL LLAGALPIIVSGLYAVSSLPKPTDISLVQRSTWNVSSYKGHLNHSDYLDLNSKDFTPG RILYLNMSYPQWTFEDLAFPRLSHPRTDDSNTSSVTPGYIQARLPAWHPNLNCDGEIP ASDYKLSWSYDDEDDLPRWDVEINSTRGACSFSLHENGVGAPKYFSDWFTLTRNVVLE GNPADCPTSLYLFGNQAKTRMLKCRPQIQEVDVDIRLEPRSLKINPNYPPSIVPGSAR PPLDDFMAYSNGSMVPTPFLPERGYFDPDLIAVEAPRKEYRTDILTTAAIWGTGGIPA EELLSDTDKLSAALTRIYGIIIVQRINDHWHIPFGSDSAASAPDGENNSPKTFPAELI SHGDYLVQSSVSTRLLDAFLLCMVILAVTSTLLMTTKNILPKNPSSIAAVASLLAGSR MLGDPQVIPRGAEWWV UREG_00198 MVSRRAAKITSMVSEPWRFPPSESALYDREKNPNGIISFGTAEN IRIPKCAFTYAYSSPCSPTLTGPMSEYINMHFKPYAPVLPSHIAVAGGVTALNDVLSF ILAEPGDAILVNRPTYGRFEVDFCSRADVKTVYAEAEPLEAFKVGVAKKYEEALLREE KKGVRVRALIIVNPHNPLGRCYPPETIRELMSFCHERKIHFISDEIYALSVFDSGEPG AIPFTSALSIDPAGLLDEKYIHVTYGMAKDFSAPGLKLGFLITRNEQIRRGVGPAR UREG_00199 MSSEPQCLTFQTPMGSSAQPSFPQQHQPELSHHQTITQTGMSQA QGKGGPTAAAAFLKEFSLVAEAAKRAQMAVVMRDLESISL UREG_00200 MIKSIHKEQAHVNQHIEKLMHAVEYLVIEKEILKHENNALIESL GIEKRQHQHDKIMDLFDSEKSESAQFFSSTKVAQIRERNEELEK UREG_00201 MKDQTIKKLMVKQFVKSKFKKYHKHQMLVAHEKQKTEEKKKHNE EIKIELAVHSIAVCIQTHTHAIMTALEVKIMTHGHNCLAAADLLKNEMEKKMKTFQSH LQTLLNEKMLSSSEKMNKKKFEIVISSDEVKKNEKKKND UREG_00202 MLPALLHLLLLSLPLTLPLPSLLRPLTLLCSSRKPVEDEEKKEN NNNEEEEEEEKKDELMKMKSINLSN UREG_00203 MADEAIDEVEAHNYVLLLKECREELSKAAGPNRKFYLTIACPAG PEKEKLKLEEMTPYLDFYNLMAYDFTGPWSAFAGHQANLFASASMPSATPWNTKDVVE YYRSAKVPANRIILGMPLYGRDFANTNGPGRPFSGSGPGSWQPGIWDYKALPRPDATE KYDKEAGATYSIDEKAKMMVSYDTPDMVMKKTDFITQNQLGGAMWWESSGDKGGKTAS KEEGSLIGTYFEEIKKLDHTANNLNYPESKYDNLVNGFKPVS UREG_00204 MDQSFGELICSNLFTFLIGPQKVAFKVHSDAIAKQSPALSALVH GGMIEAKSRRVEWPDVDEDTFVRFCEFCYSNDYSAPVHERVVVNENRWGPRLRARRNA VDSNSRATRSKETEPEPLSQGLVALFKSCAGWTSLQKAKEISLLLENEYPPPKFYAQC CGQFVPEGGEFVKDFWTLVWGVSAK UREG_00205 MAPKQVERWSMYPDLDADVSRLLADSGLSLDFHQVDDGKDSTRA WDTNVMGRFSCHNKNCRSTGWSSKKIAITIRMYKYIPSAKYNARVYHQRCKSCNRLSR PVLDSSYAERVAYRLKKWHGIQTETAVYSGGSKGPHNSRLCEGCKDGHCRQMEYGGLV EAMHGLFIY UREG_00206 MPLIILTGYPCSGLTYRADQLSTLLKDLQRPAATPDAEAQPQSQ AEKGRYKIHIVSSHDVSHPRTVYDAARSEKEARAVVYGRVKRLLGKDSIVIVDGMNYI KGWRYQLWCESKAAGTTCCVVHVGTPIDQCIENNEARLHRANEEDSQNIDKDTRRSKD AEEPYPPELLQNLIFRYEEPSTSSRWDKPLFTVPWSDPTPPVESIWTALTGQTISHPT TPSPNLTTTTAPSEQALPTAVTDAPSAATTTPRSRISRPKIVPHQATMQPPTTDPGAL YALEKRTSEIITHIRNFTQSHPTISSLGVSSTLTDPMAPGISIPIPDVSTPVFIPSSA LAASPTEELAGAGGVLALPRLQRLRRQWVGMNRAYVGKGPGSLDGEQVGEAFVRFLNG EFEGME UREG_00207 MTSKAKTGPLRLSIPKTSSKSTKSQLPSRNNSSSHDFMDISRTL PDDDYTQDAFSLSPTFHGHTGFNDFGHWAFNDGVLLSSDISSTSEATEESFDTRSIQA GDYDMSDTQMLPFSSCSPPLFPKADGSVDQSLPELSQIPGLSSSQDSSPQLCFSNPQG YQNFSTLTFPFDNETMHRASGINDGKSVGLNMASQRMIKSEPFAQVSWDPLASEVNGV PNVTLSGSRMNRLPATPPEGNQDLSATSACTQTYTFPGQDGSSYLDVSDTSSLSTQNF SIGEPFYPLTPPLTEQDPNRTIRPQKQSHRPILSAAVTCPSDKESDFFSLHQPLGQKP KDSADGKNPRDHAFYSLPTRSDGKYHCPYSNGENPCKHTPTTQKCTYHKYLDSHLKPY RCKVPQCVDAHFSSNACLFRHEREAHGMHGHGENPHLCRFPSCDRSIPGNGFPRRWNL HDHMRRVHDYTCSEKASSPEGSPITTGKAPATKKKDGTLRKRKGAISGTQTIKRVRAT QSQSQAALRATHAHTDKQLQNAEKNYYSCLSDIQKDLGNINPQDPAMHERINARLQEL HTHALNYRYIKASQLATKRGSGASS UREG_00208 MRSSSLEFPVDIESVPIYPPSENCDDEEKDALNRDSLGSREGRC PPAQSAKGFHPVRFDGSLDVQNKYKGAPDDKLDSNWRQLYDVDPFLVSKEALKKIQKT SVKVPSRPGYHLAKLAVFHQLHCLASIISPKQGKRGGV UREG_00209 MKSVVKYAFAGATFLQVLPVTTAPLPKQNATLAARYMLGNTTET ILPRMETSGAPFVKRNEISAALFVKRNETATILPHAAISAAPLVKRNETVTILPHPAT FEPLARRNEILSVVRRNDTSATTFVKRNETAVPSHVTIECISGQCSEKDLATLAQVLS LIEKDSKTTKNWNISSFIQVVDEKATHEKRNITLTRRQDAPKSNVTLTDVDFAKIQHC AATECSDEELAAIKQQLEVATIPKWLWPIIGGLSRLKFNYGLDYGLTPPSPSL UREG_00210 MNWTGGKLFRHSFKDKNTLKARERLHFAKVKRLAHKNRREVASP SRLPGSTPVFAQRQQENGHSEPIDHSPYFGTQARITSKKHKPQSHTRHLNALSPTKED SHLFSEINTLPSSQTDKLDDLRRRLLQKSDWASISISRPLNVHFTTEEERYNYGRRRP LTNADRQRLVSTEKRRAPIFQPYGWPSKGRKKRGMEDDVSTQPNIDDISIRIHEHKVT ELTPRLPSVSHVGSRLSSESMLLDREESFDGDMMDSSSILVNPRSSGTLGTLGLQDSS TLPAARNPMLHEGEQTHIDDSSAKFPVLNRQGLFATHGYQTRYIPPIADSAGPWQYST QEEFPFDIPGLEMKSPVEEPMREQNNSFFNERNEISSATKSDNRIGRRLSANPAKSPR RVFFGQRVEEKPNDYPNADDIWKRLIFKQRDETNKPNEMLITDLYNDFYKRRNTVDVE DTSSINESKGLDDNINIATDVSVNSEVFPTAENTPLHCAASPGDGEEVPSETDFLSQF SPMEGYIDEYLSVMSMHNNAAKSNNSICLASPEGNFSNHDSLEASGTPDQAPGFSHER VTRFPATSSLDPSSSNVFNRQQLPTDRMSYMPGYSAA UREG_00211 MGIRAFGFASLLSSFFALVFSSPLPPSGASELAPLPLVIWHGLG DDFARDGLKQVSQLAEDVNPGTFVHIIHIGSTPDDDRQATFLGNLTTQIETVCQQLAR HPILSTAPAINALGFSQGGQFLRGYVERCNNPPVRNLVTFGSQHNGIAKFRSCGTLDW LCHGAVALLKFGAWSNMAQSRFVPAQYYRNPEELDQYLLHSNFLADINNERAFKNMQY KRNMISLNKFVMFMFKDDKMVVPMQTSHFAEVNMTTGDVTPLREREIYKDDWLGLRTM DEAGKLEFLTIPGEHMQISDHVLTKTFKQYFGPVEINSPDSKFVLQVQ UREG_00212 MAKYTLFRATLPDPQIGGIIKTLIGADETAAEAYKNVERETVAT LEWMRVIVNEMGQFKGIGPNDQTMIKCGAADMVPIQHRPGFWFDREYPMMSPVRPATN RRMFCDPEVSAFTYIVDEPNGRPEAIVVICMQPDGPFWPHGRIRVASEWNEPLIEGES LDIFENHLSLTLLHEFMHAAGVEYFPPILPDDVGEAYGVGEISRLTTEQKRMNADGYA LLATAIATMIPKPARAH UREG_00213 MRLSLTAFLLLQHVFEPCDANPLKLLPRATTERATPVVVTTTRD GSTYKQTYSPTVLTAYATATGPTTITTTNDAGKAILIGIFAGGLAWVGVGLAGAGPKF IPPPPTPPPGPPPPPEPDTPKDPDDDGPKETTSTYMNNKPAQTATFNIKKKEWKKYDV IFEKPIHAPYEMTNCAEASGPTVDRSRAVDKIKGFCKQYKDKKVDDKGFEEAPDMGRG IVLSLSAKLNPICPAKLAHSLRQGDCEWLLKACLDNCDTKSQKKHGSIVKDGCQIWGA VAQEYEGDLECQKERRVDGDLGVKREYLRESIKDFCGNFADKSVKPKSPFRREYFYET GNSAATLEVAYNSDECSADKIPEYDVDKAACERFLTKAVDGCDTNTQTAKYGGSVGDH CGVFRLKTRNVEKVYCGASDRPGPTTMSRQAALEAIPKLCGPELEVDPDYKYNDEFSQ YTPDGYGRNTVVVGNIAINMQAGWTGEKDCPKPKRFKMVKEDCERKLKTILDDCDTKT TSKKHGGSLLDKTPHGCVLWVFDAQKATND UREG_00214 MSEAPNQPQEWTRSVTNDKGEKSHFVLSTDRSLLSIPAIIAAFN EDYVYWARSVPEAAMQQMLENSICFGLYKSTTGPDSNEPRTLEQIGLSRLITDRVTFV YLCDVYIQPEYQGAGLGTWMMGIIQEELDKMTHLRRLMLMTKGDRTRTYYERLFGVEV MGKAGQAYVMGKLGAANTV UREG_00215 MAQSPTELFATSWTLHRLSPLYHIAESKGTILENSHARSAYANR LRDMLTGDTLHGIRLSLDNSSLLDEALAKAGVLTSCEWETIPTWHYWNEERSLLEDPD QDTLTVTADQSAGILITLEYETITYKAALLCDPDGYQSPRNDGGVTYLPLLVTRMPNA LRQAFISFLGANFDARCSVLRLPSAFLCSCLETYLSTLCQSVRGDAGFQDVVERVMKD VQLTLSFASPVAPALKSIDVSLPRQSLGKFYIRGLEKADVSPEDAQGRNLRSDASAAF RHETPTPLLSELSNYFQTHLAMNLDLSTPPTVTDSRSQERKYVRLTKVASAAFVIGFE GRLKLLANPGRVFFIDESQLDDEEALEDTEGREKRFIWKANEELLRALVLRAMGSQQT ESGNTSVTVET UREG_00216 MTRPATDSQAGSDLMEDEGSRLLDSDRTNIASGGSGHGTFSSDS QTYLDHGTNIGPGQQHGSSFPESSSQSVRGDGISSIPLHVATGKSATKQLADVHGVKN QKIMYLSYYVPFFNWISQYQWKYLRGDFVSALTVASVYIPLGLSLASNVAHIPALNGL YSFVFHPFIYALLGSSPQVIVGPEAPGSLLVGTVVRSAVNDGKSIDDDLLANAQIAGI VTGMAGAMILIAGLTRLGFLDNILSRPFLRGFISAIGFVIFVDQLVPEMGLNNRAKDN GLVTHGSSWDKLMFLFQNAQYSHALTCAVAFGSFAIIMMFRTMKKYLEPRFPTIVFFP DQLLVVVLSAVLTWKLGWDKKGLDILGDVRESGNHSFAFRWPFHWEQLDHIRTAMSTS FIISLLGFFESSIAAKGLRNTGRDGIDGMTYSPNRELVALGAANIIGGCFMALPSFGG YGRSKLSAATGAKTPMSSVFLCLITVICIVYLLPWFYYLPMSVLSAVISVVSWSLIEE CPHDIRFFIRIRGWSELILMLLIFLSTVFYSLYLGIALGMGLSILQVIRHATKPRIQI LGKVSGTDSRFENAELHPERVEFIEGCLIVKIPEPLTFANTGDLKNRLRRLEFYGTNA AHPALPRVRSPEHNRNMIFDIHGVTSIDGSGIQELSEIVQEYVKQDVRVFFCRIPRPE SGVFRLMVKSGIVDICGGMTHFVESVDEALKLTETPHVHED UREG_00217 MSLTNCRFYEEKYPEIDSFVMVTVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSAEDIVKCEERY NKSKSVHSIMRHVAEKTRTPIETLYQQIGWPLNKKYGHANDAFKLSITNPSVWSDVTF PSQAVKDELLLHISKRLTPQPTKVRADIEVTCFSYEGIDAVKDALRTAEANNTTDTQV KVKLVSPPLYVLTNHCLDKNLGIKTLEDAIKSISEKIRASGGSCTVQMAPKAVTEEDE ANLQALMEKKERENQEVSGDESVSESDDAMVE UREG_00218 MSLVFGPSSQGALLDVEETDTSMPIDPEHGQWLFIDSCIVLPSS EAEGTPPSLPPKEVTKVALRLKHLVEGVVPCELEESDVTKPNSTILTKAVIETAKNAG GEEYKACVVFGLLVCIRWFRLQAVRELWDSDLHNLRSVACEVIAKRILEGEEDQGFLM QAVLLKRYSIVTASDEVTPPANVIERAVDIHALQVIGSSGYQKCIKYLWHGWLCQDDK DASNFVEYKERTNTSYWAHFNPDRMRVPIYQNFVQIFFSILYLALYTGVINTINPTGD LDVVEGILYLMTFAFICDEFTKFWKVGRNYFGFWNAFNSTLYILLAVSFILRAVALTR SSDSHDAERGRLNQLSYNFLAFSAPLFWGRLLLYLDTFRFFGAMLVVLKVMMKESLIF FALLGVVIIGFLQGFSGMDQADPDNIMTPGMILQGMANTVMQNPDFDAFKNFAAPFGI VLYYLFTFVVMVVLLNILIALYNSAYEDITGNATNEYLALFAYRTLQFVRAPDENVFI VPFNLVEIFLLILPFEWWLSSRKYERLNHYVMGVIYSPLLYVTAFVETCHARRIQVNR RLGEEEDDQTEEWEEAAALVDVNFDTMDWDQRVHEVKPNVEVDQCVLEVRDLRAQVEE LKKLVKTLVEEREDPARAREGGAPGNDDDSGADQLI UREG_00219 MSKRTLGGGRVLGSGRSLNPALPTPTTSRPSNVHSPSTSSVSLN SQASASQISSDTQDIVSRISLENGESSISRTAAVGAGTNLACPICSEEMVTLLQLNRH LDDAHRNLEDEQQVEVKDWFQIQVEKAKKFQPLAVLNQKLKGLDVFESNSNPQFTPSA PVRASSKGFADPPKIMDPDELVTRAHWQQRTLYDVCLEPMCEKRLTVTTGCVNCRKCG RLFCDEHTMYQMKLSRSAHHEPVRGLWCRVCETCYKSREGYNDKNDKAFLEVSRLEKR LSRLTQLLAELPLEQVQSGASKRWSFAWQGDRRKQIEQSIVSWQDDASVLRCPFCQQE FTTYTFRRHHCRTCGRVVCGDPNTECSSEIGLDVATDTSRLSEKPATPGKLSIDVRLC RECKSTLFDKRDFAIDINREPADARAYKNLIQFERGIRLLLPRFQRLLGALQDPENPP SPAQLAEASKVRKRLIDSFAQYDVAARRIRDLPTDSPTQSKLQKSIYHQATSFLHLHM LPLKTLPKILKHATPHGRYPEAGSTNPPTPRALAAIKYGRHQNPHRHNNSIASLTSEN SSALSALETEEKALREQIIVLEEQKFLVSEMVADANRRRKFDEAKSLAINGEDLGKEI DKLNGMLGQLDFAGVYLNNGDITAVQDQA UREG_00220 MNPQRSVYKNSSINVPASTTTPVIGSKGVFGLKGSIHDSLSLIP STQASMPRLIDNAQIRSAALRNPLPIQLHAYVWPFLIIWPSFFAFYLSPDRYDRYIQG SEWTFLWAGSIFTLQSLLWLMTKWNININALFTTTSAKSVDDAQLIKVLPVANAGSAE ICPLVKEYTGGRNHISFLFQKRRFLYDPANKCFSPLSYALDVEPKPYLKEFQTSCGLT SASQIEHIHNHYGDNTFDIPVPTFIELFKEHAVAPFFVFQIFCVGLWLLDEYWYYSLS TLFMLVAFESTVVWQRQRTLNEFRSMSIKPYDVWVYRENQWTETSSDKLLPGDLLSVN RTKDDSGVACDILMIGGSAIVNEAMLSGESTPLLKDSIQLRPGDERIDPNGLDKNSFL YGGTKVLQINQSTSNPDEITTNARFKVPPPPDNGALGVVVRTGFETSQGSLVRTMIYS TEPVSANNVEALLFILFLLMFAIAASWYVWKEGVSKDRKRSKLLLDCVLIITSVVPPE LPMELSLAVNTSLAALSKYAIFCTEPFRIPYAGRVDIACFDKTGTLTGEDLLVEGIAG LTLGQKSKSISPDGAHTDIAKVENVADETTLVLATAHALVKLDEGEIVGDPMEKATLT SLGWILGRNDVLISKPGAPVRAGGRVLESVQIRRRFQFSSALKRQSAVATVTSTDRQS SKRVKGTFVGVKGAPETISTMLVSVPPNYEETFKFFTRNGARVLALGYKYLNSEAELS PARINNLKREEVEADLHFAGFLVLQCPLKEDAVKALQMLNESSHRVVMITGDNPLTAV HVARQVEIVDRDTLILDAPEHDTSGTRLVWRSIDDKFSVEVDPTKPLDETILQTKDLC VTGYALEKFRGQSGLRDLLRHTWVYARVSPKQKEDILLGMKDAGYTTLMCGDGTNDVG ALKQAHVGIALLNGTPEDLSKISEHFRMTKMKEIYEKQVSLMQRFNQPTPPVPLNIAH LYPPGANNPHYEKAMLREAEKKGPAAVAALQQSNDSIPTIVSPGAQALQQSNNDLTPH QRREQHAKVAAAGLADKLTATMMQQEMDEDEPPTLKLGDASVAAPFTSKLANVVAIPN IIRQGRCTLVATIQMYKILALNCLISAYSLSVIYLDGIKFGDGQVTISGMLMSVCFLS ISRAKSVEGLSKERPQPNIFNIYIVGSVLGQFAIHVGTLIYLSQYVYALEPRKENIDL EKEFEPSLLNSAVYLLQLIQQISTFSINYQGRPFRESIRENRGMYWGLLLTSLVTFSC ATEFIPELNEKMRLVPFSSEFKVVLTSVMILDYAGCWLIEKGLKRFFSDYKPKDIAIR RPDQIAREVSRKLKEQEEKDREVEAKRTV UREG_00221 MSAPTGTTQLPERPKQPKPPKNKPAKQPNDSGAKNANKGSKKPQ GGPSGADITQDPEFMFKVGFLADVYQERPISDKIPKIVTRFPPEPNGFLHIGHSKAIA VNFGFAKYHGGDCILRFDDTNPEGEEEKYYKAIEDIIHWLGFKPFRVTAASDNFEKLY QLAEDLIRRDGAYVCHCTKAEIKSQRGEGTGGERFACSHRTRPIEESLTEFRAMRDGK YKPGEAALRMKQDIENPNPQMWDLFAWRILDHEHKAHFRTGSNWKVYPTYDFAHPLCD SIEDISHSLCTTEFELSRESYEWLCDKLEVYKPMQREYGRLNITGTVLSKRKIIELVK KGYVRGWDDPRLFTLIALRRRGFPPGAILSFVNQLGVTKAKINVQVVKLEQTVRQYLE TTVPRLMLVVEPLKVIIDDLPDDYVEMVEVPYSKDPSFGSRKVPFTKVVYIEKSDFRE VDSPDYFRLAPGKTVGLMKAPFPITATSFEKDPETGEIACVHAKYEKPSEGSPAKKAK TYIHWVGESPAHNSPKNVEVRTFNQLFKSEDPSAHPEGFLADINPDSEQIFQNAYIET GFEEICRTAPWPKEKNKESGEGEGESKQKEDNDSTSTEPYSIRFQGMRMGYFTVDKDS TPDATVLNRIVTLKDSAGKS UREG_00222 MNKRFFRHGPNDPCDPSRARSTFAMHAGSVFVKLDRWDAAECDQ TITQFKIDIMDQSTGTLGFGARSRITRESDQRSIIAVLKRSSGDKHRAALVTP UREG_00223 MPQKFDSIPFDIWHEIASYLGPHDYINLSLVNWDMYELLKDEIT ARKSAQKFMFHSAECRLAASGQISYRQAIRRAFDVREAVATAQPYSAGIMGYAESFQF RQGVLCYKYGHTIRILHTRAADGTEQVIDVRAAFSEAVLPLTASEQLQVTLLYYSDGI TTCLCKWGGRTWLMAFDVCSHSREVGVSRLRMGVYLPEDRNVTKRPVQLENVVGSELG STVCFEIRDGYLYVVSNQTSHEEEEIDWTSFYVCVRIPLSRPTEPMWQRYWRRQHQEG PLNDTWTNLSLQTDEDTGNLIIVECRREWRDGGSENYRTYYMQPIDRPHAQAEWDAEI LDPVTMRTPFPTEDPPSPGFPRYRPVSRLPSDDPLTRTLDAFSKPNYVPPRKRVKRQY HHEYTTEERNSDSRKDFPLSKTKFWTYNYSALSFIDLVNDPLPTGAFSAPSDRLRLRI GSRKRKCPIDEAGEEVSPGFLYPPEHLHEDATPMECSEERYESRGIKLWPSDDAPEEL LRTLCPRSASGEIQAAADERMIVYLTKVASIDDPRQVQRASNA UREG_00224 MAPTTLHLRAEDKILEHRSALTPSTTRALLDAGYKVKVERSPTS ALRKRIFPDEEFEKAGAELVPEGSWVNAPKDSIIVGLKELDETKDFPLIHDHVTFAHC FKNQGGWEKALGRWSRGGGVLYDLEFLQDDSGRRVAAFGYHAGFAGAALSLKTWAWQL ENPGTPLPGVDEFTGGKGYYVNEDEMVNQIRADVERGTKIAGRKPRVLIIGALGRCGR GAVDACVKAGCEDILRWDMEETAKGGPFTEIVESDIFINCIYLTSKIAPFVDAESLLN PNRKLSVVCDVSCDTTNPNNPIPIYNVNSVRAIVSSFSPDCN UREG_00225 MLLILPRELRDEIYYHLFFTTRLRFGPRIIPARHSLAILRTCHQ VHEETKDIWISRVLFSFDGLGDIFLKFSSLNPSVLSHIKYASFSLTDSESSGFSKTVS VHEKYSRIFKLFPGLCLDNLTVFATRDCEPAYKALSGLILYGRGWKELHFVSPNARIL GLAQRCCPRPLPSTWNEFLFQPPCANPDQNFEASITIFRSTVTREVGEPTGSVLNPAT RKVFEMATQSRMLHINVCRRRMPPSFEEIVTGNEYLVVVKRGPDADIIRTYDEKQEFE TTPSHFAPAPFIQCTSVYHKDVQDYLDWNVEDPVPDVYHRIYDFEWSDFRAPKASKST UREG_00226 MAFRKHIQKQLLLSQFTLLCKLAFGRIACERCIISARTLACRYL DRETPGRCTDQGAAGIDEMSFKGIQKSFARAPQTFKAKFNLGENTKDPVYLDAERRFQ ELEKETKKLHDESKKYFDAITGMLNHQIEFSKAIAELYKPISGRASDPTTLVPEGNPE GIQACEEYESIVRDLLTTLQPELELIESRIISPADQLLEIIKVIRKVAVKRQHKQLDY DRHRASLKKLQDKKDKSLKDEKALYRAENDVEQATQEFNYYNDLLKDELPKLFALEAE FIQPLFQSFYYMQLNVFYTLHERMQGLNIGYFDLTSDVEEAYERKRGDVKERAEQLTI VHFKTTGGRRPGSKFTPGGKDKLAAESKTYPSRHASGASDNPPPPYSAHATSPLIGGS AGTFGNGSLSAAAKAKPAPPPPKPKPARFAGAQVETVTALYDYEAQAVGDLSFSAGDV IEIVSRTNNENEWWSGKVDGKVGQFPGLGNYVRLNQ UREG_00227 MDDKSRDGFESDKANDEGPIAVERNDEGLIPAEKNGDNLIPVEG SDDGALPVDNNKDGLMPVASVISADKDGDGHPNTSTDRIFNHAKAATDKERNMTLLQG IKLYPKAVAWSILISTCIVMEGYDISLVGNFYAFPQFNRKYGVRLENGDYQVPPSWQS GLSNGAAVGEIIGLFINGWASERFGYRKTIMTSLAFLTAFISIFFTAQNVQSLLAAEI LCGVPWGVFQTLTITYASEVCPVALRGYLTTYVNFCWGLGQLIGIGVIKSMLDREDEW SYRIPYGLQWMWPVPLFIGIALAPESPWWLVRKGRVKEAKHSLRRLTSLNRETEFNAD ETIAMMVHTTALEEKITRGSSYWDCFKGVDLRRTEIVCMVWAIQNLSGNSFSNYSTYF LQQAGLETKHAYSFAMGQYSINMVGVFGAWFLMTLGVGRRSLYLYGLCGLCAMLLVMG FLGLVPEAHRNQSSLATGSMMIIWALFYQLSVGTVCYSLVSELSTRRLQIKTVVLGRN LYNIVGIICNVLTPYMLNPIAWDWGNFAGFFWGGICFLCIIYTYFRVPEPQGRSFAEL DLLFERKVSARKFATTHVDVFEEEVEGNVIQQYRNETTKSVWA UREG_00228 MAPTTQHNLEALPPDGMEVVQPGLEPVASQGDFRYSQDKYVPPP AQTICGVRKTIFWPVIAAALVVVAVGMAVGLGVGLSQARNSAPTVTVVSNSAGDVGSV MPSMTVPSNTPFASETPSSTTRPLPPGETRAPEGVCPSKNNTIIERNLGEKSYRILCD SDFGGGKQTLASAYMPTFEDCLDLCNTMNYFQNRADIGCTYNVVGSGQQRPGTCWCLG GRDKRVERNVGNEIAAPL UREG_00229 MVLKLYGSPVSTCTKRVAMVLHEKQVPFEFFPIDLAKGEHKAPE FVAHQPFGQVPYIDDDGFVLYESRAIAQYIATKYADQGTPLIPKGIKEYGLFLQGVAI ESSNFDPYASKLVFEKLIKQKYGMTCDEAVASQSVETLNAKLDVYEKILSKQKYIGGD VLTLADLYHLPYGALLPLVPCDAIEKRPAVSKWFKELAARPSWQAVQGGIKGTA UREG_00230 MSNLAPHAIRQASRACSRQLPPSQSLLRPLSCTASFHRTSQRRY VSETKDRKAQTTVDSAVKAEQRLFTEQTGLKPDAVRVPGTTVTGDTLLSPNAGILKQA TVMDQGARPIYLDMQATTPTDPRVLDAMLPFLTGLYGNPHSRTHAYGWETEKATEQAR EYIASLIGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITTQTEHKCVLDSC RHLQDEGFEVTYLPVQNNGLVRLEDLEAAIRPETALVSIMTVNNEIGVIQPMKEIGAL CRSKKVFFHTDAAQAVGKIPVDVNAWNVDLMSISSHKLYGPKGIGACYVRRRPRVRLD PIISGGGQERGLRSGTLAPHLVVGFGEACRIASEDMQYDTKHIERLSKKLVDGLLAME HTTLNGDADRHYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPAMSSAHLG TVTRVRIAAFVLESVDSHPRVKSITY UREG_00231 MLPPVPKLSEYGIDAEHGFLPSEPPLTRLPGYYSEWEHVIENLQ SLVLSGRLWDTIKRMPTLSTDHLHTAAEWRRAYVVLVMMLHAYIWSGDKPQERIPPPL TVPLLKVSDYLELPPVATYAGVCLWNYRTIFPDEPADKLENLSTLCTLTGSMDEEWFY LISVAIEMRGASTLPLMLDAISAAREGDSKRVMDSLKEFAEAVDDINVILQRMYRHCD PHVFYHRIRPLLAGSMNMEEAGLPRGVLLDEGGGKAEWRKYRGGSNAQSSLIQFFDIV LGVEHRPTGEPKSTQPPAEDGATTRRRWHGFMMEMRDYMPGPHRRFLEHTASVANIRE YVEEHQSDQELTMAFNAAVAMVKALRSTHITMVSRYIIVKSRERTAGTRPLAAGAPAL NLATASTEGKGSGSKKLRGTGGTALIPFLKQARDETGEAAIGSWGKHVLDTRRPEVAK VIELPKVGETIEGRVEILGLAGTWSMEESEGGLCHW UREG_00232 MLAEKDECTTITWRIGQRQAIPPAIPPLPPQLARPAPQPEATAQ PTQFPPKLPPKPGKPTESQNHPIPPVPGKYSQPPPLPPLADGYHDPRRTPARQPPLPN QRHELNRPSHQTSSSAAFPVSSQLEHGHGPFYRYQQEGVGPVSPITQAGTPHPPISQT SYRPPQFVQPAPLHPPQPHIQAIPHDRHPSHSQHPQQAMLSHPESARRKQPTPDLLTS PFDLELPSQTIIAAPPPIPPNPEKDFLLKTLSQTLTQTLHDNINRTKSGIQPLNSQSQ ALHAAAATLKSEIASLNTLHTTLQSNTSILQQSLHRADGVIADARARITASPAPPGPS IEQQPAASRSPSGLPSIDEILVPPTVVGKQIYDLVTDERGIERAIYALQTGLVKGRVG LDTWAKLTRSLAREAFLKKVLIRKAGVGMGLSVD UREG_00233 MGFNPFTQEFYIRHINGNEITTSLAKVDFYMQDNAKQCISFGAQ LGASLMMLIVLFLLTPPDKRRSPVFWLNSAALLLNSGHMLCSSLFYTSGFAYAYALLA SDYSQVPVSSYANSILGTILIGLLVICVEASLLFQTQVLCSTFRSLYRYTLLGVSMLL VLVTVGFRLAQTVENCKVTIGLKSFETFIWLQSATNILTTTSICYFSAIFVAKLGFAI NTRRELGLTGFGAMQVIFIMFCQTMTVPAIFSILQYFVEPAEMSPLSLTLVTLSLPLS SMWAAQAAKNGFENKGASDPRPLFARGFSEATHGLGTQPSMAATLVPSRTLTTDQLDK LYPELEAGEIHVERDFTISSDKMK UREG_00234 MTAEFVPPPLPPPFTSATHPPILLAQGAEARLYKTHFLNSSIPA ALKFRPSKPYRHPILDRRLTRQRILQEARCLVKLLKEGIPVPGVLSVDWNGESDNNSG GAWLLMEWIEGSPVRQVVNNWETWVKHCENASPEADFRQDLERAEGDIRFLLRKIGHV IGQLHKAGVIHGDLTTSNLIFRDRPKEFAENSDIQAMHWKPMDGDIVLIDFGLASQSI QDEDRAVDLYVLERAFGSSHPRTEKFFEEVLTAYRGSFKGADPVLQTLKKVRMRGRKR SMIG UREG_00235 MKLVLSTSNIVSGGPSVVRRISSAKSNVELLNSLRFNFVAAQQI EPSHANDDCLDTHSPPRKLWYSNYTTWTSQVDDTLYVPAIDFSTSGLTEDRSQYDITV KLFYLPGIPASRRCEHTYSAIDLVLKELHVDSIDLLIVSFPGISFDADDEEEEEEAAN GDTESEFNNMVQTWRTLESLHERGMIAQLGLAEFDKDRLEKFLPHTKVRPSVDQINVK DCCVVPKDLILHNERLVMPRSEWGRYPGRHRRC UREG_00236 MAINYLILLSRQGKVRLAKWFITLSPKDKAKIIKDVSQLVLSRR TRMCNFLEYKDSKVVYRRYASLFFIAGCSSTDNELITLEIVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLLAGEMQESSKKNVLRCISQQDSLEDMEVSSVRI UREG_00237 MWKIIDEQMSLKDCSIYCYAPEEDPYDGDDASIWSLNYFFFSKA RKRVCYIYLRGISVLTRLADGAATPVAAKRGMDDGYLTPDLGARKRARYWLGDYALAR AQDLSSDEDEEVETLPGPRRPVVDDDGNYSLSDEEMRSRSGSKATIVRTMSEELTDSM EF UREG_00238 MADADEKAKAEKLAAARKRVAQLQKQKAKKAGKKEKAGEPSKEP APAESASTPGVDDSAPITPEKAPDAEQKDEEAEEPQEEPKESFPEVPTESTEPEAPRE EGDGDNDDNVAPLKSRHGRQPSLSIQSKMRSSSFRNSSISQAGLTPTGSGVKSPSLPP LSPDGNTAPELFRKQAMRLEELEKENKRLERDLETADARWKKSEEQLEDLREANSDTV ELREKLVAAEKKAEEVEKLTAEITSLQRQNSHLQSKSQRSSNSVAVSGKPDSPTSALQ SELDSKSATIEAMELEISNLRAQLTSQAETASTVEAQLSALKEELSSTKSALVNEEKE HANTKNTMNRMIEKSMNEGVTQASTQTLINNLKDELEQMKNAKTEVEAKRATLEKKLE ALGSLSLSKMKNLRLREERERTRKREVTSTGDDEALDELEDEERARLEKTIRDLEGEN FDLRRGIWKDRKRELTTAHGSDGDATESLSNPGGSFDDVDLIGGLPSGSEHSRRRSIA ANKPRQHSSFTTVLSSGLAAFTGSGTSYPHRPGSSGMRRSLELLSEKDGGELLDDDGM FDEDAFARAQEEEENRKRKEWERDVKGKLKNWNGWRLDLVECRYGAQGAGVGLGEIFE I UREG_00239 MTVSKDSGHEFTVFRGTKDGKIARDVTRKGELKGDEVLIRVTHS GLCGTDLHYRTAGCVLGHEGVGIVSEIGPDAAVLKRGDRVGWGYLQKSCTHCKQCINA QQVYCPDRQTFGSSNTDQGSMAYAAIWNEAFLFKVPDSMESAVAAPLMCAGATVFSLF DQFNIRPSDRVGIIGLGGLGHIAVQFSKSMGCQTVVFSGTHDKKEEALSLGANEFYAT RGVKEFNDIEQIDHLIVTSSAQVEWSLYLPLLAPRGAIYPVTVAFGDFTIPYMPLLGK GLRILGSAVASLPVHQRMLDFAAQHRIRPLIELFDMNEEGIEKAMDRLADGKVRYRVV LVAPEGAQA UREG_00240 MNGFADHGLDEDAFGEKSGIAAGLRTFDAFPKTKPTYTTASRRG GQWTVFIFLFCGSLVFSELVSWYRGTENHHFSVEKGVSQEIQINLDMVVHMPCEALRM NMQDAVGDFILAAELLHKDDTSWDAWNRELNYASKGGSPQYQTLNAEDDTRLAEQEED QHVGHVLGEVRRSWKRKFPKGPKLKSKDAMDSCRIYGSLEGNKVQGNFHITARGLGYW DPSGFHLEGLNFTHLITELSFGPRYSTLLNPLDKTVAGTKDAFYKYQYYLSVVPTIYT RAGTVDPYNQELPDPSTITSRQRKNTIFTNQYAVTSQSHAIPQNVRAVPGIFFKFDIE PILLVVSEERGSLLALLVRLVNVVSGVLVAGGWVFQLATWALEVWGRRRKGMSLGVLG NHESEE UREG_00241 MNASISQSQPQAASATSVAGSRRRRSILERRSTFGSRDADATRR IVSSTIGTQMPSANSSVDSVNPPSRPVNSTSNEGTDTPSENGDFLVPINFDDFHNSIT SKEPSLSHFPLPGHGGIGYDGKSGSTNPTNRWTATPAIQGVKEPRPSGMVRRLSNANR SATGPKPSVSSVPSTARARRQSYVPPVPPSVVTTRRPRKSIGPGSLVSDNGDNNIPPT RRPSFSRKKVVDENRMSSNTVSRNSHDTSDSAFHSAALNAARSQKARSLQPPSHNRYD TSTTPSTTADDSRHSTGNVIRTPVKGAVSKTTTPSSSKRVSMMPPHATGLGARTISPT DARRMKRMSLIPHPPPVPRASPTHLELGFPRPRSSVQSPSAIPRKSVTPSSTRTTPDP QRKSYGSGISLSSNTSYNSARNSSGSLQARLSQNLSTSRLPTPKLRTENNGRNEDDVP PVPAIPKVYESPKNDQQLSFTPRKSSLPLEVPNFADTSRLDSDSNSSRPGESVRVSKQ NSEETPVKELKSRPPVNITKKNLQPLKLPPLNLLPLSIPINTKINALRDKASQDMPPQ LCATPPRQINAKTPITPLTASNASFFNALPPGDQARSNTSHFALRTDFTKFRAENSSS AFDTLEISSTRNISPYISCSLPRGNEEPGFMPNHISDAYARAMNSKLTGPRPQTQFSS ISNADLFSFGLTPDDAETEISFPPPRSPRRNEDQVNSKIQTISNSARDPVKFDSMPPP RLPASATWNHLSAPRVSPTAKPPQLQSKRSTSISNLVSVPSPRRNQSVSSDQGLGANP SPSTDSGDVETPANRSNSSILSPVHKLLGGSKVSPTARARLQDQSGDRDDLAAEEEMR KLGTKRKDFEVAARELETLRRRASPKERASPAQALKVANLNIFERGEIIDFKDIYFCG THKAKKLVGDLNASTANFGYDDERGDYNIIIGDHLAYRYEIVDVLGKGSFGQVVRCVD HKTGTLVAVKIIRNKKRFHQQALVEVNILQKLKEWDPHRRHSVINFVQSFYFRGHLCI STELLGMNLYEFIKAHDFRGFSIKLIRRFTKQILSTLILLHNKRVVHCDLKPENILLV NPIQSGIRVIDFGSSCFENEKVYTYIQSRFYRSPEVILGMSYGMPIDMWSLGCILAEL YTGYPLFPGENEQEQLACIMEVFGPPEKHLIEKSTRRRLFFDSTGKPRDVISSKGRRR KPSSKSLRHVLKCDDAAFLDFLARCLRWDPARRVNPHDAMNHEFITGAKFNPRARLHL TAASHSPAKRHTSGSTPGARPLPEPPSKPGLGRTRDSSNNSPVRPGAVKRQMTQNITS TVAAKRTSNGPPPAAGSALPRVVGRSVSGKPDLAAAAAATSLKTRV UREG_00242 MDEETAETFVNRDEPVPDISIDNGDHEVPSKNRLSATYVREKLQ GMRPDRTDSHPESLQDRLFSRLLQQVIPAEDGDEEDDTLDARRHQPPPFSLPTMTSNF RRFNARIGIVFQFQNKLVKLFGWRKPTQTWSFLFVYSFICLDPYLLLISPFVILLFFI MIPAFLARHPPPPPTTTTSSTTPYYSYEGPALAPAKTIKPVPETSKDFFHNMRDLQNS MADFSVLHDTAVAVISPATNFSNETFSSMLFLLSIVLAVSLFLTAHLLPWRFIFLIGG NMAILSSHPRTGAVLNIFQPREDQAKEDTQREKEKNLKSNIVAFGIQIPTTFSGVLER LESLVALSLDSYPEEREVEIFELQHRTFSPFSGTSEWESFVFTSSPYDPLCPSRIAGD RPKGTRFFEDVRPPRGWAWKGKKWELDLECREWVMERMVTGVEFEVPSSTGDGIGEEV GGWVWDLPFQPPPEGLRGKPDELRGNDMRNDGTSREDRYSKVEWEEATKQADRTGEWR RRRWIRIVQRVKVDEKLSSAS UREG_00243 MASLEQAFEEACSAGRIPHAVLAASSKDGKFTYTKAFGFQRLDQ DPKPPIKEDDIMAVASLTKLMTSIAALQCVERGLIKLDDDVAEILPELADLEILEFDP QTKEPILKKRQNAITLRHLLTHSAGLAYESMSPLLQQYNKIMGRPANTNTTITTRFNC PLLFEPGTGWSYGTSLDWVGLLVMRITKSSLEDYMRQNIWRPLGIEADTTFWPLENAS LKDRIVGMTIRDPSIPDRKGKVLPYNGPPVMGVVTEECGGHGVSISIPSFMKVLKSLL ADDEKLLRKETVKLMFEPQLSAQSQAALQKIFASRKDSQSFNADFPETCRYDWGLAGI LILDDVPWGRRKGTMLWGGLPNLFWFIDREANLCGAYGGQVLMNGDPQAMEMISLFER TMYDRASKM UREG_00244 MKLTKALLPFSVLVATVASGRVMLTSIEPIGPKFDARCRAATST RAECVHRADVHQLKVNNVKRNIESTVGSTVVKESVTYNLTLGTSYSSTCTSEIAEATK LPVTPRLGFPAWVMDPLTPPYPTVTRSRLSNATIPTIGNDDMLTSTKANVTSSNTFNK SATVTATISPTTKPNGSGHNNVKELILPLIVATILFYAAL UREG_00245 MGRVLQRKKNRSSTPKIKIKSKKAKNGKKKINVLGNAIIAKNWD KKLTLTQNYHRLGLATRLNAPAGGVEKDVEPLQPTIDAGESYNPLAIKGKRDVQVQPG EARVERDPKTGKILRIIRIEEEGEHEETVEIAGRKRRKMNPLEDPLNELSDAEDYSPR HTDAPTEVVSALEKQAAIEEEKVKKRKPRHQSKREEEWLERLVEKYGDNIPAMVRDRK LNPMQQTEGDIKRRLIKWKGNKK UREG_00246 MTLKAFLGGRRQASILFLSCLILALSTSAIAHTKQASVAELTAP QIEEKLQECPLVQSLNAHKQATAPQTTSLTSKIFAILFPGSPAVNAILATFYISGPPS LDFLLALCPPNIDPSSLSVMVAFAVGGLLGDTLFHLLPEIFLGEDSPQHVRFVLVEPN RNLLLGVAILVGFLTFVAMDKTLRIATGGEGGHDHSHSHSPAAPKADDVALATGSSRS TKSSDETLRQRKSEKSTPHVSEEKEINPSVKLGGYLNLIADFTHNITDGLAMASSFYA SPTVGATTTVAVFFHEIPHEVGDFALLIQSGFSKRKAMGAQFVTAIGAFLGTFIGIAI QEFGGGDAASATSSSSTGLLGTSLMLGDLLLPFTAGTFLYVGTVAVIPELLETGKDKS VEFRKTLQQFAAMFAGAGIMLWISWS UREG_00247 MAVRSLRRTNPITFVLACALAIGFLLFIFSPSSSGAVTSAQRQN DAAQDPLSPPTKPFQKKQLFKSNGRRVPPPVVNYNLNNVTTTMNSAERHERVLILTPL ARFYPQYWENLEKLSYPHQYISLGFIIPKTKEGNAATSALQKAITKTQSGPMDDRFAS ITILRQDFDPPILSQDEKERHKLANQKIRREAMARARNSLLFTTLGPSTSWVLWLDSD IVETPPALIQDLTRHNKPVLVPNCYQRYMNTETKRMDIRPYDYNS UREG_00248 MDDISIPSGAKNELEPKVAPTVKRKDYRGFVAGVFSGIAKLSVG HPFDTIKVRLQTTKSARFSGPVDCLLQTIRKEGVTALYKGATPPLMGWMVMDSVFDIM AFRDFTMGFPPRSSSDHSSSFGGLSAPAINFWAGGLSAQVFWITSYPSDVVKQRIMTD PLGGGLNDGERKFHRWRDAAIAVGRENGWRGYWRGFLPCFLRAFPANAMALVAFEAVM RWLP UREG_00249 MTRSFQDDLEELLKSQKYCDFKITCNGEEFNVHKAIICARSPVI AAAVDRGFKVRTLRSGKISFLWLRQEKESGTGVIRADSFSPKTVRSMIVFMYTQKYDG IELGRDADAEQVLSKLELLQIDDASGEKAPVDSQGLAKPTPNTFEPLMDHIHVNSIAD YFDLPGLRDLANQKIKNILFTHWPVENFINLVNEAFTVTGDQKLHQIISNSIADHIEE LIKRDDFADLNMEKGVSTMIIQNVVGRFVRIKDTLEQSVSELRHPNWMLTMEIERQKT AIEGMQNAIAALNENTICRNTSCDGIFDGYVEAYGPQRDLYRLRCGKCRCRHPHNPSG A UREG_00250 MYLKLANPTSSQHFEDSNHQFRPYESQIMKKAPIPACVGDLLYD GHVYHI UREG_00251 MQFSKAALLAVFAATTSVIADSQISSVTCNKKSLPFTRDEAHVA IQTACNDIKLQDPGFHVLQYLDRRVKITATIYCKFYEFKPCEDIYHEIMEVCNTGKVD QVGGKKEDPNCGVFTIEGYEWKSSDDA UREG_00252 MARGLAYRWDNPSIGKLNLYPNEWHGDDPLFQAPSEMTGQFKSA GTLSRIPLEIIQKICLLLDLDTLKNFCLASSALEAKALSVLEYSRLLEHAPDTLELMD ITEVAKYYTPLLPRLPLQEPKVMSRSHTKTVVANYMLDDDVSSQLRVINSIPGWYGTM RASGLWIRRDSLVSTYDAEQLALQEYGTLQNVEAAFREHTAQVSAEKLAQDMWLTGNF ATLPRAPQMVYSRFLTCDSFPIKRRFLATTAFPYYDPETHRIESGLYCRDCTIVFEQI MKNPEVPTVTDEMIQALDRQYHTAFLEEEMPHHFALCSAIKSPTQQLNGPALRKWPFE RSGEQVIVEWEEIDGEGAETR UREG_00253 MLGSCQRRVHGIAAEHHENWRKDGTAAWSEIEWAKAAAEGWHLD LKTGRRRGGIEGDLQGGKQELFWRNGEDAGGKGQESWRVWRETWLSEVAATHAELSGG QRTCCLQRRGCLGEQELRRWTGRQMIQVKRCLARQLSLKDDGEEEEALVSGKGDDGVL LIANITRLVCRRRGSGEIDTMD UREG_00254 MESLTAHNRPIGPDYLTGNQRVEVTEEDVSSTNPTDHMSSCADG WDENNRIRRKTDKAILSILVWVYFLQILDKSILGYGAIFGLKEDAKLKGNQYSLVGSI AAIAQLAWQPVSMVLIVKVPHRVLMPSLVLGWGIAQTAMAGCRSYPSLLATRFFLGLF EAGCLPLFSIITSQWYRRAEQPIRVAAWYGTNGLATIIAAALSYGLGHIPSSILRPWQ IIFLVVGLVTIVSAPFVYWKLDNDIPSARFLTEHERLQAVERLRLNQTGIGSREFKWS HVFETLLEPKTYLWVIMSMLLNIGASVTNIFGPLILKGFGFDNYVTSLLNIPFGAVQV VIILLASYAAQKARLKFAVLVALILPVIAGIAMLYKLERTSSQAALLVAYYLLAFLFG GNPLIVAWIVGNTGGTTKRSMNMALYNAGSSAGNIIGPLLFNQKDSPQYKNGLRAVLA VFIVLAVVVLVQVVHLNFLNQLHRRSRVAAGKQAFMKDHSMSERYLDEGTDGDRQTSG SHANAFSDSTDRKNNEFVYIY UREG_00255 MSMAQQEFHIPTVGVPCLQPGYSHWQSLWETCVSGFEWSLPQIP VKSILVAGSRLSKYIQNQSTMPPRVPPPGVWCPAVTFFDPKSDTLDLAAQKKYYAYLS RSGLTGLVILGTNAEAFLLTREERAQLIGTARKAVGPEYPIMAGVGAHSTRQVLEHIN DAVAAGANYVLVLPPAYFGKATTPSVIKSFFDYVACQSPLPVVIYNFPGVCNGVDMDS DLITTIAKKNPNIVGVKLTCASVGKITRLAATLLPEDFSVFGGQSDFLIGGLSVGSAG CIAAFANVFPKTISKIYQLYKSGNVDAALELHRKAALAESPCKSGIATTKYAAAIFSA KAAGIEGAELKLLPRRPYQPPSEAEKENVRNVMAGVANIEAEL UREG_00256 MATSSSSSSSSSSSTSEADALDPVLLCPPPMTETEIPMTTNTPL IEPGADELVADWTQWMRWDELDTDQIFNPVDIPKLNSIQGEHTIAAQPVAAPTKPVFT FQGSLSPPQVHTGPISLDSKTMELQPPFSPSFAFNDQSMPSFTPPLSLNVSVEHSPPS HTPSNFPPTPVSAGPGRKRKSSDGDVIPGTLSGASMSPPAGRSLPSKKRSHNVIEKRY RANLNDKIAELRDSVPCLRIMYKQRFGGTAKDEEEEEETIASTNKLNKASILSKATEY IKHLEMRNKRLDEENIALKNRLRQLEKSQEQGLTAFPPMPNLESSPGGCTTSTDSATS SPPSVFSQNAEFSPEGSPNPLYPPEGLLKVPEYFKRLRPSGPQPHYADSFISRQGQRY QPGTPDGSGKRGGLANKFMLGTLAGLMVVGTFENQKEESADRGLLSVPVHLVGHGLRF ARNRIYAITANSWQVRALAHFALTSFVVVGCAFLVYLYLFYSRPSHPKSKLRKSQSTI ASATEFRRDAWLTSIQTVGVPRHTFFPEWFAVTSRCLEYCFRCLLGWKLYSMLTGISE DDEKGRVKAWDIALDAQLTGGDAEVSKSRLVLTIFAAGTLPRSLSRMMLKSLHCRILL WRVGSPGSFACKVSDHIAGLLANYQWQLAQVMQKIIPKDHEDALPSHLAYLLEYDGED VFTDAIVQRASNMIWNRATQEATDGEDSLLDVVVEDSAIRSPLDAVAAWWSSRALQEA LIHSLDVTSVDCGPKRREAFERYLEMALKSAPPTSAAYTRAAAIKALFVDEDRVANIN SVLAALPRPKREPSTSATVFLDSSVPPSVRDEICIVVRCAMIAAILRGQVGGQDATSS TLSLNNAIELFNRLPIDAVELTFMGFAALYHLLHIVSMDERLIPSSSLTSSVCSSHSD GISLSGSNTQHSADGITLCPLPELGRIASGLRYWVQNAYNPISSGFTDSLVEKVVEGC ADACRNAGFDIDEQKTDTKHERRTSDVSTLSSSSFSPEEIAKDAITPNDGVVQPSETK TRRESIRSDDTGYGSLGQGES UREG_00257 MGTETAQPDVEESTEPPPCDPLPQGTTIQPGQAVDCAVAPLRYL DDRDQKVGTPDISCRDSSVTYLQDEGQWEENYEYAHTFAPSHIADVLGVSLQDGLSTA QAASRLERDGPNKVKSAAGISMWKILLRQVSNSLTLVLVITMALSFGINDYIEGGVIT AVILLNIVVGFVQDYRAEKTILSLQALSAPAETLVVGDVVQLAVGDMVPADLRLFDGI NASTDEALLTGESLPVSKHPHAVFSTRDMAVGDRTNMAYSGSIMTRGRATGVVVSTGM NTEVGKIAQLLREHRPEERANILSQLSKFALLLFGLAILLAIIVFSANKWNVEGEVLI YGICVAVAVIPESLIAVLTITIAVGTKAMAKGNVIVRKLQALEAVGGVTNICSDKTGT LTQGKMIARKAWIPGTGVMTVHDTTSPFDPKSGFVRIDNLDINSNHESLDSNSSLDRF LRTISLCNLSTVYNPSDKGSVDRGTAANSKDWTAVGEPTEIALHVLALRFNHGKQRLL QEQEMELLAEFPFDSAIKRMTVVYQKKDCRYADVFTKGAAEALLPLLSVDNTMKEEIR AMVDRLAGEGLRVLCVAHKVIKEEDLTQISERTHTEIGLDFVGLVGLYDPPRLETPGA VRKCHMAGITVHMLTGDHIRTATAIAYEVGILGTTIPSAQASTVVMAAEDFDKLSDSE IDAIEALPLVIARCSPTTKVRMVEAMHRRGAFCVMTGDGVNDSPALKRADVGIAMGKS GSDVAKEAADMVLTDDDFASVVKAVEEGRRLFDNIQKFLMHLLISNIAQVILLLIALA FKDHDGNSVFPLSPLEILWANLVTSSFLALGLGLEEAQPDIMFRAPHDLRVGVFTREL ITDKMIYGTFMGSLCLLAFVSVVYGAGDGYLGAGCNQDWNPTCDIVFRARATTFATLS FLLLVTAWEVKHFTRSLFNMNPTGNKGGVFSVFPTIWRNRFLFCAVGAGFFIAFPLVY LPTVNRLVFKHLGITWEWGVVAACVAVYIMLIESWKAVKRAFGIGGGKNRVFTAEDAE MRVGLAPMVGPPVESREDTMEKK UREG_00258 MAKGSWPLVVCLSMRDIVTRVRRARIDGPTLPLNQNMGPVPVDE DLSPKDSILRNDIQKTC UREG_00259 MAVPIASLTPLRFANGSASYTSPTGDQILGSVNGPVEVTRRDAQ KPEEATLEIIVKPGVGGSGVGERYVEGIIRSVLSRVILMRDKTLARRAIVVTLVVVKN TIAEGRVDERGGSYLPILPSLLHTALLSLLSAAIPMSMIYTAVLVAVNPCSDLIPNPS PNAARSASSLHVLAFSSQGHLLLNESQGDFDLATWEKIHDLAETVCRGGTKMQLTTGG DVSMDDTDTPQSLEQFVRGIVEDKAREEFAWKLVAT UREG_00260 MVVLFRFFSLFSVVAAAAQHVSPNGDWALVERVGVPAGWLRGPM ADPKTMFDMKINLRDASKVEHLHQKVMELSTPGHASYGQHLKREAIDTLMKPNVTVTE SILQWLKDGGVQLENVKSRADWIRVSLPVGVASKLLNARFYEFTDRYTKVKKIRTTEY WVPKTPSVTFRRLAQRAIPPNCLRELYGLGNVTAKPGSRNKITVSGYLDQYAQYRDLS LFLRKYAPQAAGANFSVSLVNGGQNTQNSTHDSREANLDIQYVIALSYNMKVEYLSVK GRGPLKEDLDQPNQASNQNEPYMNQLEYLHSLPDEDLPTVLTTSYGESEQSVPEPYAR ATCNEFAKLAARGVSIIFSSGDSGVGSSCMTNDGQNRTTFNPIFPATCPWVTSIGSTH SRNPEAAIGFSSGGFSNYFARPEWQQSAVTEYLRILDTRWQGYYNPHGRGFPDIAAQG IRYPIYDKGVVKMAAGTSASAPTIAAIIAHLNEIRLSQGKPVLGFLNPWLYSKGFRGF TDITNGGSIGCTGTALYSGLPARYVPYASWNATKGWDPVTGFGTPNFEKLVKLMP UREG_00261 MPAASMGQVTSISSGSSAPPNDPSKPYPTVFNYIRVPGPWDTDN ANFKVPELNEEDIVYVTKGRRLAWGGTAILEQLPSGAVIKTPIPNPLCPPLAEDCLRN MRVEAQVYRRIGEHARIPKLPHWDPDNCCLTMEYLQNGNLKEYIRRNKHSTIPPDLCL RWAQQAAEGLMVLHAAKVIHCDLCPRNCLLDSDLSLKICDFGGASLSGSEPSATPATR FLHPFYDYNILPVFRDDLFSLGSLIYFIMTGHYPYEEAPSDEVIKHYETQKFPDTRNV GFDKLIRLRRFSST UREG_00262 MTPFLPSPLAISHAHAHPPSRSPASAALTSSDDSGCGNPSRAVP PPLDVGSRVIRRDSALHLAPILSMSKPPSPSVDEQSADTVAPLKPADSPASRTIASLP SSPFQHITPRPPSAPSRHRQSAKSIDGVPRQTLMKALASQASYSIDQPALPLATSLAG MLSPTSNCGSDQQAGDTRLHRKLSAALTTRPPVLSDGGTPSTARFPLKSPCYYHQRFD GAVNIQRVLEEIAEDEWMSHSRLMQTATGVREVSKQLQRRPIKVAVKNVMIVTKARDN GLVYLTRELTEWLLSTPRYGSDLGVNVYVDSKLRRSKRFDAAGILAQEPRYESMLKYW TPDLCWTSPETFDLVITLGGDGTVLFTSWLFQRIVPPILAFSLGSLGFLTNFEFSKYK EHLNHIMGDVGMRVNLRMRFTCTVYRADRSNKHRPGHVEEGEQFEVVNELVIDRGPSP YVSNLEVYGDDELLTVVQADGCILSTPTGSTAYSLSAGGSLIHPSIPAILLTPICPHT LSFRPMVLSDALLLRVAIPSSSRSSAYCSFDGKGRIELCPGDYVTVEASQYPFPTVVS GGGEWFESVRRTLCWNVRGAVQKGWNGKSHRPKGGQVGLEPVDAPRDGVPEPEGDEGD ADEEEEQWDIDTDSYTDFGQGTDSGMGPSECGDSPGTGTTSPLKRVMSLLNM UREG_00263 MATSRLSQSPSLRIAASITAAIFVGFGVNAILRPDHALTFFEFA PPAAPADRKVVDGLMAVYGARDIFMGLAMYSALWFGTTKSLGWTVLGAGAVAFADGAV CWSQGGGQWNHWGYTPLVMAIGSVLLGVLD UREG_00264 MQFFSILATAALALLPSLTAAQEDNQAMVEIYSKDGENFQYPVD FNSCTPVIRTEPECTGDKMKFGAGFHEFQREFIVESVNCERQQ UREG_00265 MASLAAEIEDLRRQLEEATRGQEQEKRRADEATQRAEQLAADQS PTTLLVYLQYVKEKLLSTFSIEPDPTKTASGSVTDVRAKYYPLRLRPWADFNQIHDRT FNQLTLAFSDAHLFPSKTDVQGVERDLSPTTRKDEQDIRPFVRSAIEKPAQRLVQAYL KQVKDTRTADFFFQNNAYSLSNKDITDTADAYGDEAPPSKRRSPERTVKGVPDRWGIR VRPSGDHVTIFVGEYKAAHKMRHGTFKRVFSSAKEDLFLDTLKRLSLPFVDTAKEQAV VAQVLCQTFHYMITTGLKYGYLTSGEGLVFLMLDENDPQTLYYHLNSVPDCDHQADDF DIIYSPASQLSTFVLLSLESEQQTAQWIQMAQSKLYQWPLLPGPPRLEIPCRNADFEK LDTSSSDEGRKDTDGDYRPRPPPRKQQSSSKSTENIETKRRQSSRTQQRTQRPTLPYC TQACLLGLSQGHSLDQSCPNTAIHQRGASSLNHLISKEKLCTLVRDQLARNLDENCEC LDQKGLFGAVGVLLKITLTGYGYTFVAKGVQAVDEPLLSNEACVYTHLAKFQGITIPV HLGNIKLVHTYPLVSCATVTSMMLMSWAGNDLHAKDYGPNVNIEAQTQRSMQELFDAG LRHYDVRDANLIWNQERQRVMVIDFDQSSIQSPPSRRKRARKHGSSPELTVKRTRVDK ENLDASNIPE UREG_00266 MSSAGINNLFGSLSLNSTHKIIVGVDYGTTFTVIIDKWPGPNKI SDTIGKTPSRIAYPFDNRSIGKVKWGFEIQPGLTAYSWTKLLLDEDTPLTKYDDHALE KASELGLLKLPGDKSAVDVASDFLSEVYSHILKIIEKQISAQTLAITPLEFWFTVPAI WSDKAKAATSTAARRAGFGTRLGDAIFMIAEPEAAAVAALKKTTVDGLGASVKPGDGV LVCDCGGGTVDITTYLINEVQPTLVFEELCTGIGGKCGSTAVDRNFYNLMSNRFGECF DNLPPKKKGPGSEFMNKFEIIKLDFGNDTDGTTHELPLRMEVIETNPAHYDDEECLVM INDGDLRAVFDPVVDQILELVRQQIKDANAETGKNTINKIILVGGFGDSEYLRRAFQK SFGSDGNILVTVPDRPQTAIVRGAALRGLEGLRSITKRCRRHYGYSWAVPFRANEHKE PESFIDPWSGLKLASGMMKWMIAKVATVSYGLRTSLMIYPSNAVFKGEKYAEDYHYEV NILRTHYQGENLRTELDLYSSDLSVAPKRRFNVGVRRVGKIEVDFTSVNLDSFPKKTI GGELAYSLKYTVRVIFGAKDGVLKFEARSDGRLIGTTSITYATAQHF UREG_00267 MPNFVRKLRGGSRQLPQPKDDMGSEDPQRSGPDLERRPTSRRQQ VPESHLQQEKGEIRRREGRRLNEARKDEEVIKPPKQGELRSRKNPTSSKEPASEVQEE QMKRERMSRVQSLDWRAEEELNFLRHNNARLFEEVTELQHHTKRLQRLLTEQTSEREN RMRHEIQKREGELDDLKNQNARLSSERDAMLEQIRIAQEGALQAMKKGDWAPKEDQQI WRDLTKLEDKLKAWAKTYSLVDINVVESASAADKNAIIDQLGGYCIQEDWEDVVSRLT PALAKRAPMLFTHGALAKEIYSKVFSAPFFVLRGHGKNSEFFEDEMSRLYERLSKVDK TEAHIWRSSMLRLLAQSLADPTSGYHSPAMSQAESNKLSLDFINGPSRLLFKQLDEVN QAKRQKELETLFCEAGELSLSLWCQRTFIKCYDLKMLGSQPFHAGHDAVIPHRLHKLD DEDDRLNGAEMIAVVQPLILAFGDEDAEGYDSHRIWAKAVVLIDENSHVDEEGGRLQM UREG_00268 MIGTLIAPICFAALAIIIFKPVALYFLDRKNLRKFPTLHPLSGL SDIPFMVESMKGFRSARLAELHHGKGWPVIRIGPNSLSFGTVDSIKAIYGHNSPTTKD KQYVNASGTHFHLADVVDKKEHARKRKVLASAFAAKNLEDWEYKVGEKVSRLIDQFDK YVEESAEGPLNYRSWTNLYTIDCLVDICLSTQLHCIERGEDTVTAEDLAGITEDVSFR DCLYATFHLVGDLVWSYDWFSTIITVANTISPVYSRLIKLGTGWRNLVHHLTNKRWSR YAAGERINDIFSSLMDDTAGNAHNLEWGEVVAEISLAVSGSSSTSNTIASTMQLLIEN PQKLEKLQEELDSVMEPEEIIASYDKVKYLPYLRAVIDETLRLYPPISHGLPRETPKN GLQILDNWIAGNTTVSVSAYVAHRDPNAFPEPEKFMPERWLGDEGKQLQPNFIAFSAG ARGCIVLLASLAHRYDFFKFSSDWKPRRRETMNLILGSLPIRIQKRSL UREG_00269 MEATLVSSAAKVTQLADNIQACARRLGQLRASEKRMNGSRIVND AGEKLDAEAIHDVRVNLLDACRSLLNIATGPTEMLKNMALIDKHNLASLRVINHFQIA SLVPPDGQISISEIAKKCNISEEVLARILRQAMTYEVFHEPKEGFISHTAASREIPRL SPLLSYQLDVCLPSTLSLLDWLEGERSKEHKSAFQIAHSTKDTWWSYAEKRPDLIQNY GRYMALITNGGPHDVNHVVSGFAWETLGNAIVIDVGGADGFVGVALARACPHLTVIVQ DSPNLKENAEAKIPSKLKNRVFFAPHSFFEPQSAMGSHADVFLLRHILHDWEDDDCLI ILRHLIRCMKPSARIIVAEQVFGNPGKIDKHTERTMRALDMQMMVQFGSKERTLGDWE SLFSLADPSLEIVGYIQPPGSADTLMELRKK UREG_00270 MTPIKHIIIIGAVLRHHGYRVTILEQAAALSEVGAGIQLAPNAT RILKKFGLLDPILEYANFPSGTIVRRYDNDEEIGPRGHTLSHLSRFQAPMLVIHRSDL QRVLVQTALEAEVNLRTGVKVENIDPHFAAVVTLTSGEKVEGDVLVGADGIKSLTRAK MALFFGIQDEICPTGDAAYRAAIPRAKLASHPNLLLELDQRLSTRWIGPHGHIMAYPI RGNTLYNVVMVHANNGGSNDEKGLWTRKGQIQDLLSFYKDWSPTVLHLASCIEPNELL EWPLNERPNLPTWSINRTCLLGDACHPMLPYVAQGAAQAIEDAGVLGLCLFAAKDVDG AFSVYEYVRKQRAEVIQGSATTVRKILHLEDGPEQQDRDDRMRAPRVAGWKHPDLWAD PEFQNAVWGHDVMTQAENCLGRVRPLEHL UREG_00271 MYSTFSVATKAKTNKQGSENASNPRPKRNHVSVACESCRKNRIK CDNSRPCRNCRQRKITCANVESGDTRALTRQIQHLLGRVEELEGRVQDSDAAASSESP SAQSPSHVGQIHTKANAMLQEQPVGKAGTSGAVETPQAVNRMTLNLSTASFVSRLNLV LAGRFPRRLSAALVVPSGGSLNFQEFALPPEISRTMENYYIRLYWRTTHRTLPVVDED SFTEHYTSLWSESSSSEVRLPSCLVDIILAISLQHESNCKPQGRPTKPGAEEFHGRGQ SQTELMSQALYERCAINISAEYENPCLSTLQCYILLVIYLRGSWSHNAAYQFLGLAIR TANILGLHQKHPANASVGERRIRERLWNILFCLDTQQFLEFGRPFGILEQTHQLNPQG QPLKRSYRQLSDAEVDEEEGSFLGRYFGLIEIAKKVHMVFNSQARNLQGTYDDKFPSA SEVLNCFEEDLAQIATLLVSWARKVPPKLRLQRKADTASFATEVDLDLDADTPVWRLR QCLLLELSYHWINIGILRWALDSETGELHKFSFIMEKARSVIKHAFAITSILNQALVE TDAIHGWYDSHFIQWDAALTLIGFALAYPHHALVAEVLSMLNTSISIFDALGTRFTRA AADVVKEFTTKFEQIVPGSSGSRGNSIFRSGSQEPGLTMIPLADPSFDILRTKSGDLS NPFIAGSPRDFALPIDFEAVSLANEGTLAEAIHMDDGFPALSMI UREG_00272 MTHFGCRWAFLGLSSIAELFFKDITRSRSDEDPIRHQLVAVSTT RSVERASQWLAQQDVPNRHTVRIYTSSDEMLKDGGFDIVYISTPHAVHFLHARTAITN GRNVLLEKPAVMNKAQYDRLADLAIEFRVVFMEAMWTRYFPLTQHLEKDLLPRLGRVK RVFADYSLPLFGDPTLQPGSRFLDKSTGSGSLLDLGVYPLTWCDIALPRDDDSIAVKY AETIEHATGEGEPIDDITTIILSGKRNTVSCVVTISSSIPGSPKLNLKDKLLRKKNSP CLRIQGTKAEISLPFPPIRPETLTIQYYDEDNLDPNGFETEETIVRQVQGWGLWYQAD HIANAIRNRGSQPKDGCIIGRKGSSRVLSWVDQAKELAKIKYDEDLERVW UREG_00273 MKPSGPPPHLHRYQTEYFKVTQGLMGVNINGKIYKKTPDDPEFS VPANVFHGFFRHPESRGPMTVVLSASDSGRDYKLDRVFFENWYGYWHDAMIYHGGMNY IRWLQIYDAGDAYPYVPKWLPCRKTASYYWGVVVGRWLGGLLGYKPFYKEYTTDWDYA VEKMRSHFWQRRLVHTSYRHAKPWVEGMEPWRERSLSPSCKKV UREG_00274 MRLIPGFLNDQCGKNDLVVFIVLSLASAVYLAGKRSWSKQDPLH YRWFERRQETVLGRKRKAERRKKDVSTRLSDERKDVVIFWGSQTGTAEGFANTLARTL RLRLRLDALVLDLAEVDPQTISSISSNYPAIFLLSTYGEGNPSDNAVAFYKGLLRTET SLANIRYTGFGLGNSMYKAYNRAIDDVTRRLDERGALQFCAIEYGDDAIGTTECSFLV WQDKLIDRLKEVFGCEENHLGYEPSVEISEVLDRKSMDKAFLGHPFEIERNPTFSVPV TSACHALPIAVSRDLTPGGERRHLHLEIDLSRRPELKYRTGDHFLIWPSNPESEVDRL LRLFGLEAQRSKIVTIKALAQGTAVKVPSICSIEAIFRHYLSICGLVTRQLLRSLAQV APDEMARSAVLNLCDDSVAFNNMHRRYSTVADVMEMAIADRASWDTVPLSWAVENIPP MKPRPYSISSTPSTSPRRLAITAVVIDEATTGCGDVIKRGLTTTMLNGLNRSLQTTSQ LSQSQQNPPPSFCYSENPDQHFKSGGIYGQIRRSKFKPPISCTAPILMVAAGTGVAPF RAFIQERAWLKSIGKEVGRMTLFYGCRKSSEDFLYVEELNKAVAALENAFEIVTAFSR EQRRPNGHRTYVQHRIREFQSAVAQYIVNEGYFVYVCGSARMAREVTNVVLEIVGNVH GWSEEKALSFLETAKKTGKWQEDVWGGTKGLGRGMVEALVKEGCNVSYCARTVRDDDF EDLHKSLLENAEFNGVSNGSGADRQLPKAYGTSVDIADREALSWWVEAAGKAFGRLDI VIANGSHRSHIFDIASNMHFESTPKTWQSSFDLDVMGFVNLVEAAVPWLERSPQPSII VQSSFMGREFFRSPPAPYGACKAAQLQHVQELSHYLGPKGIRINAISPGPIWAKDGAW ESYSKVAPDWVEEQRQKVPLKRFGTPEDIANLAVFLASPLSSYIQGANILADGGIHIG TCF UREG_00275 MSVGPRPSKEQRTLMRRHVMRDIGYSRRKSKGGSASGRPPVKGA RNCAHPCLRETSSGSISDTDQERLSDPSIPSSPNPPLVGPIVLDYDSQRVLMHMFSDG LPGAMRIYRDRWYPLCVTDPIAFHQMLASYALHLDLWRQGPRDRLEPFSLYHHCKALS AVRAQLLELDRPALGDVLLAVSTLACHAHLVGDLVAWRIHTSAIKSILADRSPVLSKL NPSLLSLLQWVDSIGSYSFDLYPTLHVYTSVEPITRALYGGRPGSVGRPYGNRQAGPP SYAAFPSYTMSLYALGQK UREG_00276 MSDFTSAAGDPEGNVVSQEPYHHGKEEATNVLDEDEYQQPPSGV QELFFIMVLCSSQFFVQGAFGYILLPLHIVARTFGQDPDQPSTVMAWHVAGYSLTVGT FILIAGKLGDRYGSRSALLLGWIWFGVWSVIGGCSAWTKSAAFFDTARAFQGIGPAFI LPNAIAIVGRTYPPGKKKTVIFSMFALAAPLGCSVAGAIGSAFAQYVWWPWTMWTFAL GCFIVAVTGYFVIPKEIHKSKQKSFDWLGSVLGVTALLLLNISWNQAPIDRWSTPYVY ILLILGFVFVALFVFQERRAEIPLLDMSIFNRHVAAVLVTTGLGWSSFGVWFYYLFQF IQRFRGVSPLDSAAQFAPGAISGIVAAIATPYLMWTMPTGWLMAIACFAFLAGAILQA TAPVHQSYWFNTFWSFVVMAWGMDISFPASATILSNTVRVEHQGVSASLVNTVINYSI AIGLGIAGTVEAQVNPAGNRTLQGYRAALYTSVGLAGLGFGVAVVYATC UREG_00277 MANRPAISSLSLGRAWVHELPVKLEQAANQGFQGIEIFYEDLEY LSRQLPGGLTRNNLLQGARQVRRICRSLNLKVIALQPFWFYEGLLDRAEHDRLLAEKL TLWFELGRILKTDTILIPSNFLPPDPQTGKPRITGDMDIIVGDLQQIADLGLKQSPPF RFAYESLAWGTYVNTWEKCWDIVCQVDRPNLGICLDTFNIAARVYADPSLPTGKTATA DADIKATISRLIRRIDVRKVFFIQIVDGERLSAPLVEGHEWYVQEQPSRMSWSRNARL FAYEQDRGGYLPVVDIAKAIFDMGFEGWASLELFSRTLVDPDPKTPEKHAKRGIESWN KLVRDLQLRT UREG_00278 MTPAPIIAAQPLLANDAAVPKTKIPPSATLPLDLTRWRVRQSWA MAMERLMREGGNGAWKEEHSGELSNDRVLGMNPLLPPGLLKAEIPMCDKSFQTVSKAQ REVANIVNKRDDRLLVLVGPCSIHDPDAALEYGMRLKDIADRHSQDLCIIMRAYLEKP RTTVGWKGLVNDPDIDGSCDLNHGLRVSRSLYSALTGVAGIPIAGEILETALPPYLAE FMSLGAIGARTTESQPHRQIASSMPFPIGFKNGTDGSLTEAINSITSAASSHSFAGGI ADNGWTQIVRSSGNTDCFLILRGGSSGPNYHDKDVRVAQDLLSAQGHRTGIMIDCSHG NSEKNYKRQPLVARDVGAQLRAGQEAIIGVMIESNLHEGKQGIPNEGPEGLQRGVSVT DGCIGWNTTVDCLEQLAEAVQVRRAVTKS UREG_00279 MTRISDAIKTDHRQIEACYDKIINSNDPDEQTRWQNQFTWELAR HSIGEELVVYPAFETHLPEGKDMANKDRQEHQVVKELLKQFQEMKSSDPKFMSTIRTL MDNLSPHIKEEEEQDLVRLEGALDQAESERMATSFGRTKMFVPSRSHPSAPNKPPFET AVGLLTAPIDHIADIFRKFPDHSISPNPSTK UREG_00280 MPRNICITAVDGHTGHLIAELLVSNPDFKKNLDSVCGLSLHPHS AVCKELEKLGVKIVPHKPGRVREMVKTLEQTGADTMCLIPPPHKEKYDITVELIEATK KANVPNVCLISAAGCDLAERDQQPRLREFIDLECLFMASKGDPKSSAGHSPVVIRAGF YAENLLLYAPQAQEEGHLPLPIGKNHKFAPMALGDLSQVAAHVLTGKGKHGFSDAHRG QLMVLTAEARRVLHAQSQSDESEIQYLLEYYSLVRQGKTNYISTTAFHDVTGGHPQEP PDFFKTYKQEFLPHHAAKKRKTENK UREG_00281 MLLVFGLSFVLFSGSCLGRNIVFPPIHAVPVQAPLTTDNLENID IITGSQFSGLSTFAHVPYVNCFVDSEAEKEKYDIAFLGAPFDTGVTARPGARYGPGGI RQGSTRMKVESAWNIYTGVNNLLSWAKIVDCGDAPLTFLDNTIALKQLDKAHKVISSR IANSTDISLVPRIITLGGDHTTTLSALRSTYEKWGPVSVIHFDSHIDTWDPTVLGGGI SDYAGVNHGTFLHIAHEEGLIRNDSIHVGIRAPLIRRKGDLRNDIRCGFDMITARDID LIGTSGIIQKIKDRVGSNNVYISVDIDVLDPAYAPATGTAEPGGFSTRELLTIIDGLR GLPVIGGDVVEVAPIYDTRGETTVLAAAEIANSLLGLMIAMPVPVKG UREG_00282 MQWTIYALASLILTPMCLADPLAMDNLVIDSMTLAKNPGLLGRD MVFNDRDGKVCHSCIKRCCPVKTPNCCLGTGHCCPARRGACSPPYTGCLNYTGRRNDN PPGDFRTSQLPLKFIQNAIQMVFPVLKDLPAEVFAQDPQLAQPSITLLGNRRLLRSFY VVIMLARDVFLEGVHGYGI UREG_00283 MASENPAETPAGGPLAARISKPETGDSPSTDNPTAENDAGKGPS IPQVDGASEDQRGSDLQDSEFDVNVKLSDLQADPNNPLYSIKSFEELGLAEPIQKGLS KMDFRRPSKIQERALPLLMANPPMNMIAQSQSGTGKTAAFVLNILSRLDLSPDRQKTP QALVLAPSRELARQIVGVIQAMGTFIDGLHVATAVPMEMNRNQPVQASIVVGTPGTVQ DLIKKRLFNTQHLGVLVLDEADNMLDQQGLGDQCIRVKALLPRTIQVVLFSATFPDHV VRYANKFAPNSNQLTLKHEELTVEGIKQLYLDCESDEHKYEILVKFYGLLTIGSSIIF VKTRASAAEIERRMIAEGHTVVSLTGGIEGQKRDEVIDRFRNGTAKVLITTNVLARGI DVSTVSMVINYDIPELHLPGAARRMADAQTYLHRIGRTGRFGRVGVAVSFVSNRDEWQ MLQDIQKYFNTNIERVDARDWDDVEKKVKKIIKPSAGR UREG_00284 MAQVEVDPHFGAELRDAFKPVNAWVSSGIGWLDEIQQFYRERGA LEKEYASKLTALCRKYLDRKSKKSSSLSVGDTPAMTPGSLESASLTTWTTQLSAIEAE AAERNKFGADLEFRIAEPLKQVAARYEELRKHHVEWSSKLEKERDASYSDLKKVKGKY DGVCQEVENRRKKMESAFDHGKAKAQNAYQQQAAEMNNVKNTYLIAINVTNKLKEKYY YEYVPELLDGLQDLNETRVAKLNSIWTLAAQLEKSLVSKTDEHISHVISEIPRNNPKL DSMMFSRHNVTNWQEPMDIQFEPSPVWHDDASMVTDEAAKIFLRNLLSKSKSQMKEMK GEADKKRREVENAKNVRQSIRDGKDKRDEVEVVRAIFSMQEDLHQLDRKRLTAEVETS TVTSVVGDLSFGAKNHNFRQQTFKIPTNCDLCGDRIWGLSAKGFDCRDCGYTCHNKCE MKVAPECPGEQTKEEKKKLKAERQEAANATRPYEASTTTTSAAELPTLSRRDTMILLA PDTQLVQLDRHLLFQVRLQTRDLRSCPPHRPRRRSCYSEKHRVVAPPPQQYVSSPPPV TNGGSTSKSSEPRGKMLYPYQANGEDEISVDEGQTVLVVEPDDGSGWIRVRAGQSTGL VPASYVDASPPSEREGRPESTYSASSASLANSIANKRRGPAVAPKRGAKKLQYVIALY DYQARTEVEWSMVEGDKFVLINRDSGNGWADVEKGGVTKCVPANYIEDVA UREG_00285 MFLDERPGSALPKQRQGQICTRPGSSNNARCQSAAKTTTTHRAI QMPDVDLHSMLPEVQSYSITYETGTKPCASIPGSGSFVSDRK UREG_00286 MKTAVLSLVAAAALSVPSTYASVVSSRDSKITPITVKGNAFFKG NERFYIRGLDYQPGGSSKVEDPIAEPDTCKRDIEYFKELGLNTIRIYTVDNSKNHDEC MKALADAGIYLVLDVNTPKYSINRAEPEISYNDVYLQNVFATVEMFAKYDNTLAFFSG NEVINDGETSNTAPYVKAVTRDVRQFIRSRGLRKVPVGYSAADIDTNRLEMAQYMNCG PDDERSDFFAFNDYSWCDPSSFTTSGWDQKVKNFTGYGLPLFLSEYGCNTNKRGFGEV KALYSEKMTPVYSGGLVYEYTQEPSNYGLVDLGGDKPKKLDDFDTLKKAFAGTKNPSG DGGYNSTGGANPCPKKNAPNWDVDDDALPAIPEPAKKYMKDGPGKGPGLSGKGSQNAG TKSSGTATPGSGSVTATSSSSQGAAAGLRIETSIAPMVCAMLVVISTMFGASFIFMLQ M UREG_00287 MSYRCLVTVSLMVSPLAHKRPAIKPVDYFCYPSPMPSRASSSPI LWCYSEHPTAAQNDALAIGGNSTLVEAYVRTTTSDSLIYEATLNLSACYLLST UREG_00288 MNAFTHAVEVGTHAIETDIRLSRDGVIVLSHVDNNADNIIAALT RVFLSVPSPYRPWRDRVVLGSWTAEYLSLVRDHFPVYPLAITTFSIQYARQFLTVPGV SISINQKVLMGCGGRRFLADAKRAGKAVFVWTVNKEVLMRWSVRRKVDGVITDQPVLF EDVVRQWEDDDYGDGFVSNEVEITLEQRAMALLATIASWVLGIVLTVMYPVKFRRLDG TVDDGLGYA UREG_00289 MATGLDDFLPKIKCSNCQAEVELSAMGDHVCSTAPQPTTEEPGQ SSPPRPDLSRLDGPLARVGRMAPPPPIDPLAASECPAGVIRLACVNLRQTVHFSSYVC QHLQVAFPPFPRPASRASAKNRSRSRTLVKGDRVQSPLATSPSESTADHIDSRLDQSL PALPALILSQDDQEQEEQERRRRSRANKHKREISIDSKSLYRMSVASSRYGESLSRGS TPGIPNTANLRGYHNFLDEIPPLPACPIKSVTPSPFTAAPEPYRFPSDEAYENDRVTA SPPRSAGLSTGLPGFELGFPIDQEPPKPQDRHSQTARLSNGSSDFVRPEPARPDSPVA SKSSAALPAYTVDQDFSVSNFARGLGLGDPYHATNNSTSSSDSSPSDTATTSSCSTQP SEPPSADIKPPPSPYKYDLDSPTDPLFQQGRFKRTPPKYERFVELPHDSEPEGLNGDT ESSSSRPSSQRDHVPNKMESLVRAPAPPKSPGPRRIRCRGCGELIVGKSVSSADGRLT GRWHKACFVCYTCRSPFQTADFYVLNNNPYCGQHYHELNGSLCSSCGRGIEGPCLQAE GMVRDEKDGKEKRQLFHPDCFTCKTCRIVLKGDYLEWNGDVYCDRDGRRAAAMAYPPP SPGLRPGPSPGPGQNPGFNGPPPGYGRRPSYNSPPVAGPPRGGPGPGPGRAPAPTRGR GRGNNFSRPGNRGPGGPPPGMYPPKPNSGFPPGKPLPRGGAGGLQPPPGPRRFPERRT TKLMML UREG_00290 MAPHADVAANGTVNGSASAPKSDLFKVESPNVVYTDDEINTKYV YRTTSVTRTDDGKYVAVPKETVYDFKVDRKVGKVGTMLVGLGGNNGTTITAGIMANRR NLVWDTREGKRSANYYGSLVMGSTVKLGTDPKTAEEVNIPFHDLLPMAHPNDLVIGGW DISGMNLADAMDRAAVLEPTLKEMVRKDMATMKPLPSVYYPDFIAANQEARADNVLPG SKACWEHVEHIRKDIREFKAANALDKVIVLWTANTERYADLLAGVNDTAGNLLKAIRE GHEEVSPSTIFAVASILENAPFINGSPQNTFVPGAIQLAEQHGAFIGGDDFKSGQTKM KSALVDFLINAGIKLTSIASYNHLGNNDGMNLSSHKQFRSKEISKSNVVDDMVAANHV LYKKGEHPDHTVVIKYMPAVGDSKRALDEYYAEIFLGGHQTISMFNVCEDSLLASPLI IDLVLLAEVMTRVTWKAAEGDKYKGFHSVLSVLSYMLKAPMTPPGTPVVNALAKQRAA VTNIFRACVGLQPESDMTLEHKLF UREG_00291 MPVRTGLARMGTGLAIYLHYLDVEYDIHLGTLLAVWTTHISPIK PPSKTGVLRIKTSICPETDRWCCVRVLKNDLGEVGRVPGDLTGLTRLKDVAMAGAGDG VKVLVYVKAIGITQQASQPQKRGELIERCDIGIADDSGEAILTLKGYLTRSARKWVPN STILLLSDVFWGSGTRRAYVSAQSTVEVDPDMKDADWLRRYSKGMAGYVNERFPENGR VSARVTPEVVFMGYVCVMIMEVNLVELFRNNRLFYTECCNMPIFASSTHGQCGQCEQD IELKLNPRIIGSLADETGCISCTPFQTSPAKKQSLAIRGSSPLLWSPTAWSQLLGREP AALLQGRDAAQVGQELLVLETLMLFSRITVLFGWSREVGKLAVCEVLGS UREG_00292 MGPTTSWLHDAGITPRASTIPQPHHSEPRCQARASAAGASTGRA RQIERVQRLAAEGGCGDAFEREFVEVGLGEEDCAGMAEVSEHGGVVERTERGEGQGAA GGGHVKGVDVVFDEDGDAEQGREADGGGILRAGGDREVVGFISEFLAENFVQGVGGGE GGGVQGDDGVDGRVVGFSLGDEEGGDGATCCLSG UREG_00293 MAPSGSAITAESAAAEIGAASWGVADEAICADGSRLGRGHEQEG GEDGEERELHDV UREG_00294 MALHRWAVAIVISVLHGHTLAYPRAVTVPPSPTGASCTLHEDHW DCTSFCTTTSSWSGIATTVTDFGAFKSSLDAEYSTGPFSGNKVPVHTTAFSFPGAGLI TAYGYYDSNALKSAGYEIISGVTSITGLCEPTATLPPSPTGSSCHPHGDHWHCEPLPS ASTEPSSPVATCEPHGDHWHCPPGVPEPTTPPPVETSHPATTGTCEPHGDPLALPTRC S UREG_00295 MANSQQNPQPKTSRPAVTPASPSLPSEAPSPPSKRDLASWWKQF KRNTKKEDSVPPPSGIFGIPLNVSIKYANVAISLTGEDGKSFVYGYVPIVVAKCGVFL KEKATDVEGIFRLSGSAKRIKDLQEVFNSPDRYGKGLDWNGYTVHDAANVLRRYLNQL PEPIVPLDFYDRFRDPLRHGRPYSQPTGEGAEPNNTGILSRDEAVAAYQQLIKELPPL NRQLLLYILDLLTVFASKSDLNRMTATNLAAIFQPGLLSHPNHDMSPQEYRLSQDVLV FLIENQDNFLFGMTGTAADEQTVKEMQERVHPKTGVRRSASNASGGADSLRKYEALRR NVSVSSRNSKNSGGNVGSPTTPKSINSFGVHRSNTVPSKRPPGLSPNAFNRSPQPSNP PTGGLSPSPSPHPTSRSNSRVPSIEVMKDTKDTKPDMRIDINPPITAPESATQPSQNV ADTNTPKSAGTPNRERKLTSLFAWPGPPEDGRQPNRLKKKRRIPGSASESAQSSTQSL SYHTDDIGSPPPPSLRGQPDTDVDQSHVSTPRAHVNSAGDPVSLSISPPAPIGAHTGA SFSNSSLASSVLQNQITSPDIVQVNKGSSTSTLPSQSSDVGGSQIVSPPASKDNGEEE RKGFFSKFKAKVGIKEKEHDHGRAQSPPISDNDRTPARTSLSFFARDGGKSNQTQPLD TTKDQHHIGTQDKLATVAPASPPSSLLSGSQDSNPPPPAIHEVTATTDVVTVLPKVEE EPSLPESGNDDPVGVTEPEPDKERPQPLNQGLVQEVTEAAPVEAPVEAPVEAPVEASL VPVPSEVVPELPAEKPSESSQAAIDMSVETVPEKLADPIKAPSEPPVEININKPEPEV SADQATQAPAALTNAQEESKSDIQSST UREG_00296 MKLTWRECAKEQESQRPSPGQSTAAVLLDGLPVWRAVNHVNYSR NIIDPKQKRENWKLLQQAEEEKEEEKNKSSAPPITHKFTGKGLLEQIAAMDGLTAAEQ QELQNRMEKKQIKEFMGVMPSTTL UREG_00297 MADTVGKAAVAWEAGKPLTIEDIEVAPPKAHEVRIQIYYTGVCH TDAYTLSGKDPEGAFPIVLGHEGAGIVESVGEGVTSVKPGDHVVALYTPECRECKFCK SGKTNLCGKIRATQGKGVMPDGTSRFKCRGRDLLHFMGTSTFSQYTVVADISVVAVTD KAPMDRTCLLGCGITTGYGAAVVTAKVEEGSTVAVFGAGCVGLSVIQGAVKNKAGKII VVDVNDTKESWSRKFGATDFVNPTKLGNKSIQEHLIEMTDGGCDYTFDCTGNVGVMRA ALEACHKGWGQSIVIGVAAAGQEISTRPFQLVTGRVWKGCAFGGIKGRSQLPDLVNDY LNGDLKVDEFITHREPLANINAAFEQMKAGDCIRCVVDTRK UREG_00298 MLTELQNLSKHFSTPSSLKSAPTSSRWNVKSWKSTSSTSYDPLF TEEARKPEDVKGWPTEAAPLKKSGCDLVPNCLRRSHRPHDTGCCDMAS UREG_00299 MWRIGSFPTQNETEFPAVTGNQETVTSSPPTLLFQARHSGKTVA YCGIKRIYVESAITCIGDPSLLRKPQCAVTAMRDSLRPHQPSDITPFLFAGTFVSFSA RLAQSAGQGHAGYATVTERYLNNTENPLLADARHMTLYDQPKRVFSQRLSQVLNTYFL PSLLPEGIVDDLSVTLSQDSTPEKGWNLNNTLSRTATAIVTNHSAETYAVSGGWVAIF IFSSLVMFGAAVMSAILAHRTSIPDVLGYVSSFTRDAKYFPLPQGGSRLDGLARSRIL KNWVVRLGDVKGSDEEIGHLAFAEVRAAGRARKGRLYW UREG_00300 MIASLVPGGLEAFQKLDEQGKIIWRQRAIDTIRKHCSESGRTAV VAGHFMFWPSEEETGNPNRPSISVTHICKWQKAEESQLRYLCRENGILFSLLPAHLIS ADKVSAFLRDFRGHTEKYNLVCAESTLDAAVDSGNGRLETMLVMDADRTLSPEDTGVL FWEELSASRRFKNNDPLKALFDSPLAYSYAAFRQATLLYEEAADDEEFNAVCDKVASA VVLYPEIVALLRQVERESHVGAVVVTCGLRRVWEKVLEREGLSEKVMVIGGGRIADGF VVTPSVKGALVAKLRNHHQMYVWAFGDSPGDLDMLHKANQAVVVVGDKQGRSKIMDEA LIDSIDNKGLQASQAVLGNNTSPRLDASKLPIVQLTGREFMESIIRRRKSFPGPRIWH GTDRNAAKLLATQMRDAKVAGPDLREAHRRAGWYLATETLADILGVEECPIQHVLGRP TSGYRLLNESQTLIVALMRGGEPMAFGVNDAFPLAMFLHARSPDDIKQEHLQGRLTVL LVDSVVNSGKTVIEFLHHVRRLHGSIRIMVVAGVAQSQSLSKGSDLTRALNSYTNVGL VTLRISDTKFTGKGANDTGNRLFNTTHLP UREG_00301 MAPKKKAKKSKLVEAAKPRQEQPTESPYLTPPVTLVIGESRQKY TIQEYFIRGTTIGGTWNNFGAKILIDVSDVHEDVGHTLAHYLCTGDYQTIRNLAGWDG EQEYRRSVLAYSAATTYGLEGLEEHARRMMLRLDYSIPILTILNLARSIYSKLPEKNT WFKEYIKDKMTAAFCADEGVFREDEFLGIVGTDPGFDKFLMRSVGEIYAHKIMTLRDE NEHLESLLTPMDVPVEYPSQENLALEAPVEEVAVKQIEELPAEPEEEMLHLEAPDQVV FEEGPVVQKSCPSEERPGSDPEDAWATPSTKKRGKAAKAIVMQHYEPEPEPPGSPVEV DNWNNWGYARTKIKSDGMHQADAGYIGVES UREG_00302 MRKLFGPQTKDRLRFEFAALPTPPPTDLDVPEEDVQFKSQRRRP RRRATLPSLVLSTEEGRELASRIAQEGSRDGDVSSSLMDRKSIVERPLQTTTAQLKRR SRSAYALRESARAHRMSPIQWRRRSDEMKLWRASFGKKVEPDPVLDRPESPITTAEEE NDPTEIRVESLAPNGELGQFDFGNLMSNMQEDNDVNLTQRVSTLEVKLMDLEFAIAKM QGSNISPITPSPIPPPKPMNSAQNADSEHLTPQLPHPLRSSPYQDTAPPRTSPTTTDR PISTATLRPHTAAAHSSPPISPYPFTSPGISVEQYSALTTLVRREQSARKHLEKQVLQ LQQEVQQLRASSRSGQDSFLRASSPDSRSTASSQRGKHDRMDSGMWRQTSESSYGRSS NASPESYAMKSESSSHRMNPFDKIMGRG UREG_00303 MERVDRVVGIMIWTDDASEVSGRAKHAMDDWRTDATREQTSVEE AEPTNCQWSLVGEKRAVTNLRVIERPSGTRMQMSEQRIGCWMKMGLYFKRTDKKKKKK RKNQEPGGTSRHMKMKKKKKKKKNMKLFAWRPSRGPTASTLLKAGVLVDQVDAAGILC LLAGPQGVPSGVNLHLASRLLPKPGPCHPVTLAASWGVRSDVVLEQRQDAVVGPQARA RRVAALVRREIVVQSRQRARGSASTEITRRFVHFES UREG_00304 MRPLSIPTFAQSQQQLLLAEHDAEIASSALSLSSGGTNAGLSFV SPATRRALQAAGHALTGLVLVNCRTGMGGREVGEFGVDSALKSAKGKKVGDMGDNGDA GRDSLPAHGIRVGDVVRVEPIASGARGGVGSKAGKAKVGKDDDKTTKGLEGVVTRVGE RSVWIAFDDRGRPGKQDDEGADSLWGQKLWLVKLANDITHRRMEKMTESDHTRFMQVL FGHTTPSSPDWASGSSIDFIDPSLNDSQKAAIRFALASHEIALIHGPPGTGKTHTLIE LILQLVRRNLRILVCGPSNISVDNIVERLAPHKIPLVRIGHPARLLSSVLDHSLEVLT QTSDSAAIVKDVRREIEQKQASIRKTRSGRERREIYKDLKELRKEFREREARCVDSLV TGSKVVLATLHGSGGHQLRNQKFDVVLIDEASQALEAQCWVPLLSASKAILAGDHLQL PPTIKSVTTKISSSAAKPKSFEEDHHADLSMSKLSIETLETTLFDRLLSLHGADIKRM LTTQYRMHEKIMSFPSSELYESRLIAADHVKARLLRDLPYEVQDTDDTREPLVFYDTQ GDEFPERTEEAELLLADSKSNDLEGAIVARHVGNLIAAGVVEEDIAVITPYNAQVALL AQLLKEKYPGLEIGSVDGFQGREKEAVVVSLVRSNPEREVGFLGEKRRLNVAMTRPRR HLCVCGDSETISRGSPFLKRWMEFLEENADLRYPDATEFMQTS UREG_00305 MPMIFMAKLTLLLTLVKLFNPYKRVVLFIYIFLGLLSGYYAAGL VVKVRTCLPISAYWRQDFSKCLNQQAILISDSVASVVSNLIIFFMPLPLVWSPRMEAK QKFRIIGVLCPGGIATGFGIYQLVTAVQMGSSSNQTILFTRIGLARNAEVGIGFICAC LPALNALIERRRSDYSRRQYEHGEHKLSSVGDSTAVNSGSTTPKHKDDNGFDQHHLMS QVQCPYACFQTAVIQGDYFSSEGSKQSFEGNGIVRTITVSHDYI UREG_00306 MCIIDRLRGELRTDGQNSPLRLFKTGHHSMDDHDAARVNVSSSD SSEFSYGNLSRPATKVCRCRRQLHKYPGSLQKTVGLFRAP UREG_00307 MSTLFSPFTRSADSTTKRYQGTGLGLSICKSLAELMGGAVGYRT NPDCQGSIFWLKIKLGRIDAPSPRLAVPSSSDPCQDVQKVAPRKQLLIVEDNTVNQVV LLKMLSSLGFERVDAAWDGAEAVRLIKQKPLAYHTVLMDVSMPIMDGLEATTAIREMR NDVPVIAVTGNALKGDFETYLAKGMNDFIAKPIHRKDLARVLLQWVGP UREG_00308 MLHSFTRRAFCALLIVACSILVQAEPLHRRPYREPREILEDRTV AAAFQGLSFKFWNSVERSIDIKHDLGAPPQNDPRYQLIKRQGGSGIVTNSTPSVTPTP TPPPEETPTEPPPTTSDTQPTETPNPPSDTPSSPSPTTPGSTTPPASQTPSTERTTPT ITNSTPRESTSVSSSTFIGTTTDENGGLVTYTSVVLVRPTRPGNGDSQETNSAKPSLQ TNGAAAPAGMQKEMIAVLGGAVAVAMAL UREG_00309 MRSPSLNSAATSTFNGLSGRGSLSNWWMADSVELSVYAGVQCSV VKRVRQISPVVKEMLGWQMGVLKEMVGGERGECPGRLSGRLPSGEGRRRRWGPDGGGL RWARFGIRLVRAGGVWWCLQRPSWSCFCVSCLIKWSKSASSRRPESVLFVCPEALWAW RTWPGGGWSWSPCGGAWGGASRLKSFSGRQSTQHMHACLSLVPRLRLPTGFVCVMGAS RLSKRMTNPVDSLSTRRVTRRTAASSTTSSYFDSSRGTRRTLATHTAIVKEEVVSDVE ARNGNGSEDDDSSILSEANTADIEDLLKPEPSPQSTSRKRKRSSAVKTEDGVATNGAP SPKTQLRKPRKVAVKEESAQSTAFPKKKAKSRKPAPAPGSIPPPPNWEEMYSLIKDMR LKNPTAPVDTMGCAELYWRNSTEQERRFHILVALMLSSQTKDTVTAVAMHRLHTELGP EHDDRDANTPDTKAVAQWDTSTHSTARSTLTIANILRVPAPRLNQLIHSVGFHNLKTK YLQTTASLLQAHHDSDIPRTAADLMSLPGVGPKMAYLCMSSAWGVDDGIGVDVHVHRI TNLWGWVRTKTPEETRVVLEAWLPRDKWREINWLLVGLGQTVCLPVGRRCGECALAGT GLCKGEIKGKAAVSVKRESKVKMEIKMEDEG UREG_00310 MSLHPFDPLTPGEIRLVAKILEASFPGVPLRHKVIEVQEPIKRD VVPFLEAERLGKPLPSKPARILTALFHRMDTKAFMKALINADKKAVIQCKELPREVQG PTDVDEMIEMEKVCNDHPAVKAEIAKMNLPPNVVVCNDPWIYGTDSDTETRRLFQHYM YMCDVEHDQTNHYSLPCAFSPVFDGMTKELVRMDYLPTGTDHATNPTKAWKPVKTVQY AHDLLEEPLRTDLKPYIVQQPEGPSFNVTGNHVYWQKWRFRVGFNYRDGLVIYNVTYD NRNVFHRLSLCEMTVPYGGVDPRAPYHRKQAFDVGDVGFGNTANQLSLGCDCLGHIKY FDGFRTDSKGNPVLLKNVICLHEQDAGLQHKHTNYRTGAATAVRNRQLVVQMICTVSN YEYIFAWIFDQAGGIELEVRATGILSTMPIDNADGTKVPWGTNVGPGVMAAYHQHIFS MRVDPAIDGHNNTVVYQESVPLPDEPNMNPYGVGYVQNTTAFQKSGSADLSVEKARVF KIRNDNVINPTSGNPVAYKLGVLPSQLMIMAKRSFNHRRAAFATKPIWVTKYQDGELY SAGEFTNQSRDSSGVEVWSARNDNVENSDVVLWHSFALTHNPRPEDFPIMPVERISVT LKPDGFFEKNPALDVPQSNQGFNKSTLHPTTPACGGCPASSKL UREG_00311 MLHNSSPHSMRQTGHGHQLPLIPDETIMTSQPHIQASPDRLASR NPPLPGSHSRDVSTARGRPVDVPVLGVPSLQPQPQIRSQSTSKSPESRSGSATDGDVT EKRPQNSYGHHRQASIVHGNIQHTRNPSLAIPSSTNPLSPETMTTGGYGMATSGDSKM SNKRENSPLSTVQDAGQMNLGGEQTLHRKGTKSRREHAHHTSHSKSGSQEARTVEEYA LHHLFNSFVGQADEKINQCIMNMTELDTPVEHVCGPGADPAFDQLISALGHIARQKPK PLIDTIMFWRKSKGEAAALAKQMVAQPKSLPTAAPMLRRNTEPTQPGPDVSDATSMAT TAALPAATRQEDVVLAERRGTVSVYLVCRVLIEIFNQSTIDAITREMADRLEDIVFVQ LKTVDPDQIAASPLRMANWRIYSQLLGIMSENNFASVTIRFLTELNQYQKEEGAGLPT GKRTYISADPTVVDPITQLVRLVGFRHPDLCFKVIIFPLVNSDLFMSGKELRIEQMEP EKMVIGIRAFLAIILDLDNEVQHPPPFPQDFSGSELSFSPIPIRSARTSSEQTPLDYL RHTCRPVNSSKLNDSTRKCYFRFCEVLGKLTLLCDNTFGGQAALDEKFGGVTPKTPIT DHFSFGRRDDHATALDQKQAFYDLLHVAVQALPRCLSDHIPFNSLINLLCTGTAHVQS NIALSSAESLKSIARQSHAQHVAIGFARFIFNFDARYSTMSDEGMLGPGHIESTLKLY VELIRIWIEEIRQKNREVSAEHIDKSGSGSRGLQLDLSSVLAHVEEIESHGLFFLCSQ SRRVRAFAITVLRLITEFDSALGKSNTRIIRILEGDSDKVLDLKDDLLTVAERSRLQK GKQGKGPHNTLIELCSSEVSYDSTLWSKLFPNLIRISFDVCPFAVTLGREIVCARLVQ MHKYITAIAEGAQPVQYGSLDYIATRQIARSPSISPEVTIEQWKLYLIMACTTLNSAG AQSQSQLANAQHARKASKGGQQSQDKISSARSLFAFVIPLLSASHELIRNAIVVALGS ININLYRTLLESLQYAVTTCNEEARVRIGNHHRTPSSPRRNRQTDRLRTEVTNVYKAT AHFLREPEVYNDDWILNNLVTYTRDLRIFLSDVEVQSDLEFQGLRFHYCGLVEQLFEG IKRSKDPLRWMPFESRKSAFSLMEDWCGYSPNQSQINVRDENMRKLALARQMDHGEVR STAAMEIEKRNLRTAALSAMASLCGGPIRIMTESKAVLQFDVRRMLAWIDIILKTVSD KLHTIGRLALKNLIVHNKELPYLLEQCIEMCYLSERPKALESYFEVFSEVLIEHIDYP VAFWRVLGAVLFTLGNAKREIRMKSARLLRTIEERQQKNSRLQDLHISSPIKLRRSIG WPVSSPQNGSHNSILILALVIFSEFSLHFRNIRPDTQRNMVAAILPWIQVIELQLDPN GGPTGKSYMLLANLFEITIQSGNTLPNEVQALWQALATGPHGGNVQLVLDFIINICLE RKEQNFVDYAKQIVVFLASTPAGSKVIDFFLLQITPKNMVHERKEPLAAPPDVTNLPY VADLGTILPVGNKQAGLSLGQVSTIFLVDLMVAPVTLGFENVIKLVHVALIFWDHYIL TVQEQAREMLVHLIHELVASKLNTDSHAKERQAVEDLVECIRQGDSTVVWDYDDNNGK GDDQNSSRVPASMLHVTQSVTVRHLACRSFQVFRCISTSLDSKMLADMLARLSNTIAE EETDYQTFSMEILTTLKIIISSLAPQDLMRYPQLFWTTCACLNTIHEREFMESMAMLE TYIDKINLADDMVLTKLKDSKPPKWEGEFEGIQALVYKGLKSSEALENTLRLLHQLTP LPNSDLTGDGSRLLFAIWANLPCFLHHYEAPNISGELQGRAKLLATVAENQGCAQLAD CLFGFAKSKYQNSQEFLADAVGSISGYYFPNQDVQSLVFMMGLLTNTTRWFRIHTMRI LSLLISTIDMRRSDIACHGPDLISPLLRLLHTDLCPQALEVLDHIMTVSGNPMERHHL RMSMASSSSSRAIRKEFECIQSLYGIPEPTGWSIAIPAAQSSLTRNNVHAVFYTCAEA EDVGAPPTETPEMEFQVEDYGDFQDASAHLYDQQTAPLLRKSLARTASSSSFQNGLAE SRPSTAQRMEATQLHSSPLALSTSVTTTAPPRSSLHMRSVTSPNNYFPSINNSSSSLP PMPQAHGVKSPYLSDDYFEDALSDSDERLSMNHPSSTNPRIGAECPFSLEGIIRSSVR RLTGGNGPGRDKERQRELLRAQHRALAHSPRVPKVPPEYLVDSGSMPASPGRQGQ UREG_00312 MAQVDSLDLVVLTVLLVGSVAYFTKGTYWAVPKASAAIYGSANG MPKEGKTRNIIEKMDETGKNCVVFFGSQTGTAEDYASRLAKEGAQRFGLKTMVADLED YDFENLDQFPEDKLQLWHFDIMSCSSLLTTPPEGLVGLQQQGELLISSAHLHRRRHAH QISEQEYQERKMAAEPEYVPRSTETVYHIAREVVQLLKQSGETLAVSESLTGGGVMAT LTSVEGCSAVFRGGVVSYATPVKQHLLKVDGDLIAEHGVIHADVAAQMAAGARTVTTH QDMAPTSWGIGTTGVAGPDPQDGKPAVSEKLGDNFKLFTAFSPGDRQESATFYVCGDA ANMAREVNAILAQIISEQRGLPPEKGEELVKNMRNMGMYQEDVWS UREG_00313 MVSFDRFEDLIKGSVPEKFVFVDLTDPGAMNQQSQPQNNINESA QQQGNSLLYKENEMGHPYMPQQCYGQHQNPANQTRDMNGLSQAFASLNIHGQRSNYGP AKGSTPVTSGANGDMSGIHRPQHGPFVVVSNPPVFRGTIPPVHHYGQIPTDQAGPFQY VPASVYPGFVTNGPYPPTFYPGYSWPDALNSEHDHTKAPRDWSPDEKIPTNGIIDGIG QPGQTEYYPVMASVHRSPVGAPMYTAAPQTPGACLSYQMMRAAGGYVLQDLDALVKQE PPIPQAVPAMWTNPSELTLAKCLENREGITNVYIRGFLPETTDEMLYAYASRFGKIDR CKAIVDLDTGLCKGNLFNSRLKDLEDKASTNIYCTNVPIEWVEAVSHPSCIHLPEAIP FEPPKAPRSDLRRHFEPYRVISEKISRDENTGISKEVGFARFETREIAEKVLMAFHNV NAKGWCEAHASFCGHKGTKATETSKQRASSLSSG UREG_00314 MAGLSAALHAPNRGAAPQSQPRSSLPASKPDSATCYPDTHDHTS DKASLPSLSRVSASLHAKIQNFLAESHDPSSLLYRVQQQTRISLSVVREALSRYKLRE LSLSYNGGKDCLVLLILFLASLHPLPEPSPLKPLQAKDNAEPPKIRNADDTITAPIET PDDPPTSIPAMYARPSHPFPAVESFVDSSSLIYHLSLTRYTTDPPHTTLRDTFASYLH KYPGIKAIFVGTRRTDPHGENLTHFDRTDHGWPDFMRIHPVIDWHYVEIWAFIRHLGV EYCPLYDQGYTSLGGTNDTHPNPKLQVESGRSTAENYRAHEGPSFRPAYELVEDQEER LGRY UREG_00315 MNEVGSSQSGKKATKESLRPVTIKQLNDATQAYTDAEFKIDDTE ITQVSFVGQVRNISQLSTFTTYKLDDGTGEIEVKRWLDRSDGMQADPMDTDSAATKRP DKNQIVTNGYVKVWGKLSSYSNNRRSVTAVVIRPLTSMDEYHCHFLEATSIHLYFTRG PPPNKDKPEAGKGQGSGMTVTGKPLPALSPMGTKLYEALSNTLQSREGLHVQQLASML NASTSDVRKTCDELAEQGLIFTTVDEFTWAVMEF UREG_00316 MPHRAASPAMSENEFDIAKSLFQDDAESDIDTGPRKRKAPHPLN LNVMADDHDERADSGSDNDAAFIAAHQASMNRKASNLKGRTVKKGGGFQAMGLNATLL KSITRKGFSVPTPIQRKTIPLVLDEQDVVGMARTGSGKTAAFVIPMIEKLKSHSSKIG SRALIMSPSRELALQTLKVVKELGRGTDLKCVLLVGGDSLEEQFGYMAGNPDIIIATP GRFLHLKVEMNLDLSSIKYVVFDEADRLFEMGFAAQLTEILHGLPQSRQTLLFSATLP KSLVEFARAGLQDPTLVRLDTESKISPDLQNAFFTVKSAEKEGALLHILHNVIKVPTG ETEAGIRAKEQSSSGKHSKKRKRSEQNNPSPQESPTEHSTIVFVATKHHVDYIASILR ESGFAVAYAYGSLDQTARKIQVNNFRAGISNILVVTDVAARGIDIPVLANVINYDFPS QAKIFVHRVGRTARAGRKGWSYSLVRDSDAPYLLDLQLFLGRRLIMGRNSKEAPNFAD DVVVGSIARDGLARHCEWVSKLLDEDIDIQSQREVAMKGEKLYMRTRNSASTESAKRS KDVVGSDEWTMLHPLFNDEASQMEVEREKMLARIGGYKPQETIFEISGRRGGKAGDDE AMDMMRKIRSTVEKRRAKKQNENQATVDADGPTQSFMLEDEDNEGDDLDDDANGDDVA DMSLASDSELEVTFSYPSDKSKAKKGDSGKQPAGSSFQNPEYFMSYTPTSHSMAEERA YGVHSGSNSNFVEASRDATMDLSRDEANRGFAEPRSIMRWDKRHKKYVSRQNDEDGSK GALLVRGESGAKIAASFRSGRFDAWKKSKRLGRMPRVGEAETPGLSSTVPAGGKRFRH NKQQAPKTADKYRGDYEKKIKKQSELQKQQAEAGMLQFGSKGGTKKVKSEIRSVDDVR KARVVKEKRREKNARPSKKWKGK UREG_00317 MILFSWNIPSGLLALVVGICYNHEPKSFLKQTFHQLEKAGIQEV RFSLGIITLIVGSTLGLSEQEESNSDVIKGMPALLLRSTTSWIRTSAPEGTRFLVWRV RPLRHSALDERIATILIQVVYPSKLQATVVPSESTSRYSDHQ UREG_00318 MSRRSFQVRPIGQSSWWIESIAASVPLEKQKFAGIANRTFFCHG GEARHRPAHRFMYASVSFNTAQSAFLLNSRRPGASEGFGHILWYGATKPVAASGGAKR RARTLTATQLQRMNIDARRNKAAVVEERRRRRRWKS UREG_00319 MSGSPSPEDRFNSCEGGKRKRSDSPLPGTLQPISEQSMTYRAYQ PKVQGPDSSTGELRQPVITQPSASMISLTTAPEQPHLLPALGVAASIPPRPTTLPPRS TRRAKAHVASACVNCKRKHLGCDSARPCRRCVVAGKESSCVDVVHKRRGRPPLKAEEG PIRTYESAFGQSGTLRLTTQQPLHPNGTPAHQTASIRKIRPNTEFRGAPPTEAGREPQ RLKLSPPIPMKNPSWGASMLPSPSATLPPSSPLSSVPPQRPLSSGNQTSSDRQSSIPS PSFQLPGPPYALNDISHLPSLSRDRLPPPRSPRQYKQSTSAPPYSTIPGPTTHPVNSA IRLPPIPQPLTKLKVDFSIDTSQAGSNTSSPLSTRPEIIRAESNPISPLAQEDPGLIE RRWSHEQSFASSSYSLPPIRESTEPFPRQRCFSTSAMINSGNKDTFNFTALNEGTSRE ADVRPVKRRKMELGEMVND UREG_00320 MASNRDGIGSHYHFDEKGQDVEKSPSTPEIQQIEGEQVVLADKL ARKLSARQVQMIAIGGTIGTGLFLGTGKSLATGGPASMLISYSIVGAIVFTTMLALGE MASFMPIAGSFCTYAGRFVDDSVGFALTWIYWFNDAIATAADLVALQILLAYWDENFP AWAFSLIFLVILIALNIITVRAYGEVEYWLCILKVASVIIFIIVGIAVNCGANPEKEY IGSRNWRIGDAPFVGGIGGFASVFVTASFAYGGTESIAITAGETRNPTRNLPRVIRNV FWRIVIFYILAVFIIGLDVPYNFPNLTTRGAATSPFTIVFQLAGSTVAGSFMNAVIMT SVISAGNHALFAGARLMYTLAVDGHAPAFLGRLTKKKVPWIAVLVTSAISGLCFGASY IGAGQLWTWLQNIVGVSNQLSWLFIGVASLRFRRAIDAQGLTHLLPFKNWTYPYGPII SISLNSVLILVQGWSCFAPRFKPVDFVSLYVQIPIMGLLYVVWKLVKRTKWVGLMEMD LVTDRWDGGRFGPGTGAGATAAARRGEDWKDERTWNGPQGEKTFWELPLQSKVKMVGQ WLFF UREG_00321 MNILVCTPGRMLQHMDQTAAFVTDHIQMLVLDEADRIMDMGFQS TVDAIVEHLPKERQTMLFSATQTKKVSDLARLSLRDPEYISVHDTASSATPASLQQHY VVTPLPEKLDTLWSFIRSTLKSKILVFFSSSKQVRFVYEAFRQMQPGIPLLHLHGRQK QAARIDITNKFSRSKYSCLFSTDIAARGLDFPAVDWVIQLDCPEDADTYIHRVGRTAR YERDGRAVLFLEPNEEEGMLKRLEQKKIPIERINIKAKKQQSIKSQLQNMCFKDPALK YLGQKAFTSYAKSIHIQKDKEVFNVKSLPLEDFASSLGLPGAPRIKFIKGEDTKLRKN APRHLATMSSSDEDTDQEGDKKSKKKETAVRTKYDRMFERRNQDVLTEHYTKLIRTED DVDGEEKDNPTADADEDDGFLSVKRRFDAGDEELGFKAKGGADAQRAKFLELEAERTR LADIRDKEIAKQKKREKKEKRRERARAEKEAEAGAVAVLAPYEEGEEDRDYGSDEDRE AEEEQAPPSKKQRKWFQNDSDVGEEDGRKGSSKKQKRTRAQSPAQVETLEDLEALATG LLE UREG_00322 MAVDEDLEALNSLEKEASEFTKDAEIDRIRNAFQLDAYTVLDLQ PGVPESDIKIQYRKKSLLIHPDKTSNPLAPDAFDRLKKAQMTLLDEKARANLDESIAD ARRLLIREHKYSLDAPELKTEEFKKEWRKKTVQVLLEDEARRRRQLKAKLQEEGREKR KEEEELEARKRKRDDEKAWEESRDDRIGSWRDWQKGQKGKGEKEGGKKKKKMKVLG UREG_00323 MSPPFDNSDETGNHDAAANGRPDMVEDDPLLGTSPLARTTSHSL AGSYRRPSFFTVGARGTVVPHAMDHDRLTQQEWEEAVEEERDLLIDNNVLPTSAVSHK QQGLQRKISGLLSQTLRQSHGEPPQVSMAHPTALPVAAGPSETTALLGPPLEGVKTSD SARIIDKTWEEAVEAGLIHTTWQREAKVLVKYTAPLMVSFLLQYSLTVASIFTVGHLG KIELGAVSLASMTANISGYAIYQGLATSLDTLCAQAYGSGRKDLVGLQMQRMIWFLWT LTIPIGIVWIFADKILMAIVPEKQVAILAGRYLKVVLLGAPGYACFEAGKRFVQAQGL FSAPLFVLIICAPLNAFMNWLFVWHFGMGFTGAPLAVAITDNLLPLLLFLYVYFIGGR ECWNGFTRRAFANWGPMIRLALPGFLMVEAEVLAFELLTLASSYFGTTVLAAQSVLAT ISSIMFQIPFPFSIAASTRIANLIGATLTDSARVTAKVSMVGAIILGVINITLLSSLR HYIPFLFTSDPDVVAVVAQVLPICASFQVCDSFATNCNGILRGLGRQSFGGYVQLFCY YVVALPIGFGTAFGLEWHLWGLWGGVAIGLLLVGLIEGVYLSRVDWERSVDDAKKRNA LA UREG_00324 MAPDTMQRYMERRSHERKVRSLAQWSRQSEALLSRTRVPRRGRE RKRGWSAARRWLQLERLAVSKMKGFLEGVKWGEWWWKVPGEVHWLNVEGIRQRVIGVA EDIVFDIGARFSRHDGAFHSLSVHSGGEQV UREG_00325 MSVTTTVIETERITSNIRLQWTKKTCHLMTNINQGLLHRAFSVF LFDSQKRLLLQQRATEKITFPDLWTNTCCSHPLGIPGETGVGLDASVQGVRRAAQRKL EHELGIKPAQVPLDKFEFLTRIHYKAPSDGKWGEHEIDYILFIQADVDLDVNVNEVRN TRYVTADELKQMFTQPDLKFTPWFKLICNSMLFDWWENYGTDSFKKHLNDKELHRMV UREG_00326 MDLNSRDYSGAPQQYCSSYRSTMHASPYPTPPQTHAHTQPLSSH TPAMVGLELLDCHPNQQLPICTQPLLPASVSWPNDPSATQCYSSPSPNLGDYQGLGIY GSIDAIATTSSPFITPNTDVSVPNTMTFLSPPSMTRKPANVTYQRAPVLADIGLQYPE IPAHRQSTTSKRMKITHDEGTGHNAVQRTPVQQLALPYSYETGYTSPAEPNRVSANTL LELDNNIKRPAVNRRLPRETRPSSNNTNTATQVTCSTATFNNEVRKQPPKAVATAKGK FECPTCGMQFTRNSNCKSHMKIHDPNRKFPHKCTVGQCTKQFSRKTDLVRHVDSPLRR WVQETATPGSACCCRFQSPIN UREG_00327 MGQGYSITTLSAGSAGIDIPELADLVYEKSLGTARFMKSIRARQ RHGLVFVKAIMKPYPSLKLDPLLGERRVLRDIPNVLSYQRIIETGTGGYLVRQYIHSS LYDRMSTRPFLEDMEKKWICFQLLCALRDCHSQNLYHGDIKTENVLVTSWNWVYLSDF SSSFKPTFLPEDNPADFSFYFDTSGRRTCYLAPERFLTAGENSGDRRVNWAMDIFSAG CVIAELFLESPIFTLSQLYKYRKGEYSPEQGPLAELQDPDVKELILHMISIDPESRYS AEECLNFWRNKTFPEYFYSFLHQYMGLITDPSSGRARTDINAAELHEADDRIERVFLD FDKISYFLGSNPQSALAETKSATPSLANQADSLQLDLPVSSNQPSKASSVDIEGTLVF LTLVVSSLRNTAKSSARVKACDILLALAEKLPDEAKLDRVLPFVMLLLNDRSDVVKVA AIRTLTQLLSMVEVVSPVNAYIFPEYIFPRLQPFILGSNSNPSSLVRAAYASCIASLA HASLKILDMVQALRSDVRLMARTPAESEAGWTEGVSYHNLYDVARIDLLEFFEVHAKA LLTDPDVSVRRAFLGSVSSLCVFFGNPKVNEVILSHLNTYLNDEDWILKCAFFEAVVG VATYVGVTSLEEFILPLMVQSMADPEEFVVERALRSLASMARLGLFQRSTTWDLLYIV VRFFVHPSAWLREAAVNFVVASTTFLSVADKYCIVTPLVRPFLKANTTDISSSQLLDA IKKPLPKAAYEMALVWATKAEKGTFWDSAAKDSTFILGGTMATNFGKLRRSALNNITK NEEDQQWIGRLRNLGMGPEDEFKLLALKEYIWRVAKRRAKEPSRTSTVATADIIPLSQ HDITPQTVFFDKKQGPKPPPPPPMRVGVDSLDGERRTHTIADALLDASSTIDGSDIAR KQLSRRSSRRCSIDAAPGISRERTQDSTKTTDPSPTLSPILPPGSTTSSAGASDSERR DVGASTPRTNSVTRTNSSVRGSESSHLRARGDDIKRRSSAINLLNRQENSKSYAETAT TSTNVLGEVDATRFRRSSTQTPTISTSTGEYSEEPARQYRANHTYRGNDPTILKLLDT VFAENYPTDLFDFGPVVAPITSQNPIRKVNGQLSNTPWRPQGNLVALFGEHTGPINKI AVAPDHAFFVTASDDGTVKVWDTTRLEKNITPRSRQTHRHAAGTKVKCVTFVESTYTF ISAATDGSIHAVRIDYQNNNDAIRYGKPQLVRDYRISSPEGANEHAVWIEHYRTDVHS LLMMATNRCRIIALDLKSMKEIFTLQNPVHYGMPTTFCVDRKRNWVLVGTTHGILSLW DLRFCVLIKSWGLPGGTPIHRLLIHPLKGRGRWVCVAGGAKSGSEIMVWDIDKMQCRE VYRTATTSHGSNIQGKHTATPPTHRKTHFTPDTTWKSYDPWRVDDERPESLLSRFAAT SSPEPSSTGVDRNGIHAITIGIDVPEPNPPAGAQPDSNFASKCGFLISGGSDRTIRFW DASHPDASQIVSAPDLISDGAGLSAKPRYEITNPTPSLTITTEWLPSTGASTPGAGRT GSASKKSGGSAGGGAVRPPRTTVISLQQQQLLKSHLDIILDVALLRAPYGMTVSVDRG GMIYVFQ UREG_00328 MTPLQQAVMYKQPEMVQLLIDHGADLDKTASIDHPSPVFLAATY ARPQMVEMLLNAGACMNAKKEGKPTLLYYAVTGTRERLLARMRRARTVKAVLDAGTPY SLVQGRPSTMHLAKGHLSDNDLVATVCTTQSA UREG_00329 MAETIDRSTYKRSSSQTSASSRKSQKAKAARAKPPKRVSTCSST HTSTDLTSFPSLSPDRSPEGFYGEPAINHALSQALLLEDNEQTPGTERGRKATIAGLT TNLPQVAGRAALFNDSPFQDVPGSLHLASDDHIERLIARTGAIKLVRQFARDLALRDA EISALRLRADERERELKKMLREMEVSNKDIERRLYLLENPAETHENTPGGDQADTLAE QRSHTSSIDHMMSEAMLEDVGTQIYDNTDYDKGRDDLQATIRPFRADGGMQSGNSSLR SVKKKPLASSRGWQDYIWGSTAPSRKTSGTSSISGDAAERDEEAAIRMPAPRVPSGPN GRRKGLDSDLFVPPERNSASGALAETTNSKPGDDDSSSIRSRRSAKSVTSWTVRLFAG NSQASKDAEMTRNRACSDTPDSQHRTSSPAFPPKVAGSAMAALKRINAGTGVQPLSGR SSAASSIRASTKSTPAPSSRRNTVQIATPDHRSAKDETHIGPVEMDAILPMESRPPTL AHIYSDYSPGDLLTDRFGFIYDQRRNRRQKEAPSVDSKQSNDQPVSGANMGESIVSEG PEDGSAGVNKWQDYLKVATSPTELLSHTPAAGPIVTVETTKPRASSVTIGKDGSLSVA HSNPQVSASTSTVIETYGEFAGTPESQASESTKLDQVPVKLLLQRLTDLHDSSQRDRT IKWNEFMRKVRAERRKEGEAAAASTAAERPAISLDMPEVAIADGEVVGVAGLGNKGKV GRAKWREFRTLVLGGIPVAYRAKIWAECSGASAMRIPGYYDDLVKGCTANDSDPSITA QIQMDIHRTLTDNVFFRKGPGVAKLEEVLLAYSRRNPEVGYCQGMNLIAGSLLLIMPA AEDAFWVLASIIEKILPPHYYDHGLAASRADQQVLRQYVAEILPKLSSHLDELGIELE ALTFQWFLSVFTDCLSAEALYRVWDVVLCLSAPAVHAPAIQTQKATSESGATTMSTSD TPTNATSTSTPVSSDRDILSNTGGGNTFLFQVALALLKLNEQQLLSTCATPAAVYTYI NHQMTNHAISIDGLIEASEALRNVVKREDVLARRAEALKAVAAPLSSSSASKDGDSIR SGSQGKKPEGEAV UREG_00330 MSAAGRRRLQALSQQLVEGIPDAGTFENIPRIREVAPDSVGPRC KDKVVIITGANSPIGIGRASAHQFARNGAKAVFICDFSATYLDVHKRELESLYPSVDI HVRQFDAGNEEQVKAVVDEAMQKYGRLDVMFANAGIVGQPRLFTEVTGDEFMKTMDTN AKGPFLAAKYAAIAMKTPSPSKPYPSGSIILTASVAGLRSNAGSTDYSASKAAVVSLA QTCSYQLSGTAIRVNAICPGVIETGMTKVMYDMARSRGTEKKIGQLNPLQRGAVADEV ARVALFLGSDESSYVNGQAWAVCGGLSAGHPFVPGKLA UREG_00331 MAEGSLSELCTICHINLPKYTCPRCSTRTCSLPCSKRHKLWSQC SGVRDPAAYLKRKELATPAAFDKDFNFITGIERRLERADRDAEHRGIVLDDDGRKRKK RRSGEFAQEEALLKRIERSGVEMLRAPKGMTRSRQNHSTWSKKQSCLNWSVEWIFPDK QKMIAKYPENNTIGFAFATTAYAKLHKLVEKRKQKPLQEGMLSSFRTPWRKK UREG_00332 MAPSLRDPPSFVRPSTRDRPMTRGDQGDNSLVIPSRTSSLHSRI TQPIPSTLNMKPAQRTPKTLTHAYMVCGVGREPSQWVKAPSPSQGKIGHMKGAVGQFW LPEILGSSPRLEQDNEIARALHAAMRACFPHDVEICTGKAQPHCVHHSFVLQQDSTHT LYGIALRVWSRADEKRAETIRELRKKTESDFYDNPEETYWIPYCLSFLSRYPLYNLLG DYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSVPTGFQ NFAMWPLFNCLSIPNIVGVIEAAVSPTRRIIFVSHYPAILTIAAETIRFCVRVYEWSG LYNPVVHARHVKELVQEPGPYILGVTAECRTLFTAPPDALVVDLDRNFVLTSSPPNIL TQGQRTKMINRLTQALNGDVNPSGVPQHLRSAYGGGKLVPAGQIIVMRGEVESIQDPS WWNQDAVMSVMDHVCEKLGRNTGMKAIFGGSVKKPLMTKISMRHLNEIVRERNQYSRD ALEAWQDFINLKGRMDTELSKVTKRNNFLVEELETWKQQKRPSSKSRLKVINARIVGL LPSSTSRKMMPHALRYVSQALRNSAMTHWKHLYCNKRLQRSWSERGNATKRKLLLFST PVPLWDVNAMKAQRVVLHLRSLINGQSHHMEHIIRSISNVPELSEYIEQGFDDLPEDD EDHDVDERRPTPRKSSVRLSASSRASSIEGEDVTPDMESHLLNLGKHKRYSQLSITDV ADRHLRAKTDAIADIIRNISEQCAAAVEGLHLAQDAEFDSEANKHGDEKRNNLHPTED GSHESSSRAESDNGDSLLTPNGRNSSVSSIPPTPDLVHNRSSTSMSMVSSTTVPERSS QQYGPGDLPTRIVEADDERVHEADAHHETQGGPVQKSASRDTMRAGTVHVVA UREG_00333 MTKTDVGQMKSELSQMRAEMLQLSTHVDRLGPNDPSNNLNRLQS DVNQLHLDVHQLYTTILATRTDLGEIQAAVGHIKTKMSHTERVRFNSLAHTVHAPITP VPVVENDGSVRWPEYFPRTIWKFWCLKRRNRIHRLVELAEFYQLEGYQYWGRMPQTHD PVFPEDGYLSDSSDSTDLPSDFTLAEATRLFPEACHQALAATLGLVYYKIRNEVGEGP NQRLIPARQKRGHDELVSLNSANPKPSKLSRRSNDLSPTNLHKIITGGPAIDANSIVS EGLDKLGWNANGSQASDEAMNKLKGMVTDEVNSILIKALERGRVKLQPSHMEIGSGSP IGSSKSGVRRQLNGAEDLAGSGDEDMHTVATEIISPISHNEDR UREG_00334 MARVREEKGALVTKHHGKGSLEHAAWPEHPEVLCLICGGKGAWS GQPIKALVSRGRVVASSAKNAVAYFIQTQKGSNVKVFATETQTVEAWLCLRIKHNQHR PSLRTVLDQSPFRLYLDEGMIIVFSSRTPVMETKDMVTSGVLFLASRAVIEVNTLDEN DLLPIQPPGLWISDQMAKGPFRRT UREG_00335 MRPRDAGSSHPTLEGHAFSKDWNIQDWNRAGTSMFPISIVHSLE NIYVTKSKASGTDDSTHLVLRSTRYDDYTSTAEVQTSTENILHCSFRVRMRIYSSPED GSGDIILPPAGAVAGMFTYHSATSESDIEILTVDPPNRIRYSNQPDWNRTTDKMIPGA SNAIDHETPWTEWTDHRLDWFPTQSRWFFNDDLVLEKEYGVPTSPSVLVLNLWSDGGH WSGNMSIGQSVYMGVEWIQLAYNTSDVDPGETEDNAVVLTGHGARWGERFSSNAWEER WSHIFKKSKKRKGEKKEKGKKSKNKKQKCKKEKELLDDGGHDEDQEYGDHDMEEGQER KCKKEKCKKEKNKKKKDKKKEKEKNGFLQGRNVDDDDDDEYDEYDEYDEYDEYDEYDE GEEHDDCDDSQDDDHGEDDNGNQYAGNRECAVVCQIDNVETEGIPEVVWDASWERR UREG_00336 MGSNAENKRQNDCVDAPQSPPRRRVVAGPMNLTIAFPTQPASAG NASQSTENASQNTQQQSQPNPVATSAALSQPEPMAGQQRRGRARRVAATNTNEERSSV RQYLLDSFVPLAPRASAFKNGHVPDPETKPQVASQEVNREIPSPKDNLVSASKPVQQP SVIVECPKYSPPRAIPITKPESDFVSPTDPVALGRLMRNPGSKRVRGEYNPSTEEVKL PTFNPAMPNYGAPSKASVAPSVPKSQVPKPWDFVKPYDKDDLQAPNRFANPSTMPSDF PQVAPLTTQSDRPELVPSTQQSELPPTEPQGLGVNPPRNVVSGPQNIVMVQASRQAPE NVPAQVSAAETSMLEGPMAASQSTEPLPPQQAATLRLNFEPAADNSTAPRSNLPSLRA HLERIARRPVRAWKHVFPRDAYRQERYEMLRRFHREGDIFMLDAPKPSLKRSVDEMTD PMQNEIEAGRATPHPDMELDIDPLKSFVRCVCGLREPKTDDSGDVEMQGMPGQWPVTP PRPALANRFAPNGSDPSPCNPVSAQSIPDVPQSPIRARSQRNWVFHTMRIFGTVKRQV TRFCKACVTPCRSCPPPRKFMTWCDYRAKLRDERRNKRKPRLPIVHVEQLPLPTVPQI STVMPPPPVSRHRSRKSSPISRPVRKDKVPSYIPKSKSFLHGVLSGRVTKANANWKDR SMQCTNRLGPRRVPTPQTLPEKNLELSMEPMLPVRQEDETKVVAWFAPSSRPNLPSPK ESLPVQPETPTSQISPPTPTASPTAVVPPTAVEVQGVADAEPMVATEPSSSKTPEEFQ ESISQPEMVATSEEQATESAPAEPVRESQPPRTKKHVHWIASSTPQRRPISSVNVFDP QAAIMPKVQAQEEEEDSIRPAERPSSETRQPSTIRPLSAKWESKVETALSQPDSRQLG TTLGGDTLTRRDFATCATPLAWLNDEIINAYLALVIDYARRSSGNSGRHQQPKYHAFN TFFYSSLRDKGYESVRRWATRAKIGGPALLRVETVFVPIHHHAHWTLMVVKPAVRTIE HFDSLGGSSSFHVAKIKEWIRGELGDLFVEEEWRVLPSISPQQNNGSDCGVFLLTTAK LVAFQQALSYGPKDIPAIRKRIVAELMNGGFEGDFDPKAELPVQSKL UREG_00337 MNKDKAQPDAGLRSLNHSLNCSSPEPDADGTPSPLLDQRKLPRW RYWVRQKTLPLVRWETPYLAWFQERMRTPFLDTWFAVSANLGTHTFYMVMLPILFWCG YTQLGRAIVHLLASGVFFSGFIKDLLCLPRPLSPPLQRITMSGSAALEYGFPSTHSTN AVSVVVYAIHSLNSAESTLSPFAKALFQFLLFVYGTSIVVGRLYCGMHGFLDVVAGCA LGALLGFIQCAYGALIDEYVLSGSFQGLFLVALVILVLVRIHPEPADSCPCFDDSVSF AGVLIGVEAGGWHFGKTTLGSPVPIPGSVVFDLQELGWFKAVVRIVLGVATVFVWREV MKPSLLRVLPPLFRVIEKLGLSLPRRFFTKASEYKRVPDHLKDNDVIPNVSEIPSMLT SIRHPRRRAVSVGPQSEADAYETLAYREHRRRLSTSSANRAADTRSSPLAAGPENSDS SHSAPDPKHPKPPRSANRSPLRLHEYEHMMGTGTPVYEQNGTDKDGGSARQSPDYSKS QNEEDMFLMITKPRVRYDVEVVTKLIVYTDLGHKGLTLFTLHVI UREG_00338 MSARAYEDQYGHSGHIRRHSSVVNEKGPPSVSPRRKPVRSQSTR ASNGTVSTTMSVSSGRMSQATNITQPPSYSKKFVVVGDGGCGKTCLLISYSQGYFPEQ LKLGQKYVPTVFENYITQTTHHPSGKIVELALWDTAGQEEYDRLRPLSYPETDLLFVC FAIDCPVSLENVMDKWYPEVLHFCPTTPLILVGLKSDLRTKRTCIELLKSQGLTPVTP DQGRGVAQRMGAQYVECSSKEMRGVDEVFELAVNTAVGIEEDNWDSREGGSRPLGAGG KKIKKRTCKIL UREG_00339 MSSSQAPGSASYFEQQRAELLREISLVGNEFSSVEALWSQFETV MAKDEGSGDGEIDHDGAQPKGQREDRVEVKGEEDEEEVTALHDDCDDHS UREG_00340 MPGITSRFIEHLDPEVPRTSSDADVRLEEILAEEYDRVRSSSSS TNSSSKGSMEKERKYELLGYYKSGRRP UREG_00341 MPQETYDIVIVGAGPVGLLLSLCLSRWGYKVKHIDNRPVPTKTG RADGIQPRSMEILRNLGLKRSLMAYEPAKVYNVAFWDPLPDGKGIGRTGNWPSCPDFI DTRYPFTTLLHQGKIERVFLEEIEKAGTVVERPSTIVDFKNNGKDAEFPVEVELKDID TNVCTTVRTKYLFGGEGARSLIRERLNIGIRHKDPIAHVWGVMDGVVRTNFPDIKTKC TIHSDHGSIMVIPREDDMVRLYVQLASSTDKDWNPRMTATVDQVKEAAVKVFKPFDIT WDRIEWYSVYPIGQGISEKYTLDHRVFIGGDACHTHSPKAGQGMNTAFHDALNLAWKI HLVEGGMAKRDILTTYESERKMIAENLLDFDAKYAKLFSTRIPSVGEVDSALGRAKSD ETNEFVKAFKSACEFTSGYGVAYKPNIFTWSPAHPAKSPLFHPKGVKVVPGRALPTCT VTRVLDFNRVALEQEIPTNGSFRFFVLAGDVNKTKTALADFNANLQKSRSFYSTYSRP ADQAASYFERHNPHSRFFSLCLIFANQKEEVEISALPSTFQAYSHHIYADDRSDRFVP DALAPFHAKVGLDAERGGVVVVRPDGHVACVVELVEGSGTVDALNEFFGSFSEKPLGG EAGQSRL UREG_00342 MYNAGHSSFGSNTDSSRSTGRDTLWQNAPEMVAIPGPNAFRSQA QLNKGPARLPQSRSEGNVKSLDHSNRETAGLSGNYFHQWYPSASYYPDQQEEQCETPE QARGRNRSRSPVKVLEDLDEYEEIAYLSSPRRRSRSPHKKLFGENGWLGKTPTIEKRK PGLKALGEKIRKRVEDMTGDVIKSTSTAFHTKSSTPATSTCPISLDPPTQAKLYSELE LMICATANKFLMSQYHQGRMSADSVTKVMQFWISKNRPQVREFQFDQATQRDLILYNI ENFAFHGEAAKDMVIRNAAMLNWKIMAKEMSVRTFCYPDSVIRKHMHDAHKILEMLGA QCVVFLAFQELQVNSLTLMKQYQEAKIQNSREHGITKEYHPPALPWGK UREG_00343 MAAPAHTYGPACLLYEPPEQRQTRPDPNTEILKPPKIRVQYFYL SSLPIDDPLTAVPIPSGTADASKLPPRPFSVHDNIALEKAWQSLRSVGEEESHKDRDE IEDGLRSSRRLTVRGKKKEETASQTSDPRGTPETVVGLSSSFPARQTGGGSSDNDDFQ EGNSFNGLKDDEDDSNANRRMARERGSSNASELGSFRKRRSLPIDRKSKASRRNRHSS PGGYEDGSEEGSGRSSPQIIRHSDDTNISGSPFIRAPIRDRENRRPTPTLRLSKDSID TNFMRGQMAASPNADGDKRQDGDKSEDLENTEPEITVTVGASRLHLVEFPKLEMKPIY WSPVHDISRVVRATWFYKNSMLPVDPEVANQLEAGYMYLKPWTETWQDELNSCVENGA EAEMKIVHKLWPSENPKTPTRPASQLGSEAGASVSSNKSHHFRENYAAGAISSPEASV KLFKNSSVVYVDSKEAQLLRPSLLPSVSSGRRPLSAIRKGRQIGIAVVRGFDRLGWDK LHPPKLVSTNVRNFMKMHQAATRAASSRRQICYACQMEERQPGVGDLVFVIHGIGQKL SERVESFHFTHAINSFRRQVNIELNNNSVWPNMRPDLENIMVLPINWRSTLSLEDTDV EEAIEDQPNANRFSLKDITPETIPAVRNLISDVMLDVPYYLSHHKQKMVRAVIKEANR VYRLWCQNNPGFQRKGRVHIIAHSLGSIMSMDILSQQPTRLPYIDFSKTEINETIFEF DTKNLFFCGSPAGFFLLLHKASLLPRRYRDKPDCDEHDVADPGLTGESGAYGCLAVDN LYNVMHETDRKRLPLSLEFPMLTVT UREG_00344 MTQPKLKAAILVVSDTAARDPSTDRAGPVLAEAFAAEAEKWDKP VLKIVPDEKVHIQRQICQWADSEDEFMNLIVTSGGTGFAVRDDTPEAVSPLIHRHAPG LVHGMLAASLEVTAWSPKGAKENLEAVIKLLPHACIQAAGADSRAVHAGGVQKLEQEA GVSGRGHEHSNHHHALPIHGGSHDHSHSHEHSHKHGCPQPHTSNDPSAGASRRHRASP YPMISVDEALKLIYEHTPEPEVVEMPVDPSLVGLVIAEDVYAGEAVPAYRASIVDGYA VIAPKSATEPSTQGQFPVASVSHAQAVSMPPHLESGSIARITTGAPLPPNANAVVMVE DTILISSTPDDEEAVVEILTGDVKPGENVREPGSDVALGFKILQRGQLITAVGGEIGL LTSTGTRTVKVFRKPRVGVMSTGDELTEHTSAETLTGGQIRDSNRPSLISCLTAWGFP TSDLGIARDTSNDLEHRLRNALRDGVDIIITTGGVSMGELDLLKPTIERKMGGTIHFG RVAMKPGKPTTFASIPFKPSGSNPSGQSERQTRLMFSLPGNPASALVTLNLFVLPALH KFMGMSSPSASPAQNADLGLPLVHATLTHSIRGDLKRMEYHRAIISSRDGRLFATSTG TSGAGQRSSRVGSLAGANALLILETGRQNGFKEGEVVKALLMGQIVGDRSG UREG_00345 MATSPSAVGSYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDN TYQATIGIDFLSKTMYLDDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNA KSFQNTRKWVDDVRGERGNDVIIVLVGNKTDLNDKREVTTAQGEEEAKKNGLMFIETS AKVGYNVKQLFRRIANALPGMEGEAGRGDNQMIDVNINPTQPVSNDGCAC UREG_00346 MSLQTGASLITLSLLLNKVSGLYGLLAILTGFGLSPFQLSMYIY SILALALTAFLAPHIRKQTPFYCLALAWFYLLDSVINAAYTAAFAVTWFLVISQHHHS APTSGPGSSTMDDTAGFTNPKYNVSSVHVAPANGSVASAEPASSPAGATDALGGKPSL GNGVLQPESFQSIAVISTLWTIRVYFVLVMMAFARQCLRQYAFSPRSPLSRHGSVAHS RNPSTVSNIELEPNPFAARSAEGQGWKGKLGRAMISVGRSYWLDGEDDDGWMVGLNRK FHRSRGFFNSTDGGLSERERRRRSGTGPPVPSQAVLQQIALQQGPAAQDANKLPQPNL GNTQLPKAPNTPSGNPF UREG_00347 MSVKTAGEAAARIAYLSSDLVLSVQPSLQGESTFSRQLKQLSAD NVRAVRQNEDPLLSAFHPLQNGTYVSVTTSSSILLSSTPHLYRLAQYPIVLHVSLEPA AFPDFSVISSIRQCGFSFLHSQTVQEAQDMALTSHALAIKSGKGVIHFFDASNSTDDQ PIAEEDPAFVRDILDLNSARAIHQPGVSQTIYASSGRVATVEEQGLAAAQSQPLATPE LSIPSKDVPSTEASSIVSSQRESVAGSTVPSSAATTVEAPTRRPVDASDIFQITTHIW DSLAARTGRSYHAIEYSGPQDAKQALFIFGSTGVLVNALRNSSSREDFKGVGVITARL YRPWLGIQISRAIPNSLERIAVLEQVRRTTKWGPCFLDLLSSLAPQALITNRPLLVSF RLGYVEPATADQALRGIFQNLTASSPIQNLEVGVQKGSAELDASLQLKPNANENAYTK ILTQLFDGRLHVANQLGSQNAGISATISASPEYGFGSLIARMERRQRFVREVQEAVKS NKFATDVPKDWLSKWAINAANSTKSNQLAPDVIARLLVDGSSLSKDLLASKQFFFTES QWLIGSDAWAYDLGNSGVHHVLASGANVNMLIIDSQPYSERDAADPTRRKKDIGLYAM NYGNAYVASVAAYSSYTQVLQAIAEADQFNGPSVIVAYLPYSKEDDSPLTVLQETKKA VDLGYWPLYRWNPNNAEKGEPNFALDSERIKRELEEFLRRDNQLTQIMNRNPRFSAAL SESYGSEVRTLQKRAAKDAYDKLLEGLFGAPLTILFGSDNGNAENLAKRLGNRGKARG LKTLVMAMDDYPVEDLATEENIVIITSTAGQGEFPQNGRNFWETVKNSADLDLSSIRY SVFSLGDSHYWPRKEDRIYYNKPGKDLDSRISFLGGKLLTEIGLGDDQDPDGYQTGYQ EWEPRLWQALGVDKVEGLPEEPPPLTNEDIKIQSNYLRGTIAEGLKDTSTGAISASDQ QLTKFHGTYMQDDRDIRDERKAQGLEPAYSFMIRCRLPGGVATPSQWLQMDAISSAYG NETMKLTTRQTFQFHGVVKGKLRAAMQAINRSLMSTIAACGDVNRNVMCSSLPELSAY HREVHEISKRISDHLLPATTAYHEIWLKDENDKKVQIAGDAVVDHEPLYGPTYLPRKF KITIAIPPHNDTDVYAHDIGLIAIKGADGHLEGFNILAGGGMGVTHNNKKTYPRTGSM FGYVPASEAHIVCEKIMLVQRDHGDRKNRKHARLKYTIDDMGVDVFKGKVEELLPGGL RFETPRPFKFDSNVDTFGWQKDEYNLNHFTFFIENGRIEDTADFQMRTGLRELSKLEK GEFRLTGNQHLILSNIKDEDLPAIKQLMAAYKLDNTSFTGLRLSSSACVAFPTCGLAM AESERYLPILISKLESTLEENGLARESIVMRMTGCPNGCARPWLAEVAFVGKAYGAYN MYLGGGYHGQRLNKLYRASIKEEEILDIMKGLLKRYALERNADGGRAERFGDWCIRAG IINETTEGRRFHEGTLLPTGYLCGCFGRISAAGYEVKSETFALGSFDLIDKELKIVSS KGSLKRPPVLFVWFLVNYILAETETLDMIMFSRALMTWTQDHIPTDNDSTSDDPVIAS YDIFITDAQVRRFLLQYPDRSLAQPYSDLTLQKPTEFRLKPKTGLVEVDIPINTRINY DESKGLRYGNALKKSRVLQEGGTHGLAGGFNTGGVAAGRAKLESDSVGKDYWNDGNGG EYEDLEDEAIKAGHIMTTQTLGGRIQETMDGDPIYMLGAFKENELHLAPLSAIVQVRP QLHHLDAFDEVAIKSKASAKGKKDLEDEGGPRSAPEARAIDVKVKSAEAEQTGGQRNN ELLKRMQDEKWEKYTWIDENDEESWDKYEEYMFNRTIEEPPQLESAIEPDDYIDGMSA PRVDPTRPDMTGWAMRARRKKRGLPEKAQADDDIVMETA UREG_00348 MLANLDVSLTVGMFDRAALLIRRLSAVFSPGSPELLELHNRYIR MMVSHMIVNRRPSMVWAAQKWFEVQMLQISKMQPDATTYALMLRMTLRMLLGPRRDRT VRRYWDMAKEAQVEEDVLGLPILSETDLGLLSEICSSDLQGAALGDISGLPDLTEPEP SELNLSPPEDAIPEIRSVSQKGLGLQSLKEALSLFDKSKSFRELEAEGEISSVEKQRR LEQDSIVAAMERWRKESEDRASKTGLGPSEKKMGALLWDWQQCLLTSIQSEMQSALRA QEKERKTPEDNDRCEYAPFLSTIGAEKLSAITILATMNALGRSGMDKGIRLTRLIMVL GKAVQEEYLADRIRESAYDSAHTNSANSANRAKMVEKLIASKDHSKWRYLVRKYNESH EPVNWSAATEAKIGALLASMLFSSTKVPVEHKNPETREVTVSKQPAFRHVYQLEKGFS IGYVQLHDSIVEKLGREPASHLLAKHLPMVSPPAPWSSYHHGGFLEQPSLVIRARPDN ALQLQYVKAASRRGDLDEIFAGLNVLGKTGWQINRPVFDVMLEAWNSGKELADIPAAE PNLPEPEKPDTGDSGSLKAYYRAKAVVDNKRSGFHSQRCFQNLQLEVARAYIGETFYL PHNMDFRGRAYPLPPYFNQMGSDMCRGLLLFSEGRQLGERGLKWLKIHLANVYGFDKA SFDERAQFSMDHLDDVIDSAEKGLHGRRWWLQAADPFQCLAACIELKNALACADPTKF VSHLPIHQDGSCNGLQHYAALGGDIIGARQVNLEPSDRPSDIYSAVAEHVHQSIVQDA ASGSQLGELLKDKINRKVVKQTVMTNVYGVTFLGAIRQVQKQLDEIYPDLAENNNVNK IATYITRKIFAALSSMFTGAHEIQFWLGDCANRITQSLSPDQIEAVIEARNAPATAAK KPKKKDDLSKRFRSTVIWTTPLKLPVVQPYREAKVRRVTTAIQSLSIKEPHSDDIVSR RKQLQAFPPNFIHSLDATHMMLSAIKCDELGLSFSAVHDSFWTHAGDVDTMNLVLRDA FVRMHSEDIVGRLAAELKARYSQNMYLAKVRPKSSVAKKIDAWRKTNGRGKGKEHQAD ELILEHKRLQLLRSTNEAERAEGEAMVTPGSIFESLKDAEESLVSVQSLGVAAMGHIS PEDEAASAEPSLLPEAPTNPLNDLFQGDLDPVDAGAETAGPATNVSKKKPAKKTCWVW LPVTFRPVPAKGDFDVTRLKKSEYFFS UREG_00349 MLSTFTRLSPRLPRTSATPTIRATTSQTRAVHLAPPFLLDSYIP RYHLLSSADASKKRSLAHSHLANCNLCPRLCGVNRYQETGHCLIGAEKVKVNVIAPHF GEEPCVQGWNGSGSVFFSGCNLRCVFCQNHDIAHQRNGFDLTPEELAEWYMKLQGVGN VHNINLITPEHVVPQVVLSILHARDLGLKLPIIYNTSAFDSLESLELLDGLIDIYLPD FKVWENSTSKRLLKADNYTETAMESIKAMHAQVGDLCFTADGVAKSGVLVRHLVMPGL EEEGKEIMRWLALNVSKDTYVHIMEQYHPDAHVGKKRRGAGSKGSEVRYADINRHVDM REVGAVQSAARAVGLWRLCEPAEHAMAACFDFNTPKELGYFRAKTEVEWVIQKPFT UREG_00350 MKPAVAVPATALLVYRAWSRKSLTPTGIFFATLTAIIHAIHPSP APFAFLVIFFLGGTTVTKIKHDVKAKLTVSASGSAGGEGPRTHIQVLANSVVASILIL LHTRQLYQNKGHEPPCFAYGGDLLMVGIVANYAAVAADTYSSELGILSKSSPRLITSL TLRKVPRGTNGGVTLVGLAAGALGALTIALTSVLLLPFCPVGTLAKFTKTGLDGGRAW GSREKILWVMAVTVWGTLGSVLDSVLGGLLQATVVDKRTGKVVEGEGGSKVLVHPGAV ARPGPEDTYIRQGSQLRVTEDIANIVAPRPLRHEMDVSGKIAGGPADVEHESRRIQAG HDVLDNNAINVLMAAIMSIGAITVSSYLWDAPLAGII UREG_00351 MEAGFEPRPDTLALFFPRVTQTFEGQMDMETHLASWADGVSGSA DPLNDASSSVLGNTGSSDKATRDEGDSSRDSDSDIDSLPLKSSELQVTTPAGCPHIDT MVPGEYVLKLSTRFTHNLSTIREERDQALQRWEQVRETNSSLTKALRAEKAKRSKLEN SFKFYRTAEIVRIPVWPPHEPLTPPSSATKSSVNGDFMVEESPVPFLYDNPLYQGYEL NVNIIILRVKALVENKFPGNAIALIDEALEKAQKLKYAPLSARCLYWKGRIYHLQKRR QEAAKMFLDAMPCIGRYREGEDLKRWLRKYEDDIDQLSGGQPPEGNVTTFRIVRRRPP RNVCVPAAELPRSP UREG_00352 MVLPMAGVDIIDIRRSNFNHSLAKEVLDGLRAKDGSQRSLPTLL LYDTEGLRLFEEITYLDEYYLTNAEIEVLTSHAAGIVERVPENAQLVELGSGNLRKIE ILLKEFERVRKSVEYLALDVSLEELHRTFAEIPSKSYKYVKCGGLLGTYDDALAWLKR SENRRKPTWVMSMGSSMGNFTRTEAAQFLGGFAKTLGPDDALFIGLDSCKDPQKVFRA YNDSKNVTREFYLNGLVNANSILGFEAFRRMDWDVVGEYDEENGCHKAYYSPLKDVTI QDLSIQKGEKIFFEQAFKYSKQEYEALWQQSGLKPIARFSNTTGDHHIHLLSSSPYIV PTQPAEYAPSATPSLKEFEALWKLWDTVTTEMLPRNELLSKPIKLRNSLIFYLGHIPA FLDIQIAKATTGQPTEPKSYHSTFERGIDPDVDDPTKCHDHSEIPAEWPPVEEILRYQ TAVRNRARLLLQKSQSVLDRRIHEALWIGFEHEAMHLETFLYMLLQSDKVLPPPEIMQ PDFEYLAIRSAQESVPNEWFTVPEQTISIGLDDPGSAQIPTQSFSWDNEQPRRSAKVH SFEAKGRPITNGEYAKYLEANEPRAIPASWTKSPKSFSKSNGLVNGNTNGANGHGING ASTAPQFLEKYCVRTVFGPVPLRFAADWPVIASYNELEGYANWANCRIPTFEEARSLY QYSAFLKSSADSSVCAAVNGNSNTVKKAHGNSNGFVHQQNGKPRAPDHQPVSLASASQ VPVYIDLDGYNVGFKHWHPSPVTQNGNKLSGQGDMGGVWEWTSSALQPHEGFKAMDLY PAYTADFFDGKHNIVLGGSWATHPRIAGRTTFVNWYQRNYPFAWAGARLVRDV UREG_00353 MEMIFFGPFAACGRRWRCARFLQIHIDCCTHSVQEKNRSIIFIG HSFGGLIVKQALVNARADDFGDRNNICQQTRGLIFLGTPHKGANLTIAGRLLSLLGHW RGSDTSLLRVVERDSIINQDLHSDFMIFLREYCGLTNTVCIFEAVKESLYGFPIVQVS TLGFDRGHRDIQRFTSPQDENYQDLLIWIKKWLGEGKEITEEKTQTETVQNQNKPAPQ LSFPDPPRIEPSGQEARLGAIQTTDLNDDRAEPERPRKVAGNDPYRVVDDSRWSTEWH DQERTITIENSSGSHNKIYNIYSAPISVDFQSPRSVIWYKSMPMPNNSRIKITYSPRL YAFVGYSSTPSSQLSDNTQISITEYVPVNVGSFIGNGSQLFIDRTINIKKLDGNAPRG AFDIVTHAGIPWPNRYVVGLAIMRAEEVVPTAVVAARPGMEYRFKPNDTICVKAGKLD CGALHSPDDESGPVGKIEIQGALRDAHVIETPDWNFDVRYT UREG_00354 MNEPPFAQEDELYPKLYISQSPLSIHRRPVATTSKPETAIDGLP GQPRIGLHDIELASYLQAELITADLNKLAPHLWLVAKQDSAHISSLTHQIVRGRQIII TENPGLHLVWIYDRVYIKPIPKYLLSHAFWGFYLCGVDSPIRPDARQQLVQAALGFLR SYFYLVQHKSDFSIATNDDHRLIPKSISYSEFVSFIKAFDGKRIGDDIVSPRYAFGEL RLSRLNLCSKVFLRRISYHKIHGQYGEHLAQFYGPILFIFGIFSVLLSAMQVALAVQA MGTSSRSWLTFAQVSRWFSVFTIICSAILALVPVVSLLVLLTRETVFALTKLYEKRTA LKAAGEKRHGKSVV UREG_00355 MFHKGESHKCDPCRKSNELHDKRHREIANLMLQLQELDDEGYDS FNSSSEELSLSDLQLSDESDDSTGSDEKLPRVQSGTPQTPPNRQIIPSTPAAPRKNST LRRDSSVHSFKKTVFDKRTGSFHFNRARPISKGPPAWQDNAVFEEADNVPISPVLKTG VNGRKPSFATTHLKNMMAKGTGTAKGSDRIAVQLAPSCGSQTPAKSRREEKKARKYER STSRALRRQSMVCSSKVITNELIEKVSKAIHGSCKALSSVTPEEGMEVFMESLSIHQQ VQKKLAQIKSGDGSRPEQRYEALIAARLKVPYIRDVLDQLGVQPNVPNENKQRKALIY RIMDAVLVDLELLANEARETLKRAGGYWRFVNKRTYLAMIQNNKAISWETGEKINGKD ADFSEE UREG_00356 MSLETITTISPITSTPVLTRSGIAESDLLALPLAAQAAFRSFSQ STTLAQRQEIVARALALLEKRKNALARQLTDHMGRPIKYTGVEITTAVKRGEYLNRVA GEVLGDKIEADSEPGFKRYLKKEPVGVVLIIFAWNYPYLILVNSLIPALLAGNAVILK PSPQTPTVVEHISEIFTEAGLPQNVIQYFHSGSPTNVEALVRSPLVNHVCFTGSVAGG LSVQKAAADRIVSIGLELGGNDPAYVRDDVDVAWAAEEIVDGAIFNSGQSCCAIERVY VQEKIHDAFVEEVKKVLSKYRVGDPYDEQTQIGPVISKRARENILSHIDDAVKKGARD ETPANPTFENMPPDGNFVKPTLLTGVDHNMVVMAEETFGPVIPVMKVKDDEEAIRLMN DSDLGLTASIWTKDVGTAEKLIQRIEAGTVFVNRADYPSPDLAWTGWKNSGRGVTLSR FGFDQFVKLKSFHLKDYPK UREG_00357 MPPRVQHATDIAMAAQARSASTSPVREPPPEEFMREGLENDEVY IMVEDEFLATAQAFTRHLHYAEYARRKKAAKLANQSAPPPRPTDGRSKLSDETRKKLE AEKASARQKAALEDLKTAAGRPPVDSEVEDVDETTQITGWIARHQVLHKGRVGVFYGF WERTKE UREG_00358 MSAQAYYELYRGSSIGLSLTDTLDDLINEGRIEPQLAMKILSNF DRVITEVLADKVKAKLSFKASLGHLDTYRFCDEVWTFLIKDATFKLDNQTTVHADKIK IVSCNSKRPGET UREG_00359 MPSIKAISAALLGSCAFLFAAAIEQRDAMVTDAAPPHSCYTATI TQPSPHIRCSDFNTKTCDPIFCLRAETTSIPCPDKDCPVTPTSISYTPCQTECYPSCP TVTISCSSTLPATEY UREG_00360 MRFNIAAITAFVATVMASPAPTAAPQPNQVAPNPPCYTHHTSVY PNNCAPIKDCVTLPCIVEETRGLPCHTDACPTTTTSLTVLPCTTTCRAGCETSTVYTK SQC UREG_00361 MSLTGKTEEEEYNVAVESYDEDDGRQEKDALPDAPEKQLPEEYA IDNFLRPLIVDDAKLYQLACRFSKVYSELAKTSDQQFLPTPVTQLPTGQETGRYLAID VGGSNLRVAFIDLLGEAADQSDSGSDGEKSRETIRKAQRHRVRRTLEKAWPIGEHLKT DKAEDLFLWIGDCMAEVVADSLAVEFSNGLEMPEELEMGITFSFPMMQESLSEATLMP MGKGFKWVNSADLNLGKILLAGYDRHTRRPYGFDEPSAKRRRLFALPKLKIAAITNDT VATLASLAYLVKSLPNSRVAMGIIVGTGCNATIPMKLSDLHESKAAHVSSRHPDAIET IVNTEWTINGAAPPLRELQITTKWDSMLDDQCARPGFQPFEYMTGGRYIGELVRLIFY DYLTSVIGLSSKALPANLVQGYALSTTYLSSVVAPTPSDSVLVAKLKTTLPPPESSSW NWDIATARAFRQVARVVQTRSAGLIASAVVGLLACKDEIKLRHDGATNPRRASNIIVP EFNASTDTLTDIYRPTPPNAANNMSDNKPSVVESEGWQSGPEELVVAYTGGIIQHYPH FKETCQRYIDRLVIWDGPQESGKSVFLREASDGGIIGAGVLAGMVSSN UREG_00362 MEKHQPFRGPTAAMQHQDRWTVSRFLRNTILATCLCLAIYSFFH SAHFPTIHRAVMKDQSTPRVSGDDNAGRDPNPLSKKVPLEAHIMSKCPDAKYCLLDLL MPAMVKIHDKVDFKLSFIGNVSESTSDVSCKHGPSECVGNMLMLCAANLPFPCDPKQS KPGDKCKVPTVRSLGFANCLLRDYKNIPDRNIVEDCALEHGIDFKALNKCASSQSDEG HKVDGELSGLALLRESFRRSQRVGATRSCTVRVNEKQWCVLDGGKWKECQKEGAETVD GLVKEVEKLYKEKN UREG_00363 MASPQYSGLQKELATIVCKYTAIDSMRADVAELAKSPEYLGLFQ DVLVNHISMLKTRPQALEDGKITLYDKALLILTSNGKNLHNLGAIELFLDEILGVKQD REVETIEAIFEKNIALRSMVWHVTNQKTEHPSEEHVNEDRDETMIDLPIRTTAKKPDW RTEGRKPRQVAIDRGAAISKMLDTLEQAEDEFHSINESQRGAKYLAAKFLRDTAENTL AYFKANGLGGHRMIPRIEAAYKLGYKKAMVETGGRARPFEMNSDEYCFRARQLRDARP NYGRADCYRPGEAFSLSPPRRPGPGRFRVHDVLTMKQTGPSPALPSGDGERHLHPRDP DTPSSVDPLTPDSSHSGSDDGRIARIAIGRRQTPRKLQTDLREEGERELSSPGSLESS TPRHGERRFRDDTDEEERLAVPYSRAYTAEEERRVVMKFDRRLTLLMAFLYMLSFLDR SNIGNAKIAGMMNDLNLSSSQYQWVLTAFYITYILFEWMTLMYKLVPAHIYIPLCVLG WGLIASFQSLVTSFSALVVLRALLGVFEAGFGPGLPFYLSFFYKREELAFRTGMFLCA APLATSFAGTLAWFIVWLNKNGPLAPWRALFLFEGFPSVVVASIVWAYIPDRPSKARY LTPRERKVAKWRLRDGQGMSRKQELNARKFDWREVARTLCDPKAYLTAFMFFSCNVAF SSLPVFLPTILNDMGYSTLTSQALSAPPYLFAFIIVLLTASISDRNRSRSPFIILHAV ISSLAYLIIALTGLFHAYLPEPVHILIRYICIYPAAAGFFSAITLIITWTMDNQRAKE GKGTGMAILNVIGQCGPLVGTRLYPASDSPWYARGMALCSMFMVFVAILAFALRVLLQ RENARPVTEAAEHRGEEEVVAAGETQGLMESSSSSSALGKAGVEKTGNGRFVYII UREG_00364 MLHEILLSLSGQPSPLFDQSTTESDATKAGFPLLSPPERALLAS LAHLSQLHQLLRAHTSQISSSHTSTICRAVSTAISTEHLGSFQKRILEVEKAILTTDA NYVGGYGIVPLSTIVGDFAPWVRRMEWLWDVAKFMLPETDGPGGTPCIGAQLIDRLIK DSRTGYVDLEEMSLVLIKAAETAWMRQLSMWVLYGQLPTFGRDDFFIQEASTNPDGTG IDVEYVVLPNLLPKFLSTSTAASILFIGKALNHIRARGEFSAGAESKASASHMTLHGE YIRRLTSLQSPLSSVNLTNAISDIRLSLSQTVLSRLLPLPKLIELLSVLHGFLLLGRG EFAMALVSFADERIFLNHRRMAPTNSAHAMLQPLDFVGMKDGEVSTVLSQAWAELYSL QNEEDPIDDELDLARDLLRLTIRAKGEKRPSPEREGFTANSVINVSDVSFDDLLFGSP TVLSLDVQSPMDLFLSQSDMAVYSRIHSYLLGIRRAQIRLSRLWKCTSIRRIHPAPWG PPLSNKPHGQERLRMTRERERRRCVSMRSIWASCSATLFVLSELGSYLQGEVIRGSWR HFKQWLDGTKPPFTPGNSRPATAKSGRTGDQGDSPKSQHDPETITIAHRAYLVYITQS IFLTDAPFTRSLRDLLSHVDRFISLITQLQAVQQNLDLEADEGVFDSLASYGQDEQRV WSELCHTRAALDEGTTELISRLRDIDDGRFSEGIRMFDFRSNDDAQNLAFGDDMYSFS DRTNTYVPWRAAGVDRLLMKLDFGTSKSTPGTFEFKDEYVN UREG_00365 MDIDTESTMEKIKHGEIDESLYSRQLYVLGHEAMKRMGSSNVLI VGLKGLGTEIAKNIALAGVKSLSLYDPTPVTIADLSSQFFLHPDDVGKRRADVTAPRV AELNAYTPVVVHEADRLTADLSQLKKYQIVVLTTTSLKDQEIIAEYCHQNGIYVLITD TFGLFGYIFTDFGKNFTVGDTTGEEPVSGIVAGIDEEGLVSALDETRHGLEDGDYVTF TEIKGMEGLNNADPRKVTVKGPYTFSIGDVSGLGTYQSGGLFTQVKMPKFIDFKPFSE QIKNPEFVFSDFAKFDRPPQLHIGIQALHKFAEAHNGEFPRPHNEDDARQLLEIAQKL AGEGEGKVELDEKLIKELSYQARGDLSPMAAFFGGLAAQEVLKAVSGKFNPIVQWMYF DSLESLPKSVERSEELCKPLNTRYDGQIAVFGRLFQDKIANIKEFLVGAGAIGCEMLK NWAMIGLATGPEGEITVTDMDQIERSNLNRQFLFRTGDVGKLKSDCAAAAVQAMNPEL KGKIITLRERVGSDSEHVFNEKFWNRLDGVTNALDNVDARTYVDRRCVFFRKPLLESG TLGTKGNTQVVLPNITESYSSSHDPPEQSFPMCTLRSFPNRIEHTIAWARDLFQSYFV GPPEAVNLYLTKSNYVETILKQSGTEKLTLESIRDYLVTEKPISFDDCITWARHKFEE QYNNAIQQLLYNFPKDSKTASGTPFWSGPKRAPTPLKFDGSNPTHLGFIIAAANLHAF NYGIKNPGVDKAYYRNIVENMIIPEFAPSEGVKIQADENEPDPNAQPAGGLNDDREEL KRIVGSLPNPKSLSGFKLVPVEFEKDDDTNYHIDFIAAASNLRAENYDIQQADRHKTK FIAGKIIPAIATTTALVTGLVVLELYKVIDGNDDIEQYKNGFVNLALPFFGFSEPIAS PKGKYQGKNGEVTIDKLWDRFEVDDIPLQDFIKVFEEKGLEVSMVSSGVSLLYASFYG PSKVKDRLPMKMSKLVEHISKKRIPSHQKNVIFEITAEDQTGEDVEVPYVMVKLDK UREG_00366 MTSRASSNANRQPFGAGSREKRVRSSRRRRIDTISMCRMRVPGV CTICTTSFSVERLDGGLLMMYVLLAHRTLITRKLKGLEDFIKITSVHWHMGEQGWRFA TPDEKLPGETHPDPLHDFTHLRQIYFESEKDYEGRFTVPTLYDTKTKRIVSNEVGTFV PFSSSEIIRMFYYEFDDLLPEKYRNLDLFPQKLRKDIDEANEWVYDTVNNGVYKCGFA TSQEAYEAAIKPLFSSLDRIESHLASKYNPSDPSSIYFFDNTLTEADIRLYTTIVRFD PVYVQHFKCNVRDIRSGYPAIHRWLRHLYWDIPAFGETTEFEHIKKHYTKSHKQINQF AITPVGPVPDILAKDEEVRAVTEKI UREG_00367 MRRESQHERDERVNDLWETLDVRRSGHVDLKDFKRGLKKMDHQQ PAAYVRVLTVIPALKNADSLLKDILAAVDTSGDGQMQFNEFRIFVEHAERELWQLFES IDRDHDGHVDKEELKSAFARAGLTVRKSKLDQFFSEMDTNNDGVISFEEWRDFLLFLP ANPTHSSNMRAILSYYSATGNLNPEGDVHINEPFQGLGTDHQFPTSSLPKPTNLALSD SHTPSSFASQATVPDVPVTPLGRESPRDNMYRYHPDGTVILPDGFDPEWLSVPWTVSL WLYFRYIEHILTESTPHLGYFLAGGAAGVVSRTATAPLDRLKVYLIAQTKSHSIAANV AKSGSAVEAAEWMAWPLVQALKELWRAGGIRSLFAGNGLNVAKVMPESAIKFGAYEAS RRMFAGFEGHHDPKQLLPVSQFLAGGIGGMVSHRMQCETVEGGLRGNRLIIATAQKMW SANGLFAYYRGLQLGLIGMFPFAAIDLMTFEYLKSTLISRKARLLHCHEEDAPLSNFT TGAIGAFSGALSASMVYPLNVLRTRLQAQGTVLHKPTYTGVMDVARKTFESEGFRGLY RGLTPNLLKVVPSVSISYVVYENSKRLLGLG UREG_00368 MAADYWASTQHASWLFDREELADARKALGDAERPFIQQYPLPDQ RHFNIFVNLPTGRHGSGLREAVLYQGRNPPDESISAAYDGILPRVRNDLGLVGHQRPL SNGSSTPACSARHRSDGGFHCSGLQAKPTPSYGSFRRIFGNRGPERRQFKHPFGPERD QDRCWDAGTGPEDCGLASQQRSGYRGSY UREG_00369 MSSYFFSGHHPHHAAQQQHQPQHPPPPSHIAQHSSHNHHGGRSR RTQKMGSQNSHRQFRGVKSMRELAEAPAITAFRARFEAGRSFDLDDDLEFCPNLLTED DLHSIASSVSDRSSLASASPDSSPLQHQIQPAQQVTPAISLSPASLNSYTSNSFNQMK IHQPSAVRTRNAIPIVNPSTGMRVSSPPSTVSPNMMQPSDQRRW UREG_00370 MSFLSLPRSRLSQTALLSRRFLVPASTTPSFHSSASRSVLKESD RHREEGNDVASEIEKHKQSQIDQHKNGHDKAGWVEELASSSEENVKADRGEIRDAAFD KFQEKKEREKGKSA UREG_00371 MSNPGQLFLLADHVKLSLLERQRAISLNLEPNSQDGEISRSLDS LREGIESVEKEARRLEEDGDSSFVDLKEQAVSLRQQLQDLESQFYDNPSSSSNDTLSS PNDPSLAGDFVKASSASASTTLKHPTPQHPISKSVRFTDTLTAQAEDEDPNRRALLQP YRDTPSPTLDHSHLTNEEIHMHHAQIMREQDDQLDRLGESIGRQHQLSIQIGDELEDH VALLDEVDGHVDRHAGRLDGARRRLGKFKRNARESRGIMWIIGLIIVLVILIVILK UREG_00372 MASSIDSPVDLRSLETVSEYDGHLMCPICHCPFVNPVQLNCDHI FCQACLDTCIRTSNPLVRHRTLPDNFLCPTCRLLTNSTFKRAPRLVVAMCDDILVKCP YTPQGCKEVIQRGYVQSHVDKYCAYKLIECLDEACDKMIRVKDMPSDNHCVHQLQECD GCGESVMELEFDKHKAALCSATEMTCSTCGATLCRREYADHIKDCSTSACQAAAYGCP VTLHISEIEEHEKGCPLVTLGPYLENQSSRIASMETTIRQLQQRNEVLEEGIASIRSS LQTSRPSSALGTASSSQMAVEDIPSTDLAELLTETTAQPEPSTAGNTNTTTYLLSIHE SLREEVSELTHALSDLDARANMTIMNENLRLREDMAHISAGLNTVRMQVHMLINSFLH QGQRPMGTRPAPTVGNNSVEGLNSPGPSSSVNPRMNSETLLSTRRQSDSRESTKL UREG_00373 MVIKSTAFLAACLFGSLTAAAPQKRADATPTVSLWLPQFDIAEN DLTFGASVVAAKPTITSYALCPIGFNERICRESAGITVAQGPTSERTKSNANSSAPPA PSVKAPHRLATKGSATSIVTNGVISDPDLMVFYPVPVTAGAEKLQNLPAATTTPTGTG LDSVPTGTDAPTGTGASAPVSTGGMPKLSGSTGWLVGGAAVAIAAIAAL UREG_00374 MGSYTAGIRPAFLVLAVLLVLLPSHVHAFGAGNIASISKVEGKN WRHGDIEDVLKTLAFIKGHKWTSNMVKRVYFGNWLRDYSQAMDVGTLQKLQSETIRVL VWILSFMSFGYATGEFEVTAERLGVYRPEEHIDNPKDYADNKDARQYDQRLRGPVRQI ELEVDPETGMKNYIANERGDWATSAGYVRYSFARSIHFGRLYANGGHHKGREEDLCEA LRCLGQGLHTLEDFGAHTNFCELVLREMGMHNVFPHTGTRTMMNIRGKHVFPLVTGTF GMVDFFHSVLGEATDHFTQSEIDEADNALGSAQASGSSGPLNGLTSLLGKVPGMGDLV TEAQQLQHQSQAQAQANQQYQSHHGGYGVSRGFNDGPHSQPAGYSDDTRANVPGVPDF DPQKTISQIYPILVFRDKVVRRISAVVSKIPGLESLMDKISETLTVFIYSLLAPFVRP LITFASQKLHLGSSEVIQSSAQHQFEPWTDPTCTDPTHSLLSKDHFSNILNEPAGNVA AAILKFAVPRVLYAWQNVDFPVDHVLNDCMSVFHHPALRDMQNEAHRTMFEAVQQWAH SRRDRGASLDHILSAESVKAGKNHTTGGAGHDHGHGHGHAHTHAHAHSQPHQAAPYGH PQASHSQGQSQNHSTSSFANIPGLSSLAGFTSGSQSHQPHSGSSGSSGMPWDKLSNLP IPGISNLNKLSNFIPGAKPGHGRREMPDESDASATQQPQHSAAPAKRDPPNVFMGKEP ALGKNTPLVS UREG_00375 MEQQLLQLLADTQSPAPATRNKAEGQLLSLYSNEHFPLSLASIA SHASVPVHLRQSALLVLRTFIVSAWSSQLDEFKGQVLINDANKVHLRRVLLELAISPE DDRKVKASASYVVSKIASADFPDDWPELLSTLLQVIPASNEAQLHGALRVLSDLVEGG FSEEQFFNVARELVSTVFNVATNVARKPILRALAVSVFRACFDTLEMVLEQHKVEVKA FMDEALNGWLPFFSAILKEPLPPTPREEDEASESQGPEQWRGLIALKLQAVKTVMKIR QVFPTLLTPHSTALFTTVWTELSTLQTVYHDMYIRDERQGRLEDADGLPYTLDFLVLE ELDLMQTLLRAPPVLKELESQLQAAGSEATTSSWLPDVLKLAISYSQISTEEEGLWDI DVSLYISEESSVTSNYTPRTCGGDVVIKLGEWLKVTIVKSLLAYINVVFSDTTSSWKL REASLYVVNQLLRDFHEVSQSIPLDVANGFNNFIQFCLQQDDIFLRARGYLVAGKVAV TAGKEFHPTAMSYLEAVIKAISNDSSEVVQAACICALQDFLPNLPPDLTKPFQLQMIS TLADYTAAHDLRDMTEGDDLKFTLADTVRDAIMVDPGIVLTSNALDLLFNIASNGASN LQLGMIVTETFERIVEYMAEQGPDAYILLCEKVLPSLNAAIDVGNLTQENSLTNLAVE LLRALAENGLEPLPQGLIATVMPKLNRLLLASTEADLLPPATLTMKHMLAHDPNQFFA WRDPQTGKDAVESVLIIIDRLLGQSLEDIAASEVGGLAAELVEKAGAEKLGPYFPQLL RAVAQRLATAEKAQFIQSLILVFARLSLVSAREVVDFLAQLDINGHSGLNVVLAKWLE NCVNFVGYDEIRQNVIALSKLYQLGDPRISQVQVKGDLIIQDTGRIKTRSQSRLNPDQ YTVIPAPLKIVKVLVEELCSASGAKGIHSAASVAGLDDLESDDENDDWEDLPSNTLDL SLGITKQELMAFGEGDNLRQRDDASQAYLTQFFREEAAKPGFQEIFAALSPEEQGWLR SLGQ UREG_00376 MAHQPTRLAVADTAPPAADATSLPTTVARAEASAIVPPSSPTTM SSGVPDTYARLVSSFSSFLAVSMHQILYLRSVYPPVTFLPVREYNHPVKQSRHPRVCS WVSDACASVEVELLKSTLSAVSFVIVSARTNRPLERFTFDVSRMPRVSTNDIHTPFAS TLATKQAANVSTSVAAFEASTSAVDMEAQFRAVLARLASACARLTPLPQHEEYRLSLF ITVRPEAHAPAGVTKEEQVWIPTEPDSLASVPIEDVNGDFPERNPGNGAAEAPNNTSN NNPANPKMASQLRAQTVPVRRVDAGEMKLEVWVEEAFGKFDILDRLNSEYAI UREG_00377 MKRRHTEFQQDIAVAGAQTASAIAQATPAGNVAKAPTTTEYNTL PASNAISAQKDSLWGLILGVFPDICPDYVQKVYREQRKRLKNLPDESALVNAILESGP YPTLQERKRKQLEQARKEEFKFAEPLYKLTFKISLQSLSNRRFNNAEPFIRLTCNLRR LIINMTISTLPPYQRQAANPKKLKLSHSCTNPIISARRLALNNEFQAARSTVNREKAT WSEKEKLAKFEKENELIHAATDGLMECKCCYMEVPLNRTVSCVGKEAHFFCATCIKTN METQIGFMRYEIHCMDMSGCKAGFHRESLTEIVGDALVKKIDQLRQRDEIAKAGIDGL EECPFCDFKAIYPPIEENREFRCMDTNCGKVSCRSCKLESHIPRTCEEARKDKNVPLR HKIEEAMSEAVIRICPNSKCKTPIIKEDGCNKLYCSKCGIVMCYICKTDITVAGYNHF ATRGGACPLHDNDQIDRRHYREAVKAQAAATAEVLKANPTLKAADIEVELPKQLPEQS VVADRDRAWALYNRRIHHRQGLQQAIGADRQVPAFPGRPQGQVHQVPQPARPLPNQPI NHPAFLPVPRPLPFAYALPAVQGRHPPYRPPSQLLPARVGFVQATNNNPLANNNNNNS SGNNSNSNNNNNRHHRHHHHHMDIFNPAERRQLDLQLHLQHFGARRFHMPPKDGGVQA VLPAGNVDTQGRAALTTNGINGMPGNVANARPADYPRAERPGGHRARRSSEALRLPER YGIL UREG_00378 MGSADCSLPLSTSPELVLVVPTPEERIECLGINGLAWKGPLTTE QYISRENHLMNQNMTKDGALTCWILTDRNAPPDCRPILSSCETFAKPAFLAYNGKVED ILSHGIGSVFSRPEYRGRGYARRMIIELAKTLETWQMENSLRERSVFSVLYSDIGKNF YAALGWKPFQSSHFSLPPVRRPQVEKDVGLDMALVKDMTRDDVKRYMCSDAILDRYRT ILKEASAKDTKAKVAFSPDFDNMTWHWAREEFYSPILLPEKGETKVKGACVPSRNVFL SWNRKFGTDSEPGTLFILRTLFEEPTSAAKRHIIVDAIAAVLRRAQYEAYDWKLSQVE IWNPSPLVEEAVKKLDANAELVHRETSSITSLKWNGKELGLGDEVDWMWNEKYAWC UREG_00379 MATSLPFTHATPQETFRLLELPEELLAYLASEEPPAYGTLLPRL YLKSPPPRPSAGLPSSGSSSNQGADDAFVNICTDKKTYSLRQVHSSNSIFILKPQSLL RRHNEEDVVLGNNASSGVAAISLCKSTLELQKFGDEYSAVPFLLRSLQVYDAMDIEED AMEIDARHLSDIDASIEGRNKAITRLLEDVPLSATECCQAWVDMCGFIDGDKSTGNLI GWRPLAAAKLSVWKKMLEGSVLQGINLDKQFLVRDLWNATIDDTETLDGRTPFPKDLF DAIVRRLVDYSPSEVGLHVFSELKCKVTLESVRGKG UREG_00380 MLACPLFFLSSRIWLSRSLSRQLLRSPSASRCTKPSSFFKAAIN LCPGTFIVGVSKDYYCQPPAHWGTGQGFVTSGLDLQPRDKAASCRAFDTHVGYIGDMN RQYAFTNGYTAYPHGESGTFSISPHRFQPRSQPALRRRRQLLHRLFIISGLSICILTL LFPSWRAAIFGTITLGLLSANDDFQLETVRYYDLSNAQGTARGWEREERILLCTPLRD AAPHLPMFFSHLRNFTYPHHLIDLAFLVSDSKDETSPLLTKMLKELQDDPDPKIPYGE VSVIEKDFGQKVNQDVESRHGFAAQASRRKLMAQARNWLLSATLRPTHSWVYWRDADV ETAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGEQPYDLNSWQESETALALADTLDED AVIVEGYAEYATWRPHLAYLRDPYGDPDMEMEIDGVGGVSILAKARVFRSGVHFPAFS FEKHAETEAFGKMAKRMKFSVVGLPHYTIWHLYEPSLDDIRHMEEMEKERLQREAEEK EKAERANRIKEEFKDTKAQWEKDGAAIQDMMQKDQKQKSQPQQAQAGQGNQGQLEKTP DSKKAKDS UREG_00381 MATASAPATSLLSLLYRSYPNVVPSDSTEPDLPSLSPKIFPEAA YTDAEQDEINQWLSQSSDLAQALKKSETEGISKLLDIVNAHLATRTTVLGAKPSVVDI ALYALLGPVVEKWSPEERTGESGYHHIVRHVDLVQNAPLFSLQIPEEEKIKIDVNDVR AAPKFAAPAEGENGGKKKKEKKGTGAGGEKEMVVGRGKNADKEQANKSVVDVAKDKVQ EVVDSVTGAITGTPPRREKKEKKPKQQKAPATPAAPLSPALIDLRVGHILRAINHPNA DSLYVSTIACGDAPGSDNTTQDEATGLTVRTVCSGLNGLVPLEEMQGRKVVTVCNLKP VTMRGIKSAAMVLAASPKDDDSHAGPVELVNPPEGAEAGDRVFFEGWGDGEPEKVLNP KKKIWETFQPGFTTTDSLEVAFDSGAVPQLNKEGEGSTASSTPIVGKLVTKSGGVCTV KSLKGATVR UREG_00382 MHWIQFLLGLSLLCLQASSSPTPPKKTTRSFKIDLVRRSDYLPD GPRALKKAYAKFGIIPTNINYGHFNDFIPLASGLDRDAISKAKQPDETGAVTNTPTAH DVQYLSPVTIGGQQFVMNLDTGSSDTWVFNTQLPESARKGHSSFNPTKSRTFSKMDGS SFNITYGDASFAFGSVGTDTVDIGGATVAKQAIGLPSQVSASFIKDEISDGLVGLGFD KLSTMRPKRQKSFLTNLAADLDEPVFAAQLKKGAPGSYEFGTIDRTKFKGNLTKVPVN SARGFWEFKSSLFKVGNDTQLRQIKLKTPNAIADTGTTLILVDGEMVKAYYEQVKDAK LSTQAGGYIFPCDTTLPALYVSLADTHLAKIPGNLLNFSPVGSDAKTGEELCFGGVQS NMGTGLQIFGDIFFKALFVVFDLRGPCLHVAAHA UREG_00383 MAPSFEEPAVAIAAMTKSAPELVAPEPEHCPGLESEQAGKGDAC AGCPNQAICASAPKGPDPDISTITARLSSIRHKILVLSGKGGVGKSTFTSLLANAFAS NPDSTVGIMDTDICGPSIPKMMDVEAETIHVSNSGWNPVWVSDNLAVMSVQFMLPNRD DAVIWRGPKKNGLIKQFLKDVEWGELDYLIVDTPPGTSDEHLSVNSFLKESGVDGAVL VTTPQEVSLLDVRKEIDFCRKAGIRILGLVENMSGFVCPKCTNESEIFRPTTGGGKRL AKDMGIPFLGSVPLDPRVGMACDYGENFMDRYPESPASMALRQVVRAVSQLVGENPDQ VLPEADAA UREG_00384 MTDPAVSPLGCDGLRERRTQAPKETITPASLDPLMSKMRTDESS TVEPEKTTFGRTPDGTVFTVPHTRDMVSQLLSPSEPKNLSDILVLSILALHLLLLRAL PTSFRIPVFAFIFLSWRAAYNIGIGWLLHMQSNHRTLVLWARKLQLFVDPSTGENRHP LLYRFIKRELETKIPENYSFENAPIEYNTWLVFRRVVDLILMCDFTSYCLFAVACGSR PVDEGFFMMLLRWSTGLSLVLFNLWVKLDAHRVVKDFAWYWGDFFYLIDQDLTFDGVF EMAPHPMYSVGYAGYYGISLMAASYKVLFISILAHAAQFAFLVLVENPHIEKTYNAPP PRKRVVDPDTALHEEPGSRSNSFNSDATPPMSLPGTTQPRSTHALVGSIDFHRVTDLS VLLIHLLFFAFTMVTPSTPIYRFLFVLNAALWRIWYSAGIGYILDRQSARKSWTRHFV KFGEGQDEAWRQWQGIYHLSMTTCYASFIAAAWKMYTLPQDWGYGLAILRHVLGASLI ALQIWTSMSIYESLGEFGWFFGDFFYDESPKLTYSGIYRFLNNPERVLGLAGVWGAVL ITNSRAMIFLALLSHTLSIAFIQLVERPHMQKLYGRSLRRDAGLVKSIKRSLPNSLKQ FQGSVDKILDESIDFVEEVIDTARPKLAAGVNTFVKDTTALFHKYPARITITRLEPDL AGYELKDYALSVEGTELTQFNKSGGETKEKSQSSRGSENLVFEYGAPIKVKWTAPLNH SKKDWVGLYMVTHNSSREITKVSSQGRWVATNEGAFDSSTSEIGLKSSDISEHSQGKN GKSREVASGEMVFSGDKLWWNQGVFEFRYHHNGKHNVMAISRPFEIRIAKFDENEIPL DNHGVVRPAIEAALLPIVQNCLDRDADIAPQNSEEAFGSQVDRDSKYAKRVVFAVQHM FGIEFAPEVVCADGSVRNLAWRICNAKKVLAPYSMSRSSGTTTPVQED UREG_00385 MPSKLQLDVNLWFLYLCLQKSDYKTIDFHAVGEAANINPPAARM RFTRLKKTIENGALNSPAGTLFPGGTEGTARLSSSSSRGKGKAQSSAAKSVKTLEKGK LSSKAKSNIPTSRVGYLHPKIAEPVVKVEIDDDLSCYSMGVGNNMNGIGEGDDEEDIP LAIRRRSMLARKRHAESNFRFQDDKRRKVGSGDENSALAIHTGFPISTGFGGTFNSDM EIVGVGPAGHSDGLDWVVPHYVPQPQNGYQISSDAANQPVLPQFNTASTDLPLRAWQD VINPFNSSQAPTENFQGNNWAQAIVPSQAAPSHISTSSHVEISPSDTISLSSTSSIDS PVIKPTVPKIAPLPQIDTFCTRYPGFQPAADDKWSWRQYQLPHIVITDMDPHSPRNRL SPHSSIAIGKTEKSVNNALFGSASAAFGRMPTMGGAQSHSNKENDVKRYLEVPWVPAE ENPKGQNKTEFSKEPIVIE UREG_00386 MVGLKKFLNAEKIQSRLCSPDSADLGCYSPSRGVHFFKIFTEAH PPKICQPSLLKMENQTFLGIDRQFEDLHDHFRSRPEKRYHYIDPKRCPLRTKHHIDVL EAIFPPQNYPSTPVSPLTLYNEDIAERNITTPPIQVQDPYARVISAIYQEDVADRNII RNGGSLACDASRYHLRVQKTRPQVRETKEGRTRTRSQSPASNRKSFVDNGGGNLRTST SEHGLRKYSSAAIENEASKFDKTKITTESSNGSRPATGTTHANSTSALNTKMNDAYDK LASAGSGGRRAPKSSRLKSGPRAAGEKVPQPQCNLLLFPKPCTFSTRKNVRDLSINME LAAPRKMFVKVGTRSVETSSPKSQRNPSIAEVVNGPASIPNPATHKLNEIINLLKQAC SPRLTEPSTATETLQDAIVREINSHDAFHRINSDGSFDACAEISPVLIVEHSQEQVPR HKAPSTNFSRKGKYETRYFQKTSSKETERSPTTPGRELSIPALMDLERDATYRFERQR RHTYAQPSSTLLNECESDIRKKSLSP UREG_00387 MDPAPQGDAIPATGELSKNAAKKAAKQKKQAAEKAEKAANKGIG KSESKTSTAKAPKKKIEGAALIGIDVAKEDDFAAWYQQPHSFFIWEEIQAWFNDKIKK MGVKNCSFPLFVSEDVLKKEKDHIEGFAAEVAWVTHAGNNQLEKKIAIRPTSETVMYP YYAKWIRSHRDLPLKLNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAHLTEAAAREEV LQILQHYAHVYEQLLAVPVIQGQKTDKEKFAGGLYTTTVEGYIPATGRGIQGGTSHGL GQNFSKMFNITVEDPTSKPDEKKPPLYVWQNSWGLSTRTLGVMVMVHSDNRGLVLPPR VADIQTVIVPVGLTAKTPDDVRSSINAEIEHLRSVLEAAGVRAEVDKREGYSPGWKFN DWELKGIPLRLEFGPGESAGGFVTTSRRDIPGKEGKSSIPISELATAVPALLETIQAD LYARADAEFRAHIKHITRWEDFCPALNDKNLCMIPHCLTEQCEDEIKEMSARKAEEET GEAIDAKMPSMGAKSLCIPFEQPAGIEKGVTKCTNPKCSKMAEQWCLFGRKFPFVLTQ LLQPWFQQLIEPCCSSPGSY UREG_00388 MMVDDIGDVTVTNDGATILSLLDVEHPAGKILVDLAHQQDKEVG DGTTSVVLIAAELLRRANELMKNRIHPTTIITGYKLALREAVKYMNENISTKVENLGR DSLINIAKTSMSSKIIGSDADFFANMVVDAILSVKTTTPRNEIKYPVKAVNVLKAHGK SATESILVNGYALNCTVASQAMPTRITDARIACLDMNLQKERMKLGVHITVDDPTQLE KIRERESGIVLERVEMILKAGANVILTTKGIDDLCLKMFVERGAMAVRRCKKEDLRRI AKATGATLLSTLSDLNGDEKFEPSSLGRADEVVQERISDDECILIKGTKSHTSASIIL RGSNDFQLDEMERSVHDSLCAVKRALESGSIVPGGGAVETALHIYLEYFALTVNSREQ QAIGEFAQSLLIIPKTLCVNAAKDSIELISQLRRRHAISQSRDEGKATEEEKALAKRK AYQNYGLDLMKGKVADTLKAGVLEPSMSKVKQLKSAVEACIAIMRIDTLIKLDPEQKA EDDGHGH UREG_00389 MDMSNALREKQASRLNEYPDPLPSSPPAVSVSLDALPSSPPTLK PKKPPPVTPRSFKRFFTPRSSLNGSKTRSSIRTSRQSLKELLTPPSTLKRNGPAFPNI LNDDKIIAQQLQDAPRTPNKKRKLSFCTSSPPLESSPLRRVRVPPTIYTDPIDRQENA GQSTLSCNTILEEPDIGERIPSPIRLRRSNALQAASPNFLRNISTGRSSRITLRSPPA STAWQDETANFYSRPEDLHRCWQDDHPTLPFCTAACHTNSLVAVGDEEGGIRIIDTAK EDKLGFSQSYLTFQPHMNSIMDLEFSPDDKLLATGSGDQSSHIIDMPTQTAVYCLSKH SSSVKRVQFQPGSNGNVVATCSRDGTVNIWDLRYKAFDRPSLQLRCSLASDGDDTTRL STAKMKYAQVSNSIRGAHSDRARSKGQSESQPYRDDISVTSLSFLHPGREHLFVSSCE SNACIRLWDMRTSYSLRRKMSTPLAMTQQPESHSRYRQFGLTSMVFNGDGNRLYTLCR DGTVYAYSTPHLILGGSNELSLSNPPHRRFPTEEAKFGLGPLYGFRHPRLLVATFFVK LALRKAQNDNTELLAVGSSDNCAIIFPTNEGYFNSSSPAPESSSSTDEMPQPPVPKGF TSRRIGLHRTNSGTSLSGRLEHNIPIYQHGTPLVEGHQKEVSAVSWTNNGELVTVSDD LHARCWREGPTARNLRVGGETEGRRWNCGWAEVNDPEYDEEEC UREG_00390 MGSVKRKGNAPEDSSSRHSKKRVKVASQVPDKKDVKRKSDSTID GTAGDSRVKKAKPQPESTSKPATLSVLRDEAPAFPRGGNNALTPLERKQIQIQATRDV LFEQKGASNGELPDADSEIEEQADEEKEATLKPSRKKQKDKKNKKSVEGTAKSQGPKI ESLSFKQITPGSKLLGQVTNIGTHDISLALPNNLTGYIPWTAVSKLLKEKVEKLLKDA DKEDDEESDDDDFDLKSYVRLGQYFRASVSSTTANNEKGGRSKKRIELSIDPREANSG LSRSDMIIDSTVQASVISVEDYGLIMDVGLEDGETRGFMSSKELPAGTDFSQVKEGAV FLCVVTGHNTAGNVIKLSANLEKAASGKKSHYISSAPTINTFLPGTAAEILVTEVTPN GMAGKIMGMLDTMVDSVHSGSTDDKRDLTKKYRLGTKVKGRIIYRVSSDEKPKLGFSI LDHVLKFSLTTVDAAQDSKRRPAISAIIPEVKVTKVDPALGLYVQLGTTEHLGFVHIS RVKDGKISSLSPTEGSFKVGSSHEGRVVGFNAMDNLFLLSLEKNIIDQPFLRLEDVTV GAIVKGKIEKLLIGPDGINGLIVSLADGISGLIPGMHMADTKLQHPEKKFREGLEVTA RILSVNLEKRQLRLTLKKSLLHSESTIWKDYKDISPGNQSPGTLVSIQNNGAVVQFYG AVRGFLPVSEMSEAYIKDPSQHFTVGQVVSVNVLSVDSEKGRLVVSCKDPSTVTEAYR GALESVRPGQLVSGVVFEKSSDDLLLKLEDGGLIARLSAEQLSDSSPSKAASNFARIR VGAKASWPFNTQHTESSPPNPGKLPAKFEELQLNSSVTGLVKNITADGIFVEFLEGLT GFLPKRLVDDEHSNRTDFGFMRSQTISCSVSSIEQDTQRFILTMKPVEIKDEGKSHRQ GTTRSAGLSVANPVDEDIKSFDDLEFGKCTKAKITSVKDTQLNVLLADNVQGRIDVSE IFDNWEDIKDRKQPLRPFKAKQIVPVKVLGIHDARTHKFLPISHRSGKTPMFELSTKP STLASPEYEPLTIEKVKVGSSLLGFINNFGDDCLWLNISPNVRGKLRIMDISDDLALA GDIKKTFPIGSALKVTVTAVDVDKNRLDLTARHGESSKTLTISDLSKGMILLGKVTKV TERQALVQLNDSLVGAIGLIDMADDYSKINPAKFHKNEVLRVCVVDVDVPNKKVSLSV RPSKVLSSSLPVEDPEITSVDKLKVGDIVRGFVRKVADVGLFVMLGHNVTAYVRVSDL SDAYLKEWQDEFQVDQIVRGRITLVDTEAGKVQMTLKQSALDPNYKPPLQLSDLKQGQ IVTGKVRKVEEFGAFVTIDGTANLSGLCHRSEMAEQKVADARKLYEQGDLVKAKILKV DTEKAQISLGLKASYFNEDSEADSDMGEAASDDESSDDDFGGVNLETVLSDDSSDDGE DIIMGGVNLPQISIPIANANDDDVQMADDDDDDDEAGGLVTGGFDWTGNARKAHQTSN NAGSDSDEQAVSKKKKRRKAEIQVDRTGDLDVNGPQTVADYERLLLGEPDSSLLWLKY MAFHLELGEVDKAREIAERALRSINISQDTEKLNVWVAMLNLENTFGTDDRLDEVFKR ACQYNDAQEIHERMASIFIQSDKPEIDQKADQIFQAALKKKFSQSPNLFLNYANFLFD TMAAPDRGRALLPRALQALPAHTHIDLTSKFGQLEFRSPNGDIERGRTVFEGLLSSFP KRVDLWNILLDLEIKVGDAEQVRRLFERVLGLGHGVNADGSKSGTKKLKDKQAKFFFK KWLAFEESVSGGNEKMVDEVKARAAEYVKSSKGES UREG_00391 MPHKHKRRRKDNDEQYDLPPSKIAKALPTRSDNKDKKGLQPENG KRKKKPHHGDDFGDDTPREFARMMRRFQQSTKNGDTGSLDNASSAHEKNTKKRKRQGE PATEPRKQNKPPQSQNDGVSSKGDGASNIPKILPGERLSEYAVRVDQALPLSGVTRKA GKTGTSKMDAEIRSLREHRQTKHEKRLLRLQSQWREEEARIQEKEEAEREEKEAENEE VEETWRQWETEAGKGKKKKKGGKKKKKKGVSAADEFGDSGGSDSEGDPWAKLNKKKRV VQSSNPFDVVQAPPEKLTKVREIFKVHGVGGAKVDVANVPAAAGSLRRREELASHRQS IVEEYRRIMAEKRAQ UREG_00392 MPRFADSLNSLQPQSEPLLSDTDLNQSTWQGNGGRNDILHQGSL RDPSNTRRMMSFGRPLARFMVWLRSIGRGSDRKGDIEQGEFLTRRQTLGTLISLLFGI FVSFFPSYIDETVAIWGKPGGPGEDLAHWATDITADIRPVACHSHNDYWRRVPLYSAI QAGCIGVEADVWLFNHDLYVGHTKSSLTINRTLTSLYIDPLLSLLEKQNPATQFQPTR HNPPNGIFDTKPDQTLALLVDFKTNGSDIWPYLTSQLTPLRERGYLTHFNGTAVIKRP ITVVATGNAPFDLVVGNSSYRDIFFDAPLAELSDAAPSSAKDAAVSIPYDFTNSYYAS VSFRKSIGFPWRFQLSDTQRNLVKSQIKAAHDRGLKVRYWNTPSWPRSLRNLIWTDLI REGVDILNVDDLKGATKTDWSRSLRWNV UREG_00393 MPSNIINSPFSTSIPPGHGEVVLSILPPGIPSISILSYRYPLKL ISRIPACRLDSKYPASATRPVHLYLLSYGGGFLPGDHIEVSIKLQPRSRLVVTTPQGS TKIYKTESQAERDKRTVPGSKLDRSQQIINVELSAESGLCYLPDPAVPFEKSRYEQIQ RFTLLEGEDLSRTTAPSAKDGEKDKSCHLASLCVLDWVTEGRSARGENWAFDLWEGRN EVWVRDCKTGKTRLLVRDTVILSDETSASNENDTPNGDIRQHKRQAQFSATPLPISSR TAPHGVLGTLILYGPLFDSLSACFMEEFSSMPRIGNRYGPSSQPAHETGTSKTSNVTW TAARVRSRFVIVKFGAPDFESAKCWLGGILRKEGSVQKEFGEEALLYL UREG_00394 MAEDTRRRQSRRMRRKPEFFSSQTFSSATTKRKRTSSRRDGEDD DASDSGDAGDDVNDEEVEDAEEEEDDESEGEPDEEELRERRRSTKKAAKRKQPKESNK GPSRAKRATKKPRIANGIETNLALRPLVNGEGRKPKKPRKPRVRPSGFAGEEGLYAEV FARGHTTDAVAAEWLTKYEKHNIHAMRELVNFILRCSGTDLKVDDNDIEDVDNIASRL DDLQEEYQSQSITEYPLISRSKKFRGFQSVLTRFFESLIKTIHSASVLYNDAALLENI QAWITSMSSAPIRPFRHTATIISLTIVTTLCYVAKEVSTTLSNTRKQLETEKKKKTVN KGRVGALQSKVQENEQKLEVIDNVLHDSFDTVFVHRYRDVDPKIRAECMSALGLWMVT YKQLFFEGQYLRYLGWVLSDTVPHTRSIVVQQLHRLFQNKDNIPGLRAFTERFRPRIV EMAVRDAESSVRAAAVELSDLIRDAGLLEPDDIDGVGRLVLDSETRVRKAAGKFFVAN IQDVYDSQIEGLEEELSESFVDDDEDDDFKIPRRSWIKYKCLVDMLQAYDEQQSEMTE NRELTTKTDLFGNQVESRFALATESIYPHFQDLNRWESLAGYLLYDHSQIPESAGEDD DAAEAVKQLYKLDEGQEVILLEVLSAAVKLHIQEISKSDTDKKGRKTKLLMERMEAKQ EAIAHNLSQIIPQLLNKFGAAPEAASAVLRLEHLVNLDLIQDLQKDAASYAEILNNIN KQFLTHSDQNVLAEATVAFLHARTSEELKEAMENKVQELWDDTLDALCTVVANKRVQE TSTLSAGVLSSLKNNVARISNLASIADCTSTLETSAQNSKKRNSETQPAVDILLDVAK RGLREASFDEEVDSLERELIFNTFRTLLVYFMWKVQALDSGLSNGKASFNERYFENLS ARRESFLSTLTAIMQTRKGVDNTRIAAATTLLDLQTVFGTLRHTVTNKAQDGDNETLM QVEELVQDISPAASTLISRIHDAVLKAYAKKSHHTIDITTTDEDLPESQSDLESSSDE EDDEMDDDEDDVQSSARRMRAKLLAEQRLCEFTGKIVLAIIGHVLDSSGSNAGKLKAK LLRHKSRLGHNYKAVLAYLEEDKAPTAARGVLKSSVKPQRPNGTTANISNGKFKSTER VVDDEEEEEEEEIRPAEDDEEDDLRSRGPMENDEDHGEREGAESPLTPIEDDIMGD UREG_00395 MDEAGPSLPPAPGNRQEPFEDETFSDAIQGYLLPNDDKEMQRLE LVDQMIRFAMGGLHFAPVGPNPQKVLDIGTGSGSVIGNDLSPTQPASLSIPPNVRFIV DDVEEEWEYDDSPFDFIHSRYMVFAIKDMKHLIQQSFNALRPGGWIEVQDFDSKINSP DDTIKGTCLEHWDKSTVDAFANMGFWTRLEPSFAELLQDAGFINIQMRKFPIPLGNWG PDENNAVLEAASMAVLTRSGWTEQEVYAMCAGARNDTRNHDIHAKMDFYVFTAQKPLA STDEPDNP UREG_00396 MLNTTNTALILVAAGALHFGYRYVRSYLEIERIRKMENAEEPPS DPDEGLLGIAIYRRMAKDQGEHVFLEEQTKLYERLGDTYKANLFGRPFIDTRDPENIK AILSTQFEQFSLGESRLDAFHPMLGDGIFTHVYGGGPKGEPWRHSRAMLRPQFAKQQI QDLENLEGFVQTLISRIPGNETCDLQELFFRLSMDTEICMLRSLLGDLYFLQKDGREF KQISAFVKEYIDRFTYKALQLHADGKPTSYDANGKYIFLEEISKSIKDPVRLRSELLN ILLAGRDTTASLLAICFHQLARHKDVWNQLRNEIMENIGHRHPTYEDIKSLKYLKYVL NETLRLFPVVPWNGREAVVTTTLPRGGGPDGKSKIVVKKGTFVFYSPWSLHRSKFYGE DANEFKPSRWETLRPAWNYIPFNGGPRICLGQQYALTEASYVVIRLLQAFKDIDNRDP IEPFIEHTTLTLSSHTGTKVALTPA UREG_00397 MHPQCDSFVHNTPLHPRSSHVTADATPDTRRDDVELQPLASART YSRDHHRSLSAQISQRDRANFSLSDFDLSDEDFDSGSGSGESSTHRLPMTRKHNSIKR RSSRPSLKLVAGENGHAKSGMDSSIGVRRKDVSLDSSSSHASRVMGRSNFSLDDEPPP TPQTPGLTSTSFADLPVHDRRNFLLLVLLYFLQGIPMGLAMGSVPFLLKPFLSYGQIG IFSLASYPYSLKLLWSPIVDAVWSPQFGRRKSWITPIQTISGLAMLYLGSKVESMMKA AEAQGTDGVWNFTGWWFLLVLLCATQDIAVDGWAISLLSPQNIAYASTAQTVGLTAGN FLSYTVFLAFNSPDFANRWFRAVPKAEGLMTLGGYLTFWGWAYLLITLGLVLLKKEDK TTERDGIMDVYRSMWGVLKLKNIQTIIIIHLIAKIGFQANEAVTNLKLIDKGFGQDNM ALVVLIDFPFEIGLGYFAGKWSTEYTPMRLWCWSFVGRLSAAVLAQIVVMIFPKAPVP GWYIFVVVLEHVFSTSMNTIMFVAVSAFHARISDPTIGGTYMTLLATVSNLGGTFPKF FVLKLVDMFAKAICVPPTDPTNFKSPVTSPFSCALETDKHRCIAGGGTCHVERDGFYI TNVICVVIGAVTFWMYIKGSVLKLQKLPLRAWRVSPGSGRK UREG_00398 MEQVSASEADASIVLDEEISKIRSEIQSLTKHRRVLSASLLSSN AVQNALRQQATSGASADFAPVALNTENHAQSNHHRAVFSTTSFPFKDPSPHTGSPNLL GIRIDVCTRDGRYSEPYYVLLKSAGNDRTLLRVHKHTIPAFIRLNQLEAKYLAGPDAG AEDSGDLKAGKPENQDLKRFVVALRRELAAWHLRKDAVAYLQEELGVGQTRGEEIDTL SLKHGISSLCATSLEARYIRFEWRDGRVGRIHLSNQGLVERAVITSDSGRDTKTENLF LRGDRRIETVVQTLLDARTVGK UREG_00399 MKFSGYLCVLFGAVFVAAAPVTQADNDTPTPGKDREFCKAKCKK DHAEMVEYCKTLDAGQKSCFDLAKLAGSPEGQEECIYYCMRLG UREG_00400 MSWSWKERMSGSRHSIASLSTCPTAYSISESEPSRSIADGRPSR HSLNLEGREKGFKFGIKHAISRIPSLRRRKGSTLSSPLSITRPEYSLIVDNGVDGMRL GNSLDISFADTLASKSTLAPIDEGSLRRSLESPDLKSMRAVHEAQVQSYLVFRKAVLK PILRNHSRVFEERKRGHIKAVDALVAQNSQMAIRIEENDLVTELALMEEFKREKQTLR SRIRHMEAYFNTPRANDTDPLQPPREYGKEYRDRLRQKLHELATIDSLHQSKIKVLRD IQAKRYEKALEKWEQAVHRLQASNEHDLRNLESRCREEKDAAIVWLEAKRARLKARWT FEERIIRRKLEIDTRESFGPLPELSFGDIRDDIPQSPGDSGNKGDD UREG_00401 MAVRPSPGAIPPRSSNEQRESPSSRLDTMNSPTPIRTQAPHSRA PSSTVDPSQAAEVRKALTSPRRESIDKARVAADYEPRTLGSPLASPPLNEESPGPLSP STSESDSDSDGPNLPFKRFGKFSMLRPRNTELDEDEEDEESPAFLPVDQASQRATHTT EHDPGATLREELGGGHSRRVALQKEVTTTSISTTSSGMGSASSASDGQQRRHHLGALS PRRAAELRLSPHNQAKRSDGTPSMGSSFSDLDDASVTQSALEEALLSNMQNGGVASRM STLSQALRSRYL UREG_00402 MAAKTAPADNLSPDEMESHYFKTNPQPVFLQTHTSVARTFIDRH VEANRRVVLVTSGGTTVPLETQTVRFIDNFSAGTRGATSAEYFLQEGYAVIFLHRQFS LLPYSRHYSHSTNCFLDFMDEAPSQPASSSLSSTIGGQNSEHGPIVVRPEYQDEMRKV LRQYRYAKENHLLLLLPFTTVTDYLYELRTLANLMKPLGANALFYLAAAVSDFFIPRD RMAEHKIQSNEIPAPEQQSVTAAHTAISPDSIYTGFNDPNGPQKQQKKLIVDLDPVPK FLHRLVNGWAPAGSMIVSFKLETDPNLLILKSEQAIQRYSHHLVIGNLLTNRKWEVVF VSRKPDGGMQERWIRIPRPRGSVSGALPGMCAGLEAGLSASAAAAAAAARAEEHSRKE VEIESVIIPELKKMHTAMIEKAKSAHADKA UREG_00403 MAPGRLLTISRFIPLCLAFTGILCLAAPTRSEVEALVIDTFDTP GSNNVGGWAGTDEGMISQYGPGYLSLSPTDPDMNFHTKVAPECLDMTAYMDMYLHIGF SGTDKFTISLTQHNEDCDEMRSPYPETWDSVEAARYAHGEDIYIPLSHFNIDFSRVNS VAFNGFYTNESITLYKIEITPDVPKDIDIPKKRPSGTLALKCKRPNSFAFGIDDGNPV FAREVMQILKEEDIKVTFFTVGSGLLDRTTNFTAMYHDMLYHGHQVALHSFSHPRMEG LANIEDIDFEIVGNIKAMKRQLGIESRYFRPPFGVVGARTRERLAEFVKDPYIINWSV DIEDWLWAHSPTPEKQYEAFKRDLEKGGDLAVMHFLHRSTVDYFRDVFQLVKASGKRI MRVDQCMEDPNAPPLDDE UREG_00404 MRDLADLIWKWRCKVIGNKEDFKHPAAGSPSEDLDHGTDAVIKT LYEGKGSVDNYYNWVDYPPRQLSKSAAKAQDRVAIKVYKIKDKEKPVIHGHSVLKFHM VDIQSTVLISALKCILEKEDVYLEPTETATFKEPFRALYFRSDEIADLSNVTKDATTL KTHLELLLRVMGHMFGDTKAHVKRLQANGLVAFRLAWTYFPKDCIVYSWESNCEFLGK VVSADYQMVATRLCLVVTCKLMEFNGEQYIWEEKTLVIPQFVGNTRITDMPCYPLQFH ENPERIKSAMMARARKALDFQGLTYCTYTGIALYQMKEKHNVDGRILVDTIGYNKFCL AKGNRESQDPEVQKNKVLGDVDVPEKSSPSSQPNHLPQAAQEANKKRVLETSENLLFM SPFVKGFALKNKEWRKSVERYLHPRSLTDRSSIGEGLTILLSGPPGTGKTLTAEAVAD RTRRPLFYLQAEDLGIAANELGTNLKKAFEMATGWGAVVLLDEADVFMAQRNPNDIVR NELVSIFLRELEYYRGIIFLTTNLFDTIDSAFRSRIQLHLVFNPLSPTARLAVWRKFL QRLPPMAPRPGDEGIRSAMKDLDEEHLSELAMWHLNGREIKNAVNMVKAWCDIKGYDM TLSRIESAIKATAPNCLKRDHTAPVDLYD UREG_00405 MATLTSGGNSAFKNFHNQFAHVQDPNERRRLALAEIDKAPFGWY HIRAVVVAGIGFFTDAYDIFAINLASAMLGIVFWLDAKEKPGKIPSSADTAIKVATSG GTVIGQVGFGWLADVVGRKKMYGLELMIIIFATLAQALSSDSPGVSIVGLLIFWRVIM GIGIGGDYPLSSIITSEFATTKWRGAMMGAVFAMQGIGQFAAALVALILAAGFKESLK TAATEAECSGVCQVAVDKMWRVVIGFGAVPGCIALYYRLTIPETPRYTFDVQRDDIKA AEDTKAYMQGQPGGHPDEIQRIATLQQDNTELEVPKASWSDCWAHYKQWKHGKVLLGT AASWFFLDVAFYGLGLNNSIVLSAIGYTGGDNVYEILFNSAVGNLILVCAGAIPGYWV TVATVDTIGRKPIQIMGFTMLTILFIIIGFAYDKLLQSHNGLLALYVLAQFFFNFGPN ATTFIVPGECFPTRYRSTSHGLSAASGKVGAIIAQCVFGPLVSKGAKPGSSQKPWLKH VMQIFALFMLCGLFTSFLIPETKRKTLEELAGEVPGTPNYDPVMAGHTVKGKAGGKET SGTGSPEIQPNDVHTTIV UREG_00406 MSQSASQPDLPQLITYPAVSEDDKISALRLIADSVAQQRQTAAK SLISHPLSVASLVLLISLAGKYQYNGKSLGDLAFVGTTGAGCIMILLVLVQWMTSEYL EEAARVGTWKWLQSESKAPSEDGFLVAKYGDEIIGTILLRYTHLPAHSSKSENAQKRP VLGS UREG_00407 MASLDIDSAATAIKEVSEAFKLNGNMNAEAAALAREKGWGAPQS YNYDAYNTKPQAGVGPNGDYDVSVWASNGAKYEWKDEYGDVGPRNEELEQMLFRDEYI NRAGELFRTLREIKVTAETTHQPEPIKSFDDAGLHPVVLENVKHCGYEVPTPVQAYAI PAVLTGYDLIAVAQTGSGKTAAFLIPVISKLMGKAKKLAAPRPDLTNGFNESVDAVRA EPLVLIVAPTRELATQIFDEARRLCYRSMLRPCVIYGGAPTREQRIDLHKGCDILIGT PGRILDFMAKPHLLSLNRVKYTIIDEADEMLQSDWEREFTQIMSGGDVNEDADHRYMM FSATFNKACRQLARRFLSTDHVRIRVGRAGSSHLNVTQQVIFTEDDKKRKALYDLILS MPPSRTLIFVNSKPQADLIDDFLFNNGLPSTSIHSDRTQREREDAIRAFRTGVCPIMV TTGVSARGLDIRHVMHVINFDLPSVDHGGIDEYIHRIGMPSFQYLKELWRTARIGNQG LATSFYNDDRNSAIAPDLVKVLLECKQAVPDFLEPYAPGNGELEWNDDTDGEGDDEEV PGDAAWGADAGGTAGWGENAAPAAEPEPEAAGWNAGDSGAAW UREG_00408 MAFRPEGVAGSFRIVGRSGVPPMIAVLLPNGQVAFADKVENYTE LTLDNGRYAYSADGGTFLSDGQVLSVGGNGPLKWMDPTVDDGFKGIRYLKRQFDDDRF DGGSWVEPGHLLSTSRWYPSVQTLADGTVFVVSGSLNGDDPSIIQNNNPTYELLDKYG LPYGVSHELPILERNQPYYMYPFLHLLNDGTLFIFVSRSAEIFDVDNGITVKSLPDLP GDYRTYPNTGGSVLLPLHSSNKWEPKIMICGGGAFQDLRSPSDPTCGFIRPLSKHARW EIEAMPGGRIMGEGILLPDGTVLWINGCSTGAQGYGVAESPIHEPWIYRPHGPRRSRW AVGGTSKVPRMYHSVALLLLDGTVLVAGSNPVEQPVLVANPTDPRYAFPTEFRVEIYT PHYLMNGKANKRPRNVLISTNYLEADGSRFRISFHSTQRARKVKVVLYHGGFVTHSVH MGHRMIILDHQGWKPRRRRQKLSVTMPPNNNIAPPGPYVIYVVVDGIPSEGQFVMVE UREG_00409 MSLSTSSAANPDASRSKTHKFQEDHAAVQRLQEAILHPGPVKIN VKGAFIVDEQPPEQNGVPVDGSDGIHYEHKDIRLPHHTDVVSHVAVDIGGSLAKLVYF SPELGSSDGGRLNFLNFETDRIDLCIEFLKRLKENHRKLNGSAPGPLCIMATGGGAFK YYDHLKEELGVDIIREDEMECLIIGMIYYRISCERSLTRDGAGLDFFITEIPNEVFTY SETSPMEFAEARTDVYPYLLVNIGSGVSMVKVSGPRRFERVGGTSLGGGTFWGLMSLL TGARTFDEMLAMAEIGDNSGVDMLVGDIYGTDYSKIGLKSSTIASTFGKVFKMQRLAE RSAKDHESLAQSDTESERDVSQFRREDISRSLLFAISNNIGQIAYLQSEKHQIKHIYF GGSFIRGHRQTMNTLSYAIRFWSKGEKQAYFLRHEGYLGAVGAFLKRQPQDWGRRRSI ENATATRFATYRDELRNAARTEVESPST UREG_00410 MLDRFIMKDSERLPQEYGDSTEANRVKNGVTTLLKCAGIHAVLP YPKVSQPHFDFAFPGRPVVTRWTRHADRI UREG_00411 MRIAATTPQALELLHNIAATAVSWSSGRLDVFGLGTNNALYHKW YQRQWGPSQNDWEHLGGTFSSQPTAVSWGDNRIDVFGLGTDNQMYHKYWNGSAWGPST TGYEALGGVFSSRPSAISWAENRLDIFGLGANNQMLHKWWDGSRWGPSVSGWENLGGV FSSPPSAVSWGPNRIDIFGLGTDNQMFHKWWDGSRWGPSVTGWEALGGTFNSQPVAVA WSANRLDIFGLGTDNALYHKWWDGSRWGPSASGWENLGGVLTSPPAVVSRTAGTLDIF GIGTDNQMYHKSWNGQRWSGWDALGGTFNSAPAAVSWSRGRLDIFGLGTNNGMYHKWW NGRWGPSATGWESLGGTFTSTLDPGPN UREG_00412 MASPPPTPNNTSRPQSSGAPTAPIPPPVPVETHPNRAGLKPRPP LRPPPDPNRLAPEDAYFAHSPPRLRPLNVPNNHPTTNPDLLNRVIATPAAVAALRPPP AVPGTEPKVVRELRRDRGRSRRRKRQWKKLLWVKQSFPDNYTDTETFLDHLQRNPRLR PYDFWPLVADFTVIVQHVCSVIIFLCCFVAIFQERVSPVSVVTWATLCTIFCWGLWNY WEGKVQMEAVRFAHAAVSDSVDDGSSSISSTGEDSKRKSIDHGLGLSLGLSSIGCPLS RQSSMSSAISDNTSATSLHSARSPASPWSPSISNSNGFVKFSDVISQSGLSPILQSLT KSTTSDSIWANVLWLMANQHLLFDYSSGCEENQHISNNTAAGAGAVAAKFPASLSTNA ALMASTVLASRLKSTTHVFSLTLFSIEVFGLFPIFRRHLRAISWRGHVILTMFLVISA SAGVGVTLKGGYKGPILGIFIGAPSTALAMGGCSWWLIRLQKYKNVVAGPWDQAKPIL RRQWD UREG_00413 MRVSVLVAASFALVAAARPMGGEAPEGKTVTVTVVPPQCTAPGA PVQPGKPSEGPPQPPGAGGGIPPEEPTRPAPPVPPVQPTHPGSPVPPVQPTHPGTPVP PVQPTHPGTPVPPVQPTRSEVPPTPGPGPTTRTGIIPTGTGVRPTGTGIGTGTGTGIR PTGENSKTFHFPALSTSVN UREG_00414 MGLTNILAAFIAVSSLFVQSLAFNPNSRTNLVVYWGQGYAQERL SYFCQKTNFDIIVLGFINVFPDQGPGGWPGSNFGNQCADENYYTPDGTKTELLSGCRQ IVEDIPICKALGKTILLSLGGEARVPGAYKIKNARSALDFADWLWHAFGPRKLDWTGP RPFGDNVVDGFDFDIETGGSFGYSIMVKRLRQRFLEDPLNRYYISAAPQCILPDAQLS DAIANSAFDFVFVQFYNNPSCSVKKWLADPKSVTYTVADWAKSILRSGNPSAKLFIGL PGSEDSAKGYYIPPVGAYKLINTFMKQYPNTIGGVMIWEATTSENNQISGKSYADIMK ELLLVCDPSPPTSTITTTTATTSSMTTSSISTTSATSSEVTTSSTMSTTKGSSTITTE TTSSTESKTQDTTTTVTSASSSATQSTKTTETTTTQTSESKTDSTESASTGTVSTGSA SATIPTGSVSTGTTSATLPSETLSNTVSDSATVPTGSVSTDTTSNGSATQTLSNTASN SATASIETLPTQSQSASASDSSTIVPTVPSDSATVPTGSASTDTTSSEMPTQTLTNTP SGSATVSTDTATNSVPSQTLSNTPSTTVPTGSASTDTTTNGLPSQTLSNTPSDSATVP TGSASTDTTSNGVPSQTLSNTPSSSVTVPTGSASTDTTSNNLPSQTLSNTPSATVPTG SASTDTATNGLPTQTLSNSESSGSLTVPTGMPSGSTTGPSESVPTGTEPTESLPSNSA TVPTGSASTDTLSNTRTPSAPPSGSSTVMTGTPSDSATASPPGPTVEPSQSTGITNTG TQPTQSGSIPTDSSTEVGNPSTLTTAPNPTITTGPSSSKTEDRTTVTTVITTSYVTIC PTGFTTVTITYTTTYCPETVSPAPTQGPSPGSPAPPPEDGWTTIVTVCPQCAPTPTTV TLTVPTRSAFLPAPTEALPVVTVLPVPEPPIKNVQPGDDEEGEAPGVTATPTTVTKTL AYNNPGQPSGPAPVESGVEAQPTGGSGGPVEFEGGAMSVRGFDKMLVTIGVAVLGLYL GL UREG_00415 MMKLVRLYCSLILLLPTLLAAPLPQKAASPLATRWNQHEDEAPA HVSRSRSTLLAGSQLENRNVVSKTPTVLTRAAKSRPGDRWPYMFSTLTPDMVKGSDWA PETRFVDKNSISKGEIQPGKSEPRDSRMRIVTSRYMTLFSRLNGQSTPHQPCSPSENC ATFPEDSEDYFDSDEQSAYDSMLVWISGHPIRMWGVILAFMLGLFILSVVMVETLTAL SDFVLSRWKGRRASGIRLEGGEKKITAL UREG_00416 MSIFEDKSKDVIGSDTNVSSELGGEAKDTDYTLEALGYVPELRR NRSLATLLFQSLAIAAIPYGEGGPLISSIYGGGPRAIFIGWLAILVLDECIALSLSEL ASRYPTSAGPYYWSFQIAKKHKVVLSFVTGWVWLVGNWTITLSVNFGFASLIAATVSL YHPAWEATSWQLLLIFYAICLLAFVIVAFGNRFLPKVDIVCAAWTAITILVILIALSV KAGAGRHSISYALAHYDTSFSGWGGFTFFIGLLPAAYCFSAIGMIASMAEEVPNPAIT VPRAISLCVPVGGVAGLFFILPICFTLPPLEDILAAPGGQALPYIFGVVMDSPGGALG LMVLVLAVVLFCSISITTAASRTTWAFARDDAIPLAGLWSRVNKKLGNPVYALGLVTF VQMLLGLINIGSTSAFTAFVSVGVMALAVSYAMPIGISLFYGRREVMKARWNCGPVIG PAVNVIALLWIAFEVVLFSMPAALPVTAASMTYASVVFVGFLLISVVWYFVYARKSFK GPPESDGI UREG_00417 MAKQYELPFQLDDPSLLHFDSFVNNEWVTASDGSRFEVVDPGTA RPWASCPANSAKDVARAVEAAHAAFEEYKKMNPRQRAMLLLKWDGLIREARSDLAKIL THETGKPIAESLGEIDYALGFTWWFAGEAERIHGGIATPAAPNRRVFTIKQPIGVAAA LVPWNFPIAMVLRKAGAAFAAGCTMVVKPSPETPITTLVLAHLAHKAGYPAGVFNVLT TDLDNTPSLSEALCKHPLVKKVTFTGSTRVGKLIASHCAHGLKKLTLELGGNCPFIVF DDANLDQALGQLMALKWRHAGQACITANRIYVQSGVHDRFVQLLKERTAALVVGHGAD EGTTMGPVTTPRSLDKAASQVEDARSRGGKVILGGKPKTDCGGGYFFEPTIITGMTPE MLISREETFAPVAAVYRFETEEEAVRWANDTSMGLASYAFTKDVDRMWRMLENLEAGM IGMNTGNSSAAESPFGGIKESGYGKESGKDVAVNEYLITKTGTFTLEGQY UREG_00418 MSTETGGRRLVLCFDGTGNRYKGNESDTNVVKIYEMLDRHDKKQ YHYYQPGIGTFSTGTSGSGLTWFGRMRASTSQLVDLAIGKTFEYHVSCGYKFLMKYYE PGDDIYIFGFSRGAYTARFLAEMIERAGLLSQGNEEMVRFVFASFSEVQNARGKKVKT PLELEHEEYLQKFKRTFCRPDVRVHFLGLFDCVNSVAQFEIPFRRQSYRYIAQSPAKH IRHAVSIHERRLKFKPALFAYDEQSLHADIKEVWFAGNHCDVGGGFRYEGNSKHLLSD VSLAWMVDEVNKLEDAPAGKLAFQQSILEGLGHNISFGGIIGKVSPPDKTTRAKKPMK ANFWVLEILPFFTRLELEHGEWNPRYWPPNFGAARDIPRDAAIHPSVERMFKAGLLQE MPRLGGDDAPLLKDPLVVFRVLAPWKWQFKKSGGGA UREG_00419 MAENAKLHIASSPASSQGTGNPDCSKLTDQSTPDDLALPDSKSP ALHLSHPTDHENNLIWTLAAPLWKDALTDSQFLEECAHLTTVPLAANGGMTQWILVDR TLPPDHRPVLASCESIRKRSLLSDAAGNVTETITHGIASVFCNPQYRNRGYASRLLRE VAQILPTWQVEDHKKVVGSVLFSGIGGAFYAALGWNPARSVEIQFSPMVVENPGASDI LADQVGRLCSEDEAMIRRAMAVPAENGKARFMILPDHEHMLWHHRKEEFDCERLVGKK PAVKGAIAGQPGSRVWAIWMHRYCRSPGDEPSPNTLYILRLVIENKQGAPVEELKAVL QAAQTEAARWRLHHIKLWDPSPRVEQLVKQTGIQHEKMTRDVDGVCCLRWYGEGSGRD EALDWVSKEKYAWC UREG_00420 MADVRRLRHLIYNYPIIDNHAHNILSQESATDYSRYPLEAVTSE AQGKALIDDVTKSLSHHRAVNQLAELYGCEPKWEAIKLARQKAIEEDYSGLVKRCLEG THMLLIDDGLVSGDDVKGYSWHDEYTNSTTRRVVRIEAVAKEQIERLAEGIQAQFPKD VARLKESVSESGWFIDRCFDELELRFMDIIHEALDDPIVAGFKSVICYRTGLKDIKAH SREKIVSQFIPHLRTALDGGGARIQGKYLNDHIVTMTLDCIQEKLTQTGVGKPIQFHT GLGDADINLVLSNPAHLQSLVESYSTVEFVLLHSSYPFTREAGYLASMYKNVHLDIGE VFPMVNRDGQLSILRQSLELVPTSKILWSTDGHFHPETFWLANKQFREIMDAVLLEYV AKKDLTIAQAMDAVKGILFDNSNQLYSLDQTAEYHPGDSFQTTIRSPMTPSQNTPTLD RFLEINSHVEFIWAILLDYTATARVRMFPIREFAKIVKGERKVGITLAILNMIQNDTA VPPGPLIAGQLYLKFDLSTLSINAGIASKSATVMTFWETEDGKPQEGCPRTILQTIAN KCETEFEVKLLCGFEIEVVFMEKSNSDSGPTVYKPWLRNHFWSNMTSDTRRALPLIED IVSELAKINVFIEQFHTESGPGQFEFILPPASPLEAVDTLFKARQTIVHLAERQGLRA TLYPRPFAFSAGTAAHVHISINPAAKETSFLAGLLHHLPAILPFTFPQDASYERVKEG IWAGGVWVAWGYQNRETPVRKICPGHWEVKSMDGLANPYLGAAAIIAGGYLGMKSGME LSIQDCRVDTATLSSSQRAELGIYTRMPESLDQALVALEADAQLRDVLGKGWVDRYIG VRRGEQAMLNKMSEEERKTWLIERY UREG_00421 MAQEAGAESPRITHSTSNDKTASNDGDSSTPIENVAPRKVRWKK FLGVDSNRRGSDVDADDNGNRERWTMGILNDKQTEEVPGTILLLASNRNEPLGLRQQP ARVSASSLPSPYPPSRSSSRTPAPQPKRTPDGKIVLEPQPEDSLNDPLNWPQWRRDLA LLSLGFYCMIGGGMTPILAAAFTDVSEEYNVSFPKVALTTGLYMLGLGLGSVVMSPTA ILYGKRPVYIVGATLLIISGVWCALSPNYASLVVARIFQGLAVSPVECLPSATIAEIY FLHERAYRVGIYTLLLLGGKNLIPLVSAAITEGLGWRWIFWMVAITIGFGLVLVYFFV PETFWDRTPRPRSKRPKARRSVSDLVVHSFRGRSSEPVTPNESRFKHNRDETEQKRRK DAHVDFADDVKRSLSRESERPSGSDKPGLDEKDIDGEKAENTLAEGYFTIPPASAPVP SSTHDGSTAQKDVSDLEAARSEPVSRDISVDASGAPLHPSLQQIYTSNLRSRPPVSFV QSLKPWNGRITRDSWVRVMIRPFILFAYPAVLWSSMVYALSVGWLIVLSESVADIYRN KETYNFSALATGLVYISPFVGGILGTVVAGKVSDIIVRWMSHRNGGIYEPEFRLVMSA PIAICTAMGLMGFGWSAQEKDQWIVPTIFFGILSFGCTLGSTTSITFCVDSYRQYAGE ALVTLNFSKNVLHGFVFSLFFVDWLHSDGAKTVFVALGGIHLALMMFSIPMYIYGKRA RMWTVRKRLMEKF UREG_00422 MQSGQATELLKFLLNNVSNHACLHDVPACNELLKFLLNNVSNHA CLHDVPACNELLKFLLNNVSNVYLDQLGMS UREG_00423 MTERRNSMLQVFLCSSDRKCRRPLPRRKPHPSSSASPLGGMSSP PPKFPFKRFTKILGQKTRSAKESFDNLATGSLELWSKARRRIFPRATLSRQFYLQQAR LSASSMNHADISAPSVTSLAGTDATTVATATSFGGLEPALECILHPAREPAERISIVP CEPGKSILKSFQHSIHHGLCAAEEETIANTSLNLLMTFQKSALKSRASTGSLHSRMRR SAMSKDPSSINRTHNSPAGILAMAKNEYRPSNPGCHCLTGCVCRVLERYFLNIIPDHS DSADEAGKEAPKKSRGVSFGHADIYPISPVGDSGDEWSLYADYSWLDEMETAVEDYGD DILDLLETYDSDEDVLNS UREG_00424 MSTNNPPSLPDVSPYTSSDEDHQGLEFNPLEDAGGMSDVMVSDQ LAYKSYRGGFSAAQVAEYLRSPIGRPIMLSFFYGNPFVSAPQLEHIKFTCPVSKNFFD KNISTRQSEIQNDKQDGHDNDSTSQAKELACLAGRCDSELLRSFPNKCVACGKPNALD VAHQPLCFSYCGYTRLANGAKMRGMMTYIAKLVKQLDDEQKIQAAIGVASRSPNPHIH FLSAPVCVRNRECRQKAEWRLTKLAQLAKRPPPVPDETHRESCVFKNKKSDLKNKEES IKSDKWEDADQEFGATIQPVIDTPGRVPLRVAVFCGKPIINTKVTTSRNLLSVMVFTL RWDANELATATGDDGRFYSLLGAFLETRILCAAEFRCCICQDRKLATSLIHRPISFRR NNTTGAGAAETRKLIMQLVQLVGDGCQWTAPETEAVLGSPGVWHVNVFVAPICRRDSV VCEETARIAAKRFVDNQIASVGTPKRAPFKLAWPNLFYDFALSNMYTVQNDGKVPKLA VKKIGLGVMDDPKIKSKAVKEMRRTLERLRVKVESDYNAHLQETETKKQMEDECKRGR SHSILRDEDFVFLFALNSFPNEVSNDENKHNEHEDEGNKRGSDPKSFGDGEEVLLSPE VLNLRDEVGKFVKDRYGEDFLANAMDGEI UREG_00425 MRLRRVPVPLLLLLCSSISLTLADPRANTATSSDEVVAGPISGS PHTNPRVQIRGVEDAPIDGKDGKPHAGPFVETHAERQRKKEQALLDKGKSPTPDLKDP SADHVGPDGKLIPHSNDGVMNDKNRPGPKEGTRGTEGGVSERNKGNQLGIEKKPDPPK EAPPLPHSEQQKITTPQGDQIKKEDEEKKDKLLEKPEDLPESPHSIPPPTPPRGRNSK GLAVEDPVKSLPHDKPNTAHGDDHVNEIIQPFHSFVLSFTMIIFSEIGDKTFLVAALM AMRHPRMVVFSSAFAALITMTVLSAILGHAVPAILPKSYTNVLAAVLFLVFGIKMLFE AKNMSPDENVSSEMKEVEMELEEKEHQQRRLSRRRSSVSPYALEAGRGGVPHKSHFSK HHLPTPESNSPSSSRGNSPSRRSTISNMLAGLNNLVSLLLSPAWVQTFAMTFLGEWGD RSQIATIAMAAGQDYLWITWGAIIGHGVCTAAAVLGGRAIAGKVSIRTVTFGGAIAFL VFGLLSVLEVIY UREG_00426 MVKATEALPHAENLNWRPDGIYQKANLAQLTLDIIYFCNGTTPD SPLDVIDSLFPAPFISLDSREHGLSDEASARKIFEMGLAIRTQRCILTMHEAPKATNS EMILRDIFNASCADTALRGWEMGGLQDEMGDLPDRFHDQVQKRIEKIREALRKDTSIP VDFTTLKTAFPWSEFVVQLAAWVRETTAQINNRLREQEDHITAIAKLRQGLESRMGTE SLRPTPALAASPGSSVHFWREARQASQRTVTPAVRASPETDNQQLPLQPEPPPPLPPA APQRNFISITSIRHLQKLRERTCAAVSPGLPRRSLSQSHERGSVRTAGPAETSNKGDE QTSALVDIDSTPVSSRRTFLNRQANAERVSPIRYTQDGADLEPDMENTRKRRRAEENE SEESAFEDRPGVYNDTPRPSKRRRVDPRATTTQASSAPSPRVPRRSQSVTAEAASAPA LHRQRPEGVRSRENAVRRLLNPTLPGAPRVRLAWTAQESRQLVRLVRKYGPSWVAIKS ADDEEEEPKLANRGQVQLKDRARQMVFDWLRAGQRLPKNFILIGLSAKHIAKLEAMGI DFMQRREESEHEDDYEDE UREG_00427 MLRVPGSYSRGRSPSPGGKHRHRSHSRKHSPSRERSKSRSKTSS SKHHYDSDSMDDRPRAPASRSSTKKRYDLDLSDDDRYKSDYKSDYKNDYKSDRGRRAP RDRHYLSDEESVAGPRQSNTSLRSDRSDRYSHDTGAERSQRSTSRALRPLAKTQYYSD LYSDSEDEGLAYGDIYSDYPVSSGERRSRSRERVRKPKKFYDSDSSDEIQEPAPRTKD PPPKYRPHDSKSSKLGRSHSQKYYSAATNALAAKLQEAKSYFAEKPQNTGSGINEDEW AEIPECERPDFVPPDRRNQGYTASTSMNNQPGAPPPPPAPLPPATSQMPNSNYAYSQP HPPITPNKAGEPVRVLAIPQQFLLRNMFRLSITQI UREG_00428 MSSAPAAPRTPGSSPPPDAIPAISENGNPSHPQANATRRSSRGF LRRAKSTEKLGERKLSGKMSKKLLKEQAKEEQLRRQREAAAISKYAPQLPDISPPPQL NTFGGENVHPGNSATISSRAAYEPSSPQNYPPVPPIPYDILARTESMAHRGRYSYASS AVSTINSPRRVRRRKDPTPYNILVIGAKNSGKTSLVNFLRQALALPPTKRPTRSLEEM DDLPQAPPNGYFTCHYLETEIDSERVGLTLWDSQGLERSIVDLQLREITSFLESKFED SFTEEMKVIRSPGVRDTHIHCAFLILDPARLDANIAAAEKAAEGDPSSAAARVIGGLD EDLDLQVLRTMQGKTTVVPVISKADTVTSAHMLHLKKTVWDSLKKANIDPLEVLTLEE PEDDYSSSLDRFDERDEDELSEDGRRRTPDSETVPDDPNNPVPPPAAPSALEKSLEKQ RKRSSSSSMLSGGPNNEPFIPLSILSPDPHTLDSKDGPIGRKFPWGFADPYNPEHCDF LKLKDTVFGEWRAELREASREVWYERWRTLRLKRNGSLGNGQI UREG_00429 MWAARQWHRAIAGFALFSLGGSTIRGTHAAVPDYVVKYADAGLN ALAPLVWTHSQDPYQPADIAAQVAHSIPQVKYKPVAGAPSPLTLNNLDQLNALGGKDI FLTSSEGIRALPDWFKGVRPNSDGKTEGAISSTIVVTEREDGVVDAFYFYFNASNPEK WHREHNMIRFKNGQPQAIWYSQHAGGRAFAWDAVNKRGLRPVAFSANGSHALYTTEGT HDHTIPGVSLPIGLLTDKCNEGFLWDPTLSTYSFRYDRASQEFSAYDADTPVNWLNFD GQWGDEQLPDDAEGQVILFGQRKYASGPNGPKFKELDRKNVCPSKIGPCFIRRSLNLQ EEEEQELKRVVELL UREG_00430 MDEMDEADEMEERGTARRYNDTPRQETDISIKLPQLAPSRHPLI HQFRSGLNTITVSAPAYGVLPLYYSLLSLIAQNRNAGSVFVPIPLATRGVRQSPAALQ PSKGVRAYDTFQAPLDDRDDVVGFDVHIYHYQNNPEQVEFAKALWERIRREFPELRIY DFFDRPLGPHPVAMFEVNIFTPSQFGAFIPWLVINRGPLSVLIHPNTIDEEEERNHTQ RATWMGERIPLDLRVFKLMRHE UREG_00431 MTRGPVQNGRGSTLTFGLEVEFFAAMKETTFNRFPNTTLSSLLG DRLRPVRLHGPNGTGVGIRVEGEDDECFQNGSIDYSFWNLTTDKTVAPNYPDWFECYE TQPIEIISPPYPVYSPLWEHDIQRIFTSRSGQYNPIPYRELRMYCEQNSTTGLHVHIG NGTTPNSVFPFHTVRNLAMILLVYEPELDKLLDTSPSWNRMLNEPVRCITSVNNPHFQ PPILPRGSPRPVMALHLLNTCPDIRTIIDVLNPRLPSISRSTNPLYFKYNFTPLLDSV DAPILPENHIGDNNVRPKRKPPTVEFRQQPGTLDPDTMVHWIRFLGALVDFSGKIGLQ PLIEFLGLEEWRLDTAPPNKGPGTEGLGNPNYTQHISTYSAASPPSLSAFSLLSGLQP VGSLSRLLTAMETAHISLDPDTTRFWRRKVGTDSPHIPA UREG_00432 MPTSGNAEDASTRPKDDEYVAQLLAKDAREYSLRYSALGVYGTT PKRPTAGAPKPNTRFLKHILRETDTHNTNLKRKEEEEARQKAKRLLRQRLTSRDESVC ERDERGRESKRRRVESPRDEERDRERRLTYRHTDIGQESDEKSSRRRLGESRKSKDRE RKSSVERRKDSRRHRRHEDNDYERHKRGQSSRTSHERSSDKYKSYDREGRHRRPPEHE HRRNRAEGTITTDIKRHTQKRSPSIPSLDSDLDDARSAKSSDSDPLSNLIGPLPPSEN DLHTPPALPRGRGAFRTKSSTIDSHFEKGYDPALDVHLDDEDDPPTKKSSRRPIPGMA TEDDDWDMALEALRDRNAWRKRGAERLREAGFEKNVVERWENNTAFAGLNDMPASDFK WAKSGEGREWDRGKVVDENGHVDIKPAW UREG_00433 MACVTSVRSGVSSSLCPRSVVLLGTNIFGVICVAITLIRKDTAT LTLCLARYSELLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKVEDFLE RRLQTCVYRLGLAKSIHHARVLIKQRHIRVGKQIVNVPSFIVRLDSQKHIDFALTSPF GSGRPGRVRRKKMKAAEKGDEEAEEEEE UREG_00434 MGHSRGLRSGTRYAFARDFKKHGTIALSTYLKTYRVGDIVDIKV NGAVQKGMPFKIYHGKTGVVYNVTKSAVGVIIYKRVGNRYMEKRVNVRIEHVIHSRSR EEFLNRVKENAIKKRKAKEEGIHVHLKRQPVGPRGARTVSTENNFPETITPVPYETTI UREG_00435 MSFPASSDLSRGSSRLRDGGREPRQSVMIQSGETDDVELAPGLE SSTKPEPKPWAHLLAGGIGGMTAAALTSPLDVLKTRLQSDFYQAQLRSLRAAHPLPQS HSILSLSRSAGVHFAETVQILRSIHVHEGWRALFKGLGPNLTGVVPARAINFYVYGNG KRILSDYFGYNPDEAPAGIHLGAAAIAGMATGTATNPIWLIKTRLQLDKSNASNIPGR GRQYKNSFDCIRQTVRHEGIRGLYRGLTASYLGVTESSLQWVMYEQMKRVLARRAARR SADPEHVYGWQDFMEEWGGKLTAAGSAKLIAAAATYPHEVVRTRLRQAPTVPAGGGKV EMKYTGLMQCFRLIFKEEGMAGLYGGLTPHLLRVVPSAAIMFGISQRPIP UREG_00436 MPRRSSKSHGVSNQNRHPETSPSRYGFHRFDLGNQEDMLRCVGS QFTPVSLDGKLADLGVLHLPETNKRNAQLGPAAYGSQHQFLEQTPAPRKTEPGYHGCI DGAPQKSLNPVLLPTMAANHRQNGFENTNSTLQKSPRSDPWALFYASIHTNDEKPREK KSKL UREG_00437 MTSYLQNAALRTNPLRARLFRSKYQRAKLLHGKRLHDKLRRSNL LRPRPIPPAAPDLYPPPRANPYAIGTTFPTMQEAREAILHHTISQNLSYSVSRADATR YIIKCRCSTCPFRLRVTLRKGDGQAVVTVSRPHNCSPEVHKGWRWASSVKYLVAKHKE AFKDKGGRMLVSELRELELKAGNDVSEKQAWRARKAIANEVES UREG_00438 MEKVFRVVDHLPGGLPLAHLPPDVNPETVALEYITCLQSLKADD FTEDALWRDTFAFTGTVRTFHTPANIETAWNDVAQTHKPSDFALIPGSARIMHLSARS SWVEARFTFKTNGTPQENGSGFISIIPGNSGRWKIWLLRTILEGIDGLPDVDVLKPVE SVPNGHLNGVEEPTDYECVIVGAGQAGLALGGRLKALGVRYLIVDKNATIGDNWLLRY ESAKSHLPFERTFTPDWREFLTKRDVAKGFTSWFNKYGINAWFSTSFESGKWDDSRKE WTIRVIREQKEIMLTTKHFVFAIGGGGQIPKMPTFPGREKFKGVVLHSVDYRDSKDWA GKRGVVVGAANTDVIPTDFYLKVTGAVFNDQIPIEIADRIQFSNPIAVGRQMVAFHLH KLIRANAERFDSLERAGFLLDRFGDITYHVSERNGGHYMDVGGSAKISKGLIKMKSDA VITEYTENGLLFSDGSELKADVIVFTTGFVGTIRDDVAKYLGQDVASQVEEYWGLDQE GEIRGAYKPTGHPALWYMGGTLGHTRFFSRFVGLQIKAALDGTALPIYKKIPGQPNLT ARPLGEITRLLANDEINGTK UREG_00439 MATEDDNFDIDIYGDAGGYNGNENEGDYKAEEPELILDAPETTH QNGVGDGSGGNNNATENGNHKIFKTEESSQTGKPTSDSLQIPQPPQQGVKRKESPTDR PTDPDATSALYISDLYWWTTDDEIRGWVNEASCEDELKDVTFSEHKVNGKSKGQAFVE FRSPQAATAAKRKIESLNSNQQNARKYSVNYTQPHANPFRTLPKDNPMRGKDDRSRSS STGFNSPVQGMNFGMGANTGGFRGGRGGGFNRGGMNMGGFNANRNFSGPMNTGGFQGG AMTAGFQGTPVGGMQSYGGFGNRGGMMGPNMRGGPNMRGRGGMGGPMGGNMMPVGGMG GVGMAGMGMGGMGMGGMPNQMGGQGFQGQNPHFNPAFFGQHGASDGAWNPHGAKRTRQ E UREG_00440 MSDTFQELADIPKDFVKDGMLFVNRCTKPDKREFLKISQAVGFG FLIMGAIGYVIKLSQFPLPFPSH UREG_00441 MSSSHHTPGSPDALSDSDIIAHSDISYHFANEHMSDTYDETDQD DLDFEPELMGSEAGGADEDDHELLAEDAVRELLEDGGNLQFEFTVEDSDQAEDTDGDN TTHTGATRGMLSPTVSISINKAFIDHWLFVIVTTEQLFQLLGATRLRRILQTHGLWRE QVPRDEDDEDASVFGSYGFRRRRTQRPVEERYPKIPSELGAELMASGAFGNNPYHVDR AKKRRLNFATKMMWRELGRGPRGADLRAARAIPQVLIPGSAPDKIIHYDSRCYSGQFS NDGNFFFCCNQDFKVRMYDTSNPGDWKYYKTVDCPFGYWTITDASLSPDNRFLACSSI NNFVCLATTDPASDQDPHVLDFAKSRRVRAPIGHPGAFGVWSIRFSGDGREIAAGTSD RSVVVYDIESQQPVLRLQNHEDDVNAVCFGDNSSPHILYSGSDDTTLKVWDRRSMADG REAGVFLGHTEGLTFVDSKGDGRYVLSNGKDQLMKLWDLRKMMTISKFDTIDPSKYTT GFDYRFMTFTNEDYQPHPHDCSVVTYRGHSVLRTLIRCHFSPPESTNSRFVYTGSEDG KVYIYNIDATIAGEIDVAEATYHSRPTDAEVFSAASHSRRLDTDWKTVVRDASWHPNA PMIAATSWNGRGMAAGTCTVHSWNDDGSEDEGDPPLGQSYNAQLEYVESFNRYSKAVR ERGERGARRGHGLRSQPVRRARDTIGGGESSTGTW UREG_00442 MQALPEARQQTFEEIYGPPENFLEIEVRNPQTHGTSRNMYTSYE IVCRTNIPAFKLKHSVVRRRYSDFEYFRDILERESARVTIPPLPGKVFTNRFSDDVIE HRREGLQRFLQIVVGHPLLQTGSKVLASFVQDPNLGSQRLVTKQCIRIESCNSAWSVS UREG_00443 MPWNELFETFPGSYSTSSALDDHFFQSKDIPRPHLRIAPLRNPI PPGSRKVPSPLEPSTTGIREPTSSKPLKFPAGNPPTELPTLEGFLKAAPRENELPHIL GVVPSSEQPPKNILPAFISLRAVENLPYPTFSDETPRKRRRLDPVGDGFGDLQLPIPK VQKETPKPRPFGPLPVLNELKEPPPNAALFPPIEADRRPVILNPQEREPVLSTEQSFS GQPTERRPRRIEDLIESNDEGEGTNLENQENNRQSSSTQETRQRDTTSQVEQATEGNE KLSNPQKATKPRKKLRRWTEQETHDLLRGVVRCGVGNWTTILTQPDLKFNERTAGNLK DRFRVCCPWAYDSGQAPNSEDIQARLADNISNAQSGLVAKILLPDPRSKTSLDLNKGS MKSNHLSESLAPHGSKPKRSSGLNGSQRLSNLAKSTLMSLGLSDPDTTVKSSRRHRRA FTPAEDEALLKGYAVHGFQWTLIREDKHLNLMHRKATDLRDRFRTKFPHVYREGGFAT ANKVENNLKSGDSAGPSNFVKPGLPSANSANFSIKDAQVTAAATAVPIDPAVSPPAPP SSLPEPANVPVTPVFPFTTDDGGTVEDNGYGFRWVENTLPPLMWDELA UREG_00444 MPTTFREADPGLATDSHLSKSAALFDTELTPPQFHASYRWWEEE ALPILWAFNIGDIKRLLQFRLYDDVDFPRKILQNRNTAILTEFIVTLFPAGRSDLPGL AHHEKVDEILRLCQTFSFPLPWNWLPSDLDGIHPITTALEIDTESVLQFKSVPFEDWV RYSLGYPTMSVIWFVEQHRELYNLVSAHLERDPDQIYAYIEVEKYLRFRSPWAHRSVL QCLKEKGFASGNNTSNQRIASFLIEPIHALFESPPRPFVKFLKKLSVLSIRFSRKYHQ NAEIDWTNPFVADLPHLDEFLASNPATTLARRLTYSDGRDFTGLSPESFEQEDEKLRA LMDHWDLLSTSVEECCIAFPEMTPYFKDCVKALFQGKNYYSATAILHGLHELQPSPYI LSLTLRGDSVDSYASLLDSTENFAAYKKIMKEKPGIPFLHPHIAEYHSQGQKAIAGLF PLSTL UREG_00445 MGRGPKKHQKRLSAPSHWLLDKLSGAYAPRPSPGPHKLRDCLPL IVFIRNRLKYALNGRETNAILMQRLVKVDGKVRTDSTFPTGFMDVISIEKTGENFRLV YDTKGRFTVHRISGEEAEYKLGKVKRVQLGKGGIPFLVTHDARTIRYPDPAIKVNDTV KIDIATGKISDFIKFDTGVICMVTGGRNMGRVGVITHRERHEGGFGIVHIKDAIDNSF ATRESNVFVIGHEKPWISLPKGKGVKLSIAEERDRRRAVALAGR UREG_00446 MRWESTPPGLAKLQLRAIAELRQLFGEDAISIDDEDLHLHGYSE WSTVNCDQLPAAVAYPKSTEDVSKIAQICHKYRVPMIPYSGGSSLEGNFSAPHGGITI DFLHMDKILKLHEDDLDVVVQPSIQWMQLNEMIKHTGLFFPIDPGPPAKVGGMVGTNC SGTNAVRYGTMKDWVINLTVVLADGRVIKTRQRPRKSSAGYNLTGMFVGSEGTLGIVT EITLKLAVLPEETRVGVATFDTIRDAANAAMRVMRKGVPIQCMEILDEVQMSVINKAG GTNRVWKESPTLFFKFSGTKSGVLESIDITKVIAKDSGSTSFEFAKNEKECRDIWSAR KESLWSMLSLRKDGSTDVWSTDVAVPLSRLPDIIELSKKDLDDLGMFASILGHVGDGN FHESIMYDKTDPEQRAKIEQVVHAMVDRAIEMEGSCTVPGPLKLMLPDLNLE UREG_00447 MAVCRPCKDTLSPLYQVIKSVQGSILEIIPEQIMINFQVECTRI LRNLDDHMGNLFCLAILAKIASAPQLFPSEKPRWLRSICQFFDATRGLKTLDLAFLRA ILVYSGNSVLFSSESVQYLQLVKEICEAVEPTQKTLWLTANKPKIIKLCEKVIKSAAS LEVQMMGLGFLVSFVPAEMLPNHVVELVQQRILSEDSNRVLYDTPADYLSLLIQNVSP RFDQPTISRCIAYIMMHLDDFSFSTDIHMVNVGMARSMLSGLAKKSESSSSLKASIKA ALLAIDREKMKFQCGAIRPSPDHSQCGQCRICPVAASLRSNYLSLELGALVLNLFNTS PPTESSQQLSFLWEKTLINLKSSLEISYPLSRIPPKVGDGSVHGMARDQYAPDASRDW RSKLSQHLHQLSDLSYSEVVRQVQDVCQDFEMRCENVEAPLRSMSAEMEGLAAKCEAA KLECEKLTNKTTEDAELIARLRSENDDLCLDVERLATDLKSTKANLQDSIQTNQKAIH SAREKAQDLEIRYSAIIAAKDDVIEELRERTEQLNLENDKLEALRQEALTSTREAQSE TKELQGIIAAKEELCRNQESIINTLQGEALTLNSSLEKAEGLLKQKTSQCLMFEEKCQ VDSESYERAVETLKECHETEVSRLNDEMSVMRASHLEEQQTWETTLETVKLKASRAVK EKGKKIESLEYQLSALRQEYGEKAREMAKAQEYSNRLMAVFNPSHPAVPTHFEPLHGP QGSGNARSGYTDREAENMSLTTESFGPINSTAPSPTPKRRRNAQRRSMGPVGSVNNKK SEAGRPRKRLSNLSSTPPASRQPLLQLNTNSPVKGRNRSSPSKIIDGDGQHLSFEENS VQEDISTF UREG_00448 MDKTVDHPTAKQNPTSGGGTRGGGNSRSQRRSEEKKLRKLEMER QSVAPAKNAKQRAQRQMGMHGAVIRSSQGIMSPSPAAIAGLGVSGHEGFFNFTPPESH HYYFISLLLPDRLTLQCLTMADPRSRIASRRRPIAMPSMADQQRASQLFAPWTTWDSL NVFISGLPKDINTFTIWRSFKSYGTIEFVEIFENAKGAREGRGKIRFRPPPRDVFCPN RTHILELDNGQSCVLGVNIDPKRPLNQIPSPLSPHILYPTTTELPIGRLDFGTLIEKD VMLSLRTVDSNRMGQIRFVVDLSRREIIVYFQLSLFDPRPLSRAPLVYSYRFRIPFVH LSRILRPKSAGNPSLVIVLDSPPIYHRKLNDLTVTFSDTGSTWREFDSWFRQTDIPYS QHEAAQASLSLRKTNSLINIGRWTTFRLTFDNLNATTAQKLETLQNICRDFNVEVQDA PEFQVNTNSTVSVAWKWIDPPNHGSKRTTSLQDLAEEDYIPLPYSVRYQLEVCLSHGL LSEYTIGKDFTTTLAALGETNARELLEHVAGEKLVYYDPMKIFDILFVKPATSRRIPK YCCYMRTARVTPSTVYFNTPSVDISNRVIRHYIEYADRFLRVRFTDERFEGRISPSHN NTMDEVFTRIKRAMMNGITLGDRHYEFLAFGNSQFREHGAYFFASLPHLTASNIRAWM GHFSDIKEIARHAARLGQCFSTTRAVTGCPVQIREIEDIERNGHTFSDGVGRISRFLA QMIMTEFKIKTPCGEPPSVFQFRLGGCKGILTVSPEAQRREVHIRKSQYKFPAIHNGL EVIRHSHFSMASLNRQLIVVLSALEVPDEIFIEKLRVMLENLELAMSSEAQAIHLLHK YVDPNQMTLVLAEMVQDGFQASKEPFVTSLLELWRAWQIKYLKEKAKIIIEDGACLFG CLDETGTLKGFFNDKIPAANASYEEKLESLPEVFVQISRADNGGKYEVIEGPCIVARN PSLHPGDIRVVKAVNVPALHHLKDVVVFPQTGDKDVPSMCSGGDLDGDDYLIIWDQDL LPKNWFRQPMDYVPSTKAHCLSRDVTVNDITSFFVTYMKNDRLPQIALAHLAWADYLE RGVNDPKCMQLAELHSAAVDYNKTGIPAKMTKDLIPRKWPHFMEKKHKPKEAQYISQK ILGQLYDRLERVDYRPKLEADFDERILSSGIETSDDLLAMATELKTLYDADMRRIMAQ HEINTEFEVWSTFVLSHANMSKDYKFHEEIGQIASALRERFRVLCFEKAGGKDFEHLA PLAVAMYKVTCNEMTQALAASKARYSDETGIQSVSIKHEEKLPFISFPWVLQPVLGKI VNQHYDPACLEEVGLWSGQASFKKRRDAVNENLAGVRDVETAGGVQHAGEVLELFQDP NYDPWSGLGDVFDKPEAFKEGFGDNVEVPAKNKDPSSETSSQLIDIEEVFSAQSSPVD SSFNSILNLMDIIDQSSDGKTKSTPEPLTKADADENGQVPVEKRLVASPVSTCGESFA DETRDIIEQEGDIKPSALDQLEALLGM UREG_00449 MRVVLVSFLRGWEFWRGEARRLGIDLAKLANERKFAFVDGLTGL FSHEVAHPTSTPIALHGRPPAPHASRFVAAARAPPASRPAPVHQKSSGPTTLHWSMAG KLEAIERDIISAIESLKSHAGEDDRVSSTEDVLLVIDQPDMLLAATGPEAGIGAVEVK DMIMGLRQLFQHVHSTLLTLAADAPLIHSEEQRTPLELEHASLVVTMAYQARMVMQLR GLETGVARDVSGVLQINKGVSFANTSDSKHMGEDDIEPKEVLYYVQSDGAVRVFGRGE UREG_00450 MSRRPTDVASKERNEYIPSFISKKPFYVDDESSANDYLEHQRLQ KSKEGQSQWYERGKRAGPAATKYRKGACENCGAMTHKTKDCLSRPRKLGAKWTGKDIQ ADEVIQKVEMGWDAKRDRWNGYDAREYRNVVHEYEELETLKRRAKDASEDRKSDPGND EDEDDVDGVGEAKYAEESDMGRKQSTATRNLRIREDTAKYLLNLDLDSAKYDPKTRSM VDMGAQFDKAAALVAEENFMRASGDAAEFEKAQRYAWETQERGDADRQHLQANPTQGE FYRKKQKEETDAKKAAQKKALLDKYGGAEFLQPGLLHQAAVTENERFVEYDETGAIKG EPKTAVKSKYKEDVLINNHTSVWGSWWSNFKWGYACCHSIVKNSYCTGEEGKTAFAEA ETMLLKELENAATEAPAIAATDRKDDKSMTTTLGKRTLHELQQGVTEEELESYKRSRH VADDPMAAYLGKDKLVT UREG_00451 MKRVLQPYESHMQYVPQWMCDYNLYGCSYIECRKATFRGPVPLY SELEDPNHRWHDRSIPPELISDAVVYPKQSHCMLEVDIQVQDILNRHEIRERPLHNDL FENLPSAHLDEKLVPSLASLWEDEKRRRKAALGLVDPESSPFTPADLVSMSADPRNTA SGGWIHEEEFRAQITNLMMGERARHGEKLKTTNPAQPVPFESNIQSALDSVQDLFPEN LHTARSETLNVEAEPAEADVEVDELRILSAGLQMPTKPDWDGNNVLSRNSQPDSIKEQ ELEHILTDGSTFRENNDNSEGENNGIDNSKPISYAELESMGIRRSSAIINSNQDIFEI DDEFIKRPHLNFFPPTQQEAQQHRTAGDPSSQAKSSQKSDRNDCNQRLQFPIVKDPND PLTLSRFSQQSGSMKLTQSNAEKQESASLQDSHLRSASDKPLDFGASQTSHLNEAELG HYFHQSFNIPSYGKTYLFAPPCPPLATVRATINDDSKPSVIYQNAYYSNDDDVPERSR EYAGREFKLESNTVPYLPQFDPTGKISESLRSKPPTIDPGVFERDNVRLRKTCSIRCW EFAPLPPSRLEVVEWCKEQDSKDLQASPFIAKQPQRIGLSQIDAVTQKDSHGFKYSQK KHSRNIQHESNYMSVMSLEIHVNTRGTLAPNPEEDEVSCIFWCIQSGERSLDASGSEN DIQTGILAVADPENIRGKVWHDANAEVEEEPSELDLLTKLVDSVRYHDPDILTGYEVH NGSWGYLIERARLKYDYDLCDELSRVKTDSHGRFGKESDRWGFNHTSTIRVTGRHMIN IWRAMRGELNLLQYTMENVVFHVLHRRIPHYPFHVLTKWFKSTKPRNIVKVVNYLIAR TKLNLKILDANELISRTSEQARILGIDFFSVFSRGSQFKVESLMFRIAKPENFILISP SKAQVGQQNALECLPLVMEPQSDFYTSPLLVLDFQSLYPSIMIAYNYCYSTFLGRIVD WRGRNKMGFIDYQRQQGLLELLQDQINISPNGIMYTKPEVRKSLLAKMLTEILETRVM VKGGMKANKEDRALQRLLNNRQLALKLIANVTYGYTSASFSGRMPCTEIADSIVQTAR ETLEKAIALIHSVERWGAEVVYGDTDSLFIYLKGRTRDEAFDLGEEIAKTITDRNPRP VKLKFEKVYHPCVLLAKKRYVGYKYETRDQKKPEFDAKGIETVRRDGTPAEQKIEEKA LRILFETADLSQIKKYFQKQCAKIMQGRVSIQDFCFAKEVKLGSYSEKGPPPPGALIS AKRMLEDPRLEPQYGERVPYVVISGAPGARLIDRCVAPEVLLQDPQMELDAEYYISKN IIPPLERIFNLVGANVRQWYDEMPKYQRMRRTDGAMIPSCKDGTLLRKTLESYMKSSS CAVCREKLESDQPLCNSCLQQPQTALMRLQYRIQRAERRVTDVHEVCRSCMGVPWGDE VKCDSKDCPVFYSRTRTTSNLSYTRALLDPVAETLEGSSEQGPWTW UREG_00452 MPAMARPLVQQLQESPRIVYDWSRNLYVVELLFDSGVYYFPLCP GVPNPEPCWTIRAGPSGEIPVANVPAPAIPEPSFGSDYGEDDTLSTTELTSEFVDYPY DYGRRYNAFQEGEYWAPNDEVQQNQMDIARLSILELVMEHGQCLYRSIYRHLKPGGWI DQQEISVEFKSDDGSLPYDHPLSRWSRLMLQAGEISGKTFRIVDQARDHLLDAGFVDV TERRHKVPVGTWAKEPQMKALGRLNLEQIKAGLDGWTIMPFMRELRDIGLCQEAPVG UREG_00453 MVLVPGWDCFFSLPKQKKGSTTPYRELSKDDQIGGYPNFEQLEL LSFDPKTIDSEGRCVILEFPAFVLLGVYCPANRDENRDAFRSDFLNALDMRVRNLIAI GKRVIVMGDLNVSSDILDSAHAIEAIRKCKLTELEFLSSPPRLLFNQLVKGGKPGTHE IEQKPQVLLDLCRNFHPNRQGMYTCWEQRINARPGNYGARIDYVLCSMDMEDWFVWSD IQEGLMGSDHCPVYALIKDTVRYRDADRSIWDIVNPPGVFHAGLRKQPAILTSLPLSG RLIPEFHRRRSLKEMFQAKSTPASELMGRAPDLPHNPRSLQQLKSPDSAWNDGVKGAS TADYQTAKRTPANKISPRPVKRSRKLVDTSSNLSKGQQTLAHFMEQIPPTQISKAANY DVTLNDPGCVPLANKSSFKERRTISTENTPDPAAKESWAKLFTRKAPPRCEGHNEPCI VLVTKKAGPNCGRSFWICPRPLGPSGDKEIGTPWRCPTFIWCSDWKSQE UREG_00454 MAELFNASEPWMEFDRGHIGGDGIAIRKCSADFLSALYKAYDSL VTGSVDSITAHGLQKSISQELHRLQLYPTLYPAPEVQSRFCKLLVGGLQKYIGGTFEV MQDADLDEDNLIAREMDKVLNFLNQRSYKENLLPWEQFLLRGSPGSGVKLPNPPPNAI VRLMPIGGVALHLRWLRARYLVGKKCREVSGFEELKVSCYANFSSLRFPMVTFYAALL QPL UREG_00455 MSGTEVSAVHRALNNLFTTLSPEQVQKFLSEMNGATNAPNGIAA ATTTNGNVPIAPNNVQLDKATTPASMQVPAMTRPTSRGKRARENGKLRPLNSFIAFRS FYSAAFPELSQKVKSGLLRLLWNSDPFKGKWAILAKAYSTIRDKHSDQVNLETFLTLN GPFIGIVPAAEYLAIMGLQLIQGPDKQFSLVTANNKPTNPYGLTTNLSADDVVDYCYQ TGYVKGMMPGKNIVHQEAALAMAVSAQPNDAVDIRSATSTPLNTANQFSPPATSTSNS AEPQQDGNAMTTSNSVPSGNNTNRALVIHPAVINNSITLAQTMANNAAIANNTPYAAT DFDQELRNVMNAFPFDADDDGYYGLFNPALRTPVVVYNPYRIQGDFDAFDIGELGNM UREG_00456 MGYVYSRQPVLADEVQTFKALITVHKVLQEGHPITVKEAQAHVP WLDSLVRGVAGEGLRGYGPLIREYVFYLESKLAFHRQHPEFNGECPVSNLSTATISEL CINVERLGLFEYEEYISLKSINDPNEGYETITDLMALQDQIDAFQKLIFSHFRSGANN ECRISALVPLVQESYGIYKFITSMLRAMHTTTGDEEALEPLRERYDAQHYRLVRFYYE CSNLRYLTSLITVPKLPQQPPNLLSEDEDRPALPKRPAKEVEKEPTPPPKSLIPDPEP INDFWSTEAKRQQEEYEAEQRRLQQQWDDQQRQQLLAQQQAQRDFEEQQRLQAEQQRL AQEQLLRDQYQQQTQGRLAELEQENLNARAQYERDQLMLQQYDKRVKDLEEQLNQLNS NYSLQNSSKDDQIRALQEQVNTWRSKYEALAKLYSQLRQEHLDLLQTTKSLKLKAASA QEAIDRRERLEREMKTKNLELADMIRERDRALHERDRISGGNKEELEKLKRELRLAIE RAENAERAKGSEISAMLSKYNREMADLEEALRNKNRALEEARNSTGERDHDHDLALRE KDEEIEVYKSGMEQALMELEELKLNQGDVDKALDTQIDDVLLSSVAKINDIIDSVLQS GIQRVDDALYELDSTMQAGNQNASPSYVLSQIEKASASATEFSTAFNNFIADGPNSTH SGIILTVSVFSGSIADVLSNTKGLTRFATDDKKADQLINAARQSAQSTMAFFRSLQSF RLQDLEPLQKTDVVINNNHEVAVNLQKLSKLVDAFAPKSTKLNGTGDLGDLVDRELSN AANAIEAAAARLAKLKKKPRDGYSTYELRIHDSILEASIAVTNAIAELIKAATASQQE IVREGRGSSSRTAFYKKNNRWTEGLISAAKAVATSTNTLIETADGVISGRNSPEQLIV ASNDVAASTAQLVAASRVKATFMSKTQDRLETASKAVGAACRALVRQVQDIIAEKNRD ETEAVDYSKLSGHEFKVREMEQQVEILQLENKLSQARQRLGEMRKISYLE UREG_00457 MGSLIVLSLVYVLGGVTFIPIIFALLLLHAYLTLPTAKDALEPG SGDSDVIQRPTDDPIALQSGTDVLAEKFQRTHESDVAAGYFTVCREYVPGGVNGKPPE RTTPAGEVITPESPSVYQSMYRSIFERKQSPTIDPGKANGKNNKKGRNVFYIVLRHGH LMLYDDSEQVEVRYVISLSHHDVSIYGIGDPIPEGELWIKRNAIRLSRKSSQPDINNP QPATLPFYLFSDNPSEKEDLYFAILKNLEKLPESPNSPPTAQHFEVKDIVNLVKRLHS SEENLQTRWFNALVGRLFLALYKTSELESHIWTKIAKKISRVKKPNFITSIVLRKINA GEGAPLITNPRLKDLTVDGNCCVEADVAYNGNFRIEIAATARIDLGTRFKAREVDLVL AVVLKKLKGHVLLRFKPPPSNRLWISFDTMPEMEMTIEPIVSSRHITYGIILRAIESR IREVVGETLVHPFWDDVPFLDTSRQRYRGGIWQEASRPIGDHGITGPFDMEPQSTPIL ADDPLGSDVQTVGVVSLSPPPSPGPSEQSKNMPILDSSSMEIDGTQPVDSPSSARQPL PSESEPLTAYHSASAEHLPLDVDANLETQDATSTMVDIPSYSNSLATSSLHNRSRQRS VSFQRPREDFTDGRPRTDSILSKASTNSIPENSDEDAGIGAGNMAPPTARPGSFTVPK PSETRQTMSSLGSAAAAAAKKWGWNVLGRNDPSQKPPDRGAVIPDHPIGRGRPLPPPG TPLPPPERSNFRVNPISMPKRKPLAPHLFSETAERDERNLPELKSPRHRKSSSSAKTE DSRTQEELLIIRAPSGSEPSSPMPDEVKAAENASLKDKGMDKRLVYDGNLPATANTLP TEKSTVNMNGFTETHPLSTRDQPLTGQNSAENLMSK UREG_00458 MDAISSACLNMHLEYDSLISKLLEWSSTNFRRGSTRIYVTVRLL RKWRKSGIDTDSSIVSFLQHKAESSVLEKGNIYHVISELVRSQSFAVGKYLQWLVARG AVTNDKPMHPNVELLVHLPSRRLPSHVWNLRNTLLTRAGFSINTERQQTLSIKSNIYC QLSDVITKPVPGDSVEVSPPINLSSLGWTIKSEIGQWIREHVMLHRKRYLRTVSNHGI TGRAVEISALTPAQFFKIRDIIENLGDLSLLADILKQASSSDDITVLISVADTLNCHT EPFKAIGASTDLFKSILAGYSNANKADLSIIDLISSLLEVGIKLPHEQSAMIMLRRDL SRFDKSSSAAASSPASEHTVDSINLAKSGFIETLHQLLNSGTTLDEANINLLNSSSRP CLLAFLPPLVGVGCVTLHSFFAFVNGLLDSDVHRQSIPNITGLRLDMVNILCKEKFHE HDPFDFVSYRFKIAREDYITQHSYNALGLINETFAEITGCSTTDIYQVVEKWTPSILP LLCDIIVQNLNTFGPEGAGRIVEEFPNCVNAIHQALDILLGSRSQAGEQKTQSIVELI DDFSLSYCLTKLRLLLETESNGDTTRSSIVNLLFKTAESDVRAGERRWLEVLNVLPIN AAQLIRQRAECELLGLPFLSTHSPDSSNEETALIFLRIVEELSYSIPNNFVPSSMGND LGDKMQSLLQRTVELGNIKKDPDILTPELNSIVLSGESSIGVWFFILLRLVALHRSLL PTNQSSKAELNHQIRLLIRIICISRSWLFASRSSESFNSSFSHFTHDSHLLLSLPSSW PSLQLQALDVCSTLADTLPDEARNECARFLREKYSAFLHPDNDPRLLYLLGPFAEPQP VAANVGSGMPANTPVTSLLPHLQPISPQNPSIPTSAAALVEDPDSFTNNLHFQQNGRT IGPYPPKPWEMLGEAAPMVGVNDTPVNLTYFGTRQVKLP UREG_00459 MDSQSKSEPFMRSDLQDIFPLVAQGKVREIYELDEKTLLFVASD RISAYDVILENGVPNKGVVLTLISAYWFKFLKAALPTLETHCIGLNLPPQIPVELRPK YQNRSMVVRKVKVFPIESIVRGYIAGSAWKEYKESRTVNGISMPSGLVESGRLPKALW TPSTKADQGDHDINIHPKYAGKLIGQKYADQIQSISVTLYNVAYAHAYSRGIIIADTK FEFGLDVENDQVILIDEVLTPDSSRFWPVSKYEPGRPQESFDKQFLRDWLASNDLAGK ENVAMPADVARKTELKYQEAYERITGEIFEDGLPSVLEGGVLVK UREG_00460 MARYLTPSKIALLALVSIYSEGVVPNSAIVALLSFFMTHVLPLD TLDARRRAIDQENAHTISLEEFEEVTSSLASSIPGRTVWDLFLKRIWQLDCVDSLEEF FTLVSDLITKPREEQIRERSMGIVPEPGKMLLSRTSPLGMFVRRAQLEYARLQFHDSV ALWRAFIKYRMPTYHTWAKRNPMNNQSKVDVNIVELGLDLDSPLAKVIYGDLDDTEED AKVAMSTKDMEKLLDFQVQEMQSNKWSQFLSSLGKGTRVTKEMRNKLQQMLASGVALP NLSHYADFGCLGEAISAMQETISIARETQDMHCLNYCISWLYHCRRAYPDALNVIQNT GMLGSDREALAFLKAKARENEMWTLLGTSLLSEAKLELSYGESIASVFEHIAQASHLN TSQNLNSSMGQLLVLQAAFFMRLGTNVELQKLSLSGNYSQALQQLEDISPHTLHRLKN QQLWTFVCGLLKLRQQLHRDNKVAAEHLVTQLRACVVNEPEHTAALSLSEVEFLICKG DYSAALKLIENIAKSTQQGLFDVALQIKLLNLKAQIFQKTDQPERAFSLVMRAASIAY RSRVLPGLWEALGNLAVILMVFREFEAAVDTLESIMPQVLEGDDSVLAARTYSLLVDA NMGLAGRTKQDMVRQKEYIAKASEFIDCAFAEYSKVGNLNGQCEMTAKKATLMHLSGD LALANDYAARYLDFKRQARMEAMGS UREG_00461 MHSTIERLTTISHTDPFAEADEDTGDTKQSQNYIHIRIQQRNGR KTLTTVQGLPKKFDQKKILKVIKKKFACNGTIVNDTEMGEVIQLQGDQRKDVQEFLTD KKEGLELDSKTIKVHGF UREG_00462 MELQANLQLQSRPRSQAPSKPNLLLPSAVALHAQLNPPPPNPAP EFAIKTSKKRRTLQDWLPSTPDAASPPSSRHGSSSQALLRHTAILSNLLDPNLLPPPP SPSNASSKASARTPSPQSVTTNPLHPRVAVILGVDRCWYLPLLICRALSIVPAAWWGL RCAFTFLAELLRIEPNLWRQPEGDGAGGAGGWTAAIVKGTAATVAAVDWDADRRFRVT EVALAIIWLHLSRENELELPLLVMSKKLWKVVAEKMVVVEHLRGTAPHG UREG_00463 MNPALYLPRSVSSSFARSAFPRAPSPLEPRCLLRPFSSSRNQHA GMQGGVQAIKPRIPPQMSMKNRVKQSMSGASREMLPDDVGLLPGTFIRPVWRNMPSIF KDPKLRLRMEWMTLKMKVMNFISILSFCKFVNKGLPLRLRERKRRALDLHKQMYTAFA NGDVGLLKQICCQGIHQNFAARISRRPRTTPRLLWTLHSYKKFPFAPTFSGAQVVSDR GAALPEAKGFGIRQAVIRIQSKQSLVTPGTGEEQNVEKQQDCTEYIVLQRFMVNGEDG DWKVWGLAEETTPEDLETDPMFAQGLSMKDRIEMLTMKFK UREG_00464 MFVYGLSSSLPLLAFGYLGPIIRKKCPEGFVLTEWTRQRYGVAA AMYLSLLTLITLFLYMVAELSALQQIVNALTGLNGLPAVIVQVVVTTIYTSLGGFKVS FMTDNIQGAMVVGLIIIGVITVGTKTQINRSLIDSSGLLEPSLLGWQLIYILPVAILT NDFFLSNFWLRTFASKTDRDLRIGVSIASFTVLCILVLVGSTGLLAAWSGAWPGDPPQ SGSIAFFLLLEKLPGWVVGIVLVMTVALSTAAFDSLQSAMVSTASNDLFRNKLSLIYI RIGVVLCIVPVVVVALKSPSVLQIFLISDLVSASAIPVLVISLWDKCYFWKGSEVIAG GLGGILSIFIFGTIYYGSAYEGGRLILLENGLYADDWSVFGAFVAAPVGGFIFALIAF ALRIAYQFVVSKVRGEHFDAFDKPIKPVRTTSQVDDPIRPVGNARDEEDGKQNDSPAV EADRGDDKWWKLW UREG_00465 MADPTVNESPELTPTAEVHSPHSPQNKDAEAPQVVPVNQEEKEK LDKVIQSDDFATFLRKRSILEEDHAQGLKKLARAMHDSSIRPDNRQGSFAKSYDEMNK IHDRMADHGLQFSVSLHQMSEDLNELAANMERGRKHWKQNGLNAEKRVQEAEAAAEKA KAKYDNLAEQYDRAKTGDRQPGKFGLKGPKSAAQHEEDLLRKVQHGDTDYSNKVQAAQ TQKQELVATLRPQATRALQDLINECDSALALQMQKFASFSERLLLGSGLSVSPLRHQA NDNAPVPKSLREAARQVDNERDFKDYILSHASKANSSSTTIRYERHPTLTTSQNPSAH PKRQSLSINTAPTPTPQTAAQAFAQMTPHNAPQLHHAQPPRPPPPPTFQHPHQETFFT QPTPQHHSPAPYPTTTPYPQHYPPPQPRPSHSFDRQVTAPGPASANNAAQHSDLPPLN PVFGVSLEDLFRRDATAIPMIVYQCIQAVELFGLNVEGIYRLSGNTMHIQRMKAMFDN DSRQVDFTNPENFHHDVNSVAGLLKQFFRELPDPLFTNERYQDFINAARKDDDTQRRD TLHALINSLPDPNYATLRALILHLNHVQERASENRMNAGNIAISFGLTLMGSNAGHSI ADSGWQARVIETVLQNTFQIFDDD UREG_00466 MASKEIHVVATFKPKADKIDDVAKILAESAANIHKKEPNTLRFY LLRPKKGNELIAVEKYADAGALKVHGGTEYFKAMIGKVTPLLAAPTDLKICNFVTGFE GRPSKL UREG_00467 MGVIGCGHRDETLRQVPPVYQSMHAAAKKPRTEVSTSSHAPSST PGCLGRIVPLTPRYPAAVAFGRRTICWTQIALLPSRTQDQAPIFPSVSCCSNLRSR UREG_00468 MASGPATQSLKTCLLISYTTNAFPGEYIPTVFDNYSASVMVDGK PISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAKWYPEIEHHAPG VPIILVGTKLDLRDDKGTNDNLRAKKMEPVSYEQALAVAKEIRAQKYLECSALTQRNL KSVFDEAIRAVLNPRPVAKPKAKRCSIL UREG_00469 MGDKRKRSEAVEDAVEVADVKKLKKSAGDKTKKESKKEKKLSRD EAAEQNGITDAELKSDKKSEKKTKDKKDKKEKKDKKKEKQERKVVEDGTTHNGVEATT NGEAENKEEKKEKKDKKKQERKEKKEKKEKKRAKANGCEEVKPEEPNPTEATSNGQDE QPQGEDAQHEGDDHIHPDQKQARFVVFISNLPYSANHETVSKHFAKLQPIHIRVPTER DGKKARGFAFVEFGNYDRMKTCLKLYHHTMFDDGKSPARKIGIELTAGGGGSKSETRK ARIEEKNKKLNEERARAVKEAAKRKRVEEKKKEAGQQNDETGNDGAEEAPYGDLHPSR RFWFQ UREG_00470 MASSLIATSTKVKRKRGRENDEDTADKTVPVTTSAERARRKRKK QKKAKQPADDVKDADKKDSIDESIGKMDGKLLADYLAQKAKRHNKDLTAVELDDIYVP DYAFTDTTLWESPRTLENLPAFLKKYSPKKGSLLSQAAEANGSPHTLLVTLAGLRAAD LTRALRQFQNQDCAVAKLFAKHIKLAESQEFVKKTRELKRCGRVGIGIGTPVRLNDLI DTADGYYWARRFIMCTSRPSGIFELPRGASALEPFGGSQSHLMCESLHLSPRISKAHL DTLRAKNLATGSAAPTIVVGTVSGLVKRRLSHRLVHRPLRAQYL UREG_00471 MDEMIVPGQDDDGSPEIAPPRLSVLPDEDDFTQRSIEMPRRERA ARDLATLSRYSLMSTRFSENFGDATRLEDTEEGLDFTAEQQTDNFVDDQLDVTIEEPT FDTGGETEDLRRFDLNFSFPTPDAPQHIENENEDFFLDATMPIADDASLSSGDDFGAE GLELAAPEMSRMASSPVPESSPEAEAPQTFQQDQDKQKLSRHGTPIPSLPRGIVKKLA TRFARTGSGGKTRLSKETLSAIEQATDWFFEQASEDLTAFSKHSNRKTVDETDVIALM RR UREG_00472 MKSSLFLRRSLISAFSRPSRHRNSDGLSNACSRCWRQQRQQARL AHTPADDPNWISVADNPSKPVRTGQKHGPGLIILALIPITAFALGTWQVQRLDWKTKL ITTFEDRLLKPPLPLPPAVDPEAISEFDYRRVYAKGHFRHDQEMLIGPRMYEGKDGYL VITPLERGDGESTILINRGWIPKSLENQRQRPQGLPQGEVVVEGLLRSPWKKNMFTPE NKPEEGKFYFPDVKQMAELTGSQPIWIEETMVPELLEQYRREANGIPIGRAPEVNLRN NHTQYIFTW UREG_00473 MDGPSRIREWVQSKFGRKHYEEPIAPEAPAFLSSLSLVSKHQRS VSSSLSRTAEISDPVPKAVANSPLFKLPPELRNLIYEFAFGGRILHLELQPKFICMYP VGMSLGYKSQYHCDDSTEREFWHWWSSVCRRHPVKDPWMDHCRRNWAESLLFPSGKCP LGIMGFMLTSRQAYAECIDVLYRANTFYTSSTLLMRSFPALVPSRHLHRITSLELSLK LFLLDGPAPLKEDTLVGWPTLDVIISIIVSHFRSLRMLYLAVHTGNATAFPGQRREIS DAEFERLWSRTDKISRSFGKQLRTFVLAPQASVFLEIEDMARQAPADVENPTLQMRDG RVWRSVTTEDPEVDDLGFWIEQGAGDYMALCNVDAALERINA UREG_00474 MGSIDSPNFLNYPEAKKGPDISYHNDRKPNPAMRGIVVVIGAFL FKWLPFLRKLIWFNAGFGVLRRIREDLGDYEPRFDPTVIPLPDPGNAERENEILLHSE SQSTLERQHGNYYSVTDYHNMYMSGELTPIAVAKAIIPLIRRDITPPGEHSLAWFDTK IDLVLAAAEASTQRYKNKCPIGLLDGVPTAVKDEYDIDGYRTCLGSANDYTSAALPEK SITSWCVRQLEDAGAVILGKLAMHEFGLDTSGNNPIHGTPRNPFNSQYYTGGSSSGSA YAVSAGLIPISLGSDGGGSIRIPSSFCSVYGLKPTHSRLSHRPGCNHSNTCAVNGPIA ADIRSLALLYHVIGTPHPSSHFPTLSPPLAPPIRPRNKVLGIPEAWFSQSTPAIQQLC HSLLDKLVSACDYTLIPIEIPYLKEGQAAHAMTVLTDAATLLPDTEGLTAANKIMIGL GTITPATDFLLAQKLRQLLMQHLAYLWKEYPGMIIVTPTTSCAGWPIRSESELKHGIN DGNQTLKTMEYVWMANFTGIPALNVPAGFVIPEGTPGAGEVAETDTVGKVPVGLMGMG EWASEDNLLQWGLDIEKVGEERLSRPPIWVDVVEKAVEVMKDEDIDIIAAKMDAN UREG_00475 MFYNGDLQSGIALAVEQAKPVICFVRDDGEESAKWENEYLGDEG VSQLLTSNSIALKLAAGSQEAGFLASFCPINIVPTIVAIKNGLLQEYIVSGTSEDELK KRLKAVLFPVDPSQRQQLPQTPGAMAQASHQLPNPSLEPHDRNTAIPVSETHDEESLP ESTRREEIRQGKRRAGFPETAPESAAPAKNTQQAWRQQQSNRARQEREERERVLALIR YDKEERRAKAERQKEQQAPQQNTQNAQEHIKVAARESVSEYRLQIRLFDGGSIRSKFA PEQTIQSHVRPWIDSQRSDGNTPYNLKQILTPLPNKTISISEEGQSLSDLGLGPTANL VMVPVQTYTEAYAGSGSSLPVRGLYAGYNLVTDTVGTVAGALGYLLGIGQTTPHPTVE PNQAGPVAESTSSIGGGANDARNSRLPRSNNVRTLYDEQSNHGNQQFYNGNQLNFEPR RDQGKDD UREG_00476 MQSRNDPDSGLCPSIDMLPECSSMQVRNALISVSQACGWPQLLL VLLWYKLLSMGAALAGGAWADSAGALDDPPVKKPPTAWPIEEPTATPLQREKTHG UREG_00477 MTLNKQAASRFIKHGLVSLIYEMFGLLELTLLRRKAGNDKIDLE RAEREAKERAMAQLRAAQLAKKQAAAAAAAVPASVPQKRPAEEEAEDASECDAEEDDK VDGDVGAATTTEEYINVNKDTANPRRKGKKRKNRPLDLPAGKPNLPQENKHMKRLRKQ KRQKKPKKQKAQPAKQATT UREG_00478 MGLRLNTLQPALRWSLRHQSVLEFSRNQSRTFMAEAKKQMEVQD EGPIPEPPSPNPITRRIHILGTGNIGSFIAHSLAALPNRPPMTLLLHKLEHYFAWHKH GKRLTLVKNGIAEPRGGFDVNVLRDGVWYEPYRSAEFESSQGGNARPISPRWADEQFL DVNNERIDNLILAVKATQVEQALKSVAHRLTPKSAIVFIQNGMGIREEVNEKIFPDPA TRPNYIQGITSHGLYYTKAYHITHAGVGTSAFSIVYPERPQPPVDESRNVNLGEKFSD RDYWAISSIYLTNTLIRSPELTAIRINKNEMLQFQLEKLAINCVINPLTALNDCKNGD LLYNYNLSRVQRLLLIEISTVIRALPELQGIPGVTARFAPERLRTLAVNVMHKTAENT SSMLQDMNIGKETEIEYINGYIVRRGEELGIKCALNYMLVQMVLAKTRMLSRKMQGHV PLDPSVPR UREG_00479 MTVHDFRPAGPEHDEFTKWAKAQGIQISGVAAVAFAGRGIGIAA LRDIDAGETIVSVPTSSLLTLDNIPSAFKSKFQADTPVQGIFAAYLACDDAAKAKYAL WQATWPTMQDFEASMPLLWPEYVIGTKSKQPHENGEDAPQKGFCSLLPPSVSGYWNSI PSSHQVPSDYSPTQQRLFHDQYDRFQRALKHVKLVYPCIDLKAFTYYWLAAHTRCFFY VAKNAAVPEDRNEAMALCPFADYFNHSCDDPGCEATFDEDGYSFTTTKSYGKG UREG_00480 MATPTSVRIAGFRSLQALCAQRTVTRNFSLLNRPAPNYPGHIPL TPVERGVLAIGSAVGSLLNPRRGDLIATLGETTATPFFIYRLRDAMLSNPTGRRILRD RPRITSQTLSLPYLRSLPPNTVGYTYAAWLDREGVSPDTRSSVQYIDDEECAYVMQRY RECHDFYHAVTGLPIMVEGEIALKAFEFLNTLIPMTGLSVFAAVRLKPEERQRFWSIH LPWAVRSGLASKELINVYWEEQLERDVNELREELNIEKPPDLRDIRKKLREQKRAARR QQ UREG_00481 MADAELEEVTNDAWAHWIDLKLTSECCGRSEERGLHSCNSNTGV PGVPTLKTSKADARQAILSQILLPEAADRLNRIRMVKESRATDIENRLIMLARSGQLR SKVTEDQLKDLLNAVAENKEEEKIIISRRKGGWDDDDDLLDL UREG_00482 MGGSRTPKYLSIESDTSLNTLRDPRTMSTTDLSRTASSSPRHPD LSNEVAALSDKLIQAINNQTILDDTLAATRQELELSQQRSYQLEEENRKHREEIASGI LVRQDVIASEKVNLLRELEEERGRRIAVEKEKKEIEQELADLTAALFEEANKMVAAAK KEREAVEKRNEQLQTQIRDTETLLASHQEQLAELKSVMQHMNSDRDDSDIRTDPSTAP TSPAAVQSQGNLSRLLEAMNLSPTTPGAGDISPAPSTSFSHLIKSVCRTDIQAYEDFH TLLHQSKSSKPPSRAVSGSYAGLNVMGFANLTGNYTAPQHQHRSSAPATSTTSSPLNS SPNGSSVSSRSSTSCALLKESKFYKRVITEDVEPTLRLDIAPGISWLTRRSVIGSICD GGLVIEPIPAIAFKYPPPCALCGEKRSGAEHARTHRFRTSDNDSAQKHPLCILCLEKM RSSCDFLGYLRLIVEGHVRIGDEEDEKEAWEETVRLRERMFWSRIGGGVVPAFIRTEP SEKDPSIRNLDSPLPKKSYGSLMGGTPADGSSNLEGNNPIASEELPEDPFVSNTNRAS IGGTIISRKDTQAEKADEPPLNSGSSTEDVDPRKTENGHNVQNIASIDPEAPSKSDPD IPPKSPVGADAPEPRLKVTIPAAFRF UREG_00483 MALSAANGTPTPVDLPEEVSKPPEGVVLPPKDIRNRVREKESNN PKFSFLNPGDPYAAFYNWRLNEIKAGRGTAVSSGRAAEPVSQPEEEKPKGPEAPPEFH FSARMPNINALDLEVVKLTALFVAKRGKSFMTALSQRETRNYQFDFLRPQHSLYQFFT RLVDQYAELLQVNGADSANAEKERIAELERNVKNKYHILDRAKRRAEWVKYQEQQKQK KEEEEEQERMAYAEIDWHDFVVVETVLFTDADDHTELPPPTSLHDLQTASLEQKAMIS LAPANRRIEEALPTEDETANYYNAYPQPSHIPVPQPPYVPSPSPIPTHHAPSMPTQPS LISPQAATPISTPIPPVPVATTIPEEVRAVPTPPPAVPGQAPMRIRSDYVPRAQAKRL NTATVPTSICPNCKQQIPIAEMEQHMRIELLDPRWKEQRAKAEARYATTNLSTVDVAN NLKRLASQRSDVFDQVVTPDNRQPGQQDGSALDPEEEARRKRVAVSGAVDTAGMTMPM PMPMTAPAPGSIPAAPGYGAGPQAVPPGMQQRVGGPPPPGQPVNIEEQIRHIHQKFKQ UREG_00484 MPPKSAKAGLETNPPARRSSRSPGRSPGPKNRRKAASKVSDYTS EGVRDSDIFNLPKSDYKIVLLITAIATVVRLFKIYQPSSVVFDEVHFGGFATKYIKGK FFMDVHPPLAKLLITLAGYLGGFRGDFDFKEIGKDYIEPGVPYVAMRMLPAIMGVLSV PTMFFTLKGSGCRTVTAALGALLVTFGKPASLSTYNCELPLTYGIENGLATQSRYILL DSPLVIFTALTALSFTCFTNQHEQGPSKAFGPSWWFWLAATGVCLGATLWVLLGDTRT VTLATLNSKGMKDVPADIAFGARISLRHHNTQGGYLHSHSHMYPTGSKQQQITLYPHK DENNVWIFENQTQPLGPYGQVQGPKAWDNLTTTFIEDGATLKLYHLTTDRRLHSHDHR PPVTEADWQNEVSAYGYEGFPGDANDLFRVEIVKSLSKGTEAKKRLRTIETKFKLVHV MSGCVLFSHPVKLPDWGFEQQEVTCAKGGSLPNSIWYVEHNEHPMLGSDVEKVNYRRP GFFAKFWELQRVMWKTNAGLVESHAWDSRPNSWPLLLRGINFWGKNHRQVYLIGNPLI WWASTGAIVIYVAFKGLAVLRWQRGFGDYRNVNFKRFDYEIGQTVLAWAFHYFPFYLM ARQLFLHHYFPALYFAILAFCQIFDFAANRVTLCGSKKNAVVGNVFFVLFLVASVAVF AIFSPLAYGNPWTQDACRKVKLLSSWDFDCNTFYTDLAQYSNAVTPSSSQPAASVTPA KVPEQKLAPPQVKQQEAPQVKQNEPEEKPMEEAEVTLPSGQKILGREESIEYRDQDGN LLDAEQVASLAKAGNVSFKTRYETRTRVVDAQGREVQQNLAPPHPDVEGQNLETVGKE DQQVPGDKPASVAGDEKSVQREDQKPKPASEGKEATRNADEL UREG_00485 MSSIAANVARRALIRQPLRTMRAQPLRQYSSRTEEAGLVKGPKR DPELYLLLGVMAGAFGLAGWYFGRSPTTVTSESNIRIGESAMPWEVDDEKAETQGHFK YQYHPHGDKNQPLKSAPSALNEVVIPNVTLPKDLHDRFNKYGKDY UREG_00486 MATASNPQSPTDPDPLSPNLSTRPVSYISSHMTDIPSEDGDPRP QSPPARSQRSASRRGRLARHSISAASNHGSGPALSAGNRISRTHVPSLTSHAFFRPMS SQRLQMQRAGRPPTGTTQVMPSEDGQSDVASNPIRRSLISNSVIPGLSGLDYDLPPPS RGTEFTDPMLLDRGTSTASPTGNTTVRSGGDSVRLLHDRSRGMAPTHLNLNSIHKQSG NADPPQRSPLSFRSGFLKGNKNEQSERLDSRGHERLSSAASSPASNGLKPDKPTFTND LGQNYEYFTGNTIFFWGGRFQNARDRPVNIATGIFVALPGALFFAYSAPWLWHNISPA IPILFAYVFFIFPRNLHVFPPTDPAEDPLILGPPTNDWVMVKLATSEMAAMDVPVKYC RTCNLWRPPRCYHCRTCDNCIETLDHHCVWLNNCVGRRNYRYFFAFVSSATICAAFLL GASLTHVLVYQSREGISFRQSIDKWRVPFAMVIYAAIALPYPAALWGYHLFLMGRGET TREYLNSHKFRKADRHRPFTQGNFFKNWIAILGKPRSPSYVEFKKAFVEGDQRFATHK VKHRRRDLEAQTGDLEMNRVKGAQPTFEGPRGRGPLPNAQQAA UREG_00487 MARGPMVSRSSTDTSSTVDSDTTIDATVPVLTPATSVSEPSTPA DSKDGHEDRKKESAASRRVTRSSLRTMEFEENGYTVDQGDLSSRFVPTGAEAKDTNQK AEDGCLDGCKAGETMSVAPLSDCSGDIRVPYGEEPTKTMEHHPASRGEAGILSEYKNP RRRSTRLTVRQEGREVTVNASSAPGKRTFDAISKNTHKIKELDRRASLRPRVESQKDS APAPQPPPAKKRRVSDGDKPISQSSATEHTPRENSLIRRKKKLWLRHGLYAGQEYVDP SAPSSRKRRAYSKKGEGQQANVFPLPMYAGARLLENGRSYKLPFDIFSPLPHGQPKPD EWRKANKNVFVGDATSIWKANKIKEHSTCTCTPETGCDENCHNRYMFYECDDTNCKLG PELCQNRPFSELRRRSKAGGKFNIGVEVIKTEDRGYGVRSNRAFNPNQIIVEYTGEIL TQEECERRMRTVYKKNDCYYLMYFDQNMVIDATRGSIARFINHSCEPNCRMEKWTVAG KPRMALFAGEDGIMTGEELTYDYNFDPYSQKNVQECRCGAPTCRGVLGPRQKEAFKNK EKDKGSKTRTTGSKRKSSNAPDRQARRNKKQRLSESTSPKPGRGNTVSKTRTALKSAQ SNIVHEPAARKARKTRTVQPIPVKKRGAALTRAKMPAKRGRKPGKASQTQTQTNSRKL NMPSKPRLNSIAAARASETGTKEENECCPRFREYLSERADFTKAW UREG_00488 MVPFRSSRTRSQALAENAPNRTRAGQIDHDVFEGLPVRRWSRQR TTFSQTPKVEVLDTGTAGSHALPELPMPKDSQLLTPLSRSLLRAARAGCTYIKAVRKD PDTNGKEPKGEDSVGSTNYERTFTAVKWSTIPRRLEPPEAEFLAKRRPGLRSLYGAAG AAITNAGPGIANAGNQVQMRKTKFKKVDAVTGSVIIYEAWVPEGHRVEGEIADEAEIT AENPEATIVSAAPAPGTVVEGVGIVDQEGVVVAEPEGSMVAVVRKRNPPPKRKAKGFS KGKRKKVMFSHDGADPSHASEGSGQAVTGQAQDSSSQRSDQPGKDAAGDEEEDEEDDG DGSEEEEEYGDESKPQTKSEAVPAPPELEDKRQGVSGMNPPDKSSSPELPLSKAVTER AETAAAGSNLHTLSTDMEKAEASDMVSPSELAIDTQLAPQISEQEVENQQKVESASDK PPTPSQEDKTSDKPQHTPPPSMEAERTPASIVAPTPMEEERTPATEPLQASRERTSSV MDTGPVRFEDGEVDLLGSLEASLDNPPQTFEEDKPSQEREKEDGKVEEADVTMTG UREG_00489 MSEALPSPPASPARSTTPEQSDTIQAIDDLLERYLYLLDEHQRL HQELGNHLSAGFISLARANHSCPPGRRYGEDYYDDRMKAVKKVIARSLSSKLPDTKVL GKPPSSLAPSRFMIVQDKSSDDLKNTANDKNADQNEVESSATADQMKPTNKHPPNPIH WFGILVPPALRAAQNSFTSALDGPLPTLANVITEMHEVEQKISDLRKLVAIKS UREG_00490 MLRQTILSLLVLTTSVLATSTPCSGPRAEPLVNPSFENGLDGWT ASSGSILSGGAAEGNKYFRALSNTANAHAQIHQSLNGLDTFFRYDVSFQWRLSRAASG VLPSVCTFSMFAGNLLIGTDSLTLGFGTTSTPWTLSESTTTVATGTTLSITSETTAST ATETSSVTTDCESETMTESTTTMATETSASVTSETTSATTDCESETVTDSTTTMATET SMSVTSETTSATTDCESETITDSTTTMATETSMSVTSETTSATTDCESETITDSTTTM ATETSVSVTSETTSTTDCESETITGSTTTMATETSVSVTETTSATTDCESETVTDSTT TMATGTSVSVTETTSATTDCESETVTDSTTTTATETSVSVTSETISTTDCESETITSG ASGTMSSSTTDSVSETMTETTSASETTSLTATISSESTLATVTDTASSATATATETTL ITSSSVETTTYGSASVTGTTGSHTESETESCDSTASTGTDMTTSSGSLVTTSSTSLPG PVTDTTTICDSTDFSASTVPVTSGPASQSVPSSPTIPSYTISTIFTTRTVTITACPTT IQDCPSSSYTTYTTVETIPITTTCPVITDSFITVPSPGESKHITETLPSLPSKGTTEP GSDASASYPGVTRTVIISSSSAAVEIPPPEIPSASTMSPGNNTPTTSNPPENPSEPQV PSSPPTFEGSAHRVLSGSNLSFLMGFVTFAVLAL UREG_00491 MNDGQYEGASHGGKGSVRRAREMMKAGLPPNVYADVGRGRGPQA PTRLPARQPPPVPAAFSPDEQGVRGPNMAPSQMAPWPLPDDNTGVIEISRYPDDMAPP RPQRPPRPDPRNVPAPLDPSRMQEYTSNTAYNQPPGVMGYQYEDPRAYAQDLTPVSDQ TMSPDSFSDPFSSPEFPPDRGYLQRNQYLGPPSARRGANSFYANNPNISPIQEEFPES SPRKPISYASSKVIPSSWGTAPLDGDFPTSSRESLGAHAADDDEGLVRQASVGKRGKP SLRTINKPQNSQTSETEGRRLRPEGRGEDIRFNNADVGAYAVGGMTPNTTDTRSPTGN QQRAFNQNRFSSDSASSEDTLDDLEKLPISKTQILGTLSEKELKELELLNHDNRVVSK SNRSRLDVKRPPPLDIDAVREAEARGSLTSLPDLIRRATKLASNLDRGRTASRLGMLD MLNSGGDANKGRGRNSGSISDILASFPPPGAIGTPTAGSRGSGAYENRANPNAALANT TTEQPTRPQRCCGLSRCAFILIIVVLFLLISSAVIIPVALIVLPREQNSDNPDRPTVS PASCEALHPCLNGGVSIGREPECGCVCVDGFRGPRCSVPGDSSCTIANINSDYQNATV GHALPRLFDQSQSNFSIPLNASRILGLFNQEDLSCTSENALVTFNGSNKRRRLLSYFS DTSDDDYRRYHSRNHVPIARRNDPSLPRETGTVPLNVQPNKPTTSSDGNQPLPAEVID FARIAVLFIFERTGEFRSATNAHDAIQGLLKIASSDFDIATQMPMDWSYGGHSFALNF RNYTIQLQDGTIVGKTGRDDDKESTRKTSL UREG_00492 MAIVPNFFFDLVGMLDDQTSPTLDCCDSPCCQPVLPPGNFLRLV TAPLSRAGWWIVLERQGMVLATRMYPN UREG_00493 MAALDGPHSGIPWAIASVRPRHRIDCGLKPERILGISRVRRAAN NSSNALVRFSCGGVL UREG_00494 MASSPASSVDEEAKPSHLRHSIELQDEYGLERLETTDGYDFVSP FQGHTRNDHRDMMRMGKDQDSQHQGLKDGGAAGLFWSYLWTFIGFGFCICSMAEMASM LVQKARCFMYGWFAKDGFQSNRAPISGGQYHWVSEFASPRYQKSLSYITGWMSVLAWQ AGAAAGPFLAGTLIQGLIILNRPGYEPTRWQGVLLVCAMAVFAYLINVWFAQAMPMIQ NILLVLHILVFLAVIIVLWALAPLNSAETVFTVFADHGGWPTIGLSLMVGQITAMYGS LTSDAAAHMAEEVRDASRHVPRAMAWGYFINCAMGLILLVTYLFALPSVIAAINNPTL FPFLYVFGGALPPTGVTILASMILILIVSSNVSFSAAASRQTFSFARDHGLPFAKWLA AVHETKRLPVNSILVTCLISSLMSLIYIAADVAFNAIISLYAGSLMATYAFSIGAVLY QRVYHPERMPPAAWSLGKRGGPIVNAISCLYVVFALFWSFWPNESHVTAKNFNWGVVM YVVVAIASLVIYYMSGRKVYTAPVSTVVGR UREG_00495 MPTRFKTGGANGTIAPSNQPTTRNIEPLPQADAGAGAGASIQVP PSPEYSTQTRSICRSNC UREG_00496 MYGTPGFKSYREEHKKQRTAKSLIPGLLGLPKHQQGMYRGPWRL QHPGRAMSIDHRQWWRPNASHREQN UREG_00497 MGLIWVVKLGYQQEKIERIFPTPPDFTLYSRWLLRSARALQNPQ SIGLALTSWSKVGEFYTELLERLENPEIDGKGLKEQTEGGLVVDGVGKIGYDIEGMSE SWKMGYFQALMGAAETAEKLEGWMYDEKLDAAAPAEYVVGPSNPNPKPKPASIKHMLN EEDSRPAYESPEVFYMKILTTKGFQTNQRLDAALAYADWLDFKGWNETADDMYRWAMD IAASGLPVDPSAVVDMKTGILKDVGNKHVTENILRATTALGVHKVRRGDLAPALSIFL SVLRARRNLPEAPISHENQIPPQDESPLSELFEILSSYVVPPPYPYATLTGNERPLRS ASEACDEAGLMVYIGEIIFASSSQENGLSWTRDAVDLAEISLLRLDGTDNGPRSYGMK YSNDDEKCHDCLRTGLDNWKKMVKKLVVQAEQEELDCISTAKDGWFSSRSKQVKEKQM QRRRWEAEEMIIEDRSKRIQKFIGDPLLAGLAPNTTMMLFS UREG_00498 MANPPHGGVLKDLLARDAPRHAELAAEAETLPALVLNERQLCDL ELILNGGFSPLEGFMNEKDYNGVVENVRLADGNLFSIPITLDASKETIDSLGLQPGSR VTLRDFRDDRNLAILTLDDIYRPDKLKEAKEVFGGDVEHPAIVYLNNTAKEYYLGGKV EAVNKLNHYDYVGLRFTPAELRLHFDKLGWTRVVAFQTRNPMHRAHRELTVRAARARQ ANVLIHPVVGLTKPGDIDHFTRVRVYEALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGTTHFIVGRDHAGPGKNSAGAEFYGPYDAQHAVEKYRHELGIEVVEFQQLT YLPDTDEYKPRDEIPAGVKTLDISGTELRKRLRTGAHIPEWFSYPEVVKVLRESNPPR SKQGFTVFLTGYQNSGKSAVARALQVTLNQQGGRSVSLLLGDTVRHELSAELGFSRED RHKNIQRIAFVAAELTKSGAAVIAAPIAPHEESRKQARDTISAVGTFILVHVATPLEY CEKTDKRGVYARARRGEIKGFTGVDDTYETPEKPDLVVDLEKQTVRSAVHEIVLMLES QSFLDKF UREG_00499 MPATIQSNYTSDAETCDQDQESGYASGDSSQVALPDLVFTKPHL KFLNRQLQFLEPQDVLRWCITSLPGLYQTTAFGLTGLVTLDMLSKLDVPRPQVVDLIF LDTLHHFQETLNLVERVRKRYPNVNIHVYKPDGVETAAEFAAKYGDKLWETNDQLYDW AAKVEPAQRAYRELQVSAVLTGRRKSQGGKRGDLNIVEVDEAGLIKINPLANWGFAEV KAYVDTHNVPYNELLDRGYKSVGDWHSTQPVKEGEDERAGRWKGQAKTECGIHNPRSK YAQFLREQEMKQQEEALQQALKSA UREG_00500 MEELSTPYHRMSSSHRCLDREDHPRVVEVTICPPPSPEYLTFTD MRKHECIWRFEREWNVEVVLQQESVFRRHKRLAVFDMDSTLIKQEVIDEIARFIGVEK EVSEITARAMNGELDFSASLKARVSLLKGVPADVFEKLKSIITIAPGARELCRALKRL GFKMAVLSGGFQPLAEWLAEELSLDYAFANHVG UREG_00501 MAVCARGLRCLGTPAVSLRLAASRSYATTTPPDPAIPNTPGAAA TSSPAKRPRTSFQDKLNAGPSFSDFLSDKDDARILDPAEAYALKTALVGPKGKKKEYT RLPPWLKTSIPDSNNYKRIKNDLRGLNLHTVCEEARCPNISECWGGGSKSAATATIML MGDTCTRGCRFCSVKTSKAPPPLDPHEPENTAEALSRWGLGYVVMTSVDRDDLPDGGA RHWAETVMKIKQKAPNILVECLTGDFDGNLEMVALVAKSGLDVYAHNVETVEALTPQV RDRRAGFQKSIRVLKAAKAAQPSLITKTSMMLGLGETEEQMWDALRQLRAADIDVVTF GQYMRPTKRHMPVHEYVRPDVFEFWKEKALEMGFLYCASGPLVRSSYKAGEAFIENVL KKRRAESTGPGSASVQDVATGDLVR UREG_00502 MLSESFIASLLTSNAPSTASSSALKDVGICSYEFQPNASIRTTL KKSSTDPNCLAISASHIFAAQAGKAVVHVYSRERENQEATVPFPEKIRSLAITGGENE GAAVLVLGTEGGRLILWEICTGRQVSTPAAHLQPVTSLVVDPTNNFIISGSEDGSVHV WSLPGLVSFSKPHSAGQSLSPSNSPVRTISNHSAPITDIAVGHSINRSNIAISTSKDR TAIVWEYRTGKILRTFLLPGDPLRITIDPADRAFYIGYDDGSIQLVDFFKGSSIQNIL YDTNQQQTPCQLSANDRWLPPSPALGAVECLTLSYDATNLLSGHRGGSVVAWDIAKGR FASTITTFNYPVTDIHMLQPTGLPKVGRRLAIHNIVKPRYDPELLNTQSLGDTVPPSY SLTAHLTRPISASNATPTIDEFSEALSHQFFPSSLISEGLAELQTLRTGPVTSSVTQA QNETRGELSQSDHYSRIFSLEDEVHALKRQLSLQESARHANMAQIVKLREHLAGLEDL NNDLLDKQDRAQQLKLKKQAAKDEKGLKRREAWFKAEKKGKNGDVAMREIEDDDGDDT SDGDELSSED UREG_00503 MHKSKKRKVSHDSNTEMNGDASETSKPTAVFKPQGPRAHTLSIA LPGSIIANAKSHDQKTYLAGYIARALAVFCVDEIVIFDDEPRAQGHENKQPFENEYTA FSDPSHFLAHVLSYLETPPHLRRDLFPMHPNLRTAGTLPSLDMPHHLRANEWCEYREG VTIPGENYGSEESTSNKKKNKRSNKGENGSETLTLANAGFSHPVRISNLSIPPHTRLT LKFKTENPSDGADPVAPSAPREEAGYYWGYSIRRCSSLSSVLTECPFDGGYDLSFGTS ERGTPLATVLQCPEEIPQYRHLLLVFGGVAGLETAVKADKELADKGIGPGNVGELFDY WVNVLPGQGSRTIRTEEAVWLGLMGLREVAVSKGR UREG_00504 MPYTNETFASRISEIKAELPAQISSRTRHPPDAGSVAQLIDHTQ LSLSATGSQIDTLCDEAIKYNFATVCVRRNYVSRAAQNLKGAPPRVACVVGFHEGTHP TDEKVAEAKEAVQYGASELDMVLNYHLLREKRYADVFDDIHAVRLAAKDIVLKVILET SQLSEDEIVAGCVISITAGADYVKTSTGFKGPGARVENVALMSAVCGIMGGRTKVKAS GGIRTAEDCVRMIRAGAERIGASAGVQIVNELLHGKREAEEESKSTDY UREG_00505 MSLYSNPPGLTWKPANSHRSPPLTVKIQNFIYSVYTFLGLYLVS LFSMDPYTSAQNSKFNVVTPRNRNTRPSGRQSGKSSSGGGGGGGGGGGGGGGGGGRWG WGSGGSGSDAPSKRIGRVDDVRGPECGSCG UREG_00506 MAPLQLNDLKTAVSVIAQRLDSMNIDYAIMGGAAACLLSGDSTR RTDDVDFVIQVDDRGITADRLTAQLLKSFPSDFEGVSQFGHIIPAYKLRLPDGAVHLV ELEVFDYQSWPQRPQYNLKTATRKELNINGQMIKVFSPEWLLREKILSQYQRQGSPKE RSDIQDIANMLPIHQGYDIANPVISMRHVSWNWDALDPFVQGLEEEVAFKTAGVSLAS LWIRDLATTGAHK UREG_00507 MKNLSPKPPGPQPLLTALNVESQIHLVIGSNPLAAARCTKCLDS GSTPIIIAPPSEDINSSFLQKLQNGNVRWVQRQFKDDDLSTLGREEVDHYVDAVFVTL GPNNPLSIHISKLCRRLRIPVNVVDAPELCSFTLLSTYSDGPLRIGITTSGRGCKLAS RLRREIASCLPSGLGNAIEILGNVRKRIWEEDVYTESSAGFHFEADDDDSAAQKHTFN SLMLPEDPESAKTRRMRWLSQICEYWPLRRLASITDADVEKILAAYKQAKAEHINDVK LELGGKNGQVILAGSGPGHPDLVTRATYRAIMSADLILSDKLVPAPVLDLIPRRTEVR IARKFPGNADKAQNELLEMALEGLRAGKRVLRLKQGDPYLYGRGAEEYEFFRSKGYTP IVLPGITSALSAPLFAGISATHRGVADQVLICTGTGRHGAAPEPPSYIPSQTVVFLMA LHRLPSLLESLTTLGPEDKGSRHPWPRDTPCAVIERASCADQRVIRSTLEHVCAAIEA EGSRPPGLLVVGKSCEVLHRTDQPWVVEEGFKGLDDIGLSDGLEVIDELGRES UREG_00508 MSDGTLFKPDKDFTKDVDKQIPEAQEIAKTNLTGAIDKLLALEK QARQVRYSRPISLRLLGFWLRFCPLCKDAGYCPLLNEQVVALSEKTWPAQTGDDEMVQ VAMGFLDETPNMDAKMSLIETLRNVTEGKIFVEVERARVTRILSEIKKSQGDIVAAAD ILCELQVETFGSMARKEKTEFILEQVALCIARGDWTQAKILSRKINTRYFARKPKKTP EEIEKQKKEEEERERQRKPDEPPPEKEGDVSELKLRYYEQQIILANHENEYLEVCKYY RQVLDTESVEESPNYLRATLQRIIYYVVLSPYDNEQSDLLHRIKADSRNSLVSVEAQL IKLFTTDELMRWPMVAEQFGPHLCSTDVFDAQPCQSMDDKPYRRWQDFRKRVIEHNIR VIAKYYTRIETDRLTELLDLNQAETEKYISDLVTSKTIYARIDRPARIVSFAKPRDAD DVLNEWSGNMQSLLGLLERIDHLITKEEMMARILPSKSGRAKAH UREG_00509 MATQKPLLKILMLHGFTQSGALFRAKTRALEKHLQKSFPLHTLV LSYPSGPIRLDPADIPNFRPSSLESDDQSAQELCAWWRRADGIDPPEYLQFDRGLEAI ANVLRDEGPFDGVIGFSQGAAFAGMLAGLLEPRREEAFEYFSKPENNSSPVTRASGSF AQPAYVTAAPAATQVMGTVSGIPFPKSFAELDHAPFKFAICYSGFRAPGARYRAFYER PAISTPILHVLGSLDAIVDEGRSRFLIESCVGDPEKEGKVIWHPGGHFLPCQRPYLDG AVKFIRECLEMGDGRSSGGKSADDVPVEDMAMPF UREG_00510 MSFKHDTKCAVGKMVSTGRLTRPTHASKDRLSYFDQGSFLANIA AWGVIGIAVGCSRAVVAGAVVVALDSYELRDVSQAVMSSEGGKPGCWSRRTLVKSEEL FLVVNLAVGLENRETQREAEPSIYTLNKLTPACTFCSLLRKV UREG_00511 MVLAVDLLNPTPQAEARKHKLKTLVPAPRSFFMDVKCPGCYAIT TVFSHAQTVVICAGCSTVLCQPTGGKARLTEGCSFRRK UREG_00512 MTNSTSQPWNASQAGPRPSQNSGPLTNRQSNATLNLTIKAVSSK SPFPSPKNPQTQPSLNQLPQPPPAHIYIHISPSANPNSAPYASIDMIPPIVASGALLS PPADTASSTQPESNTIPAPDPETETATETQRARSSRVYYGSTRRSDDTRQPFRRSSAH DVDAERPRRTNRDTARGSRNRSSTRTDVHTSSDSESDVIEMCRMCHVEAAICQHPMVA RGRRLCEICFHTMIPTETHGNNAS UREG_00513 MVYIRQWDLEALRQYKYSGLDKSLVSRYIMKPFYTHVVIKFFPM SMAPNLITLTGFSFVVINFLTLMWYNPGLDSDCPPWVYLSWALGLFLYQTFDAVDGTQ AYVASFAPQVSNVVANWAFRRRTRQSGPLGELFDHVAGTDQGLSMFVGVDACNTALEV LIFAGTMNLGQTWATVLALFGSALTFYVQTWDEYYTQVLTLGIISGPVEGILTLCVVY VFTAVKGGGSFWHKPMLPTMGIAQPSLIPDHFYNLPFTSWYIIYGGFVLLFSTVASVT HVLDVRRQRGLSTVTPLFGLLPVAVTWVLIAAYLHLNPVILNFHLVPFALFVGIINAY SVGRMIIAHLVKTDFPYQNILLIPLLFAVFDSAAPRMGLPWPGYLGESNNQVAFVFGC LGLGLGVYGSFVVSIINLQT UREG_00514 MLATRLLRPSAAPSLVSRSFATSSLCFRTPSIRDITPDNAATFN ARQKEFRDNLEAARKKKLEQESQSINNSVSPSSSSTTTTTTTSSSPAALDKDGTSGAR SVVDAAERLDGQALGSLSTHRILGEKRQSELNTQTKRGPLSSLIYGTKEGQQLDRDIE RSFSEVLARGKYVHSIVFHKVKPDKVDEYVKLVGEWYPKMAAIEANRVNLVGSWRTQV GDNDTFVHIWEYQRYSGYHASLHNISKQPEFSKFDRHLKSLIDSKSTSLMQEFSFWPT TPPRRLGGIFELRSYTLHPGNLLEWESHWRKGLAARRVVMEGVGAWFVQIGDLNTVHH LWQFADLEERKKRREESWNIEGWADTVHKTVPLIQTMKSRILIPMPWSPVG UREG_00515 MSAHNPPLSYEPSATTTHPHYSTALPPELTHSLLFSANSPSGSS TSQHAITSPPHISLMSYTYLPSTPFSPHPTIIMTTNPSSKKTLNLLSNPRVSLLVHDW VSHRPPTRTRNPAREGSPPPEATQSSLATLLLNINTSAMSSISTTIIGTARFLEAGSE EEKWCREQHLENNTFAAEPAELGVFGQRARDTGVEVPVVDDEVRVVTVQVKEGRIADW KGGVRDWAVVSDEEERQIRDGQRLPNGVRT UREG_00516 MTSVMGSVLDHSNRFYPHYYLSPNQYEQLNLQAYEIFPKSISEL HPPFIYCPYYFEDFDPTVIMDSISPLDDMEQFQELSNDYQPDLQGPLVGPKQSTDALV TEYAQGHPIFVAKTSALAATHSFYRIMKGDGNCGWRAVAFGYFENLLHLRDPSKVAQE TARLKSFNDLLNSVGYQEDLYEMFVDATIDLLADISKAIETGNYDDSFLLNAFNEEYN STSIIQHFRLMTSAWMRLNSNRYQSFLPEPLEHYCERIIETVRTEIDEIGLQGLVDGV IANSGFAVQIAYLDRSQGGEVNFHILTPERPHLATIRLLYRPGHYDLLYGAEPSGSHP NVPVNYQVGVSYTYPPWYPTRLQFEFNSSLMAVPALSLEAQDATSPLTSSPASNLYTP VRTSSLFHPPLISPRPYDLPKCLPFSPLPPPPDRSNELPIRMNPLVREPMNTIPLSSI PFKNSQHNQAHFQNPDFQPSQWDYTKEYK UREG_00517 MCADQASRYDFEYEDADDDESGDIGIENKYYNAKQIKGDNPEEA IDEFLGVPALEQDKGEWYALLTYVKSAVTRNYSEKSINNMLDYIEKGAEDEKAYHCME KFYSLTLNSFQSTNNERLWLKTSIKLARLWLERKEYGQLSKKVRELHRACQRPDGTDD PAKGTYSLEVYALEIQMYANTRNNKRLKALYQKALKVRSAVPHPKIMGIIRECGGKMH LSEENWKEAQSDFFESFRNYDEAGSIQRIQVLKYLVLTTMLMKSNINPFDSQETKPYR NDPRISAMTDLVDAFQRDDILDYEAILQKNQDLLADPFIAENIDEVSRTMRTKAVIKL IAPYTRFSLDFVSKQLNISVPEVQEILSFLILDGKLQDAKIDQVTGTVTIEQHHDSER IAALETWASELNKMWEMISIQGDGFRADDGARMMSSMMELGGFGIGPGGPGFGSLRGF GGGPHHGRLRHAGMKGKAGGRGNR UREG_00518 MGGDLNLKKSWNPVLLKNQERVWIEQKKALEERKRIDQMMKERA EERQIQELQEMQEAAGGKKRLNRVDWMYSGPAAGQLGTTEEMEGYLLGKRRIDGLIKG NENSKLEKASGESSFMALQNANTMKDTATKIREDPMLAIKKQEQAAYEAMMNDPTRRR QLLKAAGIETREKKSSDKERKHRSHRHRDGDDRRSRHRSKRYDEDDRRSSHRHRHRRS DGHSRSRSRSTSPPRRSHRSPSPHRRHRSDSPRPRHRSRSPYRSRDDPAQYKRESYHY PRRSPPYKRQEDRGERRRQAPNDEERAARLAAMQQDANDLDQARISRLNAVEARERAE REAEEAARAESSKYGGKGAFVNGLNRRAGDIDLSDRLRRGRRNIEKEQEAY UREG_00519 MDAGATVNKLFALFSEPTVFDIFVDGMQMELSLWDTAGQEEFDR LRALSYDDTQVIMLCFSVDSPNSLENVQSKWVSEIAENCPLAKIVLVALKCDLREEVD EKDNAEETGDSQALVTYSQGLEVAKRIGALRYLECSAMRNRGVNEAFTEASRVAITVR PAKSDNGPKCVIQ UREG_00520 MRLAHLHIPNLIPFTHASRLQQTLVSRLLTYKKLSDLSDSQPTL APPDPTILTFTPYPVYTTGRRDLPPPSDTSISHTTTKPPWLPAPLEPIRPILTASPPL AEYHATLRGGQTTYHGPGQLVAYTILDLRRLRIGPRAHIRLLEETVLDVLASHGVQGI LSDDPGVWVAPSSTKAANWIENNAFAARKIAAVGVHLRRFVSSYGVGLNITEEPMWYF RQIVACGLEGRDATSLEGQGVQVKGGIEEVAKRFVQAFVGRLNEGTASGGVGPKIDEI FEIEEKDVLS UREG_00521 MGHLEIVKFLVDFGHDSSDPDVARVGFNTSPGISLNTDSSTPLL LAAANAHASCVEFLCSSFPQTIGRADKNGATPLMLAARASNPSNAPQSTKIVPPRQRP RAASNPTSAEDTTTVATLLDYGADVTAHDNAGNTALHYASAWGNLKTFRLLVQRGAPP LAKNNAGSVPADYALTGQAAVYCRSLVAEYARQKGETELPVEEDQENQHQLLQQQLHQ NLKLKVKASDLGQFANDSRLSPISPNETRLKEFGGRETGSPRKQPLSAGGLGLRLVDD DDTDRDDGTPSTVFKMSFPRLETNGDYESD UREG_00522 MKARSGLRAWRGLAPTSISSRPAASICSQCLLHTKHRLFYVPPT LSFTYRSYHPSRRKNQQSVLSAAVSTAQNFISKTIPTKPPPATGNGAGGISEVSVDPL RMVAKELKFLKKNIRQLLGSGHPLLDRVAKYYARSEGKQVRPLLVLLISQATALMPRS PRDRQILDAAGVNAAISSPAILADTNPDYNLLTAPSLTGNETAYTFAESDENILPSQR RLAEITELIHTASLLHDDVIDNAVTRRSGVSANLEFGNKMAVLAGDFLLGRASVALAR LRDPEVTELMATVIANLVEGEFMQLRNTAQDERNPAWTEDIITYYLQKTYLKSASLIS KSCRSAALLGHGAPDVVEAAYSYGRNLGLAFQLVDDMLDYTISGEELGKPAGADLELG LATAPLLFAWKSHPELGALVGRKFCHEGDVQLARQIVSQSDGLEQTRALAQEYSDKAV QAISIFPDSEAKRGLIDTCEKVMIRRK UREG_00523 MPAEKRTLRSNRSDAEKARSNSQTSGSNKDKPAPATRSSTRSKA PQKPGSENRTTMPGDKSQTNGTDPVENGVHDPEDVEMGGDGMNGSKQDQDGDEEMTVI VPPSKASKRAGGSDQNSGDVAMGGTEDDGAKDSETVDPKAKAQLDIKNNFTLLERAVA HFDPRFTLRVLRAISSMRKQLSAELLAQVVVDTYQRSDSTASFLLKALDQEAAFDTMV DESSMNVDNGSKSPKSGIPKEIIPEIDVYLSILVQIYLYDSKQIQHGIEFSNALVEKL RTFNRRTLDALAARVYVYYSLFYEQIVPLPPSPTAAVITIRQPLLSALRTAVLRKDED TQATVTNLLLRNYLSTSHISQADLFISHSEFPQDAPNNQIARYLYYLGRIRAIQLRYT EAHQHLTSATRKSPSTHSAAGFYQASNKLLTVVELLMGDIPDRAIFRQPALERAMHPY LLLVQAVHVGDLDAFVKVVQNHGATFRKDGTYTLILRLRQNVIKTGIRMMSLSYSRIS LRDICLRLGLDSEESAEYIVAKAIRDGVIEATIDHENGYMKSKEIGDVYATQEPGEVF HERIQACLNLHDESVKAMRFPMNQHRLELKNAQEARERERELAKEIAEGDMDDDDAGG EFDGI UREG_00524 MTTLLHSHLEQISLSATAIAELPFPPPKMFVNALLGPHDITTLI RDTEAHERALFSADPAANGMKHSHRRATRRGTMFPAETERETMVSRIHSAKDHRNQSA VARVLGGEMMEAIRKSANASSNRSNRGEMDIEVLLRGAEMLCNVYPVAGAQEKITSLR HRYQIVSESVAHFEQRVADQAAQLEQMSRSVSNDYYSTESSEPAPQDLSTVTNEDIEQ ELDEIRELEAQKRRLEDRVSGMERDLGGLLR UREG_00525 MEGQSGSASQPVAAQMQQNSALIRTDQVQKLPHLSDSQKQTHTA MVRGLWDILNSNPPGSNEYNGAHTKLTQISQNLMRGMRLFQASRQQQILAQQQQQQAQ HLQAQAQAVQAQTQAQNQQQQQSRSQQQQQQQQQQGQMNRPATTQGGSNPAAQARPQM GIPQSYQQLLPQIRAKVDTLMFILPPTIAKDQSETWLQEARLRYGLALQKQELGKVKL NDLRTQYTQRQSAGNLSQEEIQEFKNRQTNAEKLLREGSEFLGKFKEQQETFRLQTQQ LAASRQGVQNASLPGQIQGDGRPQQPSTTAPAPHTINSAVVAARAGQTPSQAPGQTTA STTGVTHAPTQQPVTAAQNTPLNAFGQQAIQDSMASTAQVPTTQGPPRPLSQQAAMAQ AAQSYSNVVNQPPTTTQPATTHAHPQNYPTSRGDTRNVTMAIPKNLNVPPPEPVSMGP SRPTLTSGPTHGATGVMGQPAIQKHPGYVLEGEGQHLLSKKMLDGLVKQVTGGGDGEG LTPDAEEFLLQMADDFVDDVIVAACRLAKLRPSATLDIRDIQLVLERNYNMRIPGFTA DDLRTVKKPHPTQGWIQKMSAVQAAKVTQGRTD UREG_00526 MAQAVFSDRPALRTGVDYRLYASKRSIRKVAAQEIYDLQDLRKV YTNPERNGEAVLRVFHVQNADWAARYLLRKFNIDNRDDLVGTDFGRYVRHRRPERRGG KPFLSGKTWKVQYDPWRGISKTSFSVDYLKQYNVPDPMNRCEDDGFKMMELNCFDEQD HPVHGFDVYVQRLSCYIQHKQTAAEPPPTDPDITNPYLPDAQIDGVYGKTPKEYIPRL NTLDNGNAILIFDNSHSGTLDHTLIPPRQTWEDRWRRLPFFLAFESRDLVATDDDLAY HCTRVIMEDIFKVLSGTWDTLLDLAYDHVSILEDKIYEQPADETRAPELWTNSNLWLK MEKLMFLHLDIIKELKTRLRDLTDDMERDDPWLEGAPGDFERLGNLITEDLIKPTKNL ISLLYQSVSIRDSRQNIRLSVSSMNVDTFSGDPSIKWYFIASVPFMLGVMIVWYFWKH ALTSQQNTPYRRGLYENFFNDLANANPSLWSQTGPRNYIIPQGRLAKIKWYLIKRWSA PEKTIRAGTASQEDDLGVMARLKRRLIWRWTSQIARAEGVDQASLRLESGEAALEDIA SDTQSVVVDGLANATELLAIPAAPAVERVFEEDRKQLQVPAVEERPSDEHRRLGRLSF HSHSRGSSAGKNSGILVEEEDWQWLSQLGREGKEWALRSASSRERSAQRKAAKEDAER AKDSDTSATVSKDDKPLPENQTERPQSSSNMLGVPRVVQPPENDTQ UREG_00527 MYRHITTEGTGHCRTHAVLPGDVDFAHDNVNNDSYGVPSQNDIR RNGLEAGPQEILQQLTLPRSSTSMRSIDQTLNSPRSPQATRSQIGSPVGSPRMASPRM GSPRSIVMGGTGGTHSRNVSNTSQHSDMPIRQQMMSRSPPNRTATTTRITRCSQRIAA MARQQEDDTKDIESVYLPNLMRIFRQRPKKHSWLSKVVAKFSR UREG_00528 MSGKGYSDTYVQGPERARWPPLTRMLMSGEMSGEPPRDMTMKEK FDRWMVNEGWRRLTVAVFSLAHLMIFAFGLLHYGLKATVCRTLISLLRQTPLNGIIQF VVAWSIVTFSWVHTVAHWSNYAQLAAKQGLGVSGFLLANVVTGPGWTGYIMLFALMAM VITSIGKFRRANFERFWYTHHLFVVFFVFWAFHGAFCMIKPDFPPFCSGIGVFWMYWI YGAAMYLVERLLRELRGRHKTYITKVVQHPSNVVEIQMKKEKTKTRAGQYIFPFVPTI SVWQYHPFTLTSAPEEDYISVHIRCVGNFTKELARTLGCTFDEGKGGKGGGKGGKGKT GVIGVNNQTASDDVDPSIRRVLPRVYIDGPFGSASEDVFKYEVAVLVGAGIGVTPFAS ILKSIWYRMNYPQTKTRLRKVYFFWVCRDFGSFEWFQSLLLAIEAQDKANHIEIHTYL TAKIRPDDATNIMINDANAEQDTITGLRAPTNFGRPNWDMVFRSIRKLHTPAEAGVFF CGPKPLGSVLHVKCNMYSEPGFHFVWGKENF UREG_00529 MAAPTQLAYQKVIYAAALILHVADILHGLLLKVSIIDPSVGHLV ATLPAENVYELGFSPLGTFIITWQRPSKDENGDAVKNLKVWRVVEGPPSDNGDEASRV PIGNFVQKSQTGWNLQYTADEKYCARVVTNEVQFYQSGNLTTVWNKLRVEGVTDFALS PGQNHALAVFIPERKGQPAAVKVFNVPQFNAPISQKTFFKGDKVQMKWNNSGTTLIVL AQTEVDKTGKSYYGETTLYILSANGFDSRINLDKEGPIHDVSWSPNSKEFGVIYGYMP AKATIFNARGVATHSFPLAPRNTMVFSPHGRFVVVAGFGNLAGQMDIYDLDKNYAKVT TVEASNASVCEWSPDGKHILTATTSPRLRVDNGVRIWHVGGGLMYNEDMNELYDVCWR PQSAVNHPLENPLHPMPNPHPSALAYLANKKTPSKPVGAYRPPGARGQSTPMSFRRED EGGAAFVRDDRPGTFGPSANTFGKPRRRVVPGAEPMDEFLPPGAAPGGGVALPPGAQA DDKLSKSAAKNKKKREAKKAKENADKAQNLSVEGAGADQAAPTRPHAERRERRNHSRS RSRGNNQNLDPNTHGQQNRNRSRSSHRRQRSDGNNNRGNGQRGGATAKVNTRQPPNGT PVPDVTVSSPTTGNTSSQEKKVRGLLKKMRAIDELKMRLAGGEKLEDTQMKKIKTEES VRKELEATGWSG UREG_00530 MLKKREIFGVAYVRARLLWDCCGWWLVGLSVAERERREEAEGGR EERNKKKEKENKQDENREQ UREG_00531 MAHPTLINLPPPPSEPPTPSEMGPSTPNSGTTSLSGVSTTAIKD GHQGKLYPHSHRPRRRHSDASDTSTDTLAAERADRISRLAGLERVATRTPRDTGTPGN NFPSGGPPSWFDPTYAHLLQKERSTVGSASATGSIGGRTTWASGSDIFDHDKLNDDDG VSSTGGFSDENASLVGFGEGASSTISGPVSTGGPNRMTVSSRQSSGVGGNRASTANST ATHLPQPGQIPPSSPSPAGSNTPQAPEYDPIDDARMVDGITFDEDVVDTTVRPPRPVA YEQQT UREG_00532 MPGHNPFRRKKAASDTPAPPAHASSDVAEQVPGSTIAAAPAAPS DNDPPPTQSSRTKTVRIASPPTLIPPVQPLTPLSGKNITPFQRISMHRGSPPPPMPDS DPESPADEGSLPLDPFDSPEISGSDDYERRQLEDDILRSSPLHTNEEAGIGRYGRQDQ GGLGRPISSGGTGFASRALDNAPPTPEQRKRAKMDVDAFARMLLRGSSESSLDGTQPG SQPQDRTAPGGGSNANAHGAEKAILQTASTPRQDIPDGPSKSDRGDENPQVERKPTER KKPPPPKTRHGKPIQTDPDMASIGASTPSPASDKYTSPFNRISLSSPSYNGGRVVSDP PIPSRSSDGSARASIQERRFESRSEPFSKPLTLPKRPPTPPARRNSQLKTHRSSPPVT RSSSTRLPTNTNSPLHMSSIPRTPPPPPSRRRDRESSTSSPSTQSRLSITPDTIAPLN GGADDASENTSLQRSESGSVRSVNRASRLSGSSAVPPRPPPPRRSGGSYAHGSDDSRA ARPRSLGSDESGHRFEEKETEEPPAPPRSNAGNILETLSKLQQEVDELRGRYERKPPN UREG_00533 MVSVRDMPYTVTNSFKRGLMMKTYSKPIRQFWDDASRPAAKKRR VDAGKDQNGSETNLECAIRESSAAILSSPSRRNSVSFSEDVLDDLSTPPSSPPALQLT PPPAITRKPTFSFLKRKRSATSVSAAGTPLAEVNSNSFLPASSEPPKKKQQTHQPPVL KQMQLDLGGEVRKTCPGCGMEYVPSNPEDMALHKKFHDMNANGIDLGKAFVRANACRW VYEATRFEEGYVVIVDRKSSPSTKNQAKRVLEVVNKELSAPEIEDAMLWSQIETPKQF RKNGSKEEVDRFKVFLHMKDSKCVGLCLTERIWESHPVKRDSKKTARNPAEGPDSSSI TPSPEKHPAIVGISRVWTSSSSRRKGIAMDLLDCVVGNYFYGIEIPKSRVAFSQPTES GCRLMEAFFGPDEPWHVYKENYATA UREG_00534 MASSDMSKRKRPRTQSCPPPELPQLVAEQHVPISAQDKDTKRLI VVLSNASLETYKAGGKGVNGRDDKYSLLNSDEHIGVMRKMGRDISEARPDITHQCLLT LLDSPINKAGKLQIYIHTAKGVLIEVSPTVRIPRTFKRFAGLMVQLLHRLSIRSTNSQ EKLLKVIKNPITDHLPPNCRKVTLSFDAPVVRVNDYIRTLGPKESICVFVGAMAKGRD DFADAFKDDAVSISNFSLSASVACSKFCHAAEDAWGIV UREG_00535 MPVSHLTLTVSNLPQSTSFFLSCLQPLGYRFIGRHENSIGFGAE PGKPADFWIAEERPGIPAGAAHIAFPAPSREAVGAFFIAALKAGGKIHGEPCLRDAEQ GYYSAAVIDFDGNSIEAVNRAVEMKESERSLAMVDNASYVSRAVSTKSRYPRSEVGSV AQKSSAGSVRISEQKPRSTVSTARQPTIQSSYQPSTMQPSIQQPTQVQAQTMTKPVDN LNGAKAVVGTLLGAAAGAAIAYAMVKGESQSQAESAPPKYTEEPMAYPAEKAPTEYRA IEAAPQRAMSMDDGMSAYARTTYSKNPRASTIFEGIEQCTQLFSKAASLAGGNSSQAP PMEARRASSGSVVYSAGSNADIPIRAIEGIPVDYDDHATEISYYNKNPSTFISNFTER PRRRYEEDRRSSYSGHSSIVSSSTAKPPKSTHSRPASSHHSHSSRSIITINDIYDKSS SSTTSSSSPRKPTASVYSSTHSARNIPLPSGSITTISASTTARSKRSSATASHHSHAS SARHVPLPASISGTSTIFLDDVDVDSHVTLPTVLPDGASRVSRKGSHAPSTASRRRSR SGSRRGSMVSVNSLRSSKFDEPVLPSDSVSQVGSNAAYSTASRRSGRSKR UREG_00536 MGPVSYSCYETTRTVVLFSGYATLRHTIKPNSCFYKQGDSPYSG GVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKVLLS ICSMLTDPNPDDPLVPEIAHVYKTDRPRYEATAREWTRKYAI UREG_00537 MNSSILRHTARGALNASRATSSNITKRCASSSAARFDWQDPLNA ANLYTADELAIAETARQYCQERLMPRVLEAYRKEDYDKTMLQEMGELGLLGATIDGYG CAGVSTVASGLITKEVERVDSGFRSGMSVQSSLAMTGIHEFGTKEQKEKYLPELAKGK LIGCFGLTEPNHGSDPGSMETVARPHPTKKGYLSLTGAKTWITNSPISDLFLVWAKLE ATGKIKGFIIQRDQCPTGTLETPTIKNKTALRASITGMIQLDNCPVPEENMLPDVEGL VGPFTCLNSARLGIAFGTMGALEDCIDRARTYSLERKQFKGNPLAKYQLIQKKLADAA TDAAYGTLAAVQVARLKDEGKCTPEMISMIKRQNCDRALVGARTLQEVFGGNAASDEY HIGRHVANLFVAQTYEGQSDIHSLILGRAITGVQAFC UREG_00538 MSCNSGQSTGPMPTRLRQIALVVQDLDRAEHLLTTVLGTEVIFV DPGVAKWGLRNILAQISVAIGGDVIEVVSPTTPGTTAGRLLSKRGDGGYMIIMQTLDA NRRRAFIETNGLAKVIYSHGSPDSVCIQYHPKGIKGGVIPELDSHRATPANPKPLMSR FSPWHACGSDYASYSAGMRRRSHLQLVEATCRLAPGDSDTEAALHQWERIFGVPRTQE HLQFTNAKMSFVKGTEGKSDGLMSITIAVQGKEYLDRIFSTARSLGLDIGDGWIDMLG LRWHLVASRDANAMSLL UREG_00539 MGIKRAFTPICKTNVATLGEYLYQIISENAPDAVKSGEIKNQFG RKTDYIRDTLESNISFLIAVRSDGQQLMNESGETTSHLMGLFYRTLRMVDNGIKPLYV FDGAPPKLKSGELAKRIARKQEAAEQHEEAKETGTTEDVEKFSRRTVRVTREHNEECK KLLKLMGIPYINAPTEAEAQCAVLARAGKVYAAASEDMDTLCFDSPILLRHLTFSEQR KEPILEIHLDRVLEGLGMDRKTFVDLCILLGCDYLDPIPKVGPNTALKLIRDHGSLEK VVEAMKNDPKQKYTIPDDWPYEQARDLFFEPDVRPADHPECDFKWEAPDVEGLVKFLV EGKGFSEDRVRSGAARLQKNMKTAQQSRLEGFFKPVAKTDEEKASLKRKHEEKLEAAK KKKKEDAKAKREAKSRPKGTA UREG_00541 MPPKKAAAAAAPASKPAATHASYKDMIKDAIINLKDRTGSSRQA LKKYVRNNNNINASATAFDVQFNRALKAGVEKGEFLQPKGPSGPVKLAKKEATKPAAK PAPKKPAAPAKTTTKKPATKKAAEKAEKAEKAEKPEKTTTKKAAAKKTATGKTKANVS KQRKAPAAAPAIVDVPKVIGKTKSGRITKTTAKSAPAPAPTTKRAPPKKRKTATPKKP EA UREG_00542 MPALTTTQEPQAQLRPRTTSPPPLFNHHHTPRKSHDRSSLSNAF VWASEANGEHGHVDPPSEFFMTNFKAGSFQSLPHTNKSVVSSHGRERSHSRNGSLLSV GAGSLKDKEHARHSLANGIDAQVDGPHGVGLPNGAHGLPHPVAARPVVNGDPSSTDGL STEHTPPTTVVPSPIAEHTGLAPSRQSSQTVNRHSSPPSTLQTDLEQSTSPPSPRSPR LAHRHTLQVPRTTSGRRSVRETFAPSPSEDNLASSDRFSSVSGFRRGSLSLARRTPRS VQSDLHLDDGTPQDEEAARWTEAIKHRRASKRRRRDEEDEDRVIVGTKVDQNHVNYVT AYNMLTGIRFTVSRTNAKLDRELTDADFEAKHKFSFDITGNELTPSAKYDFKFKDYAP WVFRRLRAKFRLDPADYLMSLTSKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHAEH KLLRRILRDYYEHIENNPNTLISQFYGLHRVKMAYGRKIHFVVMNNLFPPHRDIHQMF DLKGSTIGRDFREEDLVSNPRATLKDLNWLRRTRHLELGPMKRDIFLAQLRRDVALLQ RLKIMDYSLLIGIHDAAKGNEEKLRDKTLQVFQPGGEGSEEQQANVLMRTPSRLENAR KARELREIIKREKPIPMEQTTARMPDEILDERKNHVFYSDEGGLMSTHENGNPGEEIY YLGVIDCLTHYGMIKKMEHFWKGLSHNKTQISPIPPVAYGDRFINFVSLITKSKEEVE RDLVNQENAQDQPVMDKGDIDRTADRSPAERAMDQAAKEAVKSSKTLEKQPEPRDRVL TTVRTPDGDLASSATPSTQLPVVEEVGEGSSTGGRSGQSGYQNDEPQEFAPNGILASD PRRWTFRTALTPPGPPPDRPPPPPKVDSSPSRHKGKAAMRDSPPSRDKELPMLPFDSH RSPSPNLLNPNDLRPSNVYVHPR UREG_00543 MPKSTPSRPPTGRPSYSTPTKPERAKPYEDNGADTEEDEPKANG KGDDVVMIIDSDEEDNPPAEPKEVIPTVQYEEEEDEIDPRRPFLNIIESFNIRFGVKV LKLSIPSILPDAIRSPDSVPPALSRMIIFSAACADSSVRLIAAPLAPPPPANGPYLWD FQTLTIPTQSVEVIPPLVSMTFTCEKSDNDTETQGRSRRQESTPPGVTETWKLLLAVH SMEARGKLSLYQIGVEPQPIQAPYPHKLSEQDLQPAQQIYLSARAKSISFHPSQYPSD RHAHLLLVFERGNVDLYSVLPPKPRNISLSDRRRSEAEAKSKHVHVNHFFTLHTDFDP SSPDILSRKNIVDAKWALGGRAIIVLTTDGEWGLWDIDASGPDQQSPANVSTFSASLL NSFVLKGRIRSPEVSSKSQPGDSTSTAGQNKTKFAPMTPSTRRMREEALLKGAPPRPY VSGHSYRGGISVIPASQGWDKPIDESIILWHEDKNMQIPSLLTLWKSHAKPSEVILES SSSYKPALIQNINLLGELENAICYIPSHFQSGKPAQGSRPDILITAERRLLILASKLQ LPEEEKNDEETLEHNSTDDRDQTMLQRGELDLDGMDRVMAGMANNTHPNRPNLLRSSQ NTFFS UREG_00544 MAAIRSLGLHEPSAVPYLVAESIIPPHTAADGLIWRTYHYENED NGPVEEELFSTDHCVVWSRGGVVVRSFRFDVENEKVVHALFAYFADSERINTTTKGSR DAQDRAPTARGPVSTTAESRAPGGRQGKQVTIEETLNLNGISGLSVPDDRAKRSVARA LVVVLQSQIHVFFLSGDTHVIPLPFEVDSVWATPCGLLFQRKAIEENTAPVPTVPPNS FVSSQALHGRPRTSGSFTASARSSARFSITLSPSHPAKWNLKPEPESSHPRTFSLLDP HSEMGLVAVSSSGPEALTTKTLEALSASEEVLYVSSTNEIRELASAAQLDTPLILVVT LNEKAGLYTIWTAQQRAKGSAIRHRQKRRSSGGTHTKRRSSYFDMAASTTTPAGRGSN TLRESFGMLNQNRNASQTMSSQNAEARPEGTDDLASQLGHEFGDIGTSWKASRRVSSL LARSDLGGNPDRSTFSDLATGTQPNAPLPRKGESFAGSARGSFGYRRRSSLPPGNTSV LSNASSFLDAPVDKFLESLNKGGHFDGFESMGLGESVSGLPKEVILSRVGSYSSGFST AHGLSAAEKRRKFEVFTLSSFYESHLNEPDSSPLAVCILNKHSRNLVVLNLQVKISQR PPSTGKKVKAGEDGDISRMLVLSTTIDGRGELTLQAPWSTLVKLELPSSLALHEPYGI SLARSSIYPREAGLRRVLDSSNLILSALEHPSGGGKVDIIDGQNRKHRLQIQLEPRNF LVKRILSVCRFALRHAENAGDGVLVAWWEVLRWLQSKGETEDDLEWTALVTALFSMAV YFIEGNFTKPVVKPKRRTGPLLRSSSGNYVDLDSWDAMLEKQAGASGISPSWMMTPAW GWIREEEGRSDNPARSEQQSNLFATCQAATRRNGYILRCASLAREFISSPQGEAACGA EGYLPTAISRSPETRRTALGTILVSLHLLREELKLSTVDADLSNCDSGQMVPVLAQLG AWLGWQSWTWKEDAYYGTESASMDGWLFEESQISMLEVPSEPFPPPSIFQFVEDFLRR KPSSFMTLIDMTPNSGAKRGSGKIWEQALSLTPRTLALSGFFSEVDLQSSIVERTALL LRWGLTPSVIDTLPIGISAPLHEAIVQCRSNAPSGCGPSLLRLIDRNDLSMTMANEHL TPPMPRLQILQSHDASRDVHHIGCSVLDGSGVNSFEVSAEADRLSITKLIFREDRRYF EAVKILSQMRAPVVECQPEPDWSEADLLEAQKELVQLVTLRTLSIPSGRGLLYFSSRV PLSTEKLPIPSFSLQCVVKPSNITISAERTAFTEEKVCWAFFHNGASTGLAISKAARG LDTSWILYNKPGELTNRHAGFLLALGLNGHLKSLAKWVAFKYLTPKHTMTSIGLLLGL SASYLGTMDTLITRLLSVHITRMLPPGAAELNLSPLTQTTGIMGIGLLYCNSQHRRMS EIMLSEIENVDAEDTLVSQEMLRDEGYRLAAGFALGFINLAKGRDLRGLRDMRIVERL LTLVVGTKKVDMVHILDKSTAAATIALAIISMKSNDLSLAKQIDIPDTIAQFDYVRPD IFLLRTLARHLIMWDSIKPSHDWIQRALPRAYRRKSRLVTIRRLSTDDMPLFNIIAGL CFAVGLRYAGSTSAEARDLLVSYLDEFIRISRLPAINYDAKVTRNSIRNCQDIVALSA AVVMAGAGDVAVFRRLRSLHGRVDADIPYGSHMAAHMAIGMLFLGGGTYTLGTSDIAV ASLLYAHGHGAMPAARTHHADHRHGAEPRPLDRHARPDGQRAAARQVPQRQPVDLPEA AHHVQHGGQVRLCSDHVGPERRARRAGRTATDGRHDGGAQRARQTDGRAASGAEPVGM GVWAGGV UREG_00545 MDKPRISRWPRWRWLALAASLAAAVVLATTLTLKLRDSDNDDPP AGLSKIDHVVVFMQENRSWNTYFGTMAGARGFQDPNVQLNDGLPVWLQPYEDAYILPF HLAAANWSQAVQCMSAGSNGYRANQAALNHGLNNHWARNNTPWSWGYLRRPDLPVQFA IADAWTVADMYQQSQITATNPNRVMLVSGSINAPGSPQHPDQGGVYLDNNNTPGCEAP GVNCYPLRWRTVFELYEAAGVSWRVYQGEDNFDDNPLVWFEQYQNARPGSPLADKGIA YPGLDRFYQDAAAGTLPQVSFIVGPRELSEHAPYSPKDGGWLQQKIVDAVTKSPKYDR TALLISYDESGGWGDHVPPYHSPKGTPGEWIDDYMGIFGDVSTGPGFRVPFYIVSPWT RGGRVFTEHADHTSHILFIEQWLSARGVHNITTPELVPWRRAHMSNLVNAFDFDNPDL SLPQLPKADLPHRDSDGNWDGSAHCESLFPDTRPPVPIASQAASSSLPQTSPPTPRRT SSPTPLPPSPPSPQTQTQTTATSAPAGILHYYNKQLHLRHRHPAPPPQTRDPPYLLV UREG_00546 MSIGASSWSRVGAGRSSCFALSSFGAGSRVGAEASSGGSMMLEM AGDALARKTLRGGGVAVLAGGGLKLLGVGDLGLAGGRGLEVGQVVELLLLAGGGGGGV LGSALVLVLVGVPLAAGFHVRAVLGGIVVFPFSGSLGLGGGMWLGRVLADVAWGACGW SGGLLVDHRGGRRGRVLGDGVLVAVSREVGGWCNALAFRQGAERGGRGRSSGGSGSGG CRCSGFYCVCIVGGGHSLIISHSLHSLVVVVKWWNRTKKELVEVLVEVLVLVEGSGHQ ESEDGR UREG_00547 MAIRGQRFTVNLDDLDDSLDFVADIRERTTAAPPAPPQPPTTGF PPHRKRKPSAFKRQRAADQTISPTPQSKPPQDEQRSIDEENRQRLAAMSPAQIERERA ELMSALPSSLIERLLKRANIDSDHPADRPEPQAKPVSFDLPDTQNTPQEPPSHPPDDM PPPNPPPDLLPASQPPSAPIHFPTPPNDSPMPNLDPSSPSFLADLQSHYFPNTPHDTA SLSWLKPASPDEEPPTSAYHPASTATALAPASLRFSLTGQILAPRTSLALPTTLGLHH HAKDPEAAGYTVPELAILSRSTVPAQRCLAWQVLGRLLYRLGKGEFGEKGSRLVEGLW SVVEREKVVAGMLNEAGGSLDGLSTNRGGIGRHASATAWATEAVWLWRQGGGDRGLLR DGEVRSQ UREG_00548 MSRGPDGPWATCPPLHGLRVLELSRVIAAPVAGRTLAALGADVL WLTAPHLPDLPALDVDLSRGKRTIQLDFRRDQDKARLLDLVRSADVLIQSYRPGSLDA YGLGPRDLAVVNPGLVYANLSAYAEADGALLKNADNAWAGFRGFDSLVQTCSGMNVAE AERFGVTDGAVPARVLPCQALDHGAGYLLATGILAAVYHRDCVPDGFGAYRVDVSLAA VMKYLQSLGQYDGASGFQVDHPVPKSYPLQEADEENGWDESFWETRPSEFGDLRAVKY PGVIDGCDVGWDKMPKKLGSDEAKWLKVG UREG_00549 MAVIQEAKSDPASHTSSVTATTAPIPSPPSDPPAPYSAFSPARR RFIIGTVTAVGFFGPLCGAVYLPSLVLFQQIFHTTVTVINASVSVYMAVFAIAVRYTL DIPCPFSQQGLLVRSKERSLTPLFGAAAADYGGRKTVYMFALGSFLISNILLAALPPT LPGLFVLRIFQAFGSCIVTSVGAGTVADIIEPAKRASALAIFLLGPQLGPILGPLIGG QFATLSRWRWVFGFLALTCAPLYVLVLFFLPETLRCLVGNGEIYASSSWFAMPRLRQK PLVDQGRFPKPPKPTVMGFIRLLRYPPHLIVSVNGALSFAGLYAIYVTFPSVWEERYG FSSAEVGYLYLAPGISLLIASVLTGRLSDYRRAKALKAAQQAAGSGSVLPEQRISLQI FGFIISGAGKVLYGWFTAHEIHPSAGLVGSGIAAVGTAIIFVTSTSFQTECDPSQAAS LVALAGLVRNIAAAIAAVIIDRIVRSIGYGWCFTGLGILDVACVAGVLLIMWKGQTFR ERRLARS UREG_00550 MLTAYSLFSTGLLAVAIITILLLFVAAYLFSPPGSFPRNIPTIP FYYALLPLVKDNDQEVLYRRYLEPLFEKHGAAKIFFGGRWNILVQRPSYIAEVFKFED IYAKSGNHVKIPHSVLAEYTGDNIISAHGENWKLYRSVLQPPLQQDQDPEPIWRNARL LIDLLFEEQKSSAGGSVLLPQLLQRYTLANLAEGLLGTSFETLQRKDAALHEFQMQIK PLIFSPVFMNFPFLDHLNLPSRKKARQLARQFASKLCAVVQQGHQHAHNDKSTSNVGC SVIGAFEEGILTEKQFRNNMVSVFLAGHENPQLLLVSMIFLLGEHQAVQEKVRRELFS LGDDELAYPVLQSAPYLTSVIYETLRMYPPISQLINRCTTRPVLLGGKIPLPAGTYVG YNAYATNRDQEFWGADADEFKPERWGQTAEDINTMFRKANAKGAFISFHGGRRVCLGQ KFAMFEARITMAAVLRSVEWKIDPAWPRMMTPVSNKIQSHDATDINSVGIDRLGHCIR EICESDSSGSARNIPNGTMLIYPNSTGTDRQYHARSERAIPKSNRPAPAGREEALNLE NAPCLDSSAMFIDHSSALATCASKCCVPVSLNTAAQPLP UREG_00551 MPTLNRGSSVYHSTYALYWRDASGKLLAVEGNGSSEVRQAWPQV WDGIAANSKKRTTIRLPSGRQIDSYQIKLGLPALLDGGRLDSGHQLTQIRELQSNNGL ILGLVTSGHSNNSSNAELDDWFEKFLLLRTEFEPFNALSETSNQEARRIAVQISDLFD EKLRNIAPNDQWSSGGGMEYFRNRVYGFVDKGLPVIFCLPAFPCKSPNPQKVGGEYPD AAEYYALVTLLDFLRAVSLVYKPGAILWIISDGHVFSDCIGVDDIKVDEYKDRLMEMH NEICTDEKQRGYIQFMGLQEIFLSDPDLTNQLSPAWMKNIELAHPVGTKLTEDAELCR KLLMEMCQTDRGYLRKLIQEQESHALQMYRGLSRFMLRDLGSHSSMKGLSSKQQKKLS SSVATEMILRNQAYSNLVELLFPNYVRLSIHAHNNKGPKFGIKLFPKSTVRSISSLET RHETHTTDDFHLPTPWHNSIIKVSGDRHMYLTAADVAHAGINGALFKGSWVSGPNGGH FSLTSTEQPTTEKPAQDTYQQSGLFSIIQWLWFSITKPFWTLWQ UREG_00552 MILLSIIAALALNSVVSSGSPLPKVADDCAPIHILSARGSNQPQ GEGPTLSPLVDAIVGAHPEASRDSIVWPALILPYDVNSHNGTLAATAALTAYVKKCPD SKIVILGYSQGAHIIGDSLCGGGVTAIVWFGDPRHIGGESYNRGTSRRDGLFGRLPSQ SCDYYADRLESYCDTGDFACDRGLDLPVHGEYIPKYTKQALKFVNEKLGQ UREG_00553 MASRTLGSAACNPIRQAVRSVFIKWLSAVGDSLMDFSLVVDGEL FINGSFQDVELGLLPSGSSSPVCVVKVAFDEPKAKWLSEAVRLVYGPENDCRIAIVVE IHAEPDENRPPPPEKEMWRSLPFMYKHNVGQLATTIAQFCFRKGYYLSGCFTCRIHVL CLRWRESELLWECRLKNEELILETKHDEQDEYPNWKELLLPEWTVRKKILLPFDDVVE AIMDSIPAYEEMVSLRMAGKKKKALLPPMEKCKKCRFCKRHLEPINGLKRLYEKEERE KEEKKRVLKSHTASVAGRQVRGTQDKRKIADQLKEPEAGDADEEMEDGMFDADDGGDE AEEPSEDEWSEYEDDSTDMTSD UREG_00554 MEKHPLPDLRQHEYTTIIATLALLPAALAAVMDNHMPGPALEER ACVPSKCRCIGGQGQFCGNEKINRDCKNGHVYECNRKTGRTCDYGIRTSCKKCNKLKC UREG_00555 MGSTPIIPIIGGTAADCVPPALPESLWVLVVEIPEMDPPSLEKV DVYHFPQLNG UREG_00556 MWCVPALAHRLSAVERRAVSGCCCDGYSQHLPQEHLWQGQLADP VVPVGAHREAHKPIPATIYKLFQSIIDARTETHSLFRRVVANNPDPDIERSNATNKHW IDGLTKAFKTLGGDSWIAETRNEKKAVDDDEDEEEVIFSNKFSALNLDGEVIEDNEEE DETRDAPVQPKPKPANRKKKGGKKGKKSKGKGKKPSAAQPDQEDLPLEAYRIIEDESG IITDYLMAVYSLASQWVELRHYIQDLWHEVAYEGLNSAVAGAVSNIAVAMIRRTETKI FIDFPGHDSFDTVMNTIVRGKPDKAQGMFHMSQHCWDNNGRGGQVVQEVDVDVKEQFL IHTYRALLDFVTDYQIARDGKPSKSMLAEIQNWSPDFNLARATKEQRIQWRRIYTINW LYDLVNLCSGVVVQRRNLKGQRIVLETVDWSVNGPWSQHRTLFGLNEFAGVITSLAMQ KPGTDVRPRILPHHVFQLQCIVDSLCVSRGWLVSSLRGHVLTEPARDFRARRDVDLFM DRESKKFLKGFCTSVTVFVQLAERDAMLHGDPNRHADSKILLENLLEDFVDWLGESKY MHGLTGIPPSRFSNTNSNGLWEYSPFLCGVGLVEALDLACTTAFLVWDNIPEPLCLVH LHNLLVQEGYLKEPVEMLDILTGLFPDSFFPNGKAPSSNYIEAFLEMIGKTGTRHAFH QRRAIRQAAAGTHGLLNPAGNRFFTARSWLRRYRDADWDLDRIPDEDVNIPSTLAMLR IHQAKRTTDPVTGQKVLEDTDIVKRYRARGMDDATMIESTSMLSELTKEEEIPESILA TIPDPSRYTIRQPKKGMLKDAQLLDLSKFDIATDISSQLRPISGINYVSVVSLMMSLF MMAEDKLKERRNPLWVEVYEHTNPAISGQKRASLVTLMLRDRDEECMRVFAEVFQNTK PIMIHHLYWNKVEPDFGIRMKQSRDEPPDCTVM UREG_00557 MTYALKTADAKFLMTTPGSIEVAVAAAENTGIPRKHIFLLEGAV EGYTTFKQLQEIGRSYGESGQVAPYQIRPGRTNKELCGFLNFSSGTTGLPKAVMLSHH NVIAQCMQLKMITPPVGTRTAMGALPLFHITGLVKFMNCPIFFNDELVMLPQFNMELM LRTIVEYQISELTLVPPLVIRLVNDPIVANYDLSCVKRISCGAAPLSEQLTQLLQKKF PQSGFKQGYGMTESCSCITSHSPEYYDYKYANTVGNIVASTAIKIIDDQGKELGYNQP GEILAKGPQIAMGYLDNPTATAEAFDKDGFLHTGDIGYMTEDGLLRIVDRIKEMIKVK GIAVAPAELEDLLLGHPDVADCAVLGVKDDYAGERPKAYVVLRDGLKPSEEVGRQLMK YVKERKARFKWVEEIEFANAVPKSPSGKILRRILREQDRGGQNRGLVVKDDGQGKPAA KL UREG_00558 MSPTSPPSDTPSAGHKPENHRRGPRATESSTDLSDDDGPVYEAV RTFSSSDDGSENAQIAAVLSRTSTRQAGDGTQLQRRDTLAGIQVGDPVLDPTSPEFDF YKWARMFMRLIEEEGIKHTRTGVVFKNLSVSGSGAAMHYQNNVLSPLLAPFRLREYFG RKSQKVILRNFNGCLHAGELLIVLGRPGSGCSTLLKSLSGELQGLEKSEDSVIHYNGV PQEIFNKEFRGEATYSAEDEKHFPHLTVGQTLEFAAAARTPSVRPMGIPRKVFAQHVT KVVMTIYGLSHTKNTKVGDDYVRGVSGGERKRVSIAELSLAGSQVVCWDNSTRGLDAA TALEFTRALKVGSHVAGMTQLLAIYQASQAIYDLFDKAIVLYEGRQIYFGPAKSAKRY FEDMGWFCPQRQTTGDFLTSVTNPEERRPREGFEGKVPRTAAEFEQYWLRSQQFQDLQ TEIEECEIEHPEVDETLAAQREAHQQAQAKHVPKKSPYTISIFMQLQLCMVRAYQRIW GDKASTIAVIISQVVMSLIIGSIFYGTPETTDSFFAKGSILFFAILLNGLMSITEING LQRPIVAKHVSFAFYHAYVEALAGVVSDIPIKFVIATVFNIILYFLGGLRREPSQFFI FFLFTFITMLTMSAIFRTLAAITKTISQALAFAGVMILAIVIYTGFTIQRSYMHPWFK WISWINPVSYGFESILVNEVHGRRFDCSTLVPPYGTGNNFECAVAGAVPGERTVSGDR WVESSYGYSYAHIWRNLGILFGFMLFFYAIYLFATEYNLSSISAAEYLVFRRGHAPKS LIEHQDEEKDTGALQQSSNVSPEDTPGEGTVNVIPPQKDVFTWRNVVYDIRIKGEPRR LLDNVSGWVRPGTLTALMGVSGAGKTTLLDALAQRTTTGVITGDMLVNGKPLDMSFQR KTGYVQQQDLHLETTTVREALRFSAMLRQPQSVSKAEKYEYVEDVIDMLNMRDFSEAV VGNPGEGLNVEQRKLLTIGVELAAKPALLLFLDEPTSGLDSQSSWSIVKFLRKLADNG QAVLSTIHQPSAILFQEFDRLLFLAKGGKTVYFGDIGESSRTLLDYFEANGAEPCGPN DNPAEYMLNVVGAGPSGKSEQDWPTIWNQSEEARKVQEEIDRIHAEKEKDESPQDSLG PTSEFAMPFRSQIYYVTVRVFQQYWRTPSYIWGKLLLGFMAGVFM UREG_00559 MSEIDRQNENETQKKKSLMRKGGASGDRRRRTEQEEDAELLKDE KQGGPAETVFRESPAFIKGGQMRDYQVAGLNWLISLHENGISGILADEMGLGKTLQTI SFLGYLRHVCGITGPHLVTVPKSTLDNWNREFSKWTPEVNVLVLQGAKEERHQLINDR LIDEKFDVCITSYEMVLREKSHLKKFAWEYIIVDEAHRIKNEESSLAQIIRLFNSRNR LLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSNQEADQDTVVSQLHRVLRPF LLRRVKADVEKSLLPKKEVNLYIGMSEMQVKWYQKILEKDIDAVNGAQGKRESKTRLL NIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVDNAGKMVILDKLLKRLKVQGSRVLIF SQMSRVLDILEDYCVFREHAYCRIDGSTAHEDRIAAIDEYNRPGSEKFVFLLTTRAGG LGINLTTADIVILYDSDWNPQADLQAMDRAHRIGQTKQVVVFRFVTENAIEEKVLERA AQKLRLDQLVIQQGRAQQQTKNAASKDELLNMIQHGAASVFSSSGATGTLGGGKELSE DDIDQILKKGEERTAELNKKYEKLGIDDLQKFTSDNAYEWNGEDFTNRKKDIGLNWIN PAKRERKEQSYSIDNYYRQTIPTGGRTADTKPKVPRAPKQIAVHDWQFFPSKLRELQD KETAYFHKEIGYKAVLPEGTEEDLSDREAERELEQQEIDNAVPLTEEEKAEKEKLSEQ GFANWNRRDFQQFINGSAKFGRTDYQGISTEVDSKTPEEIKEYAKVFWKRYTELQEYP KYLRSIEQGEEKVRKMNHQRKLLRKKMEQYRVPLQQLKINYNVSTTNKKVYTEEEDRF LLVMLDKHGVDGEGLYEKIREEIRESPLFRFDWFFLSRTPVEISRRCTTLLNTVAKEF ETDGKAANGDTGKGRGRDRDEELENGEMDGPVKKKSKNGAVNKKLKAVQSSGSKATSA ATSRAASVSSTTATTKSKGRKK UREG_00560 MDSMRSLNSSLPSNSAPQPPEVLLQAFKAAALSVTNLYKSAVSD QTQLRNLGYQDALEDLRAFLDKERIGLSDGEGSRIRNWIADRIDGTGVAGNDSDDERL DSEKRNRSTSPNAVRKEPSEPNRHSRSASPPRTEHAAQPLPPSSNEPAVFARPAMFTF TAGPQFPSPPEEDSDMRTPDAPITMQSEPQVNHPHLTPSSVRLEVVPRAPRTPHRSNS RHNGRSSTRDSAATIGSKRKFHFNDFFDISNIGNGKDAFGGGKRGRFI UREG_00561 MTNSIEALYIYDDLNTPILEHTYRSRPPSAKALLSLFLEHPPPR PSVIYLPTATPPVTVFSILHANLLFLVPSSTETEPLQVLEFLHRVVDVLEEFVGAPLL ASKIQSNYDVVGQLLSEMCDAGIVCNTEPNALQEVVEVPGWMNKFLSGVGLPGSSPSL GPSNPGKSSLNTMPPPNGLSAAGPAIPWRRQGVRHTSNELYVDIVESLHVTIAPSGRA LSAIANGTIAFTSKISGVPNLLLSLTAPGGQKSLAHKLELPVFHPCVRLARWRERPGE LSFVPPDGRFILAGYEVDLLPIDPDLDEPPAHMEKLFLPATVSLQKSLGPNGLDFEIR LTLNTNFPGTHFSSRGGGGSNRGSGTSTPSFLGNIGGGSSSSSPSLDDLVVTVPIPPS VRYITDIQASRGEANFMPGNEFLEWRIPTSSRDAGNISGTAVLRCTVVGPPDAEHPKE EPVEEEHGYDGNASSRARVNPLQSYYDEDTLTSERRQQLPSERNKPKSRKPQSNSLLM PNSVSVSFSVRGWLPSGIRVDGLVVDSRRSRGLGEGVKPYKGVKYICEMKSAITDFSM PAWRIAEDRMQLFA UREG_00562 MGDIKIPALQYTSLDEIPERHQGPRASDQGSSQARLEQSEYECY LAEITALENDIIFTTKNLPKWAKDEKAPDIDLTYSLMKPTIRKDPLGCVLVIGAFNFP FLLTLGPVIGAIAGGNTVMIKPSESSSNSAAVMQEIVEAAFDPAFVTITQGGVEETKA LLAQKWDKIFFTGSSRVGRVIAQAAAPNLTPVLLELGGRNPAFITKNADLRLAARRLL WGKTMNAGQVCTSQNYILADKEVVPRLVEEFAKALKEYYPKGPKESPDYARIINEPSF RRIKAMIDNSNGKILLGGAMDEQEKFIEPTVVQVDSVDDSLIAEESFGPIIPILPVEN LDEAIRIANDLDGTPLSLYPFGTKQEAEKVLTAVRSGNASVNDSYMHISIPTLPFGGV GESGTGCYHGRSSFEAFVHRRSITTTPSWVEKALAIRYPPYAGKLSKFLGVGLMKPNF DRDGREKLGVLGWLVWVVTLGGGPNKAGAARSAAVALG UREG_00563 MKLRPELEDENTRYYSGGAPPQPFRVLLPSSDSLPIVRTERLCL RPLTLGDIDTIFNIRPKEANSWYTPWTSVDEARAWFDRKTFCEPASAAGLTFQYGIIL GPDPSGEMIGTIGMNQVHPRPNIGYGLTDAHTGKGYMSEALGGVLGVWWGLPRREGES DEEEQSEDWDGTERVFATASKANRASVKVLEKNGFEVYFERTTGSGDTVCCAAVTAQL PDD UREG_00564 MADAFRARTLKRKNVKGLALNAAASKLGSKPSDGDAQIPGAIGN SDSNRTDTLEIGLEFQLDLRSEDLIVLKELGAGNGGTVSKVMHASTKVVMARKIIRVD AKENVRKQILRELQVGRHCDSPYIVTFYGAFTNEARDIVLCMEYMDCCSLDRIPKDFG PVRVDVLGKIAESILGGLVYLYEAHRIMHRDIKPSNVLVNSRGHIKLCDFGVATETVN SVADTFVGTSTYMAPERIQGEAYSVRSDVWSMGLTIMELAVGRFPFDSTDTAAGDRAS AGPMGILDLLQQIVHEPAPKLPKSDAFPAILDDFVAKCLLKKPGERPTPRELFDRDAF IQAAKRTPVNLREWAISMMDRHNRKSYLAPPAPRAITRDGSRDSVSEPKPSRQPDSHA HVTPTSGEIPLNYSHVQHGSRDDQTPRAVEPSPSLGLEHLNINNHNNSPVNGQNGWPR KSPYAAGHHSASNSPQAYASPRAAPSPHHPSPRSLPQSAGLPLRTGPPSGQLPPPPGS SALGSWPRGQGNRV UREG_00565 MSAADLLENEALLDDEENDEDYDEETGEVSRTHGDRNGHYDDSS EEEEDEDEDAARAVREGFIVDEDEEEEERIERRREKKKRRREERALDDEDLDEEDIYL IGENNPDFEPPVSQESKFKRLKRGHKGDRGRGISHGINDIFNSDEEEEEVDRFRMGAR DRRGLHDDLDDFIEEDVFSDEERERMRDDEEIARPAKKGISGLAVTEATGLDEAALED MRAAFGDGTDYLFALEMEEEEDEEDRRDEEKPLDLKDVFEPSQLAEKMMTEEDNEIRF ADEPERYQLARKPYKHVILTDEQFKEEALWISNLMLPKKRLDPSLKEPFQKSIVKVLE FMVTDDWEVPFIFQHRKDYLIHAAKVPVSPDPSNPDGQDYVIRAEKLLNMTDLWDIFE YDLKFRALVDKRNVLQRTYDNLRSISNVKDEVFEQMLPAAVTMEELQDIQDYLYFQYS AELKDVAMVNGSGENGVVSQRRKAATKTFFERIRNSKAYGLVRAFGITPNGFAQNAMK EAKRHHIDDPTELPEDMADNLLDTSFANGLHALKASKAMFAEELTMSPKVRKCLRQAF YMNGVIDCFRTEKGLKKIDEHHPYYEFKYLRNQQLSDIARRPELFLRMLKAEEEGLVE VKVRFQNFDNYKKRLYGDIQSDNFSEVADAWNKARKEVLDMAIGRLEKIMSRGVKENI KTECENHVAKECREAFSLRLDQAPYKPKGMVLGTIPRVLTLSSGTGTIGKDPIYWAWV EEDGRVLENGKFVDLALGDPDRMIADGRDVDNFMELIERRKPDVIGISGMSPETRKLY KQLTELIDAKNLRSSPYTNDNDEEVSDLLEVVIVNDEVARLYHTSDKARSEHPGLSQL TVYCVSLAKYLQNPMKEYASLGRDIVSIQFKPGQQLISQDKLLKQLESALVDMVNLCG VDINEAVNDPSTANLLTYVCGLGPRKASQLLKIINMNGGVVNNRMELLGVNAQYPAMG VKVWNNCASFLYVDYDTSDPDTDYLDNTRVHPEDYDIGRKMAADALELDEEDIKAETD ENGPGAIVRKLIKEDAQEKVNDLILEEYAEQLEKNLNQRKRATLETIRAELQQPYEEL RKQFVFLSTDAIFTMLTGETPDTLAEGMVVPITIKRITDDHIDGKLDCGVDVLIPEME LTDRYDIPVRTLYSIHQTIPAKLLYLNRKAFIANASLREDQVNKPYRREFDHMRDEWD DNQERQDQDAMKEKAKTNTRALRVIKHPLFRPFNGPQAEEFLASQSRGDAVIRPSSKG PDHLAVTWKVSDRVYQHIDVLELDKENEFSVGKILKIGGKYSYTDLDELIVNHVKAMA RKVDDMMIHEKYQSGSKDATALAYNLHHRQSHPFHLRLLHRPQTPRLLSPLFQSRPEC ANEQLARESYTTGVRTAAKSIPRHDSAM UREG_00566 MLEHRRAHESKKTVSRKAAKRDTDRLSSLLYSSPGAISAHRTHS QDVSRDISRVASREASRNVSRINSRVVSRDVSLSPSRDQSDDEYDSGSESDETSPSTI SFDDRMGEDFEGGIKQDRPLAAVIDDLIDRKHSTVPAREENLAAYGRILARHYAAGEM EDCVEDLLPVFLQSIKQDSTENETNLALKAVALTAMTTLDGSIYDGASSAVRRKITGY SSLLTKATAVRCLGACAFFGGATEEDMVDEMEFLMEIITSDGHFVEAPDDPEVVTAAL QEWGLLATGIEDLEYQSEDAIEAFADQLDSSEADVQIAAGQNIALLYEKSFSPREENE EIDEFEYNLQIDQDDISKSDYKDDNGVLLVQRYNPYHNTPAIEQKIQDLTKVSDQQIS KKSKRALHKHFKAILTTVENPRHGPRYKQFSESEAYGTRLGVKFHRTASLNLNRWWKW LRMVALQQWLAGGIVEHYRAKSRAIVENIPGFSVVVETERERPRRPKGKAWKRAGDSG IPTGRRGEMNMLYDTLQG UREG_00567 MTESFQVVTTFFQPSPGVHPGYFYLIRTASNILKYFHLDDTTPR SPDVKGSNLDFKEVIKGNWVVGILKPSNKTKGKFSVVSSCATPLKGIERIWTDRMVDV KDIADESEECVLETDLESYYTKPLVCKVRVPSTVLGVAGCAVYSRFPDVIQLLQRESD IYRIIQGKGLARRFLAYITEDRSRVIGFIVMDVSDDQDGWAEIMPQDIRAQQRVLREL HGFGIALGTVSQHYTFLELKDGRSLIENFRASTQNASKRTMSRELKVVGYIPDPIDRP NFEYLSEKLRKELRAIQRRDGDVHIAVIMQATKDGIVTVTEKDHKELLVTPLRSWNID UREG_00568 MHLGTILSCLLAATASASAIADGPERRTRPSLKVYKCPRKATAK FNKSVPDQKPFPTTHVELCWKPKSIQLTFKAFDETEFYFDPKQTTNQDIWQYEVMEAF IHPGRNSPQTYLEFEVNPNNVTYQAFVYNPSKVRAEKAPFDHFFVTNPAADGFTATTT LDRKAKTWVSEVDIPLALFNVERPERSTWRMNFFRTVTSPKTFPAQELGAWSPPTRRG FISRHLWRR UREG_00569 MVSRAPEAGPESSTIYSMDKNAAVEQGLFTNNPGGGMASDHEEG KDDETLVTWSGPDDPTNPLNWARRKKWVCTWIIALFAFVSPMSSTMLAPALSTIAKEL HITNSMEQALVMSIFLLAYAIGPFLLGPLSEIYGRVVILQSSNIVYLIFNTLCGFAQS KEQILAFRFLNGGMLSDCWRKEERGKAIAIYSLAPFIGPVVGPIAGGYIAQYTSWRWI FWATSIFDAAIQIGSFIFLSETYAPKILAVKAKRLRRETGNPHLHTQWETPDRTLAQI LRKNLVRPLIMLSTQPAIQVLGLYRAYLYGLMYLVLATFPLVWEQAYHFSPGTASLHY IALGVGFIIGLQICAPLNDRTYCALKKRYSHDGRPEFRIPLMVPGGLLVPVGIFIYGW STHYRTHWIVPDIGCAIFAMGLIIGFQCVQSYVVDAYSIGLLALPAS UREG_00570 MNILVAPSGFKESLEPHVAADCIEAGILRAAPDAKVRKVPLFDG GEGFARALVASTGGEHKELAVTGPVKHKINAFYGFLGGERQRTAVIEIAEAAGLRLVP KDQRDPTRTTTYGVGELINAALNHGADRILIGCGDSGTSDGGVGMCQALGARFLDNKE CELPQAAGGGSLAKLAKVDLSGIHPRLKKVQIDVLCNWKNVLCGPNGVARVYGPQKGA TAEQVDQLAAALENYAAVVKHDVGMDLAKMPGGGASGGLGAGFALIGATLRPRFEAIT EYFGIEKLFDECHLIFTAEGGIDYQTPRGKIPGELAIRAKRCGLPVVAIAGTIGAGAT VNYGAGIDAFTSIIQAPTSLENAVAQAPKLLIESAEAAMRMIMIGRRLVVNEAKSRNR EAGASQWISPERTSIRFQIRESIVKLLPLLVSLSIFISWPWFAAGH UREG_00571 MGLFFRGLCLSRASQSVWGKPLSARSCRCASLNSAISNGIRKDR SVAGRNPKPWDKQISNAESRPHSRELEYSGRQGPRSQQGRERGLRRDSHNRDFDIGRQ GTGSQPGRGYGRRDFHNRELGYSGQRETNFRQGQDRRPRDDFHRPELDYGREARPRQG QGGSDLHNRVSEISGERETRTKSWWKYSSNGRAPIKRKKGKKIKPTIDSPVSLVSKVS LVCPRSVPHTNAVSEFIYGYSAVRAAVLASRRKIYALYIYDADPSSTKWAQPEVNALQ KHATVAGATVKLVSGTWLKMMNRMSDDRPHNGYVAEVSPLPKLPATSLKAVTTLPATH FTVNVASQPKEEADINGSDGHIPLMSQRNTDHISQQTPRYPFILLLDGILDPGNLGAI IRSAYFFGADAIAFSSRNSAPLSAVTIKASAGAAENMPLISIHDPATFIDTSRGNGWR FFAAEPPASTFDDSQAQVDTMLTKTPILSPHNLSAEPRKSPCVLMLGSEGYGLSKYLK RKADAFVAIPGAQAAGLSDDPAGVDSLNVSVASALLCQEFLREPSPGQNYPSPIRPKS PTASESVKETEEDRVF UREG_00572 MQPVRRSRRLTSGPKLHAFSDLQDDSDELNGDVTLGRRRSQRVR NSRKGATSLAERKEDDISEVEVAQTGSKYSGAREVFEVLPRDNLFRTHHQQACATCLY EDPGPLVYCQGCTSSYHKTCLGPRGQRLHLVTKVNNGYFILQCRACLGTACGKDKLAP HLGNCSKCHKAGFFSSPLRPQLTARQEQTQREENGGVDPSIAVDIGQINNAENVMFRC MTCKRSWHMSHLPPKSKKTYIADSDDDNDNGSLEDDYELCKRRFSEYSRQWQCQDCLT APGEIEALVAWRPLDLDSYTPGYTSDMLPELSKEYLVKWRKSSYFKVTWMRGDWVWGV TAAAMRKAFHKSTKSLKPCMSTEDAIPEEYLQIDIALDIRYSNVVSNRTYEIDLARIG EVVEVYAKYKGLTYEEAVWEKPPDPSNTDQWNSFQVAYANWVQGRYIQRPNRIRLKKH LLSIRSKNFGDHLVKREQPSILVGGQLMNYQLQGLNWMYELWHQQKNAILADEMGLGK TIQVIAFFATLIQDHSCWPFLVVVPNSTVPNWRSEIKRWAPSLRVVTYYGLSTSRKLV RDYEMFPDAKGGLRCHIVVTSYETMTDDQGRRVLSTIPWQGLVVDEGHRLKNDKSQLY DCLSKINFPFKLLLTGTPLQNNIRELFNLIQFCDPSKDAEELESRYQNLTADNITELH KMIFPFFLRRTKALVLDFLPPMTQIIVPVSMTIVQKKLYKSILAKNPQLIKAIFKKAG PLKQSERHNLNNILIQLRKCLCHPFVYSNAIEERGVNSTLLHRNLVEASSKLQLLELL LPKLQERGHRVLIFSQFLGFLDIIEDFLDGLGLLHLRLDGSLSSLQRQKRIDQFNAPN SPYFTFLLSTRAGGVGINLATADTVIIMDPDFNPHQDIQALSRAHRIGQQRKVLVFQL MTKGTVEEKIIQIGRKKMALDQALIGFMDAEEDAGVDLASILCHGADALFDDDDEGDV HYDHKSIEKLLEQSENTKTSDDTSAESQFSFVKVWTNESANLEDRSDEAETSTPNSTV WDKILKEREHIAEQEALAKAETLGRGKRKRQEVNYSLPESPLRQNKPDSDMEFTGAEV ESDIDSLNSDISVAPEQANKRGLSSALARPYKRAKVIDLDGPVDSPSICCVACDGIHP LGHCRLKQAGVEHCGLCGIAHFGHSRTCPHLNSESQVASMLSSLKQSTEQRALVEEAT KYLRGIRGDLVRRKKQKSAKGNPNGHSGGALSALPVGLIPTAPPPQPQQPVTATPPAY WNPSYCPYPPTHGAPNAYDYSATYPPRR UREG_00573 MDASLRPQFFISRQDGSLTPLVAVDELPPLMSIRGVPRLLSQNE TQGMTSLGSANHRGQFYVVDCFAHDTAEAVTTVGDQKSLAVGSKNSNSRQKGNPKKEY CSFWLRHGECDYQQQGCIFKHEMPLDPAVLEKLGLRDIPRWYREKHIVKSIAANVENS RIRANRSNVQALFHGGKKDHPYEHIAIGTSGVPLQGHNQAPQNGPGTAHDQACRMNLL QSPSKTHLKPIAPTGRTVGSGEQATATLDGIFGPPKRFDLVNQNNLKDLQKPNTANTA VKSKDNFGQIVNGLNSNQPYSLPVTAAGFSRSNIAQPINANLFNGCRALNGNIGNDLA PHQNGTTPTLKPGTQSPWSLYDGKADFSGLSMSFVPPKPVSDLSVGQEHFTKKQQKSR RLYQPLNDLNTLNSQDTLTTINRSPSNDIEPKSFYPFEAACPQALDHVYATSNGANAG SYSSPVSSERNSSTHSTTSSFENGCTKVCPDNFYFERTASMTSRIFDINGECDLFDLS LNDDVRTQRPAF UREG_00574 MATSARSLSRLASSVKRQTPSGQNLTRCSCPIAWQSHRRFSATP APFHRKSKATDPLLDSGNFADIPEMQKVMRHELQRAFNSIDERDAPLDTEMRRVKVGF WGDADPDEYAKTPDDDNFKDDTITSMAHAELEQHRELREYARIIAWDMPSLTALAKPF HLPQQDQILRFRYTTYMGENHPAESKVVVEFCSKDLRPTYLTEAQRITLLKIVGPRYN PDKDTIRMSCEKFPTRAQNKRYLGDLVQSLITEAKEGDSFADIPLDLRHHKPRKPPLN FPSRWNMTKKRAEELAEERKEREIALQKLPVVDGNEAIAIAVRSLPGLSQASPRGVGA GQKEAVGVRVQRGRNPLRQRSISPQAGICSCMYGNISSLLREF UREG_00575 MKQATDILHRKKWKMDPEKYTSDDPESRAKLCFVTVGATASFNS LVREVLSLPFLGALREQHYTHLLLQFGQLGYELFDEFIREHGPDLKEKFGLRIEGFDY NIDGLKKEMMAVKANAGVHRDEGMIISHAGSGTILEAMRFGVPLVVVPNPELLHNHQA ELAHQLSSVGYVMYGKLG UREG_00576 MAEATLHNAPIVLDNGSGTIRAGFAGEDLPKCYFPSFVGRPKHP RVLAGALEGDVFIGQRAQELRGLLKIRYPLEHGIVTDWDDMEKIWQWVYEGELKTLSE EHPVLLTEPPLNPRANRDTAAQILFEQFNIPALYTSIQAVLSLYASGRTTGIVLDSGD GVSHAVPVYEGFAMPSSIRRIDVAGRDVTEHLQLLLRKSGYVFHTSAEKEVVRMIKEK TTYVALDPKKEEKEWSTGVGKQDAKIQEYVLPDGHKLKVGVERFRAPEILFDPEIIGL EYAGVHQIVVDAINRTDMDLRKSLFANIVLSGGSTLCKGFGDRLLHEVQRLAVKDMRI KIFAPPERKYSTWIGGSILAGLSTFRKMWVSIDDWHENPDIIHQKFT UREG_00577 MSPYRLVEPLHSAPTANRRQVVYTGRPGAGNTVGLQNNTVNNLP SLKRISSTRPKQFCSSGGSLHTCSSSELAIFSFDEELEYELRREKDVAPVFHVGRSGG TNTFHQDNQLHFNSSCNYSGNYARKQSTTSSMGSSSASANLEKAAWNKRDADSWRASF KHPPS UREG_00578 MSVRKAHNAGRNHLRNVVEYYQQIGHEKAQSVIDSITSSYAAEG QAAANPMLQQPGIPGVPGALPPPPFGFPGRPGSIPPPPFGMPGTPGAPPPGMMPPPPG GRGMPFPPPPGFPGAPPPASGAGDFPPPPAIPGMIPPPGNIPFPPPQPGGSFVPPPNF PFPPPGAPGFPPSPMNAGPPGGPSPGPQTPGSTLPPGAGQGFPPPPGGGMPSGPPPGL AEQK UREG_00579 MRLLTLFSAFVAFLPFSPLVSAINLIESKSLVACQDNSNFTATL FNVLFTPHNNSLSVEALGISSIEGNITAVVELIAYGFTALKENVNPCDLELEGLCPMN KGQIKLESNINNLSPDIINKVPGIAYSVPDLDLKVRIYVNSTKERRSIACVEASLSNG KTVYHKTVAWIVAVIAGLGLVASAVTSGLGHSNTAAHVAANALSLFGFFQSQAMIGMS SVKLPPIVQSWTQNFQWSMGIIRVGFLQRLATWYQRATGGEPATVLQSLSNTSVQLQK RSVDFTHRLLARTVTNLVKRTNRDEGVTENSAIAIIRGIERVGFRARIERTNIFLTGL IFFVVFVAVVMGFIAGFKGVCELLAKSGRMKSDKFQDFRNGWRIVMRGILFRLTLIGF PQMCILCLWEMTQRDSAAEVVLAVVMILSLIASLGWAAWKVIRLAKKSVVMHKNPAYI LYSDPSALNKWGFLYIQYRATAYYFVVPMLIYIFVKGMFIALSQEAPRVQAFALFFIE LGVLVGVCYFRPWMDKKTNIFNISIASFNFFNVLLLLFFTEIFNQPGLVTGVMGVVFF VANAAFALILLVLVLIASIYAIVSKNPDTRYQPMRDDRGSFIKSQTQLTTELDALGAT ARGEPKKAFDDDVTSFSDNSLNRPHTGSLHDNGPGLAVSQPNMRQTPHSPIDTSVPLF PMGDNHQAHSPPHMSPGHDQRGMYSDNTDFYGRAQNASPVPRAYTSSPFNRSGSVNSN PHQYRMQNSASPWQRGAGYDH UREG_00580 METSKEQSKKRKRKHGGSKNSIATNGDSMKSQAKSAVPSKPNGE VGKQELKKRKTNHSSAAREQGFEDVDGLESADEVVEEREASETSQSPVEQNETETAES EQPALPSLNTVSLPQTESEPQKFSELNLSEKTLKAIQDMGFETMTEIQRRGIPPLMAG TGVIVVSPTRELALQIFGVARELMAHHSQTYGIVIGGANQRAEAEKLTKGVNLLIATP GRLLDHLQNTDGFVFKNLKALVIDEADRILEVGFEDQMRQIVKILPSEDRQTMLFSAT QTTKVEDLARISLRPGPLYINVDHRKEHSTVEGLEQGYVICDSDKRFLLLFSFLKRNL KKKIIVFFSSCNCVKYHAELLNYIDLPVLDLHGKQKQQKRTNTFFEFCNAKQGTLICT DVAARGLDIPAVDWIIQFDPPDDPRDYIHRVGRTARGANGKGRSLLFLQPSEVGFLKH LKDARVPVVEFEFPAKKIVNVQSQLEKLIGQNYYLNKSAKDGYRSYLQAYASHSLRSV FDVNKLDLVKVAKGFGFPTPPRVDITLGASMSRDKKQPNRRNYGSQPRHGPKFKRKAG DY UREG_00581 MDSSSTMISRSTMVLPENYCRITAQNCIPTKVAMISVRFDRNAF QNGYRAPITFPSRWTFNTWKYGLSPDFSTPCSLHIDYGDIANSFIPSSIDTLGSTPEH SPPAVH UREG_00582 MHRGTFPDFRSILTLRGTTASQTPFHQLEITSALRLDRRHYPSG NNFAKQSPIEDNGTGQT UREG_00583 MSAPVGPRVSKEDFMHALGLDASNPQHDPLYRAMRDEAIAVYNQ MNQGNSDLLENIRNTPGVGPPYFWHHIRPDRQQWGILEIWRRAGPVTRPLFDRGATNG EYGPNWVTGWLLYSVFRSRDIRNNRNRRKNDDNNNSGNNSGHGQSKGGKSATTGNETQ PRTMGYYDPVRNGAR UREG_00584 MYYILYLASLCRRRRWPEPLYEPYGGAAGYTCVVRVNNREYQTD TVCETEMLARENAAMRAYLICRNFSVNDGMYPAGHDHGGIVQGIPVAIGTGRGSRCSD ADSSTSEGSRSGGSSPQSCEGNRIGAARQSSVPTRALQFKPRGL UREG_00585 MRSSRRKVRFPSIKNHPAQSKASAKSTTTAAAVPGSSTNTGGDP STSPLSHKNLPSRRSGTAPKMATEQQPPRQGVPSLFTQPPVVRNGAETETTRAQDETV QQCLPFLKGVAPTLYGPFNEHGIPSLYRDAHIAFLYDALEEYPGKFVGLDASRPWMMY WGLAGLYFLGEDVTKFRQRVISTATPMQNSTGGFGGGHGQMSHCASSYAVILSLAMVG GAEAFGLVDRMALGAYCVMVMIALLDLPIELPSDAPARQFGYDTFISGLPEYLSRCQT FEGGVSGGPGTEAHGAYAFCALACLCIMGSPCEMINNHWVGGCWPLIQAALNGTQVDP DNPQPKFGSLYSREGLTRYILGCCQSPHGGLRDKPGKPADSYHSCYTLAGLSNTQNYH FDTATGPVARGPFSSAFSWSHIPITSPTDVEPDGVLFHERDRLNVTHPLFVIPHSAAE AMRSWYESNPIPECNRL UREG_00586 MPNILHLLRARNLLVLKKRPLLEQRRKWQILFRRPSQEMLLVTE IGVKALDLGLLAAPQESFGVPVQIRTRAVSSNKPCFSGSVKPWSPKGNNVKKAVSKTR IIVSIFPFLGGERDWKDSGSRVIFIVQTAQGNKMAGSVEGGPQPPISLTAGPEPTAGH WSFSPAEKEQENSDLVWREVLV UREG_00587 MGETAESHNLPCGDGCGHGPTRREHAGVTSIPGMLVRVRQVTSA WRLARLSAWFNASATSQPPDKAKVRVRSRSVRPRAVTLRRDNWMAGVRSFQQMEQRLP ADLVPMSWQWHAFGGEGCAANGVDAVAVSGAAGWSETFFCFFSSGMGLAGCLSA UREG_00588 MIHDDTSQTPTWPPVGIDQTVSQPRLDPKRPVSPLQSCCHQFNH HDQNPISDWGVQHLHPHLQYATTQPTAAETPFTSAYNVSLQPSPMGLMPVTGHMDSGL LSGSYLPLDMVPYTWQEFQPDLSFAPVDSTGEMFQSHHLPDTSSPTDTYLEVRSLTSS TSDNSWTLIDNRRSMDFHDQNVFINPTHTLHNRSLSESSYSDLDHNPHNSFGSFLELS HAMNSPTSSDSNIESEYNVSFQRRVSSDIGSHASSSPPTAISPLSITRPIPVVVKKSG ILSSSRSPKQAAGSPPSRRPSRKSPIAARNADTKVRKQTDPNKPEKEKRVGKRKGPLK PDQRKQASEIRKLRACLRCKFLKKTCDKGEPCAGCKPSHARLWQVPCTRIDIKEIGYF MKDWKADYERHVTLGFSVGNITGFSDIERTLFITHGYGQVLPVKAREVYVRDEDCFNI DWVESLQRNPNNFELKTGKLSAGMEGISSAMLSDYLDRHIDGNGSFERFVDDYFGGTP FLTQMLKTAFRFYFRTKMPVIRKALKLILAYNLTMHVTMVEGLGELEDFVGKVEDQSS MYNGKIMAPVMINFQIKCAMANMWRDLQKDVLEELSALYSSVYSGEKLKNWPTIFMLA SILLAVWEEMQFDCHYRVPDPAAVNKFCTDMETTPVGVIVGLFQAISQKLPAFTEWNS QEHHHLLNSNPDVCNAMTEVREHVRQYESYLRTRSNSTFDRNDFDCLSNKFLSRLVLR ANQPTREVVHAT UREG_00589 MTYASALCIRVRISVSYENIAKDAEKQMVRTNRAMFPRFERSDC ENGVAFEEAVIRLLDSKHYRDVSGPFRCLYPVISPFDRQVNELTQRSVRGASPLLGDD SAVEVTPAEALGFAVPCDVPSLEKDRISYLDDLRKPGLEQSKAK UREG_00590 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KTKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGN UREG_00591 MVLDLPDRSRGSDNNQIAKGIGSRAIGGLATKGKYVSLDHKVPE SVCGIVHLYRDGDPTPGLSDSDDDPYFLKGSSIARNAEVPSTRPRNRPDNRFRSDTPL SPEDCTTLCILAVPSYMSPPDFIGWVGQETKNDPETCHVVFVKDVEIQAPAASPEGRF PDTNNDPFTSQAQVQASAVAAASLSTKPLAPPTPSLIELPTCPVCLERMDETSGLLTI ICQHVFHCTCLQKWKGSGCPVCRYTQEDLAKRTSALIHDEDPECSVCHSEENLWICLI CGNIGCGRYDGAHAFAHFKETAHSFAMDLSSQRVWDYIGDGYVHRIIQNKADGKLLEL PAADNSALDPPDWADAVPREKWENMSVEYTHLLTSQLESQRTYFEEKVERAADKASEA SAAALAAREAAEKLTMRLEGLQSEHDTLAKETIPALEKDKSRAERRAEKFEALSRKME KEYREEKTINASLMERVDYLTTEVENLKAANADLSEQNRDLTFFISGMEKLKDQGEDV VEGTVSVPEPSKTGKKKKGKNKK UREG_00592 MPSSKDGPTQQEYERHPFLLSVEHLIQSLQTNLDTGLTGDAKIQ ELQQKYGPNRLSGEGGVKWYTLLGKQVSNAMVLVLVLAMALAYGVSDYVEGGVITAVI VANVLIGFYQEFQAEKKMDSLRSLSSPSATVIRNGHEVTVPSGEVVPGDIVQVKTGDT IPADVRLFEAMNLECDEKILTGEAVPVAKDVEWTTDGDELATGVGDRLNMAYSSATVT KGRGRGIIVFTGMNTEIGKIAQSMQGKKRKPNRSLSRKKYGTFQPVKGSALRVWDGIG KFLGLTEGTPLQIKLSKLAYTLFGCALLLAIIVFGVNKFNVTNEVAIYAISTGIAIIP ESLIAVLTITMVVGMTQMRKRKVVVRQLSALEALGGVTNICSDKTGTLTQGQMVTRKA WIPGVGMYSLSKSDDANNPTRGKISISQPPASKQEAEEERERKRAEQDRLRSTAGLKF DVPPEKEERDKRKQDELRNEKSAPGDEDEDSEPTRVPDVVPELQAFLESAALCNLAKL RFDQETDQWQTMGDPTEIALQVFAHRFAFGKPTLEKEKGWKQLAEYPFDSSVKRMSVI YRCGEGPGTLIFTKGAVERILDLCTSVGVGKHEERMDSAMKERILDQMNFLAEQGLRV LAIAKKAGPDHFEPHTEIPRDQVESDLTLLGLAGLYDPPRLETKDAVKECTMAGIRVH MLTGDHPSTATAIAKEVGIIPRNTGNLSAAEAASLVKTAAEFDGMTDEDIDALPSLPL VIARCAPDTKTRMIAALHRRKRYCAMTGDGVNDAPSLQAADVGIAMGMGGSDVAKSAS DIVLTDDNFASIVNAIEEGRRMFENIQKFILHLVTSNVGEVVLLIVGLGFKDRTDVSI FPLSPLQILWINMLTSSFPAFGLGREKADAAVMHRPPHDTKKGVFTWQIIVDMLIYGV IMGTCTLLTFVIIIYGVGEGTASLGVDCNRTASDACTTVFRARAAVFAELTWLILISA WEFKHFRNSMFNLDPLRDHSDDRIPSFPFFHDVWENQFLFWAVVVGALSVFPAVYIPG LNTKVFKHQGITWEWGLAFGSVFVFVLGVEAWKMVKRSTGWFASGEDYGGTTISGFRR RWPSHELGLRQGFFTFAKTLTRGSEKSFGVGLTSSRSSTHGRDIHRRNTETSIGKQAE QV UREG_00593 MESFLVFSPTTQLLPAVLIVGVLTVFIGNLLLQFSSRGGAKPPV VFHWVPFVGSAISYGLDPYRFFASCREKYGDIFTFVLLGRKITVYLGVKGNDFILNGK LQDVNAEEVYSPLTTPVFGSDVIYDCPNQKLMEQKKVSALSCIIFELNS UREG_00594 MRPSLRATAIRNLSLLLSHAPRRTAALFLRQHSTSSSSVSSDEL THFASLASSWWDPLGPSRILHLMNPLRHEFIKSCLSEGKPEAANTPENNGNSTQEADP RGLRYLDIGCGGGIFAESLARTIPLGFPSSSSTQTNAASLLAIDPSPVMIEIALSHAR KDPTLHSHLQSGVFKYENTSLESLVSSSTSPAEPSATPAPPHPPKFDVITLFEVLEHV DPSTSSPRQFIENCLRLLRPGGWLIGSTIARTWPSYIINQVLAEAPWPIGVVPRGTHE WSKFVNVDELRGWARSVEAGLEASGSQTPAATQPKYLDTKWKCVGAVYFPGMGWKLIP GSEKWGNYFWGIQKLK UREG_00595 MDITTILNKNRSAVAAAAEAQLQHQLSQTSHMKSRSPSEMGSEH DPQHPGRHTESYQQVPQPIQLPNISQYHSPIHANHHPSILRGDYSQNGHETMFRNVPG TSSPTSRTNGEPAPKSFHCQTCGKGFARRSDLARHERIHSGIRPHVCDWPGCGKQFIQ RSALTVHTRVHTGEKPHMCDRCGKKTFTRRTTLTRHQNHHTGTIEEAAAETEANLRQG KVVRPGDGVYSETASTHGTASPAQRSSPSPRHELPPLHAHRQMGDYFMGNSSLPPHLR GDFQQASPRASPSAPSPTLSTFSTSHPARPSLTSHPTGYGPPQPLEPPPNGGSRPGSV TGSPHMTTVGWASPSLNSIPSPGSISAPEYTYPEPSGPPFAGGVPAHMYYPNSTIRRP QSTEPENYELKPKMEENWTAHS UREG_00596 MARGWDRPTGVTSAAPLRLPLFAHSQSRFDPLNPMGLRAFSGSC DSSLWMEVRFTVPNSISSLGTLEPPFQFRFLKDEWSSAGWLLLRPLDGDSSRKYIVSR SL UREG_00597 MAPKGGPGPGKRNPTVLVTDSRDQQYPRRSNIPSNPSSRFITVD NVLQYASDMPSMQQRLPPGRVRPRASRMGGAGGAMASAGARLMSGKGSDARPRSAGRL VSLPHLPPRTTKVSEKLVLLPETVLEEEEDEELPPVVGEDVAVIGEGETAEQRLRRLN AERRLRSRDFAVDNELGPLLAEEEALMSRRVAPEQAKSYAERLPKARRAERLPRVTAY CTAQGYKMASTAAFVKECHGARTKLYDDCLYTAYHLPLLPGRDGYRIRSSPIIRSAEG KVVLDEEIARNEQRDYHEEYYAEQDEHSVKDHGSEGNRHPEGDEHHQKLEHEHYGHSP GPDQSYDYYKIENEPAEHHSTEDLPAAVKTPNIPPDALSFAEMFVFSYGVVVFWNFSE RQEIDVLADLAFASSKDGIPIPLATNPLPEEDFETEEFHFEYSTQISRPRVYNDMITL RSGDHMIKLSISHGIAQSTKLSFFEEVMAKQMAAAKDVPRRLALTGHLGMQREEVFQI MGRLFKSRVEVNLSSNMLDVPNFFWDSEPTLHPLYIAVREYLEIKPRIQVLNERCRVF LDLIEILSDSIADNKMSRQTWIIIVLIFISIIVTISEVILRFGILSARLPSDAAKSAK LVAASHSNEIKSLL UREG_00598 MAPLDIPESGLSLLRVAPPEGFSADFPKQVTQIMRLNLTQSILE ELVASLRKDQKAKLRLGKHPSLHFGSRSQPFCTYPESNRSELYIAPPNAKENIYFSGV LSHSLEVQRAKEATAGTDDALVTLEQSLSAFRQGKESKRTPVISAHQMKALRAGDNRT ATGREAASLARMSTSKADIEKERFFTNAATRSSPASPAFLASRSPAITPTSVRVPQNK EKIRLDALRVPLIHLLAVRPVSVKFLAQKTRSSQEDCLALVTKYGTENRLNREKYDLK DKIYKDLDVWKFPYPSDDERQEAIDNAISAFDRMRVSKQDKLWQMLLPKHERGQGKVL SRLNIRTGLPPKSVTPRIHVHPSEEPAKESDTTGNESESTTNTPNVNNKKLSATAPKK RPATTTKRAAQKPKNTTITGKVTKKTDKTADKKAAAKLNSKFKSAEYVVDSDEDDEMA DSGIGAPSKATNSRRDSPDSQQSDPPAKAKPSAVASSHANKIIRPKPAAKTVQSNPPS RPTTATSPQKPSPLGSSPPTNASDFENAPKSSSTNQSSNSSSPLINHLSRQRAGSTTK LGPPNQSKTAQKTPGSNPLKRKAEEPNPSIRLGVSARPVGLGITHSDTRMPEHKRRRP STISSGDSTSGNSTPSTSVTILRQRLREKARQFKRYYAKYRALHEDLSNHPSPPDSQL QKLQQQHIRLQQMKKEIWDEDRQLRSK UREG_00599 MLSGRYPILVHPRLSRKQRLWGFEVLAPEKHLRMVLRVGFVDDP SWADDHIRRRRGGARSCRPRWLDGLIVHTEFPTPRVRSGSFETITTVPIQSTYASQDT SSQANSNKENAPPSQFQTPPPRPPVPTFSSPSSSTRILDSQSQSSPIENGLPPPLQHL LTSIESTLRHGFSSKPPHTIQRLAELILRPAGHYRTVPAYLRAVDRVVSVSSGADIFP LPLAVPPSIVDGSFTNGVNGTTGFSLFNDASLGSDESLGGALLTPIPWLSNPASPPGG EDIATQPEVNGDPSTAGISSQSESDAQDQNLETNPPSTTDAHSEDEDIPHARGPAIIG VEDMGLQNGKDVQMTLSASETNGTTTQEPQPDKNVDTSAGLDKDGDGDIVIDDVPAGP AIQIDTPTDDEATNVEPQAASGVANDVTKVANDISVK UREG_00600 MGLGRLYTIIECLKYATTGELPPNSKGGAFIHDPKLCGEKEVLA QVKLAFKATSGARMVVTRSLQLTVKKTTRQQKTLEGQLVMVKEGERTAISSRVAELDQ IMPQYLGVSKAILDNVIFCHQDESLWPLSEPSVLKKKFDEIFEAQKYTKAIDNIKALR KKQNEELGKYKIMEQHAKEDKDKADRAEKRLMSLQERIEALRAETHDLSKEMRRAAEL ADKAWKESESYAEVLGALEGKRIEAKSIQTSIENLKQHLVEVDESEEWLESTLEQFGS RQADYQRQEEALKEKYVDLKENIETNRRRLGLKQAEYGKHENDKAQFERQVERRGKLI RDIARQNNLRGFEGDLDEMEINEFMLRIQKLYKDRNQALERAKREAQAELREAQSLLN QLSQRKSTLQEVKNAARKQISQNDKEADSYQRRLNEIDIDEGKMAILQSRIEETESRL NQAKDKAKIASWDKDLHTKNMELRSLEEESASLNAELIEGTKRVGDLARLDHLKKELK DRERSLETMTSAHGDRVSQLVSKTWNPSNIEQEYQSVLNVASTSLTKTERDRDGASRE LEYVEVTLKTARRSLQQHKQELDHCVERIREATDEEPAEYPDVVKQRQTQLEMAKKDM DQYAGLGEYLSKCLEAAKQKKVCRTCSRPFKTDKEFQEFKVKLESLVKKATMNADDEE VQHLEENLEFARNAQTYYDSWVRISNTTIPETDKEIARLESEREELLAKVEDYDRIVS EKGESKRDIESLAKTVATIAKYESEIKTLRSQIQELSAKQQDSGDSRTLEDIQDMLAT VGEESRELRRTITKITNEKDQSRAEITELELQLRDVRSELDNAKFQLDKKASIETRLE EYRNLNAKQRESIEKADQDIENLAPEVSKAQAKYEDISSRSEQKERELQQDVASLSDS LHQLELANEDIVSYNDRGGPRQLERSKRELENITTEIEQLESEQGSITRQLNAVSARL KDSENTKRQYSDNLRYRREMRVLGEVKAEIERLEAQNAEVDRARFRQESARRTEEYNH FSAHQASKMGEMKAKDNELMQLLADWNTDYKDAAFKFKETHIKVETTKAAVDDLGRYG GALDKAIMKYHSLKMEEINRIIEELWQRTYRGTDVDTILIRSDNENAKGNRSYNYRVV MVKQDAEMDMRGRCSAGQKVLASIIIRLALAECFGVNCGLIALDEPTTNLDRDNIRSL AESLHDIIRARQQQANFQLIVITHDEEFLRHMHCGDFSDYYYRVSRSDRQKSIIERQS IAEVM UREG_00601 MDSSDAPIFSAVSHFTHQLYIILRCIGFASKAAIQITPQGIRFS AEDGRVMQGLAFLDKTLFINYVFNPPSSNSGMDIDEDDAEDAPDTSTYPRFLISLTAL IETLQIFGLNDSTQPLNPISNPMTGSASNAFSTPALLLNRTCTISYVRPGSPLSLTLT EAGVTTTCELTTYEPDDPSFDSSEDVDIPLQRDAIVFKIIMRSLWLHNAIMELDSTNP TTLTLSASPNKSPYFALSASGGPFGDSTVEFSIDKEPNRSTDTTYRSFNDDGSSKQPR RGKLAPSVAETFLVQPPASKTRVRQSYRFALVRKALRAMAASSKVSIRGDSQGVLSMQ FMIELGEAALSSDGPGRAPQALGGGTLGNVSFVDFRFVPLVDDDEENDGEPE UREG_00602 MPTLTRTGKRKATDEPSTPDSKQRVKRARTESPDSQIKPQLKIV PFAEKPAVLEERRGDIEFRVVNNDGTRESFIILTGLKCIFQKQLPKMPKDYIARLVYD RTHLSIAIIKHPLEVVGGITYRPFRGRAFAEIVFCAISSDQQVKGYGAHLMSHLKDYV KATSDVMHFLTYADNYAIGYFKKQGFTKEINLDKAIWMGYIKDYEGGTIMQCTMIPKI RYLESGRMILKQKEAVHAKIRAFSKSYIVHAPPKEWRNGICEIDPLSIPAIKESGWSP DMDELARQPRHGPNYNQLLHLLNDMQNHSAAWPFAQPVNRDEVPDYYEVIKEPMDLST MEEKHEKDLYPTPQDFIKDAMLIFDNCRRYNDESTSYAKSANKLEKFMWQQIKAIPEW SVSALYQLRAFRIPLTQSDLLAFGGWPLTEPGRHIRNDMAIV UREG_00603 MEQIHTRALEAIQPFIHLATSTTSPSPRFLANLIANAISAPNTF IFAELLETPAIQTLRTPDTPEEHQSYLTLLEIFAWGTWQDYQTTPGLPALNNDQAQKL RLLSLLSLARTHNPLTYSAVMESLSLSSHTELETLITKAIHSSLISARFSPTTVPPFI RVNSVAPLRDVRPEALTAMISVLSEWQDRCRSVIGGIEAEIAKIRADAEKRHSQAQVR ATRLERSLKGWDGDRDGDAGGGDVGGAGSKRAFRPSKDGSGKTGRKFGFGSGNKREFN ATSNSGSRHSNTDGMDVDMEVDEGIDGEDTGRLIRAKRMLGISGRQ UREG_00604 MAVTTLKVDGMTCGACTSAIESAFKDVDGAKEVSVSLVMGRAVV EHDPTVLAPDMVKEIIEDRGFDAEVLTAERSESDRTNATKSPNTVSTTTLAVSGMTCS SCTSAIEAGLTGIPGVIEVTVSLLSERAVVKHNVSQITSSQIADIIEDRGFEATVLDS ESPKLDVTSHTLDNIDTSKNQLAQTSTTIAIEGMTCGACTSAVEGALKDQPGLIRFNI SLLAERGVVLHEPSILSTSKIIELIEDAGFDAKVLSSEMDSSSQRHASASLNFSIYGL TDAASATSLETRLRNTPGILAADVRLSNSRATVTHQPSKIGIRGVVEIIEHAGYNALL ADSEDNNAQLESLAKTKEIHEWRKAFWFSFSFAVPVMLISMIIPMYFRTLDFGSFEII HGLFLGDVVCLFLTIPVQFGVGMRFYRSSFKSLRHGAPTMDVLVMLSTSLAFAFSILS MLVSMLCMPHTRPSVVFETSTMLITFITLGRWLENRAKGQTSRALSRLMSLTPSMATI YDDPIAIEKAAEGSRGYGNAAEEKDAITSGAKSANQKSIPTELIQVGDVVCLRPGDKI AADGTVIRGESYVDESMVTGEANPIRKIRGNQVIAGTVNGAGWVDFKVTRTGRDTQLS QIVKLVQNAQTNRAPIQRMADIVAGYFVPAILTLGLVTFLGWMVLSHILPNPPEIFLR GGSGGTVMVCLKLCISVIVFACPCALGLSTPTAVMVGTGVGADHGILVKGGAALEAAT KIQHVIFDKTGTLTTGKTTVADTKLEPIWASNEWRRRLWWLIVGLAEMTSEHPIGKTI VTAAKSENGLSNDDPLDGSIVEFEAVVGKGVSAIVESAASIERNRYHVAVGNAVFLRS KNIQIPAAADPDSQGPTTTGLTSQSSKYDVLNGATRVHVAIDNKYSGTICLQDSLKPT AKATIAALHRMGLTTSLVTGDTYSTALAVANAVGIPADSIHASVTPLEKQAIIAELQT TSRLAVAMVGDGINDSPALATASIGIALSSGTDVAMEAADVVLMRPDDLLSVPASLCL AKSIFRRIKINLIWACLYNAIGLPFAMGIFLPFGGISLHPMAAGAAMAASSVSVVVSS LLLKLWKRPRWLDEERLEKEIEMGLISATGYSRRGAGGWWKKSPVGSEQSGKVWRGIE WAVQRASRMMGRKTRRTEEEGYVPLQTVEPIN UREG_00605 MGKIMWYLPRKFVSPLAFKILVGIELPFTIAVLALFGIAAPNLY RKRLWQDGADNGFNSSPDELVYRFANYEPYTVPRPWTQFSTNFNLVISVLSTFFLLAK TPMFVLHVFYPPLSVLVHTVLIVLYCVSAAWQAGSDTSDPGHPQSGPPCVIFLAHLVL AIISCFPTDETRERQQKKLEKIRHLEELKSLKSPCYPNFMQGENGLLQPITPRTMAFN QLGGSNDLPLRTNPAQPGTPGQPMMYFPPPPKKATTKEKSVFA UREG_00606 MGTRSVICIWYKGRFVVAQYTQFDGYPEGQGIKILKFLAAPGNV ERLKAGLEHVKIISEEEHNEIAEKVEEDTRAKQAAGAQILQFDFSGRSEFDRAFPSLS RLAGGRILELVAQGTAEKPTMIYMDLGFVNDALFCEWAYCVDLDSGVFEVFGGGTSKE QSGSKRFQDVGEPDAPVPRLVKSFSFDNLPKDQDEFIDILNVALEGPQEARDGEQVQG QQEEAQTAEDND UREG_00607 MSTAKSINQSNKTSVPHENRTSAEPRQSTLYPVRLSHIEQINPT IRLLQFAIPSRVDGRSNTSNHSSPIPPKAFTFLPGQWLDVHIPSLRDAGGFTITSTPL EASPESSDYPYIELAVQDAPGNPAAAWLWRPESEILGAEVQVRVGGSFVWPPSAAGGG IPLAEIRKVIFVAGGVGIKIHLLYSTKLPPPMKNQNRENQILFLPRLLEIVHSETRGH PQQDKPKSTFQPLDVRLYLTNLSAQGTCTSELLAESHHGISIYDRRITATDLQHLIGS DSDERVRTVCNVCGPPQMTDEFVDVLKHIVGDDRVFYEKWW UREG_00608 MNRRILRTVSKLGALVVLVLTFVLILDSQVSVIPSRLHQYLPTH HPGLVVTDVTIATCSTLNLFSTCRLDPSVWYRVEKDLFLNTGWTYKAYLHVQRKNEEE LLPDEKVVVDLKMGRLDPGAGVDKKWESRPGGIWLLRSSHHTGESKRITAVDVLFGAD AVDPRPNWEIKDTPLLMDTHTEPRLTIRRGTSGKLEKPVPRIRKDGKFKIMQASDLHL ATGFGTCRDPISVKPTREHLNFWGKLLDEEKPDLVVLSGDQINGDTAPDAQTATLKFA ELFIRRKIPYTVIFGNHDDEGDLNRNALMTLTQNLPYSLAKPGPADVEGVGNYVVEIL GHTSSHSALSLYMLDTHKYSPDERRYPGYDWLKPSQISWFRNTAQSLKKDHQAYTHIH MNLAFIHIPLSEYRKVKNYYKGSWREAPTAPRFNSEFKDALVNENVVVVSCGHDHAND YCMLEKNEKDLPALWMCYAGGAGFGGYGGYGGFVRRVRFFNIDMNAARIISYKRVESG NTEERVDEMMLVDGGKVVPPQTT UREG_00609 MSKFLAHLRRFFRPLGAPISHGGAINTNFSRFQEGDTVLINGKH PILTKPLGKNKRTGVSRGSLEHNDIIGKRPRDIVQAQKGTRIYPADANLIVNLLDIHA PNASGQEEPNEPLEILEAGTGHGSLTLHLSRAINGANTCPPPIPSRSQQKVIPEVSTG DETGTSKSKEQEVWDAWRANRQAIIHTVEISSKHSSHAEKIVRGFRRGMYAGNVDFYV GSVENWIEDQTRRRGKGLFSQKAKPFLSHAILDMPSAHLRIPHVTPILKVDGALAVFM PNVTQIGDCVKLIKDQRLPLVLEKAVELGIGISSGRTWDIRLVSRRPSAIKNKDLNQA TRTGNEETEDISEDESQSITTNVAAEEPQAGDSVLVCRPKVGERIVGGGFVGLWRRIR ED UREG_00610 MAALKNKELNVLEEEKDNTVAEEIDTESCDTTCNAGNTKEPQAI VVSTSDASLSSEKPVGQAPEGQPVTPEEPKMTKGRIAVIITALCLATFLAALDMTIIT TALPTISKTFRTSEADYTWIGSAYLLAAAASTPIWGKVSDIFGRKPILLTANVVFLIG SLLCAVSINIRMLIGGRAVQGVGGGGLLALVNISISDLFSIRSRGIYYSIIGMVWALA GAVGPVVGGIMTQYVTWRWCFYINLPIDGIAFVIIFFFFEVHTPKTPLIAGLKAIDWL GSLTIVGSTVMFLLGLEYGGISFPWSSATVLCLIIFGIFTFALFLLIQWKLAYYPIMP LWLFARRSTIAAYGTTFAHGFLYTSGSYFLPLYFQVVLGATPLQSGIYLFPFIISVSV VSALIGIFIKKTGLCLPPIWIGMATIVLAHGLYIDLPPHMSWVRVIIYQLIAGLGIGP NFQAPLIALQSHLRPGDIATATATFGFVRNMANSISVLLGGVVFQNRMRANIRTLSSI LSPETIKALEGGSAGGSTDFVRALPDRERIPILNAYNQSLKVMWIFYTSVAAIGFFVS LLIGRQKLNKEHEITKTGLDVQERERQERLRRDREKQSRKGTTDLEG UREG_00611 MYSQGHQGQPVMMNGQSHQRFGMPMPKFQHPTHHPHHAQQPHPH HSQSTPNLAHQHNFSGGALSNANQHFTPSHLQNGTTTNVEDEIEEPMNEHWQQQLQLA AESRQASSPHYYARAVAQQTKGLQLSSNQADQNENGATERNRATAVKDDRRQGWVSLD FGGQGLRALSNGLFHYTFLDKLYLNHNKLKTLPPSIGELKSLTHLDVSSNELTEIPEE IGMLTNLKKLLLFDNNLQTLPYELGCLYQLDTLGIEGNPLADILKSRIMQEGTKSLIK YLKEEMPVHLPPSNRDWIVLDETGKSSANGASDNKFTVFTYNTLCDKYATNQQYGYVP SKALAWEFRQDLLLNEIRGHNADIVCLQEIDQKSFHGYFREQLAYNDYKGVYWPKGRA QGMPEEEAKYVDGCATFFKGSKYILLEKSMIHFGQTAVRRPDAKGQDDIYNRLWQKDN IAVVVFLENRLTGERFIVVNVHIHWDPAYKDVKLIQVAIMMEEVTKLAEQYTKIPPCA DKTAFRFSEPEDGKENQEASTPVEPAPSVEYTSASQIPILVCGDFNSCPGSAVYNLLA HGRLAEEHPDLEKRLYGNLSRMGMTHPFTLKSAYGAVGELAFTNYTPEYIDVIDYIWY SSNCLQVTALLGEVEKEYLKRVPGFPNYHFPSDHIALMAEFSVKSKKNKPVEADFGPQ RDKAT UREG_00612 MAGPAGLTYLYIPPTSLLFLELFFCRLHNIPSGLPTWTEHICVT RDNPYPLPCDLPEIHRQSLRSLMLMHVFGAPFCSPHFSENPPKRVLEIACGSAMWSSA CHEYFAKRGHPNVSFTGIDIISIAPDLQKEGVNWQFKRHDLRSPKLPFPDGYFDFVFI KDAGVAPTGPDLQAVPLSEPLRVLKAGGILEVWESDFIFRSLLPDPPSAPGLSQRDQE QADATGTYTIFPATPFADAQNKYLRDYNLWTEKAFELRKLTPMPCATINLSFTSESES FQSVDSRRIAIPLGEVKWEHKSNGGMSPRKNLTEDQLSLRHTALLNVIQMIESMEPIL MEASGKGRAEWDRWWAGMTQDLLQKDGVASGECLEVGAWWGQKR UREG_00613 MALTFTARKRLVRSLWDFQPATIHRSISTTNFLNSRQTGPASHA HYKADSKWLSTTKDRLGKCMQFGLQPEQVRVAGNILQELARDWRELVAGSEGFLTGED RLGLFRHNVVWGEMDVMQTLRPNMLDCPVSRTCQQRDHCSTMAPNFAEPSLRRIADLF VALPQPMTYPDRISVYHKLVHGPPSPTSPNPNAYSGLIFDVMILSEEKQRAAARCHED VVLYDYRVGRKVSELPQFMIDQFRHTWSLQQEAKRANRLKIQDIETRLRALEQASWDR EGAVEDMGFAAKV UREG_00614 MTRPAAAARKNHGSRHENGHASSGKKVSKQKSNGHLNGTPNGQH RPDPIALTARNPSKPQSSSSSAANNKTNGDVEELKMESNGQVQVNGHGKGDPGMSNGH AYASGSTNGALGTRIEDQISRRSEKMLNSASNVKKPYAGKSVNPFHLASTILKACPMA DTIAILIFLLQLPPIVLTLVQFLYASMTFMLPAGVSTGTLTSNFDIFQGPAGTPSLGT MIAMDAFCLLVWALFMWNWARNFAIDLAHVQVAIALGAGSSGDTGGVNAFCVTVLLIV HLFRSEGIQDFVFGHLLSTNFLSSDLLEKYSNLIPMEFKQIEYPSPPSWVRSLLAIHI LAQAGTAMARRSMAKNRSQPRAKTGKRLDTEASTNCHIESSVFESGIALPPNANSEVS SLPGSISKEGRDRVSSAKKRRRQANEARRIQPFWAALASTKLTVTREYERSRHSTWYS PSFPVAEDDLDKAPLGTALIWITHVDSCSIKFAASDFTTEEDPMTGGTYEGSVSDAEA DPFYIRVNGAHWAPVSLNRITENSDELSLVHWRGEIAGLAPDCAYACSFVRCDTDEEI CVMSVKTPATSDTDQASITASISPSPRQSLRPSSPTTTIKNSIMNADAKLTERRAKLK RSRNEHKLQISKIKKELDNFTHRLNSGGDDTRQKQRSLQLERNIRQTEEATAALDQQL DSLEKSPDEEIQEWEARKAAFELEKGKLEALKDELHAAKSATDRGISTAENELANTVQ KRERLQNRRNRLSEQYERITHANNQGLNERERRAAEQLAKEQEHARIEEGFQEQLTSI TRSLEEYQVRTTQLWQQATAIEQAYQQQQLFMSSGPLTPEGELPGTKRQPMDTNVSTN SMSTAALGNKSNFSMAFPAYSSTEKPGLVHRSSMSPIMHSSLPVGYCEPQYYPPSPLA KKQSMFVPDTGGHRDRSLSNFSRRSTHVEYNAPLYAGDGADADATRSHTSVLSPVNHN LAQFKRADSRNSGSASGSGSGSGAGSPHSSRGKPIWS UREG_00615 MHLVSPSRLAWSRGISSRVLLQVRNSQPSEFTAFHPQLQFRRHY RRMLRTIVSKHITSITDAVRPVLPPSIASLPREVDKIARTFSPAHNQELRDVPKPASE QDPESPEDDVSTEPYSAATPDTNVQTQVRKLMRLVPHPVAIITATQPNSRADSAFRGM TVSSFNTVTLYPEPIVSFNVKLPSETYDAIRSSQRFLVHLLSSNGVTANLAREFSRGH ENVQMEDRKHTFRFTSPASLERQPAVTEGEPPRLVLQQRLLDNEDSTQNTLPSNFPFI LECRYYPSSARVGDHVIVLGTVIKIYSDEPRQLDINHTADDLCLTYADTRFWKMGEQI TLLSKKDRP UREG_00616 MSMHLPLQRIGATKLPSTTYICSQCRHATLLRRPKRPYTFTQLI TLSDGSTFTHRTTSPQAVYRSTRDTRNAPMWNPSSERLLNVEEDEAGRLKAFRSRFGR GWDATNTVSMEAAQGEDKAAAAVEEFDDEDDNLLDLITSFGQEESKPKAGKKK UREG_00617 MDLEGGDSPWGDVPSQSISSNDGESGNQNNLPSQSALASPQSPP ARGIRTPRRFQAQKLEAVDDSSDPLGPLGDKSFPPIQEQGPIPPQKEPFAARNVRPTS STSQSSSAAGLMESVDLDEDEARTRIRAPPPIQQPSSSGDGPKRQTQPSMTVEQAAKP TFHITVGDPHKVGDLTSSHIVYQVRTKTTSKAYVRPEFSVTRRYRDFLWIYNSLHGNN PGIVVPPPPEKQAVGRFESNFVESRRAALERMLNKIAAHPVLQHDADLKIFLESDTFN LDVKNKENREPDLGQSKGMFSSLGISVGGGSKFVEHDDWFHDRKIYLEALENQLKGLM KAVDTVVQQRKGLAEAASDFAMSLHALADVELSPTLSGPLEGLSEIQLRIRELYERQA QQDTLTLGITIDEYIRLIGSVKMAFNQRQKSFQSWHTAESELQKRRNAQDKLLRQGKS QQDRLNQANADVADAERKVHQARLLFEDMGKLMRNELERFEKRRWKTSNPAWRRSWRS AVEAQKELIELWETFLLQLDSDDDMNPFFNQPHSSESQHERTESGSASQATEPTSVQE GS UREG_00618 MTDQREEPQGSNDHLVRSSDPEHPANLIPELCKKFYTLGWVTGT GGGTSIRRDGHIFIAPSGVQKEMIKPEDIFVLSYPTPKYPPSARQYIRKPQELKPSAC TPLFLAAFDRGAGCSIHTHSQWAVLVTLLVEREKGKNGCFEINNIEQIKGIPKGKGKG MLGFFDTLRIPIIENTAFEEDLTESLEKAMDEYPDTYAVLVRRHGIYVWGDTVAKAKT QCESLDYLFQLAVEMHKLGLPWVQ UREG_00619 MRLNAHIASLILSYIAFLGQVHAESEAIPEEPTTTSISRPAFTP TSLKAPFFEQFTDDWQKRWTPSHAKKEDAKSDEEWAYIGEWAVEEPTVLKGIDGDKGL VVKNPAAHHAISAKFPKKIDNKGKTLVVQYEVKLQNSLVCGGAYMKLLQDNKKLHAEE FSNASPYVIMFGPDKCGATNKVHFIFKHKNPKTGEYEEKHLANAPAARISKLSTLYTL IVKPDQSFQIQIDGEAVKNGTLLENFEPPVNPSKEIDDPSDKKPEDWVDEAKISDPEA KKPEDWDEDAPFEIVDTEAKKPDDWLEDEPTTIPDPEAQKPEDWDDEEDGDWSAPTIP NPKCEEASGCGKWEPPMKRNPDYKGKWVAPLIDNPAYKGAWAPKKIPNPNYFEDKRPA DFEPMGAIGFEIWTMQNDILFDNIYIGHSIDDAKKLKAATFDIKHPIEVAEEEASKPK EEPSTDDSDLSFKDDPVKFIRSKVDNFISIAKEDPVEAVKAVPEVAGGLGVLLVTLIL VVFGAIGISSPAPAPAKKDAGKAKDKAKEKAAEAVSTGAENVKAGATKRTKSSE UREG_00620 MAKQQIMFKYVNVIRANWDRLAASITLEQGKTFADAKGDVLRGL QVAETACGITTQMTGELLEVAKDMETRTYREPLGVVAAICPFNFPAMIPLWSIPIATM TGNTLILKPSERDPGAAMILVELAKEAGFPEGVINVIHGAAKTVDFILDEPAIKAISF VGSNRAGEYIYTRGSANGKRVQANLGAKNHAAVLPDCNKNHSLNAIVGAAFGAAGQRC MALSTLVMVGETKEWLPEIAERAKGLSVNGGFEEGADLGPVITPQSKKRIEELIASAE EEGATILLDGRGYTPPKYPNGNWVGPTIITGVKPHMKCYREEIFGPVLVCLEVEPSTK PSPSSTPTNTETALPSSPAPVPLPPASSTSSRPASSVSRSLSPMFLLHRLTRRSIAAD SANTFYGKPRVELLYPSSRP UREG_00621 MAFNKKYAGLPDLDLAPDIYETPELTDDSTVPRRSYRTVKRARR RRDDGTEVLGDISDEEEESLERKLARLRREAEELKDELAIFQLPPYTTPLSILSNAAS FDARLRLLEAALGLSQTPIGLSPNDSPESDLQPILPTLHHLSSQLSTLTSTLTGSPST GPPALPTTLTTPHLESLTTRIRKLTADAEALTVARRRATEAARAVLAARIAAATTDEP PEVPAAAAHATPTETEAAASEQAAKIQALYTTLPTITSLHPLLPSVLERLRSLRAIHA GAARAGEDLGGLERRQAEMKKEIERWREGLKAMEERVKESEATMKGNVEVMGPWIRDL ERRLDVIGK UREG_00622 MTVRTLAIRFTDLALMLESSCAEPKPGSDWVRPQPTGKGRILRG VGVTVEKLSEHEEDHFVWDSIENTFGIQSPRRSFNVLLCEVGGEYGIQGVPFLISVHL VLSPYTKVEESFNIQAVHDILRYGIPSKNIPKELRENYDHFTFPGAVPRTFVGALLLA GVARPLIWINAKLQSQLLVRGILGAFNAVALMFYARAVRRAFGKEAGVWYILLQASQF HVIYYASRTLPNMFAFGIMFRSEIALLLGTITVYHWLQGNISIRREIVPAGVSGVLIA LLVSVPIDSFFWQRFPLWPELSAFFYNVVSGKASDWGVHPWHFYLTSAIPRLLLNPLA YIVAIPMSFVPARRHAALSLLTPSLAFIALIKNPHGTDSCQSLLSSLP UREG_00623 MLQDAGPLRNDGSDKFFGMENAQFGNTCYCNSILQCLFYSVPFR ESVINYPRRSPPGVLTDALANNLHYPDPNIPLEELLKPKQPPNATPKPNTPGKPVNAP PAQQHKPEDKDSGEYKKKVALHTLPLLETTDNSASYGMKESLFTSLKDMFEAVAASPE RMGVIRPYHFLEVLRREHEMFRTTMHQDAHEFLNLLLNEVVSNVEAAQLLSDADEESD DSEGASERTATDSSVSTVPSKSQNTRWVHELFEGTLTSETQCLTCETVSQRDEVFLDL SVDLEQHSSVTACLRKFSEEEVLCERNKFHCDNCGGLQEAEKRMKIKRLPKVLALHLK RFKYSEELDRLQKLFHRVVYPYYLRLFNTTDDAEDPDRLYELYAVVVHIGGGPYHGHY VAIIKTVDRGWLLFDDEMVEPVDKSFVRNFFGDRPGLGSAYVLFYQETTPEAMARELA KEKAQTPRNAAESKDCTSSLAKANGQSVSPAKIDRTKSAVDAIPTTETVPLMSTTTAP ANLAPNPGEMVSTSPPNTTPKIKSPSPFSIPNISEMRMKFERSRAGKEEEKQKKEHER EQKKQTKDARELEESQLRAALAQSRVSKAREDQLNAAKAEKENTKGGLNRFRLGSRSI SQSKIFNREKGNNTAPPPDAPPVPPVPPLNSMPESTTDVSSPGQPSNASTDDDKDDST NATTVSTQERKSLNYRADTLPKIPHISSNGDLKKSRREPSSKRSRFNLRKKSFSVF UREG_00624 MARMTGFARSRGGDIEMGRIGGPSGTGGGRIGAPPPEASLTCLY CSWMRAPIPTLLWPRGTTTVRGLQLRNLIPAATSFAALPPPTCRPRNQFFPPSYHTKT AEPKMPKDKVSFNLKTPKGTKDWSGGAAILRDRIFTTITDVFKRHGGTALDTPVFELR EILAGKYGEDSKLIYDLQDQGGELCSLRYDLTVPFARWLAMNPDVRSIKRYHIAKVYR RDQPAMTKGRMREFYQCDFDIAGANFDPMVPDAEILRIVAEVFDDLGWKGDYTIKINH RKVLDGVFEVCGVPKEKIRTISSAVDKLDKLPWAEVRKEMVDEKGLDPAVADKIETYV VRKGGRELLDSLKSDETLFANPSAKLGLEEMGLLMDYLEAFSVLDKISFDMSLARGLD YYTGVIYEVITEGSAPPTASSAPEAQKLQRSGKKDKAKLDADEDRSDDPTIGVGSVAA GGRYDELVGMFSNKAQIPCVGISFGVDRIFSITKARMERDKKETLKNSEVDVFVMAFG GKGFTGLLKERMDICKTLWEAGVKVKPKPQQQFTAAESSGAPFAVILGDDELAAGEVR VKEMGLPKGHPEKDGVLVRIEALASEVKSRIEKRKARVDGGIEEEAEVAKKVEALEVA UREG_00625 MEVWDGANGSRISFDWSSNLLPGGSSQTIATMTENANLIKGLLS RIESLGDEVSVFSSTTVAAIENGVDHVDGPDLSAWPVLSLSPAASTMTGERGALPKIA ARLLVGADGINSPVRSFAGISTDGWDYNRHGVVATLELSPAGSSSLSKPVTAYQRFLP GIGGPIAMLPLPGDYATLVWSTTVQNAAYLKSLDPEAFTAMVNAAFRLSMTDLKYMFT LPSSTSDASNQHGEELSWRLNHTAPESSLPPTVGGVHPRTIASFPLRHRHASTYISPR IALVGDAAHVIHPLGGLGLNLGIADVASLHGTIEYAVLHGMDVGDLLSLERYASERWI PNAKIGAGCDFLHKLYNVPGNGPVAWARGFGLDTVNRFPAVKDLLMKVASGS UREG_00626 MDHNDFDTVSWRNDTESEASGPAAMHTDTEDTEPSTHDINGKRR MSSASEEQLPPTHDDMGDFSSLDGVLECSVGSPLKENDGTKDAYVSYLVTTHTDFKSF QQPEFSVRRRFTDFYYLYKTLYREYPACAVPPLPDKHKMEYVRGDRFGPDFTHRRAWS LHRFIKRLTLHPVLRRAPVLVVFLESPDWNAHMRMRPSRASTSGSDGGPTGIFDNFAD TFVNAFTKVHKPDKRFIEVKEKADKLDEDLNHVEKVVARVARRENDLETDYSDLAMQF RKLVALEPDLEVPLQIFAASIEETTRGIKSLKDHTDQNYLGSLRDMEAYIVSLKTLLK TREQKQLDFEALVDYRNKAVSDRDNLANNPASYHATNPLTSNPASFIRSKMEDMRGVD HEQSRRERVRKLELRIDELTREVESAKTTSEMFDEEVVREIADFERIKAVEFRDTLGA MAEKHVEFYQGVLATWERFIVEMEDGEEESAHQRENQRPPNEVS UREG_00627 MARLDLSANLSSQPIPIMLRINALTSTPILLSPNATYATILGQL QKEVYARAPEITEGFSFDGLYIEWDRTSGASLTFPPSSALDRDNLVATLGLLKARPGR DVLCLKVRVSTPDVKLQLV UREG_00628 MRLLSVVGFSSILFSLSAASLYPRDHDARDYIAVHLRSSLSPDR AAQILGARHEGQIGELEDHHTFSFSKGRGDEIDKLLEDLQARRKRKRHLDKREDEEEL NGILYSEKLIPKQRLHKRIPPPPASWAKSRSKRSTQSRAKEAAVARQQEIAGELVIKD PIFVNQWHLFNTVQPGHDLNVTGLWLEGITGNGTITAIVDDGLDMYSNDLKDNYFAEG SYDFNDKGKEPKPRLSDDKHGTRCAGEVAAVKNNVCGVGVAYNGKVAGIRILSKPVTD EDEAAAINYGFQQNQIYSCSWGPVDSGATMDAPGLLIRRAMVNGIQKGRDGKGSLFVF AAGNGAGNGDNCNFDGYTNSIYSITVGAIDREDQHPYYSESCSAQLVVTYSSGGNDAI STTDIGPDSCSNKHGGTSAAGPLVVGVVALALGVRPELTWRDMQYLIVETAIPVNTDQ EGWQTTAIGKKFSHDFGYGKVDAYSMVQLAKTWKLVKPQAWLHSPWLKVHKDIPQGLK GLASSFEITEEMLKKNNLERIEHVTVTMNVNHTRRGDLSVELRSPTGVVSHLSVARDG DTVNAGYVDWTFMSVAHWGETGKGKWTVIVKDITINDFSGRFLDWQLSLWGESIDGKI QKLHPLPGPHDHDHDTSEPAPEVMTTTVASTGPSKPSATGKPTNHLDRPVNAKPSASP TSTAVPSGSAAAAATPSTSGLTDSFLPSPFPTFGVSKRTQIWIYGSIALIFIFCVTLG VYFLVQRHKRLRNNPRDDYEFEMVAEDEEGYPLNGAGVAGAGAKKQSQRRRRGGELYD AFAGESDEEIFSGSEDGEKPYYDDEEDGDDEREDDGPKWSEKSRGSGELGSGS UREG_00629 MSSTRRQTTTSRPRSGSHAPMPTNGKLYPRTTQSRPDADYDDYS ALPPGPEAPIIRGDSVRRSHAQEQSGEARSFAERARHLPPERRLPEPPRSSPTENMQR SSSISAAGAIPPTQRSQSLSEQQALSKKHQSPSAEHSISQTQPSEPTTPISRSNSETT RKKDAQKRRDRDWAPARSPLQKLEVTLKDISKEEKRARVEEAEMLLREAKAGRQSRRV SRDVRQTPRSRADDQAGNSVTQPSTLEEAGITRSLSIKQRERLQHSAVVESTKPSPGR FSAQREGFDYRAFEQGEPSNSDVDVETEQNPQFSLDAGVNQFMAAAQEYEPEPEHRTA QPSANQFRNAQGQRRQSFQSSASPVSPEAPVQRSNSRKLQKPMPKEYQDQYGREYAGG PNMVPSTSRANAYDSAPINSETRSVPVNKPIETLRATNDDTVGLGLATVSNEGQSDDL DSTTEGKRHESKKRFSVTFAVPPPTPPPLEEWKTATVGRLVADDFNLNRVDTQKAWWE GGGSGRRKRSQSISQHIPQPSPKIKKNNQFEPALYLRCGPLLRFTGMKREKIDSPAGP IEREIWRGSIMIATKDSASSYGVPPIIRLFPQPRDLLPPPPPEIRPERGEHLAPEYVD PIAGLTKLARNGKLLYVKPVDHIEEAQDLSFVEDEDGLFEESPSPLDYTTSPQVNKSP DARVRDLDGESVGKYKEIPGFRLYADPARDVTFWRFNLEIELGTKQAHIAYRINQGSA QGFWVPAKGQTMNVMFHSGNCFNKAVDTDKFSGPDPLWRDALNVHQTRPFHVMVGGGS QIYNDTVAFETQHFQSWLDLKVKYEKHEHPFNLDIKAELEDFYLNNYLRWFSQGLFSM ASSQIPMVNMWDDHDIIGGFGSYSDEFMNSPVFSGLGNIAFKYYMLFQHQSVPEETQT EEPSWIMGANPGPFIHQRSRSVFVHLGRRAALLAVDCRTERTRYDILSDDTYDLIWNR CHQEIVKGETKHLLVLISIPVAYPRMAWLENVTSKVMDPMRSLSKVGLFGSSENKVGS GAGHLDDHWTAKLHKLERKWLIEDLQELAAEKSVRITILGGDASLAAMGQFYTDPKLE IPKDKDYRYMPNVVSSPIVNAPLPEIMSDALNRRNKVHIVDTKTVEDMRPIFTHDVDG KPRNNKRLLPRRNWCCIREYAPGSTPVHTPSEPDTPEGPRVGNLVRSLSLSRGESRGL GRSFSLSRRFSMRGPPPSRSIETAEYNQRSVSHDGSQQPSEHQDSYFPALSGQPPQQP NGNEPEPRPGNFIRRRTDLSAKDLKQALRRGHDMHHFINLEGGLDITLNCEVSPSDPA GITTPYRVLVPALSFDGEFEPSIPLEKRKWWMLRRKKPANTQPGDEISEDKYDDQPGQ GAARPSTPPVQEKHGQFSSPDGVGQGYSSVEAYRPKKKRFGIF UREG_00630 MYEGMLANGTAAGGQLTTTTDVENFPGFPAGVGGTELMTRMREQ SVRFGTEIITETISRVDLSCRPFKLWKEWSDGPEDAPAHTADALILATGANARRLDLP GEDKYWQNGISACAVCDGAVPIFRNKPLFVIGGGDSAAEEAMFLTKYGSTVTVLVRKD KLRASKTMATRLLNHPKVEVKFNTVAVEAQGEPGPRGLMTHLKIKNVVTGNEEVLPAN GLFYAVGHEPATGLIKGQVETDSEGYIATKPGTSYTNVEGVFAAGDVQDKRYRQAITS AEMPAEQPAVTTTIQQSATQQEQADEANPPASLEYKSNPLL UREG_00631 MDAPPQRAFNAVFTLSGNAAAGVPGSELGSGAHAALTNQSQGEM PAQFLNWVRIIITITCAPEHPGVNGGNGREFNYFDYIPRDMIGRRLESCNVNWEPAGR YFAGIRNRYHNIVLFSQTWDCQFCGEISRHLYMSGMPRLMDMRRWFVYAIPICRTLGS CDRKAVALVDKIFSERFPTMAVPDGPCCEVCGFVHDLKWCSRCNMIQYCSVECQAHHW PMHKKDCRNAERERLAGN UREG_00632 MTDQTTPSENPSLQESVRTGSCLCGSVKYQLTGEPISALNCHCV NCKKTSGAAFLSNGLFWKKQLTITSSSSGLKTFQDKTTNSGEPLCRKFCSNCGSTLFV ESLLPDTPVAVTLGSLDQGQRPWKPRLEVFTKDAVDWMPRIEGARVFEEMADPHAFFE UREG_00633 MAPRLSALTFPTTGFDIIDASKKIEEETLPAYKPESYYPAQLGQ ILKDRYQIVGKLGYGGSSTVWLSRDLADSKHVVLKLCTNSTKQNREIEVYKHLETVRI DEPGKYIYRKLYESFEVEGPHGTHIYVQSRNMLLDIDDPKVFSVFEDAETQAPAPRKF LDDRTIYMSRRLLRTPRLPMITDFGEARITNGKDKLPWEDVMPEVYRAPEIILEMPWD NKIDIWGIGMMFWDLAVGRTLFKARNEERLLDDSIHLAEMIAIMGPPPKEFLERGKMG RFWWDENGNWRGASPIPDVSLEKLSEKMYGGEREGFLAFLRRILRWLPEERPTAEELV FDPWLMKGLYGEEEM UREG_00634 MVGIDGNTLSNAESSLEPVKSVESETCSMKNESDIKSDDDASGC GVSRSPTALTEIAASNSNDGKEESSAVNSDTCERNVDIGPGFHQLSAYQLPLSKLGDD SSQGSSDVSKHSRLFSGHDTPSGSATSTSLVSSFGLEKSMTPSAQLNQMLNTPPATVS ASASSASRKYQKEPLFHHNSQARPRSSIPPRMLASVYAQQCVAAAYSSRLNPYALHKG EQEILQDYLCHLHVTTYLNIRNGILRLWTRNPMLSVTEEEALGCAKDWRWMNLAAVAY DWLVRNGYINFGCIDTLKNPNPPKRGRRKEGPTIVIIGAGMAGLGCARQLQGLFEHYE GDTMPPKIIVLEGRKRIGGRIYSHPLQSLKSDTLPPGSRSTAEMGAQIVVGFDHGNPL DPIIRSQLALRCHLLRDISTIYDTDGYPVDELQDSMVEKLYNDVLNRSGMYRHKTVIN KTAEGDRELIHSGRDAVLDDGITIRQYEDAAASGTVDLLLPAKRLRRGVGHRTADIKP VAGPQLSDFETAGGNAAALSYQAMGWKLREGISSTDTLSLDEIADLSENQTLGTVMDD AIRQCQKLLPLTPKDMRLLNWHYANLEYANAANLGKLSLAGWDQDMGNEFEGEHAQIV GGYQQVPRGLWSYPSKLDVRTNKVVTKISYKANKSSNNKARVYLDDGEVVTADKVILT VPLGVLKRQSITFTPPLPTWKTDAIDRLGFGVMNKVILVFEKPFWDVDRDMIGLLREP AVPDSLSQEDYAAGRGKFYLFWNCMKTSGLPMLIALMAGDSAHHAENVPDSEILYEVT SQLRNIFKGAAVPDPLETIITRWGQDRFACGSYSYVAAKALPGDYDLMAKSIGNLYFA GEATCGTHPATVHGAYLSGLRAAKEVMESIIGPVKVPTPLVPPRSKASPAVTPITPPT LARQPTVINSKKRKEPPLGSSSLTAQNIAPTVIDQTDHLKANVPFEETYERAMWDAII AELGPAEPQPHKQPLNPFLLYQKDYWFVCKDQCDDARRRSSGNPEAKAPRDEIRQALG KMWRDAPANIKQPYLTQIEANRVSNKENLAKWKEKITEWERRTYEVKDQWCAANPYDA FVEKVKKLLDDGGSRKIRKLN UREG_00635 MTTNSDLVADARLASPPIRDSSLSPSLSTRPLWSRPRSSLSRGR RTSSAFSASISTRDRAINRLERTYSRLYKIWMRLTIWQKITAVLAWLALSALGIGFMI ISGHIFKWLEPVAADFEKSPLVYFVVWICTFVVSFPPLVGWSAIGTVAGFIFGVWKGW TVFATATVVGSICSFMLSRTILAKFVHRLVQHDKRFAALALTLKYDGLKLLCMIRLCP LPYSICNGAISTFPTVQPLMYGLATAIVTPRLLVPVFIGSRLRVLAHSGEKMGAGTKA VNIISIVIGVCIGIFTGWYIYKRTLARAAELEAEERAILAQAGGDPSQLPTPGSFVDN PDEYTAASNLLAHDIEAQRNFHDDNDDITADIDNDHVAIDTSGYRDEFTDNDSDVFGA GDGDEGTEETLVGWDGLFTAIVHPTLLD UREG_00636 MVSEEVFESCLPILNDAEVDEEEKVEKLEAFLRDKAALTGTVLE NAVLDILWRHRNSLKGGASPPPLRHTVIRRSSPAPWQITRSSTPLSSPSNSPAVPSGF PVPRGGFPRAPRSLTASPFTSPRPSPRLAFAQPIPHSPNLNAYEFAERTPIPEYGDLG SDNVDWLVNDDAKSTASSTGLSGAAPEWVAPADMSPYDILRSVLGDRRSNDEIEAALA ANGYDLGATIAALTEQETMNNSATSNNEGQSRSPVVCKYWLSTGQCLRADCRFSHDLT NHICKYWLMGNCLAGDVCPFSHDPSTLVGSLSVGNDAGATFGSPSTHQQQVSYGQDNY DAFPALQPAMGDQWASYYPQKHAAQYMYAGNQSHRGHSPSNVRNRTALLNQSTRPHSR PTSRHQQRDSSGSALSVDDPEAFPTLSSLNPKSGKKHHGKRGGHNQNRDSGGNKEGSS GSLADVVRMSPSQRKGASKGARPVNNGRENSAASQSIPTPKHLPWLETGPSANQQYLK FRLDAITHGNVRNKFLQSAAQAWNRNDARAAKALSLRGQAENEAMRRCYREAARHLYE GADTNSKTDAEQEIYVDLHGLHPGEAIEYLENTLKENAKLGRKLLYAITGTGHHSRNG KDKIGKAVKNWLNEWRYVYCEFNVPSERGGYVGGVLGIDPTSSEKSGQVSSSNGEVPS EDSKKDTQGDENVSKAGGSMPVLTLGKVKNDNETSQLPTIRQRLSQLRGIFGRLENRR LLYMPQRSLKSAMELDLIDL UREG_00637 MAANNVLRRALFYVPGSNRRMLEKSRSIAVDCVAYDLEDSVTFS QKDEARKMVRNEIDQTKPDSIREQSVRINSVGSGLAEKDLEQMLQSPNLSTIVVPKVD SASDLRFVHDMVHHALSTAAPSTPRTPISILALIESAKSLTNLSEICTASPLLSGLIF AAEDFALDLNLTRTPSLSEFLYARSAIVTAARAHNIPSVIDLVCTSYSSRTAANPEDK RAVDILKAEAQGGKRLGFNGKQCIHPTQVPIVQDTFSPEMEELQWAVRVSIADEKAAR QGRGAWSLGGKMIDVPVAEKARVIVKKAVACGMDVEAVKREWIDQEPE UREG_00638 MDQPRTGDLNWRLSAHPITLLLFLGFRIGSLLMYLFGVLFINDF VLVFIFTLLLLSADFYYLKNIAGRRLVGLRWWNEVNTSTGDSHWVFESSDPNTRTING TDKRFFWLSLYATPALWVGLAILAIVRLQSVIWLSLVAIALILTITNTLAFSRCDRFS QASTFASSAFAGGIAGNITRGMFGRLFR UREG_00639 MPAPAPFAEPLWYYRDSSPYYDDSHKKLRQFTREYVENDLMPYA EEWERNGHVPPEAVRKYVEKGFAIIKPTKREYMGGMTMPAGIEPEKWDVFHNLVVNDE LSRVGFSGVLWGMNGGNGIGCPPIMNFGNEQQRQKYLPRVARGEIRFCLGITEPDAGS DVSGIKTTARRVGDKYIVNGAKKWITNGIFADYCTAAVRTGGPGRKGISLLIIPLNSK GVSRRQMYNSGVNASGSTFLEFDDVEVPAENLVGKENHGFKYVMSNFNPERLGMASSC IRLSRVCVEDAFNYAITRETFGQPLINNQIIRAKLSKFGQLIEPCQAFMEQLAYTIQL NAKTGKEVDVGGMTALLKVMSTRCLEKVCREAQQILGGAGYNKAGKGARIEAISRDVR VFVVGGGSEEILRDLAVRQEMKFMFEVQRAKM UREG_00640 MSSFTETPPPSVRFVLLDFPAPHVLLLTINLEKQMNSLPVDAVW EMDRVWRWFDEEPQLRVGIITGAGKKAFCAGMDLKERQSAFLNGNPNIPGHNLYPANG FAGMTRRVGKKPIITACNGHAHGGGFEIILNSDIVLASSNADFRLPDVLRGTAALEGG FPRLCRNFTLQRAMWLALTAHTLTAQEALDWGLVQKVVPLENLLPETIEVAKLIAGMS PDSVIVSRAGIRQAWETSSVDTAASLTVEKYGSSLFFGNNAKEGMLAFREKRAPNWTP SKL UREG_00641 MDSGSASTSLLLSGKKIAVVGAGISGLAFVHSVHKQWPQGHEFP DITIYERDPEDAIGREGYSISIRGDTLAGGMQALQKMDLLDRALNASLTGSVPPEERG CFGMWDPDWNRLLEVGGPKPGADRVELGMRIARDALRRVLLDAVLENKSAAVRWSTHC TKVDKLDTSARLHFENGETEMCDLVVACDGANSKIRACLRPDDKLSFAGAVLIIGTAR FPEGQVPKPADKDWGLVLGGKNGAGLFVSPIDSKSAVWSLSYTASEPREKLNPPMPKE QLDNLLEEVLDRGSTFTEPFPTLVRATDPTTLRLFNAMDKQPFQHTHCSDLPVVFIGD SNHAMSPFAGNGANMALLDGWDLAEQLCQPQSLEAAVGHYDKSSISRSQNAIAASHWA ISMAHATGFKLFGYGIALKLASLLMWAKG UREG_00642 MSDNWNSGNDKWNAGAEDNWNEGGFGAEDGGHEESGGGFDEAGA SGNDGGCRNCGQSGHFARECPEPRKASGACFNCGEEG UREG_00643 MGHTRRGCKQEQAAIEKVEVKCVICKEPGHRARDCTQPRKERSG CQNPAITPKNALNPAPPRVWSATDASKLVTLAKIVLKKEPITELAVTAAGHMSRDCPE KKDWSKVQCSNCKEMGHTYRRCKQPIQDANGDNEGAYGRDSGKDYGNGDNHGQAGGWQ ETPVQANAEWVGGEGNDGSGW UREG_00644 MGGRYFGLRGTKLNIAIGVIAGLDFLGVMGGLLTLPSFAKTFPE IDTTEEGQRGLNQSQRDHRATIQGDYHPLRRNSVPKMYHLTVDPGISVASYNVGCFLG AIACIWIGDILGRRKTIFLGSTIMVVGAVLQCSAFELSHFIVGRIVTGIGNGLNTSTV PTWQSECSKSHRRGQLVMIEGALITGGICLSYWLDFGFSFLEPSTVSWRFPIAFQIIF ALIIMGSVLGLPESPRWLVLKGREDEAINVLAALGDLPPDDPFIHTEFTAIKDTVLEL AEVGFKDLFLRNKDRHLHRVVLAYVNQMFQQISGINLITYYAATIYEGSIGLSGFLSR ILAACNGTEYFIASWIPVFIVERVGRRPLMLFGAVGMSLSMAVLAIATSFVHQTKPGI VAAVFLFVFNTFFAIGWLGMTWLYPAEIVPLRIRAPANALATSANWAFNFMVVMITPV SFSSIGYKTYIIFAVINAFIVPVVYFFYPETAYRSLEEMDTIFRNTDSIFSVVRVAQE TPRRYGKNGEVLISYDETEEHHVRAASVGEEKSAALAYNKHHANQDYHMENGSSNS UREG_00645 MVTTRAQERAEATSGQKPTKAEQPIPAKKHTAEKKNIQKAAGKV EKRKLPKRGEEEHKKGAEKPVSNAVRNDIDKLIAKRGSLPLDDLNLRSRPKPGTEMIL ALLMEAMLKAAPISHQKAEATLGLLLEHGFQDVNNLKNSSWDERAKVLIQGGYRHYYK RAASNLAELADWVIDKYEGDLENFHAETGGSNSRIRSTLKEIKGFGDVAVDIFLASVQ SAWPETAPFIAERSLQTAEHIGIGTDVEAIFKTLHSDSKDMCKLARALTEIRLEKEEQ EFQVHEE UREG_00646 MDLSNIFRLVNLAIGVIMVLGGISQFFFDPKFRNIIVGIYVILF GLATGGLEIVPNVPTQISRHASFMFSFIGRGIFYIFVGCIILEHHVLRIVAGSIIGVV GVAYVALEFIPSIEPPANMREAEPAWGAEQI UREG_00647 MDIVRGVPPSTDSMMRHPSAEDLDAAHQLVSSARGGRNQVSDLA SATAETTIMHDPESVEDGPSPGEEHQRPEELNDDSQPNASYQSSKSDGKDQVFLGHSC VNCGTKRTPLWRRAPNGSTICNACGLYLKARNADRPTNRNRHSSATIGSNALRNTDVR TSTSPTAGGGCSGKQFNVTNDQVAPSGTCPGGGSCNGTGGAVGCDGCPAYNNRVYKSA SRPPAARQISRASPQTVNQPGMLGHEASDLLDVPQHDGSIPTACQNCSTTVTPLWRRD DQGHPICNACGLYFRLHGCARPVAMKKSIIKRRKRVVPALRDRSPTAGSSNDSSVSPE LASASLASNRFDSRRYSQGEHGGSFITNINSQLSPHMQAAYQTHSSAPPAIDFTGYNS KPTSLPHHGPPPPGLYNGDRVSPSDHLPTSATPKKRTLEEANASDPNSLAHNSDIPTS AHLPPIHPTSSSSLPQPGRLSSISSLLNHAERPYEGASIDPSLGANTSKPQAQQAPLS QHAPPVSLSPPASVAGSLPSTTPISAAAISEEALKAERRAQLQREAENMREALRAKER ELAALEP UREG_00648 MLRDYSGRIVLCSATFVVPSRQPIPSVHTHYAEWLADPSIFIVR SISSALSAAPPTVSRTPLFDHRPEVRCHRPNTDVLFGIEYLNDEAEDFHQDESQYDLG IFAVRHVDKEIPADFYSKTLEDPELTKLLKPENFDWSSYDSDGDDESQFTDSGYSSRC EPSDSDERAHEPPEITPQGAANTKDDPSRQMNSRKPSSSITADLGLPYSSPTKMLSCV DTLRPQPFVLQFDETQTIGKENPQLTVGGPSRDPNDIDVSNSHCFVDQQEPGVWDFAA REERARQEWWIANHSNVHHFNWLGNPILEPSYTPPEVSLFVILSPPKPWLHSMSRIGV ILWQAMKFVDPVLYDGNWGDLRRYRGLALLQSITGHTFKFYTKEGAWVYDDYSWDDNV PCSDPMDLDIYQSKPWLPVNGWLESEVCPTRQEYMLRASRYMDGQMRTKRRRPRPYQQ SPLRICASIKGSSLLRTCSLKEPAIEDSQLEVWGFVPNPLFYRRWLGLEMGFSKISRY KNRDNIGSGNTVDNTYNWF UREG_00649 MATAMGKRLEGKTILITGASSGIGKSTALEFARTSPKNLKLVIT ARRFDSLKAVAQEIKDEVGEGVQVFPCQLDVSKPEEINKFVESLPAEFREIDVLVNNA GLVKGVAKAPQIETEDVKIMFDTNVTGLINMTQAILPIFQKRPNGGSGDIINIGSIAG REAYPGGSIYCATKAAVRSFTDALRKELIATRIRVIEIDPGQVETEFSIVRFYGDKAK ADAVYAGCDPLTPDDIAEVIVFTAGRPENVVIADTLIYPQHQASPTAMHRRS UREG_00650 MESVVRLVVRVLIRLVPQPWVMNICRFLKKKQKAGNNKGCAIIR LPGGIVAKIGAGVTPSEVATQSYAYHHLDRRIVCVPRIYHYFQDFSDRVLPTGYLFME YIPGPTLEEFDEPTSTHLTQRLAKVVQLLQQFQANIPGPVGGGIPLGNMWGYHDAGMA FNSAEDLTAWVNRRIELLKKTVDFSPCPLVLCHLDLCRRNVIVSKDGSLYLLDWAFAG FYPRFYETAGINFYKDDFWKSFLDAVNKTIALTDDEKRSMDLILRARAASLRWIFYTG KLHTL UREG_00651 MSGARNPRSDRVRGWILGLVTGGRLADRVENARGAGSTMLRRTP SCFTCTLRCDCARLTCKKRGAREAGTATGEFALSRSSVANYPVYLDAFRGFMDPFNSQ LASLRNTEADRIICSFIFFHLTLQIQFKAMLCSSQSARVGRMSGLERDSCTPHTKMHV PVMMERCLKAHLPWLG UREG_00652 MASTSKCVIDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLV YSITSRQSFEEIMTYQQQILRVKDKDYFPMIVVGNKCDLEKERVVSKQEGEALARDFG CKFIETSAKSRINVEDAFYDIVREIRRYNKEMSSYSSGGGGYGSRSPDKMELDERGES SGCCGKCVIM UREG_00653 MTSSQRTALENSKRPIGTAACLIIGDEVLGGKTVDTNSAYFAKY CFSMGVQLKRVEVIADDEDEIIEAVRRMSNKYDFVVTSGGIGPTHDDITYQSIAKAFN LKLKFHEAAFERMKRLSTPKQASPDFDWDTPSPALTARLRMVHIPTDETRPLSEQAMF IDDELWIPVSIVNGNVYILPGVPSLFERILTRLKPILLPKLIDPEGKGIYRFLFATPL FESSIAEYLTSLAERVAPKGIKVGSYPRWGKKRNTVTLVGTDKEYMESLVDEIEKNVQ GRRVSREDEDDPPAEEDEEN UREG_00654 MEDVADELPDSSPRFILLSYPLTLPSGRLSVPYVLIYYLPENCN PSSRMSYAGAVELMRNTAEVNRVIEVEGESEVIDIKSKLLGED UREG_00655 MLDSAESLPTKTPEHNDNHVGSRTDDIRTAKSANAAKLHKLHRK KLITLSYAQDEEEDMRVELKYTQRTAMLYEELYHRKEEIKELVAFHCGLTPDMVQTRI VQTYQYPSYEASEYLVDLVNSFLDHGYILMDWIGDDDVQMLSTTFSQPHTDIQIVNLY RSMSKIMLSLAKTPQPRIGTWTVDNYGRISLTNRPIFCHLHQLENWSIPTTPQTTTYT SADSFYFDLLEGHDNRLRYQANAATNESDARGQATDLVLMRALLHRFTDRHLRDGPFF MQLTDMHNSNILVDQKWNIKHVIDLELACSLPIGCLLPPFWLTGQSVDRLKDAEYERF RVEYERFVDIFEREEQNMKTPLHYSPSACMKTALISGRYWYFNALQTPKGLFNLFRQH LRSRFDKTPLPVVCEGVSAFWTRGMTTFVQ UREG_00656 MSESTPANPTSVKGEGISRYMKRMKTVLKGGTRSNRNSVSSIAD ITGDSSKPKPPAASPRSGAKPLATITTTLTPSSRPELTSNQDRVNRWSAMQEEKARAL FAKYGLTLEPGEWVTPRSAKEGAERVEKPIRMRVRRNCHRCLTTFGADKICVGCSHIR CKKCFRYPPAKSKEECEARDKAREAEKTEQARQAETRQKKFTLTIPSRTGGQDLVRKP ILQRVRRTCHMCSTLFIGGATECQKCNHIRCKKCPRDPAKPHKYPDGYPGDAEPPYEP PERVWRKPRRRIRWTCHSCSTMFPCGVKICPKCEHERCTDCTRDPPKKIKPEPDPELL RRVEEKLAALNVS UREG_00657 MATVGCRYLEHLGRKQLVHHPQTTEPTRFVEVHRGRMWADGSVK LPRPSIPLHPYEMIPIELLICETKPSISPNGLVRQHCFIVPRGLICSHFEAREIQHPP SKRDPVVKKTIMRGLAPAASGKPQPRPAVTVTDTMRNLPLRHHRSQQRGNQPATSVSA QRSRADHKKERAIPKPANQSAPGSPLQRKSPLIQVVFFFEAAQRSD UREG_00658 MPSSIYYLCSLALAGTLASARTITVMVAQGGLNFTPGTIRAQTG DEVAFVFTRGNHDVTLGEFDRPCQPAPNAFWSGTITIPSGLNGNATFTIPIRDTEPKW IYCSFGRHCQNGMVGVINPPSEGDNTFDAYKSAAEEAPESNRPTAINGGSLIVRGDLE IPGFPGNSESSSTGAPTGSATNSATASGTPPATQTGNAGATIQTSGALGAVMAGLTVG AAWFGLI UREG_00659 MAFNFGKSNNWTIAERSRRDHGPFVIPFPKSSTREQKPFGIKLS GAYRIDYERVQIRIAAAQHGLKVLVENGGQVVLRPGSDARAQSIKALSDDLDSIYNRF YSKRFPTPKRRPVGYIPVLWNHPQKIQQRIPRHRKIVMRAFADLAKVLSFKQRRKLGH LQHSRPATLMTERDRLVGYMEELGNLRDQPASSLDVSIPGLAQDGAGAYPLVADLRPH DQKVLCAMFSRLRQIAETSDEVIARQIMDYGKKTAVKEVIKQVLQKSRNKERFDRDNI KALYSKLRASSQPKLNSQHTLDLPPIFERGNSPLPSPRRCTPGSMYDIVDQSILRAKL RRNIREMTKEPDRWYYRTMRRYMKSSRKNPLAFGKNDADFTAAELKVELTDAEAFALI DDM UREG_00660 MAVLEENFPGIPPFPDDVVTAPLYRLSLEKLLNKDQDEVRRFCT ACEDLGFFYLDFRGTKQGEVILDEADKLFGVGERLFDLDIEEKKKYDFSQKNSYFGYK AAGAAVVDKKGNRDRNEFYNVRVEPIIALIYKFARLFLDQIAKKKPTQVSKDDILDVA EHWPAPELLHQSRPLLKSFMANAHAVVTLILNLLNGHLELPESTLVNKHRINRPTGDQ VRFIKAPPQPMNDRRTALGQHTDFGSVTVLFNRVGGLQILPPGKDAEWCYVRPLPGHA IINLGDAMVKFTNGLLRSNIHRVVSPPGAQADVTRYSLVYFSRPEEDVLLKRLDGSSK IPPLPEGVVEEDIKSKDWIIRRGLGNRVDLHKKIDYEKSAGTELLSRRL UREG_00661 MNDGTRGILEKPRAFGRGCGLGGVLVLRRRAARKVIIEHSPPTS RTNCFPALILPTPQSDSSRRQVKLKPLYLRPTSPTPANNRDRRRLQRLRKKEYFLRKQ KPKPLTAREKRALGVHDLPKEEVKYEIFKKLNSLWVEYMWEVLELKKVADKGPMITAM AHGAKLASADFHGAELQVVRSRCVSRVGVKGIVVRDSKFAFVLVTEKNEMKTGLVAIP KEHTVFRFEIPIPALPSTDNDQPPKPDSPKNLTFELHGSQFENRPADRANRKFKWKNL DYL UREG_00662 MDDPPKLPIPISFIAGQYFLFSAQAIAYLRREHHICGVLTGTLP QIPQQNIFLGLPLELMPEEARLLVEKNVAYLVDDAKAHVEGMTALADEDRRRYMHNLE QEGHRAALVQSTKKELLKETALKKSKKANQISSVDVQQDEPGRTEESLFGHVDASTNE ITAPSPSIPGIPLGVTPADSLALFPKPPPLDEGHLPKIPSSYPLYAYLHSEGYFLSPG LRFGCQYMAYPGDPLRFHSHFLVVASEWDEEIDLMDLVVGGRLGTGVKKGYLIGGQVP PDENSQSPSARSVKTFSLEWAGM UREG_00663 MSFRKRNVGLSTGGPRTATPHASINQASQPPVLGLRPSPVDGRQ TTSTGTPTLDNLLAGHAGLALGSSLLIEESGTTDFAGALLRYYAAEGIVQEHQLHVVG FDPQWAATLPGLIGAAEAADEKPKGKNEKMKIAWRYERLGNFGAGITPSRLHPGLPSI NFIQLSPPTQPGSPLSSVIQKLTQAISNSPSHKIHRVIIPSLLSPALYPPGTSRPECV LQFLHSLRALLSIHSSRMTAMITLPLSLYPRSFGLVRWIELLNDGVIELAPFPHLEHS NPAATSGAATAQEEPPQGMLKIYRLPVFHERGGGGDKNTDEDWAFTFSRRKFTIKPFS LPPVDGDNDAQQRDASAKSKADLEF UREG_00664 MSDPILFEDTFSITSVNAQKYDRVSRISCMSSDQLTTFTLDVNT ELYACSPGESLSLALASTLALDGKEEGAGGRAMWRDVGMGETTLANDYDYVCYGKVYR FEESSTAGNMAVFVSFGGLLLYLDGPYKKLNPLRIDYVYLLIKK UREG_00665 MNKSYLDLVNECDRFPYYYDDPVYYKQYVANYHRFKISGCNARL GYIPNDVVKKFPWPKGLWEIDEDKRTVTLLAAHDATAEQRTDLLARTLADAVKEDTFQ VLRGWRNELYPIYGPDKKLLASVERSGSNLFGIMTYGVHLTVYVKGEDGIRMWVARRS RTKQTYPGMLDNTVGGGISTGEQPFESLVREAIEEASLPEDLVRANAKSVGCVTYTYI RDARAGGETGLLQPECEYVYDLEVDPSVVPKPCDTEVEGFQLYTVDEVKTALANGEFK PNCSVVLIDFFIRHNIITQENEKDYLEIQARIHRRHEVPTL UREG_00666 MFSVVLPGRPCLTNSTPIQGDPNSPATNFVFTFPAWPKFSHIVV FLLPGVTLPPGAAAAVYIQFSTGATPTPNPQDFRFLGAIADEKPSAIFRVNDPVRRRT EAEEEDEMLDEGAPPSPNVNMTVTLGISIEPAQSVAAKLATLKSQSQSMELAKTVDVQ QRPNISTRLLAQRIIGNAFNFLSSFATSDGREEVVPLKSFRDWWTKFERRIDTDPGFL EREGSG UREG_00667 MRPPLRIALLECDEPLTNTKAKYGGYGGVFQALLHAAAAALEQP DKIDPNSGLQFSKWDVVHQSDTYPNLEDIDAVLLTGAKYNSYDDTPWILKLVEFTKKV LAQDRVRLIGICFGHQILGRALGAKVGPNDAGWEVAVHDIDLTEQGKQLLGLEKLVHS PSYWKPR UREG_00668 MSSLTRNTESTPLLDHDQVSVPEILEDVDEQSLDLDLARIQSIP HGGETDPGFPPVSDGDNSTPQGAELNTAKGPHHFINVSPAQFWVIFAGILFSYILAFF DSTLMGSIHPVVTSHFDSANSASWLSTGFLLTCTAFQPLFGRISDTFGRRPVYLFSIV MFFVTTAWCAMAQSIGSFIAARVCCGLGAGAVTSLGMIMSSDLIYVEYRGIYQSYINI AYGTGSSLGLAFGGLLADSLGWRAAFGVQLPFILVYLVAAYLTTPRSLGPELAYQEGF SLLQAIKSIDLKGSFLLVSGVTALMLGINLGGNVLSWGHPLVISSLIAFCVLTALFIR VEQSAKRPVMPLPLLSSSPRANLIFGNFFANITINTILFNAPLYFQAVKLESPTQAGF RLVGASLMLMLSCVFTGALITTTRRLKPPLLMGSLCLICGTLSMSKLHRDIPGWKGML SVIPSSFGQGFAFPATMLSVLAVSSQAEQAVVTTTLGLFRNLGSVMGVAVSSWILQNS LLVYLNRSVTGDDKAEIIRRVRRSVSAISNLDRIHQNQVIHAYENALHMTFLSTIFPS LVVLFLLAPVRLPRLRRREPNA UREG_00669 MSSTVGGHLLSQNDFDIPLNLATSSSVSTIPDYSGHGGAYQIAA NVDSNPLQKNATKDMAVGGASAAVGRAARPDAPKSKRVRTGCLTCRERHLKCDETLPR CQNCQKSDRLCKRGVRLNFIDTQVAAPPYALPSSHDWHVNFHDESRDIASEYLGGYER YPSLTTETPKQRVGPTYSYRETPTMTPHQGLTSASLLTSFSEHPQANMTAEPIFHSTP QAQAPDSVYPDHPIARSPYDAPKHPLAPANEVRPYLNTAEEVLLMQVYVEEVGLWMDS MDPGKHFTQFLPFHALGEPMLLNALLACGARHLFLVNPSFGEEKALYYYNISTRDLLS CLQNPNRDTVLCATTAVVLNVYEVMCEKAMQRMNHIAGARALIKECRWNAKTTGIGGA CFWLNVGMELLSCLHFNWKMAWDPDTWDIDMNLSMNQGNTAGDEEFWTHRMLYICAKI ANYRAAIAYQGLDRNAHDPRLNQRCEEWNSLQSWCDEWARCAPRSMMPLAYLHPWQTT SKSSFPEVW UREG_00670 MAEDGMLLNFSLDDTIITPQQRFKGGTWKDRLVAKKIAVKRQKK GGQGGGSGEAKGNNAYNPNRIEVSVGQRPAKRQRVDNGDFKPATKPGSGATTGNKEQV DRKATGDRQVISSLFTYNPSAKTAATTTDETARDGDTSEPAKPSNAPLVDGIDTFTSL GLSPNLAAHLLTKLHLKTPTAIQKSSIPQLLKQGHDAFVQAQTGSGKTLAYLLPIVEQ LMRISSHNKEKKYSGFHRESGLFAIVLAPTRELCKQISVVLDGLLRCAHWIVAGTVIG GEKKKSEKARLRKGLNILVATPGRLADHLENTKVLDVSNVRWLVLDEGDRLMDLGFEE EIQGIIKKLDQRQKPTNIQELPTKRSTILCSATLKMNVQRLGEISLKDAIHVQAEPTD EDDDVSKEDRSKQTEFLAPAQLKQSYAVAAAKLRLVTLTALLKRTFARKGSVMKAIIF VSCADSVDFHYEVFTRRENNEELPDADDDKQPTNLHGTFATATAFSNPSNTVILHKLH GSLPQHVRTATLSAFAKQKDTSVLICTDVAARGLDLPNVDFVIEYDPAFCSDDHLHRI GRTARLGRDGRALIFLLPGNEEGYVDILKSSYREGSNNAVARNDANEILKRGFGGNSE STSKGWEEKATEWQLDIERWALENPTILEMARRAYQSHIRAYATHIAAERHMFNIKDL HLGHLAKSFALRDRPAKINVPGLRPGKDDTKKSFKADRKAVSGEKRKASAHDDNPSTT DAAEGRRKMQQKLREHMAGASEFNLA UREG_00671 MADELRTGVSLEDAYAVSMVGRVALETSKQKPMKLSRQKFRKAA LEYYSANNLERREVRCSLLGWSDATAVTAAHIVPRSLDKKSLGYIFGAEVEPTTDVRN SLFLHRSLKRRLDSGQIAIVPLVGNEGEWKCVVTQKDKLKDIIGKGKRLKLKRPRIVY ECISALVDKSLGYRRTTVKILQ UREG_00672 MLTFLVNKFRLQNNSESKTDRSERHYDGSLDGETIYEYFGNRVI RSHNVPDGHPVAIKFKTVGFSRESEADMMAYAHSQNLLAPAVWECRQLNSHQIAMVAD FVPGNSLDKVWPTLDEQQRMSIREQLADYLKLFRSCTQPYIGRVNRQPTHNPYEGIET RFMGPFSPEAEFDEWCLSRIKNTSEKKKWRRKLAELRRNSQSKFVLTHGDLFPRNILV KEGKITGIVDWERSGFYPEYAEYALAACLYDYGGEGWWKPILMEALTPCIPERLEVQS LIYKRGY UREG_00673 MSRWARRFSTFSYRFANGTTFAANARSPGFEIFRDVSPLREYRK RLLLSNRTVGLVPTMGALHDGHLSLIQQAARENTDVFVSIYVNPTQFGVNEDLESYPR TWTEDMQKLQELDHKLASAASGRISAIFAPTTKVMYPTLPPSSEIDGKGSFVYITPLA NLLEGASRPVFFRGVATVCMKLFNIVTPERVYFGQKDVQQTVVIRRMVKDLYVNTEVK IGPTIREPDGLALSSRNVYLGTRRRKVGLVLSASLREAEKKYLSGKRTREDILGAAHR IATAISKEQESLQPPQRAKFEVDYISLADPESLEEVDVVDSSKGAVLSGAVKMYPLEA PQPGEDCGLGGGTVPVRLIDNIILAPVP UREG_00674 MPSTKPAEDPPTFAPDLEIVGNEVRIQPTGFTAGTATGDGDVTE RRLVQQMGRFRQNPFDFLREISLFVSGTGWRAYDDFVGQPIFYSGFTEKVKSEVLSHP LLQGKIAELAELRTKVEEKEGLLNGPSNKNGEKSIRRKNEIIANLREVVDTMIDNMIC KMESKTFIRGAYYLCTQLLTRAYHQGIHVSSEEVLRLRAVAREAAKEKKSIIFLPCHK SHVDYVSLQIICYRLGIALPVVVAGDNLNIPLLGPFLQHAGAMWIRRSFGNDPLYNTL VQSYIDTLLNNGYNFECFVEGGRSRTGKLLPPKYGILSFLLDSVASGRVDDAIICPVS TQYDKVIETESYISELLGQPKQKENLRDFLSSSSVLSLKLGRVDVRFHEPWSLKKFIS EQLTRINKAPSTTQLTDTAEKGRILRTLGYRVLSQINDVSVVMPTALVGTVLLTLRGR GVGKAELVRRVDWLCDRVRAKGGRVAHFYRAPTEHVVDRALEDLGPKLVGEVPGLAEQ TFYAVDRFQLSFYRNMTIHLFITEALVSAAMYTRVKQGGGPANQRISYDELKSQVSFL SQIFRGEFIFPPEGLTANLENTLNGLERDNVIKITRNPTNVPVVIELSEQERQCGREN YDFYCFLIWPFIEACWLGAVSLMGLTPPLSSPQASWIDMKKAHDSAQLLGKTLYHQGD LSYFEAVNKETLKNAYQRFEEEGIIIVARSKQSNAPTTIRIASQWMPERDPQTGKLLP RGRLWDFTDLIAQSRREGKNRRDGATVSFRVLSMSDAVGQALFQAALSPSSTASTEDL TLSTTAKRRKAIETPSKL UREG_00675 MGISTVPISASSLAALECTTPIRDGDHISVMDPYRLEICQDPGC ATISKENEQPRILQPFPIVKLVPSGPNSPDPNGRHIFLHCTAYAANGAGPLKDRLDGT TIIPIQQLNGPDGNRENYFIFSNLSFKIPGSYKLKYTLIEVTKGRVFQYAVARSQEFP VFDQDINAHGVPTSLQLTLTQNREYRNWFSRSFAEESFTKTPGIFRAESVVQLEAPIA HIFNGWVVNARLERFGPYGNMQVWECREPERE UREG_00676 MGKWSYAVILDAGSSGTRAHVYRWPDPVVTRPSAKFRNVEKLPE ISTNNEWTKKITPGVSSYAQKPDRLGPDHLKPLLDHVLNVIPPEAIRETPIYVLATAG MRLLSPQDQRHILTSACSYIRENTNLSLPDCSVHVKVIDGKTEGLYGWIATNYLLGGF DEPEKHNHGKDHHTYGFLDMGGASAQLAFAPNATEARKHANDLILLRLRSTSGRPQEY KVFVTSWLGFGVREARNRYVKALLRETASSGTQKRPDPCLPQKLSATIEGTILPANAP ISTKNPYFVGTGKFDECLRRTMPLLAKDVPCEDDPCLLNGVHVPAIDFDVNHFVGISE YWHTTHEIFEMSHKDKAYDFNTYQNRVKEFCGMNWDEIRKGISGKKWGKKVNEEKAYE VCFKAAWIINILHDGIGIPRVGLENTQSSATNGTKEVLKHGKEKGYLDPFQAIHKIDS TEVSWALGKAVLYASSKMPPAEDTLPVGFGSNVPGIPNDFQYPASPHVPVLLPQPPQG SYTNKTGDHWHDSLFDGDSPRRIPGILLFVLIIFIALFFLCGRERRSRLYRKIGFSKS RGSPHKRRGLLAKLPFLRRAVSPTYERVLEEGARHFELGGVDSDDSDHSPTTALHPSK SAPGWGNPHHHHHHPTLKYALDNSSTHSIGSALEMETIKNHVDRTGLVIRTESRDRLS PLTLGPTLNGRKSRTSSPVRHKTPFSNM UREG_00677 MGIKSRTFDVSATTTASGGRGYSPSQVGEKPVRVKLTASERKRV EKMIREAKSLQEIARLEKELNEGRIPKGAMDEEGDVDMKM UREG_00678 MPLDMPHPSRDPAIVYIFPKSEKAEKAIAHPHNDNRFTSVEFEG RKEILIGLRLGFDIPPKIPTKGFVFGSDPNSDVFLPSTDYCFSITFNRSTGVLLVKGI SPGGYLQVIENNFTVSFDGFDFFIGIPDRAPHERAYRSNLSKFLRLKPEELPMIDFRI PICDRIDNYLKLESPTLGKHGKDGIYVDERNGGLFTMETFEPDDPRIVRKTTFPKPVP ELHENVLSSGWISSGGRYRFVKEICHYEHSLEDIPSLGGVDYVAILHQCLKGIAHMHR NQLIHGNVRPSHILLGSTNPIRVKIPIHRPGKSNQTQYSGLLFVAPETRTDKVAFSKK IDLWALGITIMAVLKWLPVKATKEYLKDPRSDIAYQNYVDAVKNTPAQVDPPFRQVLS MMFLCEERRWSAEKCIAEIERFQRRHPAPPARKTEDWTYIEKDDYLESESFQAYQCQE DIHSEEIQPEKYRSEEVRPKKQQRKKRQIVRKRGAKGYRDWLQFFLS UREG_00679 MTLKNKEIPPPRAPWRDLFDKQRGKAPVEFCLATVHHDPFTGRA YPRARTCIFRNFWSEIELHPAALREMDRSYVPVEPPAPKEHRVKDGWGLYPDEEEAGD AAAFEKDDDDSQTQYNFICGNDECGEYDDYDGIDARFDDTYYAQEYEEDSDDSFADLY GNNDDKATNEASFESDMFTFTTDVRMPKVGDLIDPDGGPGGYVEAVFWLRDVCVQWRV KGRAFVVGGDPEDEAEVQAREEIEKAMRACPGYSKKTLRKWSWEKEITAQFANLKPLM RAQLRPYLIPSFVLSSDCSHCSRKPVETGEKELFTDFTIKGHSKTSHQEPRYLMVYET PLLFKFGKNRTYGRESNFKEWNGAPANMFSC UREG_00680 MWDYSDSEPDDDMVSRCSYCGRFCARAKIHAQTGLCLPCKEKLH PGGTEPEVEVHEAEADKMAVDGKESGGESAGSKAEGNEAAPQESDSLPQGTGESNAPD GPGLCARCWTRPPTRVLYNSPTCDDCFQVAQDAKQRRFQPPTSLQPGKKLTRVCDSCR QKRKRCEHRRVVDADDPAVADRGRKRVRVEAPISEDEEPECPSTNSEANQPTASSSST IEETATSHCGSSDENMQWAIKQSVETVYRREMERLVKGAEAKMAEATEAFDAVKRHMN MWLYELSKSGGS UREG_00681 MGSYDPEPLNCFALLTNKIPTWISRVSDLAAHTAAKQEEFKTDY LKYSIQDQRCRRKKGSSLHTHKNDDERSSRLGMTLDPDDPCADPLTRMKILKPSPGDV NTRKRPPARRESNDTLYSDEGTDRAVRPCHRFLIHYDCHTQSVLEKLVREIGGARNHI RKGRMSAMMKTGFGRKATQAEPSPEDDLLKPIFRSTRQFAKTNGAKQSPFDVGDTYLE SAQALCEMASHQFLRNGDCSLELNKTKEKLDLALAMAKEEVDRLAEEAKSEEKAEAEA KARREEKERQAQQLVSEQKIEGKDVDDHNAIEVDDASDTSSISIDLTAFRSSRFRR UREG_00682 MSDIKRYFLNKPKASMHAPGLVCETPPFSQPGEQLDATALLKDQ DHQAAKRSRMRDTGKKSRDEARSWNANDRRWGRIYRMAAACKPFSLSAKETGGISLPE SLAPPRANWNPEQISNSSRSSPGLKLRQLARLEPIILPRGELGPSIRHDGHKACSDSQ LSRNLENLMLGATLLPPADLRTFIMVSNIKNYVGKLRIISPLLHRSRLGQLLTTHPHG EQSASFAVWSVSIIHAAMSSFEFVGLLHVPLAHVPEEMADGIKLAGCPRATWKWLPSA FLSHQPERRIARYQSGILSLGEEEKLSQTGLTCSGEEGSAAGAQSGPGAAPLSGGSST FLAPWTLPNPLNEHVTVERLEIAAPAEDDDDLGCGSVQNIPQAQ UREG_00683 MSSLQKMLFALRSNQATRDPPPHPILAEPENHVGTRPMQVETVG GTLVGRQHDLKVAIQEDHDNLEVMEGGTWSQLANAHRDLSPGPTSPSGHAIGRKKWTN PQVIADLDILFGDDEDTIHAIQNIQQQPILPPRLCGRQVVEKKERMCAWEAYLEDIEV QTGFLRKCHPGLLGPKQRTQDNLTKPYQHFVLQPKTTSTCQLSSRHTDRYGTKYAVPS TGGPSSEIFHLAPKDANARLGPAKKMIRDAEEEISTKKKQKLLPLLNRVWSPRPGFFF PPFGVIAGVSRTNPVVIPGPHRHTVSLRNRAWCHGATLRLVLLFTAPAKGSCPTPRGH PRHSGQQHSIGLPKRWIRHTPQAHELVEFRQREPTKHHADGG UREG_00684 MDTFVQLARRADDDNPQNASNSASGLVSTLIPTLIISGAMLLLF VILRRSERRQYVPRTYIGALREEERTPAPEPGFLGWIWSMMKLPDTYVLRHHSIDAYL LLRYLKIATTICFVGCLITWPVLFPVNATGGAGKQQLDMLSFGNVTGNLNRYYAHTFI AWIFIGFVFFMITRENIYFINLRQAYFFSPLYANRISSKTVLFTSVPDEYLDEARIRK MYGEDKVKNVWLVPVVDDLQSKVEERDGAAFKLEGAETKLIKLANAARLKATKGTPSD EEAQKAISTPETNAGEESGSVAAKWIKPSQRPTHRLKMLIGKKVDTINWARGEIGRLN PEIQALQSKLRAGDAELMSSIFVEFYTQNDAQAAYQMVAHNQPLHMAPRYIGLNPSDI IWSNLRIKWWELIIRNAATIGFVVALIIFWAIPVAAVGAISNINFLTDKVPFLSFIND CPKLILGLITAFLPAILLAVLMALLPIVLRLMARLGGVPTTAAVELRTQNFYFGFQVV QVFLVTTIASAASSAVTKIIQKPQEAASLLAENIPKASNFYIAYFILQGLTFSSGALL QVVGLIVSKILGKLLDNTPRKMYKRWSTLSGLGWGTVFPVLTNLCVIAITYAAIAPLV LGFATVGLYLFYIAYRYNMLYVTNANIDTKGMIYPRALQHTTVGCYLLIICLIGLFAI GTASDRSALGPMILMIICGVFTVIYHLSLNQAITPLLNYLPKNLETEVTSPLLHEPVR HAAGPSGEADGDPEKGISNGASAEPELPKANPIVKFFQPQKYADHNTLRNLVPHDFAD TVYPPEVEQNAYYHPCIGSTPPLLWIPRDAGGVSRQEVAHTSQVIPITDEDASIDDNG KITWNQDKGVPPIYEEKIYY UREG_00685 MAPSTDSLCLGALLGSHINIRPEVMSPSVMSNYARYNIILDNRR TLLKTSALNLSETSGTTNLDPSSNMVPASSLMVKLSTPGAPPKRRRRQ UREG_00686 MSGKKGENVSQTRRRIVPAQPVPDTGPRHAPVASPASQRTFFFV DDKSSSKGKRAHVMKHHIQEKKKEQRRLLSQVVVAQGARGARALPWTRKPDEPSQPAA DTNKTHTFALRTMTPVVWTEDTDKKNDAPLPVKAPPAPTHSGCLLCHQFTISASRTDP FCTLPMDITDESQQLVDCWTKKLAYWSGQNTHMKIAGFKQAILNPMTFHVAILTYCAR YKAHVFGAEQTPQSIQYLSTAERSLARYIANASDPYDQNIIMAFAALSLQEERYGCKE KAMKLVNQAMVRLRPRAGQYHFQDVYVHYVRYTMSPRGTVRNPADAHLLLSFLRSAES AAQDHQFISQIPIREEIFQFSTPLHLLLSSGPQPSHVPNEERKWVVKYGSLHDFCRIA SLIYITAALLDYRGSADRTARFLEQLLERVDQHRLNRWPSTETLVWMLLEEPASPDLK NPQRAWLVGDMLEVVRKLPWQLNYQFSELLLRYLMLRPPDLEISLSRFERDLWAHLSP QEAVPTIFG UREG_00687 MAETCIPLKDSTTCPAFSKASFSTKKEIVDLYPFLAFVSNVEDF DKELESYVHRDYVKLKYQTLIGCSNVNLRNTSSLYARYTTSVLCNGIIQNSKDICNLS PENSRPLCADTCALAATSEEMIAVNPDLCGTPKNNFMDQIRSDFTVCAIPADSLTGKC ISGSDNEQKECGYGPNLLGLCGFCAESSPNATDSCCVAADAPNRCRGLELPTLSPLPP LFPTSTSSSTPSASTGASPGGLSGGAIAGIVVGSVAGVALLAALGVFLFIFLRRRRER EGSIFNQPTPPRKGTNSMQYAPGSRGDGTGYEVLPGGRVARMSALQSNEGSPPRGAAG GRYDSSDTETFASPGSRTKGRLPVTGRRNGSLSSTSVLDTSPKSGDGAQFSSPEGVAS GQSEQLPYFRDYYSQDDIHPNDKVSVLWAYQPRAADEFELERGDMLKIVGIWDDGWAT GVRISERAEDYDGKHKPQRDSGVSNGPERRGSSPVPMGDIKAFPLVCVCLPEHWKKTI EGDPQRMSMDTQ UREG_00688 MCSPDLFLGLLAVLFPPVAVWIKVGICSADSIINIALCCLGYVP GLLHAWYIILKYPEPDDEYPDGYQPIVGSGTHHDPENGRVTYYYVAHHQAPQNNRSPQ RSYGATQQQPPEVPNSSTKPAPAVPPRHPSQHNDSGAREGAEQGSSSRPHDETRAPPT YAEAVKGDHKVQT UREG_00689 MHPLPLLFRQSLRSGVGFAKTTVYNHIPTASFSSQFARQKSALR NVGPSICLRCQFRAQARYYSVPGDKNESRRENIRDGSLDNDNAKVEEPGASGASTTSQ LPNKGPEEESKGASRPGGESDIKGSESSLPSHWENRRSQLSKQFSQLMDNLQSNIFIA NRHINDLTGYSAIEKLKQDILAQEDHVRQVHARVRESKDAYSAAINRRSASQREVNEL LQRKHAWTPTDLERFTSLYRSDHANERAETEAQEALVAAEREAEEAAALLSKSILSRY HEEQIWSDKIRRMSTWGTWGLMGVNVLLFLIFQVAVEPWRRKRLVKGFEEKVMEALEK ENGVDHVPAPLDQKPAVVAVSPITQAHRHQEDLEVDGHGEKSVSSTVMPPAESDISAE QAQPELSTLVTHSGPQQQQQQEQPQSELELEPEPEPITEPPLTTILPPEASLSPDSWQ QTCRDLFSDRRVTLSQRDLTTVALESAAAGAAVMGVLIAIFRPR UREG_00690 MPPLTASSASSLARACTRQTLALPRTLAVTTSAQQLRAKSSSET AQTSSFDSPFGRSKESPSTLKIPNFGKYASPRNATSNKVFSYFVAGSMGLITAVGAKA TVQDFLVNMSASADVLAQAKVEIGLNSIPEGKNVIIKWRGKPVFIRHRTASEIAEAEN TNWEGLRDPQPDSDRVKKPEWLVMLGVCTHLGCVPIGESGDFGGWFCPCHGSHYDISG RIRKGPAPLNLEVPQYDFPTEDTLVIG UREG_00691 MNPNISSSSAQRRRPSAHAAPARDIRRHSGLSIYSASDHAPVTP IIPTAGELHGASPTITEEINEIKRYEDFTTIDWVQDAVHEQARRRARRKQGARFWDKE GAFGWRRKVSEAYDAGQAWLVVTIVGAAIGLNAAFLSIVTEWLADVKLGYCTTAFYLN EAFCCWEAVNGQCPEWRRWSSLPPVNYIVYFIFAVSGPPSLFCVDAILRFQILFAFSG AVLIDAIAPYAAGSGISEIKVIIAGFIMKGFLSARTLLIKSIGLPLAIAAGLSVGKEG PSVHIAVCTGNVISRWFSKYKRHAAKTREILTATSAAGVAVAFGSPIGGVLFSLEEMA SHFPLKTLWRSYFCALVATGVLAAMNPFRTGQLVMFQVKYDRTWHFFELIFFVILGVF GGLYGAFVMKWNLRAQAFRKKYLSRHPILEATVLAGLTALVCYPNMFMRITMTEMMEI LFRECEREHDYNGICQAARRWSMVFSLFMATILRVLFVIISYGCKVPAGIFVPSMAIG ASFGRMVGILVQALQESFPNSSFFASCEPDVPCITPGTYAFLGAGAALSGIMHLTISV TVIMFELTGALTYILPTMIVVGVTKAVSDRFGRGGIADRMIWFNGFPYLDSKEEHIFN VPVSHAMTNKPVVLPAMDFPVRQAERLLENNMFQGFPIVEDLTSRTLIGFIGRTEFQY AINRAKKEGLFSPNAKCRFISQPNTYDPSSYPRTRSNPSPTSSLYFDPPTVASSSSEA AETHLPPPQTFEDIASSSGIRAIDFSPYVDLAPITVHPRLALETVMEIFKKMGPRVIL VEHRGRLSGLVTVKDCLKYQFKVEHQELADANAASGDRPPAHGNMAEGLVEQKAWEII QWVVGKVSFWKKGHARLGEGFESGNSRTRDGSFDIIDGTEESDGFDGFVELGERDDGR L UREG_00692 MARQRRNHRSNGEQRESGFGSDQIEKGDSPREHAKASEKSAMTI SQKWLVLAVASGAFAALNGVFAKLTTAQLTANISQGISHSLSLSTSVAVAVEYIVRAF FFGLNILSNITMWALFTRALTAASSSTQVTITNTTANFLATALLGMVVFQERVAPQWW LGATIMAAGSIIVGLRDEGKVEDSTDERRGDGDGRTADLIEFQDERALTQERD UREG_00693 MSNNYYASASGIALIGDGTANLVVTYKPDGAVISSPQASTASPL AATVFVPLPNPPNTNATLKSVSLEIDTTGSAEITEVYVYFGKTLILDSAGRFDKDHII DATKSAQKPDDKPYGITVALDVNIPNAQATISIHSVSLDFQG UREG_00694 MTLDNSQSPGEHLGHEHRDSHTQPHRHPKRLIFAPGDIQAPLSS PAAPSTATPRIVDASTNNTDSQPTVLRSSLLPTLDDPTLSGASTPSTNAAVVSHAARA HQFLTNPPLTLSQIHPTNPLHQFHTWFRDARLPASSAPETCTLATASMPSGRVSARVV YLKELDERGWVVYSNWGSRAGKGGQVFGTATEAETGVDGSVIPDDGQGRLEGNRWAAL TFNWPRVERQVRVEGLIEMLSREESETYWRVRERGSQIGAWASQQSKVLWSTEPQDLT GVDAAPGAGGDGHREDVDDGRSVLENRVKEMEERFAGAEEIPLPPFWGGVRLVPESVE FWQGRKSRLHDRFRYVRVNDEDEQPGVGDEKTFRWRIQRLSP UREG_00695 MSCAHWELQWPRHRKPARESVSLFHLDCSESLEDVSLRCFDCRV APSIPRMILNTESRDQPFSQGTLEYRPSEIEARLEDVPHLSRFEKSHTTRQQAAQRCS TPPLSPSHLFLLFPFTHLARQTFSKTLVHGYAQSVVAASQSSYASSTTSLNQITSVPG KFTRTAQLQSAFQNASGSSSSAGPKAGHAASNFSAGDSGLAAYFAAWQLAQQADEGDW KQYQFTKRIGWKAGVKASEEKDKPEKQPVEGQDAAALSHASLSENRSARNVESAPVDK DVSAKVDEAVAREIQQIQEAAELTESPASSVDSAVVLESREASSVELTPVSSIEEAEP APRTPKTPVRELRQAQTPNEESNRIVELASAGSFAQVPGAFEKLLKDGLVPSIGAYNA LLQAAIHLHSDVYHAVPKVLDIYSDMIRRNVMPDEQTYKTLVQLLSTRALDCQHMVQK LEQARIRYGGMDEPGKFIFRSSEVEHQLLTEDHSLSIALKLFKLAASRHQGIVFSLDI YRALLTACAMRGEVDKMIQIFAHMETNKVAPHAAMFPPMIDAFATSGDLKSAVECYNE YKTLAISDDNGIFGIVDRRDGEVYAAVVKAYMVCGKNEGALRFSDKIRASFDGVENSE QRLAAVENVIVKDGLVQYSVMAGKFAEALQTAKTRLDGEALKSAVSKICVMAADAGES AVASEAYDILLTKQPSKNTPVVAMMAMHIRKGDLVSAKSQWAILATSDHVNADLIQPT AMYTVALLRSGQIDEGLSQARNMFAKIRNGAPKDPSQLREEIDEAIDLFGRVLMRSSP VITAHAAMTLIWAMVENGGLVSPIAEHAIASLGPSEIGQLSVHDLNLALQVQAGMIVN GSPLFDAAHPARFAHMLELAMSTGLPINQHTKQLLDQGVSKISPARPDLLRRWQSFTQ APARPTFVSPKYSPAPKASPALKPEDSFDPYAHSTDFRGSSIIAEELENTRGRAEDHL NTAMIKFRNMRRIGRHPRYITYAKLITAAAKCHRTNLVHEILGMARHDVPLIPQYGAV KYGWTSILDAMVAACLTAGERGLAAKYHQELLNIGAAPSANTFGLYITTLKESTKTFD EATEAVKIFHRAMSEGVEPTSFLYNALIGKLGKARRIDDCLLYFAEMRANGVRPTSVT YGTIVNALCRVSDERFAEEMFDEMESMPNYKPRPAPYNSLIQYFLNTKRDRSKVLTYY ERMKSKNIEPTMHTYKLLIDAYASLEPVNMEAAEGLLETIRSTGQQPEGVHYASLVHA KGCVLHDMEGARRTFDSALASGAAKPQPCLYQALFEAMVANHNVADTPALLKDMKARG VEMTPYIANTLIHGWAAEGNVANAKDVYESIGMQKREPSTYEAMTRAYLAVEDRRSAS AVVQEMLSRGYPAAVASKVVELVTGGNPS UREG_00696 MHIWGGCHLTWLPLSSQHESCLEEHLASEMLQTASLRGQQRKQL HPAAAEPTSMRATVASLQDSRIDHTRPGSSHHSPRSPAKLACTLWVHDETFSREEVLC NLQALPDFGLKVGFLVEVSPAPEDHSQASSRYFHDEAGYMGPRWGTGSASKPVYGADG RVRQQKISGKFLFIVKPFPPEIKARHPNLQISVANHVANAFGFKNRTQVHLTVKNAAQ CAASHVEFVFRDQFLLRSDMWRLTSSELVNRPMYKGQKILFMGSIKASVKSVYCGGKK AMSAYFSPKTIPIFRSESARFVLFIQMSKEMWDFDSEGTGDIMFSRVINSMLPEVFKR WANIDAHHLVTIVLFTRVQYDSATPAPGPASLSGSFLNRGPDESTPRTQDFYRVVVND MPSGQWTKILDSIKKEFRTFLRDVSIPPPYFPETSIAAEDIPRFTDECPPKISGRPTS ALRGNILEAIHVASSYLAFEHIGRDLVRTGTSIVIITPGTGVFEVPFNILSLTSDVLT SRAIGIDLICLSPMPLHSVPLFKYKLPRDTSRRPGRPISSRLAPYEHRRMSTTLSAPR MTPLDRPGSALSDQALRSSEGSIQEEEWGYGIPHWIDISFWDPRLDRASRAAANKGST MAPAMGTITKHSQPFVPKVRMYEIQMMGVMESEQSNVSIPHMSASSGPEKRLSNLAPL ESSIGNSPSPDSSYRSQAGDGFRPKSFMYNIKDSKKSMLPPDAKQRTELLEWMDSYDR NIFHLHPKQKRSRRRSKANQAPEVETNTQFQQEQLKRRSAMDLKELDGRHASPFNQPH LRYGKIEEERPVTPNPTAPITPKPARKPSIKPKPKLIVPRISRSISFALRGLGPAAPR AQASTAIRTEHAQALPTTGGKTPEEPASEVSTTNTPNSPLSSGTLTPRAEWSIADVTS NNETMTPSRPILIKPTRRSGEGSNAEPPVEGSFSPQASENRHDALQQHDNQGGQLIKR TGRRLDLLSGGDPTSPPTIPATDALSPWVRSVNPWNPPKHWPTRSSWFGRWHHIYPRM PKTTSVRWKSLKSPASLPLTTEELPTEFELTSNFLQTPYRVYRNEDSDSGAPKTREML LCDMISLRLSHGFQIVVGKRVEEHPQGYSNIFDTKALSEDGTTVVMSRGNVIHRIVNV GGEIEVTKFTRRPLNGFPTDELNDAISYSPAVKTILSAQYCKSTLPLSVPPEEYNWNL ADAYLAGHRDHITSSIRQLRFWRTRFVLIPVQVPLNARRQISSAHEDNEEEIHLLGIY KLTQMWQRHRYIAPEEKRFQSSTRKIMDQNPLNIMYQTSDPSVVVAAELDRSLLEDPG LDNRPAQLLPDSELLRRSDITLSFLSQRIQGEKGVRLMDRRWHWRLHYNCFVGMELTT WIMQNFRDIDSREEAVEFGNELMKHGLFHHVQRRHNFRDGNYFYQIADEYRVARPESR AGGWFQPRKVDKSTPNTPMGESIKDTLSSARARSERSVDETGNRLEVPGAKSGRARPS VSLSKSMKYDVDPRKRSDRPEIIDLHYDRIHNPENCFHIELSWMNATPKLVEDAIMSW VGTAEKYGLKLVELPISEASSIVERQIFRRPYPIRLKVEPPMTPVTTVLTATSFNSQA VPDHQFYQKAILKKFDFVLDFEAKSSFPADVDVIYSWGKPDYQFPQYVHRSGAALAQI TDEGHFLLLANRFYNSHNPTSLKESSRFDRSSEYFPRARAGTFDPLDRRSPILSPVVR ATPGTDALGIFSAPASVAANDAAQTSYHVTDQIKDEMRSFCSNTEKLEAFYAEVAALK SKPAAPPSTSSVKVSPTMPATLDSSIPSLELPASIVARNLHIPAPVALAAKQATAEPP QVSGSIDAVMRNASLSSPRHNAFKY UREG_00697 MHIYRRQARNIAWYDEDGEPPSRNPFKKFRARRPTRRTDSFAVR SESDAISMNEYTRRENIADDLQHLPHPNTLPVPSSAGTDGTRVDGALTGIPSGADSMH SAVPLQRPETGNSSLGNQPIRRRGTKAEQSETPNETVMEDPESLGAKDNKQKYTFVGQ LKATLLNSWVNVLLLAAPVGIIVHHIHLDPIVVFVVNFVAIMTGETVGGLLNATFGNA VELIVSILALFKDEIVIVKTSLIGSILSNLLLVMGMSFFLGGFNRIEQNFNLTVAQTA ASLLALAVGSLIIPTAFHEWSEAGENGIAPLSRGTSIILLFVYGCYLFFQLKSHTEMY NKPSEKVARRREQIESGDAIKGIAQIGAGISASMGGHNAQRVPIHTPEEESEEPELHL FVALFTLAASTALVGVCAEALVSSIDAITTRGHISETFVGLILLPIVGNAAEHATAVT VAVKDKMDLSIGVAVGSSMQIALLVLPLIVVIGWIAARSPNRQPISTDNRQKTQPIKP PSPSTRPVPLLLKVPIAKNGLGKPEPIHIQSLYPADVPQTTLPVDQTTKLYSSLGDQI VGHGKAGFKTGSPKRKRRFETLRESRVSAGS UREG_00698 MRSSIACSRCRRSKIKCVNAGIDTTCRACESSGRECLYPTPATG TAGAAAAAKRDLAAMADGDERNGGWESPKRHRSRKTAAAVANAKDVKASSGPPVLDAA LLTVKVWESLFDLFQLHFATILPFLHPTTFLSQIRQLSANPTPTADTNPNQQQQQQQQ PASRHSQSPAPRSEPSPLILLGVLALTARFHPQLAQHHSPSSPVSPCNPLAASEFYAN ALRARLVGNDGSELAVTDISRVQGLLMLGLHDWGMCRGTNASIYVGMAVRLAQAMGLS LEPEQHSDRFSRRSSMHSTLDQRDPNNSDDVIEQETKRRTFWSCFILDRCLSGGRLRP RMIKVRDVGIQLPSDNAFAFGERVRTSRLSENAGNRRSQSFEPRVVMPVPGVRQHAAV DDLKLRANGMANDPKQWSNASHRSDGAENGIDRWEVGAEECVLSRLIRILRIWGSIAK WSCAGGRRFDQYPPWHPESQFHRLKELLIEFQEGLPRNLQYSARNTDTHIMYKNTLAP YSLMHIIYFLSVIVLHRPYLQFLPLRAMDPQGPLDEPSFPPDRFHVPDGFWRDVAREV FRASRHLIELVKTCDDRGVLMETPLVGFAIYNAAFVGVYAAHFSHMDQEGYICSKSSS TDIMPGLGGQGQAEIRKAIEILGAMRPRLPMAVGWFRTIHRLHTYFAKARQDYQRTAR KLEAPSCNENSKLAPGGNPDELRLLEKLLSDMGVAEDQAPDANGVSDEPVAPPVNGAE HNGSDAASNHVKSEAGDAAEANSDNLPRREPWVPVNGSSHEPREPDRHDGTVLRPIEA ERWANLPGPPAPPSYNLPSIHHHSLPPASGAPPHPASGVYASSPAPAPYLPSTNRLQP LHPWPSARQPPPPPYSQSLPALNAAAQQNFPMPPLATMPPHAPPPALQLHHHHAHLTP SRMMSPLPPDLCTSAAWVGSLGGDDVIAFMDGEGVEKWSRGAAMAQAGVQSGWLTMIW DGYGH UREG_00699 MPESRERKCAWAAGPVAMHPATRVTEQQELGEREMVDSWNAGAG IKSKPSRVDGRTPRRRGQIKKVKCLVSTK UREG_00700 MANEQSAAWPIADEALSTELLDLVQQASHYRQLKKGANEATKTL NRGTSELIILAADTSPLAILLHLPLLCEDKNTPYVYVPSKVALGRACGVSRAVIAASI TTNEASDLTAQIRNIKLKVERLMI UREG_00701 MLGRLLNTAASAFNANPHAPRNLAQLESVTEEEHTSGLLFPDVT TLQRSGTHTYPLQTSSLLPNATTASSFDDKGGLEFDEARDFRIIVAQNAMGDRDEPCI LLDTQNLAAADNTSRPSIGIQLAEPPRGRHSRNSSSSQNVRKHAAQASQSSIPDLNPN ASFVDSRNRDSGNMSAFLRARSRRSTFSTLTGEGDHHHNRVSGDNSDSGLLNCIFGSS AFSYRGSSTKMHIVPNGGESPYEIGNHHTHAVQSRPHHQRSETFSSHSKPRIIPRETS MADQCSSRPTKMTVLVTRMFSVNLPDNQVLATDNCEPQISSFAKLFYNNDSGSNKKRK MKEKKTPMFAVAIAVQLPVTIRNHGRQLSQQNPCGQDRLRPAGLMSTSLDSDKRWNLA VDDASTHLSTTSNLDERIDALVDYWDIITRTLSHLEKVASKEILALLKQVDLHTVQQP KPVKQPPNMQRTNQTIIHLPPNSLAYNMEVREETLRAIHRVSLAFKIPHVVTGQSRWG VWRDEARWIAKFLSEREHNFFFLVLITAFLGNHTDWLGSLGPEWHRRRHILQQKAQQD NELNIPNRTVIISPCKMTARRLIFVLSAFLPAQQRPDTLSSPFRPGTSTSFRQTSQSP PNPQIFRRESLRRTLNRRARTRNFVEEHANFKRSASVSSNETTNVVADELEFMSVSTR QMRRDSDVRSIKTASLPIPSNGMSTRKGSTAIAAPAIPGTATPVPHFASQRGPRPRGP ASGQPENISAASANLMQTLRRSESSNTSSGGDYLPPSRWGSLLSNIWSSRETSSNSKT YTHAPVRQQGADSSLPTSPISTLAKMAAEVASDSQRSPQATDNLGLPSSSAPSTDDAK STVESSLKQQGLDGHSPASPVKLCVEGNDGVVDVEVPLPGFLSFSSSNDSAIISPRKA RTSITSLDGGPSFQNDGYQFTTTQRDYERLNLNVAGWLKRYHEDFVLQAVRPYPALEA DVKRSMSAEPTPSHLLAAMTSIPDASGYSEKWVDVCSTLIADTTSSTVKRLRLRRKIS TTKPSLAFPTISPSSGRKTSFSAASSVSDPTASSYVESRFIEEEFIEEPVMDLDGTLV DAVERVLARSGPPSANHSRTASPNRGRRGRPGPSQLGDRQTIDANANAPETQTIEVPR NECRRMVLGALEEVVKSVTAERHREDSTSKLDGSDVKKPSRTTRKGIGTVADNTLREG IRKWLMDIEETC UREG_00702 MEASNPVTVSEEIPFLGMEQESILSLIDFNSDPMPSDLGSNAQN PIFVTETIEQARQQLQERMGAMGGSSTGPTDHTDHTAHTDHICSEQNEAFEKLKLEFG AKRNPTLEERLQFQKAENEELQRLNLVKRRKEEQHELPASTASEPSSRLDLDSALGPG ITSEQYQLFCSDDDKDTSNGNIGKPEGTAEGEVSALCSETKGKHANARNKIARKAKRE NAALGFDAFRRSKEKKVRLDILGLPHSRKRLYVENQSVRKRKQAGESKDKKWKPKVSG RNKKRQFGQLNFESLFSSDIINAAKANVSKPGIPTFYSKAKDKAMKELIASIPHADPK VVTTDKKAIMAAIVKFTRRPKADGNGGWLHPDMNTSLFHYQV UREG_00703 MVRDGEGILCSCSSSGQEFTFYQSLPALYNNILERQNHPVQISG NETSITDQEENDWISLAGHLMPSAKLTAIQSCVANWLTNSPGTKVTIFTQFRGMVRIL SNMCIKQGWGHTTLTGNAPPSERHRDIEEFRVDPTVRVLISSLKAGGTGLNLTMADKC ILVDLWWNEAIEQQAFCRLFRYGQTKEVEIVRISVKNSIDDRIQLIQHEKSTSIEKTI GYDALSSRDTLEDILKIFGVTEDPDGENGFAFIADDDA UREG_00704 MSSIMDTILQSEPARQGTRRGILPQSEAPPSSRPNLPSESNAQM SEAPEFADDQVIGGSMPRRPRHPMYGRGPPVFRDVAGEKVQQAFEELLETHMEDPMSS GAPPSSEMLSDKYYVSQIHGMAKLQLSTLYVDFTHLTSLPNQVLADAIANQYYRFQPY LTKALHNLIAKYEPQYFREHRQLGSHSSQASTSAMAVDSSEPDPLSEKTRYQQTDKVF SLAFYNLPLVSRLRQLRTAQIGKLLSISGTVTRTSEVRPELAMGTFICENCNTPCPDV EQSFKYTEPAVCPNPPCGNRVGWRLDIRRSTFIDWQKVKLQESSHEIPTGSMPRTMDI ILRGEMVDRAKAGERCIFTGTLIVIPDMTQLGAPGVRTEAVRDYGNSRGGEAGGSGVT GLKSLGVRDLTYRLAFLACMVTPDLTTPGQPTSQSLTGHSQNILASLNQVELPEEVED MAQERLLQTFTPKEVEELKELVHTPFIYSKLVDSIAPMIYGHQSIKKGLLLQLVGGVT KKTVEEAMQLRGDINICIVGDPSTSKSQFLKYICGLHPRAVYTSGKASSAAGLTASVV KDPETGEFTIEAGALMLANGGGICAIDEFDKMDITDQVAIHEAMEQQTISIAKAGIHT TLNARASILAAANPVGGRYNPKATLRANLNFSAPIMSRFDLFFVIRDEPNESVDKNLA RHIVNVHMNRDEAVEPEISTENLQRYIRFARTFRPVFTPEAKELVVEKYMELRNDDAQ GGIGRSSYRITVRQLESLIRLSEAVAKANCVEEVVPDFVLEAYNLLRQSIVTVEKDDV EVDDEAMDGNQDQEMLDGDNEQHDHEGDTTMGTGEEDRVNADSAPHQPQTQKTKITYE KYMKILNILVRRINDDEANSGEGVEEEELKIWYLEQIESELNNEEDIERERSLVTKVL KRMVKDNILMQIRGEGLIDEIDDAQDNTQARVVYVLHPNCAVEEV UREG_00705 MPHQPPVETAVSAPGKVLLTGGYLVLDRKYTGTVLALDARIHVI VQQLPMGKGARMAPKANVGESARTGSNGGADGDSSMDGMEGDTCHAKDYEDGLEEVVI VKSPQFIDAVWEYRIQRITQGGGVKVQQVNDEPRNVFVETSLNYALTYVSYVAASKNF GSLSITILADNDYYSETAALSVLHRGARFMNAGVKLQEAHKTGLGSSAALVTALVSAI VIHRTVQPEELPSVRDKLHNLAQAAHCAAQGKIGSGFDVAAAVYGSCLYRRFSPSILS DLGDVGSPQFEERLFTVVEDLNTEKPWDTECVDFGFKLPRGLQMVLCDVDCGSQTPGM VKKVLQWREQNRVDAEMLWTGLQRNNEKLRLELKRLGQNRNVVQNYDELSNLITRTRM WIKTMTNKCGVPIEPEVQTELLNALSQINGVIGGVVPGAGGYDAIVLLVKDDPIVMNA IHELVDGWKSSVEDDFGGQIGKVRLLGVGYGSDGVKNELGVRYSGWI UREG_00706 MASNTSTEPDHGSSHKANCPTTQPGTGDAGSSPSQPPSTSIPLD DGDQKPQSAPLPDNPPDVPGEQEPLEPAVSTPPVAPEPKNSRPPSPASESATSPPAMP STTTSSEQPQPTSESQMQDAREETQSESPEPKPLSPAIGPSSDLPSPSPKDFENAGAS LVITLLLTTGARHPFKIDGKYLRKREVNVPDNDPFAMSVYTLKELIWREWRSDSKFNR DTPNVVHMTVKPQDIVEEEDAKATKGHYSRDREESDRSPGCRCIIL UREG_00707 MSLRIAPPASHASQTSNITRNGAAPQFRNGAPSAPGVPDTLRAS LTAPAPTTSGLKAASSTHPLEARLLQWRETQNALKMESLRRIYGIAEPVRRGMEMKMV KEGQWKPAALGRSNESNIHEDILALGGRETEIGWEDVFQGDDLREPPSFHDEMEQRLK MNW UREG_00708 MPPTPSATTIRLLRHLICRQFPSPASHPIRAASPFLSRNTPLTT LLRQKPQIAHFSSTPRPQATFNQVRRGCRQEQKARKPRSPALVNRPAMKGVCLKTGIT KPKKPNSGERKIARIRLSSGRLITAYIPGEGHNIQQHSVVLVRGGRAQDCPGVRYHVV RGALDLAGVGGRITSRSKYGTKKPKTA UREG_00709 MSGKYVRYLLFAVLGIAILHFIASSSMPRPTIPASITKTDPPKQ ADSRPSPPSSPPDGELKIQTVPSSEPTKVALPPNPSSPPAPVSEDKGDEQPKQSAPKT ERVNATFVSLVRDSDLWEMVKSIRQVEDRFNRNYNYDWVFLNDGEFSEQFKNVTSSLV SGKTHYGKIPYEHWSFPEWIDENKAAQTRKEMEEKKIIYGGSISYRHMCRYESGFFFR HDLMMNYDYYWRVEPSVKYFCDIGFDAFKFMKDNKKKYSFVLSLHEYRETVATLWDSV KKFMEKHPEHIVEGNNLEFVSEDGGRTYNMCHFWSNFEIGDLNWLRSKAYLDYFEVLD KDGGFFYERWGDAPVHSIAASILLKKEEVHFFDEIGYYHVPFTHCPTGQGRRSEWKCG CNPGDNFDWKGHSCKAGFKLPDFAMQLI UREG_00710 MATIITAALLLLARSSASSIDFSRRTHGFCKQLDLPVFATADSA VYDLPRVNNDIETTAWAIHTDTWSTPLGPPTVIKNTTTSDTFSIHAQLCVPKSLAKKK DILQIATHGVHYDSRYWDPKLDPEKQSYVEAALREGYSILTYDRLGVGQSDHPDAYNV VQGPLEVEILRQLTLMARNGTLYDFAAKARPLHPAFKKLAKPSKVVHVGHSFGSVLTS AFIATYGLLTDGAIITGFVPNKYLAKGGYASFNAVKARDRPSGYIVCQKSGIQTIFFA GDLKTAFTKEMLDYGDAIKQPVPIGEFASAYHILGRKGPSFKAPIQYMLPEFDFYICG GDCKGIFNKQAIKETYPNATVIESAIQPNTGHAFTLHNNATAGYQVTFDFLARNGL UREG_00711 MSFFGFDTTLPNDRLPPQESRGIFETPDPFAEVARATAHGFHGD DDAIDFEDTYDGLGDRLDETQDAFNDDTFGAAAGDEGKPVGKDFDFFGQTAQMTNVLG EEQVIYNLKHQKDTPKASAPLHEPSKPKRTGYEPYQDPGYIPEIQAKSSVWGTGITQK SKPVEQAQAVVAPARKMMSLEEVEAQMRAQTQRPQPKAEPPASLPSQQFPINASQPMP LNLRAQEAPIPHPDNFAHFQQKDFPPLSQHQQYPQFGGYGPLPQDLQRQQLRPLQERS RQNAPIQVLQHQNRAMNIPQRPTGPQVQGHFPQTQPPPNTAGQLPSIAAMHPQFMQLP EEQRKALLAEDAKRAKRNHKIHLLSKGNGLMTPQDKNFITRIQLQQLVSATGNTGETD HDSLLSEDFYYQVYSQIRGAPRQHPRQPLGHFAQTYLFQTGNRSGNNSGRRQYQNADN HMQRMQQQVQRAVEAAKLRPKNKQLIIEGSLGKISFSNAKTPRTLLNIKRPDSADGHK PTNVRKAAHTGLSPSDRKSILHNIESTYDTLMKMDDHERRMPPTPDAEDAEAVEKHLE WRQKREALNQKLWTDMKVHEPIFPGSPTTHPFIAFLSYPKGKKAIPRIFRHIDQEQRV TILTMIIVHLDTLDVIQRAQLQPGEIQPPGPVREEIDLFSQAVMPSLLSYVNEAPLKI IIGLLGLLVFRTNVHAVSKTRIGLGILTMLLSRGELVKETGAVEDEELKQWMDLYNKF FDRLEPILGSIFPGSINSGDDIYVWQFLAAVGIGASPEQQQRLVIAVKDRVMETVSQS KTLPADMASQRLGNVNLFMRAIGLDVELLG UREG_00712 MRFGFRDGRGSPQAPLQPEPSNDFTLRFAEDGTFKITVFSDFHF AESEDGIGPVNDAKTAKVMRNVLEHEHSQLVVLNGDLISGYGTVADNATHYVDQIVAP MVDANLPWASTYGNHDNERFAKPGKIFEREKSYPNSLTQNMVPGGSEIGMTNYYLPVH SSSGSQHDAPEVLLWFFDSRGGAERRDWVHSSVVKWFEEKNANLTKQYNRAIPSLAFF HIPISSMFTFWTHPGVDSRREPGFNGEKVWWQGRGYDDKTGHDTAFMAALSKTDRLLA TFSGHDHDNDWCFKWNGTTSEQPVAGNGIHVCYGRHTGYGGYGNLERGGRQILLKKDT LDKNEVLTWIRLESGRVPVNVTLNATYGQDEYNPVSRHVGVKRDSMESQGHTLAPEIY SVMLFLFMMIYLPLRLWH UREG_00713 MWCNLHHINPRVCPSATSLNINIMFMKLLIFGATGAAGGWTARK AIAHGHDVTLHVRDENRVPGDIKNSGKVKIIQGILSDEESLSEAVQGQDAILSCIGPN GPWPSKGELSNGYRLIFRLMRRHNVRRVIAMATISCYDERDSFALSRLLAYSAVFVLA RTAQNEILAIEEAFKEEGQGLDWTLCRVPVLGSSSKDGGMAEAGWVGDGKWNAFLERR DWANWMIREAERDQPMWVGEMPALYTPKR UREG_00714 MTKGSVLVAGGAGYIGSFTSLALLEAGYKVVIADNLYNSSDEVL NRIELICGKRPGFYNLDVTDEAAFDRVFDENPDIDSVIHFAALKVRVGESGERPLDYY NVNVYGTLCLLRSMVRHNVTNIVFSSSATVYGDATRFENMIPIPEICPLGPTNPYGNT KVIAETAITDVITSERNKATKAGKPEDAEKWNAAFLRYFNPAGAHPSGIMGEDPQGVP YNLLPLLAQVAVGKREKLLVFGDDYASHDGTAIRDYIHILDLAAGHLEALNYLRDHHP GVRAWNLGTGKGSTVFDIIKAFSKAVGRDLPYEIAPRRDGDVLDLTSNPSRANQELGW KATRTLEQACEDLWRWTENNPQGYRQSPPEELLANLKSN UREG_00715 MPLPIISHTIANGFSSIPYGYPIVTTASGIAAISSLKRYFGGAD ALESSYDYGKYGHIALPLPTKNATYSLIFYSSQGGTSGVGASIVYELASRGAQIIILT QHHPTDLFLVDYIDDLRNSTNNPLIYAEQVDLSSLHSIRLFATKWIDNTPPRRLDMVI LCGNIMTPSHSPDSRATADGLNREWQVNYLANFHLLSILSPALRAQPPDRDVRVIFAT CSSYIGGNLNFETLESTTNLGVSTTAKPTAKNVAKAKHTSGSMYATSKLALMIFARSF QAHLANYDNLNHTKKNQPKRPTNSRVLVVDPGFSRTPGTRRWLTRGSLLGLLLYLITW PIWWLILKSPEQGAQSFLLAAMEAGFSAVGETEAEKKERRVGIAGGTLIKECRQREVL RKEIMDDKIAERLWKFSQEQLERTEKASALRRAVEKGRREEGAKQEKPVKINKKER UREG_00716 MAANEDEYFLPLEDQRVFGAGIKRKRVQFVPSSETQPKASSAPA TTASTPHPDGTSITPEPSSLGDKYLSIVLSKSTSPSPSLDPSTVPATAHGSPSATEDT TPPTCDICNLPLSEPPPATAGSKYPPHPHESSLVHQASLPHSHPPSAIDRTRPGYKYL SSYGWDPDSRAGLGPTGSGIRVPVRARVKHDTAGLGLQAKQMSDAERREREKRKIAGK LNAKQVRKREEEGKRKGERLREMFYRSDDLDKYLGGG UREG_00717 MIADFRIVNINYFPRESHLVLFRDPWSFPTLYHPGCNNLVRAHL GDLAQKIVAVCVSLGEYPLIRYFRPKDPTHEASVLSSHLARFVQDELDAYAASREDFP PPSSRPRGVLYVVDRSLDLVAPLIHEFTYQAMAHDLLPIKEGEKVTYNTTINSGEPNQ QTKDLEISENDSIWVESRHLHMKDLLGNDSPANVNTLKDMLAGLSKFQEGKNSYTLHL NMAEECMRLFQERNLPELASVEQSLATGLDEDYKKPKNLADQVVRLLDDERVQPHDRL RLIILYLLYRGGLLAADIKKLLAHSQLPPQDGEVVYNFDLLGARVEKPLKDTKQPSQP LFVRKPPVQTEDDTSLSRYEPNLKLMIQEQIRGAVDTSLFPSTRPQMDGGDGMGQDAV SQASLRSAKPTWARTRPSATEPRQRIIVFMAGGATYSEARSCYELSHAHNKDIYLVTS HMLTPSLFLRQIGDLSVDKRRLNLPAEQPKPQAPRHLFEMEPPPKLPSQQLRPQPPPL TASKSLAPPTAGLASLTMSSQEDPRRVKSFGESAPAQPHGMLSKSEQKLSKKDKDKDK KDKDKKDKDKKKRFFK UREG_00718 MSSSYAAIIKIENLLPITIKHDSSQDVFKNGCSLTKGIIPKTVA AGSTADEFTVQSSQRYFCCYPNACDFELNQENTLADPAKQVSGTIVFTCNVGGDNVIE GAEVIMLFKFICGPKSPGSQETESAAWVEFPSFERLSAKVTPFSASDKPIQVTFSVDQ PLSLSGRGKRGLFNSTTRVENNSDQVVYCVLNPAANGVGTAALAITGACLALTGFPPV GLLMTTSTAWVLGVIGTFVATAGLFDTFNAPKEYPARHLFPYDKMSATSSGYSIGAQN NLFALTVATRGNAALTCSSASFEGLGNEKLTLKNETTFKELFSVKLPKKSALHSYRVL KVKLKPHEKYSTIDPPSGKTPDQYVSYSKWEVDTTDTKYYNTVYIDKSLESDYTALFD PAPDDYALMVTQGADKKTPANIGNVGDYKIVALANGDIILELLNCKVFGTRFRQKPVQ KLHYDHIIHSWEADRNIFAMDWEVGQLREWQSASDTQIKRNYKKGAPGPSVYIYVPHS NVRIQQGLVEQD UREG_00719 MAPLGQEVDVAVIDEIQMIGDLHRGWAWTRALLGAPAKEVHLCG EERVVPLIRELAALTGDKLTIHHYKRLNPLIPMSKSLKGSLRGLQKGDCVVAFSRLGI HALKQEIEKATGRRAAIVYGSLPAEIRSQQADLFNDPNNDYDFLVASDAIGMGLNLSC KRIIFESVIKRSPSGLQRLSVSQVKQIGGRAGRYRSAAEAIDSSLSPSEENQNVGLVT CLEEVDLPHIQKCLNADPEPISAAGILPLDSMILNFSNRFPPTTPFAYLLQRLWKVAQ THPRFFLCELQSKTVQEILDSVVGLSPADKLVFLSAPTSTADPTNALTLRAFATCVAR HTSGSLLDIPELNLQILDAPVSGDKNYLRALESLHRSLVLYLWLSFRVGGIFTDRSLA THVKEIVEMKMDRALTEFSANSKLRKSSSLQKQIQLLKQLNANGSENNAEQAVFDPPQ IQYQKKSFDMAT UREG_00720 MDDLQSLELLSLVSRVTTELQNHLSINDKTLAEFVIDQHLKCGG SFKDFKSSLEAMGAEFPQSLMESIDRLVLAMHPKYKSKKSAADNEPKRENGKDAKVDD IEKKARMFKGLAMPDKAPQWEEDDYVKAEETSENGVKADAMDDTFAMLEGLAAKARVQ NNGTTSRKRSRSPDNDDHDRGRQRRERYRSRSRSRSGGGRYKRDEDFDYERPRTSGAR SDRYRDDYKERRSRRDRDDQDYFHRPPTPELDERPILYKIYDGRVTGIKEFGAFVNLQ GVRGKVDGLVHVSSMQDGARVNHPSDLVSRGQPVKVKIVSIQGTRIGLSMKEVDQVTG RDLVPQKRIASGANMERLDGTGADDRYGSLSSSVPVIEDDDDRKPLRNKKRLNSPERW EIKQLIASGAVSAADYPDIDEEYHATLRGEGDFEEEEDVDIEVRDEEPPFLAGQTKQS LELSPIRVVRAPDGSLNRAAMAGTNLAKERRELRQQEAQDKAAEQAAQVDLNAQWQDP MVAPDERKFASELRTVQKTESVPEWKRVTQNKDILYGKRTNMTIKQQRESLPVYKFRK QLLEAVEKNQLLVVVGDTGSGKTTQLTQYLAEAGFANNGIIGCTQPRRVAAVSVAKRV AEEVGCRLGQEVGYTIRFEDCTSPETKIKYMTDGILQREILLDPDLKKYSVVMLDEAH ERTIATDVLFGLLKKTLKRRPDLKVIVTSATLDAEKFSEYFNGCPIFTIPGRTYPVEI MYSREPETDYLDAALVTVMQIHLTEPEGDILLFLTGQEEIDTSAEILYERMKALGPNV PELIILPVYSALPSEMQSRIFEPAPPGSRKVVIATNIAETSITIDHIYYVIDPGFVKQ NAYDPKLGMDSLVVTPISQAQAKQRAGRAGRTGPGKCFRLYTEAAFQSEMLPTSIPQI QRQNLSHTILMLKAMGINDLLHFDFMDPPPTNTMLTALEELYALSALDDEGLLTRLGR KMADLPMEPALAKVLIASVDMGCSEEVLSVVAMLSVMNVFYRPKEKQQQADQKKAKFH DPHGDHLTLLNVYNAWKNSRFSNPWCYENFIQARQMRRVQDVRQQLVSIMERYHHKIV SCGRNTVKVRKALCSGFFRNAARKDPQEGYKTLIEGTPVYMHPSSALFGKPAEHVIFN TLVLTTKEYMQCTTAIEPKWLVEAAPTFFKVAPTDRLSKRKKAERIQPLHNRFAGEDD WRLSAQRRQGRGGGGGTWG UREG_00721 MIETIGTIDHDVILGWRKIAREDPDEVAMSTAGIAEIPRNSAIN KMEVSVQIEIGSKEDSQVVAILLAGKDMTKIGIVMETRKTRVTWRKTRLPSEIANGVE EDFQRWKERMKAGAAQASSDAQKETTLEPPKEEPKHLESKPADGEMFSNLGPSFQADP GLDNFFGLWGKRKTTQDESVSENVGAESKKEVPPALKPTKSSRFAGFFSTQSETKDVE PPQPSAPRPSSTDADQEGFQRILQMLGGNKSRNATPQVEESNQPRAQHMQAATRIRKS SRSEETKQRRDRRLLYTLTILLLLISAGLMQMIREINLDEDPLPGQLDISTRCRILAF QAEITLQTTLLGSVLKVHHSHSWVFNGLLVSSKSIPPAGLINKSHNKGTPTR UREG_00722 MLQKEQLKLFNRGLTASKSKEHLISPCLRLLTEIVSFDGGAVAR IVYTNRHITLKRLDVFLSARKLNVDEDAEDSRKPTLRRIAQRYLLANLKFQGAAAKED LVGQGKLIKALLEDIRKDAGDMIIDIIKTIDKHILSDAELSRSSKSRLLNRNNLERLV TLYGYGKEPTEPTSKDESVADELHKFMLSICTSQEKGVLLPETGWYPSGSTPDLLPAE SPECVPLGLDSPVYFDKSRTAVPVRNGNLSALIQFLRPDSDTLQMELLLKIFRAAPEL VYDFFSKRTMFTSDPKPTPSWLGESAFLFSTVQLPIPTQCGWKGGSPPAIPPLVSIAI ESILPRPLTQKLLTRCINQNADVITIFAIRVTTLALRKLQAVLRIFNAPREVGQELWS EAAVKLVAEFCRRCPTMKDTILAFRQTPKDNLQQQDAVLELLSMFYQVIPTIAFKEKF DISLTLVAVLKQLDDPSLSDGSRELLFNQLQSLLVIARESPTMRWWQKPEFSAFTSVL KAVAGATNESLMEEIKPLLQEVLVQNSVVADQSSFDALLQGFTVSDPENFKAQLGFVD NCITRLVKKPVLYLDLAQRLLEPGEKLSLITTTINEQWPFVVKAGKVENEAAISGWVA NLLGSLRDAGESEKSLASLRDTMMEATETKKSRSLLKRALKIRDKEVSGKPKKTTMRN TPPPAASSEGTGNIFLADMFGKCPTEDESHPGLHRWEKEELEFSLDQGYVGELILCLC SQHEEIRRQAMIGISRFMARLKESTYSERQTLYVLMGELLETSKEVGMNRPLPYIAGE LGARLLVVLTEPLHKLYSKANAFLNKAPLWEVAKIPSYWIDKILLHESEYDDSHYEED LDVYRRAGVFERLLSLYSSLNLSDSLRKKILHLVFRVCEIGGSTTLLTRAAAMSWIQS HASVKSPQSRLLQALASELYRDCNHEWVDRWSGSALSITAAQMSA UREG_00723 MPESAAAKLSPKRRKVNNRTHKTDGDVITDPRFANVQSDPRYRL PSKKHTRVKLDKRFSHVLHDKDFSKNAAVDRYGRKLRRDDTKKQLERFYRLDKDEGDV SADDDDEVQKELRRVDKEDYDPARDGGFSESSSSEESSSDEESDVEAQDQSAEVEQPS NQQGDVPLGEVTKRIAVVNLDWDNIRAEDLMAVFSSFLPPSGKILNVSVYPSEFGKER MEREEMEGPPKEVFAKNNEDVDEDLDSDDAEEDEEEDEEEKIKQSILKEDEGQDFDSA QLRKYQLERLRYFYAILTFSSKEAAKHIYDAIDGTEYMSSANFFDLRFVPESTDFADD IPRDDCDKIPDGYKPNDFVTDALQHSKVKLTWDTDDKARKDAQARAFKGGRKEIDEND LKAYLGSDSSDDEDEAVEVVDTTEEAGEKKKLSKKEAERARVRALLGLSSEASSKGKG KGPVGDMEVTFSAGLTAAPTQESVFENEPEKEETTREKYVRKERERKQRRKAKLKAAR SGEAIAEIEGDGVEPEGKEEDLGFNDPFFTAPELDSAKVAAKRKEEKRKQRQEREADE KAAASKRAELELLMMDDQVSKIKHFDMNEIEKAQKRARKASKHKRGKKGDDVAPVDDF KVDVKDPRFQRLYESHEYAIDPTNPRFRQTEGMKALLEEGRKRRRNDHGEEVDRDHVE PIKKKKKGKEADGEAEELSKLVERVKGKVKKA UREG_00724 MASTNVMSPTPSTTTPTWHNFERKVEEVKPSKTDINFLVMDYLV TNGYPLAARKFAVEANLRPQAAIESIQERVDIRNAIHSGDIQSAIEKINELNPRILDC NASLHFALLQLQLIELIRICTATPNGDISPALDFATSQLAPRAPTNPQFLEDLEKTMS LLIFSAENLSPSLAALLDPELRKTIANRVNEAILQSQGAKREARLRNLVKLRAWAEKK VRQSKKQIPEKLDIGLDGDTGNSNTGSNDLQNNGEDVVMQEQAEVDPMVT UREG_00725 MGMRFNTYLVSIGSATIENKEPDYAVQPLNLPAGRTPKWPTLVV ETGKSQSHHDLDRVARQWIQKSAGEVKVALTIKVSRTMVTIRRYGRSGITRAAVLQTI TVEKRGQNSPVRVAGGPLIIPFIDLFLRTAVRNQADITFNDTELEEWANIVWKSF UREG_00726 MFVLFSTGLVIAFFIYDASTYANNPLVEDVPVSEMALNPRRGGP KNLPIADVLVDDKDSQHMEAQKDKPKLVILGTGWGSVALLKSLNPGDYHVTVVSPVNY FLFTPMLPSATVGTLGLRSLVEPIRLIVQRVRGHFLRAEAVDLDFAEKLVEVSQVDCN GIKQNFYLPYDKLVIGVGSTTNPHGVKGLEHCNFLKSVDDARQIKNKVLQNLEVACLP TTTDVERKRLLSFVVCGGGPTGVEFAAELFDMLNEDLFRSFPKILRNEISVHLIQSRS HILNTYDETVSLYAEQRFAHDQVEVLTNSRVKEVRSDKILFTQIENGNSVVKEIPMGF CLWSTGVLSFLRTIAWEKGRDPEKVHLTFKEWRNVAMRVKKRFPQATTHLKRVDRLFE QYDKDRSGTLDFEELHELLSQIDTKLTSLPATAQRANQQGQYLGRKFNKMAAASPDLK ANEIDYAVLDETVYNAFEYKHLGSLAYIGNAAVFDINGLSWGGGLLAVYLWRSIYFAQ SVSLRTRVMLAMDWAKRALFGRDMMNF UREG_00727 MALILRCATDSSPMVRDSALSLIAKCMFLKPGLEESCCRAILAC SSDQTVGVRKRCIGLMKEVYAQTSNQELKLAVIEQLLQRVTDHESTVAAQARQALEEM WFSPLHSSFTNPAQRTPQSQVSLENLMNLMVGSVKRNEGVIPTFEAFIKHELSPEAKA TSLNFNVCKAVVAAMFDRIVHDADNTDKSTLQSLLQSITLFSKANAKLFTPDQLETLH PYIGHLSNADDLILFRSVVVIYRCVLPYLSTSHNTLLKDIQNDLFKSINQAASAKLDA STDADALGRVRSYIRIAGCVGKHCDLESFRSFFAQSFPHMKATSVAGLMVDFISPFAS SKYPPELRVMALESLGAVCETWPAQYSAERAREALTSVFEEDSADLQNIVLRGFLAFF SIHEGKSEKLIQSKDTSGDNEGSTRLGGSLKASENDGAAALIAQHFLQQMLRAALSKE DSHALTAIELIASINRQGLIHPKECAGGPGLLGDKIDFEPRKLDISGTPPEHLLLSRF VCQNLAYFEYAQVGELLATVTCMERIVGSTGTTVAHAIETDIFPVKIDSTGERMEIDG QTSDNVSRPVDPDNLKQLATAATTLSMLWETRTYLRRLYGVSFHSLPKESKAGAKEAT KSLAKVPGTSGDRLWDAMSKNMACLESVEGMMSICKEFATLMSIDDELKIAANDDRDG HDSTADLGDMNQVISASSGPKSGKRRSSVSSGNAPKRPRQKARGKNAKKRGSPDSEHD GEFD UREG_00728 MQQHRQPGLEVVIQSNGTGSHASQRLPRPLSVDEALQYSPMTSA PVFGLDSVMRPDVGRTSLAGWSRPYDSQVAGRIIDSLDNETQASSGSSESTRLETARE YLQQLLRQDDLTELFYGCYIFLYVIIILSYSLRLTFPSLDSTPVTPNPVKQRQSSKAF PTPLSSSKAQYASKIEVQVRQPSVAASHSSEKLPKPMVIIPSLSPATKIDDFKYISDL GSVKRRKVDSQNEEKQDSLPLRDQKQMSDAALVKLQALLHEILEAEEQLLPDAPLESQ ASGKFFKLPSTIGDIGSRFVVRGTFVTFKSLAKGVGLSATWRYSDRLHKTYPKALRNS YYVSPVCRFQIRKSSI UREG_00729 MATSATSTAQNTGDSRDSVQYLRKILTSESSPLAQRFRALFSLK HVASEKPPTAETLPAIEAIAAAFSSPSALLKHELAYCLGQTRNLDTVPHLRKVLEDRH EDAMCRHEAAEALGALGDAGSLDLLQRLRDDNSEEEVVRETCDIAVDRISWETSKASE TEKLKPSDFTSIDPAPPLPLAERSIPELKQTLLDSSLPLFKRYRAMFALRDLCSPPDL PTAVPAIQALAEGFQDRSALFRHEIAFVFGQLSHPASIPSLTATLSNKEEVGMVRHEA AEALGSLGAEEGVEEILKQFLKDPEQVVRDSIIVALDMAEHEKSGEQEYILSEQAVAA UREG_00730 MSYVVRRGISTLIPPKAIGAAKDAARMERVGNFYGKLPRGRAPE VKPSGFFARYHAKHFGKNPTAKPLVHLIVAMTLLGYSIQYVTHLRMSFLSHKPLAHPF TKYLQVTTRTMPTEFSMFSIRDEW UREG_00731 MAEQSSHDVVNQTRSGGDLSPSDVPASKPVKYTTGGDGEDVGTL DSKDRRRQGTSTESRAKPDGESMQCTSHLDERISLGYDTDELETTTKGPEANGVESES PIGDHGTPNSQLVDGSGGSDTDVSRNDIRSLSKDSTQHSRTSSVKRPASFKPVSFAKF SIAKSPGAMSSSKATAEQVPFSTSNTSTTPTLQASRPRLVAKSTSSMRDSTPRPFGGN SRNGSGAPDPNQVWNKNRPVQPPPTKHLTDEELKQQYGIHMTSRIQADGDGKESKWAD IDDDEDDWAPETIEWNDGTKISLTQTETLPLSTQAKTESQIKSATQQPTLLETKPGRG DPKILTSKPPSSLGPNATILKLGANVDKHQSRLGSDSSKGMNEKETLAKGLSAAAKSP WAPLPPIDRVSPVAVNPSAQQRPSRFFQGDRSGPEATTPASIPTKEIAADDFNRSWRD TQSAAPRELYNSQSGRYEPVSEPRRGQPKNDTHFRPSSLLQRPNQNEQVGPAEPSPAF QTSRSSTSQEAGSWTRRRASSNVSGGSGVMARRLSISKPDLSHRNHDLPPRRGSQQNE RSTSPRRSYQKGGYAPRGPSPSHQPVQNIPNHAPSSHYAPSGMPPPPATDPALSQMPP VEDPVTMQQRIMREKREMARQRRLEEEAKEEAAKQERIRLKLEAMGPPPSEKQPAKQS SPSMEPAKQTTVAHSAPKPPVPEPSGEPKQYGMMKVHPPEPVKKFVAPNEKADVKPGI SASQAPQLPSSRDSKFEQARSAVPPPSLNGVRPTELPSVKIPEPQPDISGDRTLPWKG AVPTTSSYSSWSGAKMGTHATTGSLWGPPNNDKALGNGTFDKTLTGFPSRDLAPHGPL ALSDQPPIGRPQPSVERVGAPERPHPQLPSAPKMIADTSLSSPLSSPEQRPGRLATAE NVKPISRPGPIAPPTAYNQGQRWQQNQFSRRSEETAAWNNFHLVARKAELEENERFSS RSTG UREG_00732 MVSVFKTAEKGPPLPSLHPLEPSVDGLPFAENSKVGTTTRGSRF FPQVSDQAKQAPDRHNVETRSPSPPPPEEISSHPVFNTDSPRPLVHLPGPKPRVKLPP RSSSPPPAPPATFASMVASGPPPPRGSQPIASTATWQDRFNGLFGKKSSQALHGKKAV LAVASATKEPLEVLPSDSSAAVSLPHFNDAELARDAGKVASKEVEEEEAIFEDREAGS LPVVNVPHMAPKAAWQPALPPSHQRARSRFQRPVQVQSIEPYIFGPIDKNNPGMVT UREG_00733 MGVKDTSQEASSTPDPEKSLATLNTLRIGVKAFVEKDGDCRKAE ILSMRQRKDGPSFYVHYVDFNKRLDEWVSASRIDLTKEVEWPLPEKPEKKKVSGAAAT KAQPKNALKRARQPSREVSSTPDVLAGKNLNISKPSKLSKAGGKENQEEGTPLSIPPL TISADGTPQGEADSEDVDMIDITEAEAKAAVKEEVEKAAEDAVRQEEIEKLRTGGSMT QNPTEIHRVRNLTRVQMGKYEIEPWYFSPYPASFSDADMIYVDEFCLSYFDDHRAFER HRSKCTLVHPPGNEIYRDDYVSFFEVDGRRQRTWCRNLCLLSKLFLDHKTLYYDVDPF LFYCMTTRDAHGCHLVGYFSKEKESAEGYNVACILTLPQYQRRGYGRLLIAFSYELSK REGKLGSPEKPLSDLGLLGYRQYWRETLVELLLEPGREAISESELASLSGMTEKDVHE TLVVLNLLRYNKGNWVIVLTDAIVEQHNKRLAKEQAKGVRKIDASRLQWKPPVFTALS RTWNW UREG_00734 MPVVKGGVWTNIEDEIVKVAVSKYGLNQWARVSSLLARKTPKQC KARWSEWLDPAIRKVEWSKEEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAEAKESDELGLGGPAGGETAAPSADDVRRLRPGELDPDPESKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINIKVVTTKKGQ MDYNADIPFEKQPVPGFYDTFEEQAKNERQRELFDPRKQQLANKRKGEPEYEQDKKRQ KNDKNGSSAAFAAAARAGQMQRIREAEQSSKRRALVLPSPQVSESELEEIVKMGMAGE RASKMAGDDESDGARGLVANYSTIIGGTPIRTPRAPPEEDRIANEIRNIKALTETQSS LLGGENTPLLEGGRSTGFDGITPRQQKMVTPNPMATPFRQGGAAAIGSTPMRVGPGAT PLRTPRDNFMINKETGLPVGSTPKEMRIQENFMRRQLRQQLDSLPKPKETEWELEELP SEQPELAVIDALTEEDAAKRDRRNKAAAEKAAKAEFKRQSQVYQRGLPRPRTLDIKAL IKQVDDIDDPIKQMIAKEMAIIIAHDARRFPLPEAHIKGKAPKREELNDDLLAEARAE IEAEVSTGSLDNWQEGFGVTWTSLHDSPAKLPGLSIYDDEDNFEKQEQNMLMAFDNVQ DNLMKTAEMGNKLEKKIALHYGGYQARAKTLRNKILEANEALQQSTLALDSFKTLQIA EDSAVLRRVESLRGEVTFVTRREREAQQVYRQRKDELESLEAGGINGWH UREG_00735 MVNNDIQPDAVYDPWAVTPSAPSLDDKKFDFIPKPKATVIPVTT KQAPISLAANGKPIPSVKNPDGGISYNPSFEEWDKLLIEEGTKEVEAEKQRIEEEKAE QERQARIAAAKDEDDEAQSNDESAWEGFESEYETADWLKKKRPERKTKAQRNKIKRRK EAERKAKWEAKMKKREEQASQIKAIVKAMREKGEAEELQAKEDGSSEEGDDRILRKRP FGGKHHVPEKPLELVLPDELKDSLRLLRPEGNLLGDRFRSLQVQGKLEVRKPVSQPKK ARRTGHGAVTNDTKMDLKDLSSNWKKLQSTLKEGKPSTGVKRSASDAVHQNGVKRRKH AASSRPVHTNKTTEKRERVFKKRKMSSVITGVTDGVEKLTVNGDAESKESAAQLKKRD IGRERVNEGLSPTAEVGKYIAIDCEMVGVGPNPDRDSALARVSIVNFTGDQVYDSFVK PKETVTDWRTKVSGITPMSMIDARSFEEVQKDVAELLDGRILIGHAVSNDLNALLLSH PKRDIRDTSSHIPYRKIAGGAKPRLKVLAAELLGVTIQGAAHSSVEDARATMLLFQRD KEAFEREQAKRWPVRVQNDSDKKEGSGTAKKKKPKKKRRKR UREG_00736 MKLALFAGVALLSASGLSQTFQRLGGCPELGCVFPPDQAEFLPG QYFDIRLEVHSPVNGSEARVGEPDPNFTFTIARKHRRSKPKKVTDFFKIKEAELEKWD FTWYEDLFAQDAKKPSLVRVTSKAYRRVALYEPGEYEAVLTYYNGRKTKATWTVKDLS VFRRAKNVVFFIGDGMTTNMITAARLIAHRSVNGKYQTKMQMDKFPVLGHQMTHSMDS FITDSANSATALYTGHKTTVNALGVYVDSSKDPFDDPKVETIAEIFHRLYPEAGVGIV STAHLSITADATPAALTAHTRDRGEAGHVIDSYYQGLTNYSWTDWSGPDVLFGGGAEN FLPGSRSYKGQDYYKLFKERGYSVSWNATALAQAPNDTKALGVFSVGVMAKWLDRNVY KDNLLKRHNYPDGSDKDAVDQPGLKEMTLKAIDILHNRSRRGRKGWFLMSEAASIDKQ MHALDYDRALGELLEFDDTIKATVEKLRALGELDDTLIVVTADHGHGFDVMGSVDTKY LNEKDTDREKRNAIGTYFNSGLSQYTVQTDASLHYTDGVHFPSQWDPRYTLYQGVTAN PDRRENFQVHKDGSRVPAIELERGSDDYYVNYKDAVSGFVINGTLPVADAQGVHSLTD VPVFAMGPCQEKFGGVYNSVDIFFRMADCLGLGKPKWRGPF UREG_00737 MAFCFLRDQPAPEGEGSSITVANRPSYHPGPLSAPRVFWTPVRG RILEARLSSEVVPCATAVPAQDDLTGGMGEGQGRTPVDVRLRST UREG_00738 MSPSHDEYSPIEVEEDEGSDQDSMFSSTMALTSNITKYPIEYGR RYHAYKHGIYSRPNDETELDRLDLMHAMISLMHDGKLHLAPIGKSPRLCLDIGAGSGI WCIDFADQYPSTEVIGVDLSPTLPSMVPPNLRFEIWDVEDEWGFPHKFDYIHSRYMAG SIEDWPGFMKNCFNGVRPGGWVEFQDFNVDYYSQDDSSQRDTALRRWLILGQEAEELT GRTLRPGRSLEAWAREAGFVNISVVKTPVPIGTWPKDPKLKQIGLFNWTQLYENLQGF SNRIFLNYLGWSKPELELLLVDVRKDLKDRSLHLLYDFNHMRVWCPPRFERAPLENLK GAEAAKPDQTMADRSAAESLIPRFRVEKLLNQDQNDRRISILGSIDEQPGILVVERAA FVADLDSLKAFHGALSNVVNLGANDIYRWYMASSGQNHVNPPDLKLNLIWPCTEQHIL KYSAQNVRMVTETPDVYQKHVRPYMQEKRGNGRLNWVYNIIEGRKEQEDVLYRESDPE EGFLLLPDLNWDRKTIGTLRLLALVERRDIWSLRDLKKSHVAWLTHMRRKLLDAVAKV YPELEADQLKLYVHYHPTYYHFHIHVVNVMLEAGTTQSTGKAFGLENLISQLETMAGG PDASMADVSLSFFLGESSELWAKIFGPLKQGITPNSGDPHPRSFKMAENPDCPSNAKA FVPLENNPEVMSHLVHQLGLPRSLGFTDVYSIDEPDLLAFVPRPSHALLLVFPVSPAY ESARMAEDSSKPDYTGSGPTEPITWFKQTIRNACGLIGLLHAVSNGEPRKHVTPGSDL ETLLRQAEPLDPIRRADLLYESSALESAHADAAKRGDTTAPDAEANVDLHFVCFVKGD DGRLWELDGRRKGPLDRGVLEEGEDMLSEKALDAGVRRFLKAEAAGGAGDLRFSLVSL GPLFD UREG_00739 MAPSARPDGHLNLGYLPTGQPRSGTSTGSSSPSELQTNSMKSAF GAANGLVTAGGSIGSARRTAGSPSHELSARIYSKRPARRTRADTVPSRFSPVGPLNGV NVQPSIISKTSRPTPSTSPFRPPGLETPRTSAPGSGALLSRLRAGSMPQRTNILGGAS PFGPSVFSTNWTSSRDRASTLTSIRSSEGPTSPTQSSFSRDGLADADVKTLDYLGLAE TPQQSRATLARPPSDMMMQQPQQQQQPSSLPPLLELRILNRGANRFRSYSVNAKEKYA EDEEEFGAHYSSIPSGTITPSAAATAAQLAATQAQIHQHNLAVQAFASQASASRPRAR TAGVLDTPPQRSALRNYLATPSRLDNGLSAADIRIAEAGEFDELQESVQLMQLGGLGA LRPGQEGADENNLEGPTRALWIGSIPVSTTVTSLDAIFSMYGKIESTRVLTHKNCGFV NFERVESAIQAKSLLNGKEIFPGAGPVRIGYAKVPVTPTSETPGQSDLQSSPTPDPHA STQPGDGPGGSGKEDGRPDSPKIPELPKLLPEMTQIVAEFGATEAECCNISQSIQRAI EFQAFNDEISATPEPNQTRTFDAPKLRDIRKRIDNGACSVQEIEETAKSMLPEVAELS SDYLGNTVVQKLFEFCSEATKEELLVPIAPYLAEIGVHKNGTWAAQKIIDVAKTHTQK QMIVECLRPYTVPLFLDQYGNYVLQCCLRFGSPYNDFIFETMLSRMWEIAQGRFGARA MRACLESHHSTKDQQRMLASAIALHSVQLATNANGALLLTWFLDTCTFPHRRTVLAPR LVPHLVHLCTHKVAYLTVLKIVNQRNEPEAREIILKALFFSPGDEILENILKDQVSGA TLIFKVLTTPFFEETMRAEVVKTVAKVLIKLKTSPSQGYKRLMDEVGLSSRNGSSRDS HHARDHASGHHEKNQGRQPPRQPAANSQHPHDRQYNGQFSANMPPQSYNSPAAMTRSL SQEQGLLPYDPYLLGGINAQGGLGQFNTMNNGGFGPDPVNPLNPQFQYQAFLAAQSRG MSPQGGFYPTMPTNAFNGYATNAATMDTFRNMQNHSSPIPGPPAQLATNPMIQPPAFA AAPQFSPIATPVMGAGQLYGYPQPFYQQGQASHGSVPGGRRARR UREG_00740 MTVNDGDDFSLSTAACHPLASPISPSCYNSAAVITLGKIRYHPA GVQAQVHIHSLHPETFTNSLSRPLWAGLGRLAGHNANESRSTALFLDLPLCHGPLLLE ENHPRLHEYEPQMSCLSILCSSQRKDAACSLAVPISALESRDDIGLNVHGWDLETLIP IMAPIYIDEDVGRDDEAAAGTDLSPYKTLVYAMLQYPPSTENIQYLTRKSETGPVSED GDPSVRLEWKPATKSAMKKATSLYEARKRKAAKEHEVVLRQKEEAEKRRLVLEEAKKV VIKEDESLPKAVKVRLDEKDPAKVTAGDGETKGTRVRVLGRIHHLRVQKDIIFVTLYD GYGLLQCVFTGNLIKSYDAMTLTLETSLAIHGEMRAVPPKQHAPDNRELHADFFQVIG KAAGDKEAISTRVAPDADPQTLYDNRHLVLRGETASSVMKVRAAVLRAFRAVFEKSRM LEVTPPAMVQTQVEGGATLFSFDYYGEPAYLTQSSQLYLETCLPSLGDVFCVCPSFRA EKSLTRRHLSEYTHIEAELDFITFTDLLDHIEAIVCQVIDLVLADPQTAAAIKALNPS FTPPSRPFKRMKYAEAIEWLREHNIPNEDGTPHTFGDDIAEAAERKMTDIINQPIFLT HFPVEIKAFYMKKDPEDLRVTESVDVLMPGVGEIVGGSMRMDDWDELMDAYARQGMDP SPYYWYTDQRKYGTSPHGGYGLGLERFLAWMCGRYTVRECCLYPRFTGRCTP UREG_00741 MAASFIQFCATCENQITIPDNAILYCSTRCRNADTLKPIALSLQ TLSSFTYPKITPCYRPSTRPKPPAKMATAQKAPSLSISPPTQCSETQKVTVNGKLTPS SDETTPRPDSRRTKRSIESTAAYRFLLQFQRNADGGSSADNASCSASIPSRTSSPSLT SASSDFDMERPAGKDDVLSLPSLSTSAATETVNGSLETKYSVSAAAMGKNVGQRTEPK IYQGKSV UREG_00742 MASSSDATSSDTEPVEYLATARARRSTAGNHMSTLLDAEADDEL ALLFAEDEEDEEFTFGEEEGEGDGAAAVEYADDMELDSSSDEEDRGPDSKEGELEGEE ELEKQARAERLAKKRKAHESLRLTALRKKVKIDPNLPSRPLTAAAPRQRKKSERISWL PTPEDGPTRSSSRMQTVRNKELTHARLKDSEQRRIRLIATMERAAQRKENMKSKQMTQ ADRLAEAAKTERVNRKTLNRWEEMEKKRTEEQQARLEALQNRRLEGPVVTWWSGIAKW INDRLAQVGIKTYAQVAEREPVKRKKNKDAAAQEPTKCIPAKTSDQQEHISTVQAAQP EGGASGTAAQQGLSTRTPKDQVQKPLDDGISFLDGIHLYASMNDETISTDHPPNIQQP KELEREGQQAHTTAEQATDVLQPPGTATLATSENVQDTRAEEPTIQTPLKQPTLTTGS TTDVKSPTQSATLPGSSTETSGPQPPPNTELSQPLKADTSLLVPSPQQPPKIETSSRN CIILEDFDSTTPQERSEYSILFNPRKPQKLQKPTQEICPITGRSARYRDPQTGVSYAN AQAYREIRQVLAGRYAWSGFLGCFVGELGGGARGVPERFLAPNVPPPREILVPPAPVK VEGGPGSDGPPDKPPVSVGSTQGGEGGGSSE UREG_00743 MGFAKHLRQKRNQNRPVRQETALGQPISTHFSLLTPDPFLRVGN VVYRLSSAWRWLHTFRRRERLSSKQRMLILPLFYIAPPPAEQYIPVRAFPRLSLSNYI LVDHRPTLKQSGFRYRAQSVSKFSNATPDSTGFSHGAYSGNLSFADRNSRRANIPAIN TSATGPTSGDITSANNAFDMNFAPLLPSQLLLGSPFQTGTPSFASPQFQSFQGFSQVN GSSHGQNSQNQLNSPTQPQHNPLSPQLYQNLVSPDGMGGSQLLGGPQSPVGGFPGFAN AFGTANPSLQPGILSGTSRTVYLGNLPAETTAEEILGHVRSGQIESVRLLPDKNCAFI SFLDSSSATHFHSDAILKKLSIKGNDIKIGWGKPSQVPTSVALAVQQSGASRNVYLGN LAEDVTEAELREELGKFGPIDTIKIVREKSIGFVHFLSISNAIKAVNQLPQEPNWQPP KRVYYGKDRCAYVSKTQQQNAAQYLGIAPGYAHMLNSADRDLISNALAQQSVAAAAVA TAAGGVNNLGNRTVYLGNIHPETTIEEICNVVRGGLLHHIRYIPDKHICFVTFIDPTS AASFYALSNLQGLMIHNRRLKIGWGKHSGALPPAIALAVSGGASRNVYIGNLDESWTE DRLRQDFSAYGEIELVNTLREKSCAFVNFTNIANAIKAIEGMRGREEYKRFKINFGKD RCGNPPRQLNNGHQARAGADGNNTMINGALHASFNQGAQQSSPTGPALSPAPGSTGSQ GPNGHQTRHPLQAIPTPSSILNTGASNPLTMYLNHVSQQQAQEQENRINGSMGLGSFQ QQQQQSHQQQNYAHQQPGLYNGTRASDSPNSLENSLHQQKPSTHGLLSATNATNSGHL ASSGSTLSVPRAQHSRAVSLPSFSQEPFGQNHSLATRSGMTHQPQGSFSSFGGGLGGL NHSGFGLSISNENSLPGWAEEEIRAK UREG_00744 MSLPPEQIRIKRRREEEPVETLCPNPTLGDPSSYIQSQTREPKR RFTDFVFKRVTPIQDEALVSGMSSPGGAKGITKTTPRPDSMSAAIQGPSVPIVRATEP GAEVEELRHLLELAKKRSRASLAKPHTRSVSAKFPSQSSSQAMSTVSIQSALRKFYIT TPEPDDLVLHKVGGGVLKRKLPKHAVVVEQKGDGIKSVSTLGELANDVGGPSNIRAED VKREVEAADGKSSITTSRRRRVVNEAERRWKEQTGAYRYLDRQDHKEKEKTGKSIRDD PATWDYDSIQLVNELEQASWEAIAADPTNPVYPPRPRRRRYLPIVKPPLKFQPRLPKH QRDRDKGRGGVFGQLDESGGSSKESAACHEISTVGKGLEDVSSMRNALEEHVVCAKVE NDDDTDYVYDIFIRRPLQEVAGDPKFVPFHDGHWYAEHENVPKDIGVVVISEQDVHYW DALAEDDDEEKGWNTDDEDSNGEPFYFLGLHFVDSR UREG_00745 MALKPLQIHGSVAAPNPFKVAIVLSELGLPYEFIDVPYSELKGP AFTAINPNGRSPALVDPNNDNFTIWESGAIINYVITQYDTEHKLSFPIGTKEYHLTQQ WLHFQMSGQGPYFGQWYWFQNYHDEKIPSAIERYAKEVKRVTNVLDGWLADKQFLVGD KCTFADLAFIPWQSGLPEMTGLNVESEVPNAHRWLESMRNKPEVAKVIKASAEARLQR EKSK UREG_00746 MPPVLRAGIISIPPPPLLAQCRTVLFFDKPIVFALNSLKPVPFA LWELLIETLPDLSPFLTQIDSVVPNEIHLFFLTETVPFGMVLARSYQIRSVYRMGRGE CRWAINCYWDIGLVEFERDGVDGWILAGSDRGGGGGDDDNGGDMVLAMIQTDLSFWKM LRGTPLQNLERSRETALQVFRLFKELLEDQNGTDDIESKKKLKGGPLFKLNEILNAKQ CAGLGESDRAAINNRKVAEPSPTLSISSYYREHKGHVRHDGPSNRADGVISNVEGDLI ECLKRCDNLNSTCFVRVKIFLALAGTVKRKDFGLRI UREG_00747 MSAIGKPMRKKWAVQNAAPPFCRDRLTTQGGDESRATSEVRIGQ TSSQHRSSVCGLISGCWPGLRRIKATGRVDESAGQHEGRCEESAYWRGNPCRTTLLGP AAASRLSGAGGEGYVVVFQGTRRSLGALVSGGSGWEGLANSSGHLGLGGPSAARLA UREG_00748 MARIKKTPDSSRSSSPSQNGAQTKTPPNKKTTAVESPPKTQGSP KSPQQKASSPKRAGSKPAQASRQASPAVKTSLNTQLAASPPQNKGGKSATGSKKASSP ARKIQGGVPNAEKGNFTSGSQQAPIADKIVTSPKKKPTSPKPSNHKTSSSISEEELDR VFPSAKKDRESEAANVGRALYEAFDQIGKSSGAKGLEASRWAVSSTESSHSSGEEKET ENKQPKKRRPSRKNKKKTSSANTSVTNTSTMNSPPRPETKRPMASAISVAPIIDTTDT IAAKKNAAHVAQVLFDAFDGLGQTSARVGLEASRWAIPADTKAPPKGENKAVHKTPNV NASTQPTKRVLGPKVMGIDNTSAFMAALQARNNQVSKGSGAPATAKETQENAKCMTDS VNKVQPMQSATTPPKVQSTMSQRPEIAGKANVPASKTASGTPSPASKGSAKETNEDRE HLEFFSSWGTPSPRSKQRAEVRRIVLSPIPDFLASPNKVLSLIHGGRIESVQYFPQSK SAHVLFCDPAACKKYYDSYPNGIDVNLNGRKATVFVDLYKDVDIISSRLQDSLGLGAT RVVRAVGADMALSMKDMVELVEGRGFKLENIIDVFDAGSKVRTVVFRLCSMEHAVRLR SFLIRQDEWEQSNVQFGADPCEVAKGIHWG UREG_00749 MPPRLLHPDEYELVSRSSLDSQDSFDLDEADFEAHALTSPSYPK QRVSLFYRLLAHLPFVGRHAQRRLLRSSRRAAWKGTRQPRSCRPSACRRCFFAVHILT GILAVLVIITAIVSPSYTRRPPHYNALRDAILSSKEPGRGNPQNEKVLIAASIYDRDG SLARGQWGENVLKLIELLGPDNTYLSIYENDSGEASSAALQDLDKRVPCNRSMVYEPH LDLKGIQHATLPDGSERVKRIAFLAEVRNRALRPLDDPHGERFDKLLYLNDVYFDPID AVQLLFATNVDRDGKPQYRAACALDFINAFKFYDTFAARDLEGYSTGIPFYPWFSSAG KGESRRDILQQRDAVRVRSCWGGMVAFDASLFQETASTETSHGDKRRGQPIEPRAFHV ARFRAEPDPFWDASECCLIHADIQIPPHKSEEPVDTGIYMNPYVRVSYDTSTLSWLGF TRRFERLYSLPHNLLNHLVGMPWYNPRREERVGEQVQQKVWDPKSESYKTITRTAGTG GFCGRRALQVMIPNPKKGQKNWEMLPVPAE UREG_00750 MGLDEPAQSPEGRASADVKKAANDEKQEQHTETTATVVNSGDDA VINVEEKPAEDKVKEEDKGGFGAYLALPLMTIVFGTFVDEFNDYGMGLSSPEKLRSAI SKNALYFVYLFIGKLFAVYIHTTCFTITAIRGVRRLRLEYIKAILRQDMAYFDTYTPG SVATRISNNANLIQNGLSEKVGTAVQGFAMLITAFIVAFTRSWRLTLPVATTIPTAVI IVGITVLLDTKVEAKILDIYSKAGGLVEETLGSIRVVVAFGAGGKLRKKYNEHLETAK KIGLKKGPILGVQYSSEFFIMYCAYSLAFWYGVKLIQKGQIGSGGDILTVLFSVALGT SALTMISPTMGDFTKAGAAANDVLNMIARAPDIDSMGQEGLKPEEVKGELELSEVSFS YPARPTIQVLTNVSLKFPAKKVTALVGSSGSGKSTIVGLLERWYDPAAGTLRLDGQDI KDLNVKWLRSQIGLVQQEPILFNDTIYNNIVHGLHGTEMDNYEEEKKRELVREACIEA NADEFIQTFPKGYDTVVGERGSLLSGGQRQRVAIARSIISNPHILLLDEATSALDPRA EAVVQAALDKVSRTRTTILIAHKLSTVKKADNIVVMSKGEVIEQGTHEELLETQGAYW KLVNAQSLSTVADENTSDTENDSQDNQLADLEKAVTTKSVRSNVDIEAPAENPDVARK MSLFQCLVRIFYEQRRHWVYFTLGGIASFCGGGAFPAQAVLFAKIVTIFQLPEAVIGD RVSFWALMFFVLALGVLLSYASIGFFLTIAAFRVSRFYRSEYFGAMLSQDIEFFDNPE NSSGSLTAQLSTHPQALQDLISSNIGLILIVIVNLLSCTILALATNWKLALVALFGCL PALFMAGFTRMRLEMKSQDRSAKLYLESARFASEAVGAIRTVSSLTLETKVYDSYAER LRVPVTRSYKHTVISMIFFALSESVDLAAMALAFWYGGRLITEGEYDAETFFIVFVAV VFGGQAAGFLFGFTSNTTKAHSAANHILHLRDQVAPINGSKGEPLPKDETDVAIEFKD VSFHYPSRPDHAVLRKINFKIYRGQNVGLVGASGCGKTTIVALLERFYDISSGEILIN GKSISAVDINAYRESASLVSQETTLYQGSIKENVTLGIHSTSVSDEEIIQACKDANIN DFIQSLPEGYNTESGSRGLTFSGGQRQRLAVARALLRNPEFLFLDEATSALDTESERV VQAALETAKKGRTTIAVAHRLSTVQDCDAIFVLDAGRIVERGTHQELLRKKGRYYEMC QAQSLDREA UREG_00751 MSDLRFQTENTSQPPPTRAQLKAGFILKAPPGTDLWAKPPSTIR SNAPIIYHAIPLSRLQTARVHVSGAWTTLYDQGGLVLILPSAQKWIKSGIEFVDGMPY VSTVTKDNWADWSLAPVPDGGREVVIEMVREKGALWVYVVRGGEGGETRMPVREVTWA FAGEQDVEGWIGVYGCRPAKEGGELELMFRDFEVGLSIEA UREG_00752 MGPARRSPSPPTYGAFESDDRIENNETEGLLFVEPRELGEDDPI LGEPISSSTSSSTEVQEGVRKIEAISRTWTQKSLIIAYLGIFLMSTCTSLEGQTVMSL SPYATSSFSKHSLISTVLVVQNVTNAVIKPPMAKIADVFGRFEAFCISVLIYILGYVQ MAASKNVQTYASAQIFYSAGSTGLQILQQVFIADSSDLLNRALFASLPDLPFLVTVWV GPMIASFILRDLTWRWGYGMWAVLLPAAFLPLALSLWLNQRKAQRLQLLRPKPWKGKG FRSLLRSTWYELDLFGLILLSAALILILVPLTLAANAKDTWHNPSIITMIVAGLAFYF SVQPYLYSYLQVVQGQSVVTAGRVTQTFAFTSTIAAVAVSFFIKYSGRYRPFVTGGCF VYIGGLILMLLTHKEGSTVTKTLIIQTIIGLGGGLVNVPVQLGVQASASHQEVAAATA MFLTALEMGGAVGSAVSGAVWTSSVPKRLRNYLPPESQKDAEEIFGKLTKALSYPLGT PTRIAINRAYEETMGTLLTIAVCVTIPLIPLSLLMKDYRLDKMAQKAKGKVIGHSDVE DDFMTRSATNTRSNPRLSRPSSRQ UREG_00753 MIFIVGTDRHDILLMHRKYGPAVQLGPREISFCHADALPQIYAG PKGLDSGDALLALRNYGTDNLITTLDADLHAARRKMIIGLYSGPAVAAPAFQAGFKKY IEQFMQVIEAKATASPCRTVDVSSWLRWLTGDIVIHHVYGEKNHPNLLREEKSRETFN ELFATTMDTMTGPFAILAGWFPRLTTPLRKSLAPDKIGRSMMEQVESAIISKVPENKE TPVTHLEQLTSILKKDGPSHILPDKNFIASDCFDNFAAGSFIPADLLCALVWELSLPQ NKTYQNKLRQELHDAGISPGTYPDLSVVQKLPYLDGVLREVLRLYIPLPFGLPRVVKK GQEVTVLGTKIPAGMTIHSQAYCLHRDPNAFPDPENWNPERWNIPIMSPKYREMQRMF WPFGSGPRMCSGKNVAWAELRLTTARIYSTYETALDQAFLDKNGALLPNQERKGYFPF KLAEPIRFLKV UREG_00754 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VRHHCPGVPCLIVGTQTDLRDDLAVREKLSKQKMAPVRKEDGERMARELGAVKYVECS ALTQYKLKDVFDEAIVAALEPPSTRKKSRVCKIL UREG_00755 MLFSGWLKAILGLSIYWQSGACHQAHLHDEQTPIDLDANRLLKK WGQEWAFSGISTFAHLKHVKCLVDRDELYDIAIIGAPFDTAVSYRPGARFGPRAIRAA SARQVPGLGFNPRAGINPYLSWARILDCGDIPVVPFDNAIAEKQMYEAFLELGTRPTP RNGKPKLITLGGDHSIALPALRALYKIYNKPITVVHFDAHLDTWNTLRYSTEWTSDQA SFTHGSFFHTACLEGLISNTSSVHAGLRTRLTGADDGDYTNPGPEQSFLRIHADDIDE IGVKGVIDTIISRVGLSPNDPVYLSLDIDVLDPSIAPGTGTPEPGGWTTRELIRILRG IEKLNLVGADIVEVSPTYDGHGEGTALAAAQMVYELLASMVKLELKDAPGWYGKTGHN ARDEL UREG_00756 MACSKRVGVLGGGQLGRMLMESANRLNIQMNILDADRAPAKQIS AHGDHVIGSFMDRESVRELAEVSDVLTAEIEHVDTRALEVVSSLVEVEPSFQSIRTIQ DKFRQKEHLAKFGIPQAEYRELKAGTAEELGAIGEELGFPLMLKARRGAYDGRGEIYG GVDIEFMLLMSWECRLNMHGRQLCCKDQRGHTESTGRTRRPSALCGEMGQFRYVQEDS ICKLVYAPARNVSSEINQKAQKLARDAVAAFEGKGVFGVEMFLLEDNSILLCELASRI HNSGHYTIEACPLSQFDTHLRAILDLPIPQKSLELRQPAIMLNILGGSTPDAHLKDAE FALSIPNASVHLYGKGAGRLGRKMGHVTVTAPTMREAEELIQPLISRTCGPRAGTSSK PQPTVGVVMGSDSDLKTLVPGLKLLEEYFGIKPEVDITSAHRTPDYMAEYASTAASRG IKVIIAAAGGAAHLPGMAAAHTSLPLIGVPVKGSALDGVDSLYSIVQMPRGVPVATVG INNSINAALLAVRILGSYDEGLRKKVEAYANAAKDENLDIKGSNYGVRPGPPTHKGLL SHLSETVIEILIDAVNRREEFNLQASCIIDPFAFNYIFAMASNGGPVRGYKFSEKDRK PTKIRLKKAAAKAANGTKESEPGNADSGRSSPILPVMDQKTMASFPTGKPRETQLETV ICKHCKRPVLKQTAAEHIRGCLRAKQEKARKKKEARDANRAKEKADKEGNDDDGDGAM KGQKSAKKHVGEDGPKKGKKRKADDDDKEPKKKKKKDEPKPKVPKLKGPVDVEKQCGV VLPNGGQCARSLTCKSHSMGAKRAVPGRSLPYDMLLQAYQKKNQARQQSKIPFVCFQW KLPMMLIPPIEAAIDANAPVHDELDGNGPIDSDEEKDAVMAGLARSRPQPLVTHPLIH TRKKYHLVRMKEMLSQVLGSGSLFSPVDSNFQGRSLFQQPEPVSFASPTLGTTGDLSA QPVDSSRKPSLSQQSQGRIG UREG_00757 MANTVPDLDAIGIKAEAHLADQFRREVAHLLGRSSLNFPGAQPV SFSSRHLLELQKADYYVCEKTDGIRCLMYFARGEPDSETPEIHYLIDRKNEYRYVPGL HFPMPDDETFQSFHVDTIVDGELVNDIYEDGTEQMKFYVFDCLVLDGTSLMHRTLDKR LAYFKEKVLKPYNAMYKKFPEEKQHRAFAVEDKSTQFSYGIEMMFRDILPKVKKIHGN DGLIFTCRSTPYKIGTDEHILKWKPPEENSIDFRMRLEFPVVDLDSDDEADGVAEPYP DYDAMPTCHLFVLYRQNDYRHFGLMHLEESEWEDLKALHKPLDDTIVECYRDEHGRWR LMRFRDDKTDANHISTVEKVLESIEDRVTEEDLIRAAPVIKTAWKRRQAEEEAARRRP NGTAHPAINGVKRKHED UREG_00758 MAQRSGRKQTTLGYGLERQSPMCYCADVKPIGLYNQSMLTCDLI LDIGKFFGGNAVPAPEQKKQSVLSFSSQAGNAVNEMASENKDKDVDMEDITGNGPVTP KKSPAVRSLPSAPGAPKVDSDDEIKSESAKSESMSPSAGRLKRQKDSATDESEGNESE PVTKRRRGSRKSKTNGPAPKPVTGNKGKRGSSRTTDKVGIAANKSSKQPEPAVAEAES SASDGEDLLSGSSSEELDVKPKEEEKKRNAASKQRQKVQVTLKSNGKDPYPDWKAGDP VPYAALCTTFSLVEMTTKRLAILAHCSLFLRQVLRLTPGDLLPTIQLMINKLAADYAG IELGIGESLIMKAIGESTGRSLAVIKTDQHEIGDLGLVAAKSRSNQPTMFKPKPLTVR GVHESLLAIAKVQGHGSQDKKIGGIKKLLSSADAGTAGKIDITKDKGGPSEAKYIVRF LEGKLRLGLAERTVLVALAHAMVSHEIEVKNGKAPSTEQLAKGEAILKQVYSELPSYE VIIPAMLEHGLFNVQDKCKLQPGVPLKPMLAKPTKSISEVLDRFEGKDFTCEYKYDGE RAQIHYVSRDSIKDYAATAGTLQKDGQGLCAIFSRNSEDLSKKYPDVLDKLESWVKPG TKSFVVDCETVAWDLENKKVLPFQQLMTRKRKDVKAEDIKVRVCVFAFDILFLNGEPT VKMTLRERRSLLHETFIPTEGEFAFAQYGNTSNVEEIQNLLDESVKSSCEGLMVKMLD TDESGYEPSKRSRNWLKVKKDYLAGIGDSLDLVVIGAYFGKGKRTSVYGAFLLAAYNP NTENYETICNIGTGFSESLLEELHKTLSPLSISQPKPFYSHSSVPKDQPDVWFEPRLV WEVKAADLTISPRYRCASDEVMGMTGTGADGKGVSLRFPRYIKSRDDKKPDQASTTRM VAEMYRKQESVTKDDKGKKAADDDWEY UREG_00759 MPHGAHSKQAIGLFACLTRLSNTHRLINPYAFRPAPRFYAYTRS MRMPLSTAKARPSADNKRRHSLPRERRATTNTSSAKIRELLSQQRHDKWGFLIYRCTY DDDTAWATFLSLLEERAHDNLKREGDLDLKEKLVWTVIEDKEKLDGASVDKVGDMFLN WIESEEAKNEQQIGPDELGRPVAAILGTTPRYLCCVHVDAESLHSVVNEAPRSPDPDL WHVGYVNMIYAPSRVRTEGIGGHEELEHDDHEGWTKLSADLSIPHAYACLQSMDGWYN IWIRPPLVSALSSRQ UREG_00760 MRLSAVVLTSFLAATVLAQDITPILKLPPCPRNCIWSLLQKAGE FGCGSTDAKCLCRNARYQGELEKCATTQCKPNEVDLMRSVGRTYCEQAGAPLPPQPVS IEPSLSPDYPFPSFTSTGGIPVPSPSVTHPNYPYPFPTYHSSGSLSPVITTAIVHTSS TKDGSETSGMNGLPSDDVLIQTTIRCILSTVRINSKPMPPLATGQSPSAPLTNTTSTV PVDSQTLPVVTSPVIVTTTTESSEASLSTSTTGVGSKGPSITIPVESSSNSTLFSTET SDQPSTIIINPPETSTSTRIVTIKPIAPPQTTAPDAAFPNSGSGFQLHGCAILAGFLI AIL UREG_00761 MATSLTESVATAIKSSSKTPQLLPPAPNASLDIVLGDITGSQSF QPVLIDHRSQEGTLSELHSMIKRLREMDSSLSLTKSMMGRLYGSSGETAAAPFWITLS LLKERSANIMSMSDILDIDTLMPEASQASLIEELYSISLPILTELPTANPEEWRVPAL ALEAVALQAQQLAETFRPELIDVLYPVLQLMGSSNPSLQNHAMTCLNIMTRACGYSDT STMLIDNVDYLVNSVGMKFNTFDISPQAPQVLLMMIRLCGASLIPYLDDLIGSIFSIL DAFHGYPKLVELLFAVLGAIIDESAKSPTILAITSDAQVDTKIDGQPRYKPRTISDIV VEFKRRRKRRAEHDSHEADNSEVLEPHPKRPWKGILGREAGEEAGEADESQTNQSPPQ GDDEDKALSKPHNLLISILKSIPPHLSSPSPHLRRSLLTILSRAMAVLAPDENSFLPL VNDIWPSVSARITLAPSLASNTSTSLALTNPHPRAPSGLDEMNIQEQAYVIVASCQTI DAMCRGAGDFMSSRVEHDFPKWKQIYTKCWQRVKHDADLAFERHQQHQRRLDQARRRE NDPNKTISQIEHLTITQTSSTNETTTTSTISSSSTPSLPRGPPPLPHFASKSFTPHHN IWSALTSLFTTILVHVRLPLDVGDDICQCLGRWIPSFYPNYYFTYSWKDTQCSPADDA QTTRKTRPDSSSSEVDIDATIRAMDAWNADLTWLIFARGRAKSADNRQEGGFDVMRTN LNGRLAKILNSSAEKEDVGRRRFAEMERWRRFEDKGEIFQFDPKQTYYFEPNKNINFN EWIRMTIKIKGMNRYPKAASTAMKCREI UREG_00762 MATVNIRRDVSDPFYRYKMERLQAKIEGKGNGIKTVVVNLNSVA QALGRPPAYLIKYFGFELGAQANPKPTDDRWIINGAHDAGKLQDYLDGFISRFVLCKK CKNPETTVVIKDTRILLDCKACGERSEVDGRLKLSGFVLKNQPKKGKKDKSTKKTRRE RNKEKAENGENGETNGSPGDSPSEGDENGDVEVGAHSDDEFTRRINAEAKEIEQDDEI DDDQWAVDVSEEAVKARAKELPDDLKRSLITSERHMKALLGGTERFVGKERPELIPQV PAILLAYYQEDLVSEEVLKSWGSKASKKYVDLPTSKKVRKAAEKFLEWLETAESEDES EDEE UREG_00763 MPIDLSLYLVTDSTPKILGDRDLCAVVEQAAKGGVTIVQYRDKH ADTGALIETAATLHKITRAHNIPLIINDRVMWPCVGAEESSRQDDMGNRYQVYHRYSG VKQILEFLSTSSRRIGTVAIGGINLGNAQRVIYQSQALRKGLDGIAIVSAVMAASEPQ KAAAILAKAITKNPPFATMPPELRKDELGYFLDNAIHTVQKVATDMPLVHSMINYVVA NFAANVSLQIGASPIMSPYGAEADDLANAGGSLLINMGTLNADSEKNYLQAMEVYNRR GNPVVLDPVGGGATDVRQNMVKALMAGGYFDLIKGNEGEIKAIYGQSPTRQIGVDSGP STLGPKEKVAMVQDLASRERNIVLMTGPVDFLSDGIRTIAISNGHPYLGQITGTGCVI GLVAAAFLAVERTDKLLAVLAGVLMFEIAAENAASKEHVHGPGTFVPALLDELYALRE ATKADVKKNWIKDRAKFVVLKVDRKSNGS UREG_00764 MDAQFPFASREDIWRVHEEVKDLYATQLEHGERIAKLERRREDD ARMKSLWGPFSPYASTGSNPPIQGKSIATTISMAISDQRISRPESTYSPPAEPFKGFD QGHQHTAASNIVLETEEDTRRGASRANSVRFDESSMHGYYNHANRSASELPPVRTGSG QGSHPLTERSLSHRSDGNKSSSGQSHRTFSLGLDTAKANGSTTATTMTPPPGLFILGP VPCIIRCWLTTHFSNDSLLYAAICSGSYSSAITYRMIQKLGLEDEMAENDGLRIIKLP MYFPEASIYQPSSRAGSPAPHLPAVTVQFTVRETLPADDRSIQIFIGSDVLRLNNADI LFSQDKMLILDDERNRISVPLVRPENQEVFKTLSTGPRSYPVPIYGMNGGERIHTLEH EDVESGTGSKPSSPAPQAKPNQFALPFRKLNDTVDAPSRRSAHFAETAEEHSPPQTHP RSIANDKTGERSSKSEGTGVWGPWRRDQPTQKSEPGPYLAAYHRNVKSRNMKVLKPSK PVSRQVSGAEATPARPSDRGAEHGDGKPDNAWNKTRSSNPVGGASAFGWLNTKTESNN TD UREG_00765 MPIYILIQVTSGYSSPQGLVMLDQFFPPVERDKVRLMTVFFGAN DAVLPPYKQHVPLQTYRQSLRDIVTHEAVRSHKTKVLLLTPPPVNEYQFEVLDGTSGP AAPMRSAENTKLYADACREVGRSLGIPLVDIWTAFMKEAGWVEGEPLAGSKKAQPNQK LAALLSDGLHLSPAGYKIMYQKTMEVIRAKYPEEAPENLPMLFPPWDKAPYHKTCT UREG_00766 MTTMLKHFDDPEYKLHIVCREKFLSDDDPDSKFFSWTGQIHSRI KFVLMNYMSIVYDPKREIKDNRPMEWGGQQVAYFDKEADLKPCSSGKTEAWSSTNGRW DTGQLVDLIVVCDLALKPSNWNDINSASIASLRKKTFETDSRTIDSIDYANMATILAH EFSHSSLMLCNDRTVDEELGNEPCYGWKLITQLAREKPKFALGNAGLSLDKNDWSTGN SKPVPPDFPGPIGRLSWKNGKWEK UREG_00767 MARSVSSRPLAEGQSNGDADDETSSLLPITSPPSPTTLRRHQGI QFTGLDRPSRRVQDMGERVSLLGGDGAQRSYTTIPAPPRPDAFFRHNSAAGSVRMSKN HSRANSQATRFGPNGAIQWSDNLPSGAEARFSDNRVWYDQFTSTDWVHDTIADGIRLR ELRSRKDIRGRFLAWVDGAQGWILVAVIGIVTACFAYFVDVTERALYDLKEGFCTDNW FSSRHHCCVGEDECQSWRTWSDIFGISKVDKPWVDFAAFVAWAVVLAAASCLLTLLTK TVVPSSISLSTLDEDLAAGGVGISEDIPTRSDPKAGSDTGATDASDGSAPPMVYYSAA GSGVAEVKVILSGFVIHGYLGMKTLIIKTLALVLSVASGLSVGKEGPYVHISTAIGNI CCRIFSKYQHNDGKRREVLSASAASGVGVAFGAPIGGVLFSLEEVSYYFPPKTLFRTF FCCIVAALSLKFLNPYGTGKIVLFQVHYLSDWELFELALFMILGALGGAAGALFIKAS KVWAQSFRAIPAIKRWPMLEVILVAFLTGIMSWWNRYTKLAVSELLFELASPCDYAQA SNTGLCPTKEEIPDVIRYLIIAFVIKAFLTIVTFGIKVPAGIYVPSMVVGGLMGRTIG HIAQYFVVHYPNSFLFGSCPSTRGPLACVNPGVYALIAAGSTMCGVTRLSLTLVVILF ELTGSLDHVLPFSLAILCAKWTANALEPLSIYDLLTDMNSYPYLDNKLNPITDTELGE IVPPVRMSRIIDITESPLIPASELRIKLDRLLRGGELDSGLPILRNKVLVGFIPAPEL EFALDTLETEDTMCLMSMNTSWHNVEDQDGFEPTDFTPYIDPSPVALDVHSPINLVYQ CFVKLGLRYMCVLRDGQYAGLVHKKWFVKFIKETHKSEGA UREG_00768 MDEILDKRIRRKKVECLVRRLGWGPQDAPSEGGTSFSRAGSRAA SGAPFLPCTTNARSRISFAASLDGTSGATMMTQPFNTLYLTLKEMAWTYIGPRRSPYA NMLETQKSLMYYTCTSAIATSTSYATSPSTVCLQPPHSSSPSQVPLVLSKSPTTTPNH RATSPEPVPISHFDTTSVTQSLPSTVRLRKKNLRVLVNDPSLPGCCAGRH UREG_00769 MSPHCPPATAAAFANSATKLPPLTLVVATTPITLPCQPQGISRL GIGHGGTLPWPRIKPLPKRLNVIITRDESGMVCERAVVEWRAAKERERQKERECHSET ATECKKCSSADKDDSIDGQQEENPDILVSNSVESALTTLQDNFGLFSQGGKRSLGSVL VIGGGEIYASSLSLDSSTFGHKMRIVVTDVRRPATEVEKNEPSNSTNGFDCDTFFPVD HLIGNEEWREASPAEVSEWVGEKIPEGWVWERDVAVRFLGFERK UREG_00770 MDFVNGVNLSDLLKDPNAERPTRLMREDISDSDIEIIYRQLANY LLQLFKLDFDRIGSLPWPGVEPQITPARPLTFKAHSILQNGGVNTFGDRHHGYTTTTE YFQYVVGQDWEQLVQQPNSTAGLYDAKNKYVAFQFLKILIPDLVNAKYDHCKFKLICD DLGLANLIVRSKEDLTVIGVVDLEWSYIGPAQLFGSAPWWLLQDRPVNSAWDCEDDEP PKIAARYLKCLESFIQILEEEEGKMPGHEERELSSLIKWSQASGAMWLHMLLLSGFND YCSFPFTQLRRHFHTEWVKCEEESDNTEALEAFAARKVSELEEYDEALEKREEIKALV DCGKMTKEEFVASALISKPSSLACSLLFVANNETRNDKGLFQKVAAWLSLWASELRDA ALRRCSPSSAVASRGKCSEENKEVEFRGKPLCRSQES UREG_00771 MQHTKQILSKSVHKQSKVQANGGLKSKAHIRAFQQACNIFMGFN GTARTSATSIRASSSGAIPIAVHNLSPDHLDAFTQAITRIIVMDLTTHVFTQIVYGKP VSQHTYDYAKCCPILNPVPATALPEAVEIAKCYQSHFQADSLMVDSMLAQSYQNTTIG SKEFKLRLLEITATTFHGMAVSIFNQVHDKGSDSCNPSSSTSNKDQLARPSSELPTRL LHADYMDYDWYPSGLADGVGYWAELCLFGGVVLFNRGDSECEALDAYLHPKFPYKIFK LSDSQVDSFVDFALSATDQAQNQPPSPLPIRTEKYAPRICPDESMALHIFRNKYERKP RHLRGLRPSYHRPLRLEDDPQMMDFIESYQKQRNSD UREG_00772 MNKRVHIGNFPPNNTSQYFQELAEQQFLHLKYQHNNAITDEDDC RRRYVARCRFQKIAQQVIVQHGQYHLYYDDLRPSNILVMESDLTVNGVIDWEYTYVAP AEFTYSAPWWLLFESPEAWDLNNFMDRYRPHLQLFLGVLHVHENEQICQGSLKESQHL SDRMALSIENGLFWFCLAAMKSFMFNEIYWTFLDKR UREG_00773 MAGQLAYLEKPPLPYVPGQRFTVRAHSPLSLLPPKRGEYDLSPE ANKERERLSPLQRCLLHPPNGGSFGESTVEFEISHGIRHGKDHFSQIVAVNILATSSK SPKALQNVTNAVAKIYDPLYIDHFDDDHDPFVYVERGYATKVAVYKRLASLQGTVIPI LYGSYALDLPIDGSTRSVRLILMEHVQGLSMMYLKP UREG_00774 MTTAHRPTFDPGKGGTTRARVSPATPTGPHSFKSPVSYGAYPPG GQACLLTLEFRKPGQGGDADTETRDLRAELLKAEAAHFAKKAGIADTSIEPATPKRQL EHSVGDADSTGTEDLEAKRRRILEETRDIDADSDGDESESSEEDSDDEEDETAVLMRE LEKIKRERAEQKEKEEAEKAAIEQEQREYDIARGNPLLNPQDFNVRRRWDDDVVFKNQ ARGTETKGQKEFVNSKYVR UREG_00775 MKCDARVKIDKILENINSIYDLDSEKILELRATSVDRFSIQKFP VEFCDWVAWSEKHQGIRGVEYNAQNSCIRIKATNNPLHGAATGVIREWLHGIRDSLSR ATGNEFDCIGTTGSYLGGEFDGSEKAPDEGLCQGAQQFPLIAVEVAVSKRTTKVFDDV KQWLQGSEGCTKLVIVVDIIEKSGNCTETTDWGLSKDELGQLDVPDLAEHILQWQKDN NVALIGRFEAFFYLCFYNQKPQKVWKCDFSLDRLKPECLMLEKELGHVMANDLVPGLD GLCFPLPFQELSTKMRRSLSRFEFKRASIKTKEKWKEMKKISDQERITT UREG_00776 MAKDTELSANERAFILEALQKNVRLDGRPLDQFRSLNLTFGDEY GVIVRISAEVTAPRPERESDGIFTVSIELNDMAIPGFETGRQSDSETQLSRTLDKIVR RSNALDTESLCIAKGLSCWNVRADVHIVDADGGLVDICCLAIMAALLHFRLPESTVRD GKVTVYSAEEKVPVPLNLTKVPLSVTFNLYDEGKTTLLDATSSEEAVSEGSLIIALDK TGEIALYSKADGTPADPLNMVACSATALAKVRELNALIQRKLEEDSKARGQKGLRAES SAANER UREG_00777 MSSGRPPGGHASASPNHDLLQLEDTTPVYSTGQRPPVNDDHLLE RYDIDDSDLPSPRASVSYDEFVGGRPPPTGVGTTSHNPQRDGLQPPSSRFYGEAPVTR TYSQTSDLQNYHRYSDIDDFDDERSMRGYYPEADLEGDHTPIRDARSRDRNSILSLGG GLVGKAKSMLGMAPKYSEMDLPLTEAGARARADTMDTEAGAKSKPKRRRSGGFNFSFG RRKPDPSTLGPRIIHLNNIPANQANKYVDNHISTAKYNVFTFLPKFLFEQFSKYANLF FLFTAALQQIPNISPTNRYTTIGPLVIVLLVSAIKELVEDFKRKNSDKSLNYSKARVL RGSGFEETRWIDVAVGDTVRVESEEPFPADLVLMASSEPEGLCYIETANLDGETNLKI KQAIPETAHLVSSDQLGRLAGRLKSEQPNSSLYTYEATLTMHSGGGEKELPLAPDQLL LRGATLRNTPWIHGVVVFTGHETKLMRNATATPIKRTAVERMVNLQILMLVGILIALS LISSIGDLIIRITASKKLTYLDYGNVNAAAQFFSDIFTYWVLYSNLVPISLFVTIEIV KYCHAFLINSDLDIYYDKTDTPATCRTSSLVEELGQIEYIFSDKTGTLTCNMMEFKQC SIGGIQYAEVVPEDRRATDDDDADTAIYDFKKLRENLESHPTHDAIKQFLTLLSTCHT VIPERKDEKPGEIKYQAASPDEGALVEGAVLLGYQFTNRKPRSVIISAAGEEEEYELL AVCEFNSTRKRMSTIFRCPDGKIRLYCKGADTVILERLHANNPIVDVTLQHLEEYASE GLRTLCLAMREVPEEEFQQWWQIFDKAATTVSGNRAEELDKAAELIEKDLTLLGATAI EDRLQDGVPDTIHTLQQAGIKIWVLTGDRQETAINIGMSCKLISEDMTLLIINEENAE ATRESLSKKLQAVQSQTGSDIETLALVIDGKSLTFALEREMEKLFLDLAIQCKAVICC RVSPLQKALVVKLVKRHLKALLLAIGDGANDVSMIQAAHVGVGISGVEGLQAARSADV SIAQFRFLRKLLLVHGAWSYQRISKVILYSFYKNIALYMTQFWYAFQNSFSGQVIYES WTLSFYNVFFTVLPPFAMGIFDQFISARLLDRYPQLYQLGQKGVFFKMHSFFSWVGNG FYHSLIAYFLSQAIFLYDLPTQDGTVSGHWVWGTALYTAVLATVLGKAALVTNIWTKY TVLAIPGSFLIWMAFIPAYSYAAPNIGSGFSTEYQGIIPHLFPLPVFWLMAIVLPAIC LLRDFAWKYAKRMYYPQSYHHVQEIQKYNVQDYRPRMEQFQKAIRKVRQVQRMRKQRG YAFSQADEGGQMRVVNAYDTTRGRGRYGEMASSREPMV UREG_00778 MTASDTLDFPNREPILIDLKLFPSTFVLPTHLTLEELHEVEESL TACGASVTYDVTEARLVLGKLSQKKRAALELRARGVWTEEITPGPVETPDPPPLKRRR LDKPANAVEAVKEPQIVDLGLESELDEEDGFDGSNNGQKRILKPSETAPVPRSILSLS PPRNSDIRVVKLEWLRESLAARSCLPLTSFTVYHANKISRPETPKETKSVSVLGSEHD SVVSTPQSPSRQRDGEPQGILERAKADAATAPRSRFVPAAERSRRPRISQDGSPSSKK VRPKLYRQTTSEHEQAAPLPPAPDWVRDNVIYACLRSAPLHPPNEEFINQLLKVKRIR ELTLDDIGVRAYSTSIAAIAAYPYPLQTPEEVLTIPGCETRIANWFAEWKQSPDGSLE AANQLDTDPVYSTLNLFYNIWGIGAKSARDFYYHRQWRDLDDVVEQGWDSLSRVQQIG VKYYDEFMEGIPRTEVEYIAKVVLEHARRVRPGSDYDGKGIECIIVGGYRRGKERSGD VDMILSHRDEAVTHNLVYDVVASLEQEGWITHTLALHLTNSLRDQQPLPYRGETARGK HHFDTLDKALVVWQDPHFEGSCPSTESVLEEVEGETGESGTSAQPTPSNLENDTNTPT GQDTQASGNTPNLPVSTKRNPNLHRRVDIIVSPWRSIGCAVLGWSGETTFERDLRRYA KKMHNWKFDSSGIRAREGSGGRVIDLESKGETWQERERLVMEGLGVGWRPPEERCTR UREG_00779 MNAYILYKLIQQMKKVLRTKDGEENIWKIEGGGILFKILKTMFK LINRPWKMYPLGVLFGLGFDTSSEIALLGISSIQAARGTSIWVILIFPILFTAGMCLI DTIDGALMLSLYIQPAAHFLPSSSSSSTVSAPVSDTTAEPQAISRNPRDPVAFLYYSI VLTCLTVIVAIVIGVLQLLTLILNAAEPKGKFWDGVETAGEYYDVIGGAICGCFILFG IVSVLLYPRWRRWASSKYTTHEGEGEAISDMERGDTSPIQSGQPSDIIKTGTLINTKN QEPGAS UREG_00780 MHLLPVITAVALIYTPLASAVPSSSNPQFPAALLTESNSAALPT QEWHSPRLWTRLRNSIIETIWRVPSQQRHPSRIKSPASSRKAPASIRARYGDDVVLRF TIQSQSDIQALVEASNILFLDIWASTDEWVDIRLAKDVVPSLLGLLPSSLKAASVPVI HDLAQAVYESYPQPSSSTPNPHRAFSPSIRLSSEAQNIFFQDYQPLSVMTPWMRLLAS MFSTHVSLISLGTSYEGRDITAFRIGTHPMNPDNPFGQRKTIIITGGSHAREWISVST VNYVAYSLITGYGKSKAITKLIEEFDWVLVPTMNPDGYVYTWETDRLWRKNRQENNLQ FCPGVDLDRTWGFEWDGTDSRSNPCSEDFAGDGPFGGTEAQRISQWARNQTMNNNVTF IGFLDLHSYSQQILYPYSYSCNNIPPTLENLEELAIGISRAIRRTDNEHYDVSSACQG SVNSGKKKQGPALKRMESAGGSALDWFYHDLHVRYAYQLKLRDKGSYGFLLPRSNIIP TGKEVYNAVLEFGKFLLGKEAPSVDWDAEFQVSDPSRPISPDNEYHDRDVEHEALQQL DDEDGEADSHWVLRTQRS UREG_00781 MPWKGLKIGGTGQKGGSDKTQRTDSNIPARFSDHAPRAVHSSEH IVPQAPELLSAPPQKIPSSSFDHGERKATQLESRALRAQNTKRNRFSLLRFRHASDPQ LSASYHDGELPPVPAIPKSAQTPKIITTSPTTDNLDQVAKRKSIFKLSHPKAPIEKPK SAGTSPTPLTSNSLPPFPVSATAPSSVRASHISFEEPQGRLSTASLRSGPVRFQDGTH HGLLPAARVSESSRSDGSSAEHGVYNSASRTDASSSTSSFFRLPRLKKNRHSLLPFPA KIPLSGQFPKPDLHTRAMPTSSIDQDRELLSPLPSPSRSSLGRAAQTPSSPEPNLLRN NSIASAHSVRSSLSLRLSNRPTRRGRSSTIGSLADIQDDARQSSAEIVPSSRTSTFTA PRKSFSDMFSPSQRSKHQIERFPPESPSDRVPGTPQSAISKPNSFSIAREVASCPPRE PDDTPGTYLSRLENTVHRAAIATILSQGADDFYKTALRKYMRSFSFFGDSMDMAIRKL LMEVELPKETQQIDRVLQGFADRYHECNPGIFASTDQAYFIAFSLLILHTDVFNKNNK RKMQKQDYVRNTRGEGLAEEILECFYDNICYTPFIHVEDEVNLGVRRLGPKSRSPLFK VAGSDHISRASRDPVDPYTLILEGKLGALRPNFKDVMDLEDVYSTSGLDHSRDMNELH QLFYKPCVLQIVSERSRPDAFVTQTSIANPAESQPGLVDIRVAKVGLLWRKDPKKKKT RSPWQEWGAVLTGSQLYFFRDVQWVKSLISQYESRQNRGRRHAVVFKPPVSDFKPDAI VSTADAVALLDSSYKKHKHAFLFVRHGGFEEVFLANSDTEMDDWISTINYAAAFRTTG VRMRGMIGANYEGYLPQKSARANSITSDTSETPTVSELALANTRRMDPRLAEEVFAAR RELVSTRITEANEKLSSTQKQLEDLLQNARHLQILTPIHPRARDQVLLAAGRMAAKIK WVRLDIWRSKCHRDVLALDLEEEEKHLSVKRVPPSSTPSGNELCSVSSQERPLASESA PSLAPTSPRSNRSSKRPRSRSPTPVRAASPPDGSIERVSVNIHRPGPPKSLTASDIAA QTRKPSISGSKDDASIDLLKKPGETGLPREASVTSISGHRTPTPSVFDNEEERLLREA GILSNELLTQMSATGEAVDGSSNATLDGPIEPPSSEGRSKVRRSLHRTLREGHLIPHH QRSKKGKDSASMISTASDGNVPAETEVLPRGTGSFTLHGKKASVVTFGSEWENMSPEQ RLKQRKPIDPEDSHPSGIPGSDGQVDLPTSESISGKKTYSLRSVSTTTAKSVRQRDST QEKIGDLTSPGGSLAEISSPHSNEHSGTIDADSVIIEEEEDSHEEPHDPPVQPATAKE QFKNSTDEPEESVLTSAEMGPAIHDTPLQANRIVSEQAIEA UREG_00782 MGMSEASPASRQSNIAPPDDFPGIRERIIKIERGSPFKGGLDTT ASRLLMSEGMGWIPASCDRRGMGLVAMTEMTRGHLIAHETVMVVDETLESMGGSIKDY NEMLAQRLKAVKNNQKFAHYFFGLGKGSEEKFGKLGAYFERNCIPCPLPSGRKVRVLG PWISIINHSCAPNAEQTLLETTIGGTKFSFVDIRACRKIMPGEEITVSYQDIYLTAAE RKKFMDKKFGFECACKCCLHPNPHLEADFRFVKRKLPIVLSPAVGRTQPAKALKNAYH VLSRLMRNGIQDRRYPDILAYCARICAFHSDVGRVLAFLNTAQAAFYRTQGIDGPDLA KLLEIEANVELLTRNRDSLRGRSAIQEAQVVGVLDHEGCRIGFMLQVNDCDYLRLCDA KRSDRKSGAPGLLDDRASGNELPDLPALLQDLALEKKEHDEMRLRPRKEKSAQKKRRA QNKK UREG_00783 MSKATFAIIAAAGAATGAGVTALVYSAKASRQQSPIQASLPAPT KPAVPAASPAIVPHPPTPQIPTRFPSPVDPAGLFQYGFPGPIADNVNSLPLASAYDRR TRNPSWVAEHITPESLKLNNADRKNSTFYEDQSIPSAFRAKLSDYFRSGYDRGHQVPA ADAKWSQDAMDATFALSNMCPQVGEGFNRDYWAHFEDFCRRLTTKYPSVRIVTGPLYL PKKDPADGKWRVSYEVIGNPPNVAVPTHFYKVIFAEDGKAGGKVSLGAFVLPNARIPN EKSLSDFEVPLEAVERASGLEFTSKLAPDRKKRLCQEIKCEITVREFAKASNRKALPS UREG_00784 MGLDNDTRGWIMSCVSGVACALGATIICVDLVVRYCFRKSNFQI ADSDVFLSSSMSLSAGVLLFTSLYSMLPTSKMYLVRAGYSPGMAAFMLIGLFLLGVVI IKIVSGFIHRHMPSHVVACAHTHEENGKDVEDSAGLLSSDALTLGGVTNEQTPLLAAD SSVSQHQPDRGAQHVHSTRPRASMVKSFLSGPIGGLVGVRKSVCDENGPCYGVSQACG QECMKFALQKDSETVDGPSRPIAPQRHSFSGPLHVADSELAGTDLEGQGSDIYSTTIV KVTSDTDETGDVMEAARGHPPSPSTITYSHPKEHHHHVPQNAFLSIGLQTSLAIALHK LPEGFITYATNHTNPTLGWSVFIALFVHNITEGFAMALPLYLALKSRLKAVIWSSLLG GVSQPAGAGLAALWIWGARKAYPHAAGGMEDEDGVSWAVYGGMFAATAGVMTNVSLQL FSEGLVLSHNQNLCIGFAIAGMGILGLSFALTA UREG_00785 MAPPTLSGSSKPVDRKGEDYANLSALHSSTKHAREAASLDPSIY DYDAVYDSIHAKPTKSSTSAAAASEPKYITSLLRSAEVRKRDQLRARDKLLAREREAE GDEYADKEKFVTAAYKAQQEEVKQIEAEEAAKEKEEEERRKKGMGMMGFYKDMLARDG KKHEEAVKAAEEAVRNRAEKADDEDKKEPEDKSAAQIAAELNARGASIIVNDEGEVVD KRQLLSAGLNVASKPKQKATRARSTTESRVRDVRPDRFGAGSARSQQRARQTEMLAAQ LEERMRIEKQEEEARVKELAEKNKSKKSESDVMSAKERYLARKREREKEKAAGT UREG_00786 MSYYFIILSPTDSPLFSQAFGTSKGGGDGVPRFRFPDGSRFMNQ FIVHASLDIVEEVQWTNGAMYLKHIDTYPPASAYVSAFLTGSGVRFLLLHQPLQPSPS TALAGTSRASSSSIANNPTSPQTEEAIRQFMSEVYENWVKTVMSPFYQRGKDITSPVF RSRVLAAGKKWL UREG_00787 MAGTGAMADTTDLSLDAPSDLQDIPDISMDLLPPPEGTYPDRAT LLAAVQAHGKAHGYNVVVKSSSTPTEKKPGRTAKVWLRCDRGGQYRPRNGLTEETRKR KRTSRLMDCPFMMVAAGNPGIWTLTVLNPTHNHGPVTEKPRPAPQSRVKKGQVNAIPY DWPHDATFTPFMTALVVIDMQRDFCSHGGYMEYQGYDVATAQILVPRLQRLLSAFRSS GFPVYHTREGHRRDLSTLSTRENFRSRNNPSGAGIGADGPLGKFLIRGEPGHDTIAEL YPIPGEPVIDKPGRGAFTHTDFELLLRNKGVKNLVLAGLMTDVAVSTTMREATDRGFD CLLLEDGTIAHDPNSHISVCESIKKEGGVLGAVGKLDDIVRAVENFRSTTVKRLAPQM AT UREG_00788 MPDEPPSSSLKNSTSSDEAIAYYKAQYEQLEVELAEFQASSREL ETELEKDIEASEKRERKLKEKVESLGYEVEEWKTKYKQAKAEANSAQNLLQKEITTLR ETNRSLQLKLRDIEVANDDFERQARHTTSSLEDLESKYNVSIERGVLLEGEIKNGEQE RESLRIENQRLRDELSDLKVETHIVQEKLRKAESLKRRGRDFSISSTISNLHPLEDLE RSPTTTTTSSPSIVTPPAKSVSSVNSDAVTPPSPPCSERSAEVDKAPATPTLSRPRTS ISDLKSFQKPSSQSRPPRHSRGAPPPASNGRGAPTLYYRRSTSNSQSPHTTIPKSGSL YQIRGLIGKMQKLEERVHSARSRLPAPNGTPPKMSPRASTMLAQAYIPSTVTMRNARK RVSGGISSTREGDITPSRIGPSPFGIPQSTPSRSRTVDSRPSSRTSASSRYSNSQISG PIPFRRPESRQSLTKTPVATHSTTSESEGRRPRSSLSNYNPSTTMSYIDEDGAEVSTP TSRPATINTRQFPPSSIPAPPSHRKRQSAGSTASSISHGRHISVNLDRREGEMISPER KNDINAFGETY UREG_00789 MPETTNGYGSSYAAKHNLPSHFIGGNRLDLAPPGAVKDFVAQHD GHSVITSVLIANNGIAAVKEIRSVRKWAYDTFGDERVIQFTVMATPEDLEANADYIRM ADRYVEVPGGTNNNNFANVELIVDIAERMGVHAVWAGWGHASENPKLPESLAASPKKI IFIGPPGSAMRSLGDKISSTIVAQHAGVPCIPWSGTGVDEVRVDDNGIVTVEDSIYDL GCTHSPQEGLEKARAIGFPVMVKASEGGGGKGIRKVEREEDFVNLYNAAASEIPGSPI FIMKLAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIAKPATFQAM ERAAVRLGKLVGYVSTGTVEYLYSHADDKFYFLELNPRLQVEHPTTEMVTGVNLPAAQ LQIAMGIPLHRIRDIRLLYGVDVNTSSEIDFDFANEDSLKAQRRPQPKGHTTACRITS EDPGEGFKPSSGTMHELNFRSSSNVWGYFSVGTSGGIHNFSDSQFGHIFAYGENRSAS RKHMVMALKELSIRGDFRTTVEYLIKLLETPAFEDNTITTGWLDELITKKLTAERPDP VVAVICGAVAQAHVASEACIAEYKKGLEKGQVPAKDVLKTVFTIDFIYEDTRYKFTAT RASSDSYHLFINGSKCLVGVRALADGGLLVSLNGRSHNVYWKDEPAAIRLSVDGKTCL LEEENDPTQLRTPSPGKLVHYTVENGAHVNAGEVFAGIEVMKLYMPLIAQEDGVVQFI KQPGATLQAGDILGILTLDDPSRVKHAQPFLGQMPDMGLPQVVGNKPPQRFKLLHNIL QDILLGFDNQVIMSPTLAELVDVLREPDLPYGEWNAQVSALHSRMPQKLDAQLTQVIE KSKIRKSDFPAALLMKTFTRFLDDNVSPADAESLKVTLDPLIQVIQDYSEGLRVHEYN VIAALLEQYWNVEHLFAQRNIRDEDVILKLRDEHRDDIGNVIQTVLSHSKVGGKNNLV LAILETYRPNKPTTPNVGKYLKPILKKLADLESRACAKVALKARELLIQCALPSLEER MAQMEHILRSSVVQSKYGETGWDHREPDIDVLKEVVDSKYTVFDVLPLFFGHQDQWVS LAALEVYVRRAYRAYDLQGVQYHNDQDSPFFISWDFVLRKVPQAEFGMHSASTNPSVP STPTTENNPFKKISSISDMSYLVNKAGNEPIRKGVLIPVQYFDEIEEVLSRALEVFPL SDRVHKSGSNNALDLAAKRKPLPRPERDELIGVCNIAIRDMEGLDDKEVASRLSKIMS DYKDELQARRVRRITFVCGHGDGTYPGYFTFRGPSYDEDESIRHSEPALAFQLELGRL SKFNISPVFTENRNIHVYEAIGKGPAKEAASDKRYFTRAVVRPGRLRDDIPTAEYLIS EADNLMNDILDALEIIGNNNSDLNHIFINFTPVFQLQPSDVEQALAGFLERFGRRLWR LRVTGAEIRILCTDNTTGMPYPLRVVITNTSGYIIQVEMYVERKSEKGDWVFHSIGGT TKIGSMHLRPVTTPYPTKEWLQPKRYKAHLMGTQYVYDFPELFRQAFQNAWADAVASQ PSLADKRPPQGGCMDYSELVLDDSDHLVEVSREPGTNTHGMVGWIITARTPEYPRGRR FVVIANDITYQIGSFGPQEDKFFHKCTELARKLGIPRVYLSANSGARIGMAEELMPHF SVAWNDPEKPEAGFKYLYLTPEVKKKLDERKTKDVITELVHDDGEDRYKITTIVGAKD GLGVECLRGSGLIAGATAKAYEDIFTITLVTCRSVGIGAYLVRLGHRAIQVEGQPIIL TGAPAINKLLGREVYTSNLQLGGTQIMYKNGVSHMTANDDFEGVQKIVQWMSFIPDRK GSSIPIRPSLDPWDRDIAYYPPPKQTYDVRWLIGGKEDEEGFLPGLFDKDSFQETLGG WARTVVVGRARLGGIPMGVIAVETRSVDNVTPADPANPDSMEMATTEAGGVWYPNSAF KTAQALKDFNYGEQLPVMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKYEQPVFVY IPPFGELRGGSWVVIDPTINPDQMEMYADEDSRGGILEPEGIVGIKYRREKQLDTMAR LDQTYGDLRRSLQDTSLSAEKLSQIKNKMTERESQLLPVYLQIALQFADLHDRAGRME AKGTIRKPLQWKNARRFFYWRLRRRLSEELILKRMASVTTEPTLDSPEPSRSPSPTTS PGAAEPTVRETNLLTLKAWTGLLDRDFEDDDRQVSLWYEENKKTLYDRVEAMKTNKVA MQVAQLLMGDKEGGLKGVQQVLSMLPLEEKEAVLKYLGSS UREG_00790 MSVLRGGSFFQRRLTKLYTDTKSSCESVSTASRPNDDPELISLN QSLRTQKDRLLAWGLDWSDANAAQPNDIDEALAEAGFSDVVASTMSSIQGLLNEAERM QQPDIPKSSSDGLTTGVVKTTWTDSEISRSKVLLDELTANIDTLYLLSRSRRNMTMNI SNNQSQPQSPHSPYLRRSEKVSKMSMYPMSSDSKAGSGKNSHSRKDNYKGDIRLAGGS EQFSMSSLGLHVNTSTPSHSVVPDEKLLPALSFTKEFYIAREALYLSNGGITHASNPP PYEPVAASSNSRITGRLHSSAIPALLAEGVHGTSVPVLVEFSPILMEMQHSLVLPKKQ RLQHLSQTLQRLVDNARVSHLGLLKFLGYFIDMTHSRYAFIYQMPTSILSLPRQPSDP TQPKPLVSLLLSGTDHQEAPVPNLEIRFQLAYSLVLAVLHMRSQNLVHGNISSHNVLI FPGIEVSNHGTVDNFPADFRHPYLTSMVQLESENKNAPPEPLSSSMYRHPDDRRIIAD QSSWAYDLYSLGLVLLEIGLWTPISRLWKMKYTNSMFKSRIENVYIKKLGAKCGGAYL QAVQLCLDAPNFHLSTSPMSDLSLRIPHTYHYPWNEPAKANEWDTFSKNFAYTIGKVI FRCCSLDILAPPPDTDLEESLPPPLSLENESHSFQEIPPQDLGLPTVEPVVPIDLENE AVPSMDHAGSNLKSEPNERKVRKRTLKKWSNIEIPDYHLQAWNKTLMPKLSKLLQKIL KDSTESCSATLMVAGETAEAAKTTICVTCANVRKVKAALKKHFEYDRENWDLIVIRGD ITRSKVPRRRRKKAKNNKATDTSYMDLNPHYCQRPICGASIGAFRSDEHLPPVSYGGA ILVDGMPYGMTVHHMLDNPCDEDEEDDCPPRSAGNYTQNLEMQDQDFAYSWCDDVPPE ALYPFEITDSEGADDQSIAQSIDESFDDNWLSDGYSSDETEDVGDFDIDDDAASIGDT VGVDPFDEPRIIVTQPALDDVEDGFFPDPEDRDDEHLACHSLGYVHASSGLRRWTRDG IKHEVDWALIKIDPERIDATNVVPIATTSSAPSQQQRNYHPSSSFQQNPQGQFQNLTK VAKVDELGGLHVQCCGRTSGLQSGRISKAMTLVKMPGRHSFSVSFCVDGNFGGIPYPL LQASSVSLLMSNCSTVPGDSGAWVFDKTGQVCGHVLAWSEKNRTAYIAPMEILLDDIA RTLGARSVALPGSEEELAWQSAMTAAASSRANFTAAPTKHNLNVVTDQLPLELGRLAL DRLDEPPRALQGSGPPTTTGVRNPGTTTSTTAAPTSLISDDNANNDHNDAIHDDDND UREG_00791 MESGASSSVNRLDRASKPKIMGDPAFASGFGKKGRGPDEPDTCR ICRGEGSEEEELFYPCKCSGSIKFVHQSCLMEWLSHSQKKYCELCKTPFRFTKLYDPN MPESLPAPVFLKELMVHAGRSLLTWLRFLLVAFVWLGWLPWSMRAVWRGLFWLADGRW PASEEIQVTAAVAASESLSSLAAQGTSPVSHVFAPMSPTTAAIPPSLESAIPQFLFPV SSILNFTAGEPLIYSIAKRLLVNAVVLTTSTSSPMDAGNATALTTRRLRQPSWLSDVD FLNKLTPYPTINNILIDTLEGQLITLLVVILFIHVFLIREWVVQQQPAMNLAEGEREA VAQLLQENRINPIVEPEPAHGDAGDPPPAEEVPPIVAPVQSGNLDHGVHDRYPAADPP FEIREAPLAVENGVHDSLTSSADISPHVAVEDSPSQGTSPGSFAPSPPLNFNGHSQYP WGFGAEGSNDTPLSRRRSSSFPRYPDVKLNLDESSRRDQVENLYHFPDFEIMLPQADT TAKGLGDDSIPINQPTEPATDLGPNDDSDLQNRSPFSRHAPTYDSFEEPARLPSPIFG NNDNNLPVNGFDFRSNIELPELQTGVNENSRLFRARETNGAENEQPSAAHLIPPQVTG VRPARLAESLRREAPIPHVHPPKSLKDRAIEWFWGNIPQRDPEDERNDDERRVENPAQ ERPFVPFRHGQRIPDANEVGNNDHANRAAQPANEGGNDADAVDDADDLEGVLELIGMH GAIFGLLQNGVFSALLISFTVTVGIWLPYLWGKIALVLLTNPIRLFIGIPLALLSIIA DVLVDTVIGSFAYLVYIGNVLIRVALAQLGKRIPALAKFSASNAITNASLSLIDGSGQ RLKRIMTTFFTFRDSDVPMFSVLAHQALRLHQARITAIYQFLFKSIKMAVYDIPVHIL AQDPNKPLLERLSIVDSTKLLPAIAAYWDTKDRVIAILVGYCFASLVGLTYLRLSTYF SGEPRGPRVNGAVAELLHQAGGVMKVILIIGIEMIVFPLYCGILLDIALMPLFENATF GTRFAFATGSPLTSLFVHWFIGTCYMFHFALFVSMCRKIMRNGVLCKYNPLSHASPSL LANRFLDFIRDPDDPTFHPVRDVLERNVSTQLRKIAFSALVYGALVVVCMGGVVWTLS LTLRGVLPLHWSSNEPVLEFPVDLLFYNIVMPLTIRSINPPDVLHNMYDWWFHKCARF LRLTDFLFGERKQDEEGYDANRTWWQPLSASSSKPTMEPQDSNKFVRDGRFVRTPASD QVRIPKGTNVFLEVNEENERLDGNPDSDEGLHGKANEMFTKVYVPPKFRTRIAAFLFL IWVFAATTGVGATILPLVIGRRIVSFLIPTDHRINDIYAFSAGIYSLGGIVYAIYHCH QGSSYLRHQMQLVSSPKRLFHRALKILTYVFNLAYTFGAFAIVIPSLFAIITELYFLV PIHTYLNSEDTHIIHFVQDWTLGVLYTRMMARLMLRNENSQPAAALKAIVRKGWFRPD VKFATRAFIIPAILATLVAVLTPLPIGLLVNATVFKGESAALHTQVYRYSYPGFLFLV LLGWGLHLLRRQITVWRMSIRDDVYLIGERLHNFGEKRARDVGAARRMINPA UREG_00792 MTKLENGIALKEEQFKTPFRSIPSNNRSKARAGLAIWYSLWTAF FRCPTDLTGLNEQSPLVCKPYLIARSRLEPHVTPYYRIYAEPYAMAVRPYALALQDRV YIPVANISSKTYRTYGAPRVQRVLLYSKCKWDALVSPQLQSFKLAMTSFYDSTIGPYV EYVKATVIPQYVSASGQLVRFRDGYVVPAYSRLKPVTDAVYVSTHRFLARTAIPYSRR GWSGVVAFFNNTLLPRLAGLYFENVEPQLLKIGAKLASYREGGKFKRLQEDAERFGHS PPFTIHGQCTHPESFCSLTESFASATSFLKPSMSVTPMPSEQMSPSTETAASASSTLS PTRERAQEQIGSDLKTWQEKFALAADKGCDELEKEVVAVVENLRNSGTIDEGEKLVSE LGNVTQIELKELKARINDIVRGLSEDADSNEEKAAQQALTEAVRASGFTIRERAHSLR TWFDEYDGGLMQHISAVVGSTLDILDNIRDLGLQEIGMRWAWMDGVTYKDWAKFHALK KQFSEWHDEVRNVGMENEALLEARATGDDILSRGMAIAEKAAKELLMIKKVGIWKTQT GDTSDNFDISVIDPAKVRSKTKSNTGLGFTPVMESRSSSPQASAEELPADSIDERRSS SENIPRETRDTSETTVPTQNAGSPVLQEASAIILDAVDRAETASSIAEAEALHHYSTI PEAAQKSIHERVNDVYSESLQEAAVSLESRAQETSMVSDAQPTSNIIGDRPQELVADG SRPENTATIDEVDADTPAEIYMDDDSVVFGDDQATPSIIKSSEMSQRTWTPEQHSTKA WEVDTESHPASTDVNDSLDDTVLDEQQNPISPTSPDHSQSTG UREG_00793 MAQVREPPWHLPPKAAKTLAARNSALLRQTHLTTLTIHAIFLLL HFLFRRPRSLTPYAIFTIPTLIIEFYFERLGRPRYNPGDNSLRSPGEDLDAPGLTEYF WDVLYWTWGCMGAVCVFGDKAWWLWVVVPLYSAWSAYTTFMGVRKGFAGMGGDAGDAA PAADSKRQKKLEKRGQRVKYR UREG_00794 MPPSASHISSQLRQLVYYHLDNNLIRNALFVAGRLHAFEPRSSE SAYLLALCHLLAGQHVWTLGSTWKESPLWSGVGHIGYPETIGVRDTSLVLNIYEEVIT VFGVLIDSGTDKHSESRRQHLPDAAAVLCLQGRLWKAHKDIHKAVDCYVEALKLNPFL WDAFLGLCEAGANVRVPNIYKMTPEMIAVLTASPSAESLSSFVQGTAQVGPLQTQPNS NNVDPFVSLNPRAEGVSGSGCSALWEKLNGNSLNVNSGGSLATEGMETPIAQSDSDDF RVNGSGGIGSGSFGEPPLAPARKQRSMQVLGHEYNLDPPPKMKTTITRSKTRSRTEAE EGVSTREAPVPLVADRKRTVSGHIAPSSSSQQTEPGAPQRRSVRLFNQIRPSSAKVSA LAGSKESREIKKVKSTGVKSRNVSGSSMTRAVSGSRKAVTEPMDIDKKETAGSQHKSA QPDRSKEIEALGWTLELFSKFASGFNALSNYRCQEAIQIFNSLPQSQRETPWVLSHLG RAYYEQAQYSEAEKFFVRVRTIAPYNLKDMEVYSTVLWHLKNAVELAYLAHELMEIDR LSPQAWCAIGNSFSLEGDHDQALKCFQRATQVEPRFAYAFTLQGHEYLSNEEHDKAMD AYRHAIGADSRHYNAWYGLGKVYEKMGKFKFAEQHYRTASSINPTNVVLICCIGLVLE RMGNHRGALVQYARGCSLSPQAVVPRLRKARTLLKLQELKQAHSELKILKDIAPDEPN VHYLLGKFYKMMHDKGNAIRHFTTALNLDPKAAQFIKDAMESLENPDDFDDDIA UREG_00795 MSVLTYVVSGLTSYVALTVGLFGLSIKVPRAGFFARCLAAYASL LLCAAYGVLASVVLRISGHGGVSQWAVARSFKWVMWLTTGTYFDIIEGKSHLSTRPAV FIGNHQTELDVLMLGAVFPPYCSVTAKKSLRNVPVLGWFMALSGTVFIDRANRQTALK AFDGAAEQMRKQRQSVFIFPEGTRSYSSEPCLLPFKKGAFHLAVQAGVDIVPIVVENY SHVLNIKKLRFNAGGIRIKVLPPISTKGLTPADVDGLTLRTRDSMMEALTELHKFRES HSNGTPARCIPVNAAKSSAIEI UREG_00796 MAPSADGVQQPCVLHGLSRWYMDTRWLTATSPSLPLLSTLRPQD QETVKAYYHLADRHMSLASYLLKYLFIHRACRVPWDNIVISRTPAPHKRPCYIPLVSE DRDESTAPVPNIEFNVSHQASLIALAGCVIPGDFIIEAPPATASTPAKPSPTTAPATP QVGIDITCTDERSRRGQNSIPATEAEMHSFIDIYDQVFSSRELDTMKSLPLCPPRTVP LSLGESIQYRLRRFYAYWALKEAYIKMTGEALLAPWLQELEFLNVNPPEPAMDGERPV WGPPETGVQVWLYGRQVEDVRIEMVAFGREYLVATATRGGSGFGASSVELAPWGDFRL VDIERDVTPCATGQCQCLR UREG_00797 MTITVGVLALQGAFAEHLKLLQAASRSLVAKQPQTWSFLEVRTQ AQLESCDALIIPGGESTAIALVAARSNLLEPLRDFVKVHRKPTWGTCAGLILLAESAN RTKQGGQELIGGLDVRVNRNHFGRQTESFQAGLELPFLSSVDNIEPPQPFQGVFIRAP VVEKVLPHSDSIQSGEQKKEDTVIAPSRQPENIVAQKAMSDHVDVLAKLPGRAARLAN RGLDVAADPDAGDIVAVRQGNVFGTSFHPELTEDPRIHMWWLQQVQEAVEKAQSETTL AVDKMVN UREG_00798 MPRVVDYAPAWLSRPSPGATFFSSSSSKKPSENDAESYFGPTNI LARRGTEVFAVVDNQIRWANLALLKDQWQEGVRQKRRETGYGSDETDTVSSGSVRISK NGAGNKTNGGSSEVESRYRVLTAPIYGQIRQLIPSPNGAFLAILTTHTIHIAILPDSS HLSNPDYSPLRLRTYQLGPATHVIPESPVVKALWHPLGVYDNFGGCIVTVTADSAVRV WEIDRRDNWSFDRPTLAIDLKKLVDGTSSDEDFAPSGFGQNKGFSADVFDMEAASATF GGHGYDDEDAWASMTLWVGMRQGDVYALCPLLPSKWRAPALAISCLTTSIIHKLASVQ EDTAEENDDRKAVEQRYTWLREIDNQEPIQVEDDFGLAEIRVRPAQPSPIPRLQGPFQ FDIEEEPDDLDITDIYVIAAKPETDDLLAGEDDRDIIDESKQDGISGTIICLATEKGM VHIALEMDGVEGQWLPGSSQGTFTTPMSDASELLLLESLETVREKHLQPNSWPIFSED SGSRYNFFLTTANNVTFISLSSWVQRLEPELQSADTAGSAFRISVICDGSIAEREQII QISASRSLGGPEHLTNSLVMYDFDLGYMLLTYVPSQVYALVLESAASGELESTQELVP FEPDAANYQTHMPIPQRAPYQVPSIFYATNPLTTFIDDHVSHGRKHTLKEPIRLSPAT LDIIATAHRILSAYTHALEKAASVLFRRCERLQGEMRDQLNQLVDLAERINDVSQGTV RRGPTTCKLLRAGGRPISDKENSWVREVNTLADSMGGVESDKQSKLIERLNTVKSLAE ELVSEAKRSPESSKESRTFSPDARSSPLRVPPLLQKAKVAEAMDMVERE UREG_00799 MDIGIAPMESNFIPITSGASLPHHLSSVIPLDIRCALGQAYYAA EQARSHYKTLQKNISNHLYRLCRVDDTSDFHEHCSAIDRLLGWGLVGARSNNTSKLGV TLTATAKIPSFVLRFHIDEAAYRDYAKRHQAMRESFFKGSLSYWRQTRRNCEVILHKA KISPMDKSMCWDWWNKFLAEVAEWESKLDDLVVPEWDAVLTKLSALVEERVDLGDHWA GAARRRAIMPEALLPYGG UREG_00800 MATYHSGPPDRYPSASQKEGQIRASHLLIKHRDSRRPTSWREAN ITRTKEEAIEILNGHLKRIMAGEATLGDIATTESDCSSARKKGDLGFFTHGVMQKEFE DASFALKPGQISGIVETQSGVHLIERYFALTLSSEVSITDTAQSGLNESVNQSFVYLA CYSQDLLSRHKTEGYHQISLDSQMQPDDSLPDLGTEALTNMSDQDFLSMFEEHHMTSS DSNLNTNFNNLVAHQSDSPQSNVGFTPQASTGPGNTSAVLSQVPFLPNNPHGSVTTFS GHTSQSPAESGIKPFTGVDEIDKQAKAMVATMGHIRVQSLVGWDLLRQQHNNSLVFYM SCVQRPLEGGADWSQYLEEMRLSYNMLRDYQMQAYKSICGCLKVWLKYYQHILKSDTL RDCHYEFRQYAELQHHVVAYRFPEWHQHPALELAQQNASQQQQQHPPA UREG_00801 MSASPSSTTGGDQSQSVDQIHFRFCRECSNLLYPKEDRTTNTLM FSCRTCHVGEPATSHCVFQNKLNSQVGDTAGVTQDVASDPTVGLPFCTLCGEQITFEQ SDQSGDDECQMDLEQALQLL UREG_00802 MSVQIKSLLTCIDNSGAAIVECANVLKKKGAATIGDRIVVVVQK QRNFGPESSSANTAIANKVRRGDVRHAVVVRAKQNIQRPDGSVVKFGDNACVLINKSG DPIGTRLNGESLSQGTPMELS UREG_00803 MAPTPPSKQKERLTLAQLAAYDDILTDTLVDRAFFWTKIRKNRP KYFPVRGIVEEDVTSILLHDVIVAKDLAKAEKELLSLSGLKKYVEKLRSEKEREWFRR HLRKYIAIYLPDCPFEVTTTNRYTITTHEAALSARKYIRTGDTIRHLSGTLVAITAEE EMNLDLTRRDFSIVMSSRRKTPSLFLGPARFSNHDCNPNARLVTKGSEGMEIVAIRDI AINEEITVSYGDNYFGIDNCECLCHTCELDLRNGWAPDGPAEHDSRASTPEPSTEEEQ TKAPQSTAKRECPSEPAAQPFPDTPAKKPRLEMRPSNLRLELSPPSSPDPIAEPLITI SGGLRGLRSHFAAVISKPELPHYPSSNSNVTSVSSCSNDTPNSTRSTSATSLSDSTVQ VNADPTVASKCEAPAANIRPNGLPDQRLRIDTIIRDNDESDLSDLSASWEINDNEMTV VKRGSIKKTRKRKSHIIPTIEHEPPKVRTAGDYTKTPKLLAQRYDRWVDCQTCNAWFV QGDAYLTRKECPRCERHSKLYGYRWPKTDKEGRNDTEERVMDHRTIHRFLTTEDESRV LRRGRGVSNATSPTRDVSENRTETDAISSPDKHMVNRVIIDNLAKLIHIHNLIPQRLH LRHHLPPPSLLAHLVQKVPVVPGRVRPKGALGIVHAHPGL UREG_00804 MGNILSTLADLAQDDRGRKSTRKHCSCDKCRPRSRSRRRQKSRE KKKKQAPDSLLNGLLVSAADFLKAETEHVKVRTEQRKKNRREDRQPEPVVAAPPPAPG LSPVSQGVYVPPLATGALRDVHAMPRNDFAGGAGNGSRVMDGHGTQQTFYTARETQQQ QQQQQPQQQHSMRRRRGGRRHEVPPDTDEDSSMFEGGWQANPRPPPNRVHQTQRPMPP REEGTARFFGPDQESARRDSV UREG_00805 MAVLAPGLLRAPPQALADDALARCRPADAQNSPFGAMNQTRANL RDRQRPRSRAELNRAAYGPDKQHKEEKPLFKALKMQTALAPVSYGRRTAIKAKIADIS SFDHFALLPAVRDAICSTVFADLDYVRPTPIQRLAIPAILRTGSATPAPEEGDEGMPQ FDQFLLAAETGSGKTIAYLAPVVDAIKRGEEKEKAAKQEEEQEQEEATEDVFELVPPA VGEDPDGPKNVVRPRALILVPTSELVEQIGRIVKQLAHTVKYRAALLASSYTPRKIRN TLFNPAGLDILVTTPHLVASIADANPYILSRVSHVVVDEADSLFDKSFSPVTNAIIDR TAPTLQQLILCSATIPRSLDTRLRERFPQIRRLVTPNLHAIPRRVQLGVVDIDKEPYR GRRALACADAIWSLGKSGNPYDSDVGYQVTGLREPKAIIVFVNERDTADELAQFLVSR GIDALSLTRDTPDKRQAHILEDFTVGKPPPVPEDYKLLKRSKFDGDSVPFVNVQPGAA SNNSNSKRLADTRVLVVTDLASRGIDTVAVKTVILYDVPHSTIDFIHRLGRLGRMGRR GRGIVLVGKKDRKDVVREVRDAMFRGQALI UREG_00806 MASGQRPLSEISPVAPQRNSPGTKVCRPSIPLPLSHTHAPRKGS SPFDSSPLNASASPRLFWQGRDPASPYRINFENRHPYEGSSPAPSAKRSSIENLKKAS RVKNSTIFAREQKSEYDPAQVQVLERPLAGGRPLSQRSSNTSTNASPDARRQLPSQAA AARPSTQETPSAQEAATPRPAQKSTAGTLQGSPARAQPQSPPKSSLNKNGRYSGRSFD PHSEIWSDDESTAIDKSFGEGRSFHRQTKSVTFDAAPPQINEYEMTTPVPSSVASSSR EGSYESTENEESFEASFSLHDDSFDASLEDTDKTPVMLPDQWGYESHDDTNDDISQNE YDPFSPDYDTPDPEARPPSSNASSSFRSRIESLDSNGECRPLPPLPLANLQRSPDHHR RLTATLERASSVSRPAPLRPASCSKDDLSGLGPGSLSLEDRLRLMMTQDNDHQASEAE TQRERRMRRAGIKDRGLEKNDEKLEDSIVSELSVSPDCSTPRISRDSILRNLKREEPF DEEVSGISSIRSASPHRPLPLDPDTPIPSLESDDVAEDDVPIKEEEDDHDEIDLYTIP EYRDQEGEKDRAEYDDAMHGDYDDESHYSQASRDEDSRIEQFEDAERQSTPVPMHITP EHTADNSEHHLQSRSPSVDDDHQFDLDLLSYVDRSTPPVDGSDDAPPPLDMASIRDSL NRPETPEQNADRAAANDEHQECPDTPESVIRHPVPEEVVEEEPAPIIPDPVATVKAPG AGLKTKPSLTPADAESMAAVRRKSDQPAFNPMSGTEQRQSSLVKLDIPVSASDEGLGF GLDEEFDRVIEAQKVAFELSLSQIPPYMDVQTSGQNKTCDRLVHGAHHDTPCKRIENK ADSRWMRQRGYLMRQNTKVIVANDDANSKSAKGPPQVGSTQRKASQQTWTTEPWNPKA RRQSIKLAGAAKKKMEPVPPLPGQESVVNDLIFSLTLDNGPALCDYILARNWIKPDQL KPKPQVAPPSPPKVPKPQKTSAFSRVFASPKKRREMELRQQMEAQQLKQKTEEKILAG PDPWAKVRNLVAKDGSFARAYVCLGDYEQHAFGRPLTVDVACFNEWAVDEIPASTNNS KNKRNTVALGVQRRPPYRVGNLELQLLYVPKPKGATDDDMPKSMNACVREMREADSSA MRSWEGFLSQQGGDCPYWRRRYFKLQGSKLTAYHETTRQPRATINLAKASKLIDDRSI LTQKETSAKGGGRRKSAFAEEEEGYMFVEEGFRIRFGNGEVIDFYADSRVEKEGWMGV LAETVGRGYAAGNGQVKAWTELVLRRERSTKAKHPPRETPVSERPMSARNPMPPAPPT PAKDTMPMHSSRPPMAPPPRPRHKHHQSQPTVSWDDARRQKSRSLIF UREG_00807 MDAPPSALSLSAPHDESVQDSPSTVPTTPQEPPGSAASDKPKFQ SNSGSKGGDRRNKRKDLGGKAWRQRNETGQAAKRRKTEDGEDIPIYATQFSKEDIEAD QRRPKRKVAVLLGYSGTGYHGMQMSTKERTIEGEIFPALVAAGAISKANAMDPKKSSF VRCARTDKGVHAAGNVISLKLIIEDPDLVKKVNDILSPQIRIWGIEPTNKGFSCYQFC DSRIYEYLIPTHCFIPPHPSTFLAKKLQEAAEKEGDLEAHRKRQEEVLTYWEDIDNEY IKPILSDLPEATRIKVQEALCIKDQKAGNQSETSGIGSAQEDQGGLEHDSDDRAIDAV IKSVRAAYFKAKRAYRIPPARLARVQEVLDLYVGTRNFHNYTIQKTFRDPSAKRVIKS FKISKDPIIIGGTEWLSLKVHGQSFMMHQIRKMVAMAALIVRCGSDPQLIAQSYGPPK IPIPKAPGLGLLLERPIFDTYNKKATKDLGKNPIDFSKYEKEIDEFKNREIYERIFRE EEETNAFGNFFNHIDTFREDAFLYVTSSGVPAAPEGAAPSTAEAGKNKDALAEVESES EDEVVNGGEEGG UREG_00808 MDLARSLIRIVARTFYETRHILVIDALFIHSVLHAEDLALLLGM QQKDLRKLCGRLREDRLLAVHSRPELREGQTRPVNREYYYIPLHPVIDAIKYRVSRLT SAIKTQYTPSAERKEYVCLRCKAEWTQLEVLSHVGPDGFECQRCGNLLSRTDEVEGGS GSDRTGHEKNSKLMAQLDPMLKLLKQIDSVEVPPNDFETAWDHKVDVIRNQYINPSRP GMVVSKQAAVRGVSKTDASTLEVSLSSSAEKSAEEQEREEARKIALEKQNALPIWHTQ STVTTDIRPTQMKTETNGVNIKREVDIKPEIKEEQKPDVESLDDSVAAYYAEMAREKE REAKEDVSEEESDEEEDEFEDVGVTPSVVGTPANLDAVKAPSFNGSLKRELESESGSS APQTNAPTPITPADDGPAAKKVKLEPDVKKEESDEEEDEFEDV UREG_00809 MPKGKKVKFGLMQRPRVRQGCDRISLFEPTGNFLKGFLVVDTLA GFDSTEFTREGNVQRARWKASNQSG UREG_00810 MLSIVGTIILYPIKSMSGIDAFFQAVSGSTVTGLNTVDLKEMSL YQQITVWFLPMLGNMCFVNLVVVYVRLRWFEKKFNDIVQLSRLPSSERSRAHLLSVSS KADRTDGTTNTHNIRVLHPDPADEEANWATLRHRPQASANGESDSSTAKAPSPENVER SAQPGHITFSEDTFDKPRGRALRIPGPREFEKGHGVQEVDDEDDADMTKSINDASGAG PSDATRKLPTMERLLSRAASVEHAASSAFIIGGSSKARSRSRSRALSRTSSRTTIQAL PYLSYNPTVGRNSKFLGLTDEQKEELGGIEYRSLRLLLKIAAGYYFFFHVLGAVCLVA WIWNSERKYRDYVRNDCAINPTWCFRESTFPMLWMTFLIYIGNTAYPCMLRLIIWIAF KLTPEGAAIKEPLNFLLDHPRRCYTVLFPSKVTWVLFGSLVVINGFDVILFLILDLHY PEVTVIKSGWHRFCAALFQTASARTTGTSSFAVAKVHPAAQFSLMVMMYISVFPIALS VRGTNTYEESSLGIFDDSEELVEMKRTSYLGVHIKMQLAFDLCGNVGLSLGHPSINTA LTGKFSTVGKLVICAMMIRGKHRGLPYEVDRAIILPGEKRLAEEDARLEAMSHGLSRR HTN UREG_00811 MRAAPVFISLKRWLDQCVTHLDQITYEMFVEDRDFWDEIPSLVE SLLRRESELLPDEGDGPWQCLQGFCVAYAAIGLHLVRLDTTMLRDYLQNPTRSSELLS KAYWSPLGWIIQIKNIPFYKAMENDYGQEVVNLVAHINNSICQPELNAFQVISDFANL VLEALPQCPALAPHFANSLSLAQNIVECGCESRKYGTDGTLVASLNFNDTISQAYEFF RVIDSTYQALIAKKAAWIAGDVSDMILRSICSTYSSICAFDQQLGVKIAQDLGIMYPE GTSPSDYANIINYGWKFTILKKYITDGRMELRVLGVDTMQQDLVNVWKTNINGNPAGV DYPITRFLVKFLRDNKVVDYIVGIDSHPQLISRSGNIVGFLVITGTYTDQDTDIIWNT VTESHDPRTVSEVLSMLTRTFNMHSQGSPSLLYLCAKLIELPLNRFDARMIDYCEQLL TSFRVRYAERGRNGTLDYTPVDVMPLKLCVRLIREITSVKEMPPEQKAQLQRFASRHL FLLIELGINDHDRMELFGQCIQDISEMNEFTVGSINALGALVTPTDTQDIRRLALEFD FTTLIVEELAHALNSDSSNFSGPDLSHELTPRIQLLQRIIDKVPETISLGLADKLWDS LFASKTMGDRNRSYAWDVLSRCVSRCGKQNPFLERCMNEYLPRVLPDDFSGDILSFAE QAVSYDLRFHEGPPIAEHEIISVPGMDRIWHIILTVPSTDIGVKAINFAIEIYLDHPL IRKAPRSAAEATHVSLVDRCVDQLRESANKLKALTDGITSEGDNAMVIVPAVKETQAE ELKFGRSLLFLKHLLHGLRTRPQYTPPQGSPPVLPLRNEEMKGTMIQVSYQAFNGTSQ TQIRTLRTGDLTTLSELSQRLARLTGFSKFTLITGGRFLDQVMNGNRTLRDLKLSSTG LLIVRKAVDPLEGSFGARRQSLTLVDSEVLKHFDDLHEFLNLDERLSKEIFDFLVLFP PQQRIRECVRSATTSGPEMFPFNKPYKLLYSISSLIVCIREESLELPYNCFLQGSLSL LIMPSLSAKSPTDTSETLFTDPAGLVSCLLKFVLLANTPHQLPLAELPLQKLISNSFA TLFEASMHDGKIWDAFKQNADMDNIILMLLLRDPRPNLRQDAAGIFFALCGMLPSQNQ SSKAYPKESKVSTRLETPTGIDIIGTLWNSLTSLIPRSIEFPQTSQQFFEVALVVFQT VGNLSPEDLIFGDYLKKWGAVLLNHRTHEFVGREPIDYIVLGFAHLLKKCMELSQPKR ITGNTRDLMEQVFTAYLFPNLSEQNDKTAIVPAIPVMHGGTRQEIYNVLLLLCEDEVN CGHMLEILEDVIPKNYTYESSWIFDRQKTIRSPEGYAGLRNLSNTCYLNSLFTQLFMN INFRKFMLQVPVEETDPNQALLAETKKLFAYMQNTWQKSVDTFPTVEAIRTFENELID INNQMDVDEFYNLLFHRWEGQIQSAEQKKEFHSFYSGQLVQQIKSKECEHISERLEPF SAIQCEIKGKSGLEDSLRAYVEGEMMQGDNKYSCTSCNRHVDAVKRACLKDIPDNLIF HLKRFDFDVITMMRSKINDEFHFPERIDMTPFKVEHLNNPNAPVEPDIFELVGVLVHS GTAESGHYYSYIKERPSRNFGTWVEFNDADVSRFDPSKIPDQCFGGMHDTFHGPGLGQ VRFNKVWNAYMLFYQRVSSMEAAQREYQSCLTDAPVTIPLTLELGNHIAMENELFLRT YCLLDPYYARFVLGLLQQCRKALLSGDAKNSNLQKGAIYVTLDTLEQLVSRTKETPAL EPLFAELDLAIEENSYAAFWVLEWATNRRLGLRNLLLRCPNAIVRAGFSALLVSSLAH LKNRLTSPTLEPINVTRYTHDYTILLEGILVNLHHLWSGIHLYSRAWDDYFEFILAIA RFGEFEVEMILDHGFMVRCLEVIWLDKEDSKKLKCHYTNYFRLMEKGRKFSQVKLMEL LYHLFTCLDWNLPPLSESQPRVGQNGKFAMSMDEADLICPVGRHKELVVLKKIIEQQA NPTVSRELVKFLVELPSPNKLLDGVCKAIEEGLTIEPSGLTVPFLEATMVFCEFAPDA NKILELVDFSLKCAETLDEAAREYIAFLRGLLELQNLKIGHDDGWFWCLVLERIPDWA PPLLMFYDRVIRNATFELLQQLVFSKEDEELPDVHRQYYRKIGRGLGQACVERLQSVY AGRVTSQVNLDARMFEAITHVVNHCTDVYYDENEGDEDSEFLQQAADALTAIEQVTVE MPEEFISGMD UREG_00812 MAENQNPTEKEKMLRGELYRAFTPDLIAGRARCKGACDRFNNAG QISRRRQVELWRDLIQDERPLPPLAEDPEVDDQLFEDEPWVEAPIRVDYGFNVKVGNG VFINFNCVIIDTCLVTIGARTLVGPNVYIYSGTHPLDPALRNGTKGPELGKEVHIGED CWIGGNVVILPGVTIGKGVTIGAGSVVTKYVPSFHVAAGNPAKVIRRIETAMADVRGP UREG_00813 MAAASTSTNPSPEISSSTDSHSAASGWKPRPNFPPGASSPDKPI IDPVYLPGGKKSLSGISIRSFLLGQAAGTCAVLSALLYTGSNPLWRVPFFVATLALFH FLEYYVTARYNNYFAKISAFLLTQNGMAYNIAHGSAVVECLLAHTFLPDRYFQLTGAV FGGIKYQVMLGLALMVVGQIVRSLAMVQAGVSFTHTIQHHRREEHRLVKDGIYSILRH PSYFGFFWWGLGTQLVLGNTVCFLGYAVVLWEFFSSRIRTISYLIYLPASSSQRTGSP SMCHVRTHIHNICKCETHHIRICNTFQIPPCPSYPAGSSRFLQVRNSQFIPGILSHCP NLDTYPDVVDPYPCSKHWNMLGEQPCLVLGPPRTESRYEYIDGGSETLEAREISGKSH GKLDKGSKHFLNNRGERCGSQGQTPSELREQRNQSPGSRRSNDEKKCWRIWNLWGLLD S UREG_00814 MGSERSLATLLRSLQTASVAEDAFRLLPSATGLLSLLTNPLNVS LLSSQLLCCPALWEGEPGLQTCRRLIGVFNSAAITIIQHESSHGTRSVYLQRRGLERE EWLKAVINGADEKSPRWRHLLLLGGVLLGFEGQSRQALSVHWRKKLESALVKALQLSL AELEDSPRVAAHTIVLVLNYTFDLLSDWERSQIDYEILLPILVDSAYFSEEGLGSGYF LGAIDRDVREVKDKRFAWPEQSPTFRQVSTILSRPLITSLGPLSRLIAHATENVHNQA LVVELIDLLLEYSRTSLVQWRQNKLSEVDMSEEDDFLDEITLKTTLPTLWRLLKSSLF SMIIVLRAALGRVLNDHLLGADKRSNTSSQFVFVNLTSLDILSQYPNLVEEFLESIRP SENGRIPAHPVDRCLDLFFLNTAEHFTLVLPPDVNERLLIAAAMPYLAVGGNNNLLEI FEAAHSVVLAVLAAPKSADMAAKHLPYYVDTLFNVFPQNLSARQFKLAFKTILQITAP PSPLANSQPLLPSILLQLLYDRALTAPTTPLPHPTTTADPSPTEGPLLSEQAVLTLTI IDCLCFLQVETLEDWLNLTAHLISQIQDRTMRSACQERLWDALSNGEMDVERAYFCVT WWSTRGGREKVLFGAEDDVQVPYMSGALETENPEPRESKL UREG_00815 MPIIIGRRSFGRIVPADLAQFGDRDDGLIAILKDVRFLTTPLRL DPHISKIMEPINQVSGGKISYFNLPLELLLHIVYYLPPQDVACLALCNRAFLNALGSE AWSSLSQKARDAFLLTLTRDLPSCYFCHVCLSLHLRDRLGPPAPINWSLLKYACTDSY MEPPLWDCFAALKGHVSGYWLFFAHVQLVMARHYQGPAYGLPLDMLSYTEVKAHTEPD GAKVTILLSFDARICSRSASLCLRNQQWILLRPQHKLPVKPGPVELSDVLDNTPSPVL ACSFCDMHFQLDKCDLGDETKAIVITKWLDVGAGLTILDPKWQAHALGGSREFPEGSE DVYLRFERLPGISGSMLFSQNASHLMGNRYKKTMKRLYRHTWHSHTPPAKTELVFLRA AVIYLMFSLTSRPLPPSFSSLSSSLWTLFLPLTASIVRVFDHQLNQFAPMSDAYNIIS LVGTWVSVLLAVAQVFFAYRSQCCSNPIRDVEHELSTLVTSVSNQKRIAYETLQVLRD VRGNLERIQRNTAAPSISGSGGRSATNSSTRN UREG_00816 MSEAIMGEKQVVEAKHDDDKFPVTDSQAPVGLLNASGHKQELNR NFSLLSICAIGITTGNSCIAVAAFYWVIAASLAELASAIPSAAGVYHWATVTAGRHGR PVGFLAGFWNCLAWIFGAASISAILGNQAVSMYTVFKPDLESKPWHVFVTYLICTWMC CCVVLFANRALPTLSNIGMFFIVAVCAIMPHVNHVGYASNSFVWRDWANATGYSSDGF VFLLGMLNGAYSVGCIDLTSHIAEEIPRPSRNIPKAMLAQVIMGFITAIPYMVALFYA ITNLDTVLTTNSTFPLATIYHQATGSRGGALGLLIIAFIPTFFTCVGCYITAGRTLWT LARDNATPFSPWLARVHDRYHNPFNASLACGAIITVLGCIYVGSTTAFNAFVGSYVQL STLSYLAAILPHLLSGRRSIVPGYFYMKGAMGFIVNGISCLYIMAFVVIFCFPFALPT DAKSMNYASLMTGGLTLFVSLWYLFRRKGYAGPKVKTLGDASMAPDAQ UREG_00817 MAYHDEGATENQFIPRQSGPRRPRPVTDYGSSLVQWMRVRQPKY KGGHRLEWERPSASYTVDMLPPFAAIHSPVDTIPVRHLHQSIGKSKKPITVVRWTPEG RRLLTGGHTGEFMLWNGTAFNFETVMDAHYDQYQAGVTSAEWSYSHEWLISGGQRGDI KYWRPNFNNVETVDDAHHDAVRDLAWCPNDTKFLSASDDTTLKIFDFNARSCELVLTG HGWDAKSCDWHPTKGLLVSGSKDHQVKFWDPRTGRCLTTLHSHKNTVTTTKFSRVNNN LLATSSRDQTGRIFDLRMMRDICILRGHEKPISSLTWHPIHSTLVSTGSEDGSLYHYL LDEPNLPTGQAPTVAPYDTTDPTNTPAQIIFPAHRIQFAHSSTIWSLDWHPLGHILAS GSKDNFTRFWSRARPGETTYLKDRFHIGEEAAEAQGTWNRGYGRRQMREEEEQEIQDE AEGLVDQKRPMDSLLPGIQGVPPMDGTGLPGLIPGIGAAHPPPPPPPPVDIASSIPHM DPGRLAAILSSGAIPPPPPQSSGQIPFPIPGITGPFPPNPNFPPLPNQLFSHFAHQQQ K UREG_00818 MPEFGASAIPTPPALSSSRNTTPTPTTTSEEESSQGSASTPDRP ATAIPELKRRSKYRHVAAYHSETRASCLSRDSNASPSFFGFRNLVVIVLIVMNLRLII ENFMKYGVLICLRCHDYRKQDLVLGAVLFSLVPCHLFIAYLIELAAAQQVKGVIGRKK KSDLPNGKQMEEQPDFRRTWVYIAIAHTVNASVCLLLTSYSVYFYINHPGIGTLCEMH AIVVWLKNCSYAFTNRDLRHAFLHPSPENNLPTIYDSCPYPRNITIKNLTYFWLAPTL VYQPVYPRTSYIRWNFVAKRVAEFCGLAVFMWIISAQYASPTLLNALEKIALREWASI AERVMKLSTISLVIWLAGFYALFQSFLNALAEVLKFGDREFYKDWWNSPSVGRYWRTW NIPVYQFMKRHIFSPLIGRGWSPFAASVTVFTFSALLHELAVGIPTHNVIGVAFGGMM LQLPLIAATVPLEKMNSQAGKVMGNAIFWLSFCLVGQPLGALLYFFAWQAKYGSISRG LK UREG_00819 MALSFTVPSNYGNVVAIALGAIPFLGFVHGYITTGLRKAAGVPY PHSYATPDQCAKNVILHLPSHQLAIY UREG_00820 MASKSQIPYEVRGRDHPNPLARRLFQIAAEKQSNVVVSADVTTT QELLDLADKLGPYMVVLKTHIDILSDFSSETIAGLQSLSQKHNFLIFEDRKFVDIGNT VQKQYHGGALQISQWAHIVNATMLPGPGIIDALAQVASAPDFPHAAHRGLLILAEMTS KGSLATGKYTELSVEMARKYRGFVLGFVATRSLADVDTAGKAEDEDFVLFTTGVNLAS KGDALGQQYQTPESAIAGGADFIISGRGIYAAPDPVEAARQYQSAGWNAYLQRVRK UREG_00821 MKSPTTWKWLLALAVGMLPAAVAELCTPTSGDYGRVFYVYSQSD LDTIAAKCTTVDGSIFIGTNYTGSFRLPNVRNITSAFQQEPSFPHKSDPAPTSIDIPD LEYLGGSLIVDFPTVAKLSAPKLKKVHWELRVQDVATVDFRSLEEARYISVSGNITSL NLDSLRRFGERCKICNKEYCGPTTPPNYPPLDLSLPSLQTMGDLTIEGRLSSFNIPKL SNLTALDEGYIKYNFDLRLAIHGDRLNVSFPELSTSNLSFFISGDIARYDLSSCGTSG AVAYLHMRISLSMPKMIDRVPSITVTSSTPLDINLPFQKAEFIGFFGNISRGCDTVQI L UREG_00822 MADSTEIVCIYYERPGCIAMGLTGEICKINDSDVVKYPRSYPGE TAYNELRLEFMFVERKIYERLGLHEGILPYHGLYNDSGAIKLAYAKNGDLGAYIPAHQ APSQLFRAAWIRSLVKAFYHIYSCRALHQDVKLDNIIVDGDLSLRVADFANGAIFPLD ADMEEICAQDPLSRIDLLGIGCVLYSIAQWTVYSYDYFEKEKWPEPGEVPNTDNIMYG EITKSAGETTLYEDFQTLDEEHGLGKGHVLPFTLWFCLVPTLLFVSGHFICEFMPN UREG_00823 MTTDSDKQAAAISAEAAVGADVEPQDLEKNDPEYPPEKILKPSR DADEALQAFADTDGEQLELTEEASKKLLRTIDWHLLPLMCVIYGMNYLDKTTLSYASI MGLKEDIHLKGDNYQWLGSMFYFGYLAWEWPTTRLLQRLPLAKYSAFCMIIWGLVLTC FAAVKNFSGAVALRFFLGVFEAAVTPGFALITSQWYTKSEQAIRTNLWFSFNGWAQIF GAVLAYGIAVGSRRYGTAIEPWKTLFITTGLLTMTLGVLFWWIVPDSQLNARWLSKDE RKLAVERVRKNQQGIGNKQFKMYQLKEALTDPVTWAVVFYGIVSSIPNGGISNFFSQL IVSFGYTPEESLLYGAPAGAVQVISLMLNAAGMHYFKQRLIVSTGGLWVGILGAILMI ALPESQNTGRLVGYSLTLAVTTAFISMLGIISSNVAGYTKKTTVAALYLMGYCAGNII GPQTFRPEHAPDYVPAKITIMVCWALCILDCYFILWWYRRKNAEKARVVGSHGQRESR IDIRAMSLERKGSAKLA UREG_00824 MQNPPELWFAFSLKNNIDIAVPVDCGQALCSSPSFLFGDAIKRH SPLDREMKGDALTCPGNDAHRHGSGSGSGSVLTASALASQFLYIPQHHGAPDRKLDIT ISPLLIARGLPSPLSFVSDSKSFYTAFEVTDINAESLTPTDSQPPSPLSSATTIDGEE DMEEQVEPAGASSPMLDTELPSTTPQTPSETEPKKSKKTRRKGAASSKGSQTPTTSRS SSVSGSKSTLRRNQTGPYRQLHLSRTTTSERQFPPHREERDLVALHRDSRRLFESFDN NTPTSKVEKSRDHPNDIGSGQMEPPQETCSTTNKRLARSNSAGSPSLVPLLHPTRSPS TPVRSEDLSRDTEERSHWGPLSRTQTADEIRPVDSVPAYKVIPPTVIDWTSPTTRRRE YEKIDRSTRGIRGMWRRFAPKWCQSKDQRIPFFDETKEGKQKYEGSVRRFRMDIPDDD DEQPGAEQTSKAKGRLTTTRMLTADDDSDQYKGVRSRLCFGRRP UREG_00825 MVRKLKHHEKSNISNTSSPRLLRKVDLYTYKSDNNHRETTIRQR YHLQDPLDYKKYNALCGSVRQLAHKLSAMDPESPFRREMEAQMLEKLWAMGILKQNRE QGAGLSRVEREVTVSAFARRRLGVLMSRNGMVESVSAAVKFIEQGHVRVGTEVVTDPA FLVTRNLEDYVTWVDSSKIKRNILQYREKLDDFDLL UREG_00826 MLFGKLEARCCKGAQDKRTVWRIGLPGIQWPSSHRKSTPEDFRG SGRLAVPDPEIERALGRRIITSDHRASGPCIDVFPVGGVQGDSNGLDQDAVVWNNGNM RDGGKLNNLPSDPELLPNNRTTNKRTPSILSMLSTKSRWALPSTAHSLTQPSTRSSSP AVTSRPHLAIRYASTPPASPFPAIDARLSSMIPPDVEKSNDGDYQLKLALTDLLNCSD IKSDQEVRRWVQNRLMDTEHRLKARRKSRIMHFER UREG_00827 MRLSQSILLFVASSLPFAAGQSTHGDDESDKMGPVAFMWPPDRE WGAAEDNTAPCGSAQGVTNRTDFPLLNGALALIIQDDSWNVHVSISYKDDPKLNDDFE LLIEPKRIDSLEPGHECYPLPSPPRDIEEGANATLQLKYLSEFDSKETETFYACADIK FVAASKFTKQIPCFNVTSDDFVAPSPSSTSSSTPSKETSNSDSVSSKGSNGLSGGGIA GVVVGIVAGLALIGLGFFLARRYQQKKRIRLHELSVRSVKWDDVGNRTASP UREG_00828 MLPLPFFLGVSLLLLLPFALCAEDYYKILGLDKSASERDIKRAY RTLSKKYHPDKNPGNDSAHQKFVDIAEAYDVLSTSSTRKIYDKYGHEGLQQHKQGGGA PTHDPFDLFSRFFGGGGHYGHSGQRKGPDMEVRLPVALRDFYNGKEVQFQIEKQQICD TCEGSGSADGKVDTCSQCGGHGIVLKKHMLAPGIFQQVQMHCDKCGGKGKSIRSPCPV CHGKRVVRKEVPLTATVERGMSKGTKVVFENEADESPDWVAGDLVVVLLEDEPKMGED DAERTDGVFFRRKGKDLFWKEVLSLREAWMGGWTRNLTHLDGHVVQLGRKRGEVVQPL SVETVKGEGMPIWHEGHLHEHDEGEESGNLYVEYTVVLPDQMEKGMEKDFFALFEKWR KKNGVDLQKDTGRPVPAKDEL UREG_00829 MLSCCKPRKPSNGETQPLLPQHDANTQMQRELQDKLHGYEMVRA VYSGFMPSTAQTVSHLRAFLVSDLLNPDNQTLSKAGRKLSQDCRAWIRVFIEALEDKN GGDQVQEIIWNFSKSRVSVDTADLAGKASLSKAQADTAAAYESIRTIASLLMTNADFR LLVGDLSTVSRQIFADTASSVSATAAEISEQVEPSEPQKDAIGGDGSEEEQPPSNEDV AQEAGRVVDITKAGLKKAGKDAMSSTERNLTGEQRDSLVRRIKQTVIGLRKREDYSNS AGTISHIVQRYAMIYYRAIGSTASEVEEDFETNQELNEAIRNLWTFLSSFGSRDDWDR LKAKFQSLTKKYQDDDKFKESFQHVGSTLFNLLTNPDFYDSADASFDTLRTKLKEASA DSDQDLVEFYDQLKRTFCSVSEDQSIAKLIAATKKIYGHLCEAFRDEQSRLPADALHI FLPIFVRAIQHIPIPRLEMSVPEMDLLLENVILEPGHTVNCSSFFPYRVLLTTTNSLE VRKTHSKETTTGMTNIINFTMNGLNISAQEFGYWVRVHSPPYLPYFGDEGIASFALDK RGIDISLEIEIGRQRLEQVFTLRSVRVHIHKLDYTITKSSWAFLFWMVKPFVKHMVRR TLEKKIAEQIVTAAQALNRELVFMRERLRATRIADPDSFVTFVRAVMARMTCRPDPDV YTRMGVDAPREGIFENVYTPASLTKIWHEEGERADELVDGGDESGGIGMTWRNRIFDY LPSRRASRQPTRTV UREG_00830 MSHSLPRYQQLNAVHTSTPEKNFDTANPGYIRKYLRTYGLTPPH VDSYEVQKTRCKQSILTAMSRLGPVSSPDAGTDTIDLHSCGWRSLSEVVGDLPTTRSY EDRGNIAAILNNWPQPNVEITCITDGSRILGLGDLGINGMGIPIGKLALYTACAGIRP EATLPLTLDLGTSNKALREDPLYMGSRRDKVTPAQELEFLDELMVALTEKWPGIVVQF EDFKNPFPALERYRHKYTSFNDDIQGTGAVILAGVMNAVKKSGIPPRNHKAVFLGAGS AGVGVAKQIVDFFVREGMSEDDARACFYLVDTKGLVTADRGDKLAPHKVYFARTDNAG QQFKTLEDVVNYVKPTMLMGLSTLGGVFTPQILKKMSDWNRTPIIFPLSNPSANSECN FESAIVNTDGRCLFASGSPFQPFSYTNHAGETKTHYPGQGIGLGTILSKAVEITDSMI YASAQALSTALTKEETDLGLLYPDITRIREVSVTVARGVIRAAQDAKVDRETGIRSLS DSDLDAWIKTRMYNPHGETQSLEKEVGLLLSSIGRFTMSTVQPDDSKLKHLLYYFAVS RDLGALIARAIPPPWAPEHEWSTIGPTPRGEEEIRRELSPEEALESRGQDLHMSGCQG G UREG_00831 MHSSDVTFDPSNMYSNNPAERMRIINLVISQAPARAASASVVNG WHTSRSDRRQHCTVDYYDAAGSRISRNHIV UREG_00832 MVLRDAVPRLVQTKGQKHPVYVTAQRARGVFCYIVYAGCFCTRS LNVYIPRKLCSTLFGNVYAVSLEVSDFPITILHLLQNIPLSNSCTTQPHRSHEYLVSS HSAIKGLNRWRTAIQSYYITLGYVALKILVSEISGSTTELQILRHINEVAPVEGTRYI TRLLDEFEHRGPNGVHKCLVLEPMGPSVNTMVEELPQFNPRRREMKVRYPLQMAKSIL KQSLQALVFLHKIGIAHGDFQPGNILFTLNDVDSTPEDLLRQEEDLQAGSISPPVQRL DGKQDKWAPRYLCVAQPLVRSACYAEGFKVKLSDMGGAYFFTDPPTKPVTPLGLRAPE LILTGAVNNTVDVWSFGCLVFELITGQPLFCIPYSGMEDDDHLLSLTTQLGALPDELY KHWTTSSLYFTPERKLFNCHLGGVAPGEEPLMVEQTSMEESFDRASPDLDEEEAHKVK ALIRWILQYDPAKRPSPAEILADPWFCEIDVESN UREG_00833 MTPEIDTRHSSSDEVKVEQTNIEHSSEASHEKDVEAGNAPLVRA LGERHLQMIGIGGSIGAGLFIGSGKALSTGGPASLLLGFSVVGIMVVCNIQALGELAV TYPVNGAFYMYSVRFIDPAWGFAIGWQYAISWLITLPFEITCAGLTIQFWTSNINIGV WVAVFLGTLIVIQFFGIKGYGESEFILALIKVIACIGLIILGIIINVGGVPTDTRGYI GGRYWHDPGAFRNGFKGFVSVLVAAAFAYGGAEMAPREATQKPSPFVLAAQLAGIKAL PSIINAVITLAVISVANTCSYGSTRTLQALASTGNGPKAFAYVDSKGRPVWFRQAWKL QGRNEEDIPYRSPLGVAGSVVGFGLAAIAIIATFYLAIFPINPKSRVESFFQTCMAAP IAIDWSLGVDLRHVDLDKGRRMDTFPDPADSPEILEKRSSSMMRKMFAILF UREG_00834 MRRQQEAEKALHDQTDLLPRTQVLIVFAALSFSLMICFIDQNGI GVTLPTIGKDLHGENTISWAGTSNLIGNTVCSVLYGRLSDIFGRKVVLLFSLVLLCIS DIGCGVAQNPPMLYFFRALAGVSSGGVVSLNMIIVSDIVTLRDRGKYQGILGSFVGIG NIVGLFIAAAFVQKSTWRGFFYLLAPLVVCCGIVSFWLVPSNMRPDGLRENLQRVDFG GVISSAAGIILLLIPISGGGSYFLWDSPMVISMLVLGSCAVLIFVFVEMKIAALPMMP MRIYKNAVVVVILFQTFTIGAVYQAYLYYLPIYYQNARRWSPMKSATYTIPVVAGQTV GSIVSGHYITKLERYGDVIWAGFGLWTLGAGLTITFNRTTQEWVIVVILSIIGIGVGF VFQPSLIAIQAHCTKSFRAVVISNRNFYRCLGGACGLAISAAALQHSLKANLPPHYRY LSHSTYSLPENLPPKDADAIIDAYMKASRTVFILQVPLIGLGFIGCIFVKDRGLERPK EPGEESETSVEMQLSNDIAHDQPSRSSLAGQHAPDPEKRT UREG_00835 MEVAQREIDPSDSANGIEPKLPPIEPPIESLSNDLEDGNVNEPT EDELNTLRKVAGPLPWSAFLVAVVELCERFAYYGVTGPFQNYVENPYKPGSPTPGALG LGQSAASGLTSFFQFWCYVTPVFGGIVADQYLGKYNTMVISAVIYVVGLAILVCTALP VAILHNASLGGLVVAMIIIGIGTGGIKANISPLIAEQIKIKKPFVKTIKSGERVIEDP ARTIERVYMVFYLCINIGSLSPIATTSLEKHIGFWVAYLLPAGLFLVSFAVIIIGRKY YVVRPPRGSVVPQAVKVIWVGIKNKGNLDAAKPSYQIAHGNGSVGITWDDRFVEEMKR TLVACKLFVYYPIYWVCYQQMLNNFISQAGTMELHGIPNDLMQNIDPITIIIFIPICD RLLYPSLRKIGTYSHDTLTTLALTLIFSGLPFKPITRITTGFFLASLAMAYAAIVQHL IYKAPPCYDFPKECPASEDGTVGNRIHVAVQTPAYLFIGLSEIFASITGLEYAFTKAP LSMKSFVMALFLLTNAFGAALGMALSPTAKNPKLIWMYTGLAVASTIAGSIFWLLYSR YNATEEQMNALEMPQTEDEKPVAATQISMTRRKANDS UREG_00836 MDLHTLLDQADEDALHKSRLLNVEEKPFKRITKRLLTPSSLVSS PTLFPPTPPPDSTSSDGDALARHEAERQKLLEDWRQFQEDITLDFAAFESSIARIQFL LSSNAKERERYAAEKLRILAAAQEVKEKTGDLRKQLEEAQKTLALRKSYDELAEKITS NRLLRPREDQEANLEKLHAEIAKLETESGEYAQTWAERREQFGRIVEEGMNLRRLIRD EKEEVERREGMEEGEEGDEADTVSRGKASLVGTPRPDQDAMTPSQSMEDVASTSGKLQ VEKPRRPATPGVTPLRQTVAAGDVKEPEDENMADEGEVGADDASRGITSASDARSPSK TADELEEGEEPSGEKLEDRMDTT UREG_00837 MSTINRTPAAGLDPIRKAIKTLDRLVKEEASYHVELADQKRRII KTEERIKSLGENAEEEGNEIWMLKQERMACAETERVLETMPKVTRGAMQKLEELVVSY UREG_00838 MDYSSNDPVPSYEESVASQPFSKTDRKHVPDPQPSLSLPNQLAN TRTQRIDAILSTYVDPLLLSQGAAGLYKTTFILVPSTVSTLQDAVSNAYTEIPEPQIV GFPTNEVVKLIRLSGQEYAMEFWRQPAVVTELESSMKARLVSSGHRLCEPDDPRTPEP PPVVQETKKVGFWDKIRGKAIHDDEIQDRKLGWRAQEPQTEHSPGKIPTGLVQVSVQW KEVALRIANEMGLYESRRGPALCLTVEVGS UREG_00839 MTIEKLQFALPAVFTIGPDNNIEALKKYALLLSGEADASAGKPA TKRTEGNHVQDIVKGIIEGETRVIVSSMTMEEIFKERQVFKQHVIDNVQNELDQFGLR MQVTPPYNANVKELQDAPGSEYFSYLSRKAHEGALNQAKIDVAEARMRGEIGEAEKRG KTKQEISKIDAETAVLETKRRSEKAQADAQLTNRQTELDMDIRLKKIAAQRQSEMKDA ELQKQVETKRAETELERLRATQVTKSKVAKESAQQDADASFYSETKDADAKLYKNKME ADAQFYRETKAAEAAFVAKKKEAEGMVEMAKAYGEMANAFGGPQGLLQYMMIQNNTYV KLANANAGAIHGMEPKITVWNTGAGDGSQDTTAPIRNIMQSLPPLFSTIHEQTGIAPP NWLVQMPNQQQQAASNGAPNNVKTKPQPAN UREG_00840 MVIRFQDLLLRDPTDNGERDIVFNLHHVLFQLGREPYPSRERKA GTKKLSEKKISEPQNQASMFNSVLVEARCANIADIARFMEDYPTIQWQAIQHGNPPQS RANPVLAGPPLTGNDNALVPPPPAAYGHNRNRSCPSSLAQKSSTRRRAGGQFYDPAWQ VEGQEKKHAAKQEH UREG_00841 MGITDHDAENRWLTANIGEEVQGAIEVETSMGFRTAIKLYPKAV AWPVFFSLGVIMTGFDPQIMGNLYGVPKFQEDFGYLYKGKMIISAAWQSGLSMGSPIG QVVGALAASYPMEWFGRKWTFLTCVILTAGCVFIQFFARSLPVLLVGELLGGLVLGCY AVIAPAYASEVCPVALRGVLTAYINMCFVIGQFIANGIAAATHGLNTHWAYSIPFSIQ WGLGQPLSSQAMIIETDRLEQEMEAGTTYWDCFKRVNLRRTEIAIGVYTIQVFSGIYL IGYSTYFFTLCHNASLLLKEKKGGNVCT UREG_00842 MARRACVKQAKGILGVGDAPYELVRSILLKVENPKQLHTIETNS PQLREYTGELWIELIKRDIPFWRKVEFPENPESWYDFYCSLREQAAQELELQAERVKE VMDGLNSQKAKHSAKMVSATMLGLPQQKPTSSQKYANYDRQMGGLQPRFVSAPAPGQK GLTAYSNKAPWSFERPKLRAPPKSRKPAIPVFKRNDRLCTPTHQLSKKASVVNRAPIS FVEDYKMKQRLAQQNAKIPIRTPAPPRASGISTPGPQKGPVSKTQTKSSSLTFPQASR HTSSPLIKPKPTTSSAGGTQTTSATLPLQATKSKPSSSVVNPTASAAQQSSPPRTVAA PFRRRSPPNPLLIPKKRPTSSSAQMSSSPTLQTAPCRHSTEPGSSSLQGAGNAKRQRI S UREG_00843 MFGKIISKIRSKTMTITTRIEEYPVPGNYTVEDQKIYHEYPRNI AAINAIKFENTLRPRPYEIAGTNPESKILFVDVDVLDSTGRQPYKGDVLIHGERIVAV GEVPDKESLIRNPDVQIFQGRGRTLMSGLGDAHTHLSWNGGDLNKLGELGVEEHTLLT ARSAKCYLDSGYTITRCWGAASAKDRLDVVIRDAINAGEIPGPRCLANGREIARRDGE LVAGITAFADGPDEMRQVIRSHVGIGVDQIKLSMSGEEVAGAASRSSLLADANRVLVR SRRSDQRKTAISPTKKPLRMDLLERKKAKHVVVPAINWLIATLYEAGSFGYPKEKAEQ VGYKRELDEAVKALREMHERGIVVLPGGDYGFAWTPHGTYARDLEHFQNLLGFTAHES IIAATAGVAKLFMRESELGKILPGYYADCILVDGNPLEDISILQDHDRLSVIVINGRV HKAGVQEYVGAGSSRSPKTGLQKSK UREG_00844 MARAPSESVGIASGDEAATPAVMAFFKNQARRAVGEQEKEKRDF RSPRIPFDLDLKLVAACLHWYNGPLGRNPPQSRSLHQGAEIDGCPWSSPFAKPHQGKG AAEMAENSTNDFQLAANSPRHHSDSSN UREG_00845 MAAGQRVALHNVRILPPLDKGDILAVGKNYAEHAAEFLRTEFGS SDNVHQPESDDFQRTRSIIATGPNIATILISREHWIMKARSGDTYCSVGPIAVPLKYM PEVLEIKATVNGQERQRGLLQPTFTILYLIKTPSEGRRLRAGDVLATGTPGRPRSGID GNGGRFDITKARNPVALAAIRLSLMGQDPEGYAKACLALQALMSNPHSTELSVAH UREG_00846 MAPHAPSKRLPVSCEPCRKRKIRCTRNGPPCETCLRRDSGPTAM HLSEAMRRQSPAKQQPDPSQRRAPPAYPEISRTCSGSIFFDPSDPSAYRQQDMFVSNP SRPSLAPNVAQESTKEYFRELDASSREEHCGFPFSTNPSASRRELMSYLPPRQYCDRL KDAYFRVFSPLFHVLHDPTFEAEYSRFREDAASASLSWLALLFVVLAIAVNGLDENDC LLPDLGRESTAAANIRVLSARYRAAAMHCLAADEVMSRHSLHTFQTLVLLIYALTHSS QPCWVLIGMTHHVAIAMGCHLDPDHFNLGVVEAEKRRRCWAALVMLHMTQKISFRNLD QQKLSRDVRLPAEANDTDLVDGRPLPLPSGPTQMTYLLFKFRMYDIAYKICSEIFGLQ QPSHTMVKKLDGEISAQQEAWNTRYLGDTKVYPLPSHHVAHMNILFGYSHQLFLLLHR PVLNRYLTGEINDVTRSSRDRCLDSAKGLLAIQKTLSESPEFTPYKWYTAGLASFHAF HAAVVLAVIMMSSNNNTENEEIRNILMNSLQVFKVLSSRSSLCEKAVPILGRLINLTT TRPNTSQRSPLKLAQTQHCSYPSPSSTASTSSNVTQLPSASVLPDAHHYLHEQQQLAH SHTLSQPQLQAQSQPHCSPFIDPQAEAVHAQLQAQYWLGPSGISWETWGFLGNEHFTY TEGSAT UREG_00847 MNVPAVYRGSNYSSYSPRSDSDYQIIHRSEAMDDRMMVRHEPRE DDYYYHRRVREYDHGHQYPEYEVRSYRTESPGRRSRRRRCSSDEDLVYVRRNDREESP HHYRRHLAEGALVGVGAAELIRHQSKKSDKGQGSTIARLGKDVGAGTLGVLAADAIVR ARSRHRSKSRPRSKSRRRCESLDRGRDYHRHRYRYHHRRYHKGGSRSSSSSRSRARTL AGLGLGAAAIAGAVALAKKHSEKNEKREKSSTRRSRSRRRRSSSTSSSSDARDPEHRN KRMAEAGLAGAAVAGLIEHARSKSRSRKGRSRSRIRTGIPIAAAGLGSAAIAAMYEKT KAKKEENKEKEARRARSRSRSKSRARSYPDAPAGVPTHLIEYGEDPVYGRIPASDYYG RPESPHYVARRHSRSSRSRRRSPSTESWSSSDRESRKHRRSKRSGRSRSRDLATAGLA AAGAAGIAAHEYKQRKERKKNERDRGMNKRKRKSRPVICTMIHMTLRPLTHPPLLHQV LPRTLTIITTRRQINSRRHLPLQLRLTLSHTALLIIRRLLGLHQCRRQIKSHITPADY PPPPGAPPPPQHYHYAPPTAQDPYAPRQPRGDENVSAVPQSTLPIEQHQDEYEGVNYR DLFSQRSSHPFPTKRQSSHPEGATHESRPRSSSQPAAKTVQFNLQPDYASADSYTEKG YETDDSDSTIDGYNRSRHHDTRRHPRHQPSHSRTPSPVASKRESQFQVPVRPSGNHNS DSDSTVDLPDRFDSRGQPVSTTWW UREG_00848 MSFTRPIVAMMGPSGAGPEPEPQFSRPLRGPDRSEKSAMTLMRN LRCYNKMADILSEFHKDLCCEDPVDAGPEGRNEERYGPGIFLFWGLN UREG_00849 MSPSSAGIVAGFSVSSHAGARLSASSPRESPLSSSLSLGPERLA QPSRLAWLIHLQTSSSILLEGCYDDDSHHAIPGWALENGVLSHLLLIFRAVNIALVLA SMLPLETQGV UREG_00850 MVFPQTPQAKGILWELKICCCHRDRCVETSDSQLQSPNRGLGRG AAPALETVQEGSVPTLTSESSGETVKNPKYAGDSGSDSPGNKSAGSKIESKQMLTPAN PKSDEVIAKRSFTSLSSARGKIGETSMTNMTVETETVSSIPQVSLVGGAGERGSRKDP SATIRLRASDETIRPKKEKKKTRKPTVPIGTVSSKADNFEAKVASAVDDADSSDSAET FVYESNPPDPHPSRQHRYHSRTPSAASMVGQFDQYGNRLRPGVRDALHGITGKRSMKF TNTSSYNTMDGEGEDRSAGRGSARGNGHMHTARHYHIGRNGRGGAHQPVLDQSPFQSS QSSKVPRHFLGNGHSRNLKRDGDTYGYDFDAEGADDERTPLVGAIRGIRGRNRRPNSS SLRQMEYFGSRRIGFCARYGICALLLMLLLVLTGGSTVFIIGATQSLKNVHVREIQNV LASEQTIMLDLDIEAVNPNLFALTISDMDVNIFAKSRYVGTDAFWRDRGPHPKELPRT KDSKKRAIMARTVNSGARDRESEILSPRPTFNATGGVDKGTDPIPDDDLSGDPQTMLL GRIFHFASPLTFEPSPWKHIASNSTGQVRLTKPGNKTEEGGSLRWERVLQHPFELIVR GVVKYQLPMSSGMKSASISSKINVLPDDGDKDGNGGDHGNDDEVPSDSVHIE UREG_00851 MSNSNTSAPPTAGGSSPNPSRERPGTYQGSPHPVSPFPETQIGP QGPSNKHGKKRRHRGHKKRRPRRPSFAPATVETPHADSPDRAVDRIPEEGESNAPKSP EAARRASFYRLGQIGGINSSETSLDSEALLDHRNQPMLRPRHDSRLAQSFRPDYPPQS SFASAENRPRPTTTLRTAIKSSEESEGESDEADDRTPLIRPNSLHNTNFVRYGADNRD NPRYSRRSSGRSIRSEPHSIGEEYEFRKNYDVNNPPSVPGSPKVGPEFGYDDSLITGR DFGRSKSPETRSTSAVPRDVIIDMDGAGKSLSVVELLLFPSKTTYAFPTGALSDLGEE EFTAHPPIEGYSAEPRRRRRRVWPDLSVLEEWSREEKEERTEGIRTKKISEPVLVGGR LRIRNTPWRREEDEAPYRFTYFNEEFQSTIHSQTISELAQSGQTFKDLFIPDPPELES DEEEEEDEFPLFALNGTRTNSSSMPGYNSRSDQDSAVAGSREVSPNHRPQSPSGKKEK RYGPRPTFWLDVLSPTDAEMRTIAKAFGIHALTAEDIMMQEAREKVELFRHYYFINYR TFEQDINSEDFLEPVNMYVIVFREGLLSFHFSQTPHPANVRRRIRQLKDYLILNSDWI SYAIIDDITDVFGPLIHSIEDEVDDIDDTILRMHSDSKEFSDTSPSDAAISGSDMLRR VGECRKKVMGLYRLLGNKADVIKGFAKRCNEQWQVAPKSEIGLYLGDIQDHILTMTGN LTHYETLLSRAHSNYLAQINIRMNERQEQTADVLGKLTVLGTIVLPMNIICGMWGMNV KVPGQDIDNLNWFMSISAGLVVFAVVCFYVAKRVYRIV UREG_00852 MEGIVSNEVSTALAEKNAEIRRLRGELLEAQDKIKELQEKIVAS SHDSIITFRDEDYFEAACQKLCHHVQQWVLRFSKFSDMRLCRLTSSLQDEKVTDRFEN SILDGSDVDTYLADRVKRRDIFMSVVMTMMWDYIFTRYLFGMDREQRQKLKTLEKQLS EVGPPAAVQRWRATTLSLLAKRRAFKEQRALDSEAVVQEIYRTLSKLLPPPHELEQSI LDSLRKVMKAAVDLSIEMRTQKAEYIMLPPLRPEYDTNGDLIRKVHFNADLMNERSGE TTSNEELEEQQAVVRIVLFPLVVKKGSDEGEGDEEIVVCPAQVLVARPGKDKKVVRVL SGDRLSANAISQSNHSFPSIDMSTSNMI UREG_00853 MLRSLLGKSSSRSTSSKSERRRHRDRDERSTTSSSRHKSKSSHN SSSGRKSTRSDDRGLDIDPELPTHSSSSHRYYPESEARSTVSSSYVTADPGWPADDGD NNGIQSRSEYVDAEHSALDSDLHGTRTLRSESRRQERRARDDESDRGHEIPRRKEVDE EREERRRRRREREALEQTGPQKEEQRHRRRRADSGGLEERSLYSSSRAGPHPLESRIP EPSSSFPSSQSARLENATVPVSIPGTSASQYASTQPQAPIPSPPTYGLAAEYYNDQGQ SVATQPGVRPNPPTIIAGTQPHLMSPLGTAAPPTEPSALGQTGAAASFYNVSPTEASE PLPPSNAPGPSTGPPKPETVPFVPHPATYGIDPGQLDGHETQMIPQSTPVRPHSYAGG YQQPHHSSTLHSGMGSLGAGVGLAAATGAAYNTLHKPHHSGHVQTQPGTLEFHRKHHG PLRRFIDFWKDPEGVAQFEEYSEITGICKYCFEPGTTAQDAPRKHNYSRRYSYDPHAS RTRVDKHSRYASSDDESRRKRSNRKSWLAGALASYVGKSVYDQARSTRTDNSSTTSKH STGSKYSQSMHSVSSLGTKSRTSRGVTTVSGRSHSPSLLEDRYTEFRKVGSSSYGLPS DDFDSKSRASRRPRSASETSSTSEATRGTKLTGTALAAAAVGAAASPSNLRRKSRSRS PKKYRRRKYSSSSSSGSLVDISRPSGKPGLAGLSYFFTAPSERRSSDKGEKPKKKTAK RGFFGFRSVSPSSSDDADLAFGTGFYRTRSRKSKSKKQDDHDLDTKLLGLGSTAVSLA SAASHMNGRRRPDVVAVKEVHRRGEKRSSHKSKKSSGHEALDDDGWESVGESSLDSDL AYGGSSDSGTSIWRWKWGKRRGDKSKATKPREPDLTRIDRPAVIHDRPPPSESGTSLP SMHHVDPIPASDISRFDAGTESFISTSTSNQPREPFEKNYVPPAVESEQVEKELRLQK LEEERKALLKDRASRVSPDYTTSKPEPSPRRDPPRKYVEIRPKDWDELSDDSSNYSWS VPAAAAIVGAASTSAVLNDLDGKKSDKGKEGRQEQRIKQRHSYELSDEDSSFAAKGKP KEHRRDGNEQRRKQVRSYEASDEDEPIISKVEPKEIQQSDDRSKRMARRIVREATKRV ISSPVHESYVEYFAPDEVRSAKSSPRSDVSSEFYSVSESPKVKFDREMSRMREGASTT GLPWPVPLLKLIEPTPPHSSAASVISEDTFPEAPDEVSSPTERADKTMDPQVLPYEAE EVRANGDSLDREVSPSEIQDTRSNVASERGDVKQDRLVGLGIDAEQNPTEKGTEASTK QQATEIPRPVEVKYAEDSDDSSVEEVVYQHIPGGFEDDIEFAATLAAGARIAGFDPSI VTNDPMYHSRSSPNDSEAEDIPARTVSFEELDDASVGRRISPVHGYVEPESLPASDTE EEKGSSVKLPSQIPAEKQPAPREQESKDVFHDVVHAEPTTWTPLRHQLPKHRVSQDES EPRNRGLSDAEEGRTPINLISEGNSAQGHTPLASEHSIQPPSYSADFVQSLPQTITQA PTVTRGAGEPTDLILPENSTQPEHDIEQTKSKKSRKKSKNKKKSGDLEAKGVDSETSR SQAQETDDLKNHELETKLEDHLDMADLFPPALPGSWEIDSPSRQETAKEETESPTEPS GKGKRKKGKRKSSGFSGMEQASAASNYLDDESAGPEIKPLPKQTVDVKDSVIKEPPLD PPEKPDEDVIGWPSQKQKRKDHQVGATATEPVTSEPSIHDRDSVPEPFLECSPEIPSK DDGASGADTSSQLADLPVEPTTPVNSIPVEMIFSRRARSRSSSPLPGQKFELPRLSRS RPTSPETTRSRRISVRGDPDLNPLATPSPTAVPLYFRRPVSCHGSPTTGAAPPLSGSP TTAKGHKRPKSTEFKTDRELRPLWLVERHNFAKVEQPQEGPYPSLPSSRTTSRTASVE DFKAYGQPEFAYDQLFSPRRQPLSLHIDTRQGPPNEDILGSKQATPTAEDFQQAQKRH KPQYEFHSPSELLMGPFGAADVPPPLPPISCTVLPLDQDLPPLPYSGPPSPVTEAESW RTAGDRSSISARSDSTVIPDFSYEYRSPVDRGQAPDFGSRPSTALSAYESAVEYEHYG DRTPIAASPTSVVEAPAIIMNSLEMEKRAEEPSDGLRMGDEACTDSVVPESPTKDRFV ESRSGAGREISDNATKEVFEPVDAEVPASPQEEVPADVNIPIIEKADDLEQISPGDEE NTPRQKVTETWDETLTKSPKLYPQMEPAVLQDESQDIFEPTTTSHKDKPSDVGSELEA ESLKLDVDIVPDKADAHEDGHQKDVTAKRVVQEEKQELPGPEATVDTDSHIATDYATS DLSKAPAYVEPTILSNDEVTVEPVPLEQAEGTSPELNPLEAKQFTEMAGAFPEFAYSP NISGVVTPVAGNDNEPAGIEEDFKASSTKPKKGKKGKKKDKGSSAGVKTDIQEEERPL PTEDIPEPNLSNISDEGPPEPAAFLLPEDLKQPSDEPNLALKEFTEADFAPVSRSKSK KGKNKGKGKKQSATMKPSSDDANEKEEDKAVEQPMPLPTFAPSGDVIEERSATSPLDE PSLLPHAAGEVPDDAKADAAPVLTEDDEKTETEKRPSAEISPPAEREEREEGPKEESL AEANFGLPEPLQDKSRYIPGVEPSEQPATADPLVERFDPDVVIAPPGESKGIPSLEKI AEKKLANPEDLEGQQIGQPELNPAPEASELNQSLEPVPLPDVSHGTVEEVDGEFAPML SKREKKKKRKNKQSLSETAPAMDKTEEEQADKSVVVEPAQIPGKEEAEGSHPALEDAP YEAAKTMEATEADFLPMLSKKEKRKNRRGKKATPEDFIAEEKTVEAEEDKVPDAAEPL ESSEPLGQPVFSSINPESPTEVSETTQVETEPAQSNRGKKKKRKGALQLDDAKKDDTE LTAPDTKELDTVVATEITREQPVDDTAPIADAAPKQLPGEFEEPFETEPEPIIPASEL LPSEGPLNAVSGLEPASEGQQIENPVSSSQVDVPLEPTESNENDMWPISRKKSKGKKG KGMKVSTGVDLPDVDSQRLDEATPDPMASDDKAEPPQLGTEAQPADDGITAPTMDATE ANWAPISRKKSKKGKGKGKQREVDTVQKPIEPHFEEDAKPPLEVTHDAEHAPAESQDD PLPAGPKATEENVEIDGGLECPESIDHGQEILSSEVSLPLLMGETHDLTRGGLDAVET SAEDLTKDENRGLAFDHSTSNDGLEHEKNVESQVSFDHPETVPSCPDEKKDVEPSSNT EKVDTPSPSNAPEMDESNRPFTLEEPINNQDLNSKKSPESPIEKVPLQDETPIQVDFQ APSSESQEQDASLSETQHSLSHTGPDTMAGVNDDAADNLWAQPKAKKGKKGKRKGRDS ISTAVPEAQEPTPNDSFLPTNNEPPTDATAPCSTEAEPAPWTVEPEQTALESIDTEKH KPSESKSELEAAEPATETQPHLPISSIAESDVWSIAKPSKKQSRKDKKRKQNTRATLQ ESPTTDDDNFEDALETPPETTENQASLEPVADSQLQLEVESTRRDSPNEFLEGVPAEN VEPASIVPLDIIDEPQSQEMPSLEKESRQTIEQEPDTTEKDSLAKPTIPELPIEVSTG AEINELIHESEKPGTGAVFEAAKTKKGKKGKKGKTQLPMPWEEEEPAIQEPDESASQQ KPIDEVAGGDLPTEEQEFGEPKSAKAKRKAKKAKGKQRESTSWEEEVPPLAPPDVVDT INTEADLPGESVTNVQQAIEPDFEEPKSGKSKRKAKKDKKKQSFDWSEIAETPEAVPT STPDTPGFPEPGQDDDELINATETPTRKIVTDIPEELQEERPQSNETIEVENMVENAE ADGKEFQSSKKKKRKSKRNAKATDWTDEIPRKQVVTEPADQDPSRLAVTEVSETDDIP AIDAQNKDQKTGNILESELISRQLRILPEDSTDSAAANPLPDVTEQQLSPDIDPVTEL PAPRSSPKSTEADSGAYLKAIENEDLVEEPQSDLADFEIVPLGPETQQNFPDDGSAQN HGGRSTPELTSEKHPANNQDQSEGDVALEAMASDEQSPCHIASPESPGIIQSPSLVTR IPEIHVGGNERELIGPTFPELTHEPELTEQPIEINPELPISAAVPGLLTDPSMAECEE PAMKLDQIDDIDDHVEEGQGEGIAVEQSGETDQFKNVQQVTFSQADEQGRNETTIDET AKPKQKKSKKTKKGQDTGDTAEAVRKKEDSADSPENADPAALEPPKHLPSKKEKRAKK KKGKKFDLGVIEGNINDNAAAEVPNELFDSPNSGILRDTENQADEKTLEISETSPSQP EAVPAAEQETPAKNSSEVGFELGPGTLEATPSTQEISTPSPSIDEFKRDNLTEVERPT MEHDSSERSPLAVVEAVADINVPDTIPGNQPTEQATPKIIPVDRIGTATAEDPKPDTL ADKFPGLDEALQEEVNLAPNPGTHNPAEDSRITRQGHFPQEGELLADEQTVLVSAGAP DQSSREASTKLKGGKIKRASKQTRIDTLKLPKEPEFAEPSVETSRFLEGKPTDVQVEN RLDNPQADGTVTEPPDTVLVGATEAEESPRKEPADAKVKEDAPRDFDSLPSIDWDGPK QGMDDISFHDEASNPETSSLDLQLSGDRIHSTEDTIAMDQSHLFGQIEGDIEDFDDDA KPLARVIVDNRSPSPFLGVLPGERQSDSKEQPPETFAADHAHSAAARQLNPPRQTAES TLGEIPEAHAEKGSQWNKFGESGAPGEDIWPVAQKLSTKRKKAKNGKQKQPNFENTLS TEELEPTAGISGITGQESQPDTSRKSFGPTNEDTISEPPVESNVSPLSRRKSKKKKRE TEVEPAPESKKPEGPEIAEQSTGVVGSVFPHLERVTRRKVSLEPIPAWGIKINPDYTE QWDEKSLLKGSYDPKVNETGERSVQMDEPSAMAQDGKTMQVPELSPILRPITPSRKLE PTPDGPDPEHVSLQQPPSLFGGPFGLTEPHRTRSPPKTPLATIKEQGSTESPSHTRSR EVSDVGSPERGIKFAKLDRKSSGIGLANHPKLETPDAGARRVSYETPRTTPKPAKLRS FSGPYDNILKTPENSEIARPASIVSVESLRSTDSLRLRRSPGSGDLRAQRKAELIGRK NESPTQSETEGATIEALASSSSYDPVTDKGKHPLRGMAADVYVS UREG_00854 MRSTRRWLPREGFNADVVSRVIKGTVLSPSLTLPLLLLARYTDK GQDYSSRRPLALRILKILVSLGLCRWLNGWVTRRALNNWVSDTYNWNAEVAVVTGGSD GIGQKIALLLAARGVKVAILDIQAPKYDTPANVQFYPCNICSQEEIASAAQSIRDEMG EPTILVNNAGVLKGKTVLGTTDADIRQTFEVNTLSHYWLAREFLPYMVSRNHGMVVTI ASLAAYVTSSNMVDYASSKAAALTFHEGLATELKTRYNAPKVRTVVVTQGFARTSLVN VISPENTWFNPLLEADSVAEAVVQQILSGSSGHIIMPGSSGSLASNIRSFPHWLQNRV RDRVGFLTSPPGGN UREG_00855 MSNAEFSEFFLAHGIDFERNPRSPLEVEFRRLAHKRGWTEKNGL FKKHWHECLVSELRFRFRDVLRCKTKHEALKALCDMIVDEQETEEITRYMHPINKTEF LKRMDTSSTKACIKILRRYGIINLIEWIDSQREQTYPVRFPSTRQYGKYTGHTKNFVP SSVIRQVPILKVLLR UREG_00856 MPRHQSTISPSIRNIVSKPSPANLDQFDPWNSSSTGHQRSENPY SGTGWRETRMQKLSMQLGKGDSSCGAGRGEWKWVTPGEREEEEEEKRKADRSGDIRSF FGVKKKKMLVDNGANISIYLGRRTVTHVILGRPNSPKLKESGTIGAGGGLALGKLQRE IQRVGGQGVKFVGVEWVLESIKTGRRLPEARFATLHMASGKQRSVLADFGV UREG_00857 MHQTQSYMDVHSSHLPSAQAYTSQPGNVETIPHYPYQQPPLLQP GPSAYAPTTSAYPYGYSNPVTSPQSSSQPVTSSIATHVPAQILPLPAMTTGPSPHGYA GSNNHAQTYDVPFDTTGQIAPPGMKPRVTATLWEDEGSLCFQVEAKGVCVARREDNHM VNGTKLLNVAGMTRGRRDGILKSEKIRHVVKIGPMHLKGVWIPFERALEFANKEKITA QLYPLFVHNIGGLLYHPTNQPRSNAVVAAAERRRLEASHQQGRPSQGPHPPPLHHHHS MHNPVTSQVSQSSSQALVPAGRPSFERAHTFPTPPASASSLVGVPSQGNSYDWAGSVH NSHALSIDTGLGNSRSMPTTPATTPPGSNLQGMQSYQSQQSYDSKPYYSSAPSTQAQF TTQQATAPQSMSSQGNGQVGHGSVVGEGGQEHETEYINDANGAYNSNRGSYNYSTNHS SGSIQGETNQLSSEMPTSTSNTNGTDRINSRPSGQAQWNPGYSTPRLGPPSSLYNVVS DTRSTSANGTTGDAYSTSSQSASTYPSSSLNGSNKRCRDDDDQEEPPRNESQNCEGGF EHKRRKTLTENPVGGPVGGASLALQSLKNGGMPRRR UREG_00858 MAVQERPILTTLDNCSSFSQCRQRYSRVGAVAKFFYASGKTARI SGMTRKKASFQMRMPFPSAFRGDGERDGIKQNHAIESFARQRDQERIMIPEDPSKRFS SDFGADTDQSSFSPPFREDDSMGSKETSKRFLSLVAQKAMMSGYQARELGKGKFSLTQ RQSDPCRYGNPLARRGEASGRERA UREG_00859 MLCYVAGESAFPAEHFAFSNHKFLHDQTTNSVVVLRPVHDVFLS RAKRRNQTHKVNATHPGPERIKTGGMKAEQSQVAAHWAIDWWLQRPRILRPKQTPSKI HGCQEASSVLLLTAVIPTSSSHHRSSPDIGQAVKELYSSGLLTSKILASGLSIASKET ESSQPPLPTQLSNGIITAISIRR UREG_00860 MAPHRKKKKPASNPARGFTTVSIPSKSQSTSTEALPKPDILPTG VEAESTVAQPENRQAETSLAELSPEELEKHLEEAELQSFLDKYAGKCKSEASRQAGKL ETERRTLRAQGVGLDTRDWLTPDIRDMILEKETKEVEKLPSFFKFDENFNSMANEEDL CMNLWTLKQTLLKLGFSEHQVDEALGGILLHCSSDAASSKDFAWGVYQAFEWLALHSA NDELPPYDQSKTPARMGAQIDENEVPPPDSGSSTPVRRRTRKSPTNFSAQATFESEAS DTDLDPENLIPRFIELRSRIYYLNPSFFNPFRANSKRVNSSITDPTINAQIEKLKSKL SLVEKDILFDPEVAEARWKDKLSELRSQTSEALRRGLGAAEHQPEALLIRDGEAAVTI VPSDKSPEPTLFDSDNDDGILGDMFTADTTTLEATPADKPGSESATVKIRDFGKPLGI SPRRILEETCRSRDPGFKLFYRDLSCTPYSHRKSIEIFWSKTQEAPPKFPLQDVSYSF SSRSLKASMDSIKNQADEDVVLVENFKRRNGDARSNAEESPQRKGAGFLTSAQYCQSL WTDRSSTTSFKQMMIARKTLPIWPFKEEILETLLTNRTLIICSETGSGKSTQIPSFIL ENELTAGRPCKIFATEPRRISAISLAKRVSEELGEPKDAVGTKRSLVGYAIRLESKVT TSTRLVYATTGVVIRMLEKPEEFKDITHLVLDEVHERTIDSDFLLIIIRRLLSQREDL KLILMSATVDAKRLSAYLDGAPVLNIPGRTFAVQTNYLEDAIELTRHYSHKKEPLDYT DDSESSDVEEVRIDEGVRSTLAGYSKQTRDAVCSFDEYRLDYKLILDLIYAIATKPEL ERYSKAVLVFMPGLAEIRRLHDSILSESFFGDGWIVHSLHSSIASEDQERAFLIPPKG MRKIVIATNIAETDSTSDDKYPGSSKRLYLAPMPNNAELAEQQTPEMLRLSLQDLILR VKICNLGDTEETLSEALDPPSSKNIRRAIEALKAVKALTGAETLTPLGKQLAQLPLDV FLGKLILYGALFQCVDATVSIAAILSCKSPFVHAGASNDQTQAAKRTFGRGNSDLLSV YNAYSAWSRCRSAPGMNEFAFCRKNCLSPQALLNIEDVKTQLLVSLVDTGLVKLDVSE QAALNRARFSGRKRQFFTVPDRLDINSSNDLVMDAVIAWSFYPRILTRQGKGWRNISN NQSVVLHATSVNKNADASIKWLSYYHIMQSRNRNYNAHETSAVEDFCIALLCGDADFK IYSGVVSIDGNRIRFSMKDWKTMIALKALSTRIRDVLAQIFRLGFAVEWFENRTPYRA LKDDSIDRALNRQNPKTFQLLATSKVPDHHGASPDYGLILSV UREG_00861 MDEIRWNEVNDGTLDSLKPVILSGSTSRRRAGLHELHEKILSHE LPKELDRPLLNLLFGTYPIYIDRDSRRDAQRCIRDIFRAPISSVDLKDFACRLQDECS KSTIAAANAFVLIEWCTLFLQHLSQRGVEKLEDTTQLAVNVLSANSKALETCLRSSRK EGLKHSAVIVSRRALRAVLSKEDYGNEVVRRLVRQLTAEGALGFRNAPYLGVLSGVCA RLPKRKPILEEMKADVFKFYIKDVLGSRTVVPRHIADGLGDFFSTYTSAEDLQKEIWP AVEKAILRSPEVVFAGIIPSLVSGIPQEFDLSEIVFTRLIKPLLASLKSANFPVRQGA TKAFEALIARCSEETWLLKIVDEIILPLRTSKISNAEHRALQAQILTALNCFPELSNK VLSGLSPVFARESNEVALESEIQAFCHHLTYLIRSRSAISKDHFAVVTKGCGEKRSSF RKLWISHVGEVIWNLDGDSLVSSSNMKMEFLKPVADKFLLSYDEITANPLPAVQGGTI LIAYVLLALSCQNLQGVKLDSGDLLVNSDDIISQALSWSSKQSFLLNPRVFSKLTSKN ELIWNIRALSNVSTQPAFQNVDPSVRDAWAQAFIYVIAAAATPTKVREFAVAQLTRCY FKSPQMIGSTVINGIWKWLLAVDTGDRDSAAFSAATGRDKLLLAIRAIVPPPSETPGD AMDRSILDPQLIELLVLCRPELIPKANWIDITLRAGSDPGKIAENYPQECISQILLAT EDPVRVELSKGRNAAWSAAADLAFVAPDVMIPKLVKQFKEDLSVERFTEFGPMDIAIA RHPEGTVFIDVLSTKTKQLPGKGDKDYDTLKWEEELRAEMAQKRGQQQKKLTAEEQAK LKAQLAKETEIRKNVKYQEQIIRRGAGIIENLARGPSTETSRWINPAVSALQRLIRAG AGALVGSSIAEAYISCTSRISSRLEEIRPFVGIATLRCLGRTYLPSELEVEPLGSLVT RILYRIRLASEQRPFDVVSFGIVLQLIIVLLEKDGVEETAESRGEQVLLALEFLSIHA NLFSDERLPRAEVLRKLISAMRIHAEHYKLMRDLLVDICRSITDNVQPDELTVLLQGV IVPEASVRTAVLQAIETEIDLTDLDFSEYIWLGCHDHVDENSEISKAIWEENALEVDE NSPDSLLKYLGSADSQLRSAAAEALAHACEVGASIFSATMEKLESRYRDEIKPKAPEK DAYGMPKKMETPDNWELRSGIALALKSMAQGFHGDQIVSFLQFLINDGPLIDRNASVR RQMAESGSAAITLHGQEKVEELMQLFEKTLETSDKATEQSDWLNEAVIILYGSLARHL KAGDKRLQTVIRKLLEALSTPSESVQFAVSECLTPLIRLSSSETTTYAEELMDQLLHS KKYATRRGAAYGLAGIVNGKGVSALREFRIMPRLKDASENKKDPNERQGSLLAIELLS VILGRLFEPYIIQAVPQLLTAFGDPSIDVRDACLDAAKACFASLSSYGVKQILPTLLE GLDDQQWRSKKGACDLLGAMAYLDPQQLAVSLPDIIPPLTVVLNDSHKEVRNSANRSL QRFGDVISNPEVKSLVNILLKALSDPTRYTDEALDALIKVSFVHYLDAPSLALVVRIL ERGLGDRSTTKRKAAQIIGSLAHLTERKDLTSHLPILVAGLKLAIVDPVPTTRATASK ALGSLIEKLGEETLPDLIPSLMTTLKSDTGAGDRLGSAQALSEVLAGLGTSRLEETLP SILQNVSSSKSAVREGFMSLFIFLPACFGNSFASYLSRIIPPILSGLADDVEAIRETS LRAGRLLVKNFATKSIDLLLPELERGLADDSHRIRLSSVELVGDLLFNLTGINTKGEF DEEDDTAAQAGQSLLEVLGEDKRNKVLSALYICRCDTSGLVRSAAINVWKALVASPRT LKELVPTLTQLIIRRLGSANMEQKVIAGNALGELIKKAGEGVLSTLLPSLEEGLLSST EVDARQGICIALRELVVSSSEESLESYEKILISIVRTALLDANQDVREAAAEAFDALQ QALGKRIVDRVLPDLLNLLHTDADADRALAALLTLLTENTRANIILPNLIPTLLANPM TVFNTKALVSLAEVAGGALTRRLPNILNTLIDNSLSAKNERLRPEITNAFDAVLNSVD EFDGLNAAMSVMLTLMKHEDHRKRAAAAKRLSSFFAKTTLDISRYYPELIRVCLISFD DYDRDVVAASWEALSQLTSHMRKEEMELSGDIIDRTSPDSLKPFVTHITGPLIRVVSE RSVDIKCAVFLAINKLLEKIPLFVKPFLPQLQRIFARGLADSTSEILRSRAAKGLGIL ITLTPRVDPLISELVAGSKTTDSGVKNAMLRALHEVVDKAGKNMSDASKQTILDLVDE QSNDRDDATNITNAQLVGALIKALPEAKAVPLIKNRVLTTHYTHLSILALNSILAESP KSISDDFPDETLSIICEGIKNKDVFIAENSVLAAGKYLLTTDIDRDAETDRVVLDALA SVIPPGNPADIRRVALVVLRTVSRLEPDLVGPHLSLLVPPVFSSVRDLIIPIKLGAEA TFLALLQVVEAGGVIFDRYIQGPGANLPMPTKRSMQDYFKRVAERWIWAISLRIKSQP DLEVYISVLVIGCDKPCSTHILTCWRCRDSNNHPSTKSAIDFNGIKMVNLRTQKRLAA AVVGCGKRKIWLDPNEVNEISTANSRQTIRKLISDGLIIHKPVTMHSRARARELSEAR KIGRHRGFGKRKGTKDARMPSQVLWMRRLRVLRRLLAKYRASGKIDKHLYHELYHLSK GNTFKHKRALVEHIHKAKAEKQRERVLKEEMDAKRAKTKAARERRQERIAAKRNALAG ETEEA UREG_00862 MATSISAPGGESGSLGGSLPKQEYSLRLIAIVASTVGAMVFALI SLALYLYSRRRATSRTLRYSLNEPKASAPVFSNEAHKRWFCWPLPSRKMFKQEEHDSN SSSSQRGPELLQHICFLLSQRNDLQTSGDIPTSRTMPTNLEPHKEGHPLPNTKTRYDS GNCGIEQSSPQTPNPSRTSLTTVGETPSRSLSMESNKSSLSERFHRISRSIPSPSLDL PDEFRLEIPMSNTLPRRDNGKSPKPTHTRSCSSSVIVLPGRSASNSLSSRTPLHATAS VLSRWRRVRDAGPDLTNRRSDLFDLLQSISDLAVDEEEYLREAKDDCELSPV UREG_00863 MKALSTRFFTLRRPTSPDSIPISTWPSCKPLALCSRQSRFWIAL SSEDNVFVTFLSVAWRVLSNPLDLGSSLSRVDLAIPSLAVDVDKERTPPPPATPLDFP SYIAHQEPRPVGELSCDKLHRLLSRLKRPQDLTREFLDALNLRVEPDVAPVDLIPGDT LKSLPPFKWPNESEQPEASASSPAAAASNLMCNGSPFPDREKYDTLRRELLFDNDDAF RSLARLEPLPGRQKIRVAHARKFWSGLEHMSQYWDTSLDQYIERPTHCSPDATSTQDA LLGPSSEDKMDIDSDTFARNKDIKSDSANPHSDPAAAGTTYKGRRIGTGKDMPETARE EALRGFLEMVSWSFGCQPSIPFLPPRLFVKGLLFPVRQNVTISRAPQDRQLARKGFLE GPVMIVQCRGETVFHAPNSAFNHSEACDILRETAAMLLCAQERGREGCTESKPGDGKW WATEPRWGGAPNDGPVGDEEGAQLERRGSAPAADAKKNDSKRPKHDRHPFSQRRGGSG QSRRRSMSDQWKVVQPGPGLWDKKMKYMRIGKPVDSPFDDVGFFPISMYSFA UREG_00864 MASSRWLPIVSPRVERQEATSEMRNEEFDVRKASLMILPFCLDS TVEWRSVDALLSRTTATPLDDIHRPRVATVSLITPATVPAGIRRNPVWSTGHQRSNSA CQPPSCPYMHPTTPAEIQFFAPNGSSLCHPLSAQTQPHLEPSVRIVFLPVMDNPSARV SFAQHTRDGDFYYFAPSYDHSLLTPLQTETGKGDISCSNLSMPPTCASWKLNSSRKRM DHVGHIRIFVPETWAPVVQPPCYEPSPIHTIYPPITQHRAVG UREG_00865 MDSVTTDEKPKPTASYSAPHPPPPSSSSLPPQLQQPQQPPSRPL PPPESPNTLPPPWSRPYPPFEGANAADQRGPSAFTGPPREASHHPHDMYSRPSALSGP SRTPSDPAFRPINGNSHEGSTSLPPTPTAEYRPPYSYGQSNETHGNCEPPRGLQMMPP PTAPENMQPIPLHRPYGPGTPLPHAPTAYDASYFFSQTAGPYNQRQGQGRRTTRAQQA CDQCRARKAKCDEGRPSCGNCKGGNSPCVYKDVPPHKHERSTQIILERLQQIDDRFEK FDSPDKMDDVIRLLTAQNEKLDALMGNSSQTISSNSIAEPESKQPLYHATPPQQQKGS ETEPAKLPVPDKVANDIVKQEQGDTQPVGDDELSIPIEHTTAAHKLLLWPSIRALIPD RIDDDYVMKLEEARGPIRPYGRGEGCGSIRTSAYPLSPIVSSSSPRDEDMFQFCGSGW GTGFEIYQGNYSSRVPKERQVGGLNSAGALDLDVDVVHAYFKSYMENMHILHPFLRAS DLANMIRDFVQAYSPQKRAPFNTPHFGHIGAGDHTILNRAPKRKRSVDVATGYNELES PGSDHSASGGCPPIQRSMDNAIVLLVLALGAICSWKGDLPGPIPDPPSKLSYVTSAAS PITVEPAIQPPNSFRSPTSRSPNNIQHWRRSGSYSGSDISDPNLRNMDVIPGMAYYAL ASDILGNLQGGNDLAHAQGCLLAALYTGQLAHPFVSHGWISQAARACLVLVRPRSFEV MPDGARKDLITFAFWTCLQLESDILAELDLPASGISRLEGWMEFPKAVFAHSIPNEIE APGTLMMMYYSAQIHLRKVLNSVHTHLYKAEKTSRGQKGAKWTTSVHQALSSMLESWR SGLPGAMQWHDSDEPASDINIARMRGKYYGARYIIHRPLLHHALHPMIPKPHNTGPAE SPAPSVASSANSQISPALTHVQQVETIDRWPGDMPPPPRITTSQDPPFERDLDPKLHS ACVTCIEAAMQSTIAFDNVEGRPIVTNIFGTAHAQFGNILVLAATYTSRLSNFVDRER LRQLIDRTIKFLLRNRHISPSLRRDAEILTQIRQKLFEQPPHTTSFSSNDG UREG_00866 MSDLHLEVGQQYSSFHIKPRATRLILAGDIGRLADYEAFRDFLS TQCGQFTAVYLVLGNHEFFGVSRQEGLRRADRLHSEPVMNGRLILMNRKRVDLQDVTL LGCTLHSQILPEAEEIVGHKVNDFRRIVDWTVADHIAEHLNDVKWLMDEITRIRSTEA GLKQKIIVISHHAPSTKGTSSHSNEGNLWSSAFGTDLLQSNERSCLDDVQWWIYGHTH HSTELTHGELGVALQSQSNKEEIELVFLCWSLLPSMLGYIAKSLARPASPPIDVNNHV LHFLQHFDECRSNKVPSLNKQRGLPFPTHKPCLTLITIIPVMVRRPSDTHYSINNSWL QRTFTLISIALLRPFRPCQGGVLMLTSNLCVKYGPSRHISEAEAMAYVARHTSIPVPR VLCAFKKGGVTYILMERIKGVRVGKIWASISAQEKESLRSQLRRFLADLRSLSPPRPG HIGDVNYSELYDDRIYSGGFGPFTNSRDFNRFLRHDVIKPVKDAELDQLISDHEKQEY KTCFTHGDLSSYNILVRDGCVVGIIDWEMAGWYPEYWEYTSAWHVNPYDEWWRPEVEN ILNAYPAELEMEKSRRKLFPMF UREG_00867 MTKLSSLLLPLFIGVHSIIAALVDLSEGFCRSYKFGSNIANNVL YLNGLDGGLIPADGDASTNLASIDDYLVQLDLTRKFSVDVGSYYRMSLIDPAIPKLKD QALWSSQDNSTLYCYGGRGVSNTSADNGIWTYKTAEKTWQLQQASVRPVRLVKGAYVN APRIQSAYWIGGYQSNDTSLAIGSELIFAKGMIQLNTTTGELKELDSPFPGVQQGALV YVPVGELGILVFMGGEVPSVTSEVGAKINYTPNSWNYVQVYDIASGKWFNQTTSGLAI EQRTEFCAVVLHDESSSSYQIYVLGGGTFSSTKALSDVSYLSIPSFKWYQAASLDEPR MTLTCEAYGRQIFGIGGRKARYDDARAGCYTMPAFLYDTKVESIVTTFDPALVDYSIP SATKKDIEDSPFPSTWADPDLESLFPPNATSSNTLLPTPFNYNSKNEIPVGAIVGGVI GGVAADGGTPESSVGQQSKDRKDLKMVTIPNLNFQ UREG_00868 MVHLGISTTPCLPDAKVSESIDYGDLIDNEHDDVSTIEAEAEEE VRYGFPPREYYPIRIGEILNQTYHIVHKISHGGFSTVWLAEDLKRQRNVAVKVMVSDF YGEVEYQIQTALHQTVQDKSHLVLYEDVFLLSSPETNHYVFVFPFLGPSAGSIVGGQL PIPTRMHAAKQLLQTIETLHKSGFVHRDINSMNALWGSTLPENLSIADKYKILGRPLR KLIPYTPWKQGELVKSIDFPIPFCSEKIHLADFGTTMQIDNLVMLNDKVSNVIPPDDY CSPEQFHGATPHYSCDMWSYMCVFSTLYFGFPLFRNLNNVVAYLGPLPEYLKGKYLWP KSSKDEWYDQNTTATASLEKRIRFHKPELSSIERNLVLSVLSKGFCLEPKHRLTASQL LRDPDFNALINLHCG UREG_00869 MPDKRQQPDSPPTASQRQPKRRKSNASAPAYWDGLSKIWLTKNA LRELDRRNVYSQLPQNHRPVTRLFQATTKTELFQFAPEFIRACPLNCRRRLKSMPCPQ RRKRHAESLLLSISKGKRTTAETSTASAYSQNFQQNLIDHGIYLDDYEFPNGNVPSQP NNFDEIVERLARLQPSLSPSKFSDEDFKKFKRANTNASKERLVTTSVIPIIEGEISNS NCVGGDYPFQNLAPLTDGMLASAKPDHFYGARPEQLNHQIRNHLSHHIIPSTQDDLPI VPNFFLEAKGPDGSLAIATRQACYNGALGARGMHSLQSYQQDGPIYDNNAYTLTSTYH GGQLKMYTTHITRPKDGETHPEYIMTQLNTWAMTGNREAFQQGVSAYQNARDWAKETR DKFIRAANERLSLACSQDSFSRQQLLSELTPVLDDSDGPTESGEYQDAQRSFAIPVER GEEDHRKLRRPRIRASESLATTGDVVSHTTSDKAPRLQN UREG_00870 MKASTIIMLLSLGARVIATASCKCSGSGADVDEHTKECCGRYPP FCEASYSDSTKKGNSGASRFGIGKLFCKGNTDLELRGACPMLASHPGFTLPLPMTRIA PSLREVSTLNESNVYRLGTLTGNCPNSNPTMLEYYTDPGCKNRAGGYAFKDHQDRLRT PPQHGGSVKVTCLW UREG_00871 MDAPKKTAAPSATTSMTFNIFLYDPQAEVIKNHSFATVDVDTSS LLLSGVREALIKKKALDWSFAEGYADRQNIYFKWHKFRTGLDDDTKEFVKGKLDLLLP EHNPQGIDRQTVKELISSFDPSRWQAVGSDKPANASAMSEDDWKMSTKLSLAFTIAKK EESQLATETTTEDDLDPVDLKIPLFQVTDSSFVEVMETKSFISTMLAGSTFSQTDVEA SVGGGFGGFSGAVKAGLSTSDQKVFEQSTQASTAMMHISYNFPRVTLHLDRETLQVRK QCVDDLKGIDSHDKFARFHEKYGHIFPQKVLLGGCLYFQQYSDSFGEVSTEAKSKSLK AAASLSFSSPYVQAEVSASHANSESSTSGENKSDFRSSLTWHAHGGNTLLCNNPPEWC GTVGDFQNWRIVQQRNPIALLSFLRSFSVELRDAVDKALNYRD UREG_00872 MTVPSTDQEVQSEAYDELKAKEEGDPVYREQLRREGRSLAYPNF IWGPPSHLLIQAETPPPPPIKYDQLYKIEITVFERYVISTPVIGGFYHKSFLFEDNGI PKITPGPAPDARDRWGSEVRIQYLGPEPDPPTFAKDGDKVRVQIMEPGATDQDEPAPR GYLHRLLNYSVGTTQYAGPDYITFTLELLPS UREG_00873 MTPEPQVKTAIDTYFHVVAASKSEIDGYLPRARIDAQLKVMNEA YKKHDFSFNLKGVKWTINSMWADNRDSTREREMKKNLRQGTYQTLNIYFVKKLENKGD LGDCYFPEKVTPAQLIKDGCRIVSSAVSGDTGAVKSSADFALGLFHTFENGCTSPGDE IEDTSYQKEPTQGCPSSPPPSKCPQGGNDPIHNYMDYSHE UREG_00874 MHEQLLDVIPLAARSGGLTGDASSRPPVFKAVGISLAVASGLFI GVSFVMKKVGLLKANVKYNEEAGEGYGYLKNFYWWAAYAFVDAILVTPLGALSVVVTT ILSAIFLKERLSFVGKVGCFNCIIGSVVIAMNAPQQSSVSNIQDMKHYASRPAFLAYA GVLIAGSAFLAIWAGPRYGKKSMFVYLSVCSLIGALSVVATQGLGAAIIAQISGQSQF KEWFLYVLLVFVIITLLTEIIYLNKALNIFNAALVTPTYYVIFTSATIVTSAVLFQGF KGSPISITTVVMGFLQICAGVVLLQLSKSAKDVPDAAIFKGDLDQVREVAQQEQPETE PKADAIRGTAAIIRRISTPRQKMEMDEILRYQHERKLDESQQAGEDEIIEWDGLRRRR TVVGSGAATTPRSTKSLHPPLGMSRFPDLDEESTTPPRTGRSFLGSFRSRASSLLHSP RKSSEHSQSANAGMCSVELDSIPDNSRTGGNGYHKYTEIKNHPADELLAPFQYDSQRR MRSETLGSVRFADDVKFNDDPPTPPVHSSHRQFSFQRLFHREAQSPHAKSPGEASQER DNGFFGFPGFKAHYSPSRPKHVMKNATEEEVLGLVKGETMETLPSHDRSRTPSPTRSI LESMDPNTFGESHTPIASPSRRSSSTSSSSSLHYQHRFNRAPSYAEGDDAGYGAIDNP IRSSLPVTSRPSLDISSRQHTEHSTLENARSLTAEAAVPVSLPRSTVQGRSLPPLPTE ADRFHPTPLSNPPQDIYTQNPNISIPPLPPDPCSIPAAPPSVSSSCGEMDVEGYDDHQ IDPRHRDPRGF UREG_00875 MPEEGKSKAALARDINNLVTLASTTNVEPMCYSHQAQANWPRTK FSQRFTLQDAIATVLLDGRRGEMYAVGLQVDHDEDPSKRAIVAYISTNQKVTLAKTIY LEDVFNILQKRARVYEDFLTKKTLFEQQCSRAEAGGPKVTVEEPSLSPNLLHDLMRLV YRHCFKGWIKSIHNSIADMNRFKATMMSIVGDGLDGSDPNFYLWLEPLTYLIEQFDWV YESTDSYLQQERDPRDLVSHPDFVSKIDRLESAYRVFERDREALDKIEEWHALNQDTE EPITTHLRRFVDFQIAIEQLIRVSQLPKAIHFLRDKSLEIVYIDDFNKLPIKTTLPTD VGSWEAILAQALEYNDLRIKPDQKAGVDRHLAALSEIASRHPTGRKPTVHPPTQLLQF FATHQIHPPPLSIIGSSQPVCAACASLFTAWHCLFEYPSYISRASDGRYPFPWNVPTE WVGSRIGDDRDVLEMVYSRLAERLGTALSDAGIAEPAGSEDSQAEGVREGGNELGSKD SGGGMEGHDAWDVGRDEKSDINVEVVVVAPEEDEGDGRLVTIME UREG_00876 MENITASTVRRKDTTKGPPLRILCLDGGGVRGYSMLIILQELMY RTYVEAEGNAPRRDQIPKPCDHFDLIAGTGTGGLIALMLGRLRLDIETCKNMYPRLTK YVFETDKTIAGIPYRSTLFKASRLEEAIRRCVREHTSSESEGNDGTVPSLTGDYALMC QSTTSLASGVSTRRLSRSSSHLSRTRSTHSVNHPSSPTHLSPTMTNLLPYNPAGMGAS RWGNPDALLYDNREHRTKTAVTAYFKGTPKNGPPTLLRSYDSRKEPPPDFNCTIWQAG RATSAMLHHFKPIQIGQQMFLDEGGGKFNPSPLILDEATVNEWPGREVGIFVSIGTGK RPASSNNVRHEWWEDVFEDFAEAKRNLISKIEGCETTHQYMLKEYLAKRNVPKDNYCR LNVEIGVGEYGMNEWTRLTEISTNTRLYLAKKDVQKMTHGAATKLAKIHRTHRRIQAH EAAVAAERTHGVDPTMRPLPPPPARPVPPVPAARPQPSPDHSPASPPRVPQAPPTQPV YELPAIELPAEPLPTPQFSLFPKPPSLSKPLPRLSPSNISPPGSRHSQELPANPLPYT TTSPRVSSDTFASDMAPPRPPKTPIPQAARPVNTHHVSHGNGTAAPENVIISMPSPTQ GASRPIGMADPSPNKWKLPYPDDGPPPPVNKFRKPRTNARA UREG_00877 MHVSSFPQMALFRTDIVFPDDTQDQRGQIVVTYVPSTGDNNTAG IETLNVPLEPSVDGLRVFEVVMHKSPTEAYDMGKQYNSWFSSRFGFDVVLAYLGENRR PLLGNMSPTTAQHSTQSSWFSRVSQSVASLAGWAGGDATGAEGITFADVAPYLVVSET SLQNVSARLPETMDVTKFRPNIVVSGAPEEFEEDFWAELTVDGDITLTLTNNCARCVS INIDLDAGAPGTGEMGSVLKKLMKDRRVDKGTKYSPIFGRYGFLGNGCDGAPIRVGDE TGQDLRIDISRSMFKDKTPDLASPFHYSIAPFAHTLLLLSKQPNNASISRTRSAVCEL WS UREG_00878 MANYDAGEISTEAFHQPDSLGEFHIAGRPRCPWQCYAESVVFDK WCLAKSNVPATDKYSEGYPGARYYGGNEFIDQSERLCQQRALQAFGLNPEEWGVNVQP LSGSPANFYAYSAVLQPHDRIMGLDLPHGGHLSHGYQTPTKKISAVSKYFETLPYRLD ESTGLIDYDKLEEMANLYRPKLIVAGTSAYSRLIDYPRMKKIADGVGAYLLSDMAHIS GLVAAGVVPSPFPQSDIVTTTTHKSLRGPRGAMIFFRKGIRRRDAKGNPIMYDLENPI NAAVFPGHQGGPHNHTITALAVALKQAQSPEFKTYQQNVLENAKALAGRLGNSTNSGG LGYNIVSGGTDNHLVLVDLKNRGVDGARVERVLELCGVASNKNTVPGDKSAMKPGGLR MGTPAMTSRGFGPEDFSRVADIVDRAVIITQKLDKAAKAEAESKNRKNPTSLKAFFEY LGEGEEISEIVQLRKEVEDWVGTFSLPWTEE UREG_00879 MRFSVAASLALAAGASATIINPTGASVITEVVTQYTTYCPGPTT ITHGTNTYTVTEATTLTITDCPCTVTRPIITSTVSECNDCPAPSGTGVIPPPVEPPVY PNGTAPAPPAGTGNVPSSTVPSPPDFTGAASRMVAGAGAALAGVLGVAFLL UREG_00880 MDSDDGPLDSDPTKANVPLETAGCLGELTPEELLLEKKLLRKID RLIMPTVVSVYLMNYMDRNNYASARLQGLERDLNLTPSQYQTGLSVLFISYIAMQVPS NLLLNYAGRPSLYLGFFTVAWGCVSALTSLVRNYPQILACRLVLGFLEAPFFAGVLFY LSKWYTKKELTLRMSIFYAGSLLSGAFGNLVAAGILKGLAGKYGLSAWQWLYITEGTV TISMGLLICAILPDFPDTWRLLTPELKRVANRRLAIEAAEADVDATGGMSQLVGFKLA MTDVKTYLLAIAFMANNCASGFQYFFPTLTATLGLSDTVSLLLVAPPYIFILIYNIFH SHISDHLGNRFWFFIYPIPISIVGFTIFMTTSAFAPRYFSLFLMSFVFTMVPTCYSWI ASAIPRPPAKRATAYAFINSVGNTAGIWTPYTYRDRDAPHYRLALGLCVALQLVAGLM AVILRWYLVRQNQELEQMEGEGEGAEERVRLMGEEAGEHTATQLPKGFRYML UREG_00881 MASHPQDKTHSLSLRDPEAFWSHHAQALHWHKPPSKSLHRSTKH LANGVSHEHWSWFPDGEISTTYNCVDRHVLAGNGDNVAIIWESPVTGSKQKITYSQLL EEVEVLAGALREEGVRKGDVVLIYSEADCKNPVPMVPAALYAALAVARLGAVHAAVFG GFAAASLAQRIEAARPRAIMTASCGVEGSKGVIDYKPLVEGAIAKSSFKPSKVIVWQR DQLRWAPMQKLEGQRNWQRIVKSAWSRGIRAKPVPVKSNDSLYVIYTSGTTGLPKGVV REAGGHAVGLNLSIKYLFDIKGPGDVIFCASDVGWVVGHSYILYGPLLAGATTILYEG KPIGTPDAGIFWRLVEEHKVNVLFTAPTALRAIRKDDPDSRLLEQVGARQGLRHLRAI FLAGERSEPSIVRAYQDLLDKHGAPGSMVVDNWWTSESGSPMSGLSLRSAIGLDHDSR DAHRPFPVKPGSAGKAMPGFDVRVVDDDGKEVPKGTMGNIVLGLPLAPTAFTTLYQDD ERFYKGYLKRFGGKWMDTGDAGMIDESGYIHVMSRSDDIINVAAHRFSTGAIEQSILS HPSIAEACVVGIPDSLKGHMPFAFVHLRTPPSPSTPLPATPSPEFFASVNALVREQIG AIASLGGIIQGQGMIPKTRSGKTLRRVLRELVENAVVGRFDAKVNVPPTVEDGEIVEV ARERVRKYFGERERRQGRSKL UREG_00882 MTGKMKLYKLVVLGEGGVGKTALTIQLCLNHFVETYDPTIEDSY RKQVVIDQQLCMLEVLDTAGQEEYTSLRDQWIRDGEGFVLVYSITSRSSFSSIQKFYN QIQLVKESASSGSPTGAGYLSAQINGANSGPPAPVPVMLVGNKCDKAVERAVSSQEGF ALARELGCEFVEASAKNCINVEKAFFDVVRSLRQQRQQQQFQQSQHSTRHLDRRGTAY GAACSRQPVPNTRGLLGATERTISGTQ UREG_00883 MAQRRGCLLVGEQFIWACWPPKITNCSLGQDRGRTATAWDKNIP ELATEESLGGAQCCLPELLALLLGGEVKLVIAFLLLEIERDRHHDTNDLF UREG_00884 MLPFALAEWFHMMLMNIMQCNAWPNHPGHACQGIGDRALRRELG PWALPTSRPWGGSILSQAMGRWNRPMPDHQTQAPMPMRLFAAEYRVSTCTVSATSPTT HHDRRMLLVVDIFPAVAISQYRIGIRTSRDCSPAGGTLASTHICYRSVKAPNHTTYAT LEYRYRVPPGCLVISTVIIAGESSYPCKYLRTIWKILCVKSPLPQCASTPHALRSSRM ARAGQILSIFSVNATRWSNIITRSCRRTKGKRQETISSPMIAVPKQPRLGENVDWCSS PRSAVDPPFIYPSCAAQANATLEGTVQITTRQRPVVSSVLVGLNRTFLEVAVTSSAWG WIRLLPLNPADGG UREG_00886 MRFCHSTFPDQETHAFCGPEASTAAGTRVQEPLESRLLHRKIRK ETAMTIVEATEQRNSLARELRDPALVDRTGSVPSRKNAGRRSQTGVNHAVLRQRNRRI GRCDRAARPGKKSRITPARPSPNTSPVHRDSAALETAPVLPPCKDAQAHASCNPNGEL KTYRGLARKSSSNRASALW UREG_00887 MATDWEKERGYLTQDPSSPSDSFYKTPPASISRRSLHRRDSSPC PPSSPPLFPTGSRRDAESRNHVHLANDETISPLDPRRFTPTLHASLVSEILSLRRDLE GKTKEIDTLESSLETARVETESLQELVSRNAQETRSLKRQLQLLEGGSNLAMTELAKE RDVALESTSDVRKRLEASQKKVRTQEEQMEQTQQLWDQDKHKWDEERRNLERKVHVVE GRLKLVLSEIAAVEAFNATHGAAEGDTDGHGREGAITRASDATSIRSSSRRSTASIGT EADVQHFRYSVMSQANGLAPKAVALNLADELAFDEEEEEHTAYADDEPLPEENYTPNQ TNPLDLKARRILGLSLDGRDALAPKFLEGNYDIDDDAKTISNQVRFEYRDTGIQYTPP PSPKLVPVEVPSAFESSGTQTVEVSIIAVTESREISVQTTQIPEKTDSSTITTPVMMV SSSCQTTSEPAEKVVSDGMQNEIPVHNLPATPETVSSAMQTDDVKVDQVPPPRVQIPI PMIAVHPPGSNPPSPRTSVVLPPQTKSAASQTDLDLLPNTRSAAMQTEEIRVDKRTLN LTTGLLPSAMPDTPDSDMLPYLAPPPKSPRRKLRSPPPVESPPRIVRAKRAGTYQAYP GNNDNGPLTEDERSDIRRPFRSSSLFAGFGDLSDEELPRKKPEELFDDDDFLNRPMAS YTLKSGKLVSKNKSSIFDDNHERQHDEESSFNTETTLHRSAARNKTNAKRPARAQLKQ ISTFKEPDMRRAAMISSSAAAHQSFRPRSPSAPNFSGPSTQNNSLPPFPVPVRFSSRK PRGGSEGAQSPTPYSNSNTSDRMGEGFLRKSRSAAVVSRLQLNGHQSPPALSPTSIAP DSPQYPPMPLDEITVPRSNGGPQKRMNRQPASRAVSQAHSRADSTATTVQPTSVVDAI AQTMVGGWMWKYVRRRKSFGVTEKDNWDAGKSPEEAAAAAANTGVRHKRWVWLAPYER AIMWSSKQPTSGPALLGKSGRKLTIQSVLDVKDENPLPKGASPQNQFNRSILILTPQR ALKFTALTLELHFIWLTALSFLSHSSMAMNDLAALPPIPQEEYTPRQPTATLRRNPIR DSIKVAKGKNRLNGKSKHPFRSQPAAVPEVPHIESHGDEPVADAADPPYVPRFASHTR KRSNTAPRPAPGTFRSFSNNTTAPSTYSATTAGSSDLYSPSSIDPSGLHSGKSSFSRR TSEASGPISAGMGNFFDAVGTVRMEAFVDRPEISRQRGYRSRHVGRKRDLSYWPQSPD TDFPRSDDGSDIFFRNDDPFRGF UREG_00888 MDIKTYVSDSLLRLTGASDPTVIDFILATASSAKSSGALREKLE PFLDPEAGDIDPFVSELWSRAGKPGSGGNLEKQKKPEATAKKRYRLVEMEDDVSDQPG LAPMDAEKTKRRERNGDSRSRGDKEEKRKRGRDAEGSGRHRSRKLRRIEERDFEDRWG DEQVPEDEIERHDRAEDLVEGSLGQRDGSPSVASVSSDADEGTKREKARQRDLKERDE FAKRLAKKDEERSKKIVEDRSSTKNSDVARRRALAEDAAAREAAMPDLRLRSRQEYLK KREAERLALLRKQVAEETAELRENPTLTRREKEEFAKNRELLRLAEERSRIDDHRDGY MLPEDYITEKGKIDRKRKEEALYKRYVDRDERGQERFVTEHEEWEIEQTSKAKAQISR AEFVDEGDYEYVFDDAQKINFIMDSKLEGDRKPLSKEQRLLAQQIDAAEKKAASIEET RKSLPIYQFREELLQAIADHQIIIIVGETGSGKTTQIPQYLHEAGYTKGGMKIGCTQP RRVAAMSVAARVAEEMGVKVGNEVGYAIRFEDATSDKTILKYMTDGLLLKELLTEPDL SQYAALMIDEAHERTVPTDIACGLLKDIAKARPDLKLLISSATIDAQKFQKYFDDAPI FNIPGRRYPVDIHYTSQPEANYLAAAITTVFQIHITQGRGDILVFLTGQEEIEAAEQN LQETARKLGGKVPEMIICPIYANLPSELQTKIFEPTPPGARKVVLATNIAETSLTIDG IVYVIDPGFVKENVFNPRTGMESLVVTPCSRASAGQRAGRAGRVGPGKCFRLYTKWAY HNELEANTTPEIQRTNLSSVVLMLKSLGIDDLLDFDFMDPPPAETLIRALEQLYALGA LNDHGELTKIGRQMAEFPTDPMLAKAILAAGKYGCVEEILSIIAMLGEASALFYRPKD KKIHADSARARFTVKDGGDHLSLLNIWNQWVDSDFSYVWARENFLQQRSLTRARDVRD QLAKLCDRVEVAVSTAGANNLVPIQKAITAGFFPNAARLQRGGDSYWTVKNGQTVYLH PSSTLFEVNPKWVIYHELVLTSKEYMRSNMPLQPEWLVEVAPHYHKQKDLETLANRKL EVCAAIGALSLDKNCLGSANKEPSNRMRRARSQGRRRKPRQDSREAVISTDLAVSFNQ FQNRLRYPRNAPELHSQPHEMSKTFTPTEVAEHKTADKGLYIIVDSGVYDITNFVDEH PGGAKILKRVAGKDASKQFWKREIGERGSTSLDNVLSKTSDLMSTSHPYRAIRAKFTP DTITVYQAYSAEIALPAVRAGKFVAPFKRTRMTWIKPSFLWMAYRSGWASKPNQERIL AIEISRAGFEWALLNSFVNTHDPAFYGDHRALEERKRNTCVRVQWDPERDFGFEPLPY RSIQVGLSGEAVERYVDEWIVAIEDVTELMVGMGRLVAEGKIEEARELMPVEKAYPLP EEVAKVVGAS UREG_00889 MVASFSPHRDAGGTLHFPSPSGLHSHTNSALNQLRRSLSRSPSK GADFRLLSPSRFHTSPGKHAQFISSISPSKRASSQGNLFFVSSTNSPPVAIPFSPTAR IHRPGIRRYGSLSSTRLRMMPSSPAKRALAESRDHGNAGSSQTIAREPAENKPVLPAI VTEPHDSQENSTTCTTPTESLDETMVPKLVPSRIEKRRSGNFGSVSPLKRGDGLMNLD QPSFGSPVAKRRSVHGANFGSEFNLLQDNNESPDTPSPSDPSEQESSTPLFPQTPSLF SNLPKRSSSLRKSTLQQRQNDRLLFSRNRVNVDEAPDISPATPALRNRQRASVESNIF SPAKRDSFTPSTGIFASTSTPTPSHFTGFGQPVPSAHPLSRTITQSSSSSSFADDSPT HAPAPARPGSPRPIFNFSKSLPAGTSRPLVSSRLQHEESNISDSSFATPDNYRLVKPL PAAFMSTGLISKKNRNAADVHTASVTSKNMPDTPCKRTSTMLPARKPFGQSIDGDYNF RAAGSPWTPTNTSTITGKNSAPKGMGIFGRSFVKPTISRRGSIVSVDGDEGPHSQSPS MNQDSQLTMDLELPPTPTKKAFGSSISQFSGSQANGSDYTPSSIFEVGGVVSGPCSSP LNRKSIDHMSPHTPQEHQAHVLPPDPSGLSISAYGDHTSSQGPYSLNLPATPTGPREY FPHLGKRSTISLGGYSAPEVDPCLTSRFDKVELIGTGEFSQVYCVTERQPATPFVSGS RKPAFPQRLWAVKKSKQPFTGIRDRERRNTEVAILKALANSDHIISFSDSWENNNHLY IQTEFCEEGSLDVFLSQVGLKARLDDFRIWKILLELSLGLKCIHDSGFIHLDLKPANI LITFEGVLKIADFGMATRWPAAEGIEGEGDREYIGPEVLMGRYDKPADIFALGLIIFE IAGNVELPDNGVSWQKLRNGDMSDVPSLTWSSETSILRDASGNPLPNSCSFDDLCSSE EPLTLDMLSDEQNVKRNRPHLVSARSGELSDPPAFMVDPSNDGALDKIVRWMISPDPT DRPVVNQIIQSFGVQWTERRRRAGATIFEGNWGPADEVLVEDAEMIDV UREG_00890 MHSDLNRLSIFSKTLFDTVTKLLPAYMVPSFIFLLETLPMTANG KVDKQVLANFVSEATPEYLDLFANNAEIHSNTEELSETESKIADAVATVTQISVANIG CHTSFYRLGIDSILVISLSRQLKLAGLGQVDISVILKNDTVSRLAAAINHRDNTGVTN QEQDSDFEALFPPEFISQIKRDAKQLQRTIRKILPCTPLQEAMLSQVIANDHNSYFNH LTFEISGNIAKLKSAWETMLARQDILRTWFQPTNDARYAFAQIVLDSAELPWSSVECP STDVDAVVERQKTSILSAAKFSLPYAFIELQNSTTGKSELHLLIHHALYDGEAMSQLL QEVEQAVLGLPLPSVVPFVLYIEQMAQADIEAEDQFWGSYLSGFSPTYLVAPAPNMEP TRPNTCTTCLDIPLSRVMDSCKTASVTLLSLLQAAWAKLMFSYSGSSDICFGDVSSCR TLPVDEAERIIGPCFNTLPLRVKLDRNTVNCDLMLQLRKSKADMLPYQLTSLRRLQSR FSPNGSRLFDSLLLLQRSPQQLNKEIWKLVDESGNMDFPFICEIVPDSDKDILQLHLY CNGPHVPKDGLSQMLEGYMDSIRHILQYPHARTTDISMLEGGVPTFLSISMPVAEKQT IADEPLEWSNEALEVRKLVAALARIDPKNIKPTTTIYKLGLDSINAIQIASNLRTRGY DIAAGDLLEYAHVQQFRPLLSIETICSGLAFVMSKDEMFPFAMVTYKPGILDLPWHDH PSGDGYDLVKNYDKPNGTDALDQLHRPPWFLTVQTLSTHTIVHFSALHALYDAHSLNL ILSEVSRFYNGDPLPEPVPISPVLASIVAKNAREVENTENVWDELCRDMPVTKFPDLN PRRTDKREIRTLTKLCSKSLSSIYKGCAESEVTLQAVGQAAWARLLASYIGESDITYG VVLSGRGMPGDAQNAIFPCLTTLPSCYIVEGSNKQLVQAIMRLNSLLIKGQHVPLSKL QRIAGSDTALFDTLFVYQKFTPTVEGKQLWTTIGEKAVTDYPVSIELTPKLDKLEFCI TFRDDILPVDQAQLLLSQLDWLLMDSLFSPESDCTSAQTMDRALKCAVPRKDVYITAP VSLLHQFVEIKAIENPSKNALEFASRSPGSDDILTIQSWTFKEFNDQGNKYANLLLQL GASVSRLIGICFDKCPEAYFAILAILKIGCAYLALDPGAPIARKQFIMEDSGSKLLLC TSDKKEELLKIDGVKVIALDDIGLLDNISCNSPVLEHPVSGDDTCYCLYTSGSTGTPK GCEITHSNAIQAMLSFQRLFAGHWDDTSRWLQFASFHFDVSVLEQYWSWSVGICVTSC PRDVLFEDLAGTIRELEITHIDLTPSLARLLHPDEVPSLCRGVFITGGEALKQEILDA WGSKQVIYNGYGPTEVTIGCTMLPRVTKWDKPSNIGPQFDNVGSFVFKPGTNIPVLKG GIGELCVSGPLVGRGYLNRPQLTEERFQYLDEWGERVYRTGDLVRMLYDNSFCFLGRM DDQVKLRGQRLEIEEINHVIKSASKILGETVTMVLNHPSAGKEHLVSFITRGECYDKE STVTIDMGREVYEILGDVRRACNLNLPGYMIPTHIIPLTRFPLSPNNKIEIKRLKEIY ANLTPEQMQRLISHGNEKGEITSKVVGDVISIVSELAGCDAADVGPWSNIFQLGLDSI SVISLARALKQAGFNTAQPALIMKNPVISVLSEELQLSADHVKSSLRLYQNAKQSITA FAHRHLGSLAEELCIPTNIIEAVSPCTPLQDGMIYRCLESQGRTYLSTFTFELFPHVS IPRLMATWRKVQGLCQILRTKFVATMDGYAQIVLKEDNFPWFELETSDDSELRILSQK RYTKWSSTLHHFTDRVWEIGTVSGPSGTLMCLNMFHGLYDGISLALLLEKVVQVYGGE ENIETSLFFDVLPFGPLCKQPDAEAFWVQHLRDVKPQTLPSNSHAFGESQHVLTIEIN ALEHFQEVRRNLNVTEQAVIHGCWLDVFERQFGFIPTLGVVSSGRSLDFSGAEDVIGP LFNTVPCHIPIPNTLLLSELVRACHEFHASMVPFQHTPLRDIIKWTCQGVENPLFDNL FVFQKALPGSHTLCDSLWVPVASHGGADYPLSFEATDNGNATLTASIVVKEQILSSEA AIDLLNRFKDTLLRFLGDQSVALRPSGDRSIANSTSPGKIHTETEQYSRSKSVANGHS HFEWSPKATQLRQEIVGLANIDEIAVNESTSIFELGLDSIDAIKLSSRLKKSGLALSV SKIMHCQTIEAMIKEIVDHRESDNHSFEALTSLENALRASLEADAIDLTSVQHVLPTT PLQEGMLAEMIASDYMHYFNQDVLEIEEHVDIGKLKNAFEKTIEDNPILRTAFTHISD PNLPFSFAQLVMCSGKPMDWAEIPTSESSIDHIFEDERRNAVNDSLRLPLFKIRLLRN RDQRLLHISIAHALYDGWALDLLHQTIAANYFGETTQPSSYHATLENIVNSSNRVKAS QFWKGYLKGVQPTSFPTQTDSKVLKIYRDERTLPLSNTKIVSFCRKHKITPQTLGLTC WLMTLAGYVHQLDLVCGTVMSGRDTAAAEEVMFPTMSSVAIRGILHGSRHEMLQYIQE MMGGILENQHYPLRKVKSLSGIGNQPLFNTLFIYQKRPVRDHRALYKSVRSSSDVEYP ICVEMELSNDAVVWRVACKSTIISLPGTTELLDRISHCFTELLDNPNNPTVEFNDETV SICGLTFSTISAGGLETSYSSQSSDASPEGWTLLERDIRSVLSSVANTSEMDIGKHTT LFHIGLDSISAIKVSALLKKKSVILAVSDMLRAGSVEKMAQVARISQSKAEVLDSKLI CSSMLREVGIDSLLRHHKIVSEVEGVMPATPGQIYMLEMWKHSKGKLFFPSFLYRLEG SITHEELEKGWSKTLDRLPILRTTFLPTGHSGIRYIQAVFRTVENPIIWRNNLQHPSD RQTETNARFVTLYASKTVSETIVMLHIHHALYDAVSLEQIINMLSANCYPENIQPTSI LDMSEFIAFSSISSPPNARKDFWTKYLSKANLEHDTPPEASFDWLDMTETYCPSLISS IGKLDKAGRKHGVSIQSIFLAAYAKVHARLFPLSPGSPLIVGIYLANRSHAMEGLPNL PAPTLNIVPLCIENLEQSNIIQLARNIQNDIREISNAQNSFVSLYEIAEWTGIKVDTV VNFLRYPDSTEQSDAALSKFIPFEELDMVDILSNAGTADQATNSRTPTGIPVETTTLI HSPSWLNVEAMGPEEVYKNPIQPSIDIEAAIRDGSLDVGIFGPSSRVDTSTANAVLEE LKCMLQDVSTSTGDQRG UREG_00891 MDNHPRDVYTAFPELDYAERCIPRFTQVDWNCGTPSLSLDVLVH SWAGVLRALTGEEVLVFNLDGEPIKVGPEQRSLQKATTCSPGKTGYTGISTVGSTELP HDCILQVRCSLEESSGTIVSSGSIPAEYLLQIGGHLETNIRKACKLPCDNTVLQRSVV NAEPRSIPGPLLLHDMVKETSPCPDLALEFLDKEWQTHTLTYEQLHAYSLRLSLRISE AVGTRSSSNPVVPVLIPQSLDLYVSWLGILKAGATVCPLNIDAPSERIAFIVKDVSAD VVITVKSLMGRFSQVDKTLTIIAVDDNSNDTVLQATQTDAQICPESLAYIMYTSGSTG LPKGVGLSHRAVTQSLLAHDDEIPHFRRFLQFAAPTFDVSVFEIFFPLFRGATLVACE RSLMLNDLVGVMNHLKVDGAELTPTVAGELLRRKSNVPSLRVLLTIGEMLTRRVIDEF GFTGIDDGILFAMYGPTETAIHCTVAPKLPSDSRAGNIGVPFRSVSAFIIPIQESVDG EPDILPIGCIGELVIGGPQLANGYLNRPEENHKAFIESQVYGRLYRTGDRARLHPSGE FECLGRISSGQVKLRGQRMELGEVESTIFKAPGVRNTVACVINGILVAFVSQDVNLGP ETVRRVCETWLPRFMVPSDIIHMDELPRLPSGKVDRQALENIYVNSRELGRPDISSRN SETERKISACVGSILNTPIDSSGSLVALGFDSLKAIRLASELRTLGINIDVNSILRVD SVQEIAKAVEGNLAQENVAAPSAPIFTSWGSVVDATSAALLSMGISSKPQYVVPCSPV QVSMIAESMKNKEAYSNWIEIEFNQNIQLSEIREAFCFLAEQNEILRSGFVQVNIPGH TYTQVIWKSLNESVFAEYDTFCYGVAFESPLQMLSPLQVQLKVCPSSVRALVCIHHAL YDGWSWEHIMHDLETSLEKKPPKQRPQYREFVNFVYRFLESQDRLDAADYWRDLLRGV APLAWPNFQNHNDIPRKLSTISRTLNIAINDLDTVVRELRVSRQTVFQGALGYLLGEY HGTSDIIFGSVSSGRTIPVQGIEDMVGPCISTLPVRINMEDLRNVQDLLATIHNINRK ALIHGLLPLRDIKAVSGVDPSTPLFDTLIVWQDTINENATSNTVKQIASQDFLELCLT LEIEIKDNTIIVKATFQESVLPVTQVDILLLQIKELAATFIRDRTESE UREG_00892 MSCSDPIAEYGFSAVEASATKLLSSSAPSHPAAFIPLSETPIPD TPLAARINTYAKSKLPEPTYNHSLRVYHFGLAIKRYRFPEWKFTDETYFLACLLHDIG TTDENLNGTKMSFEFYGGFLALDVLQTDGDGEAVASRDQAESVAEAIIRHQDLCEVGT ITAVGQLLQLATIFDNTGAYPDLVHRSTIEDVSKRFPRLAWSQCFASTIRRENDRKPW AHTTALGVEEFPSKVLGNKLMAPYE UREG_00893 MDGMKGAELCPIAGVPGLYISDRFGARSRALLESHNIKYVLSAT CEHDLPAWDEATRAMISIKHLDIDDHPLQDIIHYLKEACDWVHAALQEGSTQADLQRP VGVLVHCIQGISRSGAIIVAYLMRYRALSYFDALAMARESRPIIKPNQGFALQLRIWQ LCNYDIYVAESVDSGPPAFRPEYGFWRSQCIMAHQGSEAGTREVIRKRNRHIEAELLK WEELEQKVLR UREG_00894 MATRKRSSSQQETTLGDHSSDDRHQRKKIKPTGQSSKSIEPKTD SNGDPYWEISRQRRVTVSSFKGRTMINVREYYEKGGQDLPGKKGISMTLEQFNALVAL LPNLEEVVKQKGGTITRPEYGDEGDNDADEADQEMEEEEGQATKRNKVSPEKNIEATS DESEEED UREG_00895 MAPRQGNGIRIAIDRGGTFTDCVGNPGTGRMEDDIIIKLLSEDP SNYADAPLEGIRRLLSKFERREIPRGEPIDTSKIESIRMGTTVATNALLERKGERMAV VVTKGFKDCLQIGNQSRPKIFDLAIRRPDVLYEKVIEIDERVTLEDYTEDPTRHTTAA VPRDQAPDGAEIVRGLSSEAVRILQRPSEDTVRKQLQGLYRDGFRSIAVCLIHGYTFP DHEALVGKVAREIGFTHVSLSHELMPMIKLVPRATSACADAYLTPTIKKYISSFQAGF AGGLGVQSVKESSASEAARCEFMQSDGGLVDVSEFSGLRAILSGPAGGVVGYALTSYD PKTDIPVIGFDMGGTSTDVSRYGSGRYEHVFETTTAGVTIQSPQLDINTVAAGGGSRL FYRNGLFVVGPESAGAHPGPACYRKGGPLTVTDANLFLGRLLPDFFPKIFGKNENEGL DEEVSRQLFEEITAKINAEASGTKMTPDEVAYGFIKVANETMARPIRSLTEAKGHDAS KHRLATFGGAGGQHAVAIANSLGIKQILVHRYSSVLSAYGMALADVVDESQVPESKVW SDDETVRKELRGKMGELKKKAVERLKDQGFKDESIVFEEYLNMRYRGTESALMIIKPD QKDIKGDDDWAYGDAFIQQHEQEFGFTLPDRDIIVDDIRVRAIGKSFDDLGKSVDEQL QDLKPRDVDSSKQYSTKQVYFEGGRRQTPIFKLEALEVNDRVCGPAILADGTQTLVIT PGATALILKTHVVINIGSSEGADSKSSATQLDPILLSVFSHRFMAIAEQMGRALQKTS VSTNVKERLDYSCALFDSDGGLVANAPHLPVHLGSMSTCVRTQANIWKGKLKPGDVIV SNHPEFGGTHLPDITVITPAFNGDEIIFYVASRAHHADIGGILPGSMPPHSRELYQEG AAIKSEKLVSEGKFNEERITELLYHEPAQYPGCSGTRCLADNLNDLKAQVAANQKGIS LISALIADYGEDVVQFYMRSIQKNAELSVRNLLKTVSKRFEGADLTAIDYMDDGSPIQ LKISIDAEDGRAVFDFEGTGPEVYGNINAPEAVTYSAIIYCLRCLISEDIPLNQGCLK PIEVNIPKNSFLSPSGKAAVVGGNVLTSQRVTDVILKCFQACAASQGDTNNLTFGFGG NLNGGEATKGFGYYETIAGGSGAGPDWEGTSGVHTHMTNTRITDAEVFERRYPVLLRE FSLRPGSGGKGQHCGGDGVIRDIEFRIPVQVSILSERRVYHPYGLSGGGDAQCGQNIW VRKVASKEDPDKWEERYVNLGAKNTAEMQPGERIIVKTPGGGGWGKAGSEKAVRKDQD PRHAWKGGSLASRIETQEASM UREG_00896 MDSGTREHRRRGSSQKSMLSKALQKANTAVLLDNAANYEGAIRA YSDACDLLMQVMRRTESRDEKRKLEEIRTTYTTRITELRRLGVSSRSDGKALPERPLS DESTLSPSAVSFRSEIHDELDEEEPYVIETATATRILNNPSYMTDPSEPRALEPSQIP PRRQSLLPSAFDDEVRFWKPKKPSLLRAGSSNNLPTLDEQLNEASSTGDAQSSRLHRS PSREPPFPPRTASQQSEHQPQVLSNLHLTPEFNNGSTSWLDNGDSAGSSTSSLRSRSS SLYLRKRDRTVSGGTEAAFDAALDAAVEAAYNEGLEPIDDSDDMSAGDDIVLNVRRNV ERAKQKVREAELEAEAISAKERETRRVQEEAFHGNYTALDANYEDGEAEEEELILEEM MDVFEFNLQSKSALPRQSGSSGYSGRTWGSSITSNAATTGTSLSTLAEEDILPSSDAK LIKPFSSSMTLQSSPVNTHPPPPPPPPPPPPPLASIPSFKLPDLPPLPTPTTSTITPN TPLTPSVRARRLSGRNLKDLSIETNTPLPPGIEAPLTVPTSMSTAHAPSLPKDEPPKT SFIVLLQDKEPKSALPLERLRSGQAASRSVESLTAQSPMTPFPDFQRLGDRDEDTGPT STHHTVSKVASAPEPLRNDTVPSPAKSARGKKLSLSTEDTGKGLDSPFMSTFPASATR KGPHTSVSTAASGFGIGPHHLFDGTIHSPMAPGRPNSSVPNAPTALEPCPQSFLLRPF WLMRCIYQSIAHPRGAYLTTKLFIPRDVWRVKNVKIKAMEEKISNCDLLTAALLKVSQ VDTNDADAVLEEMQSLENILDQVQAVWSKKLGNEVGVQGATSFLKASSSDDSSPFSEQ PSRAAQMRTI UREG_00897 MGNGLMWEFRVYETFRLLIGVDGAFGFSFNSAVFSSLSAHQYSV LTVAADYASNANWTANESSVSPLERGRIAKIQQGYAYYQRLERDMCVSEYGKQYLTSR RNVILVATETDADSKMILDYQYVTPKWDPWNMKDSFNWICGNVDAPHCDINAVLGSSN WTVAGNTPVDYCLGEVVPEQCRIYFSSAVMIGVIIANFAKFISLWVAALKYTKPTLVT MGDAITSFLKSPDPWTLGMCFVQKEDLRASSEPHALQSPRAWAPKKRFWFQTVGGLYL LLFALFAVGSITSCAVLLWRAVHELDKRGSPTDITSLWKMGFGTVNAFSLIAPEVDYG KLPFAFKSTIGAIVLVNMPQLILSLSYLVINRNMTAMLTARELSTFASKRKPLRVTSP AGEQRSTYFLQLPYRYAIPLVFVSTVLHWLVSQSFFIAKIVALEDGKENADNSTAVFG YSAIALVFVLVAACVLVVGVCSIGARTSGSGTLLAGVCSLVISARCHPLPEEGDISAK PLQWGVVKQ UREG_00898 MEHEIKAAIESLKASTAAVDSQTEALRLQCKELKAQIRDARQID QQCSKATARLTSGHLAEKQRVDLMIEDLRQDFEEKLQAAQKVLTADRNNLLPSTSVIL KEHDAVLRNMEKVAASSQSAEEISALKKRVGDLTALLAKYIAEEVNCRLDRVFFETVE ASQEAEDDDPGVLQQLESVEAEVNSLYPEISVLSDMAAKQRFQLPILQVLEEWQEQSQ LGAEEQLQHVLETLIQLTESTNRMTGKLNNRQAHQSSMNTLAASYQTEVNSRQLQNAK LENKRLSRLSTRLSQAHLTPRESQGEKPGTEMEASTQSLESLLRRLGISFSSLQNAES TEVVHDLLNEKRSHMSDMLENLYATAASPLPSHLDSADKATQLLSSAMYCDADFELSL RDRNQEQKISNLETLIGAIQKGIDNSNTDILHQSDRARENFMERWG UREG_00899 MSALQSDPNPPRESNTTAPSSPNIAAKAEQSILPLHHRKGRAFF RVDTAGESGRAGFNPLFFLKICWRSTTPLSKYVNVLWPFVPPAIVLHFARPDLHLWVF SLNYIAMVPSANLLGFAGGELARKMPKVLGVLLETLLSGTVEIVLFMVLISNDHNNNL IPVIQAAILGSILANLLLCLGCCFFMGGLRRNEQVFHEVVSETGSGLMLVAGFGLMIP SAFYSALAGSTSSDGAFTAAVLLNNTRTISRATSIILVVAFFLSRFLWFNLRSHHGIY DEVLEFDEAQDEDRVEESRRAKLTLTEAVIAVLISLICVCFHAVFLVQKIPAIVERGV PDNFIGLILVPLVEKAAEHLTAIDEAWDNQINLALSHCLAPSIQTALFNAPLVVIVGW GLGKQMDLNFEIFMIVLLVLSIIVVGNFLRDRKSNYLEGGLLVLVYLIIAVTSWYYPN PHDDATNLQLPHDDSSGH UREG_00900 MRFLFAAALLTTLASAEVLNGFFYITDHKNGLYATATNTTEGDV VKFVENDRNYWRIDNISDGLHPWHVLSDQTKSRFPPDRLVTAQIWFPEYKDGAVARLE SNSVSLFEVNTANDALFMLVSTDSPAPHPSERLAWTVETLSREEPIRVLKLRRYEGKT NQRFNLVKRTEPECFEKNC UREG_00901 MRVTFPFALGLWATFHNFGCFAFTGNYTWSTFINGDIAVISTEG STSGLIEFQPDGTQLWEFEPWGNEEFHFIRDVKTKKYIRFPMITDGATPILTDRGATA IQVYHPSTETTTIMVIQDPLSEYPSDVFYLTAERYDGTSTSPRVLRLREHTREEHGSA FQLCKQPANPR UREG_00902 MAERAARAPPGAMDAVTQQIGNTPLVRLNKIPKSLGIEATVYAK LEFFNAGGSVKDRIALRMIEQAERSGRIKPGDTLIEPTSGNTGIGLALVAAVKGYKAI ITLPEKMSAEKVAVLRALNATIIRTPTEAAYDSPESHIGVAKRLEKELPNAHILDQYG NADNPLAHEYGTAEEIWTQTDGKITAIVAGAGTGGTITGLSRGLRKHNPKVTVIAADP QGSILAVPEALNEEHKNEPYKVEGIGYDFIPDVLDRDSVDKWYKTADKESFQYARQLI AEEGLLVGGSSGSAIAALAQASKDFKFQKDDVVVVVLPDSIRSYLTKFADDDWLAAND LLPATPATIPPSPEVQPKGSRDQFAGAKVRELRLKPVTTVSSNSPCELAIETMREKGF DQLPVLAPAGRRLVGIVTLGNILSWIARGRATGKSPVSELMFDFSKLSEVVTDPRALS GLDVSNRQGKGRKFVEITRDTPLSTLNRFFEWNSAAVVTEKDEQGNMKPVAVATKVDL LTWLLNQTKLNA UREG_00903 MKLSAVTLLLMSGHSAPTDGNEKCIPSYGRCLPARVPQCCGIPP SHTRERLLSFQSIPKLQPANSTSMLTDGLLLLRWSFAFLHSPILIHLVLYRSASAEGH NDFLLLGPSGSGKTAFCALVGSNAYRSVNDPTLADHRKTQVKYRVRDTPGHGKLRDAQ GISQLKSMADSKPTKGTARGVIFMVDAGTIMDETELRDAAGYLHDVLLILQRRLANSR NSVFRKLPDVPVMIAANKQDLFTALPANSVKQRLEAEIERIRQSRRKGVLDADVNAGD DEQEILGSDEGQEKFTFKLLEEEVGLSLPANLGDIGDGRSDRSPGLRGQICTRFPPQA RVCLLKVRHPYPNI UREG_00904 MRLAFPRRYLLFLAVLPLGALASVQFVQPVAGAVLKGGETINLQ WKYSKSPEQLESNQFNLHLCAGGNDADTFETLMSLVQQGAIEEITSLSTVIDPKLGGE LPNA UREG_00905 MGVIPIVNENDTLAVSEIKFGDNDTLSAITAAMVGADYLFLMTD VDGLYTSNPRTNPDAQVIEVVEDISSLDVDVSTAGSSLGTGGMSTKIVAARLASSAGV TTVITRSSLPDYGSLLRHLEQKQVFSPRALWQSKGHFSQQEAVRLVVVERRSPSALNG DFQHHGEEPKEVGRALVNYGSAEIARIKGSRSTQIQAILGYADSEYVALRENIFFFHM MDRPSRPGTPGLDEMMVRSGAQSPAIEKLLAI UREG_00906 MATKALESRFEQLSVNDENLPPSSHRDYEKPKAALPKTISISGL SSASQASLNANRANLLKFALQNNEGRLHPNAASPRKNNSGSAEEGTPSHPLYDQPSAK QWHLGMFEIGKPLGKGKFGRVYLARERSTGFVCALKVLHKHELVKGRVETQLRREIEI QSNLRHPNILRLFGHFHDSKRVFLILEFAGKGELYKHLRKENRFPEWKAAQYIAQMAA ALKYLHKKHVMHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRKTMCGTLDYLPPEM LKPGTQDNYYDEKVDLWSLGVLTYEFLVGEAPFEDTPLLTRRRITRGDMTIPSFVSPE ARDLIKRLLVLDPAKRIPLDEVQKHPWIVKYCIKGERATQRSMGKEGKA UREG_00907 MLQDVRFVPFESHLESLQKPIAQRPWFSDRLSKPSPRRYASTSN AQKPPPLQDPTPLPKPPVAGRQRAAGLRSTETYVAYGITKKLFEACSAQADYKIPQIS ERGAEIPKTANGEDLGVGEGWWYEELGLLPTFSSWSQVTFLHMYLITVRLRALPSPES FQTFSRHLFDHFSNNAEDRMDVMHGITSRSIRVKYLKDLFLQWRGVLAAYDEGLVKGD AVLAAAVWRNLYKGSYTDVQGRGEDIDWSKIAQIVLYMRKVLGELAPLEGDDYGTCGW RGEGHI UREG_00908 MGFRFLIPSKKRSKPSSPLPADLPPIYRLSQDLILYLLESLPPP DAAAFALTSQAVWRALGGLPDFRKLVSQIDQGERRAFLSRLEQYYPNHVYCSQCIIFH KQDKKRYVCRPHPFGNEIFGDLTVRDVRFERLDIHVPFLKAKEIMNRHRYGEPHGCLP TVMDFNCRIRCLNFKHKEEYSDPPRYFSLTARSAHDKLLLRADVSVFIRPKDFEVNKS SVIVSSLYDSTHMQRPGGAWKSLLESFAGSNESTLFVRCSSCLAEMRCIVNTQKNQKY YEIRNTTWHNLGPCRDSFDRKWRTETEHCCDDSSNAISPAESFYLAHFDDKASSQLKI KQPPCWLSLYPKLCES UREG_00909 MAEPRVEIEVGGSKDVEMTGASETPAPQSQPADDAEPAADPAPT EENDATAKSPENSKENQFLDYLKSPIVELVVGKGEEQTVLTAHQAILTLSPYFAEEVS KFPEDGPRRIFLEDESIDTVGCFLQYQYTGEYFPKRLAAPSEGLETDPAIPEVDETGQ QLLKHARVYTLAEKLGINKLKSLAHGKIHRIHSTAKGEIEYAKYVYTHTKADDTIRKP VAAFWATRSHVLRHEAEADFRTLCLQYPQFGFDVLSLVLDQREKRAQDRETASPAVKG SARKRARML UREG_00910 MDPAVDKILAGKYPAKQHARRVAEALKAAGQDGSGVIYLEGTKT RMAEDSDEAVPFRQRRNFYYLSGCDLSDSYVTYNIDKDELVLYIPPADPDEVIWTGLP MSAEEALKVYDVDVVLPSTEVNAQLAHCCANKDSKPKRVYAIPDRVCPETTFLPFDDT NWDVLAQAIEQCRKVKDEYEIALLKRANEISAQAHLAVMKASKTAKNERELEAIFRST CLYYDSRQQSYGPIMARGVNGATLHYQTNNMDIDDPVTGERPSLLIDAGGEYRMYASD ITRAIPLSGKFSPEARQIYDIVLDMQMQCFGMIKAGVAWDDVHALAHKVAIKGLVNLG ILRGSEEELFQKGVSVAFFPHGLGHYMGMDTHDVGGNPNFADPNPMFKYLRLRGTLSP NEVVTVEPGVYFCRFIIEPYLKSPELSKYIDSAVLDKYWKVGGVRIEDNLVVTQDGFQ NLTTVPKDAEEVERIVQQGVPAFVLVSINDI UREG_00911 MPRPPAKKGRAPRQNALPNAPPAATSNEDERNSSISNNGRRNKR RGTVQETSKYDKITQATPARSNRPIPERQSSARTRRPNQTPVTKQAQSDYGSAASAVA GGHAGRGRFSVGPKRGDASSLLQKMGVGTPAFESSMLSAFRPRPRQHSILQLMADDES SDFGDDEDFLGSFEPEDESTPLHLGKRKTISRGEVILGGGDGSGTCVDDVGRIPGSPT RGDVSLVTASGSPVNIKKRKIMVLVPPPSNRLARREPSPEMESIPNHEIGVEVVEETE HSPSEDDDDLLPPMGRPTREMSPEAWSQTLAPPLSSPTTSPNKSPERPRSHAKSRKKK GKPDSEAKLLVSTAALQANLLPQRRRRRRRLNGNGEFDVFDDNDGSSARSPSPELAPD EDELSYLPIRPGRKASTKKLKENKTALNRLPKRGKRPGAKEKSTDSNERKPSKPSRDG ARVTYSRQRPANAEADALSVPEGDVEDAGTEGGRFVSEELVRQSAKFAEVDQWSIDFE DVVVEQGSSYR UREG_00912 MPQYANWTDHSWYLRFRGYLFTQPAISNKTIENYLSTLLGFPAW TLKEDQYWHAMQMARGLFVLLKPNMRLSWRLLPKGGLHRAVPGRGSRIWPPVKNQVIA PNLTDFVGDYDFFTNIGGLLDDHIRPGNSLDNVQIVHLHSNATSDVGIPMSYLVPPSG ITIVSDIDDVLRVSTIYHISQGFRNLLTRPFYPWMNMPQIFGNWSKSLPNAHFHYLTT APDQMAQSYMDFIYDKYPHGSFDLRAVNFKKFRSSWSVRSGLLELVLQSYPKRKFVLI GDNSNHDIMKNYPLLALKYPDQIKCVFVRNVSATDSSFRWPYTTKYFKPLNREQYMIF RYPVSLAHLIMLELGRTEHDVRMI UREG_00913 MTENINIPGESSEAVPVVAASNTGSTILLPIEALTILCLHVTSI ITISRDIHCTPILQRRLSDESTDKEEHSAIRDEVLVRKFSTKKAPGISLREYLLRLHQ YCPMSAAVYLATSWYITRMALVEKIISVTTHNAHRLVLSGLRVATKILEDLHHSHTRF SMVGGVSTRELTRLEICFCYLMDFDLKINGDILSQEITLFQDRCDTAFPGRPIMAATI C UREG_00914 MSALPSNRESQNTTAQSSASSSTLSRKGSGDSPEHGARHDAVEL KDINSGNGGEELLPIEEDIMQLARLGEIPAIQKLFESGKFDAKYRDAEDITPLHVCAD VNAKGGESVATPAMWAAQRCHYYIVELLLQRGADPLLTDAQGYNILHLATIDGNAFLL VLLLHQEIPVDVTDSQGHTGLMWAAYKGFPACVDLFLRWGANVNAVDEAGFTPLHWAL VKGSTPCIQKLLEYGADRFAKTRDGKTPATAAEEMKTTFFWHRALRECGYKPNGDVIQ MPFGLATILRTRRYVSKFFFLWPFAIILGAVWILSEFSVYISVPLTLAFVFGMQWVAQ WVANRSQVEFRVLQRTPFLAGVFAASLFWVGVRWLMKVLPHTYSSDPFLNFFFAVFFS LTTYFYSLSMTGDPGYVPKLSSRNQQRDVISDLFKTWQFDEDNFFIVPGLTTALGPII SGISFYLESLPSPPDSECNILSSSLCSMVKRDTFTIILTVWIAIQLVWITLLCAVQLV QISRNQTTYENMKGHSFDYADPAARAITSALTTGTTSAELGGLDSTGQGPNPSIPPSG PGRHRHAHGGCFAQWKKLLGLDTFMATAQHGLGDRRASRSRNPFSRGIVTNCRDFWCD PSPYFGRRETGMAMLDGSVINYNTMYEVPLRLRTGGSGMVYRSVATEDV UREG_00915 MAQAFCERQYEAFWADPSSVPITWVGLLFSMIGLAVLAAEPPDS IQCQDKIKQQSLQLDLYREKIVQCLVAGEYTRSGPYVLETVINYVYIEFGIRADADKD TWFLLALEVNLAMRMGYHRDPSHFPGISPLQGEMRRRLWATVLMSDVLISSQMGLPRM IAENRCDTAEPRNLNDTDFDEETVELCPSRPETEHTAALGIIARRRMLMALGTILDLT AAVKPCSYAEVMRVDGILHDAFASIPPPLKTKPIAASVMDSPHIIMSRLFISHMFYKG QIILHRRFLYQKPPADGNDDPFTYSRTACLDASLGTLDIQHILDEETCPEGLLHTLRW RVSSIMNHQFLTATVILCSLLHQGKTLNREEEIITALRRSRAIWVRKCSGSIEAKKAA ETVNIVLARAGEGHGWGISPRSHNANALMDGRVTGTHPNSNTDNHDTSAEPAIPDSMG LYECMSVFIRLSIMRTLG UREG_00916 MSQTDQSLKKTAGSLESHQLKDESLPDATIVDWDGKNDPANPHN WPSPKRWVHIVLVSLLALVTNMAPTMCAPGIEKLMAEFDITSHTVSTLAITLFVLGIA IGPMVMSPLSEVYGRRPVYHIANVMFIAFMVGNALSRNIAQFMVFRFISGCAGGTPMA LGGGTVADVTTLQNRGTAMALFSLGPLAGPVLGPVIGGFVAADLGWRWTFWLLAILGA AVEIAALVFMRETHPKVLLERKAARLRADTGNPHLRSRLSKPLTPGQVLTQALIRPTS LLLRSPILQVISLYVALVFGLMFLLLTTFTAVFEGQYGFQTSTAGLVYLGLGVALVTS MLVFSTLNGRVEAARMKADGVGQPRPEYRLILMIWLSPFVGVGLFIYGWTAYYKVHWM VPIIGTTFIGFGAFFVIVIPSGAHSIKMPAQLYLVDLFGSAAAASALGANNLLRYLSS TFLPLAGPSMYKTLHYGWGNTLLGFLALAFVPAPILFYKYGERLRANDSVKV UREG_00917 MNIFKLTKKYPQFQQNEIFTLQDAFQRLDVDDKGYLDEATVIKA TQQSERQPYDVVRSALKEVELDSSRRVELEDYVDLISKLRSSRDVAPPTSVAPTRGHA SKGSVGGKIHVQGSSANVTHTINEDERTEFTRHINAVLAGDPDIGHLLPFPTDTFEMF DECKDGLVLAKLINDSVPDTIDERVLNRPGKKIKQLNAFHMTENNNIVINSAKGIGCS VVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRLLEEDETLEQFLRLP PEQILLRWFNYHLKNANWDRRVANFSSDVKDGANYTVLLNQLAPDLCSRKPLQTPDLL QRAEQVLQNAESLQCRKFLTPTSLVAGNPKLNLAFVANLFNTHPGLEPITEEDKLEVE DFDAEGEREARVFTLWLNSLDVQPAVNSLFDDLRDGTILLQAYDKVIPGSVNWRHVNK PPAHGGEMMKFKAVENTNYAIELGKQNRFSLVGIQGADITDGQRTLTLGLVWQLMRKD ITNTLSSLANRMGKREITDGEMIQWANEMSRKGGKSSSIRSFKDQTIGSGIFLLDVLN GMKSSYVDYELVTSGRTDDEAYANAKLAISIARKMGATIWLVPEDICQVRSRLITTFI GSLMATFEKM UREG_00918 MPMELRKRKAPAEPKDAQVARKRTSTKASAPKVKESKPKAAETK KSAPKPSSLEVPTVGTVLDLEQYQDEIQLQDGTATSLKALLESSKDGVVFFTYPKAST PGCTRQACLFRDRYDNLSSTGLSIYGLSTDSPNANTKFKEKHNLPYPLVCDPSAKLIG PIGLKKQPKGTIRGVFAVNKAGEVLLLKAGGPEATVALVEKLVAGDSDAD UREG_00919 MRPTRVLRAARAAGGVVPVGEKYTVQSYGIWEKLRRLLSVDPNR STGIPINAQFRNPTPGALPPQSYTDPVTIPAGDIADNPYWKRDARRNYPQPSVLSQAD VVGLLAVGSKAEPNEQALLPGDAGKQQLVEIKQEGEERGLAAFFSEKGNSPARVLGPN GLPPFPANLNRSARYPKGEEQAYPSKYPCRTFV UREG_00920 MESPPSAQTSAFDSMAIAPITEILSSKPEDFLGPSALLFAQSTA ALKHYLDSLASNTAQLQQKEQLLQSGQKRKRNENVSGPSSKKLQLREVHVDGFNVRQV WEQTQRVLKASSELTRQHAADISQNLGQENDSTGSNSASGSGSEIEDISEGDISGESD GDEDMEDTSGFLEEKEDEEENEQFEEADSQGSIEVDGLEDDDNAASKRQPASFVQDRH GLNDGFFSIDEFNKQSMLFEDLDTKRARDDKVGSDEEEIDWEGDPFLLGDAESDLNEE DEQEERLDDGDIDSDPEDDARNATYDQFFNPPASEHIKNKHVSKEKSKPSDDDLDADI DRAMADVHRDLFDDEDSEFDDNENSKSKGKAAETNQSTHEKARNKITDEIRRLEAANV AHKEWTLTGEARAADRPLNSLIEEDLDFERVGKPVPVITAEITDDIEALIKRRIVAKE FDDIIRRPPPGLGDYKEARSKFVLDETKPQQSLAELYEVDHLQATDKNFVSKKDEKLQ KERDEITQLWNNICSQLDTLSNMHFRPKQPSANIRVVADVDTIVMEDVRPSGGDGLGA AGTLAPQEVYAPGDKDRSGELMTKSGAAVARDEMSREEKLRHRRREKQKKRKKTLQAL ENQNSAAAKKQQLVSNLGKGGVKIIGKDGSLTDMRGQKVSTNEKSTTQQALKL UREG_00921 MQFKNFLAACILGLASLSFAAPAPGSKPDLNFYLKEVMPVQLQR IEDFRSYFPHMTPEQNKYLDELSDKLTSLDFKGLGKLLDEGEAIFKTDEPIKNPVNKT TEKPKRQVYYPWYKSPRFHNFTASLRLVVVHYQ UREG_00922 MQLEEPVFHQAKRRKFIRRRPSTPPGDSQSDAQELPAQHTPEDL SPPAAPTPEDDDQTVPIAKIVRARKGLKSRRPGIEFSTGSRWNSENTPQPSSAASVAN DTEASRLGGISDRFVGLTGQRVDVDRHMMTYIETEIAKRHRRSTPAESTSNQTVFEDP GNQESDFRIPQRQPATLGKLHEIDLGPDSKLRNIERTEAATRSLVSGDPVQTEDATTV AKKPRSDKGPKPWRQRKRRNSEDIRRDQLVEEVLRESKLDVYEEPAHEQLDDDQAADD RIAEQFRRDFLDAIQSRRRGVRSKNTKTSKTDVPRGPKLGGSRSARAAMREKQEKAGK K UREG_00923 MGKDGVVEDWDMAEKLWEYSFASRLTHTKPGNPMLNGLNDPSAD LSTEMEIVESEEKPLSDTPLLMTESGWNPTKAREKTIEIAMESWGTPAYYLSRTGPMA AFAAGKASALVIDVGASMVSVTPVHDGLILKRGVQHSHLAGDYISSQIRGLFKQNTPQ PITVTPHYLISSKTAVDAGQPAQATYRNIPSDQAPDASFRHLLEKPHHFRIQRMRRAS LARSPRFIQCQPSRRAQRGYCKKQPRPTL UREG_00924 MTSSSSPEWRDSDENDALIGPPIPAKYSAEDTRPTSKKELAGWY SYGWAAEVFAVCAMGSFLPITLEQMTRDQGVLLSDKKTPCSASWPTSPMPGLNSTAHV PRKGAPQCIIYVLGFEVNTASFAMYTFSLSVLIQSVLIISMSAAADHGVYRKKFLLVF AFMGALSMILFLFLLPRFYILAAVLAIVANTGFGASFVLLNSFLPLLVRNNPSIQAAR YAAVGGERRDVINSIDGSNPSSPADEAGRGTPGEDPLGDSALVSAELKLSTRISSNGI GIGYIAAVLVQIGCILLVVATHSTTFSLRLVLFIIGLWWLLFTIPAALWLRPRPGPPL PDSTWGKGNWAWVGYIAFAWISLGRTILRARQLKDVLLFLAAWFLLSDGIATVSGTAV LFAKTQLGMNIAALGLINVIAMLCGVFGAFSWSYISHHFNLRPSRTIVACICLFEVIP LYGLLGFLPFIKKLGVFGLQQPWEMYVLGAIYGLVLGGLSSYCRSFFGELIPPGFEAS FYALYAITDKGSSMFGPAIVGAITDRYGEIRPAFGFLALLILLPLPLMLLVDVDRGKR DAKELADALEGVKTTGVNGDGYAPVATHEFEGA UREG_00925 MVLVRYFTTATLGWALFCTPTLAADVLKTNGFVSCLDNTDIKIE RLDISFDRSSKQITFDVAGSSGKEQKVTASLVVNAYGQRFSQDFDPCDDKTKVEALCP VPARSFAAKDTVPIPGDFIDKIPSIAFSIPDLQAQATLELKARDGGKPVACIQSVVGN GKTIETPAVSYVAVGIAGAALALTGVGAALAGGAPGAAASGPTFGDVVGWFQSMATNG MLSVGYPPVYRSFTNNFGFSTGLIPWYQMQTAIDDFRNRTGGNLTNSNVDFLRNATLV YADSFGPKQNAKRALSSIFNTIPLAMRDIEITENKDSSADSEGGVNHIVTGFKAYAER LTIPEANTFMTVLLIFAIVVAAIAVGILLLKLILELWAMWGNFPKKLAGFRKHYWGLL IRTITNLILLLYGIWTLYCIFQFTHGDSWAAKILAGVTLAIFTAVLGYFTFRIWQMAQ RYKKSDGDASGLYEDKETWRKYSLFYDNYKKGYWWIFLPSIVYMFAKGCILAAGDGHG LVQSGAQLVVEALMLTLLLWARPYETKSAQWINVTIQVVRVLSVACIIVFVEELGIGE TTKTVTGVALVVVQSVLTGILALLIAINAIILLVRKNPHVRRRKQTEKYDRDLDNLTP LDARNSLLMNSRSENNVNDPETGKLNFVGRYEPYRDVPLGAPGHHPSNSTDGLVAHAD YGHQKPNNSDSGDLSMYSDYNRVGRAY UREG_00926 MAFKGRGSEGVVACRQRSQITTQTENRLMNDTVAPQLNAGLQLP LISPVPETSPPLIHTTLSPLPLYFSPEPIPTLSDAYESSTLPDAYLTATALPTVPFDS TGEEIDEHDPLAPGYFLFSWRKSARLSNRVKSRTWNLLSRVSDWLYSSLTSGKYAPHE GKASKDGAVEHNGPVWQFARPMVEGDQQILHDIPDYVYEYSPLVHLYSGEQFWPGDIG EHLTHITPYLNYTPLRAASEHPLLDDLDELNNWQQGRYVFLTSDDNVESRPKWLLGRK NIPAPIDESKVSGYFGRKSKTGGRSSAPAVLIVIDKGDGIVDAFWFYFYSYNLGNMVL NVRFGNHVGDWEHSLVRFHHGEPKAIFLSEHAGGEAYTYNAVEKSGKRPVIYSATGTH AMYATPGIHAYILPWGLLRDRTDTGPLWDPALNLHAYIYSVNNDTLYPSSRTPHAPTE WFSFRGHWGDKIYPLSDDRQYRFAGQYHYDQGFKRLGRRKVCPGPEEGACIIRDEIMG KGGRKDVKAAGAWNAGLSEDDEEEDLIHD UREG_00927 MHSPLHDLTTDIPLDEPSDESDVEYEYDKSETETFYINLDLTSC NGPIRPPRQRTFAPADPIIASAAATSSRTGSPVRDSPGQNQAMSDEPNLDTELQSQIQ IMELHSPNPIISYHNQIFSCSWADNIGTDMMFSLPEDDSDLALRQTRDFDLISTTRVK ILGQKAHVISGSSSSQAQRIDSADALSGQAQFLACLMRVKKAKGEQDEVRTVFPAAQK RNENLDEKLQSWARTEGLLTEMEQLNEQAFRGDIDSVKRLEEIYMQFDAALRSSAGIG VPETTPTSPTQSQAQEVQDLPGANEDK UREG_00928 MPQTCFTQYQTSGPLLCGPSVTLKQSLTNGGTKSSTLTLSTAAL SEDIRRAIQSSSSTPKYVSFRGEYEQPPPPPPSPVTASGWTMNGKFEAAA UREG_00929 MTVALAPIGLRLLEGSEPRDQPGFFGARKASAGRSTGAMRCEAT LPNGDILLHNSFHPVLDLAGAPLTLDADSKEWSNSTRGTGSGTSHRRNWKPGPDSQAG VADPWISPLRIPFVQHSSLSPNDKANQGGLQRPFFAEASGGR UREG_00930 MSAAELTMNPAPHAADGANGASQPKVSPLPTAELSGSATPTTGF HRIHNKQLDGVMRTPGRQPSPQPVHLGIPGGTHRVLSEQGPGYVAAKFEGKAKQMEQV MDQLEESGFIPAEFISTETDWFYKMLGIDDMYFQTETVEAIASHILSLYAAKVAAYAR DDKRLEIRLDKEAADHAVYIDTSRPGVSAVDGPRYEQRIDEKYIDGSTPSNSYRVETF RSSTSMPDENQNQLRCYFVYKCQFNNPTPDPGETNIEVIGENRFLQKATANTKAIYQE IIIKAVARSGPVIEMFEIEGTRERRIVIAYRQGSAMGMFSALSDLYHYYRLTSSRKYL ENFSNGITVLSLYLRPMPGVEASGRHPPIEASIHQIMKEVSLLYCIPQNKFQSHFASG RLSLQETIYAHCVWVFVQQFLNRLGSEYTSLTALLDSNNTVHAELLSKIKKRLRTETF TSDYILEIINKYPDLIHRLYLDFASTHYVQTRAAEDDFLPTLSYLRLQVDEVLDSKQL KDLVSKTVVSEHDEMVMKSFLVFNRAVLKTNFYTPTKVALSFRLNPDFLPSHEYPQPL YGMFLIISSEFRGFHLRFRDISRGGIRIVKSRDKEAYSINARSIFDENYNLANTQQRK NKDIPEGGAKGVILLDVNHQDKARVAFEKYIDSILDLLLPPASPGIKDPIVDLHGQDE ILFMGPDENTAELVDWATLHARQRGAPWWKSFFTGKNPKLGGIPHDTYGMTTLSIRQY VEGIYRKMGVDETQIRKLQTGGPDGDLGSNEIFLGREKYTAIVDGSGVIVDPQGLNRD ELLRLAKSRLMISNFDSSKLSPEGYRVLVDDANVKLPSGEVVHNGTVFRNTFHLRHGN YDMFVPCGGRPESINLANVSKLIVDGKSTIPFLVEGANLFLTQDCKLRLEKAGCVLFK DASVNKGGVTSSSLEVLASLSFDDESFEQHMCIGENGQAPEFYNAYVREVQETIKRNA TLEFEAIWREHEQTGIPRSILSDTLSVAITKLDEELQKSELWENLRLRKAVLGDALPK LLQEKIGLEVMLERVPDNYLRSIFGSYLASRFVYQYGSTPGQFAFFDFMSKRMPKE UREG_00931 MELVNALERQSQPPPPFILAKAFMSFIRDRMESMAVLTQNQARF LLQTLQHLVAGYPYKDARGSVRVALGLENLETTMFALFQVGCEPDAAEVLNKLAKTIY EQICHRNEQAKKPHQNPSSQALSSYVSILASTGSPLEALNVVETYWENVLHSEGIVPW LDVISGLAKENMESDIPVVIGKMGRCGIVLDPDSHEEMVTMLAAENNVGALRILYEVD LPDGLQPTAASTAVAISTAIRNSMVEWASQLSESLPDYPTPASRDAIFLLSAARAEPA TNIEKHLEVMTGINPDIRHSMTITSINQLIEHAISIQRQDLAQEYLALAQKWGLQPDA HTYMLQMDSKIQQGDLDGALELVENLNLETLTDRADVMMLNRILRQLCNPRYTNTEYD TILSFVDRLLNTRSRFEAETLGALCKVLLYRHELENISNLLRPIIDQYNSEELSKISE SFVDYINDQNESTESIWEVYELLNMAFPRTAVHTRTDIMNQFFNRGRSDLACLVFGHM RQKERGGKRPTAHTYAMCLQGISRAADASGLHLVHNMLKLDLEVGLTTKIYNGLMLAY AACGMPDEAMGFFRDILHSEEGPSGQTLVIFFRVCETYHNGVQEASKMLAKLRSMDVR IDERIYNAYIGALAGHCEVEKATEAIQAMESKLGFGPSTLTIGTLYNATPFQYWKEQV EEWAQTQYPDLWADLEQLGCEEDEEGLKKFNINRNIDV UREG_00932 MANRLNPLTSHSFVRPAVMQNICRRFYTPMRMENPFGKTGYSAH QEMKIAEEQKQRRIAKAIGDQKALDERRRTLERFQTRDWKAGDVYSPHDLSPAEMRKW KRKLSPSVDVFDLLAMNPLHQYKFGSGFGVDLFGSLHSEHPVSTGTRIYSMAIKNESI WAASPSTTRGQPTQLDADVKGERLAYASNKSVFLRSIDNPAVARQYTDHKTDTTVARF SPSGYYVASGDAAGTVRVWDCVGEGITKGEYFIVNGRINDLAWDGDSQRIIAVGDGKQ RYGHCISADSGNTVGEITGHSQSINSVSIRQQRPLRAAAAGDDKTLVFYHGAPFKYNT GHRDNHNNYIYGVAFSPSGDNLVSVGGDRRIWLYDGKTGEPKVQIGEGEHKGSIFAVS WSQDSRKFVTAGADRTVKIWDVEAQKVVQNWQIGPEQGSATVPHQQVGVVWPNGRSDG LIISLSLSGDLNYLVEGQEKPRQVIHGHQKNITSITRDDFGPKETLWTGSYDGRVCSW EVSDGAMETVEGEGHPGYIAGLAAAKEGSGGRIYSVGWDDTIRSVDISSHSYVGPAIK LSSQPKGIAVGDQTVLVANTQGVEILQDGKKTGEFHSKISLSAIAAAGSTACLGAEDS SVQFYKVTPTSLEPKASGKVSRNPISAMAFSPDGSLLAAGDSRGRIVVFKAEDGSIVT DRWTAHTGRVTSMAWSSNGTQLVSGGLDTNIFVWSLDRRGDWLEAPNAHKEGVNAVAW IADDSKVASAGADGAVKIWQVEF UREG_00933 MPSPPPTQAAWPPRFRRPQLRYATLIVPRTGRRVRSPDALHTIF DSPDDQTPLLPRSSLPSRNTENTWLNRAKAVKASAFAFFASETGKGVLKCSLAYLLGT LATFVPPIAALLGQQGGKHTVATITVYFHPARTMGSMLFALVCASVAFIYASLISVMS MGVSVFFEDAVHLLPLGHAIVLLVFCGGGLGLVGWVKQKMGDPLVNVGCSLASLAIVT VLTKEGAVQRGDISFVKISQVLKMLLMGTLATGAVCFAVYPVSARTKLRQALIEATDS LSDMLRIITETFLEGSEDLLEQKEFLEASERNKTASANIDKLLKEAKFEHYVMGTANE YYLEKKLSMCIQDLAQNTGGLRSAAELQFGLLKQSYVPNRSTSSSEGNLESQNEEAFP SIFSPVSTIREQVTPSEYFMESSDNGPQSHGLSLQASPMESSENIEPNSPADIFEKFI FHLGPSMRSLAYTLREILGELPYGPAPAFKIAINNKFRISLDRALGLYRTSRNDALNI IYMRKDFIKVRSAEVQADLEEVAASCGHFSFSLQEFAEELKDFLDILDELQLETEERP GGRSWAWLNPWSSHRRINGDDSISNPDVSVPSMNPKLLGTVTSSTLKAKGSLRFRLWK GLTIFRRDETKFAIKVGAGAALYALPSFLHSTRPIYSHWRGEWGLLSYMFVCSMTIGA SNTTGYARFFGTCLGAFCALAAWYVTRANVFGLLFLGWTMSAWTAYIIIGQGRGPMGR FIMLTYNLVVLYSYSLSLKDSDDGQDEGGASPLVADIALHRVVAVSSGIVWGIFITRV IWPISARRKLKDGLSLLWLRMSIIWKRDPLSTMTRDGSANAYYTTKEKLELQRFLAHL ETLRISANSEFSLKRPFPDASYRACLSGTRRMLDAFQAMNLEIMKNLTASEGEAAMLR HTLADRRQLSARITHLLAILASSMKLGYQLNDTPPDIDHSRDRLLARLHHFRKDQTTS RLTTDEDYALLYAFVLVTGQLRDEIMAVIDEPRRPASTSAMQINPAALTGTDSASTSV PAVKPAISAPTATQKTTKALISVPRLDFEPIYTELKIAVGDNWVAYKQATTLFLLGHL NQNEFSRRVDHFLCADPKLEHLHNNFICAIIGNLTRDLPDHGVASWVSANDKPTVVSK PASGDAAEQRLKTEVMQLQPRDRRRLKAIPEPDPHAIPNPLEEYHLAKQIRITDQVPA NAGGLNTPNWELEIRKRYAQPLASEIGEFPDAESIHARMVPICYEESVTNGASFPCAV FMSIATENFIKEFLSHVFAQTRANGPSGTINGTMTRKYRQQLEKEELAFSRGELVKNS ANGLLPVEAKEASTRQALGVQDLKFTLDLNGNLLGHMPLIVNQIMNGYLDGELEAEHE GYLELEDGTQEDPFEPDEMDIDEAGWDWQGATAADREQLNSLLDECLSMAA UREG_00934 MAGRKDFLSQPAPENYVAGLGRGATGFTTRSDLGPAREGPTPEQ IQEALAKRAALLGTAPPTAYGASARGEKGGAKHKEEEEEDDERFQDPENEVGLFAYGQ YDQDDDEADQIYQQIDERMEKRRRLRRLFADLKRSLATVTDEDWANIPEVGDLTGKNR RARQNLRQRFYAVPDSVIASARDSTEFTTTVAEDGTQTSIQTREGADGTITNFADIGA ARDKVLQARLDRAAQSSAADAASGNATNIDPKGYLTSLTKSEMKAGEVEIGDIKRVRV LLESVTKTNPRHAPGWIALARLEEVAGKIVAARNYIAKGCELCPKSEDAWLENIRLND NHNAKIIAANAIKHNDNSTRLWIEAMKLETEPRAKKNVLRQAILHIPQSVAIWKEAVN LEEDVADARLLLTKATEMIPLSVELWLALARLETPENAQKVLNTARKAVPTSHEIWIA AARLQEQMGTANKVNVMKRAVQALARESAMPKREDWIGEAEKCEEEGAVLTCGAIIRE TLGWGLDEDDDRKDIWMEDARSSIARGKYETARAIYAYALRVFVNKRSVWLAAADLER AHGTKESLWQLLERAVEACPQSEVLWMQLAKEKWQAGEIDNARRVLAKAFNQNPNNED IWLAAVKLEADAQQTDQARELLATARREAGTDRVWIKSVAFERQLGNTEAALDLVNQA LQLYPKADKLWMMKGQIYETEKKYPQAREAYGTGTRACPKSVPLWLLASRLEEKLGVV VKSRSILDRARLAVPKNAELWTESVRIERRANNIGQAKIIMAKALQEVPTSGLLWSES IWHLEPRTHRKPRSLEAIKKVDNDPILFLTVARIFWGERRLEKAMTWFEKAIVADSDL GDVWAWYYKFLMQHGTEEKREDVINKCIASEPKHGEIWQTIAKDPVNAHKSTEEILKL TAEHLN UREG_00935 MPKAPQKKRNSAGKAGPYAHAAAKTNAANSIFRMNTDIGQHVLK NPGVAQAIVDKADLKQSDVVLEVGPGSGNLTVKILEKAKKVVAVELDPRMAAEVTKRV QGTPEQKRLEVLLGDVIKTDLPYFDVCISNTPYQISSPLTFKLLATTPAPRVCILMFQ REFAMRLFAKPGDKLYSRLSVNAQMWAKIDHIMKVGKNNFKPPPAVESSVVRIVPKVP RPDISYDEWDGLLRVAFVRKNKTLRSSFLGTSSVLNMLESNYTTWCAQNDIPVEVGPE EDGEDEVMGDDQEDADLDELMDVDDDEIPDFFKEKHDPASQAVKTKPSKKRGKVAKLV REKISEQECVMKATF UREG_00936 MARKGQQQKGLQQQITSIVGASYSHADLLKTVSPHSGPHTPSKS PIPTRGHRNVSDSNLTSPRQPYRNLLPQAGEAWNSNHDHHDRDDGDNDDELAYDDDED EFGLPSVTSMRKSKFHDLRRTRTTGSSLDNQTGSSTNGSSVLAPDIAGMRLRANSSDI AEERGTLSYPNAKASDEAHSARISRINKFKRLLQSSTVSLPELRDLAWSGIPEEVRAM TWQLLLGYLPTNSDRRVSTLERKRKEYLDGVQQAFERNHSGRDDSSSNPAPGTGRGLD EAIWHQISIDIPRTNPHIPLYGFEATQRCLERILYVWAIRHPASGYVQGINDLVTPFW QVFLSSYVTNFDIEEGMDPGQLPKQVLNAVEADSFWCLTKLLDGIQDNYIYAQPGIHR QVNALHDLTRRIDATLAKHLENEGIEFMQFSFRWMNCLLMREISIKNTIRMWDTYMAE EQGFSRFHLYVCAAFLVKWSDQLLKMDFQEIMMFLQALPTREWTEKDIELLLSEAFIW QSLFQDSSAHLRSGTVSSG UREG_00937 MTEPEDVEEDLFADLYDADETTQPAAPPASAPAPTPVPAVISST PHPGTANLSQTQLAQTQPQAPFQAQTTENYEPGSTDAANYEQPGGQNGISQFDVAAQV DSTSLMNTQPETQGTGIKEDG UREG_00938 MFIGGLNWETTDQSLKDYFSQFGEVQECTVMRDGATGRSRGFGF LTFKDAKTVNTVMVKEHYLDGKIIDPKRAIPRDEQERTSKIFVGGVSQDATEQDFKKF FMQFGRVVDATLMIDKDTGRPRGFGFVTFDSEAAVEACLSQPLEILGKPIEVKKAQPR GNMREEEDRRLRGRGFQNERFKDDKSGSMDAAQQGGQGQANVANTMTPQMMAQYWQRM QQYFAMMQQQMAVAAAQGQGMVGAPAMGGMNPAMMQQMQQLKQMQQMNPMAGAQPQGA AMNQPAQAAVSQGMQGMMNPMMMQQMQQMQQMQQLQQMQQLQNQPPGGTRVTELVCHQ MTVGTAI UREG_00939 MTASMSFRRLSTSRPPQYRTPSPPRHAVEPISPQAPANYRPSWD FSASDPGKASATFQHTQVMCGLDEPRESNGRPEPTAEAKPIGRVGHSRSGSNIDTLAT IALATRARFAPLSYNSVSGGDTSAPSYAPVNNESTERPSKRARSERSPSPAWQRPGAR PATSHNASFDSMKTDAELLLNFARPVNFPPRPQFLPAQQLSSGIPQATNMEPKWNSMP PSNIPEDESDVSNSKISAAYFAGSGTTPSRMRSRSDGAAALSRPVMNGYNSGSPKHSI LMGSVLEDDTNGLDGHRKEGTLPVEDKTVLRPPKRTSKSRPRPRPSDPGVKSEGGETD SSNQASCAACNLVRVPTSNGDDAEVTWVNCDGCNRWFHIVCAGFKTDREIRTVDKFIC KPCRPIHGPTTYVRKSSRPKTSIDYAGLNQGFVKPSAEAPDHHYIQPIKEGKMTFLPD RFARLPAEFVTAEYFEHGIGMTEPVVIPAHLNRRTPASALGSEGYYPNFTAASSQEEF DAIIDNLPKDDDCEEVIDCCQDLLDMVIPDNLTVRAVGELYGLDERVEVIDVKSQQGE DKRWNMQKWVEYYYDTSSTKTVRNVISLEVSQSPIGRLIRRPKIVRDLDLQDSVWPAE LKAIGDYPKVQFYCLMSVADCYTDFHVDFGGSSVYYHILKGKKTFFFIPPKEKHLKKY EEWCNSAAQDTTFLGDQTKECYRVDLFEGDTMLIPSGWIHAVWTPEDSLVIGGNFLTK MNYGMQIKVAQIEKDTKVPRKFRYPFFQKLMWYAALKYLDEDPIPQSVLDAFARDENY RFHREYPLYYEFGENKNKAEPGSDYYNSRFYSQAELDGLPDLAKYLLRTALIAGGYMV DGVTKETRNAVSRSIPKGQGDPIEIIVKFGLWVAWKRGNEPAAQWTRPGAISLDTKVD ISDKKRPVRPTRRSERNVNTGDRRASVVSDASKPAEGQNRENRLSTAPSLSISDKVSP VPSKRKASVPDIKFEEDHGPKIISKTTSLGPKRVACDACRKRRIRCRHKAEQNDDAMS GLATLSPDANDTQTHIRRNSDVLSIDAVNMPADDDMVVNRAGSPPDGAGALFTQQDRR CIHDESGRIDPIKAQERAKPRTGPTKRPRSSDGYLSASKKSKPNEKLDERLENPPFRT GEEEYSIVLTAGAHSGPQKKLIDNSAGYSNLENGSSRTVLEPTNGKAESDTYASPPAV NAERDAHSQPRAILGQVPPADSLVSPPTSLHDDLDGVTHQPSQAVHPSMLNERNSGYA VDVNTPTSPKTPHLTALNMTSATQDAEQTKHGVPVQVQEKLISTSTDTTSQHPKPPSR PSSSHSSKHAMTKEVKHFHTSTGTRGSPSQIRHHNTPTSPQRQSKSGKRDRVSFAEVD ADEESLKLIKELQEQDFGLRKRSTRG UREG_00940 MSRSTKLAPEANRILFVKNLSYNVTADELFDLFGKFGPIRQIRQ GIAANSKGTAFVVYEDVHDAKQACDKLNGFNFQNRYLVVLYHQPEKMAKSKEDLAARQ ENLEKLKQQHGIE UREG_00941 MEESQQIFGIHRLYHPLDQPAEVDIVAVHGPNGHAVKTWTSENI CWLSHPAFLPKYVKNARVLAWGYNANLTTFRGQNPSANHILHYAQTLVAELDADREAI AYAASRVGPKLSNVHSIYTCTYGILFFGTPHHGTDRSRFVSRLQRLASLALPKQVMDL NSALLNALQTESEVLRNINDQFIPLLHNFRIYFFWEQEKLNLRFTRDFMVDVHSAAPI IDNTERCGMSADHWEMCRFNSNTSQGFRTAVAALKRYCQQAPRVIGERHTRRLTVVQD NRVHEAMELLKTIQPSESSDTPRYPQLGFTLANEICTQSHVESDKAGSHRG UREG_00942 MATFLLIQYVACIVFAYVGYCAIVRFREYCSDTAFGRRLGCQLP PELTKRLPFGIDRIKDLWETDSKGKLLAYLCDVAEQYEPGNNLTQYLLVGPRAFHVLH PANLEAVLSTNFSDYGFGARRAIFAPLLGNGISTQEGHAWRHSRELLRKQFIRVQYQN LDHFREHVDNLIACLPKDGVIDLQPLFFNLTLDVATHLLFGRSVYSLRAGIDQDSKNK VFAESFNVALKGLARRFRSAPFHFLYNPSKFRKACANVHHFVEQYIDELDIEETEAKD DSSYMFFRQVARESATKEDLRDQLLNVLFAGRDTAACCLSWTLRLLIRHPYEMERLRA EVASVMRESSHPTRQQIRKMPFLACVIKESLRLYPPVPLNNREAVRTTVLPTGGGSDG QSPILVRKGELVVFSQYVNSRKKNIWGPDAYEFHPGRWEENKLSDIGWAYFPFSGGPR RCLGEDFALMEVSYTLVRLLQTFPSIVLPDDEPVEPVGSERQRLTLVLSSANGCRAHV QSA UREG_00944 MISTNTPAIGGCIKVLKCVEGQYNKALIMTLDNGLGAEYILEEK ARGVPLGNLWYDWAMESRRDLIDQLVDFESKVKSIWFRKHGCLYFKKDIAMKRVPFRE PEPLRETFSEATEDINAVLLDDFVIGPVTEAVLWEGEKQSMELDRGPWNSPESYFAAL TINEMRWMQLHAKPRVNLYRSLDTPEYPDDYISLLKKFLQLVSLMSPDPSQTSLSHPD LHLDNIFVDPGTKKITCVIGWQAASISEPFLQQHIPRMLLPIGGSNSRSPVTPEDSNG TTDLLNYYQNLSRRKNNRWWAATGVSNHSVLIDTLRCMLGTWNKRDLFSFRHALIGII ARWQHIQQTSTPCPIDFTPSELQLHSDEMELLEGLSQVLHGLQNNNLISLGGMVLRED FEQTSAINQRVKKMFIDLVESQEQKELCSRIWPYQDREH UREG_00945 MSAKDARLHACLHAAWSRTREKKSISHDFEQHPWISSRFTKGML STSLN UREG_00946 MAIATSVSASPTAGLFPTATPMRAPTDGENNGKCELLGSFSLFV QAALGALAVLVLVYKRWKERPQRPVKVWAFDVSKQVVGSALLHLANLLASMFSAGQIP VTATYEPNPCTYYLLNLGIDTTLGIPVLILILRVLNRAALYTPLANPPESIESGNYGD PPNAVWWMKQSIIYFFGLVGMKTCVVVIIHMLPFIVELGDWALKWTEGNTAIQIIFVM LLFPVIMNGVQYYIIDIFIKKTITEDRGREVDSHSFDTASIDEDRHHQSALLAGLDDE ETDEEDPAIYSDDEAVAKQPLNRASNGGLSRH UREG_00947 MAAETLVRLPARYDHDQESSDERLQKDETEEQLEKLLFGDSEGF YDAIKEHKRPSDALVLREDSEAGGEEEGEKAAEDDAESIDYFAGLDDADLFVFDTGAG EAPQVIEDQSITAPAKVSPAENNLLETEAAAAWEDSDDERIAVSLAANERMRKLRLTE AEDVVTGKEYIRRLRRQFERLQPAPEWANPIATRAAKRRKMGDRSEDESVASGDEMVT DSEDDMEQQPLARLLQNIGSLSRQDDLAESKGKRKLRQEVLDIQRLKDVGGNQPSTID SLTFHPHYPLLLSSGPASTLFLHHVSPQSAAPNPLVTSLHIKRTPLRTSAFLPPNGNR IFFSGRRRYFHVWDLDTGKVEKVNGTADRREEQKTMENFKLSPCGRWMGLVGSTRKGG GIITVLDASTMQWVAQVRIDSRGGVADFAWWSDGEGMCVVGKNGEVSEWDGRENRIVA RWVDEGAVGTTVISLGGNSGKPQLGGDRWVAIGSSSGIVNIYDRRPWAAAAAMAANST GALQKSSKAAGEPENTQFGVPRNPKPARMLDQLTTPMSHLVFSKDGQILAMASRWKKD ALRLG UREG_00948 MTVTTPRRRPSRKGSMADMPKDLLEQVKELEEIFTVDQACLKKV VNHFVKELEKGNCLLPLRRFRNSAMLMYHGRTERRGWKHRELPSHLASEISDSTDEHS QLQPMNVTWVMGFPTGHEQGTFLALDMGGTNLRVCEIFLCEKKGEFDITQSKYRIPEE LKNGSASELWEYIADCVQQFIEYHHEEENLPDLPLGFTFSYPATQDSIDHGVLQRWTK GFDIDGVEGEDVVPMLEQALSKRGLPIKVAALINDTTGTLIASAYTDPEMKIGCIFGT GVNAAYMENAGSIPKIAHHNLPPDMPIAINCEYGAFDNERVVLPLTQYDIVIDRDSPR PGQQAFEKMTAGLYLGEIFRLVLLDLIDNKGNLIFEGQDASSLRKPYCLDSSFLAYIE EDPFENLSETKDLLERTLGLKATKPELELCRRLAELIGTRAARLSACGVAAICTKKNI KSCHVGADGSVFNKYPHFKARGAKALREILDWADDEEDKVVMSSAEDGSGVGAALIAA LTLERVKAGNLAGIRKTDTMKKML UREG_00949 MGNEQSIPIDEHVRPKTLESRTLEAVAKYIKEKDVKKIVVMVGA GISTAAGIPDFRSPDTGIYANLARLNLPTPEAVFDIEYFRTDPRPFYALTKEMLPGKA KPTLTHSFIKLLYDKGRLLKLFTQNIDCLEREAGIPPEMIVEAHGSFATQSCIECKSP YPADLMQKAIEANDVPLCPGCLNLVKPDVVFFGEPLPSTFLLNRTLPAAADLCIVMGT SLTVRPFSSLPSLCRDETPRILINLTQAGGLGSRPDDVLVLGECDDGVMKLAEALGWR EELEALWAKVNPEKASKAPREKRTTPITKDEHLKEEVDKLTEEVGHALDVSQAHEERV RAQLQAIDVKERREAGANEQEGNIMQSSNPPTNRDAAKPANKENDANAGTEANAAEKL PENSKPNPSL UREG_00950 MDQNDGLINGVSANEDGEAEAIPDIFNASSVADIKATLSALQDR EASVTARLEALVASQKDFSRELGRLDILRARIGSQASNTRAISHGMLSETAATAHRIS SAVHRLDLEQSRVKTTLEMVEQVMELKACVLGVAGSMGASQDWETAASYLNRASRISP EIINGAFAADIVPTAEVPDPPAVTLENAAESLCGLFLREFDRAVKENDGAKITRFFKL FPLIDRSAVGLDVYGRYVCQGVASRARTNLNTGTGGAQSKEGYFYASALTKLFEHIAQ IVESHGGLVERHYGPGNMSRVIERLQVEADVQGGIILETWSDEWHVDRKLTDIKSYAF TFLVQSFLPNTRGGPQRTNSPGTRDGASQRTSEDEGVDMKEIDGILNEMSLMLGRWSL YSRFLADKCRAVDSEELVLPNFLLESPLCQKVNERLVSPFNLMTTFFLRRSVEKAFQI DEPPSDLTLNLQRPPTSNPPYITSAVDDIMYIVNKVLEQSLATGHKPIVMNVVPTIAR VLGSDFIGMIQRKMRDETYPRPVVPGGPPPEAVVVSFLVLINNLDVAIDYINRILKNF LESDGANGATGEPHNRDSGGRIPHLFPLDNDAEAVAGALRSLSSSFESKAQDLIGDGI QVVFNNVVKTRLRPILADAFRDFEYEPRGGDGDQGGGLDDEEIAASELDSSAGGSEVR RRFMTSWRELLVPISRILTGRAFDRLLGVTIASLARLLEKRIWSYHGRVNALGATRLE RDITGVISAAVDIGESYVSADGGGGSRYRHREAFGRCTQIVMVMGLEEEEWDEIEKSG GDMVDKLSAEERSRARAMVV UREG_00951 MYRTGNADAAVTALFRSIFVLAGSMREINQHRSRGINGLSIWLP LPPARLRRGPERSASPDSAPEAEALTVRFHYRKPPILFTLRQELGPLQKAFHQLTTAT TKQSVPYCTRAAKLDSTRTMLSCRQPYQCLISRPHARPKLFPYPAPAIGRDNIAPQL UREG_00952 MAAPFAPDYASYNWTGAPANFDLSTNKDLGGNSRVENLNKWFQS GDQAYIIIASAMAMIMVPGLAFLYSGLARRKSALSMIWACMGSMSVIFFQWYFWGYSL AFSTSATNGFIGNLRNFGLMKTLADPSPGSPLIPGLLFALYQMQFCAVTAAIVMGAVA ERGRLLPAMVFVFFWATLVYCPIVCWVWNSNGWAYKYGVMDYAGGGPVEIVSGMSGFA YSMVLGRRHEHMMLNYRPHNMSLIVLGTVILWFGWLGFNGGSAFGANLRAVIACWNSN LTAAFAAITWVLLDWRLARKWTMVGWCSGTISGLVAATPASGFIPPWASVILGIVTGV VSNYSTKIKYWLKVDDSMDILAEHGIAGIVGLIFNALFGDDSIVGLDGVNVGAINPET HTRVGGWIIHNYRQLYIQIAYILACAGYSFVVSAIIAYGINFIPGLHLRATEEAELLG MDDDQLGEFAYDYVEVRRDYLAWTPQSIQPSGHIHQVPRPDLYGFHEHSAMAARPVQN GRISASHSMDNIPKEFSSDGQTPSGSASRQPSPERRNRLARVFASKRSHPPPVPLQMP AASAEQHLTSLESNHEMTIHPASSDNLSQLSPQESMVAPIGARQATQDDLDEKCG UREG_00953 MSTFYPSLPLRDASVPLRTPSPVPSPLKRSTVQSSSITSTYSKL SPQEAAERLNTDLVHGLTPSDAEARLLRDGPNELPHEEPEPLWLRFLKQFKEPLILLL LGSAVISFFMHNFDDAVSITLAVTIVVSVGFVQEYRSEKSLEALSRLVPHYAHLVRNI PSGSTGRSTPGMVNTKDQYEMQELTDSSSCTTNQAAKGSTTVIASKLVPGDLVLFSTG DRIPADLRITRATHLTIDESNLTGENEPVSKFSRALGSPAKTLHPVQNSTPPRSPFYY SPAAGTVGTDLRLSEQHNIAFMGTLVRSGHGQGIVIATGPNTEFGSISASLQEIESPR TPLQLSMDRLGQELSYVSFVVIGLIVLIGLIQGRKLLEMFTIGVSLAVAAIPEGLPII VTVTLALGVLRMANRGAIVRRLPSVETLGSVNVVCSDKTGTLTLNHMTVTKMWHFDAE EPFEIQKEDAPATLTAAARTILRVGNIANNSRLSRAHANSPATASSAAVLSSTADRSS GAVRSRWVGQPTDVALLDLLDVLGEDDVRLRVNARVSETPFSSERKWMGVVVSNSASD GGYEHGSEVAYIKGSLEEVLKRCDTYLTKDGREVILDERRRQSARQATESMAQEGLRV IAFASGPIRSMQKPNSLSHSQADDYQLHGEECYTGLCFAGIVGMNDPPRKDVHKSIRR LLTGGVRVIMITGDAETTAVAIAKKLGMPINMSGTARTVLRGDEIDHMSTEELAQAMA TTSIFARTSPDHKMKIVKALQYRGDVVAMTGDGVNDAPALKKADIGISMGLLGTDVAK EAADMILTDDDFSTILRAIEQGKGIFYNIQNFITFQLSTSVAALSLVLVSTGLGFKNP LNAMQILWINILMDGPPAQSLGVEPVDPSIMVRPPRPKHARVLTKPLIRRVLTSAACI MLGTLAVYIHEMIDHTDEISGVTSRVVTRRDTTMTFTCFVLFDMFNALTCRSEGKSVL RGQLALASNKMFNYAVLGSLLGQACVIYLPILQDVFQTEPLGLGDLVALLCISSSVFW VDEIRKYLMTRGRGRGLFGIGASSTQLSAVGYSINV UREG_00954 MFYSETLLSKTGPLARVWLSANLERKLSKAHILQSDIESSVNAI VDQGQAPMALRLSGQLLLGVVRIYSRKTRYLLDDCNEALMKIKMAFRLTNNNDLPATV PLPPGGITLPDVLTESDLFMNLDTSILFSQPLQLDHRDKGPAASLGWSSQLLPDSSSP QKARPVERPHLEDDTGLVLDLGEDEDIPLGHDTSIEVGRNAPAARPMGEDLFSEEPRL FDDDLDLDLGLDAAPLPKLGGDDTEVPQDEIDRAVQHDEDVAMGGMEELGVPIAEEST ILADQGNDFLRNSQSPLSSARSSVVREMEQSLMNEEAVTARQQQRTKRRKLIKPDHDT FFSMDKIKAQQDGRSKILKPESFLSFLPRDPVLLTLMAMQEKGEFASNVMAGCSIYSW APELKNMLSIDCVRQAGQLKRKRDSGVSDIDLEGGEKGPQLEIREDEGITHFDEGVAL GADSSSVLNATEIHLPGEDEVQGRPEREEWSDGEGIGHRDDYEDGEPTIQPIDSGPIS LGTKHAVHILREQFDAPTTDASSQSKSTSVVFQDILPEKTTNKDDATKMFFEVLVLAT KDAVKVEQSSTVLGGRLKIKAKQALWGSWAETEAGGEIASQAAEVAV UREG_00955 MEKLFKSRYLLYAFQKSKTMGSAPPSGGPRTGIPSKAGTRRGGA GAEPTPATNVDHDGPHAHKQLDPSQSEAVLGSARKHHGHSESTGSSMFSSLEDQKKHG EEMQGFEGGHPKLESYSFAEQKPGSKSLAGQFMEKFR UREG_00956 MVIARFFNGMSGSAFLSVAGGTVGDIFERHQLAAPMMVYTASPF MGPEIGPLHWVYYVLLMWGGAVAVSIILFVPETYHPVLLRRKAVSRRKQTGDDRWIAP IEKLDKSLVKTVLRSVYRPMLLLVFEPMCLNLCVFSAILLGILYLFFGAFQLVFSTIY GFELWQVGVSFLGLLVGMAIGTASDPIWSRNYGRLTRKRQQAVGREEFEPEWRLPPGE UREG_00957 MERRVSGRFQLGGKSTWVSTRARGASVGELGGREVPQVARQFGN GETAGDEYTIKKRESGSRGRQDEYWKEAYVVRPKREKSVPWAEDKGRESEAAAATGSE WKPGEDGGCFLDKVRWPAGRLRDRYSSITSTGCWTSLCDSSREERDRGRSTAGRRKSE TGVRRAGGERTFEQTRDRTADGMGERRGEERRCSNAADRVCCAVEMSNKSREKVSGGD AMAVMIWLPVSHAVQTDTYESVLEMAPEAAGEQHPVRATDLARLPQMPPCLVPPGGSM ELHGSPSMRIARLLEEFSLPKGYFPPSNGMG UREG_00958 MSASNAKDPLRPRRKKAKRACFACQRAHLTCGDERPCQRCIKRG IQNSCHDGVRKKAKYLHDAPNEALMPHLQGQLYTQTNAVRNNMSLTSNGSNSKTNFYP RQNSNFNGYYATKNDGSLSQSQLHDAGRPDTFPSQSPVSPTFSITANSATSGNQNMPS SLPASNGNASGQAQNGFGSAFFDPCDPALFNFDLASMNFGNHYGALEFGMLGHMATGA GDTPPSDSATQHGSVGRNGSGTFAPGSNFGESPTAQPSFLFGDPTIAGDWSSSVHTRN IYNQNMNHMSETPHAFAIESGPANFASPNSIESPLLTNSATFDDNTTSAYQNRSSMNP MPPQRQPVVSTPQLKHLQLSKRRQRNPSAIYESVKEPYSYTTGFHSLTAFIQRRFSTQ NTLRIAKALASIRPSFIATTKTLNRDDLIFMEKCFQRTLWEYEDFINACGTPTIVCRR TGEIAAVGKEFSILTGWRKEVLLGKEPNLNINTGGSSGSTSGTSSRGSFTPRAGMEVN PSGRTQPVFLAELLDDESVVEFYEDFAKLAFGDSRGSVMTTCKLLKYKTKADTDMLPG NTGGTGGGDGQSAASGNGHVKVENGMAASNGQAQPLSQPQRRWSRGGIAGEAGMNQLG FKDGKVECSYCWTVKRDVFDIPMLIVMNFLPCI UREG_00959 MCMQTSCSTCGKQTWRGCGQHVASVMDKIPKDEWCTCTPKTKVG ATEFPPKVGEGQAQAEQK UREG_00960 MVASPSGTASVGAALDAQSGTGQKAIGISISTFIASLATAIIVF AVEFLLFLILKGKLIRIYQPRTYLVPERERTNPSPPGLFRWIGPVFTTSNSEFIQKCG LDAYFFLRYLRMLLKIFIPLALLILPILLPVNKVDGRDSSLLKGAAGASYNVTGLDRL SWGNVKPENTNRYWAHLILAVIVVVYVCAVFFDELRGYIRLRQAYLTSPQHRLRASAT TVLVTSIPEKWLSIEALDNLFDVYPGGVRNIWLNRNLDQLSEKIKLRNKLALTLESAE TELIKKCKKAQMKMAKKEARRSGKRSKDQIQREKAEADKHASRLVESAGVSSGNPHEV VHTIQEALNSGDDNGSRERHGIIPRVGQTVGAVGSEFAKVGHTVLGGLRKVGHNVEDR VNTTGGLAIEQPQAAPEPPTAIKTTPSGRPTGHRQPGDSPLRTSFGELYEDAEERQSG LPPVDGSFDVPPSRLSKLWRRKSAAPMDSADRHGDEFPLTVSSPVTPVMAPDGANPQD KKDQKKDEHQENEEYPVAYNEAYEEDDYGEPLWKKYIKEKHRETMRLPIFGWSWMPSL PLIGKKVDKIYHCRKELARLNLEIEIDQQHPEKFPLMNSAFVQFNHQVAAHMACQSVA HHIPQQMAPRLVEISPDDVIWDNMSIKWWERYLRTFGVIVIVSAMVVGWAFPVAFTGL LSQLSYLEGNFVWLRWLSKLPQWLLSAIQGILPPLFLSILMALLPLILRFLSKNQGVS TGMAIELTVQNYFFAFLFVQLFLVVSISSGFSTIFNSIKDVTSVPELLATNIPKASNY FFSYMVLQAMSVSAGALVQIFSLVSWFILAPIFDNTARMKWARTTNLNQMQWGTFFPV YTTLASIGLIYCIISPLIMVFNVLTFTLFWVVYRYNTLYVTKFRFDTGGLLFPKAINQ LFTGVYVMEVCLIGMFFLVRDQNGDVACEGQAICMIILLFATILFQFLLNQAFRPLFR YLPITLEDEASRRDEEFARAQRRRLGLEDEEDEQDVDNVDDKRGERENEIELNRISGK KTQKNALSRLIPGKANARRRSWADPSRKRRSAYFGGRSDPNMPTIRRMREKLAKDAEA QAPATNAISHALFSGIHDELEDLTPDERDQLVQRAFQHEAIRTKRPVVWIPRDDLGVS DDEVFRTQRFSKHIWISNEYQALDGRCRAIFSRSPPDFSEIDLIQL UREG_00961 MKGIQDTLRRRRTSLRRRLSHSRRRSSSQSHDTESSWNETGAPK ILLTASTLYFDPSVFQRFREEGYDIAYLPHAAPPKQYKDQLQRFADVLEEEDRYAIVA YGEAAAVVLDACMSAMPRLCAVVAYYPPTIPNATDGFPPLRKYQIHLAETQQLSGIPY CYTYHGSDVGFAERDSPTFDRVSAQLAWSRTIACLRVGFEITVDVAPVWENHMSLKHD AKDVDGTMNTLAEDAYINYVPVMTGGSPSSTDPLPNGHSP UREG_00962 MPFLRTATRAGPWVDRRVHGKFINRISRTNRYVHRDNAPLQGSN KFLKISEEVQDAVATGKPVVALETTIYTHGFPYPENVNLAKSLEAIVRDNGGVPATIG VLNGIARVGMNAAELTELTSASRYKNVLKVSRRDLGYICGVGLAGKRMHGGTTIAGTM ILAHLAGIKVFATGGLADLTELGRTPVAVISSGCKSFLDIRRTLEVLETQGVVVGIAE SSLLEPCGTPQRPQQSFLHVRLIELLDAQSNLQLSSGILFTNPVPEKHSFLKPEMDAI IAKAVELSHLEGVHGSDNTPFVLAKIKELSGGRSVETNKALAAVAKSELKTTTPKTTS LKPAPTVPEKIDILVAGSLAVDLACDFTPTATGSKMMTPALRTSNPSVISQSLGGVGY NVALASSYLGSSVLFCSVVADDLSGRSALAVLEKGSENLRSEGIQRLASGSGIRTAQY IAINDAKKELVLAMADMSILELHSEKLNFDEFWEPLIRRTKPTWAVIDTNWSPETVGR WIRLCKSHGIKTAVEPVSAPKATRLFSRISSENNKAADKPIFGPSNAAPTNQLVDIIT PNRYELASMHTTAREAGLFDSPEWWKVIDSLSLPSVGSRDRFTSLTSASIVDEGIPQQ SIQLLPFIPCIVTKLGQEGVLVTQLLRSGDSRLTDPEYAPYILGRAVGGSTDGPGDPN VGGVYMRLFPAAEKLEAHDVVSVNGAGDTLLGVLVSALAAAEKYDTAQKIRVEDVIPL AQQASIKTLKSECGVSSDIKSLRPLLGKLGSAQI UREG_00963 MRLLAAAALLLTSCAQAISSNSTHSPTARALPNDFSPPQVFKNL NLLKHINLEKGYVRETVNVLIENIDQQPQSKYYVPFSNALIGKVGGFEAWEKNAEKNG KFEVETTQFLPQSQYFIINIPKPLEPSSRLTLSISYYLLSALAPLPPAIEQNDKQYFT YTFSAYAPSAYLTAMQRTKVKFPVADIPEYTTTNGLKTGPGPDPEKQGTTFTYGPYNT KDVAPGTVEPITVRYEFTRPIITATLLERDLEVSHWGGNLATEDRYWLQNNGAHLAKQ FSRVAWSMKTLQNSPSVAISALRIGLKPGAVDPYFTDEIGNVSTSRFTPGQGTRGAML DIRPRYPVFGGWKYSFRIGWNDALSSFLRKAKSGDTYVLKVPFLDSPKMPEGVQYEKI DLRVILPEGARDVKFELAGGVGLPNDVQSEITLSKSFMDTVGRTVLNLAMENVADEAR DAQLIVTYNYPFFASFRKPLTIFAGMLSVFIATWAIGNIDVSIKKR UREG_00964 MSSPASSRRRGRPTRGSATSTPTRPSTGTPGPAPSSGQRSQPGT QATPRSRRIEVVVPSSSPMAFQSSPTGAARQSATANGDARMDRSSPIRAPSDASDGQT TPRASRRPVADSSPIRYMSSSSPTRATNRQSRTTEIPTSSSGLFVRSSQGNEFSDIAN INTRRGGLHSDAFGNTASRRRVLVDERGLPVRDGDLQSAATFSNINPNTSEADALGGN STRIIWGTNISIQDVMASFKSFLYGYTRKYRMWADGASEDDTRALGSVADEKEYITLL NDMRKLGVTGLNLDIRNLKAYPPTTKLWHQVQAYPQEVIPIMDQSIKDVMIDLAEREM TALRVQQGNRGRPSRATDLSSAPINPSSEPGNDADRQMQTEIPNLVAEVEVKAFKTLP FGMDRSVNMRELDPGDMEKLISIKGLVIRATPVIPDMKEAFFRCDVCEHSVKVDIDRG RIAEPTRCPRRICDSQNSMQLIHNRCVFADKQIIKLQETPDSVPDGQTPHSVTLCAYD DLVDVCKAGDRVEVTGIFRSNPVRLNPRQRTIKSLFRTFVDVLHVQKVDRKKLGIDAS TIEEELSEQIAGDVEQVKKISKEEEEKIKATAARPDVYDLLSRSLAPSIYEMDDVKKG ILLQLFGGTNKTFDKGGSPRYRGDINVLLCGDPSTSKSQLLQYVHKIAPRGIYTSGKG SSAVGLTAYITRDPESRQLVLESGALVLSDGGVCCIDEFDKMNDATRSVLHEVMEQQT VSIAKAGIITTLNARTSILASANPIGSKYNPNLPVPQNIDLPPTLLSRFDLVYLVLDR IDEQNDRRLAKHLVGMYLEDTPDNASNEEILPVEFLTSYITYAKNKISPRLTPAAGEA LTEAYVEMRKLGDDIRSAERRITATTRQLESMIRLSEAHARMRLSEDVTTADVEEART GLIDMSLLTEGTTAIDRRNREMMKKEILALVEELGGRGASGTRWAEVYRRFGEQSNVE VTAAEFADAVRSLESEGLIRKHPRKERALFGTRRSLSKEEGTSGKEGDVDQAWKLSDK RPLIHCSRLCRFGEQLRSSLVKEYYWHYIAYDDLKAALKTEHQTTPTPQNPNPKRKPW TEDDEKRFVQLLESELDKVSTFQKLKSDEIVRRIKASEREVNDVVSRLDPSGGQQTNG ARRRNAPTDEDFLLLEEDLSDIIADVHDLAKYTKLNYTGFQKIIKKHDKQTQWYLKPV FATRLKAKPFFKDNYDAFVVKLSKLYDVVRTKGNPIEGDSAAGGSQQNFVRGTTKYWV HPDNITELKLIILKHLPVLVFNPTKEFEERDAAISSIYFDNPDTWELYMGRLKKTEGA EAIRLRWYGGMENDQIFVERKTHREDWTGESSVKARFPMKEKHVNAYLSGKMTVESAF EKLRKEGKKSEKQIADWEQLAREIQYRVITRRLVPVTRTFYHRTAFQLPGDARVRISL DTELTMVREDNLNGRKRAGDNWRRMDIGIDYPFSQLPAEDVERFPYAVLEVKLQTQAG QEAPEWITELTSSHLVEAVPKFSKFIHGTANLFPDRINLLPFWMPQMDVDIRKPVRPG FGIERPPQSTLSTSEDMMDEDESDEEDRAGHTNGATHDHVANQPRDLDDPMIRHSLGD NLHFQAPGNHLDIEERIAAQNLLGGDSYPLYDSEDESNDQDELEEAQRIGGMHYRSKL AKHYARNVGRYVMHGMKFVLPVPRPTELPDGATPGRLRKVLGSGPLEVKRFKAPKGKR KYSRPSMKQRPRFLIVCRNPCACAG UREG_00965 MELGDEQLGGVNVDIGVQEILLAASQHDISRLRELIRSFDKAEN PANVKDPETGYSPLHAAIAACEPDSEESGETRKANGLGNDASALPEEGPSNILEPGSE MVRFLLQEGAIWNDLDANNETPGCIAKRLGLDELYQLMVDAGVRAEMLLNRLDEYELL QDSDEEDTSPADVTPEQQTGEHIAPSEAGNAASAQGPDVTGSRYLQSNLAFNDGRLLD QDQNGVMMSWESEIMERSAKAILPESGLRVLNIGHGMGIIDNIIQTLQPSVHHIVEAH PAVVEEMKRKGWHEKPGVVIHQGKWQEIVPELINEGQTFDALYYDTFAESYSDFRAFF SEQIIGLLDINGKWSYFNGMGADRQISYDVYQKVVEMDLLEAGFDVDWEEISVPSLEG EWEGVRRKYWVIDSYRLPVCRYLD UREG_00966 MKFALVTTVVAALCAPNVLGVPLAARNTSLVPSSSSTRQLTDAD VLQFALTLEHLENVFYKQGLSRFTQNDFLRAGFDARFFNQMRFVAGDEQSHVVFLQQA LTGLGVRPVSACRYNFPFRDVRSFVGLASVLEGIGVSAYLGGAGLISNKNFLGAAAAI LAAEGLHQSTLRNSLGLIASANIAGTAITPNAIFSLASAFIVSCPGGNAALPFKAFPS LSPRGGSIFSINSFSRFAIGQGVIIPPVFFLTFISGLEIISIPGTFAGGFYSARIPRQ VSGQSFVFLTRTANTGAFSESSVLAGPAIIEVTPTVPTLNFSVL UREG_00967 MADPRETWQRLQTALQQRGRGGPFGRMPGGGGRAAGGIGALIAL GLGGYLISNSLFNVDGGHRAIKYTRVGGVKKEIYNEGTHFRIPWFETPIIYDVRAKPR NVASLTGTKDLQMVNITCRVLSRPRVDALPQIYRTLGSDFDERVLPSIVNEVLKSVVA QFNASQLITQRENVARLVRDNLARRAARFNILLDDVSLTHLAFSPEFTAAVEAKQVAQ QEAQRAAFVVDKARQEKQATIVRAQGEARSAQLIGDAIKKSRSYVELRKIENARNIAQ ILQESGGRNKLYLDTEGLGLNVNAGADGADKA UREG_00968 MAPDRTGKSVFLGNIPYNLTEEQVKDILSSAGTVTKFRLMINPE TGKPKGYGFADFADADSAASAVRNLNDYEIMGRKIRVDWPHNNEKDSVPLDYSQQGQM NVQGQDGQTGYPTQAPLLPSLPPGTDLPPNLTCPNAISQTLSTLPAPQLLDVLAQMKS LAMADPARATELLRQAPQLAYAIFQALLLMNLVDYGALGSVVEQATQPQPQVPTPQTQ PASNISQQYPSYPPIPGQISTPPVHGTPFVPPPPQPQPQAPQLPQMAGQEELLQQVLS MPQATIDALPPTERSQIMLLRQQLLQGGMR UREG_00969 MARILDPTVQNNQANCEPGRQLAESNPRIADEEDSEGVADDINS DEDDLVIQPRISKGTIAKLPSKEEQIPDVVKSHIRTIPPEILRGIYTVMPSSRPKRGL DQSLPPISEIEEIFDDLVAKAEDNDFDGFLQHIGCRELRVATMCSGTEAPLLALEMIA DSFKRLYGKSFRMHHLFSAEIEPFKQSYIQRNFSPDILFRDVSELVNNEATTAFGSVR TVPTNPDLLVAGFSCVDFSQLNAHKKSLEEMGESGHTFFPILQYIKRCRPPLVILENV FGAPWAKIAEIYKEIDYNAYHASIDTKNFYLPQTRERGYLLCIDQKKLETEAPGKKGG KASLLARMMKRFERPASSPVTDFLLKQDDPRLRVGINDISVQAAKDRQSVDWTRYKAR HLGYRMENGLGDKRPLSRWQDNGTCQMPDFYWHGWTKAQTERVWDTLDVNFLRAIVRG SDFMSKCRVIDLSQGLDRELDQRASGISGCLTPRGQHFISTRGGPLLGIEALALQGIP INKLLLSSESQRDLHDLAGNAMSSPVVGAAILSALILGHKALLPGPPSAGESEKSDII FLPCSVIKEYLMHDVPAEGLAIPSQSVKELLVKVQQSCRLCYCEAQSGTKRRDMLICS KCNHTACIKCGQNPSHAYEPIPLEHLSARITPMNFEMYLKMGLPMRLQLKGLNRGAFE QFRESWTSKEVIGAWEAFLEVVGPALGEELRFSGISRHRAWTVT UREG_00970 MESPALLQRFVWLLQKAIAVTHFSEWIDVTSVQGQNRLGCVLCA PPKPRLTWARNEKDRICAYEHPEDAALYERSVKNRPAPFLGFMLRDEKSYVHLRICLN ILTLLHQAVGNMPRALYEPSLKWRLCIDTTGFVRQRLPKLVETNNKGDIENAQPPGFR NHMLRPEQLRSLTWMRSRESDKVPNFEEEEVVEALLPVINWRAEGKATARKLVRGGIL GDDVGYGKTAISLGLIDTQFDSDSKSVPDNANAAIPVKATLIVVPHHLFDQWLREIRK FLGSKYRILQIKTLTAFRSLNIREVEKVDIALASASVFRGNNYYELMRMFAATPEVPQ GDGRVFDEWLQDALNGVRDHVRLLRTHGSLDVCTSIQAKNEQLQTEAVVSKYNPSKRL KGQRLQDHLAKSNISPMAKNEKPEAKDNQYSSDHSKIVSGLPVAHKATDGVFYFHDAK YDWKRVRNPFIHMFEFTRLVIDEFTYSKERSYSAVLSIPARSKWILSGTPPLNDFADV KSFSPFLGINLGIDEDDIKRTDNERLKAIQRDRTEVEQFQPFITRRSAAWHRRRHKMA QNFLDQFMRKNEPEIGEIPFTEHICEVVLAPAERALYIELFVQVMAQNLKIRRQGRGL YDAAEISRLNQVIGGSDGPEEALVKRCSLFSLDDINDNNPPPDPDAPAPEKRKLGTGN TILDVRYKELRDLGADLQTKFRLGLWLRSKLSDDSRNHFDKTLNLIETDTGAGELGDY LVRRIIQKCLDFAKANSKEVDGNKFYATAQMVSIPRSLCGHELMLSQKRQNARESRPE FPTTEKAIVQDLNNCNDSIRRLIAETRTRTRASRVFQTIRYFQTSPHDAAYHCHYCCK VERNRNLIFVLGECGHTLCGECLELRRRKGDCGCDGCTATLEGYRVIEGGDFAEDESR TLDESWADLGGSKMFELVKLLQDTSRIPEDDQVLLFVQYADLIAAVSAVLEKANIRYM TITSNDRVAGKALADFQNGTEEVKSKVLILALGDVTASGL UREG_00971 MASKLVPFTLRQCRQYWRHIPVRQCRQFTVATPVRSEALAVHRN SPENNPNIPFKFTEQNLKVIDEIVKRYPPQYKKAAVMPLLDLGQRQLGWTSISVMNEV ARILEMPPMRVYEVATFYTMYNRDPVGKYFVQICTTTPCQLGGCGSDKIVEAITKHLG VHSHGQTTPDKLFTVLEVECLGACVNAPMVQINDEYYEDLTPDTAVQLLEALKESALA GESGKKVKVPAPGPLSGRHSCENSAGLTNLTSPLWSTETLRTDGEL UREG_00972 MVVHTVEISLDKAVTKMSEVGPLSATMLVRSRMFWSSLAPNEIT IYGFDLGVDSDSIRITGHGQATVTDTQTSFVDRKEAFEDVFPEYKSEDDFESLDEEDS DDDFGVDRTELAAAQKERTRLVNALNAARDELRTFETSKAVLEDFVEGKHNEIDLSTL AGYLHQYQQENKELTLKCQEASAATVELEKELKTATNLATKLQRRFDRARNAAAKPAR EKREQLRKALQKKSLQKRQEKAEALSFWTSRVRQLVVHLDCFGDSSDTSRRNSIASTK KQEPATVLDPNTVNFSLMYVTRNAKWSPRYELTLNTPTSSGKMVYRAEYQNTSSEIWR DVKIILSTSQTTFSGLNEVIPWLHPWFVKLLKCDPLEPPSKGKDYWQEGLENRVEVEA RKQRKRAENVQFRSVQHMQMARHAVFDRPRGYNPQGLPLPPPPPPAAAPRIVESRQLA RRSDPRPFHGSEAEAVTRVSERGLNLPSLVEDDATSSDDDDDVATLYAGSNALVVQES SQQNYGLTTTYDIPGVRTLRPSSVSRRLQIAELELPSVTFSHLVIPKLRPAAFLKAKV TNASTTTMLRGDAGLTLDGIFLGTTKIPHCGPKNTASLSLGVDPGIQVKYAKPTVRRS TTGFFNKEDSAVFTRYCRISNTKSTSVSLLVLDQVPTSEDERLRIRILQPAGLDKDGD KVKIGPDAGSPKSNWGKGTVSMGKSGEVAWELKLEKGADIKLVLEYEARIPSGQKIAG LN UREG_00973 MTHKPTLLPNTANGTGVKRTRILSVQSLNVPGQSNADSQQRWEE EVRRDSLEAQALLEAAEALRTRDVPVAFPTETVYGLGADATRSAAVQGIYKAKQRPSD NPLIVHVHSREQLEGLLQPPKCPKSQQTTAQSSSLIPPIYEPLIQRFWPGPLTILIPN PPHSPLAPEVTSNLSTFGVRMPSSSLARLLIRLADRPLAAPSANASTKPSPTTAQHVY TDLRGRIDIILDGGPCGVGVESTVVDGLSQPPAILRPGGVGIEEIRSCRGWEDVRIGY KDGALQGRTAPRAPGMKYRHYSPKAKVVLFESGQPMSSVIERILREQASSSPIKIGVI RTRTWEKGLAMPVDRVSPESLNGHRDTSLGLDDTPSPPDTQIQQLSVLSSESKSNSPP CAMDMIDISLGADTHSIARGLFSALRSLDAHNVSTIYVEGIPETEGDLAAAIMNRLRK AAEEEIKS UREG_00974 MPPSSQHSPKSQALAGPETDLSPYEVMLASADYFTNPEGAAHPL PPEYPVYYFFYGTLTAPVTIKRILDLAEEPKVRKAQIIGYALGKWGDYPALIDGEQGQ VVSGAAYLVESEEQAEKLAYYETNAYKVAPCWIFFTDNGTPAEASGKTFEYAGDPKAL LEQRFDRKLWALQMGNRLG UREG_00975 MKQRYSSLDVQRIYLFKLQKPDVRKQIVIDSGFRCHLTEYTRAT APAPSHFVSRLRQFLKSRRVTAVSQVGTDRIIHIEFSDGQFHLLLEFFASGNIILTDN EFKIVSLLRIVPEGEEQDEIRIGLIYRLDNKQNYGGVPPLSVDRLRTALERGKERDAS QPEATTKRAKKKQDEALRRALSLGFPEYPPLLLEHALHVTGFDSTLRPNQILEASDMI DELMHVLEEAQRVSGELSTAEQTRGYIITRNENKPSEPPTQGTETKPDKSSYIDYHPF EPKQFADNPDTRILPLESFNKAVDEYYSSVEAQKLESRLTDREETMKRKLEATKRDHE KRVGALKEVQQLNVRKAQAIEANLSKVEEAINAANSLIAQGMDWVEIARLIEMEQSRR NPIAKMIKLPLKLYENTITILLPDGMPVDDESESESEDEDEEDESGDEPEKKSREPEV LSIDIDLALTPWANASQYYDQKKTAAMKEDKTIKASKKALKSAEKKVTADLKQGLKQE KPVLRPARTPFWFEKFFFFISSDGYLVLGGQDARQDEILYHRHLQKGDVYVHTDTEGA MPMIIKNKPGAFDDPIPPGTLAQAGTFTVATSRAWDTKALLGAWWVKAEQVSRTTATG EYLPTSVVISGEKNHLAPGQLILGFAVLFQISPESVANHRRHRLEESGSPQIAVESED GKDPQPPSEREVLEHDEDKGGELEEKGEPSEAASSLHPQNDEHGDLNDSTPLMNEPQG EVDQSSEDEYDSADPAYQQQPEASDTATKDFSHARSPSIREEGESVPSTSQPSRTSTP SIQSSSTPKSQQQVRGKRGKAKKLASKYKDQDEEDRELALRLLGSAPKADAPKKTRES REAELQAQKERRRAQHHKAAQAERQRQENFHRRQQEGLDTGYAGKIVNDLSVLPTLVG APVVGDEIISAIPVCAPWTAVGQCKYRAKLQPGPTGKGKVVREVLGRWVTGASAAVKA QKPSAGEILKLQEERDPEEAKSSEDAEMSLTMMEVELLKGWRGAEVINTIPTGKIRIV SVAGAGGSVASVDDGKAKGKKAGAGAGKAGKGAAKGGKKR UREG_00976 MDYWDPSLDFWYSHTTHQYPRPPFHHRSYLHPASDRWSQRTPIR EEFFGNGHFPALYRDPWDDELPTWATHECWGERYPQHLNAERHHDQEDRAHDRDYGLY DTSTLFSVSESMGEAERNNRSDPYLADNEKASSSSEVPSKDSRESRALGDGMCAPQSP EIFFAESLKTLREYLLDLKQEREKFRQERVELEKLRETFERIVETWCENRLGVWRIQQ EPGRDNEPSRSPQKQHERRQTCERSLENTAFERYDNKWLEVQLAELGDMDAINIPWPT RTLKMDGLSEIPAELRTCFLHGRVNAPDDSLLRQWNAFTFFLAAFDLKPGDPQHYCGK GAMRTDDQCHLNFNIQVRGRYNLAKVQKLKAKLLEEKRRWHPDGQRRKWRLRQGSNEV EEECAKAVFNAVVNASTVCGRLLSRASDFRGS UREG_00977 MASSARKPSTPLSSHGNRRTSTDSHHSSPNGSPNGLGRSSSTRH SPAPVSARAAARRPPGRSNLSMSSVPRNFMNPQADDDARAANSTLIGELREQLQKAEL VSEQYRKQLGVLQMRLDEAVEQQTRLEEQAHEKDSNADALRDEVKELSRQLRDMEQTH ETERAAMIKDKEAQASREEELKSTIQRLKETISQKDLRMNVENDRTLSRSPSFRNRAS QDVENGQFAPSSQLQRSPSRNNSKLILQKDKLIESLRLELAESQIKLIEMENMGGGRQ RDLERELLEARMANARLMEDNESYQLLLSEKTLNGDFTKGDFMHQNAADNQLNTTGSG SLADELESAAEGGEAESRRRLEAELKTQVDHNKALSLYIERIIGRLLQHEGFEHILDK SENDVPRNPTAKTAGLDKELPPPPPQEKNEAGGPTLLQRAKSVMAGQATRPKPRPVSQ LMQAAPGQDQQPASHSTPHENPETAPSIPLNRSRTVQHRRARSDQADGIAAAAVVGQL YRGSAGRSPPVGPMSPGISPTMSQGPLFSGSFSSKRNSGNSTHGASMSSRGGERDSVL SDRSGEIASQGTNSSPPRHSSGMNNYTGAVMTQSKLRPLRLVQENRELDSGDQGGKTS DDDEAARKKANRGSWISWFNRTNSNSFEPPKTPTS UREG_00978 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIKIFDLGRKKANTD EFPLCVHMVSNEYEQLSSEALEAARICANKYLVKICGKEGFHLRVRAHPFHVVRINKM LSCAGADRLQTGMRGAFGKPYGVVARVNIGQILLSVRTRDSNRAAAIEALRRSMYKFP GRQKIIVSKNWGFTPLRREEYVQLRKEGKVKIDGAYVQFLRNKGNVASNMKRFPDAYE NLSQA UREG_00979 MAFRVPSQVHHQRRSSLQNASPRPAPPAVQITPSTYSPREEESQ EWVLFSPETAPSTTARTHTTSTERTPRTAGRSRLSDFGSLGTALQSGTDLEHDHDDLL EEDATELDSLDDGLHAFREPTPFEPAPDQFQLHQSDPAVLPAHDGLGTFAPTSLHIQE QLWQHEPFNPLRKGETRPRRRSSVQRHLDSVDEMQNWDMDKDRWQRIEQWRMEQSRAL LQEIEKETRRKRYSRQGRVIRPAQGVERQPSSAAVETVTESSAASGESQADASQEGSK ENLEAEESFWRRITRKVIRDLIGIDDAILAVIFGEALVEDVEEEKHGQSEHARSQQDE QSKSKIRIDTERPKDLDEMLKGVISPSSSSAVSSDGEWQQRLLDRIARELGVLVHQLC EHPGAFSTYLNTSASPPSDFPGISTSKAPSFSSQSRPRTSRSASYGSSKNSPAEFTPT FTPTLQDTAGPNHAALWGIEEEDPLNANGRQSSADAPHFPRSEDGEYWEQDLDMKMVF HYLYKTLAGKAPFSNDGTSTPKPSSPNGQEGQDASCRAAIIRHHHPLVARADAHARSH RPPPRHWQTSSLAQDSAPAGLTTLLLLLLLVLPRPLGSGSSRHYWDIGGSVGSGSAIV TVGGAGGMGSWGDV UREG_00980 MALDAERKSSKTVSGSQPIKGKKRKREDAAHGPDKGDHFSKRAA LKNGPAPKGNHPRDQAHTGVKNILPNGPLNLPAPAGGKGKKQPSSLKQKANALFEVRK KLPIFAHADEIRQHLRRTDVLLLVGETGSGKSTQVPQFLVDEDWCCAKTVKVRSPPST NGKRRTVEVGGCVAITQPRRVAAVSLARRVAEEMGTPLGNSSPASKVGYSVRFDTSTS PSTRVKFLTDGMLLQEMLSDPWLTKYSVVVVDEVHERGVNVDLLLGFLRNLVSGTKEG RGGVPLKVVVMSATADMESLLTFFYQGLEEKAASRVASDNAANAENGRSDSERNTVDG KTSTRTVEKLRPVEPAVCRIKGRMFPVTTIYSPEPVPDFVDAALKTIFQIHYKEPLPG DILVFLTGQETVESLEYMVNDYAHGMDPALPKVLVAPLFAALPQAAQQQVFQPAPPRT RKVILATNIAETSVTPKMKQFRTRLGLDSLLVKPISKSAAIQRKGRAGREAPGQCYRL YTEKDYLGLQEANTPEILRCDLTQPILTLKARGVDDIMGFPFLTPPPREAIEKALLQL FNIQALEETGKISAIGKQIAKLPLTAPLGRVLLAAADHGQRCLQDVIDILSCLSVENI FLSTTSEEKKEEAEEARRDLYRREGDHLTMLVTVRAYSAENSDRKAWAERHMVSHRAM QAVMDVRKQLITQCKQAKLFDAQQSSDGDKPKAAADPTASESYDPVSILRSFLAGFAC NTARLFPDGSYKTIVGNQTVAIHPSSVLFGRKVEAIVYNEYVFTNRSYARGIAQAGRL LNNTLGPRYRLPTLS UREG_00981 MAPVVYESAPVSGSGTQKPSAPLSLFPDGLKTTGQHPPLYDQIK PFSEFPKEITGPTVWKAEDYKDCPEKWTHWFTDEEIAELSAAADAFIAAGTPLTGITK ALFPLPTFSAFLHELHDELLDGRGFILFKGLPVQQWGNHKSAVAYMGIGTYLGYFVSQ NGRGHVLGHVKDLGEDSGKIDKVRIYRTNARQFFHTDDGDVVGLLCVAKALEGGESDI ISSHHVYNVLAKERPDVLETLTQPIWYVDRKGETSIGQEEYIRASIMYLENGGKGRVY TKFSDAGIIPPLSPAQIEAMNVLEETCLRLSLHMILDVGDIQFLSNSQVLHARTAYKD YPPPAPRRHLMRLWLATPESEGGWKIPFWDSDEKKRGGIQVNDQPPVAPLDAE UREG_00982 MRFSPLLRMASSSTITSPSSLRPAPLALLPPLPLYRRLLRAHRK LPRELRLLGDEYIKAEFRAHRDVENPIHIVGFLTEWQLYAQKLEGDSWRDEKLDKAKL EKMSDQQIAQLYELMQATKEKGDKDNGDS UREG_00983 MSGFNPLPACPIPIQFDVDSQIKELQAMIDSPTTSEEQKTNLRA AIDLYNKHVLPGPWRLIQDGQVVSLQDVDFHHAWWSECKFT UREG_00984 MARDNEIKAGLASEALSEHMEKVDAGDANVLAAMGYKQELHRHY STIQVFAIAFSIMGLLPSIASTLAFSLPGGPAAMVWADLGSSLPTSGGLYWWTHYFAA EKWKNPLSFLVGYSNTLGLIGGVCSINSTAIALPIGKARTAEGINSGAYVFTHVENLT AWPTGWTFMLAWLSPIWTIGAFDSCVHMSEEATNAAKAVPYGILGSIGGCWSLGFLSL CIIAACMTKDIESILNTPFGQPMAQIYHDALGKHGALAFMTILAVVQFFMGLSILISA SRQTWAFSRDGALPFSNYWRVVSKRIRCQPIRAVWGCCFASIITGLLCLINAAAANAL FSLCVAGNDLAWAIPIFCRIFWGQQKFIPGAFYTGRFSKPIAITALVYLCFSITLCMF PTLGPNPSAMDMNYTVVINVTLWGSALAYYFLFANKWYKGPKATLEDPTMVED UREG_00985 MASRGTDLLDTASKLSKDEKCIEHGPSQDGAAFTAPLHRKLKSR HLQMIAIGGIIGPGLLVGSGNALHLAGPAGILISFSLVGILVFFVMQSLGELATLIPV TGSFTDYAARFVDDSLAFGLGWAYWYLWVTVLANEYNALSLVMGYWTDVVPQWAWILI YWVIFLVLSNLGVLAYGEVEFWLALIKVISLTVFFVLAICISAGGIGDQTIGFKYWDH PGAFADSINGVARTFVIAGTLYAGTEMVGITSGESSNPLKAVPRAIRQVFWRILIFYV GMMFFIGILIPYDDDRLLASGSKTAQSPLTIALNDAGILPAAHLINALIVISVISAGN SSLYVSSRTLLYLGRTGKAPKFVGRTNKAGVPWVALLASNLFACISFLSLSSSAGKVY SALITLSGGESVLFPRSLPNVLYRVTIVY UREG_00986 MQKRTNESPMDFEWQTTAPGDVTSPFYQLAMEHEKQKKKREELA MVTRICTLVPGSADSSCSSGPFSVFDSPSKPSSPAVTHETNSQRFLFSQPSGATPAFP TSSAFATPRKVNFDFSSGAENSPDAHLNADDTPEQPSKSERRNSLFNFYGRFAPSPGR GEIPRTNKFSNVVIQRVQKRRKRGREVEKHMRKASMASESSSRSPSRERHSTRRHTPR EEQQKSQDIPFFSRLFTFLESHPHIPRILSYYAQFAFNLVLAFLGLYVIVTFLLAIHH DITRERDRVSEGILAEMAACARNYVENRCSGESGKRLPALETVCNNWERCMNQDPAKV GKAKVSAQTLAEIFNSFIEPISFKTMIFFIASITSCVAVSNLTFSFFRNKSNNPPEPV SSYRPYAPPGMNSQPSHLTYATGHTAFGMGGPFYGHHPMHHASQAFNQSPFKSKPDYE DEPRRQIDMRPFDGHMGTPSPSKRDVKFS UREG_00987 MKLVRFLMKCANETVTIELKNGTILHGTIASVSPQMNTALRTVK MTPKGREPISLDTINIRGSTIRYFILPDSLPLDTLLIDDTPKPKNKAKKETDRGRGRG GPRGRGGRGRGRGRGRGF UREG_00988 MASVFTYDPDPPRVSSPWSTSGSTTPRLRKTASGYLSTGSQDAN GPDPSDPGYLTYHGITKLDVEPQDGPIEYKLHLLLRPRRSFISLSTGSLISGSHHSKS GPDVSQSPQEHLDSQSKTPLSSSNQTRQHRLHQLTTQLLWRLQQSSPFHSSSTTADLV LPVLPEATPTLNVPKALSPLLPGLEESQGALYEIGVSDDGTFVGLAEDELNESLVNLQ AMAASLGCKIEVLRKVAVGFCEWLEIGTTDHKPLTVRGKLWVAEVLVRPDLRDGTDEL LVGDVSEAQSQDEPIGVATHKAALDPARLTEQLHVAIIGSSGAGKSSLLGILSTSSHD NGRGKSRISLLKHRHEIATGVTSSVAHELIGYSGPSTTSEIPKVVNYASGNVSAWNDI HASAAGGRLVFLSDLPGSFRYNKSMLRGLMSWVPHYVLLCIPANGPGSAEPGEITEAD LTLAYLDLCLKLDLPIVLVVTKLDLSNQSTKTTLNLSVSAIKAAGRKPFLRFRSGEPN SDIDIQAISSKDQEDADATVASIGDGAAESVVPIVLTSAVTGVGVGQLHALLRSLPLP TRPALSPPTTINQPLLHFRYEKAFDINEVFEMPLSKVYSASNETKHQNDTGIILCGRM RRGTISVGDCLVVGPLQPELKQEHKSSDHFAQRPKATCSKSFPDNLTSLRLQRLQLDA SCPHFETYWQEVRVVSVRNLRQPVRSLHEQQIGTIGIDPIDSHCCLGKIRKGMVLSAF SSSPESRAPFPASQPYFHTGFVGTFPAADFFDLPSSPTVGSNMVVYIRSIRASAKVLS IHGIENPQNRFSVAEPEIFTLDGPDDDANQTPELGTNDQGAAPEVKIAFSFVSSVEWI EVPSRVLAMPSMASSATMAMSTSPSSSTRGTQSLRGLVGWVCDIVHV UREG_00989 MAPEQSSKGGKETISRSKSRSFGRDSRTSLSPSTFQNLSLSSRT PPSHAVLPSSQQGSPVMTSAYLSQTTTEASQSQQHQPHFHMMAARIAANSPCQFETEF VAEPCYGSFYENCSSATNQLTANEADHFRYEVTPFPTNYDQACYGFPSRSDLLEYGSG NLPMYSGQSYDDGVPVTMAQCSEAMDPGTASLASSRPYDSSDLSLQQLHFHPGHSHFS TGSSISRARFHQSPHAHLRQSSLLTNPITADTCSRTVSPNPSLSSNIPHSLASQYPST LSSVPVVPSTQSDESLFPAQTTRSPHRFFNTSSYHVRSLHWNRYPSPRRELQDENHNA GTTDVMAENSPPLATSSDISERRQVLQQSLNVPTVGPFSREPRHETAILRKGSVRLND KWRRSREAWVLQAEATTEFCLNGGCLLSFYACLRHLRSPGIMRPFPGVFILFRFKHLP KSALSFISV UREG_00990 MWRPTRAIADTITKLNGSSSLCLKMSLAALPTELLELILDDFIP PDWNLYATDDDLRWFKLRLVCSRFDAILSRQAFRKLHSFSLCYTARHITPAAKNWLLN QKLLLLERKLGCYHEVGSPNGGSRPGASDDHATSTSLLTNVHGVELGICYQIAYASDL ALYQRYFKERLSLAAYRGDIAAVASLIAQGTDVKCQKHVVRPSNYTAAACFHGFPSVV CALLEHKATDPILECAEESPLCIAAGQGNEDVVRMLLERPNVTIGHEGTISCPLWYAA YFGHAGVLRLLLDKFPVCPDKYGLAPRTLLWFAACQGHTSVVQMLLERDDVNPNCHDS EGNTALLIATRNGHHEIVQLLLQRDDLNPNIKSSMNYTPLFEAAFKGHEAIVRSLLQC PAVDPNLGGCFDQSPISVAAEYGLTKIVGIFVERKDINVNSQNRHRRTPLSFACEKGN DEMVRLLLTREDIVLDIPDAWGYVPLMWAFREAYTDIILMLLERQLAAPVSVIPKKVD SKWKRLLTQTLFRRPETKISAQTKPQNESRIATRWKHRVGAEMLQGWLF UREG_00991 MASFMTDLWSSVFTPGTTPTLLIATNATFAALQVLLLALLFATY SIHFAVLSGLCGALWWSINWFVAELDAAKANEARGRRDLSQSATEPLNRPPGALDTSE SETETESIVGAGDVKVSTATTTALGNAAAARKPPPSLLKRESTPAAPNQPATQTVPED RGGELRQRNAHDSSGYVSTDSEQTVTSLNALHTPAEGSRDIAFGLEPTVTTCVEQQQR RTDEWHPSAAPIYCFCQVCRGLLSSYSIVPNGSRPSFPAMFPNVPLSIGRKRVFDSVF PPVPLSSIPPKPDATSIPSSIRSPAQQQSALPSSHIDAAPEQATWDRAWHTATAFLSI PDKKPSYCTASDEISDECLFQQWPRETLPSQSVIEALCYVGSDSSIGKQLRAGSKECD LQEWSDFSRLLAEELATEGGAILGIHADIRSGTGSPQPHDHTLENEGRGDSMDLDQTY SMSYRDWKNEVSSKRRISMMTEGEDGVVTAARHRLLRLLEDVQSVGLGGSKAQKVFAE VMNNLITEFVNASYAGEWEAPSFVLDHLRQWIENVFARLVVQVLDIFTISEDEDILPD ELIVTLNDVEKWQEIGLARLGALRISELFEIIVEWDASSGAIEDLKHYITSPSTRFYL TSAFAGTLMNRLLHPGASTIDILQVYISIIHAFTQLDPRGVLLDRLARPIRRYLRERD DTVKVIVSGLLANTDPDGEQIPSVPDALGELAVELSKAHQLALQEGGGELDWDDMNWI PDPIDAAADYKKSKHSDVIGSLVSLFESKEVFVKELQRVLSDRLLKKKRDYDLEISVL ELLKLRFGESALQACDVMMRDVVDSKRVDTVIRADQNLGSLNGSPHEEVPEIHAKILS RLFWPSLSDQNFKVPEEIASLQARYSAGFETLKPSRKLTWLNSLGSVTVELDLTDRFF SDEVTPWQASVIYAFQSCSPSSTDSPVTKSVSELAEELEMSPSLVRSACLFWLSKRIL AESALDIFSVLETLPDNEESVSQPAGVGAQPGDKTTNISEASAAAAAAEAEAARESAE AAAMEKMNVYWQFIVGMLTNQGAMPLQRIIMMLKIAVPGGFPFSSEELKEFLGKMVAK GKLEMLGGGNYKIIGA UREG_00992 MERSNQELESFRQQWLEEVSARARRPSQPPKPSAPNRSSAEPSR KRPPRHQAADREEEPEYREGSSPSGPSDYEALTAQTQSLAIAPADDDAFVLGAQKAPS SALEHFEEAAAKEAQGKLGDSLNLYRKAYKLDSRVDQAYRKKHFPPAAQAPGINPSNA PVTVPNTAHHSPGGPILSTPELLASFAHFPVPVADPIIEGAPPPPCPISTTPSEILGE ILKQLALLDPASFARLALVCKRFAFLVAHEQPVWRRLCQGSEFGFGSMHYSFACDVEG RREYTFQPRYNPFPLGSTALQIPKPLSTWAQVFQTFPRIRFTGIYISTVNYTRPGAYS SFHNTSWDAPIHIVTYYRYLRFYPDGSLISLLTTTEPADVVRHISKENLETLKAPPSS YRHHQHQHSGAGPTAAPSANPIPTVAASALKGALKGRWHLSHPSPVKPADAPDSTEPP TPPASRHKNNTNSDSKHDPRDLFIETEGIDPKYTFTMHLSLRSTTTSYRGSTTNTSKN TKLIWKGFWSYNRLTDDWGEFTLRNDKAFVFRRVRGWGLN UREG_00993 MAITRRHTGAGGGAMAEPERIQVSTSQRMISATWGSILTSLLVT PLDVVRVRLQAQTAFVKLPVITQPSGFFKELPPNLGVTACCREVFWVGDNGQFCMVGQ QVPEIGKPPGAVMAAECAVEETQRKTFTSTFDGLRKIARNEGPLSLWRGLSPTLVMAI PANVIYFTGYDWLRYDRRSPIARYVDERSAAFVAGSVARIAAAAAISPIEMFRTRLQA TSGTGTGHFKATLRGLHQMTQTQGYSALWRGLNLTMWRDVPFSGLYWWGYESMKASLA SIRARTFPHTAHMAAHEPQSSGVVFVESFTAGAVAGAVSALITTPFDVGKTRQQVFRH LGDDALLNPAASPPTTSGSFTSSSFTKLGGSVASTSRSAIRPEQLSIPRFLLHIFREE GLSGLFRGWAARCMKVAPACAIMISSYELGKQMAGQVNERRHHPEHEHSANPDGI UREG_00994 MQEHIRRAHPNYYIPKLPATEESFQLMVSTPPDQRPHQQQPPPT SHPRRGPNDIVDRDLFARDQNSPATPRTLDEAHPAAATAAVALAQLQHHRLMSEWDSE VDAHSDTDMRRDRMRSSVELPPLRDHFKQESIPPFSPRPRELLPSILAHSPPGRSSTL PPIQRREKIQRSRKGSLTQARKGKHERTRSKEYGRRSSINERKALSAEPQTAAWVQGK RWEDLIEAATSATEVDDDHDMTPVPHSPSFRSLASVTSAPSVQKHRSSLPPAFQPTPG LPPAQSSYPRQFAPPSYTASPLQKALTPPPYEHGGPPDTDLEPFPSVESSLDSNSSVS GKNFHMSTTGLPPPMSDSSPIQPPRPGTSSYPPPSHPHQLQPHIRNRIHHRLSNPTPF SSHSGKDVQIYCASCSRPWPLRDCLACTECICGVCRECIGMMAGTIGASPASILNPTS NPGNGIAGNGNLSAPRTGLPNRRGCPSCGIIGGKWKHFQLDFR UREG_00995 MAANVGSPNRSIPDPISSRSRDLSPSPSLSPTPAISSCPSPDRT FSTVSSVSNLSGDGGSSISTSSRRRGYIRPQGAVFAESAKNRESVMSLGSIAHLQYYF ARTGLLDGKGAQLARAKKRRDSDIPKLMLTQAQFGGDLIESPIEEASDMAEEWEENEP VMLPPTVSTYSVRTHHLPPPVDVEALRNDLQSALDKARNVLTATKDQLAAHASTPVLI DQAAENLSETREEPLESPGPSKIPQGWHEIEGMHILDMVTLAIRAARIYYTSHENPVR LDSIKSERRIREELLGVLEVLKKWAGKNFAGGLKDEQRSIMLGWISEVESMIDKERAL EEADRQKRNSWIWASGDWLGPVREREQAFLQSFEASEEIPTWEPAESSTLPTPFLTRW QDGRRLVRLHNAAVKMSKRPFGEIRSFHEDVGKPYRMAENIRYWAKAAEIRWEIKLDV DALGIVNGDSDEAWRKLDQALLKWCQGVREELIRDWTMMMSCNPPRLPSPSPIIQSSP ARCHVEFAFPRPSKVLDAVVEELRVTGFSGSPSSGNQLRTLTSLLRLSLFRSQLPPPR TTALFALSHDSARPANKLPGTTAGRPIASAKLITAAPSSEADVVTADRGVQASMPAAP SLSTSEPASIAVQIETSPATVASTSPGDQASTQQPPVPAAQRIPDSQTSGSSQRSTSL PQANNTSTTRVPTQDPKARSPSTFFRRLSPNLAARVKLLDGTAGSTAPAPRNLSSVGK ISEAHIKELDNLHRDLSIKVQKRGRAWGGGRSTTHTPEPASTSGREEASQSECGHETE SPIQSVVEPSTDGKADMSITEHSQTVAESTGACHGTSLDSSLEHTDLEKYLSRDDEQP PPPPPKDTPPPPPASGTPNVESYFNPLGLHRTDSIYSFSRASFSNQLSQLTSISLPQP AALEASIAAIPTASAAVRALTQAAEQIQQWINKASDVLSGLDADDDVEWAAAGGREGL EDVDKAVTKFESLINVYVKAIEDVQLRDDIADVGANQLHGVVIQMEATLQNWSKVRSL LKGVKEQVELAMEWEELWNAVLGDVGLEIENLARLIFEMEEKRHKTVIPDVDHGPGHG MNINELETIVEESPANGSAASNPRFSFAPVFPPASSQLDLQTSQNPQDETSLLALFAR MQPLRASLDFLPMRLSMFQSRAATMFPSACEELEDRRQRLEKGYKKLETDAEALRREL GEDRWIIVFRNAVKQAHNMCDLVDRSVNKLQEAINGGIQHSNPAALAKRADNFGSKRS NYESAIERVLSIIQKGINDRLTVNGEIIRLLADLRARYAALQVTTSDMASLLDELCAS RGQHMRDSVSSIVTLDTPPTKSVIDTPESSPASSVIMPNNSKTSGSRKDSATGNTVSK TTASKVKRYSGLPQPISPSSQTPKRTPAPRSASMGAAYLKSPTPRLTTTSPSPTPPRP DSRIGSSTNPSKPRWNHSSNTNDLMVGHNFKPLSLTTPSPHRRLPVPCRSASSTLPPR SPLSRESSASPAPGLRPPSHLNRGVTSSPTPRKPSLIDPPPYSKLRKSGPGASTPSQS LPSTPRSRQSYAGPPARESSVSRHVDDSRKSSRPGTAMGHSSRRVSLLPLPKARSGRD SAASNREDRPPWRY UREG_00996 MSTTATVQLRTLIAGPTTARLFQQSCLKTSLRPSAAVTIGLRSA AALPFRNHGVPNSSRYFSTTSSNKLREFFPPPKTPHVVETESHFKHPVFTEKEMKDIV IAHRQTKDWSDWIALGTVRMLRWGMDLATGYKHPPTGKEQKNVRPFSMDERKWIIRFI FLETVAAVPGMVGGMLRHMRSLRRMKRDLGWIETLLEEAYNERMHLLTFLKLAEPGWF MRFMVLGAQGVFFNAFFISYLLSPRTCHRFVGYLEEEAVLTYTHAINDLESGKLPRWQ DMKAPDIAVTYWKMPEGHQKILDLLYYIRADEAKHREVNHTLANLDQKYDPNPYASKY DNPQEPHPTKSASIMKPTGWERKDVI UREG_00997 MSSAMYCRFADPNLCLGEVFRSSDVVLTPCDRYTTFCCGQNEAA RNCCNTENGTVNVIAGAAIFPPAESTTDPTSTATSSPTILPQDCQSSNCQPPKIAAIV LGAAFGCVSVLAGYLWYRRRQVFE UREG_00998 MAGIGSIFGGFKFLSKPTAKSGKRARNDGGLSEDLSAFERALDD ARPALLERGKLRTVNECSKFIDDTKELLNNNRNLQEKRSSALISRLHGYILLLNIAVN SPAPGTQDAIHELDAMPVFEPFGIPASELDARVVHELEGHVLQMSIGTDGGDLETSEA PLSDNSSVSHIAESTDFQVDQMETAPPYRRTTRTDIASYQRPFSCSTSRSFTSLTTLS SSHFYPSRTESTQFTSSRADSEFAKALKDNRISIATILKCVKDSRKRSQLSQESINKA LIFVSKHRRHKDSQIQIEAINVLLKQCVADIEYRDEGYGRTPLIWAVHTEREDIVNIL LDNNASIEGMDTVKQCSPLIWAVCLGHEVIIRLLIGRGASLRAADPAFERTPLLWATK KGAFKIAKLLLEFIQDPYVVDLRDKDGKTALALAYTENHQITANALLEAGADPNFTFK SGRPLLISAIMGKDWRFVHLLVNKGANKNIADPEGLTARDWARRTRDRKIISLVCSGG UREG_00999 MSQPGKNSIPKFIDALRTWLPAPATSVAVIQSIGQDMVDISLML DDVQDDSELRRGSPAAHVVYGRSQTINSAIYSLMKVADRLGQLQDEKCRDIFYEESRN LCVGQGLDLHWRQHVQCPSIQEYITMVDNKTGSFFRLVTRLVVAETAEPPNSVNLFQF ITLLGRYYQIRDDYQNLASEEYTTKKGFCDDLSEGKFSLPMIHFLQNAPSKTVDQARG LIFHGIDSDSKRPLDLDSKRWILSEMKKAGSLEYSYNVLKDMHEAMSTMFSDLEAELG ESSKLKTFLLRLQL UREG_01000 MSVEIADPRVFEPMQYSPIFPTDTIYPVWDAVCDAILDLDIQGC RAVECFRYGRDTNDQNPQMVIVTVSPSVKNKFKQTREQIVGILNHFQLQAVGVSIVKG EIERSDTFEQWRLPQDGPKEGGHAGLSLGKRGISTSSGTFGGWVEIQDATGEWLRLGL TCFHCVDPTKQANIAPPQFLTRWYTHGISANDADAKKYLRVDQPSMHDIKNDLAILEK FIKSDRALLQAGESVNQPDMKEYERIEALLRKHMVRKSHIEQFCASENHHLGHVYAGS GYREKPVLGGYSSWETTMDWALIDVKDQRVRDNDITVHGTPVIPSIEGLTTLKGWDMA KLLDKYRSGGTECLYKIGQSTGFTAGWYNSIKSIHVATRINQHGKEEMKVTKEHAILG RSGQRFAAPGDSGSFVFTEKGEVVGMIFAGRKDRNISYFTHISHLFEDIKAITGCIGV RIYA UREG_01001 MSNLAKEAERDLNSYQAKQGVGPKSTSIKADESGVNADVERRFP GSEVKYGSAATTGTGDNRPIPEEEGGRYDDRGRLSKAKHFEGPGGPEDKMHIESKESP GARDTLT UREG_01002 MERHSIDSFSHEKHMTPTSESTKLESEGGFVEYVGSGKLKDKKV LITGGDSGIGRSVAILMAREGADVTIVYLPDEQDDANVTKKAVEQEGKKCVLFAGNLM DNENCKKAVDEHVKAYALPFPSPPTILKYGSLLVRNRYGRVDVLVNNASKQIMCKDFA QLTWITSQHLSSNILQMFAMTNLLYTYEERELGIRVNAVAPGPVHTPLQPASRPPEQM EGFGSDAMIGRPGQPSEIAPTYMVKFCTLMLWETRKPAFLWNIENTVINFST UREG_01003 MEAQGSKSWILANQVPRLRQSDWPIRTASIHLCQRAQGNLRSGA TSRRRDLEQQSFSFTDVDAKMSLLTWLRRLYSLDILDTRFTVSATTPPNLAASQNHTR TQDAATSKQPKNGASPPRWNTPEFYIYYVVFIVAVPLMFKTAIDVSQESHPTYSKYSE LLSPGWIPGRKVDNSDAQYASFRDNVRYMAILVVFHPLLRRLYNILFPCKSSVSSSSK GNFASGQTAAAARSRFEQRVSFDYWFSLVFLAVLHGFSALKVLGILFINFNIAKRFPR SYIPAATWIFNIGILFANELLHGYKYTSIATSIGSALGLGDDGNLLTWGKWLDSWGGL VSRWEVLFNITVLRLISFNLDYYWSLDNRAGSPVEKKQLDPSNLSERDRIATPADPAY FNLRNYFSYALYSPLYLAGPILTFNDYIHQQHYQLHSISKIRTLLYGIRFLLTLLCME LILHYIYVVAISKSSPDWSVYTPFQLSMLGYFNLHIIWLKLLIPWRFFRLWALIDGID PPENMLAPLIQSMGGTIPLCSTWGQPKRKQSQSSRI UREG_01004 MASRAFSPLMRSIAKTPVFPRVRGAAPFSTSGRLLNTAEAALPR YRPLGAFRAGIFGFLFGSTVAGASIYYYILEEYRLSNETLTEDIYALQAATQRLSTYV VELEGKLDQLQKKNSGYPMSHSIEILSTGGSHSNAPGWAYVPDVRPSVTQPGRTGGRK RAVRDSGISHGDGSLRQQNAILKRLAEFDRDNHRDVHIPIPTKQKDTTSKGSRSKTTS NVRRILMSQKTFKNYLDDEEAAAALAPPTLPRTTAPRTGKTTLTTSKPASRTSESPPP APPVASEPSASPLIVSEYDNDPLLRSYVPSAPSDRILQALLSEPPLSYNASRAKFPAH ANRKPPRHFCGICGYWGKVKCIKCRTRVCGLDCLRVHDDTTCEKFYA UREG_01005 MSLDPGNLGRSSSPASSEASLPKNKIRAFEDGLKKDKGYRRYAS NVERALSLFDTALQEWADYISFLSRLLKALQSHPPSLAVVPEKATVAKRLAQCLNPSL PSGVHQKALEVYGYIFALLKPEGLSRDLPLYLPGIAPTLTFASLSVRPLFLSLIETYV AKLDSACIRPALKAILLSLLPGLEEETSEDFETTLRIINRFKDISSGGENDNIFDSPT HSQYFWQCLFLASITNPTRRMGALAYLNRYLPKLGGHSPRGALLNRSQPEEEADLSAL IASVTSPEPGLLIRCFATGLTDDQLLVQRNYLDLLVTHLPLHSPVLQTRITADDLELL VAAAAGVVIRRDMSLNRRLWAWFLGPELTNDSNESGDMDLQSITSGHSVSQLDKEMPK SQYFAHFGLQPLVNSIRKRIAKGSIIPQERAKPFRISLSLMDRWEVGGLIVPEIFLPV MRSVQEYNHIAASKAKFDEVLRSASAFFDGVESSLIFSELLTLVLGSTQDGASSQALA NLRLANFVLSHFNVKEEEMLTVHIPLLLLGTLLTMNTLSSVGQGSEISKLAFDEASSI AHNLLSLIPSRALVDKVSEQQPPGQKPKITKNLTLDEISTQLRVFYTTTKDSLEGRES PFGPEVLAELLLSEAHSQVLDALESENRHLWLRDQMNFLVSMLKKSPRSQIFEAGELY RAMHDKICVANNASDVMPMSTLSAICSIVTALYSIHETGLYISYDQICEIVPSLAQQL WEQLSPSSPKFHVEAVRGLWALHSVSWRDHLVEAAISSLMISSTVSSSSHRTTVDHVE KFFVLWNHSYQAGSGRTASRAIGEHLSDHNSGRDPQSIYKLSLLERPLFMSLDLLSTG PGRPYSIVKHWMQETSTVYQVLNILISKLSEFRCLKLSDKDDSNDPRSRHGLEDEGRC YYLLKTVSNILAALNHTGWNALTSRTIANSSKQGLHIDVEDGSSFQITLAQLSVQLLK KTQQGSTDMSRRGEQLQEVALILLHQLLLGPGAESLYYLGLDTVLIELLSSRLDKDET ALQSAIIDAILPSLKIRFAYDTRELSAAKSPKHQRRGSLEALSQMSRLSFTGERSEKD YIISRLPQPPSQLLPCLLKGCLCKEIKAAYQELQLMFQRSDDTPHNRSEQVTIALLAA LENCIATAHDRLLLEEAHASDTKSPEQPQSFFGNMVSGVFAAEASQARSATANDRLTV LLCFQDAVRLCYSIWAWGDSGNSKDSRDSECQASFQYTSLRMRNRCRRILEHLFTAET LECLETLIELWRTSIDGANHSSGRSIFNLLHTLEGSRPKVAIPAIFNAIYSRTNPTAL DPSRKSAMTSHLTEADLAAFLVMYSRSLDEDVLDEIWVDCTTFLRDVLSNPFPHRQIL SRLLEFTAILGEKMEHTTFGEDQRAKKDLGDILLRLLTAISTSKPMGLSQDPSSASRA MQADNQGASGNKYQGIGPDDVYSVLSSILPALTTSLSEADRINSAMSNISTNILAPLI HSRLFPQSVNKSMLDLLQQMSKVPSASKYWRKDVGDAFNDPKFFAMKLDLVKSNWLSL LRQWTLTDKERLPELLSRLTQPASAGIMFGVGASAARLEADRKSQLNLRKIALLILAA DEDHFSGDLSTLQQKLEDLLTATHVSSPSSATRAEVYMVLRSLVLKTSTIHLAQFWPM INAELQDVVSTIAPGRESETYNPYSLLQACKLLETLLLTSPDDFQLQEWLFVTDTIDA IYPPDQWQSVALADEVAQALGTGQNGSMSHLQEGGEREGDFNRLWLGTDLSRETAKDE IVDRLLRPFFARLSIHAFESTYSMENPDWGACKNDLLADLFNDFTVAN UREG_01006 MDTSKESSSLDVYPSKASGNQDHSETPPSSVPKERVHHGDSRPP LPPRPSTRAPGARAADSVLPIRHAPKQNLQSRATTALSLQDINSQAAQEASLLGAKSG CEESLRFKGDLNQPGSGKGSEIADSASAKSSVPGAGTPAEVGSIFGDFASTNQQLASW ETKRRRANIFDHSGFEIQEDDLGLDFEDEFGPLEELSAVEDGDEVLKKWRQKRKHFFI LSSAGKPIFTRHGDDGLISPYIAIIQTIISFHQDSSNPLRSFSAGRTRIVILSQGPLH LVAISRLLESVSQLRNQLDALYMQILSTLTLPALQHIFAVRPSTDLRRPLQGTESLLS SLADSFTKGSPSTLLSALECLKLRKAHRQQINSILLRSRVDPLLYGLVVAGGRLVSVV RPKKHSLHPGDLQLIFNMIFEADGVKAGGGESWIPICLPGFNSRGYLYMYVSFLDLHD NLPHDNININKDDSVAIILISADKESFFVLHEMRDSVVKELEKSNSKRIIRTAIEKGR PSTTDIVPGTVLRHFLYKSKPNVQFTMSSYSPDFATLFARRRLLSIYHALHSSIHAKS THVKVQHCASSSMDSLAWVTSTFELYCVAPPGSNRNALSQSANQIAQWAQQEEERVFI IGGAVF UREG_01007 MARLQFAPPSSSKSRLLCLEPKEDPASRSTPSSKRKKEFVLEEP NLNKLRSEKTPKTSASTSSTFAKVDVWQSPSLKGKHVFSPDPLPDDVGSIDLTNDLDR QTSSSATISTFGEPQRLWTEDYASRKEPVSTKKGRKRKSDEYELDLHPKQTTPRRRPP SSSAQKNSAKILNSWSQNTPPQVSPFKSRLITISDSEDDGGDTAWDAEREDPSRASCK SARLDPSLMRKTEKLLTSDPPISSDSSKQPGDLRQALPNPSSVFPMDGNSPTIEDDEN PLFSRFLTADPTLMTTIFEQLTSQRENKAKAVLQHVMTGSSPPAEELAAIKALVSRIK AIESLRDQRSLYLTSKDRLEDLKAQVLQHIQSGDFPGSSPDIAEIKSLRMKLLAAKEK IIDLILEARIFDTDYKLSSNMQTPNQNALVSGTKLLERRTEPPRVQNTSQAFAGTPTY THSLPLPIPNSAYPQRTCSYRSEPGPQTFLTTSAAGTISRNSFRQNSLGPSQAKSVLQ DEIDFDEDDTFDDADIFSRTMGTPLGQGSDIEFDLDADDDDLLEAAEGFESRLYRPAE LHAQTRRVLQETSGNLARSPPRKKIHSFDMPTAIEGMNHPWSADVKAALRDVFKLRGF RPNQLEAINATLNGKDAFVLMPTGGGKSLCYQLPSVVQSGRTRGVTVVISPLLSLMDD QVEQLRSLSIKAHFINGSLSAADRCEILAYLHKPRVEDYLQILYVTPEMVNKSRVMLG ALRQLHRAKKFARLVIDEAHCVSQWGHDFRPDYKELGEFRREFRGVPLMALTATATKN VEVDVIHNLGMQGCETFTQSFNRPNLTYEVRTKVNYDETLESISRIIDFHYGKTGIIY CLSRKNCERLATDLRVKHQIRATHYHAGMDADQRIDVQRKWQSGEHQVIVATIAFGMG IDKPDVRFVIHHSIPKSLEGYYQETGRAGRDGKRSECFLFYGYRDAIAIRKIIDDDKS GKKDGQQKERQHQMLQHVIQFCQNKSDCRRVQILAYFSEDFKRENCRLSCDNCQSGSK HEIVDFTQYAISALKLVSRLQHDRVTALYCIDVFRGANPKRFRRDEHTLVPEYGMGAD LDRNDLERMFKKLLTEGALVERNIPNHKSMAEQFVELGPRAAQFRSGHHKCTLAVKVS PGSKKASSKPSKQARKRDTSSANTGVQAAKDEFPQSTNVSSPIPTLPRRGAGKQQADA YKDAFEDEDGTDSDGFEPIRGVGNRRPQRRGVGPRITEDGSRHGLTQLQTMILDEFLL VAKKECQAVSLGHGQLLRANSLKPFQIMLKKNLRDQPFPDRVLREMGVLFPKSSGELL QIRDIDPDKVELYGDKFLTLIAMSKRRYDEFAADALDNGDREELAVPDPNHNVVTIIS DDEKDGDDDYRDYSPNVTEYTGPTRSQYFGGRPRSATNFRAQRKTPFTAFAVAK UREG_01008 MAKDKKAKKAEQKARTVAKQAKKASQKEKKGKSKGNAQDDSDVE DVDLDAVLAAYAEEQAKFLKVTESSSGPPAPRSSSTLIASPSNRNELLLFGGEYYDGA LATFFNNLYIYLIDRNEWREVTSPNSPLPRSGHAWCRGGNAGGIYLFGGEFSSPKQGT FYHYNDFWHLDPSTREWTRLETKGKGPPARSGHRMTYFKNYIILFGGFQDTSQQTKYL QDLWIYDCQKYTWYNSVLPPASQKPDARSSFSFLPHESGAVLYGGYSRVKMSVTAGKG QKGGSSQRMTLKPMVHQDTWFLRITPPAADAPASSQPTVRWERRKKPANPPNPPRAGV TMAYHKGRGILFGGVHDVEATEEGIDSEFFDTLYAWNIERNRFFQLSLRKPRAGNKKQ QAGSQAVKSRNRSKEDEEELLRNLARLEAKGGLSHKDNMEMEIHAITTEDEDIDLKQS LPVKFEMPHPRFNGQLAVQEDTLFIYGGTFERKDQEFTFNDLYSIDLMKLNGVKEIFF EEPEHWNDAANVESDEEMEDEESESENEDEEMASVEAASTALTEITEPNAMELEAEQE PVEMQLQDSRPHPRPFESLREFFTRTSTEWQEILLTKLKDSNAATEKTIKELRKDAFD LAEEKWWDCREEITALEDEQEEAGIGEVVSMSERAEMPSAGRRR UREG_01009 MTEPVTMEPDEISLAEDHAALERVVLSSGPTARVLPSAQDLEHA RALLIKSLPPKGLGFAQTKDHLLKVVVPGLNFASISPNYYGFVTGGVTPAAWFADNVV SVYDQNVQVHIPDHSVATDVEHRALKLLLDLFQLDEKVWAHTTVTSGATASNVLGLAC GREFVLCAAAERNGVDCKSVGEYGIVEVLRASGLKGLQVLSTLPHSSLGKAAGILGIG RANVKSICANQNPLRFDLERLEMELALPGMASIVAISCGEVNTGRFATGGIEEFQKIR ALCDKYNAWLHVDGAFGIFGRILSHVSDSKEFDSIRKGCEGLELADSITADGHKLLNV PYDCGIFFSRYAKTAQDVFRNPNAAYLATSAAADTVISPNSIGIENSRRFRALPVYAT LIAYGRNGYRAMLEKQIRLARRIATWIFDQPEYAVLPRVSSKDELLENTFMVVLFSAN NDDLNAELVNKINSTSQIYVSGTSWDGRPACRIAISNYKVDVERDFARVMGVLQNLVK UREG_01010 MAVATLISKRLKDVPGRLKVGEHFFEVPVDYRHPGEASIRLFAR SVQRRSSSAETEPEERQLPWLVYLQGKAPEWDAPNPKTGTGLSSPITAATLALQGNAT KQAEYLRKFRADSIVQDCEAVRMCLTANYPVDKKPWSVLGQSFGGFCAVTYLSKFPQG LREVFTTGGLPPLVTNPEPVLQKTFGKLQERNRAYYQKFPEDEERVRNILCHLEKNDV SVPDGGALTPERFLSLGISLGMRGGLDYIHDIVLRCSNDLEVYGFLTRPTISSVESAS TFDNNILYAVIHEAIYCQRLARIIPQLTTFADAFVGPRQTVFEVTKVQKGYFSQEKWF SSIFLNHPPN UREG_01011 MSLPSEIVERIQAFAESCREFEVQRQEELTAATHRENYDGQLDE TLKRLRGQVDRQESVLQELRARRPLDLPRPDLSPAARLAQTRRAIEAYRSLSSKEPEL PAPGSPLNALLAFRETSRLIQELKASVSAAARDLASNRERLNTEEANLRDARLITTEV RNRLEDLRKQQRQEAGKTKSPSQLAKDLIRKERKHENELEMKSAELKDALKHFVEEHL AAMLAAEDLGGPVVGDQVDVPDSTLDAGYTTRGKEKKSNVVKANGKGLKQQRIDQLVR QRADGDEGSDSIVLNKREAAAMEFHAVLEKLLNATSTSSYVELGKDSAVSRFLIKAKV AQFHPRDSRKIRLINVSRGISD UREG_01012 MSASPTSAVPSTKRPLEDPSSPSGPTDQPDAKRQALDKVVKGED EPAKGDEVDTNNDIVKIEPSTETDAAGQNNVKDGQGDLVVPDAPNNEKSSPTPALETQ PIQSTASHNDRATSQAPGQSHGTQDETGFIHIRAVISSAEAATCIGKGGENVSQIRRL SGAKCTVSDYTRGAVERILTVSGPQDAVAKAFGLIIRTLNNEPLDAPSTAQSKTYPLR LLIPHILIGSIIGKSGVRIREIQEHSGARLNASDSCLPLSTERSLVILGVADAVHIAT YYVAATLVEQLTERFGGPAASAYATRSGGPAGVIPGGMQVVPYVPQPAGGQYGHPDSF KRHHPQANRAMSTGYGMPYMQGGPGPSPHAMQQPIHYNASPRQGYTGAGPHQPAPYGA PQPPHSHGTPAQPMGAPVAGGPLTQQIFIPNDMVGAIIGKGGAKINEIRHLSGSVIKI NEPQDNSNERLVTITGTQECNQMALYMLYSRLGESKQTFEQ UREG_01013 MPSSHIVTEFIYLSLKPDVKPEDPDNDGGRLFINSLNAVKQRCG YLSSSWGRTIENENNVVWIIGRISEMLEWKDNTGCVPLSMFAPVLDPETQPIAFHTTL TPPLNDILTTAPVIELAVLAFPKDINPPERTALNNDLINFRSTCLQLTDSKPPSAFSM GWVERPGSVPHQDSKSGRAQLIVLVVGWESREQHEEVRKTTGFGNSIAPIRERMLPAI KALQMRHVKFKAAN UREG_01014 MPIRVARYADLATIPSILATGFDDEEVVGNLLHPYRKQFPQDYL ADWRRRCRERFWDYSRVYLVSYVEEDATGKEILTGVAEWQRRGLGWERICGLWGWWDP RRLIKPIFTFVNFLANTLFPNRAAARPPDFTPSTINAAILPFVSHYFSAPYRQTTWTL TALAVLPQYQNRGHGRQLASWGLERAKQEGIVANVTSAKGKERFYHRCGFTELVGRLT DGDGNPLKSLGIEGGAILFTPYTRESKPSDG UREG_01015 MDPSSLTNPSVSPPPAARARASSSVVEPLPLFPTVWTPRANPVQ AVTPAHVSAENAGISEVARGAALDFQQSLDRNPSTSSPPPASRIRRRNRMITSCLECR RRKLKCDRLHPCSSCFKSKRDCLFLAPATDASSRLKRTEIKEKIGSLERGLELDAAAT QCALVKQEEVGDGRSEVEEGGSSYMRGIFDAVATEDSRLPIPKDEEGLEPTPLAVQDA GYEDEADDESFDLGFRLGKMRMTDRIGGFYRPRIADELSAALGMLQVSNTPVAATRTP AIDPRPQKLSVEELHSSFDPSASYVAPRSNLLFGGAERYILADFLPTRVAADRLLQQY WQAVHPVAKIVHRPTFEKQYNDFWSSVSKGMEPTFSLQALVFAALFSAVIPMCREEIS RAHSVLVGTAIRLAECMGFHRDPEEYGHGPVESHIRRMIWYQLCFLDIHTSLLQGPRH SIRREDFSTKFPVNMDDSEITTAAPGSIADAPRWTDMTFMRIRFECNELRRLLLVDRI RIEKKQISLTQVLAKIETFRKATWTKYGPLIHVPNPKPIQLAAQHMLSILMCRAYISV LHRYANSVAYHMPDRLNRIVIARGIQMIEDSIALETRPELRLWAWYQRAFNQYHVALL LLMEIWFSPMQQEADRIWRCLEYVFEISLLTSRLTGGTQSQDVFEQRNTNARLILRLL RDRMAAYRQMRRLKIPKSMVYSKGLPPIQEDTGAYTISSASQITMEELVPFFQAPNSD ILMSSSAGLSNSTMEGYGQPPTGQTDSGKAMDSQVSFPFPNTCSQDIPAPSQPPNRSV PQVLVSPPVTESGTQPLRASSHESNDSGSSNLWFVSGAGLASAGAAPPIGTSGMGDFA MISPQGDNGKDATAAIDIDWDETVPLFVVNLQSLESSRAFKTYLKQEVLM UREG_01016 MSTSKPYHGESADTYVDFAIVGAGISGLNFAYRVQSSCPSLSYT ILESRDAIGGTWDLFKYPGIRSDSDLFTFGFSWYPWTDDCAIADASSIKRYLARATQS AGIDRHIRFRHKVLSASWKDEHAEWRLEVANEGATKCIRCRYFILGTGYYDYENPLSA DIPQLDHFKGTVVHPQFWPEDLDYANKKMVIIGSGATAVTLLPNLARNASHVTMLQRS PSYVLSIANRPSPLLKRLLPRDLLFRFFRSIFMAVSFGLYQFCQKFPRLAKRMLRAIT QRSLPSTIPHDPHFKPRYNPWDQRLCLAPDGDFFSALRSGKASVATGNIKGFTDHRIV LDTGETLDDVDIVVTATGLKLLFAGNIKIDVNGQPVDLSKKFVWRSCMLQDVPNSCFV IGYTNASWTPGADATAVLFCRLVRQMQASGAAVARPSLDTPLKTVPLLNISSTYITRA ADDLPKTGNKGPWKPRVNYYMDYCVSRWGNLKNGLVFS UREG_01017 MAFSFGGASQPGMGAGKVQLGAELQEIQTQEVGFLSLNGDSKVR LLPSPWPADNLPPPTSSLLSVASSKSLLAAAGPDGIVIASTDSVRKAFFADATGDSYI RPFQPELQVPLPGRISHVAFSADETALVVADQNGGGLAVYDVAALMQGKGQPAATISL NGESLRALLPNPVVSELFAAVTTNGELLIANLKENRLEIGPNGPVLKTGVSSVSWSTR GKQLVAGLADGTAYQMTPKGEGKADIPRPPDLEGNQHDEMPISSYYILTRHPPDNYEF RRLPEVCSPFGAKRYPPFQFTGRLKDFEPNLREVLFISSTASTDVGLLTRSTKPLVDD CPPENITDIFTATTMSEDSRRAEVPMTEDMVDTSPVGLAVDLSSKSNVSSPIPGDDLR ESSTPLPALMILNNDGVLSSWWFVYSESIRQQKPYPGLSAAAKTQPTSQQPAAPQPST VPETPKPSFGGGLLRQPAFGQPSFGTPATPTFGSSTPLGANLQQTLGSSQPAFGQSSQ LGSGRSLFGQTPVQAPSQFPTGGGFGSFASPGGFGGTIGPQTPEKSVFAKATSDNSFL NAGQPAFGAQMSFTPKETPGPASGAFGSGGFVLGSTFTPDANAAMDEDKPEKSNGALS FGSFQKSLDLHGDAESSIKPESKRSPFSSAFSNIPETETPVSKESAFSTPKTGLFGTP PQFSTLRASVEPTTPSTPSIQPPSVAVSKESIAPSTTPEDSDSSKTTVKVAAAADSEP TTPPKFTEPPLPPDPTSRAAYGPGDTSASSSNDSRTSAEEAPLPPDFLPVMKKQEPLP EAELSEEPSSPKESVLPGSPKRTPPALPDESEEEKEKAEDFEDSGEEVTPAVSPIDFK VSPESSFGGVSDKSPTGGLFTKITAPEPKHAVSKPLFGEILETPVFPPPKLPKGRAVL SPRSPSPVRHQHGTMFSAPDYMRSTSAPIPGNALSRRKAALESSMLANQVTMASEDIE DEIPPKDFQLQTQRLQIETQELVEDEDEQLRGDLARPLSPAPTLDPFLPHQDYTGESL KPGIPGQIERLYRDINAMIDEKLLLSEMEKLQRGVEALDQFLQEGKIENIQEKLEKCH QLFSKDLNNLRGQCASMRKTLDSYTDAAAIASAPLTAEQSALQQDLRKLSTEFQAKLA DLEKDVSLLRAKLADCSKSIGGSGGSSKKSNQHTAKPTVEAVTSTIKTMTNMAQQKSG DIDVLEAQMRKLGIDISASVAPQSRETSPFSTPLKKIQRLPITPGSRASGDGGVHSLY HTPESNRTSKFRSSVLVQSALRSPKGVTELVLPGDSERLKAKAQRRREVVGHLKAALS HRRSKVRGLDDF UREG_01018 MPGFDFSNYNRNAALHAKGVPLPKATSTGTTIVGCIFDGGVVIA ADTRATSGPIVADKNCEKLHYITPSIWCAGAGTAADTEFTTALISSNLELHALSTGRK PRVVTCMTMLKQHLFRYQGYIGAYLVIAGVDPTGVGLYTVHAHGSTDKLPYVTMGSGS LAAMSVFETMWRPELTKEDAIALASEAIKAGIFNDLGSGSNVDVCVIEQDKPTRLLRN YITPNERGEKERNYRFAKGTTAWLDQKIITKEDIGRYVTVQELGDDRTGVVVEKMDVD S UREG_01019 MSLCRQACRRASGPHDNPIVNYVWVSDTLLAWSYTQFTTTQRRY GSNVPGPLEARRRLARRRNTHLATVGSGGAGIDPSLLFGRKPSEPQHRVASPFESFFL EQTPSNLGVGLRSLPECSRLIFDHVLQGYRNGSPWTIADVGDFLGDPTLNTLGSMNFV AVVQSLVTRPGSTQEVKEVFSMLKQMFNLGAVPRNEIMLMLKLVRRIQVSDNNSKHAA DIHGICYNAMWRGIKSCSILPPKDIGRRTLGLWLNFLARGYPSGNSLSVSKDILRLLA SNRTSSYSWIRDVLLQIVRLKAMRTPQNSGISYWRNFHRHIHDVNNIGQLFGSRFLLE SILRFTESLLMSRRYRKSRRKLLQIWGGILEESALGSLLYETRWGIPPRLCNAPRMRR QLFKTSMAMKRKGNYTRQQWLLKLWLVKVLGRKARGAHQFDSRQRHVFEKLLVYDKYL RGSRPKVGINAYLRGFMRAFSRLGLPHANTVITTSMRIEYERSLRKYQHLPSDVLMTL SEVERGGLSPTDVFWDNEKHGLLRNELFVQWEKLAKQTDVTAPDFANKVLLYTETNSP RRTGLLRLLRRHTPFKIALAYSWDSFRYGSLDGRLRGAQAYTNDGYPILNPTDCLATM HSIALIFACSTKLSPRNSYRLTRWCYEYLFLHNAPIKPTIVRALYHAAIHRYQEAGLA IPMDRFTFVMDKVREVEGDEVANALSSGVPVARCGKH UREG_01020 MSPILRPPTIGNLGFKGNIIKVPATNLVTRDIVHISIVLTGESD EIEGATDATDNNLLETRNIEWALTNGHPYR UREG_01021 MTVSKAQTLPVAGASTDILLEAQDPTGEPCSTGNLVPYPAYSDL ENDDSPPAYVEHDESLPLVNDHDNLPNELALSNLYEIPGGRVLTSKREGKTYTVSLAP YLSSNAEVLYKFFKLQADIPPLICFSVKGTHTVSRRNSDRQRSSETVTDFDFLIDGSG ILAAPRYARDGYHRVTKVIKDNDGVSAYRGGRFKSTRSGWVTNENTENSLESGDQATN GPTLEEWCQRFCNDKSGVRSFTLHRDIVSWNLNIIQQEIKSIIRSTNYRGSLSVSPFI KQSRLTIYSPSFFNKMRTNNLVWWACVILQLWILAWPLLILLERRYEIIRVEHRPPDF PTETEWIRRFAPAIKAAALGRRKGETVTTVDITRGADMLAGRDDTHAERERRQRMDRG EATWADSVVGVVRGVSEVARHWDTSLGWGGDE UREG_01022 MLKTLIRRSWTCRQCVLRLRAETRRSFQGAPAATPLHEYNVASN NSNQRHEDETLRRVFDSRAFWHEFSHRKAGTSKRTGLLQNQYLTTPDGFLVFAQISIQ KCQKIVAKVIGASSQEEYREMVRDLDRMSDLLCRVIDIAEFMKLNHPSQQFQDAATQA FALMFEYMNVLNTTPELDAQLKRACADPNVTSRWSREEKVAAQVLLRDFSQSAIHLPP KDRQRFVALSNEISQLGPMFVRNMQPETSQLNLDKNKLRGMDPALIQRLKRWMKVAVP MFGDIPKMALYSVHDEETRKKIYLTSRTSSEAQLNRLETLLRKRAELAKLAGFPSYAH MTLNDKMAKTPEAVANFLEALNASNRGQVRDELATLLALKRANDPSATCLEPWDHAYY VHQYSAQHSRVRKSRESTLLPAFFSIGTVMQGLSRLFTRLYGVRLVPTETLPGEIWNP DVRRLDVVDEADRRLAVIYCDFFTRPNKSPNPTHFTLRGSREISKDEVAGCAELSSSL HPNDGMAAAVKPGSDKLYQLPTVALICDFDQSNSGSTPSLLNEHSLETLFHEMGHAIH SVLARTSLQTISGTRCATDFVELPSVLMENFATAPEVLALYARHWETNEPLPEHMVQS MKSNRREPEQCPCYLFDRAIANKVWSDVFQKGELSTNRNAGERFKNEVLQWGGGRDGW SCIAGLLDANGNGKLAEGGEEAMREVGKWGLGLMGSSER UREG_01023 MVLHPLLRQRAAATGAAVLIAGGFAAYPGRTLYAEAPPSSFSPV LQRQRKPIYDDNNDSTPAEVQKPLPTTPALSSNVPPTTSDPSDHQSPTDQLANQIRQA RLFLYDHSLSAENAFNNALSRALNAESRFTSTIASLAPSRESGERLLPGSIYVIVTAM AGSIVSRNRGVFLRTTTPLVAGTVAAWTLLPVTMRNISDLVWEYEKKFPALAEQHVKI RTITEESWRRAVAHSGYGRIWLEGKIGEGRETLEEWISKGK UREG_01024 MSVEGEVPPPEVKHYNSRDDVPQDIQKYWAQRYNIFSKYDDGVW LTDDAWFGVTPEPVATKIADHVAAARSLKKCIMIDAFAGVGGNAIAFARSNKWKRVYA IEKDPAVLQCAKHNAKIYGVEDKITWFEGDCFETIRLYLKDLGPYSVIFASPPWGGEL TEESHPLRTYALHWR UREG_01025 MSQPPQPDGLKPADDPFDTFMRELNSPTGTRELEFMSRELDPGE KADDAVDYEDIEDDDDLPDEEPSKHPDAEDKTVQQGQGWDSFTQDLDTEFSRQPPGDE LDDLFGDVPSSPVAAEADKHGGFGRAQETQLAPGESGLQSPVDDMDMIFGDDGKERSP TPTDMDPETLRQYQLQQALFAMSAYGPDNPPAPPENHEELLASLWPKFNRDAMPRFLE LLPPKKSHYIGKTPLKPPKRILPTKLKLEFAQDQERMFRSTGKLTKQASEPDSPSVIM ISPPPKDDDTLDDIMDLEEDDWDEQLPGGVTMQDLQFICADWDFKDTISDEEGPDQNH LPAPDGEFNEEEDDWLFETTPPAKKRKLEKGPADYLALSHIDFPLLDDPAEVTAKLAK RIILDMNDPRLLLDEITPEPSVQETKLGSARTQTDNSTKRMMQRYNISNDEAYEMLKE NHKNKVRSTLGNIVLEHSMPAVRLQWPYYKTRLARQEARSFHRPALTFFPNLPITFKK PAVIKRKHQKGKDAKSLYDSTKSLSLADNSHVLLLEYSEEHPMMMSNFGMGSRVINYY RKKNAEDSMRPKGEIGETAVLLPEDKSPFSTFGHVDPGETTTAIATGMFLAPVFRQES KNTDFLVVRNSTGVDGASYYLRNIENLYVAGQQFPSVDVPGPHSRKVTTAAKNRLKMI CYRQIRKNRNHRVSVADITEHFPESTDMQNRQKMKEFLQFSKDHKEWEMKPGEPIPDE DVLRGYVKPEDICLLESMQVGLQYLHDAGYDREEDEDDDGDKEGDSLEQQLAPWKATR NFLLASQGKAMLQLHGEGDPTGRGEGFSFIKTSMKGGFRAVGESVEDKLDAQRLKELG GHSYNVARQQKSYEDSIRRIWESQKKSLSSTIEHSDAESDIDVDEAEDLFGKSSGLER RTPLPGRRDDETTSQFSRLSTSSQTNKVMRIVRQVRNSRGQIEEVQEIVKDPRVIKQY QKQRYEAELRELSAADMVPTGDPETDARRMKHIQDELQRLQRNKERRHAREKQKSLMT EPREGSPETANSPAPTAAPKGATQRKCANCGQVGHIKTNKKYDSPFPPSPLVGGMIIK SKPPTYTFLDSALFSTGR UREG_01026 MVIQRRTITSSKTFLDHLAPHKRAGCHAEDSERLDNSVTLASES SSGSSRSKDSLLGVFQPAQNEPGNSLLLQGGVYGEDDGELDAAPAMLLAPPNTLRLSR DRAFRSHGSGNLANQRHSDRCHRPCEAEGTDGKLSTFYVPRRHSSPGLAHILSHKLST TFGHPTIIHRADLRQRPEIPDFSFSAISSTVLDLQKLGDNTQDDSDSSSSARSGSSPR TQSTNPTSDGDPLASIPKNSNKGGRNRGQTPPGSTPTNDKSCQADRITPSILTVEAAA SARIFFEIYFNELFSGESPRFERRRELEERLYFSNLSTQERARAKLAWLLGRVVLALF ALVREKQPLNESEETSRLESHGDQSHRRSSTLDVLKSAVEGTRSSRRKELDQTKRAVF AMKVIRKSDMIRNCQEGHLRAERDFLVASAKSRWIVPLISSFQDAHNLYLVMDFMIGG DFLSLLIRKNILSEDITRWYIAEMILCVEETHRLRWIHRDIKPDNFLISASGHLKISD FGLAFDGHWSHDQTYFMNQRQSLMSKLGIQVEGDNKDKKAAYEVAQAAAPGAAKLEPG RTHDRPPSIPGPGPNDDILRWRNRKQRKKLARSVVGTSQYMAPEVIKSEPYDGRCDWW SIGIILYEVRPFVLGSRIADAYLLKYIKCLYGFTPFAAKTRNDTKKRILHHYQTLYFP TDRPSDGLISDDAIDLIMRLLQEKEYRLCSEKYILNDFVHSGRIPGELLNFPSDKTVK GYRGYYVYSDDAADIKTHPFFKSIRWDELHYRTPPFIPKVKGWEDTKYFEGELVSDAP DGTSEASPPEEIDPADEAIKAQLDSSNAGVKSGGKSKSNVLAGLAVTFQNKPPKKKRE KKRARDKILRDEQMGKTALEMRKRTAFLGYSYRRPKDVLLALEPERS UREG_01027 MAAIDPDALPEYEDEASSNKPPRATLRIIRAPPGVGFDEDDEDD SDYDLEDDDESSDDEANGGPSDLTKAKLAKQAAKLKEMEDAMDEDDSDSDGDDVDLKA AISKLIKGKDKVTDDDGSESSEGLELDEAVVCTLDPEKHYQQPLDFVITEDERVFFKV TGTHAVYLTGNFVVPLDQADEDESDEEDDDYDDYDLSPDEDELALMGEIDEESDDLDD MANPRIMEIDTDDDEKVSSKAPKKDGKGKNKRPAEDSEENLDDMMSKTMKPATNGEPP LSKKQQKKLKKNNGQAVEAQQQAPAAAKTDKKVQFAKNLEQGPSGSAQQKKEAPKQEE PKSTVKEVQGVKIEDKKTGKGPVAKKGNRVSMRYIGKLENGKVFDSNKKGKPFSFKIG AGEVIKGWDIGIPGMAVGSERRITVPSHLAYGKSSLPGIPANSKLIFDVKLLEIK UREG_01028 MGSRLESGSNAVRKKIENHVFDGEDGEEYGASSFGGFGDYFRRK KLKLQNLDAEIRSSSPNNPPIFRGVVAHVNGYTQPSLSDLHRLIVSHGGGFLQYLDGK TAATHIIASSLTPKKREEFRNYRVVKPAWVVESINSGRLLPWDSFRVVSEAASQKVLQ FHQDGRFTSQSRSQSSSYRDQMETSWYGSRLIQQGVDANTHEPNAAPTPEPKTAEEGD DLSNTADSVNEDKLYQVTDGSTLAEDTVIPDDFGQRTRQEPEPETIHDESRQQKSESR KEPTTSEEYNAQLLSAPHLRNSSVANPDFIKQYYRESRLHHLSTWKAELKAKLQEATL ARASSRRTVKTNVPGARRYILHVDFDSFFAAVSLRKHPELVDKPVAIAHGTGGGSEIA SCNYPARAFGIKNGMWMQGAMQMCPDLKVLPYDYAGYEDASRRFYEAILDIDGIVQSV SIDEALIDITRLCLDAASSDGKGISESSIWREQTKADEIAQGLRDSIKRKTGCDVSVG IGGNILQAKLALRKAKPAGQFQLKPDAVLDFIGEFTVQQLPGVAYSLGGKLEELGVKY VKDIRELSKERLIQSLGPKTGAKLWDYARGIDNAEVGDVAPRKSVSAEINWGIRFVTQ AQAEEFVQSLCDELHRRLVENGVKGKQLTMRIMRRALDAPLEPPKHLGHGKCDTFNKS IMLGVATNASESLGREAISVLRGFNFSPGDLRGLGVQMTKLEPVKPDAAPGAQSSQRQ LNFNASSPRKRPFTPDLDEITTPRKAESHIAIQTAPILNDELQKPLNITGTQFILPSQ ANSQVLAELPGDIRSKFVSMNKRQKLDKVENPPKSSSRPQTPLGLPPQSQLDPEALEA LPEDVRTEILGYYQQSPQTPTRHGSPESSKIRPKTSLTPTKTRAGRGRGRQIGSKSGG NSSLTQSNFVVARPSSSTGAAVGESPGAPSFVEEYAEDNISEEFLSALPEDIRREVIE EHKRSRLQKRAGLNLPATRKSTSSKPTDRPPDQKVLEFPPQPPKPTFTSRKLSSLPEL REALSEWYESFKTEGPFEEDVNALTRYLKRVVLEERDVSKAVAVTQWFGWLLDQDASD KDHALETVKLGSSGQQPLDAWISALQKIRSDVNDALGERGLPPVEFT UREG_01029 MSSNTAAKHPVKYAVVLYPGFQALDTFGPLDILNGLANHSPISL DIIGPSLDPVSTNPLPRPGVQRNQAVSQSVVPTHTYDNPPKDIDVLLVPGGVGNRDPR VLEPVSKLIQDLYPGLQYLFTVCTGSRILAHTGIIDGRKATTNKISFSEVASHYPKVN WIEKARWVVDGNIWTTSGISAGIDGMLALLEHVYDKEIADRQAYILEYIRNEDPSNDP FCGHTE UREG_01030 MASLTATKKLPRREEQERWCYDRLDSAPDLALSNQVEAALPCLP RAPKGRQSFHPHFLEQKQRSGSHARSHALSQLGLRARVNLRSRRAYPLVD UREG_01031 MAPMQPESLFAQLTTAPHDEVFALMGTFAADTFPNKVSLGAGVY RDNDAKSWRLPAVKKVSHSPSSTRADKGSRAPPQAEAQILDDPTFDHEYLPIAGYAPF IALARDLVFGADSPLDTAQIASLQTVSGTGANHIGARFLADFLPPLVHGKKRKVWISD PTWVNHHLIWELVSSGTTGSPVERRTYPYYHAPTRSLDFEGMMAVLEKDATRGDVVLL HACAHNPTGIDPTRAQWLKIADLCERKGLFPFFDSAYQGFASGDLNNDAYAIREFASR GMELCVAQSFSKNLGARIAAAVLGDPTLRDAWKQDLITMSSRIKSMRRALYDELKRLN TPGTWEHIINQIGMFSYTGLTKDQIRILNQKYHIYMLDSGRISISGLTTSNVKYVAQA FDSVVRECPASTANGKSHHP UREG_01032 MASFDSGDELAYLMPGFDLNSLTVPRLRSILVNHDVPYPTSAKK AQLISIVESDVLPKAKQLLRQRDRIHEQSTPKHFYATTPTMDERRKRKTEPPLLTRLK QEGGIMVPTSATFEAPVSGLRPFKAEEWEEEDIEPREEFTPDEQLALMQAQATPRKAV VKRRPASQGKSAQLASWFVIFTLLSSIGLWWRKEKVEIGYCGVGKKHWSLEGTKVPKW ANALEPKCEPCPQHAFCYPSLEASCEQDFVLKPHPLSLGGLIPLPPTCEPDSDKLRRV KVVSDKAVEELRVQRAKYECGEANKDGSKKVTSPRMTAAELKQRVSKSKRKGMSDEEF EELWKGALGEIAGREEVTAKTQGPSSSPIITFSSSSLAKLSLPCAAKRHLRLSLLAYR LPILILVLLASILSYTRAQILAKRSDAARVPSLVSMTLDRLATQAALHARGEALEPWI SAGQLRDDVLRDELRRSRREHLWNRVKRIVEGNANVRASVREGRGGDVSRVWEWIGGI RAIGGDLESPSNRLESSRVRFSLLPQESKVASKEIPEGREMKKWDEGRPVY UREG_01033 MASRQRERYQAVRHGSESSSTGSRHSHDTLRQNNRSSGAGRSLP SQGQMGTENRSQSSSRRPSSVFDDVPHVPYLSESSDVSPTDRRSSYGFRNHRKALARL DTPDYPASKMSRNNPPTINPRPPPSLHPVPPSPSSPLPPTSANQGTFFNDSSEFETSP SLRPATAQSVVSNSPDLGYDNDGRRPSIASVATGSSGGSRSTGSARFHKRLQGFFGDE YQDGVSMERSISNRVPSIAPSRDRHNSLNSSNALERRPSPNLSAPRTPLPSSEVTPWE FQDFTDIPHLGDAPVREEPTNPNGRYPPSSSTIKPKHRLHFGHRHNRSKEDQASAASS QQSASRENLNHPRPSKDQPLGTSTPMSMSTTTLAGRSTSPTPSAQSINQGQMSPSVPT PKRSFFDKIRRPKGHHPSALLKHFPTSSSILQEPLKGPKRLKAEMSPASRSRNGSGEA TVPGGQWEYIDYDRKKEPSSKGGPSKLRHGRLPFGHDGGSSKDPFKQERETFQGEHVY LDTNLGDMEGIIRQPRPGSPTDTGDIFTGADYGTAKSESRTVLDKPTAGDWHAPDSWA VKKPSDDIVSRLPELAEDDASLSVDEDGHPYCVRVFRIDSTFATLSAGLNTTVTEILE MLGRKSFLQDDLNNYDIVMRKNDLSRRLDQGERPILMQKRLLEQVGYQNSDRIADIGR EDNSYLVRFTFLPTKLGIYSSLDSELAFNENQKFSHLDLQSKNLVTIPIKLYKKAPEI ISLNLSRNLALDVPKDFIQSCINLRDIQFMSNEAWRLPASFGLATRLTYLDISNNCLE QLEHAELHNLHGLISLKMANNKLSSLPSYFGDFPALRHLNISSNNFQYFPEFLCKLTS LVHLDISFNQITELATIGQLRSLERLWVTNNTLSGPLGETFRHLVNLKEMDARFNDIT GIDNLTQLPRLETLLIGHNSVSTFYGSFTKLRTLVLDHCPVTDFHLTAPVPTLQSLNI ASAKLVQFKDSLFTNMPNLMKLFLNKNHFVTLSPYIGHLRRLEHFSIAKNPLSQLPPT IGCLTELRSLNLRECNLKKLPAEIWYCLRLEVLNISSNVLDTFPKPATSPPKLPTAEV QANGTGTPMSTPGLSSSASYEELGKLEGFETRRPSQASGGYLSAGSPPADATRKLSVS SLSGRQQAAASRPGAEAFRKDSTYSQKMLNTFGGSLRQLYLADNRLEDDIFHQLVLLP ELRILNLSYNELTELPPGVLRRLQFLSELHLSGNELSTLPSDDLEESSNLKTLYINAN KFQVLPAELCKINKLAVLDVGSNSLKYNVSNFPYDWNWNWNHNLRYLNFSGNKRFEIK PSSSYASGVGNINGTDLTNFNSLNYIRVLGLMDVTLTIRNVPEETEDRRVRTSASASG SISYGVADTLGKNEHLSMIDMVVPRFRGNPMETIIGLFDGNTSLSGGSRIAKYLHENF PAAFANENASDALRRSFLALNKDLATAAHKSMDDRESRHWDRASGIGKILNQDDIRSG AVATILYIRNMDLFVANVGNAQAVLVQSNGQHKFLTRNHDPAEKSERQRIRTAGGFVS RNGKLNDRLSVSRAFGFFHLIPAVMAAPDTLKVTLTAQDEMIILASGELWDYVTPDVV TTSILLTSKRVKRPRDAPSDSRLARLDRVEAPTGELAIVFTDIKKSTSLWETYPIAMR SAIQIHNELFRRQLSLTGGFEVKTEGDAFMVSFSTVTAALLWCFTCQTQLLDAPWPTE ILEAPACQERFDADGNRIYRGLSVRMGMHWGQPVCERDPVTGRMDYFGPMVNRASRIS AVADGGQIFVSSDFVAEIQRTLEAFADFERSSSTGSEDTFPDDLLNNSIRNDLYQLST QGFEVKDLGERKLKGLENPEFVYLMYPHSLAGRLAYQPDLSEERVEMPVPGTLGRNSK LNIEADQFWRLMKIGLRLEAFCSALENPSASTLIEPDINLINALKARGGEITDSSVLN LLEHQVARIETCTNTLSIRHMMRPFKPGDTLEDHAVPMIDIIRQLQVQLAEFKALKEQ INIVNPSISVPPPSTASSGTPLRRAVFLGSERASSTPTIVDLGGEGYADDEDTTEFEP V UREG_01034 MGDSNENGERQYHNTNSAYVLPNDAREQDRLDTQAAAIVEMLGG APFLAPFEGLKNASKAVDVGCGTGVATVQIANKIPSAKVYGLDISPVPPAVQKIAPGN AAWAIGNVLDVDNKESEGEACQEIFAPSGLDYVFGRMLFLGLNDWSRYFSIASRALKS GGIIEHQDLDWAFYRVASSECLSDKWEWYQAVVSGLKKAGLSTRSGSGAAQLMAEAGL EVIGTHTFEFSFVPSTKTPNSQAMGRYVQAKLLPNYPELLRKILSDSGASEEEIKRLS EQCLRDIVSEEGIHQKYTVTIARKP UREG_01035 MSAGSSTSGHDTGTVSPKHDASVSLDHGKEKEALGPSPKDNAAS DVMPGPANSPMSEKMQNIFMVFITLTQLVQMIPLGAGINSGLAIGKALGATDVQSVWI VASYPLTQGSFVLIGGRLGAIYGHKNILTLGCVWWVVWAFCGGFSTNLISMCFMRGLC GIGGGLMIPNIVALLGITFPPGKKRNLGIALFGAMAPVGAAGGSLISAVIVQLSHWKW LFFMLGLLGVAVYGAAIFSVAPDTPLDPNGTVDWVGAYLGVGGLILFNFVWNQAALVG WDSPYEIALLILSIIHFAGFSYWEMKVAKEPILPFNIWKSPSFGKLMLVIFLSFMSLG IYFWYMNIYMQTIRGDSLIRVGVQYLPLTIVGAANSFLAAWLIPRVPAQVIIGMGTVA MVIINVLLATIPAKLTYWAMAFPAMFISAFTIDLITTSAQIIASNTVMIQHQGIAGSL VGTLLSYGMSTGLGFAGTIEVHTFEGGKNLLRGYHSAAYLAVGMSAAALFLTFFIRIP KDTREGWQEDDVEAVRREEPNGV UREG_01036 MKFSAFFAAVALLGQTTLATSLPRCSPYSHTPCKCPPGTDYSES VTFSVIGANAKDVEALMNDYYECGWLGALPWKTQGPNNRPHVSIRTTEFPTPIGVYNV SEILNEYRVKRDGSFIQKFEQLPSTVPLEYHDGSGSFSGYWVTLEATSIFKYETLVRW SIYACETGHARNFAKFHEIALANATSILESRGVIHGINVDPVSVQEF UREG_01037 MKVVSLSVLLLCTVSNVLGAVTPHRDPERAASREIKKLQREYQE YILDTVKTRKTGCTPRNMVFRQEWYPSVGSLSKRSRLDYIRAVKCLQKKAPLSSKQDV PGARSRYDDFSAVHIEQTPYVHFSGLFFHFHRYLVWRYEKALREECGYRGAQPYWDWT LSWKDPRKSTVFDGSRYSMGSNGETIPHGPTTISAFGITLDIPPGTGGGCVYSGPFQD YSVNLGPVAFEPKGPNNGLGYNPRCLMRDLSLKYSNQTRPTNVLATLTSGCEDLGCFD TVLEAIDGIHAGGHFSMGGLGIDAYSSTGDPAFWLHHAQVDRVWTIWQNLDPKARTHQ VFGTGTAFNVPPSDNITLDTMMEYGAVAPKQRVGDMGSTIDGPFCYMYI UREG_01038 MSTITKVALAGASGNLGPAILEQLLNAGFQVTVLTREGSTHTFP SSVKVAPVDYNSVASLTEALRGQDAVISTLASAAIHVQLGLVEAAGKAGVKRFLPSEF GSNTVNDKCSKLPCFKYKVVVQDALKKEVETSGMSYTLLCNGPFFDWGMMVGFVMNVK GKSIDLYDGGNRMFSTTTLATIGKAVVGILRHPEETKNRAVYVQDTATTLRQLLEKGK KAAGPDGWTENIVSLDEVVAAGWEELKKEVPNPASFALNFVKASIWGEGYGCHFEKLD NELLGIKEMSEEEVQGVVNRYVSSN UREG_01039 MDVDMIHSQQLPVEHELWFQHTDAAAGRPDIPFPVDLPDDFTRW AMETGDIISFPESNFKDPTFPLPAGLEPHSEHWPPASLPNLDSSESALSLTTSSPFSH YDGVADLHRYLSENAGATKRLVQLYFADVHPFWPILHEPTFDAGNTPDLLLASMAMLA SWVKHELDCLRLGPLVFEAISAIRVSTDGMAARALHFNSVLVSTCRHQGIFSGQFAQH SPDDSPFAYWLAQEQQNRLAFSVLRLDAYLSVLTDHPPSVRYQEICIPLPKSARLWSA ASEDERSNLQWKEPAGREKVPFFFLIRDALDVGGRQQLPYPLNEADYHLGLCSLQAGI WDAAREAHSSAADELVVKSNAVDPIRLWRSHLNLWRASMEKDCQLRGHYFSGATLSAD QIFTPILLILWHLSALNMHAPLDLLQGRGYSFKSPPGTAMTTVKTKAHLRTWMSSLCP RTAVWNAAQISRVFAQESQTPVPSESMMLNPLAMPALLKSAIVTCSYAFNTRTCAACI GVPCVDAVDLFSADDDDERLVRWKQHGEPSRPGARARSWCVSVISNHWRCGFKSFLFE ISAPPWSSCRFWDSRFG UREG_01040 MPAPRGVEGACLRVDILRINPHMSGALLTVPRVNKYGTRGRCGA NSGIDSSCCRNLRLNPGHQIFCSFAFLCLASRHASASDPASTALNPCQSSHLFQEINQ RGTAIKAGCSSRKAAEHVWSRQQ UREG_01041 MISAFQPFASSSSFCHIVFLHEFHSTAANMFKRTPFATPEYAFS GLSYCPPYSRDRQVPVAEYPAVCHFLDRGGIPGCLSKLNTIFGECRRIQEKPTWVPKH SFTHHDTLKLRG UREG_01042 MDSRFFLLAAPVAVVAAILWRRRPKTVEASNPGNSWMIRDLAAL KDRCEAAAALSKLVEEDGAGSWPPKADHNSWPTPLLPYKDIYLELAPLLPTPRPSLDS EVNDEKRARYRSLMRRLLSERINVPQVEDILATVETGNWESFPRDAYNGFYCCIAVLR HAYRWATIPVVKVAQSETVINFPAELDAPWPYLQRNFGVDADSGNNTSNVLLNFDVNG ERIYKINVGLSEVIRSSEDVFFKMFYDLEVMAFPIYYDMVRAIISFEEKDKASCVRYL RHLNAMLRELLLIFYHNLNDSRVSRSVWLSHIQGFQGWGVGKTVDGEFVKYDGLSGNH VLAFQAIDSFLGMEPYLPEENLLRYIPANQRALCLMFKKCSFRPRLEEKDTQIKDEIS KIAKQLRAFRAAHRTRVIPYLEQPAPERFTMTAGKSVLQGTLSEAIRFLDDMMLRRLK ETM UREG_01043 MALDLSPQEKLDLIKLNLQEVLKPEILEDVIVRQNRPLTIYWGT ATTGRPHCGYFVPIVKLAHFLRAGCHIKILLADIHGFLDNLKAPIELVNFRADYYRYV ITALLEAVHVPTDKLEFVLGSSYQLSAKYTMDLFRLSSVVTEHDAKKAGAEVVKQVEN AVLSGLIYPLMQALDEEHLGVDAQFGGVDQRKIFTLAMETLPRIGYKERAHLMNPMVP GLAGGKMSASDPDSKIDILDTPDAVKKKLRKAYAMPKETEGNGIISFVEYVLLPVSAL ESADGTAKFVVDRREEEGGPLVYNNIDALKADYIADTLTPQLLKAATTTALNKILAPV QAAFQANPAWQEIEKKAYPPPEPEKKKKKVRDKGSRYPGGGKGIVAQPDGSVKGPGSK EVSVGTGVEDAMEKLDV UREG_01044 MKFTAAAALALTAAPSLVAAQIFTECDPTKKSCPPNPALAGTAS FDMKSASDRFIIVGNSPTYGDDGASFTINKQGEAPTLQSKFYIMFGHLDVEIKAAPGQ GIVSSVFMVSDSRDEIDWEWVGGNNGQVQTNFFGKGQTATYDRSVWHPNPGNQDAFHK YSIDWTSERIEFSIDGNLIRTVTPNDANAKGQYPQTPMRIRFGSWAGGDPNNSQGTID WAGGLTDFSKAPFTMQLKNVVVTDYSTGKEYRYKDQSGTWQSIEAVDGEVHGSGTPGS GPQVESSLPPAEATIAPSQGMTSGSGAPSVYPWIPKDPSKTPTTASASVTGFPGLPSS WLVTDDGRPSTPSTASTDSRISSSPSPSSSDSQSSGGPEPSETGSPSRPTGDGATFVT SGLPVTSGGTNPSATEAPPNAAAHLSSPYYGFAAICGIMGLAVLL UREG_01045 MKSTTVILLLLTSLTTVLALPDPNPQPVPDLAGTVFEETAQACV RENGRCGRRRGKCCAGLRCRWKPVGGPNRICLKR UREG_01046 MLEELASRFSPAQAALVAFGLFAVFLFILDCRIDWRIRKLGAQS PRIKSYLPLGFDFIYRVMKAGSQSKDLEFWTELAKELPSHGPGPVGTYEVKFRCYGRS VFTTDPDIIKTVLTGQFADYGKGKRFHEEWRDFLGDSIFATDGELWSKSRQLLRPMFA RDRIVDTEIFEKHIHKLIPLLSGDLNQDGSKTVDVGPLFFRFTLDAATDYLLGHSVDS LDNPKTTFAEAFQYVLHRQSVLFRAGPISPLMSRKLFHENLKKMDDFMQPFIEQVRAL TPEELDHKLSKKDTFLHALARFTRDPTVLRDQLVAVLLAGRDTTAATLSFCLFELSRH PAVVQKIRHEIASVCGTRKPTYSELKEMKYLNAVLNETMRLYPVVPFNVRHSLVDTTL PRGGGPDGLSPIGVPADTRIIYSTMAMQRRRDLYPAPPLPGEKPSQPYFDPLLFHPER WTSGWQPKPWHFIPFNGGPRICLGQQFATIEMAYTITRILQHFTGIVGVGTPPPGTDP KFKFDVTLSPGQRLDCVFVKEGN UREG_01047 MRSLIPTCLLLTVTNFVYAVWPEPNHYQKGNNVVWLSPTVRFTY HRGDLIVHGEGGSYSAALPQLGRFTEFLQPQWLLNGNYHQTSAESVDEILKKAIKRTR KHLLNTRFVPWKFHPRNESFEPPTLSQTEATLKEVVIRERESDVESLGYDYIHGDESY QIKISKKGKATISTSSPIGTLRALQTLPQLFYAHSSGGVYTPYSPVLIMDKPKWSYRG LNLDISRNPISPSDVKRTIDAMASVKLSRLHIHATDSQSWPLDIPSIPSLAAKGAYHP SLVWSAANLRRVQRYGLERGVSTFIEIDMPGHTGSIGHAFPNLTVAFGNDRWEKFAAE PPCGQIKLNDSAATDFLDTVMADILPRVSPFSRYFHTGGDEFNLESYLLEDSIRSKDP EVIKPLLQAIITRVHRKIMHAGLTPIVWEELVLDWNLTFPSPVSESQRVIVQTWRNSL AMKKVLEKGYRAIFGSGDVWYLDCGYGGFINPRHGSNAIKEPYLDWCSPTKNWRHVYM YNPLAGIPQELHSLLEGGETHMWAENVDPINMDPMIWPRAASAAEVLWSGPRVRDDIK GASYRLGEWRERAVIDLGIAASVVQMTYCLMREGSCEL UREG_01048 MAISVELHTPLAQALNEVIQPKLVEVGWSTGGGDDSALAEYVIL MLVNGKTQEQIASELANDLLGLGPDDTEAVDFSRWLFEQVEALNKKLNGEPAEPEQQN PAQAIPSFTEASKDATPSADVSANVDTEMGDSFSPAREGGIPSGPRTMRNPRQNGRGR ILGQISRAMDRSSDSVLHRVRNQHGTERINTHREPPKGPRAFHSRGGRPGGGRPAGGM GMNIMGMGNGPMHNMPPGSNMMTPQQQMQMMALFEEQARMMAQFMPGFVAPAINPAFQ APQAQPNGRSLFERAEQQPDRGNSFQRRHQNGRSTHQNTNMDVDGGSKGNAADVQMDD APPQNKHQGQAPDGVCNFNLRCTNKDCPYAHQSPAAPEGTTIDISDACPFGAACKNRK CVARHPSPAKKTVHQSEELCRYFPHCQNSHCPFKHPTMPLCRNGADCSVPGCKFTHQQ IPCRYNPCLNPSCPFKHAEGQKGVFSDKVWTPHGAESKSGQHVSERKFVADENGEEEL IKPHAPSSSEPEIVT UREG_01049 MRPRCSPARALEELRTFAHAKRRPHARPCLPLPTPLTHPWRLHF SSTARNEGRNIAVIGGGITGLTTAHHLAKDPTINVTVWERSDRLGGHLNSEKIDVGDG HIVFDYGPRTFQANAKAFSTLDLIIDLGLSEQIILTSIHDAASRNRYIYYPDHLVRLP GPQPGGGLLVNIISNVISLFTEPLLKGLPWAIAAESFKPKRSAKLEDESIGDFMTRRL GSAITDNIVSAVLHGIYGGDIYKLSAKTILPAWWQLELKGRGGVFLPLLSPKRNVRVA YDIYRELQLLSEEKGVTYPRELLSRVSGASVFALKAGMGQLVDGLTRSLSASPNVKIQ TGLGIKELKLNDSRWQVKASDNRQITYDEIVSTTSPHELHRQLAPTLRRTDAFRDLQG HNYAVSVMIVNLFFKSPNLVPVNGFGYLIPQSVALEQNPERALGVVFMSCCNVEQDTA QGTKLTVMLGGHCIPWDTLPA UREG_01050 MPTEPTTSSSGITTDTTTGERHVPSSLRADGSVRREIRIRPGYR PPEDVELYKNRAAESFKNRGRGGVPGAEGLKDDDSKTSTAASAKNAKRREAKKKAKAA SETAEDGKASGGIMAQDNWRAGTAQDAETAVDPEVEKEKKARNLKKKLKQARELREKK DKGENLLPEQFEKVIRINELIRQLDALGFDAEGERKVKNSVDNTKENEDAV UREG_01051 MAGVSPYALIPFPPQSTSKALYPWRHRWGSSARLIAAFSTFIRR NAVFLGSIFVGAFAFEIAFDTVSNRVWDTINRGVCTGPSRG UREG_01052 MLTSESDEVDDHSRDEERSYRAIIGFTTEGDIIEPDFPLAVADD QENADEEDDDEPDEGEEDGDEDEDMDDAASLLLATRDDSDVEMNTEDDLEAGEATLAG YPRPPPPPARTREEERVAAEMAAILINPSAYEGWPEASLPIGRGEAAGEDENEEPEGS RAQGENHDASNSSVVKIPKTPGFRMRKLSKALPYWEIKPARYHSHPIHPYEDIRKRKR LDCSH UREG_01053 MAKKTGKKAADVVFEPKLKPIPNGLFANLTQFTQTPLFAQIIHQ CLEYCLMFKICTPGVTATRVEALLHMVLHLLLLAVMEDATSEENIILESVTKSFTSHA LCRSKSTQLGELTIVGLLQHISTVPEFESCGPKICHILKRLWQKRPQTYVEATEGLKF PYDTIESPSPAPGVDSELDLKKKRALDRQAKIMAQFQQQQQNFLSNQADIDWGEEDLS ADEEASVPSSTEKKLWKYPTGNCILCQEETNDSRLYGTFALMMDSAMFRQTDVRDASY VREAMNCPSSLDRPADAIRPFGMASENREKVRRLDSTGGEVITEKQGLGSGFPPNQVV RSPIMTGCGHIMHYTCFETYCAATYRRHSHQVARNHPERLMLKEFVCPLCKALGNAFL PIIWKGKEESYPNVLATNETFEEFLEGKLVQSVSRFRSHALIMESDKLHTSGYQNFFV DYVSKNIISPLSNKVEQLVTPTIPSLTLAPPAPE UREG_01054 MNNEQFRRLLFDNSTPTASGKPAASALTRGEHGTTLKPAALGSR MRSSIPMTPRAVGNVNFARQLAEFRQGNQPPPAKKFKSSAPKGTKYTGSYLDRTQLRQ QAGEEGDAAVDDGSRAKRVQALEEMVKLGQIDQATFEKLREEIGVGGDISSTHLVKGL DRKLLQRVKAGEDVVTPAAAGLGEDQDGSYVDDEFDRVLEDKEKEAIPETKREEKVKK GTMAPPPGKMTRDEILRQLKASRAAGKPISLAQEPPVSMLGEKFKKIGASDQKKRWIE TDEHGRRKEILVVTDSEGKLKRKARWLDKPDGKQAGLLAVDKSVEPLGMEVPLEIMAR ANVAEEEEDDDIFEGVGDYHNPLADVDDGSSSSESEKDVETEQPTDHKVVSGTEEMEK PAQAPGPRNYFSTTATTEPTETKPGNPLSSDPTILAALRRAAAIRQRSPSSEGAGERE EGDEEATLRRKKFLEEAKRREREDAMDLDLGFGQSRFGDDDEEEELWDERGGGNKRKR GPKKRKGNKDSAGDVMRVLEGRKKAGNKT UREG_01055 MVSFNVPFLVSLLAGSIVAAPVSVPQASAPLPESGKRGLAYNDP SALQPFKGTPANSWNYNWGSSSDGSSGAEYVPMLWGPKFFNSWNPSSVLGSGNKCILG FNEPDHGEQASMSPQSAAEAFKQYLTPLAGKLQLGSPGVTNGGGAMGLNWMQSFLDSC TDCKIDFLAIHWYSPAGEVEGFKNHISQAIELGRSHGIDKVWITEFQGLGDDQAQAEF IKQVVPWLDANPGVARYSYFKAENLVSGGQLNTVGKAYAES UREG_01056 MADGNAYPAKRRRLDTRGSRSQESSSDELGANSDVERRRASWLK QVRTTYHSPRPFKSTPSKTMHSDSDSPDELAADHHSPYWRRQSAMRRSILSTPRDSSR SETHRRDDLDDDDDDDDDDGGESSDIASDDENIEGEDVERPVRVRTPTPPPPPPPPKP ERLNYKERLVLRGHQRGVSMVQFSPDGSMIASCSADATIRTWNSSTGKLIHTFEGHLA GISTLCWSPDGTFIASRSDDKSIRLWERFNRKTTSNTFLGHHNYVYSIAFSPKGNMLV SGSYDEAVFLWDVRSARIMRSLPAHSDPVAGIDVIRDGTLIVSCASDGLIRIWDSATG QCLRTLVHEDNPPVTGVKFSPNGKYVLAWSLDGCVRLWDYVEGRCIKTYQGHKNEKYS ISGGFGTYNAPGGPPIAFAFSGSEDGAVLWDGQDGPAVARGGACGECPRSRVAQGDFR UREG_01057 MFAYPLNGRPTAFFRGDWFVGKGVACARIRIGPGPNDIAAVFCT HLHAPYEREPHDSYICHRTAQAWEIAKLMRGAAEKGHLVIGLGDFNMLPLSLAHRIIT THAPVQDVWRCLHPDSSLGAAIDQVESARKRPVPSAEYNIAENGITCDGLLNTWRWNK AQQQRLRREGHVEVDPRLPDPLGKRLDYIFVGDGGANWQSPSSCPSPLPPSPLAHWKW TVESARVSMTERHPTLHCSLSDHFAVEAVLSRDTSGGETSSQEESTPFLPIQQQESPP PSTLMTPATPPKPPAHPAADMYDDILKMIHMYELRERFQRRARVGHFLGSLGVLRIAG DEGV UREG_01058 MATVTETVTLPTRTALVGHESAAKEDAARECALLNEFAGKWDSF KFGPIRESQVSRAMTRRYFKDLDTYAESDIVIVGAGSCGLSTAYVLATARPDLKIAII EAAVSLGGGAWLGGQLFSAMVLRKPADKFLDDIGVPYEEEPSNPHVVVIKHASLFTST LLSKVLAFPNIKLFNATCVEDLITRPEPNGGLRIAGVVTNWTLVAEHHDDHSCMDPNT INAPVIVSTTGHDGPFGAFCAKRLVSMSAIEKLGGMRGLDMNSAEEAIVKNTREVTKG LIIGGMELSEIDGWHRMGPIFSAMMLSGVKAAEVALEVFEQRKKECAA UREG_01059 MADTQTAPVTQNPDAPSPHDDADNEDSILRELNAAIAANPELRA PAPKPAEGEWEDFDRLLAENPEFAAPVAASSGRKEYYKTKTHWECGHEGEETKTDIER DPNDGTPELLINEARGICDKCMEKWVNLENAGDMELGGSSSGPVGPPTYDQAQTSDEL EVEDDDEGLRPRPLFDIDDGPDKGKSHPGQNVRYDQDLDDDNEDDDRSIYSREDSLGN PIGSQPHPHRYPLLDSDDDDELAAPSIQRPGPHGYHQYADQPLGPAPDFVSDDESRAA PLNPHGPQVAPPAEGRA UREG_01060 MALEENKHLHAVVDMGSNGIRFSISDLSPPTSRILPTVFQDRAA ISLYDAQFKLGSNVREPIPPSVVDDVVRRLTRFKQTCEDFGVPEGNITVLATEATRTA INSEAFLRAIKGKTDWDVKLLSKEAEGELGAWGVASSLASVEGLVMDLGGGSAQLTWM IAKDGKVRTSDKGSISFPYGAAAITKRLEESKLAKNLATEEISKEMIAKFKAAFGELD IPEELLERARQRDGLDLFLSGGGFRGWGYLLLSRSKPFPISKPCIFARVVCVKDIYTS R UREG_01061 MALAIPFQAADSEPSPKPAGDFCHPCNQPGGGFQSCYNSQADNR LSWLNNTVLSKNTYHRHKADKPPDDIDTVLSTDSVPSFELLHDQEQSMYIK UREG_01062 METAVDYLLSAPKVVRELQPMHWMFLDAPPDGTVMLAWQPLNHL GTNFASDGYVWGDAEQVYTTEARGYTVEMWLHRCGYHPPHESVATHARRRFRLTPSKT PNPNFPPPDPSLWIIHYSKAPSIDQIPVTHIAVPPAIHNVLAQRRFLQSQGQLARKEF MLHDRNSWPSINLPPQVGQQPYAQPNHYAQQYMTRPPQAPFFQPPPAAPMQVASAKVP RGHRASGSTAIAAGALPDFSLEDEEVSTGDFLDNITPREISRLRYRHNHEWMEEIFDS PYRISQILPVGLGLGRKGELESLTKGYLEAPTGPSPPSAEADAAIGKLEPEKLEEFTS AVTKKVADVTAEIETLKKRHAKRLEKIKHLSALKEGEIQLRDAYVDPSNAGTEFWRIE DRLRPIIVKEDGMQVEYHEAKPKTKVSDIATEVQKAWGEPIVPLKEVICVDKGGFQEP AQEPPKMTPHAADTAMENTDMSKMTTSPAAVPQFVAGTQGGPAPAGSMPGAGNAPQGG VQPSAQTGGDIDMGGVDDGQARQTADQLGEDWVIVNNKDAKASKGVSPKVNPARAGGQ SAPTGTPGKGIEGSTPGNNASLEAPEVLETSNFEDAASFSHIDSAGEALAAYDENSGL DLGGLDNSAFGDAFHASEGEHQHHDTEDIS UREG_01063 MSIIPSRSTIVADRVWIWSDDNAGRPGLANSLTGYAAKGIGANE KNIADGEISGVKRVQVKLERGPSERLWDKQSDGAPRGEFIWASA UREG_01064 MATLRGERQPEISKKLFKMIKTENHIIDAYESAGRDRISVASQL SEWGESTGDAAISDLSDKLGVVLAEIGEQEDNYAQNLEDSRNVLKHIRNTESSVQPAR DHKAKLIDEIHKNKQRDPGSSKVTTLEQELVRAEAQALVAEAQLTNMTRQKFKESYDM QLAATIERAEKQLILARHGRRILNLLDDTPLVPGEARKPYEATEQGKQVLEEAEQELR SWEPSMEPVTSSAGGVGAGMLPTQPREQAANGDYTDGGREEITYEKETLTVNEPGGAG THIAS UREG_01065 MDAIVAQYSRSHCQEQAFNQQDDQEIVTLLPPLSLRFDLPPISQ PASFLRAMTDDHANPNCPIKLAHGTTTLAFRFKGGIIVATDSRATAGNWIASQTVKKV IEINSCLLGTMAGGAADCQYWLAYLGMLCRLHELRHKRRISVAAASKLLANLVYNYKG MGLSMGTMCAGVTPQEGPALYYIDSDGTRLAGNLFCVGSGQTFAYGVLDAEYRYDLTE EEALSLGRRSILAAMHRDAYSGGSVNLYHVKEEGWVKHGFSDMNPIFWKEKLETGEFS NVPMKLE UREG_01066 MASSTKTDWSVQYDTLRRERLFRNPPKDHTAYPMLAAAVRPHID SFNALFDDGKVLEAALKDIGTKTFLDGVPETPELRRARREEGKKAPRRNRLSVRITEL FLEKAVLPASNKFSPRNREIFPAECRERHATYRGRLRARVEYKVNNGDWKESVRELGQ VPIMLRTNRCHLEKLSPSQLVERKEESEELGGYFIVNGNEKLIRMLIVSRRNFPMAII RNSFTKRGATYTKYGVQIRSVRPDQTSQTNVLHYLSDGNVTFRFSWRKNEYLVPVVMI LKALIETNDREIFERLVGREGSLGMKNTFVTDRMELLLRTYKAYGVHSRSKCRAYLGE KFRYVLGMSENVPDEDVGTEFLRKIVLPHLGNQNVTESQDNDKFNMILFIIRKLYTLV AGDCAPDNPDAVSNQEVLLGGFLYGMILKERLEEWLRSIGPIARDWSNKNLGLPFTDA RFEKDFISRIVARTNENIGGALEYFLSTGNLVSPTGLDLQQPSGYTIMAEKINFYRFI SHFRMIHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHKCLIATSN LNVAGVPEVLSILGVGSESSSSTEESVAVSLDGRIVGYCSPKQARVISDTLRHWKVEG HPKIPVELEVGYVPNSNGGQYPGVYLFSQCARMYRPVKYLPLDKLDYVGPFEQPFMEI ACVPSDIMSGISTHVEFDPTHILSILANMTPFSDFNQSPRNMYQCQMGKQAMGTPGTS IDYRTDNKLYKLQTGQTPIARPPLYNAYGLDNFPNGMNAVVAVISYTGYDMDDAMIIN KSAHERGFGYGTIYKTKIYPLDDKEARGRGKSKREIKKLFGFAPGGLVKAEWRNQLGE DGLPHVGGRVKDGDLVAAWHTVQYDPAAETYLNVDKETHFLKYKDAEEGYIDSIRILG SETGNEPCQAISIKYRIPRKPIIGDKFSSRHGQKGVCSQLWPAVDMPFSESGIQPDII INPHAFPSRMTIGMFVESLAGKAGALHGLAQDCTPFQFSEEYTAGDHFGEQLRKAGYN FHGNEPMYSGVTGREFAADIYLGVVYYQRLRHMVNDKFQVRTTGPVNALTGQPVKGRA KGGGIRVGEMERDALLAHGAAFLLQDRLMNCSDSTRAWLCRTCGSFLSTQVAVSQLLS PHKNPAMEAVVKSSSVAVAKPTGGVSALGGLSGIVRCRRCARDAVFDDPRAEVWEDGS GRRFVGGDQTTVVAVPGVLKYLDVELAAMGVRMKFHVDN UREG_01067 MDVTMVGLHNAGKSSLLRVLAGGEFAIDWDLGGQPRFRPMWERY CRGVHAIVYIVDAADREALPVATDELHELVSKPSLDGIPLLVLGNKSDLPNKLSVDEL IEAMDLKSITRREVSCYGISAKEETNLDAVLHWLIARSSK UREG_01068 MILAKRRECWTYDNGRTYHCSVWSDWGRWVFLAILIAGAFLLFF LFACISARRRRRRGMRPFMGTGWVANMAPYGKPGTHPPPPQYQHYPPPPQYTPAGGPY YPGPGYNANPPPNQGQTSHQQTGVELQPPQNAYRGESVYGPPPDAPGQQKTV UREG_01069 MGPVEFSPWHRYEARALNDVKQTFSSWDKCMQKAYCKWPAIIGI VIGVLVVVGIAWCIIGCLCCGYTCCRGCCSCCSCCGGGGGGGRQRHKYADPHPPATYQ QPPPNLGYQPAAPPVYERSTPQYSSPVPQYAQFDTPSHKPAHEDALPPMPSWQNAVTR RVEDPSQDVEMHNLHPTTGQTLGTTTRSRSGYYEVPSQPSSPRFAPDPYSAGAARTNQ NHHPYAEQPPYARSPNASPSPSLQQAYSPYSAAGRQSPYSAAGRQSPGYAAYSPSVPS SPPPPFSPTAPGRQTPGLLQAGRKPVENSWKEV UREG_01070 MIPFSILYVAAVMLTSLCTEYYQFLLAQGILGGLCIGMLYTPAI SILGHYFQRRRDLAIGISSAGSPLGGVIFPIALNRFLEHTDLGFGWSLRIIGFLMLLL LAVGCLTLVPRISPRSGPHFLPAAFTKPVYSLQVVGYSVIFWGLYTPFFFLPAYATAR GVHADWAFYIMPIYNAGSVVGRLVGSRLTTRLGRFNTLVAAIFISAILEFCWLATTNL GGLIAFAVLFGISSGAIIGLFPATVAMTAPHANQIGTYLGMMMGALGVFCLTGSPMMG AIVSRYGFTPAIAFSAALIVVGGCLILAARLCHSKALVS UREG_01071 MASKIIVVGGVNSAFKQVFGKLQKLQAKQNFSFSVIVGDLFQGG PDTGDDEELTALLKGEITVPLPTYFTVGNHRIPQAVIDKLEKDDEVCPNLYFLGRRGV LTTSEGVKIVSLGGNWESAATPVPGVNEKYLPQYTDFDCKSLYSTENADILITNQWPK SIQQGSKVLVDETSAVEGTQSLADLCATLKPRYHFASQTSFFFEREPFFHIPVEDDAG LKHITRFLNLAPFNASSKQKWLYAFNLDPSSPPPTTIPTGATVTPFLNASKKRQALDD QRATFSRFSHGDEDHHRAKKRVRRAAPDQSECFFCLSNPNIATHLITSIGTDCYLTIA KGPLTTASTFPKLGFPGHMLIVPLTHAATFSTMGDPDTTRSTYDEMQKYRSALHSMLE ERSNGELGAVTWEVSRGGGVHLHWQFLPVSRSLVSRGLVEAAFKVEAENLSYPKFEKQ PVGPAGSDRGDHFRVWIYKPKDEAEGESGSPSETVLTLPLSGKFRFDFQFGRVVMAKL LELENRSNWRDAAQLQDEETADAEAFKAAFKSFDFSLEES UREG_01072 MVAVSSSRGKPPKVRKGGTETTKAHRFEPFSQRIGKLKIDPIHR VRRNDVSDENGDDSFSHFRASLEHWTELNLSENFTEFSQRASRLCESLPQVLYHQDAI MDLLVEYIGRKDELSMEPLLSLMAQFARDLGSRFEKHFATAVQLVASVAATHPSVEVI EWSFTCLAWIFKFLSRLLVPDLRQLLEIMSPYLGKQPQKHFVARFAAESMSFLIRKAA LVYYKNKAPLERAVAYLLDDLSKTEGTRQIEMYQDGLMNMFADAIKGVKGGIHSNGAD ILRCLICSAAVDDEARNAVAEAVLGGILINLVHHSTPDSFSPVLDTICEHIEASKVTV AHFKVNTRLIFLSVVTRKGSRVQNWKPILKALLCLLKQAAESSRYSPSAVDQLLGATA VAIQTSPMDELLPYMRQLMEITIHEPLSQHFLPFCVFLSNFGSDRFHSVVLPYFQRFI LSLWQDYEAGLCLALPRLHGLDCVTSQSSRPGYVTCPSGWKDIVAGRFSIQDPTIDDI AFLNAYTVLQDTLSFSNPPPSIVPHILESLHHLVQSAANPSPSHPVDLDAFACGQGFF AYVQLAVQQKELDSNVWDLVSSRGAEFANVPVFLEATLEFMTASPQPPQFSEQKIEPF ADALLSILSGPSHELRLSALKILQGIFRWLDIEHRCISIAIEIEDSELTLQTARELSM QVRRLASLYAETSKVKWLDRLIPNFCFGLLSKKLASLWDDSCQAIKVVCENANGERIV TELAVGWLQSGSAYGSDETGDAAPAEDRHIVTSEFQCFNVLNVEKSLLSSVEDTRNSG LRLVDEFKKMHKPVTPAPVHARSQALRVLTAVPQVAEKKSRQLVPLFLSWASRDDELP NAVDVESSATSTGDRTKARWDLRDKKATLALFGQFTNPKVLYKASEVHEALTVLLCNG DSEIQKLAIKAIFAWKMPAIQPYEQNLLNLIDDARFRDELAVFVQTGKDNNIIEDEHR EGLFPYLLRLLYGKMVARAGLRGSQGGGQEGRRKAILRTISLLSEGELERFILIAFGA LADINVLAQMSEHLDLLSQEVIGLRRQYGLLKMIETMYSTLKRRMHPYVERTMNVILY CVIRACRQLRVSDETSEEEANQDTQTSLFRNVRQMGIRCLDLVFSVAPDVNWAPYMPI LFAEVIDPRLQNFAVETAQSISGLLRLFGTWSSYPKSALYLGRSEVVPHVVDILGVES ARGEVKLFVLDQFLNELVKTATEPEKDKDGDTDIEAMNLVRSKILAPHIEHMLLLIDS LLRKQSSRQLTMSGVETLSKLAQFVESSAKTSKLINTTIYLLQQPPDRVPPKTKGGLL RVLQHFLPLYNPRDNGELSRQIFEVLSSMFDYFKDDPNRELLSTVFTLFSAHDSELTE VSRLAADLNSLSSKRLDEVDFDRRLGAFHTINEQMYSSFSARQWRPLLYNFLYHVKDD EELAIRSSSSLGLRRFIQCATAKEESEDIQFRELVDTVLLPSLKNGVKQKAETVRVEF VTVFGYFIQLHPSRPGVSDLHDLLAGGDEEASFFNNILHIQQHRRQRALRRLAAEVSK GKISASNISTIFFPLIEHYVFSQAEDENAHNLAAEAIFTIGALAGGLEWSQFRAIFRR FKSYLQGKSGMEKAVIRLLGQLTDALTRAAVPSPDMVQESADEAVKGRLSQSIPNSGQ VASELKTHFIPFLSEFIHHKEESEVSLRLPVAVTTIKLLKLLPEDERALLLPPVLLDI SNILKSKSQDSRDVARKTLADIALILGPSYFGYVLKELRTTLTKGYQLHVLSFTVHSI LVTTSEEFKPGDLDQDLPVLASIVMDDIFGTVGQEKDAEGYVSKMKEVKASKSFDSME LLAKNASVGHVYQLVQPIQRLLEERLTSGIVKKVDDLLRRIAIGLLRNPGAESRDFLI FCYQVIKESYKTPDALQSDARAKPKDRFIIKMIAFNKSGTGRSTSSYLYKLARFGLDA LRSVLNKYNSLLTAENLAGFLPIIGDALVQGYEEVKIAAIRLLSTIIKLPLEEIDRNS DVYLVEAMKQIKEAPSTDTEGAQAAIKLISSILRERQSIKLKDSHLAYLLKRVSSDIE EPDRQGITFNFIRAVMARKFLVPEMYELMDNIAVMMVTNHTRNARDLARGVYVHFLID YPQTKNRWSKQLGYLAKNLDYRHKEGRQSVLEAVHLLLTKTNGELAQDIVGTFFVPIV MVMANDESPECREMAGILLNNIYSRAESDQLRSILSSIRTWLERTENISLVITGLQAM RIFFESDAADKENEAQFVITLLPRLMKISLDDQSSAEWETLYYSLQLFIKLSKLFPSL TLSPTCASIWSRIRQSLFFHHIWIKSCAANLIGTLFADVAKANAVNGYGSRPLTGSSG FGLDDNAMLEITRGCMFCLKTPTITEDLATQTIRNLVFLGRCFAQNNLELPNKNVKGF VDMEDDNIDSESDVDEAIGSPKQRKKAIQYVFEQAARILRRGPLTTRAESLTAKTACM KLIAALCNHLETAQISPSLQSVLLPLLHMTDPSIPAPRSMDEGFPDYL UREG_01073 MAAPKRLFRNVASLSCRSHEAGLFLRARRSAVNPFSTLSYQSRY SPERQASIRLAPFHCRHNSTSTKPPKPLTDSKPATPEEEAYNEARRAEEPSYLITFTC KPCSHRSGHRISKHGYHKGTVLIMCPNCRNKHVISDHLNIFMDTKSTLEDILAKQGQT LKKVTLGEGDLELWPEASAAAEAEGSQGSEKP UREG_01074 MSRDGADFNAMWNVLAASMREIHTKNASTLSFEELYRSAYKAVL GKQGLELYNRVIGFERALLLNDLRPGITDQITPLLLPSEESGNTADQANERRVVGERF LAKIRDVWEDYQLCMGMITDVLMYMMKDKTIVAEQRTPSIYVASMCAFREIILRLKLD MHPEASVGTALQDTILFLIDLERKGIIIDRPLIRHCIYVLEGLYETEEEEESSKLYLT SFEPAFLESSREFYLAEGQRLLSTIDAPSFCKKVATRIQEEQERCHHTLSAVTEPKIM SVIDQSLIQQNIAEVINMEGSGVKEMLDNDRFTDLTVVYELVSRIDPQKTVLTRAVQA RIVELGSQVNTAAKEFLQAPQPAVNQDQTKPNGSKAPEESKSPANMQTAAAIKWVDDV LQLKKRFDHIWETAFMKDQGMQAPLTTSFSEFINLNFRSAEYLSLFLDENLKKGLKGK SEEEVDALLDNGITLLQYIRDKDLFETYYKKHLSRRLLMKRSASMDAERQMISKIKME VGNTFTQRLESMFKDMTISEDLTAGYKEHIAQRGDSDPKRIDLEMSVLTSTMWPMEIM GKDSASQAQCKFPKSVDLLKQSFEAFYLGKHSGRKLTWHAGMGTADIRATWVRPNGKT ERHDLNVSTYAMIVLLLYNDLPAGESLTFEEIQARTNIPPNDLIRNLQSLAVAPKTRV LKKDPMSKDVKPTDRFFFNEQFQSKFTKIKIGVVSGGGNKVENKDERSETQKKTNDER AGSIEAAIVRIMKQRKKLAHSQLMTEVISQLASRFVPDINMVKKRIESLIDREYLERL PDEEPPSYGYVA UREG_01075 MDIDDILASVDQDDINRPEFVALDHQQLTRFWVAERAAPELLPW PGPLMDRMMERVRKQISKIEDLSTSDPASTTANNPTLNLTLSILQSDLSRTQFLIRSL LRQRLAKLTKHAIHYLRLSSASASASQPPAPYQHQQPNLLSPQEHSFLSAHHTLLSEH YSHSFLGAFPPALRRLDDNAGGTSMVTTPETKEVVFVRCLAESVHVIIPAEPEQADRM SLGVGDRLGGKMGKGEVWMVRWEGIKEAWAKGEVEIL UREG_01076 MENSRVVGGKDAVANTDWPAQVPVPVAVRNTPVSSHSPPSVHAL PVATDVVQLHSFFPLIFPPFSLLLLLFLSVACFNALLFGLFFLSIHSPHCPPRRSSSR RPPQGLVFTVSSWTTDLLDCPSWPAHFDFGIRRLLVLLASRISKSSHHMCLAAFKGKL VSQLALTLAAGVVVVTTDFRLDLLSNFLSLDLSSDHESRRGVPPSVMFGHHFRSEGTN GLSFPAIRKFGPESFNFRDLSMKTGHSDYFNTNPLRGSSPTVTLAADLSQNFHIDRRL GFRFPHNRNIYGQVTASSGPTLLARFSHGIRTSWTSTVGPPPSRSLLNFYWFLTMFNR RPRPPILRPSLMRSKAYSSGEKGSLAPQPPPFKFAAGNNPSSRPSLACNTMGPPRPRA PLSGVVGQCRSNGSPVNGIRKSSNPFSRPRKQCRRSLSMFEHPDDVINQDEDTMMLSS PVIQSIADMDTQPTLQLPHFIPEDGDQLPRIEINVLVDIINGKYNDLYDNISIIDCRF EYEYEGGHINGAVNYNDKEQLAAKLFEEGQKSNTALIFHCEYSAHRAPIMAKFIRHKD RAINVDIYPKLTYPEMYILHGGYSAFFAEHRCLCQPQNYVEMSAKEHEFACERGLGRV KQRSKLSRAQTFAFGQNSLQVEESPTGRCRLPGDRSSEFDSPFDRDVEVTRLPGRRMF SY UREG_01077 MRFDGDVLDYLVARLAQKPEGESIGKKVKSSPAGSFSEADPQPE VQRGSDFALGLNEKSSPEFWSHYSRSKGLEPDEVGVVPGCRWIPRPMLLVALFSRAYG MRSHYWHPALSHSECGETLVATFQANLWINRLRLLQDVCSRNRITRKAETMMSA UREG_01078 MVAGSNPRKRRREAANVDVKLVEIYEDLASEKDGIRLKAAHDLL SRFAPDQNPSEEQVEKALKRLFRGLCSSRKAARIGFSIALTELLSQVFATSNRHNLKE LSIPKAIEILESQTNAVNCASGQEERDHYFGRLFGAEAILKSAILLQEGVIFDNWKKL LSLVFDLATKKPWLREECGYIIYCAVRDIAVKAADVKYINTVIGALAENGLAKTPEGV AIWLAAKESALPVSFVPGIWQDGNPLHSKERTTLAKIMKESSDPHSDGDGENGAAAKS RVWNPKLHFAWEPILAKLYAPFQAKAGDKAPKQISFADFWTEVVDNGLFAAASSEERK YWGFLVFMRVVNDGPSEAASSVFTKNFVRCLTNQLSVEDRYLHRIAQKSAKSIQTRGA ADPQFVTVALRGLMGQAGSINFDQVTKTKTIEKLIGDASTDALDQIVPLLQSLIINPG VDDAKAALPKRHQLATLLTSIVKSLSTTSNSTDDLDTTIKRVMLVLARFAYFVPADST KNKDTAPKPPIAQSTQEFFRNKISSCLNMVVAGRKSPSAVACEVIQSIRDMEQNGEYG KFVIDMGDNISASVDSAFKILKKLQHKEKKSDEAGMASIHALKLLYAMTIFQVYNGDA DAVSMLDELKICYDKFIGRKKSAADVAEASDILVEILLSFASKQSQLFRRTSEQVFGA FADRVTATGLQSLIAILEAKDSLAGQEEMFENDNDDDSDVEMVDPDDDDVEEIDQSLE SDSEPEDNHEASDDENDESEPEDDDELEAFDAKLAAALGTHRADKDLDAGSESSSDSD MDDDQMEALDEQLAQVFKARSQVTNKKKEKKEARENMINFKNRALDLLEIYVKKSHLN IIATTILLPLLQVVRKSKIQQIANKASGVLREYCKLCKGNSVPTVEMEEQVWDLLRAI HEEATHSGPVFHATACSQASLLVVKILVAHKKDNVERVVDVYAETRKKQLVSKRCHVQ PSFFSDWNNWCVSASKQIKG UREG_01079 MPTPAPPAYEKGEESRDAIRAMVERDLLDSRYSQTQRGLKSRHV QMMALGGTIGTGLFVGSGQALAIGGPAFLLGAYIFIGTLVLMVVTAIAEIGTYLPVHG GTMSYYGYRYVSRSLGFALGYLYWYSMGILVPNEVVAGALIIGYWDNNVHIAVWITIL IVVILVLNVLPVKWYGESEFWFASIKVIMLLGLLILAFILFWGGGPHRQRLGFHYWKM PGAANEYIVGGHAGRFVALLQCVVLSAFAFLFAPELIIQTAGEMQSPRYNIPRASRRF FYRLFFFYILGSLAIGVICPSSESALTNGGAGAGSSPFVVGIKLAGIPVLDSIVNAVI LTSAWSSGNSYLYMSSRALYSLAVSGNAPSIFKSCNRYGLPHYALIASACFSALSYLA VGSGSSQVFNWFVSLTNTSGFISWTCCCIIYFRFRKATDTQGVERPYKSMFQPYGAYV GMVGFPFLILINGFQVFFKQKWSVAGFFTAYIGLPAFLILYFGHRFFYRHDPWAWKPE DVDLVTGLEETLAAEEPPKIRTGVIRFISPIWE UREG_01080 MASVGSMAEKQPLRRLQNPVDHSRPAPILLVGNLFGQPPVLRSE TQGQPASSENGDEWLGYQPAPSPLFDSAAKRMSVTLCCCCWAAAASVPTPIAAFTMGD FGSGLLPEVTLPPLEAAEPTATEDEIHVLVTGFGPFKTNPLNPSFLITSALPATTTTA SSSTSTPRTIRIHAHPAPIRVSYSAVRVAVPTILDAFKRAHHGQAPDLIVHVGMASTR HYYSVETRAHRDGYPITDVDGQLGYDDGEALWKQDGLPDVLRPGLPPTDSPHLSSPHA LTESSDPKLPIRITPSPLDAAFLERWAVLSSAKSRFKVVGRRREVSLRVHILYQHGIR VQTRGQSEHCVPACPWLDGSSKRRKRERRGYWADKSIGCLLG UREG_01081 MHNKSPGLSRTLPKDFMFHAFDEPRTPARAPKELEVPPPPHHSS YRLRRPRLDILSNLEHNTMPFCSPDVALPSIEFSQEADSSSDPLNSNLPGHTSRGHLE VPPRQRCDPKTPEAQVRGDIVEESRSWREETIASRSDPIPRPSSACSNISDSSVSSHG SFGSHPSFGGSCTSPESEIQDPFLAHSFPEQKQAFESPCKPTATRGLSLQLPRGSRWT SEMDNHLWNTYQIYLQDPTITPFKTVPGSVPPLGVSHRVAREARKTWPRVKHNLAKRQ GRNMSQKTASDVEQAAEERSGSCTPTAGVVDSTPLWPRSNASTRKRLKQLCRRRYSIA PHYQRLLQSRSPSPFPEPFIRSSNSWYGTGSSEAGSNPFARDLGVSLVATSLPACISE ATIENSNMNTDQANWFNNVAEHQPLATASQSQYFAHHSNLAAENPVAIPRLGSPFMYH TWGPESSRRMRPATPANIPETVHGTGPRLRSSIPPEMLTNAHKRRAMNQLEDEISPDR NAFPDILQEPLQDGKKNPNYRRVRLRNRGATTSGLSSRERLNQLFTPPSLFGSNEGST NGPQSSSSVAEPPKEEIKRLGSPFNSDRCSNRSLQPRHAPSRSEPFILTKPLRDRASS LQSAQPLYFAHERTVMPPHPTSEDLLTETKLTPTSDLDVPNLRGR UREG_01082 MAGSGDLINFDLIETQKENIQSLPSGRSAKALVAAFSPLSGKYS SPSLDDTQSVNDAVRKEYEKELETINESDDPLDVFDRYVKWTLDAYPSAQATTKSGLL PLLERATRAFLSSSHYKNDPRYLRLWLHYIRLFSDSPRETFAFLSRHEVGQGLALFYE EFAGWLEGAGRFAQAEEVYKMGLEREARPMERLLRKFGDFQKRMEQQPRTLDEPSSPA LPTVRPALVAKVDPFATARPPTENPQEARRARGGVGSGAKPKSGKQKMAIFNDAEAGA PEQSPVTGRTKGWDNIGSIQERKKENIIEPRPWAGETLKAGKKANPTQKMAVFRDPD UREG_01083 MTFHTRAATDEIYSIFNQPLKAELEAAEDQSLYGSDYEEDDYTS AGESTATGRISAVNSDFGDDETGVFERTQDINADNGFTDQTKTGVSEWTEFSPAEHIP KVYGGSRGDIQGSGSQTNTSVSPQNHPESYGHFGEGEEKENHIHSPSSKESRFVPIEP QDYNPPTGPYRDPYVVAQNRLPFMTPIIEQTEASLASTLFREKGHLSTKTPSKIGRGF APVTPAIPEVDDLLLSSPFQDYTRTNDAVFEYTTEISSPSKVSDGFMPSIPKSPILQR NGHVIITEHQCNPMDHAIQAKILRSMRPSLHTYPGYHDHKMEDGGNASDIKKYFKNLA KVSKGNGGDRSPTVPPVLCFEGAVRSYAIKRELGEGGFAPVYLVESVDSPDTFTDSED ESDGYDLSPRTPSPKGKIFKTMRNAERGEFEAIKVETNPPSAWEFYMLRIAHVRLGST SLHRRSTESIIQAHELHHFKDEVILVEDYRNQGTLIDLINLVRAECKAGGTTEAGLDE AVSMFFAVELLRTVEGLHSCGVIHGDLKADNCLVRLDESAALPTSLLDTDLDNADYSP SGGHGWHNRGLTLIDFGRAIDMHAFVPGVQFIADWKVAEHECSEMKECRPWTYQVDLY GLAGIFYIMLFGKYMEVMPVSHTETGNPDRPACGLQRHYKIKESLKRYWEREIWSELF DLCLNPTSEKWTGIERQYCDSKENGDPSAVAGGGKGPSMPMVNSMRLVREKMEMWLAA NAARKGLQGHLKKLEALISKKRARRSSEKD UREG_01084 MRGAWYKTLQFSVSFPHSHILKVQKLNINCSPGATNQSTLDSAL SRLAKALIAALDATKTVIPVLETTCGHGTTIGGPLSHFQSLLALIPETYHPRLGICLD TCHTFAAGYDLRSPESWNEFMDEFDKTVGLKFLRALHINDSKTPLGSKRDLHANIGTG FLGLRAFHNVMNDKRLEDLPMILETPIDRPAETVEAHQQEEAVASECEHDNLDVSESE RPSGKKRTTTSTASKTKKVRTTTKAKTKTKPAMIEDKSVWVREIKLLESLIGMDPESR EFKSLEAGLAEQGKEEREKQQALFDKKREKEQAKDAKQKDIRDMLDGKGKKTKARAAS KRGKKKEKTESSGEETALSDAEYESN UREG_01085 MASHLVERNALKDARFCTPTMIKVASLLNSVDIPNILWWNSVID IYGIPTGDIEMSFVVDRSHLQRATETLIHAGLVPCAASNCHHRAPVTEDGRTFCNPAV HFHISFLGDEINMWPSIQLHIKDERLWALPLENDTTTFSDSENIIFADDARLPPNNQH PILGNETSRGKCRHHPGTPVRIPTPERYAEALLLLAIRDRGFSAATSWLSQFNYLLEC DLIDPDKVNEPTRQFMVFPPPVSTIDSLDKAQAVLGDLAMQGVAHAEWAKGRRPKRYR UREG_01086 MSGRTGVDGQQKPNAQSIQSFLTPLVLDDAKIHDISYRLSKVYQ RLARHGEGHFFPTPVTHLPSGHETGFYLAVDVGVTNLRVAFIELLGDASDSPNNGSSS MSLVESYIENNAIPKVWQRRVRRTLEKAWRIEEHLKEDPTEELFSWIGSCIAEVVADE IRSHSFELAAELETGLSFSLPMKQNSLGEATLMPVGKAFFISEDLDLRQALLAGYERH TRRTDIGSMDQHQMVYQLPRLNIVAIANDTVATLVSLAYSVKSLPNTRVAMGIIVGAG CNATVPMQLSDLHESKVRQILSYNPNATQAIINTEWTLRGTELPLQELDVFTKWDMEL DANTHRPGFQPFEYMTGARYIGELVRLAVFDYFTNILETPQHTLPQTLTQPYALTTAF VSDIIATSRADTALAASLASRLPPPPSSPWTWTPDLASVMRATASAVQTRSAALIAAA SVGLLACNHEIHLLEPHATAESSQPSQPVIQSPEDQRDALCPGSGWQSGPEELVVAYT GGIIQHYPNFKEMCQMFIDRLILRGGPQKEGKSVFLREASDGSIIGAGVLAGMVSSR UREG_01087 MSFPPPPGFQQTPRPSSTQPHPHSSLPPRPPSASYAPSGAAGTP GNPQRPSDYNAFTRFQPRSVAATQSYRPNSPAASIPYTPSGGYSAPTPPHNTGYQYPP TQYQQPSHPYQQQQQQHDNYYPQQQYGSSNYNQSSGSHRNQYNAGQDQGAYGFGGANR GIARHGDSGMDPETEAQIAQWQSAYMSKDESNQTVGGKAGAGRRDDASSATGANTGPL GNVQRLHDASTISAPPSGVQTASTTPVAGPNQPGPHKTVVRSGGGQTWTDPTLLEWDP AHFRLFCGNLAGEVTDDSLLKAFSKYPSVQKARVVRDKRTEKSKGYGFVSFSDGDDYF RAAREMQGKYIGSHPVLLRRAMTEIRPVVAGKGGAKGNKKGGNAAGGAGGGKSLKPGG KAAGGGVQKKQAKTKGGLRVLG UREG_01088 MADQAVARLAGINVGAPAHIPPSGDFGLIGLAVMGQNLILNAAD HGFTVVAFNRTVSKVDRFLDNEAKGKSIVGAHSIQEFCAKLKKPRRIMLLVMAGKPVD DFIEALLPYVEPGDIIIDGGNSHFPDSNRRCKYLKERGIRFVGAGVSGGEEGARYGPS LMPGGNEEAWPYIKDIFQSIAAQSYGEPCCDWVGDEGAGHYVKMVHNGIEYGDMQLIC EAYDIMKRGLGMTAAEMGDVFEKWNKGVLDSFLIEITRDVLRYNDEDGKPLIEKILDT AGQKGTGKWTAINALDLGMPVTLIGEAVFSRCLSSLKQERVRASKILQGPKPEFTGNR DEFINNLEQALYASKIISYAQGFMLIQNAAKEYNWKLNKPSIALMWRGGCIIRSVFLK DITNAYRNDPELENLLFDDFFNNAIHKAQPGWRDVVSKATLWGIPAPAFSTALSFYDG YRSHDLPANLLQAQRDYFGAHTFLMKPECANERYPAGTNVHVNWTGRGGNVSASNYQV UREG_01089 MPARWDGASIVVADTVVISKPYRVEDCRSLMPENGGLEMERKKI ELRNAFPDPPRAADSNPNASCPGGASASTPRDRDRDLRAAAVAVPRSNPRSSPQSNAP ASGQRKGG UREG_01090 MGVDPPQTQPWRSEESAPGTCHQTSSTRSSSSRDRPFESRQPGP ECEEALGIEQDGGGARDGKSEAVGATATTTVASGPEADTAERVLFLAKDSDEGSCSEM DYAAGSGEDFNDDEEAGLTTQQRKKRGLMRKKRRDDGEGLDVSLSVAQKHLADKHVMR RLSVNVMFILLWYLFSVSISLYNNWMFDPNHLDFSYPLFTTSIHMAVQFSLASFLLYF FPKLRPRNPAAPQAAPSMTGNAPNTSPVVTKAFYFTRLVPCGTATSLDIGLGNMSLKF ISLTFLTMCKSSTLGFVLLFALILGLEAPSMKLIMIICTMTVGVVMMVADEATFNVIG FSLIIASAFFSGFRWALTQLLLLRHPATANPFSTLFFLTPIMFVSLVILALLIEGPFE IIAGLGLLAERFGVLRAAAVLIFPGTLAFCMIASEFALLRRSSVVTLSICGIFKEVIT IAAAGILYDDRLTLINLAGLVVTTCCIATYNYMKITKMRKEAQKDLVEHPSEMDRESD EDETAHRDILDGQGSGLLRPTAGSRESSPYAPSSSRSRRG UREG_01091 MPFDNLLDRYYSFFHDAHPCVLPKRYLLDRLEKDPLSFEALVLV MRYIGSLFDPSTQSELLEQEMLQALAPTLNHQVLLTGYHVQSLLLYIITLYGCNKIEK AAELMEKSIQIALGLGMQLEEFAVQHGEGDPVLEESWRRTWWQLYIIDSYITGGTRTF PAKTSYVEITALLPCEGDNYESGF UREG_01092 MGALKTLSEVWPLGRRTYTEVGIIAREILALADNDISPWTRPAS FDFAGNGEFKDAVEMFDVYNIFDEPEECDFLDDLRRR UREG_01093 MVKKNIAIAIIIIVLFIILAIVGFAIYGIKNQFAMFDRSRRAID EEEYYKEFLGVFETGGGRYEEYIYDLIDEGHDAALEIENDG UREG_01094 MKPALAYTFVPWLASTVFAQSTPFDPCPDQCSFAGSNPSNWTYL HGESALRRCAETTLFDMAVYTPIDNSDTHITFRACKASKAATTQDINLSVSPFAFGLH QRRSSTSTSGCMRGAKMLRNKSDVHLLRWTGNDGRDQLEDIISAANKLEDLVKSEPDC SSTVIFAHSGKAVVGLYIGEEIHKPTAASIIKQFVDNIERNPSAGKIAVQLCRDSDDD APNTWILGLYADPEGDITGAQEAVRNWSDAKCLSGFNKKETWKGVEISMRQATDVPQI MALASGLQQSDTMEKRTPGLARDLHRRAPCRAIQVAAGDGCYSLAQRCSISQTQLKDY NKEVSNFCGTLKPNQWVCCSAGDLPDFSPKPNPDGTCATYTIQPADICFSIAQTYYLT TDNIESLNKNTWGWTGCKNLQVGQRICLSSGDPPMPAPIPNAVCGPQVPGTARPTDGT KLQDLNPCPLNVCCNVWGQCGLTRDFCVESPADTGAPGTSKPGANGCISNCGMDVVNN EEPPESFSRVAYFEAWNKDRICLHMDVTDIDTDHFTHIHFAFADLTPEFDVDITRVKD QFMKLKGMTGIKRILSFGGWTFSTERPTYTIFREGVTPENRLKFVTNVVNFIKDHDLE GVDFDWEYPAAPSLPGDIPPGTIEEGKNYLEFIKMVKQRLPDKSVSIAAPASYWYLKG FPIKEIGETVDYIIYMTYDLHGQWDYGNKWTSEGCPEGNCLRSHINITETQSALSMIT KAGVPANKVFCGITSYGRSFKMAEEGCTGPTCKFTGSALVSDAAPGVCTNTSGYISSA EIRELIKFGEDFEETGIKTKGFFDDESHSDILVYNDLEWVGWMSDETKTVRSALYKFL NFGGTSDWAVDLDRYGGTGVPPEDEESHYEPCGEADLDGGLEALERVAGTSPRRCVNR WTVELLKKKLVDLMAKYDEVNDGYDSKFASYSRYMKVSGEYQFLTFVDWETGPGQAFF DCKFEGDGNTYNGPCPVPVHLHKKLSTDFTLEYTLKDKAGFLEAVVNETGIDSDVVEF VNTEHIVPCDYPTDCLPTTLEIMGGPRLMRDWEPPNPKDIIVGAFKNLPTLQTELIIG ALQIGTDHWEGDEQDIVQVLSMPVFMMVQAIDAMEETKEIAEEIEEQKKKELFSLLIA ALLFFIPFAGEFLAVAAGLARLARLIRIAGEVGDAALTLKDIVENKELAPLAILDLLA GGKLRGPKSYRDAADVRRSMSAKDLSKMGDVFKMHDDLLQNILTKSCSRT UREG_01095 MTISGTRGLRRNEKEVIETAISPSYLRGRACRIFASSLRGRRLV SVYFDSRQPLTRYAMKSASLLFILCLVTGALAEEFAPKFPSARGACEVAWQLFAIHAD TLAASRTKTKPKFIQWYFGDRTNFNEVTRFLHPHDTELPNIFPSGQKLDAPLDTILQQ LIDARATGPLFYGRVFPGVSHPEFLRAFSTSIHDVAQKLDLSDKVEKHLHDNLHGSLK GIVDARKADSDYYLRRELHGAAKEHGKDIDLKLKEFKLADETLSTLDWDATLRGYKKE NELDETGKWLEEQYNKYLTTGSPYELSMRVGHLKSIQDFKEALDIQMGVGCSRK UREG_01096 MFAEWWSMQPDMPDEAMTLSAETPEEHLPYLNPHWADSETYLEL ARRKPSMMPQIARACIVIGNPLVGKAIDPTPDWFLLYEAERDDRNPFFREDIMRWTRD MAIDLSETALERDAWKDMRPGTIHAWRGYVAASPESVRWTVFDHQPETWFYNERGVNM SEFGVVDQYAGGMAGNGERRDSAVYYAQVRDELSPGCRRKVDSQKMTGKITT UREG_01097 MSDAGAPESDAGKEPETKEDSQELNASGQKVPAVKDKHCRYCRQ LFTSSSLGRHLDQYLFKKKPDGIHDVEEIRRLRSGITRRTARNSTKQASPDASSTKRT PDPHSIPPLQLNPKGTGKYRVFLNQPSWHATGVINDIPNASVSQLKIPATPLERFNIL TDSNPETARALELALREVLDSIKAATTRKSSTLSPFDFDLQSQTFPSLCLQALPPPPS LFSTHPFSSPDSFPIEPPTPNQRDIVQQALRAKIQQWKLDKLGSAVSTAPGASQYNAG SPNSDAEIVERTSQQHEEMMIRHLDLSLRHWIALPPHEQRNLWQLEITRAFARETEKL KKVEQQLERTQQEANQLRAQVEKLTSCQWPREFAIFPPNLLPLAPEVARELDEKESSM NSAESTRWDYDHLVAKWKRVVMHDKSMGRSGVGAYMDPILERQHAASATKNLLQKLPN ANNNGNPSSNRFHMPSPSQQSNPVSPESLNQDRQPANPSTPYETRDPNDVHEPFRPAK RQRIGNHQSKASYHGDEAAAHSPPQPSHPTMHSMPSSSAHSRPPLTYSFNAPSPLPPP NSTGRNTPNFSSHGHRPQSVRPDSFNGTPGQLPHLEQRSPAEREVSNAMMSLHQEPVP QHPQLPPPPLTQHHPYPDGSQRTMPMNINSFPRHQRGVP UREG_01098 MGYVGWIGTFQGIKNDSRRGVFESELVKELRALGAILHCKTAVP ATLMIGETVNNIIDYTWNPANRNLSSGGSSGGEGALIALGGSAAGFGTDIGGSVRIPS AHNGLYGIRPSAGRIPYEGAANSMDGQGSIISVIGPMAKSARDLKLIFKAVLSQQPWL HDPMAIELPWRDDIERETLALINKSRQGSGALAFGIVYHDGSCKPQPPVVRALKIMEN TLTRLGHKVIEWKPPSHARAAELAVCTSFGIQLGGQRLIFFLQKHTIYSFDNGADARN HFGLSGERVAAFDYVYENNNPQLNAQEIAAVNVSKREYQKEYMEYWNSTASQTGTGRP VDGFICPVAPYAAPTRGGSYYVGYTSFVNLLDYSAIAIPVTKADQTLDVAEQNYIPLN EADALVYQSYHAELYHGTPVGLQLVGRRYQEEKLVMLGEYIGEELRKAQFPTASQSSL UREG_01099 MGPTGQLNPHERAPAAIRELYNECRRLSPSQIDSHPRILDFKGL DEDHLPNGVILEKRIPKSTLESASPDHLVPVAARVQVELFSRLLHRDLSDESHQTNLH LHYNVSYPAVACCPFETERATENLQQKIPGTGKPSFFQDDPTRLLSPKDPSIHRPLSI QAALNSKLRWMTLGGQYNWTSKEYPPGPPPPFPSDIGILLHSIFQETTAEAAIVNLYS PGDTLYPHRDVSEECDQGLISISLGCDGLFLVGHENEECTVLRLRSGDAVYMSGASRF AWHAVPKIIPATCPESLEAWPGGGRSDGDGDALDHWRGWMAGKRINLNVRQMFKDAGT DRKDDCHASG UREG_01100 MENYQKIEKIGEGTYGVVYKARDLSHQGRIVALKKIRLEAEDEG VPSTAIREISLLKEMHDPNIVRLFNIVHADGHKLYLVFEYLDLDLKKYMEALPVSEGG RGKALPDGSNLDMGRLGLGDAMVKKFMAQLVEGIRYCHSHRVLHRDLKPQNLLIDREG NLKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSIGAIFAEMC TRKPLFPGDSEIDEIFKIFRIRGTPDERTWPGVTSFPDFKTSFPKWRREDIRKLVPGL EESGIALLEAMLEYDPARRISAKQSCVHPYFRSCSSAYSGRGRTVNYH UREG_01101 MPLSWPPSNPGDNIIFSWHGPGSYLAWLLTAHATSLSSIRYAKC RKGNPETRARGYAAVPVRCPLERFEEYPYEAIEHRPSTERVLVYCVTQLVFGIVLLAK RQSLWPGNSSRLTDLDQRKSVHGAPDSPKHFPSLLSSTRRGHDGAMRHLASVIHFLFS NARLAQTHTRFSVCRFPVRWTSHASHPRYRERLEQVPVGSSGSISLRVIEPPNVPAGQ KSKVLLYLPPGLLFTHSDDVSRAISTAGQQPSIDSAQLLASTSLCTTVTVNYRLGSQI DENGKQTSFKFPIPVHDTLAGFDWILRNLDPSSINVFGKHIGGSLALMLALTEPRLIA GVAAQDPICDWVGLDDYCIIESGERDVLQREKDFGAFGAGDHYASDHKKRGRRKRPPK PVPTDLVSLLIARNSLFHAPQNYFDSFASPTLFLRSSGKYCPTRFPAVFTGPNYPIPV VQPPEESDMWVLTASILEDMEATRNVSESAKHFIRRRKTLARWPPVGSDYGAFSNTGY KNGASNTVVLPNVRIFVHSNLTPAEAHPHADSADTLHSKLEKMALDSDSSAASENSEV PSTTNHQSRQSSPRGSLPDVKKIGEETVLAAQGAEMVELMRSACFWGREKGFGESRVK LIRVPYDHASTRAGIKEGEEDSSSMALPECGVSARNELGRTEQRPSIPIEEQAGEWFR DLGGTYPAWVGGYGEYTLSKVDSG UREG_01102 MLDENLPTFYIKPSSEKPKYQSIYYFCQGGEEPTPAYSVRRLDP ALPGSKNRYAVAFYDAFCPDILYAEVLLIPKWTQPSLSQEAIRQNGGIPPPPEPILPS EFIIQLYNPEQQVLVRHKPKSWNAPASWDFEMPQQTFRRPSASAVDRTLNDPAASDVT PKLRFSWQKDGKFSKDLTCYLTGKTAFLDDARKRSKEPDITISIFKGLKEVTLYEPNF YRVEMEDFKGLEVVLLLGAAVIRDVYFGHLKTSFNITDPPTPSIIADSKPSSTAVPVN GASVQSNLAGRAQSSQQNPPLMPPRQTAKPVHAARPPPADPRSQWEIDAETKRLKQQA EIEARERRQKEKEAEKQTKKLLEAEEREKRRRQAQIDEETERLKKLYGKEDSKSRKKK STVNPQNSARPPPSVLPPRPSQSNHQGHPSISISTPSWFSSSTRPQPPQPNPLVAGAP GLRPPAPRLKERRSIFGIRFGDNDKDYANVLSKKRSSMF UREG_01103 MFGRFKIFKLSSDESKSTSQKPPSTSTSNRGPGANRAGEVKIEP KGLYIVLWNTGMPDKYHWGLLVATDHESGILFHQTLIGFDWKYVVETKNVQYSKSLLV ALKVGAVESIDDQWIAAIKTCVRETKVTGLFTCRTWAMAALFDLADGGFIGLSPEWPK IQEIEQEAKDLGIHAYYGGVKTVTRSDLTTA UREG_01104 MDEALYEAETDVDRLLRSARETIDSAQLSAIEHFQLGLLLTYTT FKAGAAQLILKAAHEPGKCVEDNLRSVNRAFQTLAQKCKPNETPCPISPRIPSNSMAV LPNDHEVPVEADAALRERENGRCCVSGLDSGLQATYIVPPQIVDDPDLLPGGALRPHL DAVLSPETSNELFLLLKCYSQENQLKNLWLMSQPWNVRKSHYGDSSVTGLYDEKFYAQ PSSSDETRLPLPEGFLLDVQKAFASIRRLQSFEEQVQNGLPKVPEGKIGVSFLRALAI ILPAFLLSAIYNLTVKAVAPSKRNWVARVKFLPFGLCLKYGGSVSKNEANALLLVEKH TTIPAPQLIDFTRDKHGTGFLLMTTVPGIPAEKVYYLMTYEEREQLAKDLGKCVSQYR RIKNCHRSLICDTTGGPITDNRIGHIPYGPYATKTAFLDDLTEGLEELRRERPLSLLY EKEHEICFTHSDLHLANLLLDGGRLSGIVDWENAGFKPEYWDYTRAVWVNMSSKRYAH QLRLAFDKDYQDELEAERLLWRLKPEKGTASAATEIPGTNDLPKYGVPCLISRMATQR LRISTSPLAWAIVNELHQSRYSHHIHLSSNRSPYNSAAGCSMHLEPLLPGSTFWHTTA PITSTLAQEPDIQAATNPRRSLASTTAIPWAHSMHEPSAWAAIKFAVAHPEAAALAAD PRPVSRVTKRQPRPNPRSGCDKLMGSTDQTSDGGSYASLSFLSPPSYAAKRLACLVTM HPLASARSS UREG_01105 MGSLTVQNKQTRLMAQARASATFNPYKLTCIIYKDEQTVLKRRE AFRRVEKATGTADQSKLPREYADVSREGVYREGVRLGTASFVDGLLHDHDFFSGMDQR YTLSNCTPYGLHYMMFIPTIEEQATSEQAAYWLPLARSGTINGAYCQTELAHGTFVRG IETTATLDTKTDEFVVNTPTFTAAKFWPGAMASSCTHVILMARLIIARKDYGVHPFII QLRDLDDFSPMPGVELGDIGMKMGYNGTTNGYAIFHNVRIPRDWLLMRHASVDRDGTY NKPKHDKFAYLTMLYARATIAQGSGFKLAQAATIATRFSVVREQGLGPNGEENADGSE TTIISYRSQNFRLFTIISRAYAILFTAPTLEGLYASLRNVGETQDFGSLGYYHMLLAG LKAWNTQVAADGTEDARKCCGGHGYILTSGLPSIVAEAAAPATFEGENYVMYQQVGRY LLKCLKVLKVGRRIDPRMGDLEKAFNMYAGGDEIHYCQAKNEQFLDPEVQLSIFEHRA IRLLIKCDEAIEKSQRNGGLSPAKAWNQHMMGIIAAARAYMELVVLQEFIHGVSRIND PAINAALSRLRSVFALTMIVHPQSYDAISFVDGNYIRRDQLAQISSCVNYLLDELLPD AIALTDAWDFTDASLCSAIGQYDGNAYETLMSWTRQLPMNKDLNEKGSACMEAWERWT KLALKPELRAML UREG_01106 MGSQKHRLKEALLRKNGGHLDDSASVVSGAFSMGEPINIPPNVV SPETIVEEEFSAIVDGMKETSIGASDPVTGRPHRPSQSQTSDARRPSVTSKTDSDEVT VSRCLFCNHNSTDIDESISHMHKSHGLFIPEQDYLVDLEGLIKYLQAKVMQNNECLYC HKLKTTTPGIQTHMRDKGHCMIAFESEEEMIEIGQFYDFTSTYSDGEEDSSDQPRNGA ADGSAEEDDGWETDTSVSSLDSDELGAVPIDDRSHQYLKLSKHKHHSNNPRSHRNADG FHSHAHEHTNAVFYSDFELHLPSGRTAGHRSLARYYRQNLHHYPTPEERLSRQQAIEA GPSGESQEGEEAADSQNKNRALISRANGGIGMLGATSSQRREVQAAEDPLLQ UREG_01107 MTILQGSARGFSDPGHSSAGGDRALHFSKLPHGTPDVILKNTVT VNDPARILSWHRDERQRNFNILVRRYKNQLLHGCKEPNCTTPTCLSFRRRAANAPFRN FNDLSARTLACYLASQDDPEAGLCRNAPAADFVHDGLRWTNERPPPLLSSGRRKSFEH MHRDHRPRATNGEDLNPNKPRRRSNEHLTSDNHGCSGSPDVIASDGAQTQSQEAPQPK NLKDPKSITQNLFDTLSVRMVEWLPLRRIPGSYTSRSLPKGGDSPAQKSAPDALSTPK EPPRKERPTNTHKTAFSSDRLPPKTPSISSTAANMTPTTLEVKVPGQPVKRLSLGELD PWKQSLRPISDDIPKTDKKSSRRLATSNTPTTASRNLPSPPPIKHRSQKHRRRECETE EIYGQLSAEQPMSPSARPRLYSDGTRSPSEPFSPARSPPQLPTLHRQPQLGPEPELQI ESLSILSKDTVDSLEKMMFESEEDEATWKNEMFQLELRGYTEPWDWQFATPRQRQIFP FVTQSLFFVLSNPDQLLRSFRPTSEGPGLNSRPNTLVHLDTQNLESMFRKLYRICPWE ITLNSLWMCLEKLLIPPKEFSTSSKNHRRFIWRSTASRAALRSSESCHDLRPPQNHVS DSDAAYITVIALFALSSSIPRLDPQTWQTMRRIRSAGTVLPDSELRRTSAAARRLLTE AADKFEQSLALRLMSRLARVISARLAFYEISKLRTTSIPDLGKPGSLSPLDLIIKYIQ SCITPTPGSLKPVSSVHEVGRRPTMPMVAVEWLKSLLLNEWDGRPELLKSGAAGGALQ LLASLYQHRAKLGLLPEDFHTLFLFERLDPMEMPVQWLTFVSNNRTVHLLSYPFMFPP SSLVTYFRSLNYSVMSKSYETAMTTSRHVTQTAFSNTITIDDDIGLLARLKTSISSYL CLVVRRDNALMDAFNQVWRREKRELMRPLRVSMGMDEGEEGLDHGGVQQEFFRVVMAE ALDPAYGMFTLEGRDHISWFQPCSFEPLYKFELLGLLMSLAVFNGLTLPVNFPLALYM KLLDRKVKKLEHIKNGWDDLERGLGELLSWSDGDVGDIFMRTYEFSFDAFGKIVTVDM TTVDRNDPWPSAERGVTWNRTRFKSFAASSDSDATENFDLPEHGMSMLQDSAGDHRSN RKDGHLSSILKGSSSKPRERRVPSPPEHEAPLVTNANREQFVRDYIFWLTDKSIRPQY EAFARGFYTCLDRTALSLFTPEALKTVIEGIQEIDMDELEKHARYEGFQPQDQFIKHF WAVVKSYTQEKRSQLLEFVTASDRVPVNGISSILFVIMKNGSGDERLPTSSTCFGRLL LPEYSSRAVLEEKLDKALENSKGFGVA UREG_01108 MANFSSFFVRSTYKVTKKKPSPNNYTNVRPSPVWPGTGWANTEF RTYEFTSTIHDDDLYGHKIDGDNASIMETVDSRKRRGRAGEPAPRELQKQFFIQAMTG WENQIIQNAAKEYEKEEIKQKQPIQVDSN UREG_01109 MASARQSSRRLLGSLSPRRPYSSQAPPGARLNLPIDYKATPLLH HSAATLSNNSELPKNASTKRLNLYQSINSALRTALSADERVLLFGEDVAFGGVFRCSV DLQTEFGSERVFNTPLTEQGIVGFGIGAAAEGFKPVAEIQFADYVFPAFDQLVNEAAK FRFREGATGGNIGGLVVRMPCGAVGHGALYHSQSPESLFTHVPGLRVVMPRSPTQAKG LLLNAILNCNDPVIFMEPKILYRAAVEYVPTESYYLPLDKADILKPGKDLTVVSYGQP LYLCSEAIAKAEKDFGASIELIDLRAIYPWDRETVLESVRKTGRAIVVHESMMNSGVG AEVAATIQEGAFLRLEAPVKRVTGWGTHCGLIFERFNLPDITRIYDAIKQTLHY UREG_01110 MGFHDLLKTIALFILVAGMNMIVECPLLETPPEEWTFVDFEAIG LWLFNWVMFIAVAIYCLSGLCSGIYRLLLPLIKAVGVVARTVTTLASECSKNGAKGAV FLALNMARVALLRPWASRFITNLVLGDEIAIVTTLVLPVALYVAKVKLGGPLFAYISG FIAGIVTPVDVDLEGCSYSASSTNQYKQNRNLVTYCKGSDDGFDALTWWKELQEDATS TWDFYLPIFIYSVAAMFCVFILAVLLTNRETRGQKSTQTDSTGDLKEDSTGLSEKVTQ QLARIQELEGCRALSESTVEGLKGQIQLADRKVQQQMIELEGLKLAKRELQAKCQTLT ERLCLGSHDSDLDQMHQQLGQEKGLRLLLTYGYVALRQLAGTFAESGLGEHLLKFIRG IEHQCESLATAGAESLEEVQKTLGSRLLALLKEAAEHASEIRLTRGNNTGRFANENAV LLKRKLRKCKRHMRSVKASLAAQMAQAGMVQGTNWLISQERMNEELDGYKRDILNMRR ELNEREQKFMFYEQQLAESRALTESINQGFEKAIAEKEAVLQKLREEQANSLQRAEAL QEEIAQKSKLLESQSQELTRLSESEVAAKGRSLEFDIKLGTANQQFSDEGQRRGQFQD QLDAVTRQLSAKDRELTQVRAISSLCIKCKGIEAEMRELVKKHAAERKEAGSARANAV ADLHVQIIQQEDTISDLRGKLQHAEQELGSSIDIVATQRIEELELQVENFKVQEQQQS GTEMALRKEIQDLKDRLEKVGREQSSRRAVGSVFADPNKARANKLQKDVLNLRQEAKV MDIMRDRLAEEIRVLKSKYEPDNLNCEPETPLTVTELQQVLQAEKESQDQLDA UREG_01111 MGQDEIYLQVIKTSGEGPEKKHAEKVLNLLKKGKHWVLVTLLLS NVITNETLPIVLDRSLGGGWPAILGSTALIVVFGEVVPQSICVRYGLPIGAWMAPCVL TLMYIMSPIAWPIAKLLDKLLGVDHRTLYKKAGLKTLVTLHKTLGSAGEQLNSDEVTI ISAVLDLKEKSVGSIMIPMEDVFTMSTDTVLDESMMDLILSQGYSRIPIHSPDNPENF VGMLLVKMLITYDPEDCKQVRDFALATLPETRAETSCLDIVNFFQEGKSHMVLVSEFP GEDHGALGVVTLEDVIEELIGEEIIDESDVFIDVHKAIRRMAPAPKARVPKGQIVTEP PEDLISLQKTDNEEVSSGQRVSESSSRLDDLQVSRRKQSTTEVGSPPPPTFKLRKSHT VDSNHGPEAPIIQRGTTPEIREHLKHLGPSNLASRPRQTRYNNVKIKPGGSTPLISSE LDLRLQSPEPQRRLSESTSLIGGPGGSKVISAGKTASGGVRALRAYGSTTDTPTQRAS TQDAVPTVSRGVNTPSALLHPAPQYPGHRQDYLSPNNEPSSNSGTSSPSPISTPYFPR GPVRSGSITEQVIDSNGIRKVILQTSDSHSASDEEVKKHQRPSLLVDRSDSAGRIKSS VDSPATENSEDQFGQDEGNDGTQDSTKQDTKKKKKRRKKRKPAGSKSGDGANGENQPL LG UREG_01112 MGCCGARRSEDDDLAHVKAQQKWDYITLSDFSSTSCFAPFSYII LYLTIFISIAVYGVDTFTAINLLAFSRWASQIKPRIPFDISKWIFAGCIILSFVLLAY RWIRAVRVIKSGGVAQSYLDPIAVRIQSTRMGSNGQGYRRFLVFAELTKSKKGADYVA LFTYFNFEAWLRVIFAEGPRQVINGITLYSVMELNLIPTGENAAPEGTSPVIQFFNNV EALAEKDKLQATILFAMLFTFVIWVLSILSLALSSIMYLLFLWHHIPTEDGSLKAYCR RKIDTRLERIVKRKVNKALAKGLALQDRKMADLESGSESVKRQPTLPTLDYMSTTSAS DAPPMPGLSRQTTATTLPPYSRAPPSGSAVQLGLDREPALPDMKWENRGPPMGPPPPG SRISDDTISLVDNASGFANTAPFEPLSIERHGTPFSAASDFRPFMDQGRRTPGPPSAA SFGRPPPRMKTPGPREGYNFARPPMSATPGPERSVTAPAPSATPRPGSYRNFTRPWPA PTPIQNQLPRQSPMPQTLDGFSSPRRTGTAPSSGDSLSFNPNHRF UREG_01113 MAPAGRNASDPTTIAPPLEPRRWPPTTDPHESEQPSTSARTPRS ITHNSGALTTPPANGFVRREPGAVEDERRARTVMNGAAARPAAPRELAASPEDDGTDH VGRSTRVMKKLESHGLESDARQGAVLNMDGDRDEVVDGGEGDATRRSATVVSNGGMDC ERVRKGDIDILDTETAGREGLPQLSDYNQRPAGKNFLFETTADRIGKSTVTSTDNTTS NGAAGPPQRTAVQIENSTVAGPATASAKRHLSDRVTPSSPRARRDQTLKLSPAKIHEL TSAPDSLCLHTIPTDFDHKVSNGTTALAGENSVAPSTRGQSEDGDKFAAEVNTTTMGL PVNGKTGTPSGSGRRENGGIRRRKSGNLKNLYLEPPPEFSGSPPRYQSGRQRSQTARV ASTPGTSSRRLHSPFGADRQSAAWGSKSRADRRAPGRLNLEDAVKPVQPLTDPPSPVP SSIPLPPFSIPTYLQLELSSDRPSPLYIHQSRAKDFPYESSRAKLERLQNFLLLPPSL EQVLIFGTLACLDSWLYSFTILPLRFLKSIFILLQSWVINLGLEAQYISSFIVQGLGR VWRRRRGNISAAKRRKVKRDGDDSHLAKDTPSSPPSPVADDLFVDQDMKGRVDENQRR AYRHRRTKSVPSGLQPDDKADILKGLLMIFTCTILLYFDASRMYHWIRGQAAIKLYVI YNVLEVGDRLFSAIGQDVLECLFSREALERKPDGRSKVLRPFGLFILALIYTVIHATA LFYQVMTLNVAVNSYSNALITLLLSNQFVEIKSTVFKKFEKENLFQLTCADVVERFQL WLMLIIIASRNFVETGAITFGNALAPFARSTPTPSTNSTPLSNPPSSTTSILPQSFTL FPSSIFASLSSVHSFLPTIGHVLGPFLVVLGLLYERICGSGFESTPGFAYHSAVLPLF PCLHPNIPNVLDGMASSTAFSHDSDKHNFTDFDT UREG_01114 MAGLDPGASTSNDVTLSPTTASQSVSLDLANLKNENAVGHRVHA VRSVLALVLDDECVFAGLQGGDIVAWSLDTYELVLSVKAHEESVLGLYLSDDKSLLFS SGGDSVVNVWSTSTFERLYSIYSHHDVGDVFTVVYSAELKTVYCGGQNTSLQWCNLLK DAHPPPQIAAHPSCRTHKFFDSRGPGGIAPPARKDVQPQDALYDGQTLTFKKDQHRLF AHHGYVYCMLLVRGLLECQSGGEILFTGSGDGSVKLWELNQGSNVAPTEIASLQNGSE SVLSIAVDGPFLYCGLTGGAINIWNLDSRQIIKTITNHTGDLWAIDIIKGIIISGDSD GIVKDKTGFDGHQKFNSRFEEIGSWTAHHGTMLASAAGFVNNRWIYATGGNDNSVAIW DLTEHPVDSHEIPATSNGAHTYPVSYLLDELVNSLAKFVGFKTISARPKFSGECNQGA AFLRRHCIYLGAQTNILTTGQNTNPIVLAKFPASSRNPLNRTVLFYGHYDVVSAEANQ AKWNTDPFQLTSLNGFLYGRGVSDNKGPILAALYAAAELAQRKELTCNVVFLIEGEEE SGSPGLCRDSSREQRVDWPCRLDTPGKHHHPDLHSGIDGSSLLDEPLKDLSMLLGTIV GPKGKINLPGFHDPVLPLTAIEKQRYDAIAEALLPHHPEIEDVESFTASLMHRWREPS LTIHSVEIPGCKSSPTTISRKAKATLSIRLVPNQDADKVAEDLINYAQSQFADLDSEN TLTVEITGKADPWLGDPDNELFETLSRAVTAVWSASSESRKHDNYPPISSGHLTNSEL SRTNSSDSLASTSKIERILTSSSSAPKPKSKFGQKTQSPHLPPIPTSSTLTTDAIEPG ACQHPQGSETAESTRKPTPKAIKPIYIREGGSIPTIRFLEKEFNAPAAHLPCGQASDN AHLSNERLRGDLSKSL UREG_01115 MAPFGETIAVIDKSGKVVSTSKHLFGVFKEARSAYRARKTDIQA EHRAKQAEREARRALENFNLHDSRSTASGRRHPSRSKSVVRHGDQSSRHHRHTQRSYE QDLHSVASSRRSRHGHGELARRHTSAEIAIDQPPHLKPADRSQSEPHIDMNLAYGEFH PSALERLQPPAPEGELDGLVGKVKGLLVEVDCAQHSAAATIAHLQKHPDAMAAVALTL AEISNLIKKFAPTALVALRNSAPAVFALLASPQFMIAAGVGLGVTVVMFGGYKIVKHI TAAKEANAIQEPQPEMDEMLEIDASLSRIETWRRGVAESEAESCGTSVDGEFITPTAA AMSRLHLPQRVADLREPAAPTEVSSSYSRHSRSSRTSRRSKGSRSGGDKDKKKGKEKK KTSTQLKLLFKKF UREG_01116 MLKYVQSTNSLLNGQGAGNGKAEGQDDKEYAGCSALDAVVHAVS LMEDDPLFNCGRGSVFTTAGTIEMEASVMVCSVFPSSSSDVKEADQMHTARIKRGAGV MMVKNVRNPIRLAKQLLLRTGYSESDGSGGNMHLQLCGPHVEKLAKDWGLEFKPDDWF WTKRRWDEHQRGLQGSRVTATIDHDAEQESISCLASRGLGDEQKKYLSQGTVGAVCLD RWGNIAVATSTGGLTNKLPGRIGDTPTLGAGFWAEDWDLPDHLGSNHDGRGSPGHRRA VAISGTGNGDSFLRIAAARTASAMTRFLPSHVSLSEAVTSISGPNGELQRSAGDRWGK TGEGTGGMIGIEVEWDDRDKDADKRANLRKGKIAFDFNGGGMFRAWIEEGPHGEDQAR MMVFRDEYQ UREG_01117 MAHAALWLGGSLCLTVLLVSTSSSFQSYTWTFLFLIPCPPGRSL WNGHHEELESLLPGEMIGRWIQQVPNDGLLRYYEAGNQETLLPTTPKAVADVLTHKAY DWKKTDITQALLKKIIGEGLLLSEGDVHRVQRRNLNPAFSFRHIKNLYPTFWKKGNEL LQAIEDAIKPLPNDKKVITVGPWMRRATLDIIGLGGLGHNFDCIQNPDSFLPREFEKL LAPPPAAALSTAFRFLGSLLDPTFIEALPSKHAANAKEASRRIRDFSRSLLQEKSKGL KDDHCSEAIDVISIALQSGVFADETLVDQVMTFLAAGHETTATSLQYAIHLLCKHPEM QTRLRDEIHSNIPSPNGTLPTTVSATQIDSLPYLNAVCNETLRYYPPAPFTVRQAARD TTVLNTFIPKGTRISIGILAMNRHPELWGPDAETFNPDRWMGPGKGNTGGASSNYGHL TFLEGPRSCIGSGFAKGEMACLLAALVGRFEFELEDPNKELEISTGVAFAPKDGVRAK VRVVEGW UREG_01118 MPYDIYLLTYPLPPNRFNGSKAHWGIYIPNHPTPNLPQPTQETE DAVHHIGKVIHVTGTPFTGYGREFKRNYHLASTGRGWKKYPLAVVDDKHVSIRSGDDD DDGGKFSVDVTPRDELERQAMRVDPPGPSKEPLNPAVGKRCQEWIRDYVSWLIERKIL TDSAIQVLDDVKAIEQQQQQN UREG_01119 MKAGQMRLLNSPSRHHNRVLLSTSTPFSDTVMRSLLRDPDIELR LIADRDLDDTVCSVENLLYYPDVPQAETYRNRREWMNITAASLADWADVFIVAPADAG TLGAMVSGLTSSLTLTILRGWDVSKTILLVPKMMQLEWKSPITGRQLDEIRTFWPWAK ILPPVLSRFEPPETLVEMPWEGREMFYEEIRKGLGWPFNVSGIEGSIGIGNGAVMPGI IDTQSCDVGQSTPEIPDDRSESTTLRNSTPGRDPHGPVLPPELLTMVFEALNDWETAA AVGVYSKIPMPEHWKPFVPKSDCPTAPVSLEHTILRKPLHEITQLISAAPPWKPLSNL AAHLIFKFSRTDILDYICQSRIDLYWSTPRLSSLPLRVSAVYGNTALLEWWRNCPELP TNDYLPDALDGASRAGFVHVLEWWRNSGLPLRYSERALESASAEGHIAVLDWWKRASE ASAYFDPVPLKIGKSVLLAAQSGKTASLVWWDESGIPYSHGESVARIASTHGHVPVLE LWYRLKGSKIIFDNQVLVGATKNGHVDVLEWWRRSGLRVEFKTCDIEEALEDAVSGAE ERVRRWWERNGLNLGVGTSEWMEVKVL UREG_01120 MSAAPASETTPLLRDNAIVEGGVVATGLPTEQPNDTDVPLADEP TLKELLVIQASIWVGVFFAALDATVVATISAPISSSFNSLSLLSWLASAYLISNAACQ PLSGKLTDIFSRRTGLVVSNILFGVGNLICGLAKDEWVMIFGRVVAGMGGGGLTAIAT FVTSDLVPLRTRGMWQGIGNICYGVGSGLGGVFGGWINDTWGWRWAFLIQVPFIVVST VLVWWKVKIPVKETDVSRWKRIDFLGAGALVLTLVLFLFGINTGGNQKPWTHPMVLAS LILSGVSLLAFVYIEEKVASEPVIPVRLLADRTVLSACLTNWFCTMTLFAVFLYIPLY LQIQGYSTTQAGTRLIAQAVGTSIGSLGLGFLMRLTGRYLFLHYACVLFFTVGSVLFT TLTINTPAWPPFLYLFITGLGYGGMLTATLVALISAVAHAHQAVVTSASYAFRSTGSS LGITIASSVFQNILKSGLWSRFGDRDDAGWLIPKLRNSLDEIRHLPKEMVPGVLAAYM DALKGVFVTTLGLAVLGAISSTYPYPSALLASGRSPSAAAARYCSMPIRALLCWASKL SFGGDFSALRLLAAVSSTSSLPLCLVNSTPRLHLEIWPSTLIDGHADIHSLSLNSVQN VAPPAPNRILNWTDASQLSFRDIDIRTASSARSVQTHPRQNAQTRISTRLARQGDQIQ QKKQAYGSQRISNARASLRQPPALQ UREG_01121 MASPIPKLMRALIQPNAAETRLILTQCEVPVPDKRAGEHLIRVH SIAPCAGELLWPKNFPPPKPRELIPCYDIAGTVVAAPESSPFRVGNEVYARTNYFRPG CARDYAIGVVDELAHRPQHLSWVQAAAIPLSSQTAWQALFVRSGVGGFTSGAWKGKRV LVTAASGGVGIWVTQLAKLAGATVIGTCGPENVELVHSLGAVEVINYRTTNLREWAQA PEKKVDVVIDCIGRKSLEDAWWAVKTGGILLSIFQPPEQVKPEEYTGTGVNSIFFVMS PNRAHLEEITKLVDEGKCRSVVDSVWPLEQFEEAFKRLDSGHAKGKIIFDLSLNN UREG_01122 MSYTFEPSNEKALHRGDYSQRHVAKNKQYTLKIGRSAGVISFAI WPDTTKQKVARKLAHPSSKPKCSEAELIYGFIGIVMSKKGAGSTHEDAPHRIRSRTLS ADDNKGARRRLCTRLAVELGWRLAGICCSSDYGVRELRATDKILVSVAATATLR UREG_01123 MKLSFFTAITTALLVPFSSAAALAGPVTLSYDTQYDNGGLDLTH VACSDGSNGMIRKGYRTAGSLPNFPHIGGAFTVEKWNSVNCGKCYKVTYKGKSVHILA IDHSNAGFNIAKRSMNELTNGQADQLGRIQVTYENAPLSACKIFLLCLFSMNQ UREG_01124 MADHQCAGDQLPHDNNTSILEWWLEVRTQRRLEGTDYDSAISTI RRNCSTD UREG_01125 MHRLNIFLALAVLATSVAAAPLASPEPDALRLKCTPEEHKFCSD WCKSPPGGRKKGGHCREQGGGRLCICTNSLGRRDGHSLEARDDQHRDADGHKHSLRDG HGLDDGHGLEARDDQHRDADGHKHSLRDGLDDGHGLEARDDQHRDADGHKHSLRDGHG LDDGNGLDARDDQGLDDGHGLADGH UREG_01126 MAPSSPRRILFVSHPRSASNLLVRMLGLDEQPKVAQRWLHGYFY IQMWFLADKLGLRTKNVDDWTEHERFEMRKGYQECFNKVEEHLADAEKEDKIVVDKEH SYYLLEPASQFKFHFGADKVSEPEWMLDIPEKYGPNKTRSEGNITLFSDEYLDSWKPV ILIRHPALMFPSYYRAMTDILKMESREIDEGLKKDLDLILSLYCSRNLYDWYAKRLGK SDPESWPILLDADDVIGTPEIVLKLSDIMGLDRDSLLFTWGEATVETNGSLSDRVKRM TSTLSASTGVIQTKIAGDLDIDVEARKWREEFGEEVGMHMERWVRAAMPDYEYLREQR LRPS UREG_01127 MPGVPPDALDQLKKGFKKFFLRRKHKNSDQQDAQPVPAAENQPT ETTAPTVEATQSSPPPPAPASAPVAPTPEPTTAPQKVPATEGDAPPPEPAQAPATTPA PTTEPAATSKPPTEPTTAEAPQEPTAKEPAPVEPPTQGMCASDRRLRGEAQPDNHGML TDYSPSSD UREG_01128 MNEEEEEQEFEFRLFRSTAPDALGGEKQDGPIDTGGGIEKEETR NVPAVHKIRVKLRSPSPSRSAEGGFTVPFRAWDYYFSDPELVMRVFKERFGKSPSGAC EFISKDPKKQERRQNYLEVAVTSDQVLAAANSGVWPGCHLPWRVIHFAAPFSKTSKVP SQTPLSTTDPSLKPKKKKPGKKRRIMLRNRVAAKAAAEEADREKRTRRNREKKIKRRQ REREKKTALQTVEHGTGVDLSESMSLSGNDK UREG_01129 MGICASCLGFGRRDSHDSESARLLDDEIYQPGYSYGTVQAQRHG PDPEDLKREREALDAICQRISDSVIDIWTVQSQPLMASTRSPNPNATASSSRVPSNER NAVHSPISPKPEYSSSPVTSPSRHNREDRSPSPIPGEIAGMKYVPCRDAGQITNLSTI PRHWGEVVVTNRRERKKRPGIIGPNDDVNGQEGLFGALVVK UREG_01130 MKLMSDYEVTLVNDNSESWAPEWQEFYVRFKGPEETPFAGGLWK VHVELPDQYPYKSPSIGFVNRIYHPNIDELSGSVCLDVINQTWSPMYDMINIFEVFLP QLLRYPNPSDPLNGDAAAVLLRDPQKYEGKVREYVTKYASKDAADDAGEDTGEEDEMS SVGSYESGGEEPAGEMDDV UREG_01131 MAIQNVTIVGADGKLGPYVLKALVSSGKFNITVLKRRSSTSKSN YPSPVQTTHVSDDFPIDELVRTLKGQDAVIVTVKGSLADLQKRIAGAAAQAGVQQFIP ADFGSCDSQEKLVCELVPLFQRKADVREYLQHLASCHAHFSWTSLVCGHFFDAEDLGF LHLDPNTRKADILDDGETRCSASTLDQVGTAVVKILEKSGAEEIKNKVIYIQSFCASQ MQIVEAFERATGQPWKINRIDSGDFIQQEKAKADASGDVGSEALVWVLGTLYANWEKK DGFANKFLGLNEEDLDKVIAGFMPSV UREG_01132 MPSAPPTPSPDSSKPSSPRSSSRGLGLLSRQASHTQFGASRNIN NAPESPVATAPIPDEDHGAELPLTMTASVILTGLPKDAHRALTDVEAIDAGKVVRFLR KKLDCRESDSVFCYVNSVFAPGLDESVGGLWRCFKTDDQLIVSYSMTPAFG UREG_01133 MAFRSPFCAMRSGRSLIPIARHWTTPSSPSLAFAAAVLPSTVPQ ADQRRHSSHSPMGATPINPRKKVTVKTLQSLHKKNEPITMLTAHDFPSAHVAEAAGME MVLVGDSLAMVALGMADTSEVSLEEMILHCKSVSRAVTTGFTVGDMPMGSYEVSPEQA LQTAIRLVKEGRVQSVKLEGGSEMAPTIKRITQAGIPVVAHIGLTPQRQNALGGFRVQ GKSTAEAIKFFHDALAVQEAGAFMVVLEAVPAEIASIVTKKLKIPTIGIGAGNGCSGQ VLVQVDMAGNFPPGRFLPKFVKKYGDVWGESLRAIEQYKNEVKSREYPAKEYTYPAPK EDVEEFQKFVDETTG UREG_01134 MSNRRAPLANVPNAVNSPHRGGLLATKRPRPLNSQADGSVAHPP LKKQILYRGDGGARSPPRKLACQTTDSKLFTRKNNAQPTAFERKLAAAREKQNVQVMS KPKKNTAETAESIRQWQRHYQKAFPSFVFYFDSVQDDIRQRWGRQVCALGASDEVFFS KDVTHVVTTRPIPPELQSQSFETTTATEEHKGCGETNTVNPSLLDNSTETTQSRRPRQ PYDKRPLDGGLDILHRARQLNIKIWSLDKLERFIIAILDRDLPQHGHFLRNNVAAVNR SKAEPDLSTVLRNEKLNGPSDRSSLLGARDLVPFKGPYIYVYDYHGQTRPVLVRDYPK VARKQDGVWPQFRSASLGKCPFLEDSKETVQAKKIQQEKQLKLAVKGKPVLTTTVKEM APPPSFEKPALKPSQIDHRRKVTENVESARPAVGQAGLPLIKFKAGTGPVPSLGACSL GEIAASGIQPSNITSAIRSQMISSTAPVSGAKAGTSREIHELKRKVLEKNNGILLNQN PSNSTTAVGTRVPTTRASKAKALENLGMIPEDRKVQSISKANVRQTTAPKRDPKPGYC ENCREKFDEFDEHIKSRQHRRFAANPSNWSELDRVLGALQRDKIPARIDPESNWDIDD PRHYGYY UREG_01135 MSTGYSLSRQCYRSLYSISRFYGEKSFISRKPSRLRVPRRYHSI SAAELQFGQPVHETHPHILAAGELTPGITALEYAHRRAKLAAKLPKNAVAIVKAAEVK YKSKSVFYEYHQDSDFFYLTGFNEPGAMVVIAKNESNDDFTFHLYVREKDPQSELWQG ARSGTQAALDVFNADETGDIDRIKNILPSIVADASEIYTDIQSLTSTKSAMSRFFSSI QKDPDASSKATAFSRIKPLRPLLSELRVFKSDAEVANMRIAGQASGRAFTEAMKHNFS TEKDLYAFLEYQFKVKGCDKPAFVPVIAGGRSYGGYISDITRTWPISGKFTPAQKDLY TAVLNVQRECVALCRESEKTSLDDIHGFAERGLRQQLASLGFDFSRQSIRTLFPHHVG HYIGLDVHDTGDYSRRHNFLKGQCVTVEPGVYVPDDERWPEHFRGIGIRIEDSVCVGE DSPLVLTTEAVKEIDDIEALR UREG_01136 MEQGENTIIQIEDDVDSSYGDESDTASDTTSLYSQITNHVYENG RRYNGYRYGTYWGPNDELASDNLDLFHHVFNLTFGGRTFLAPITSNPQRVLDLGTGTG IWAMDFADEFPSAAVVATDVSPIQPSLVPPNLEFQIDDFCQPWTFRQNSFDYIHARCL YGCCDDYPALYQEVLDHLKPGGWFEQAEISVVAHSDDDSLKGTAIERWGPLAIQVGEK FGKSFRIVDEMTEMIQAAGFVNVKKRTFKWPIGGWPKNTKLKEIGLYNKLGWEDGLDG WANFLFTKFLGWSLEEVQVLTAHIRRDLRNPKIHAWQYM UREG_01137 MQFSSGMLVPFGLLLCGYVVWLVLDRLFWSPLAKFPGPRLAALT NWYEFYYDVILQGKFTAHIQDLHKQYGHIVRITPTELHVDDPEFYEQLYSRNGRRDKY AYFSGRFGYASDTFSTVHHDLHRLRRKPLGPMFSTQKISEFQPVIRAKIEKLCQKLTE YHDGKIVTLNRAWMALTTDIITEYAFAKSYDQLDSPNFRDTLHESLVAIYVTGHFALH FPIVFPVLDALPEWLVLKMQPDLVSVVGMRKDLARRVNDIRNGVNDSHKTVKHRTIFH EVLNSDLPEGQKTDARLGDEAQLIVAAGLITTSWALTVASFHIISDRAILTKLRREVN DAQSGSDDPLDWHVLEQLPYLNGCVREAIRLAHGITTRNPRLAPDSELKCGDWVIPRN TPVSMTNVDTLMNPTIFPNPEKFIPERWIKDPSLDRYFVAFGKGSRQCMGIKYEPPFL VCESVVISG UREG_01138 MELENTISVHPNSGDLIPLLQSHLPRSGPLLRRLQHDLVRKSQT ARYLATFPPTGLPTKTSGPPSPWLVAYVDLYAWPETQIWLYSNSEAESKTVRGEMSTL ASSDSHINETRAQLMALISYCFEKLLPGFLSSLTESSAISGSHGNRPPKPPTTLVLGS VHSGLACLIKETVDLRTFLQCPKFHIPYIGHYFKYSFQQSAHDSTVNSSAVLPRRYRF RSRSGVEGYQPYQYDLIKSRCVIARSQETLERMASVVIYYDDPEITGTATDVSAEMSE QEMPIAWAFMGFDGSLVTLHVEPEHRRLGLGVLLGKSVMHQSMFDAKQFHLGMEHERE RGWAFADVERKNEASQRTMEKMGGEFAWTVSWVLLQQCADE UREG_01139 MGKSLVYPKRESNTMNRYKHRGTYDLGPIHSIVNSAPVLHVSFA PSPDDPFPAILPMIGQMGSFDYPSSGLGDPLDCYLHGYVSSRIMNLARASNGEGLPVC IAATHVDGLILSLTPNSHSYNYRSAVLHGYATLVTGEEEKIWAMKMITNAVVPQRWEH TRIPPDGAEMQSTTILKVRIVDGSGKIRDGGVSDEKKDTGKSEVTEKVWTGVVPVWQT FGEPLPDKLNKVKEVPEHITSYIATANARNQQYAVDAVKIPLPKEEVH UREG_01140 MASAPGSSVIPEADLRSFREHLKHSKRIVAVVGAGLSAASGLPT FRGVGGLWRRYSAIDLATPEAFNATPGLVWQFYGYRRHMALLAKPNRAHYALAALARK KPDFITLTQNVDGLSERAGHPEPQLQRLHGSLYTIKCANEYCTYSRDNDFTDPVVPAL DIPKAAPGVSPHPKDHTGEAAGQALEDAMKVGPGWEASSGELDISNPDVPIPELTSDL LPPCPECATGLLRPGVVWFGEPLAEATLDFADKWIKEAPVDLVMVIGTSARVSPAACY IDDGREQGARVAVINMDRTHLPRTGMKAGDWVFEGDASVILPKLFEEIVGEIELPKT UREG_01141 MVNEREPSKYSTQNNGTTAKSRALHEFGQPSPLGSNRRNPFYIP KANEPRPEHHRPTQSQTEHLRDDRLIADPFRAVKPSSTFTSYRSSYKFNDDDAVEIMR PTNAAWTTQPRPKPYFSSKPITSTVSRSSSNLKTFMDLTTTEIGLSSNASTYNDDSRS MEAFTYVDSAKANENIKALLEGAFEDEDDKPRTRLRKKKLQNQVDELADKLKGIDVNE PKESQKDANAGTKLEEDEEGEEVDDGTVEGLKVKLLPHQIEGVSWMREKETGQKKTRG VLPKGGILADDMGLGKTVQTIALMLTNPRPTPEKENKTANKKDKTNIPAQVGKGTLIV APVALVKQWETEISTKIEATHRLKVGIYHGSGRTRIAKDLTDYDVIITTYGTLSSEHG GSTKTQDRKSGCFSVCWYRIVLDEAHTIKNRNAKSTQAVYALDALYRWCLTGTPMQNN LDELQSLIRFLRIKPYDELAAWREQITRPLNNGRGGLAIRRLQVYLKAFMKRRTKDVL KLDGGLNSKSSGTDKEGNNSSSGFRITKRDVIKIEADFTAEEREFYSRLEDRTDKSLE NMIGHQKLNYASALVLLLRLRQACNHLDLVKGDLAQEKDTIIGTNGSQSKVSQGADVD EIANMLGGLSVISKRCDICLIDLTSEEAQSGAIRCNDCEADLKIHTGLLKEKKTRKDR KKKSKDNYVPGQTTEQQKSRAQRRRVITDSDDEDAGEWIVPQSKRVIEDLGKAGGPDD ENAEGGGEWIGSEDSETSEDEDEDGDESIDIENEEDLDDTQYQALRDAVSSTKIRHLL RILKREAGEFKFIVFSVFTSMLDKIEPFLKGAGIGYARYDGGMRNDLREASLDRLRNS SSTRVLLCSLRAGSLGLNLTAASRVVILEPFWNPFVEEQAIDRVHRLNQTVDVKVYKM TIRDTVEARILDLQERKRELASATIEGKTAASKLTMNDMLALFRHDAEAKFADDDGLD FSSGKGRLLESKEASENKFEYPSLNTSSRNSGSNPNQNQGRRATPPIMERDRNKNRKP VEESSIFGRRW UREG_01142 MYCLTPKVMCIWQILYVQRLPCIIQQILTLWQNVASDFKPSRPL TSKSGTLAYLAPEVFDGSGYFSEVDWWSLGVTFYECIYGKRPFDGRNHHQLGECIMRA QPKYLVTNPPVTIPCLRAMAALMEKDRSRRIGANGFETFTCHPFFADIDFDALERYDV PPVFVPSSDKTNFDATYDLEELLLEEAPLEARARRQKPRAELKEDATPKEIREDELYK IIETMFEPFDYTLVNYDGTAASAIEASSNPEERLPSTVPLARPVHFRQLSQGRSGSIS PSLTEKSYNPSNASDTYSPLGETIHSITSRDTNGRNGIHSASPPPPSNRAPTSQPLNN NLPPISQRPPGATRKTSKGGGVQMVLEETGSWSTLADQTSITEGVKGKPSGGMFSFLK GKKGRERSPKPTEPGVLGKEGARQIIN UREG_01143 MPHRSRDRHRGYEPEWQHQREASRHRYRDNRDDYDYNHDDIIDA EEKRYAGPGRQRSRHHGESRLRSHRRYDPHDRYEDGGIEDDEDDDYDDDDEDEEESEI IVVDSRPNFARPPNVRPSPRRITPPPYISGRERSRHHKAKESPATSPVKKRDRERDRE GHRRRRDSTADDDAHARARLRDRAAREKYREKDIADARARSRDEPKRSRHWERERVRE KHASSGSANSATQLLSANALSKLNEYNAKRDATERQREARDEKARREKEKRRRKKEGM FVGKGRDRDVSRERGREKSKKRLVSGAMMEEGRGPELRVRGGGRHREKERDDGGGGKW YQNWSKKKKIWVIVGIVALLLIIIIPIGVVVSNKKNDTEDSPGRADSDPPNDNLKDID RSSIPENARGTYLDPFTWYDTTDFNVTFTDETVGGLPIMGLNSAWDDSTRANDHVPPL DKSFPYGKQPIRGVNVGGWLSIEPFITPSFFERYSAQDGVVDEYTLTKRLGSTAKATL EKHYATFITEASFRQMRDAGLDHVRIPYSYWMVKTFDDDPYVEQVAWRYLLRAIEYCR KYGLRVKLDMHGVPGSQNGWNHSGRQGAIGWLNGTDGDKNAQRALDIHDQLSKFFAQP RYKNVVTIYGLANEPLLLKLDIEPVLDWTKKAAEIVSKNGMKQYIVFGDGFLKLSKWK TILQDTGYNFLLDTHQYTIFNTALVSLTHKKKLEFVCDGWVELISESNSKNTGWGPII CGEWSQADTDCAKYLNNVNVGTRWTGTMDSPTAKDQVFKPLCPNEDKSTCSCDGANAD PSNYSDEYKKFLQTYAEAQMSAFEKGWGWFYWTWETESAVQWSWRRGLEAGILPKKAY EPTFKCGDDFPDLGNLPEYY UREG_01144 MRLLTVLAATITAVAALECGDLVIEKPREVSCSRPTRAGDTIKI HYRGTFTNGTEFDSSIGGEPLEFPLGAKKVIRGFDEGARDMCPGDKRKITIPPLLGYG DREVGPIPPNSTLIFETELVEIVGVPRENN UREG_01145 MAALGWSYPSPPPAGFWSPRTSTMNFCELDYIVSTYIAEFINTI SNFVYWDYAILLSYIQLAGVGIGSIAFHSTLKFPAQIVDEMAMLYATSTVIYAVFAFR LKPMVQLFFGFLLFAGLSVITILHVQQENSLAHRICFATMIVIVAARCSWLLLGVTDL AIRSEMKHLAFMGTVYSSAKRHAEPKLHRQAFAVLLFSTASDRSPTKTRLRLAEGVIP GKNHDARGLDLLCKPWSRGIATKARGVVKPRTWMLKVEMLDDLNRSVDTSILAVYPDV TSLG UREG_01146 MEPTGQPGPQPALSQPDAVFSRGRTASASRRPYERLPSEDDISR LPGSQPSSPERTPNNNQARRSSATGLGLSGIGENFTNFIDNTFKPHSHSHSGDIKCSS RNNVIQRRTSWLSISILLLAFYSTAFSGIYLVVACVKPRFGNRIGTDGGLAPSTASLL SAFFAKTIELSFVTVFVAFLGQVLSRRALAKRSPGISIADMSMRSWIMQPGTLLTHWE NVRYSALTILGMTALTTAWMAMLYTTAAETLVSPKLKFGPIEETTLYGQIASKFANPH YLASKCLTPISRETDPMHAGATCMELAHVGQSYHNYQQYTAQWASISRSGNFSHSLSE RIKPVGTWYDNTTVTGSWIDVQNMTELSKKYGRLVNIATAAMPHSGVFKASRDPRNSL RQPSASAGLGEFTISASVASPAVQVTCVGMTEDELAPIIYEKWPNSLPLNISTWLTTA PPDMVHSPDMLNETVVDEIFGFGKKGSQRAPIFPKLPKPYNTMLNGTGNYPLDSLYIL GASAPSSKGAPYVLCGLRGGMTSKCSTYYHAAASGGQLKANCGKEGGELAYYRTTLRS VSLVIDPDWKNVAMQWASAVSLGTGISDSNSSNARLLMQLTGGFNNETKEYSLEPQTP SLAEALAAMSSSTLLMSVEDSTFSQNWTYGNDPVIEDGNTVYEDFKATIRASEYASGG TEPWQGVFYIVLVHVFLTNLICLGYMYFDIRGAQVTDFTEPQNIFALALNSPSNDRLQ GACGAGPEGRQLSERWRIEMDERDEHYYITNKRNAAGLRNRTVGRNEEGGDLEASPQG GSLSPAVNEYRKLQRSRHSFTLLS UREG_01147 MDQTRALDNREPPSLDHNDDVALSPTSKKVLEQCSVNLTASPGK GFRTLARPPSSGLDSSSGFCFARPTHAVPSFSTRPQSNPAAGGSGIKGRLPLSNADKR SSGEQSEQRSSQNDIVVTAESRQEKISSQPQPAHPDQLIEPMKPFAARSIAANSRSRS GSPKSHGDESKSHSQREAVNHGSIMESGPTASSPPSEPVRQSSCTTAMSSNGNAVEIS ESKTNEDRRSSPYRPHSCSPSIQGSPASKVTKHYTPQRKGFNSRPIAHPSGLPSQPSE EDLFHLLIHRLKRREQAEAASAALREELERRLRETNEENENLKGQLSQAEKLHKAQEM EKAAQRNLIERWKIKLSKLRNLITVIGNDHETLRKDGQLLRSAQTALIRGKHHIQADL RRLNNSADQVNKLIIKQNAELASVRPKLVELEHSLLLKDSRLNSNEKSLARERSRTAA LENFIRNHSSKGLKQMASLLQHQAETSSKIEHCYENFSNLLTNSQSAIKSELELPLKA CLELLSALTAREFVDPAVLSQVNSKLQTIIAKFLQEFDTSLKASLKTENELAQVKLAN GKLHEKIRASEETLAQLNANNVELKERETSLYNDTKTLKAQVEWLQTQVADISKIAED SHELSKMRVQFEETSTALNEVTMNLKAKENEVTRLDTSLSEMKSSLECAEMKILTLEA EKAKSEEYAVNIENKVRAEFTKASLLSKEQNRACFEQQLHQLKREKALAEKSVDILKQ QSEALKSKLAAAHSSSHSFEATVSENEEKIKALKEGLQKEIASRDETIAKLQEEHISN KREIENVKLDHDRAVAENNRLKADLSEAQATIGNTCQLSLLEEKFDLMRDEGIQKDEK ITSLTNELANLQASIKETAILHMENAKDAQGLKDLREKLDEAEKQNAELTYRLKQSDD DIACLTAEMELSKQEGTAMVPEANAQNEDGKSQQTQDDLEGTKQCLSRIESLLRQFGI LDANETVSHAWDKVEARLANFSSSSESCEMLLDADNDGASGEAPLQSLKQAGKRKRTV NLTPDAKRVSGRRSSHEYKTTQVVYRKESISRSVSCSPLKSPTLKRPSSRRKQTSKAI SRIKPFSQVQWNLEGHQSSPQQSIGDLNKILSGPIDELSKATSFLVPSTPKVQSESTT RLFEVPPSPVKNVKVGSHMMQRKHTNELPRKSQEERTVLQCDDLNGLSQRKDLKTPPS GIFKNTALPTMEGRGLQDMHTPSRIDNPKKKGTAAPRRQTRTSSQYFKSADTPSTILS STRQTWAVTQSTESAVSYSRPRRYGRRKGKLPAIPRGKGGAKFNRRFVQQ UREG_01148 MAKKAKSRTIAVRLLSMAMTGYYKTLVRPRASRPLSMLKYDPVV KKKVLFLEVKRGK UREG_01149 MSPWPEAKGSAEQIPLFELLFLNTMMNGLVEKHISLNVAARQAK PVAQPYDGWMPDGEKRTQQPSNTSAFQEAQISLAPRNKLLPFDNSFGSQELPSALRRS LRRLGLRHLLSMPSILSQPAPQSKAVTGRPPLDDELYVMERYASHAVHCAPCAHPYTT IRRGQSLCSRGARHVTNMAQYIYSRDGKAYSVATKDRGQAQEIAIPSRFAVIAELMRA FEYGLKVKKAQAVVIHDKRNDTQELPSTPVQLSAPKTPAIYTPKPATGIVSNTQLVRQ NQDLQEIGEPEKRYNSPRIKTPGQYLR UREG_01150 MDGVSQHEDIWLAPTGSVCRYIGPDPMKSSSSQWDLSLRDYGNL DFSAGTSPLYPKEWKVAVYRHLQGFGLCLDEPGIEIWIEVEAHRPLEPQWAGSGAENQ SSVAYKRFLWPARLCFRRSAQRPLAHVRGAELVDPTTLDPLKFAEKWFKEMDSGESPQ VLMTALETQEPEDLQPRLAVVPEKINSPETFASLAHKITFNERSASAVYPTPPGGVTG AGFTPVPSVDKLPAFSAVGLNNLVQDKPGPVSAGLSQTGYRATPTSLPAVDATAPALE IGSGMYDTTVDDNPFDEMDDNLEAKEVTEADFNFFDEPDFTNFPGEGMEMSLQNTNDL FEDPPEALQAETLQPVDNAVGLPPLSAEEQEGGYNTESGEQSLVSPPAKVIIQENLGE LNDGSSYEPVIPHRPLSPMNIRDILSTSCLSSLSPNPSSPSGRTTKGKSRTGYEPILF RENLNFSDGKYRLDGKFWFLPEVKSPKPSDRAAMNLSDIPTVGIPQWPNKEQRHLSWE STAGNRSEGDVQSSSISSNQSCDDDSEPGNISPDRCDTGLRLFLGTKDNSQLFKDKDF TPSPMELSTVNTEISNMGMDVTVSAFLRTLLFASTDWSLDGYFTLAAAYYPVLPQKGD LVQVAQLVVDQFTQSSLNHNRYECSRIKNDYQNILLFCSTEKDDWHGKFSSFDLKRYT SIDDENSMQGLRKDFRYATVGSLSKLDSPHIRIHRGKYDLEVLAPAVFFWESFGLEPT QGQKDIVAFCIHPESAVESADAFLDRFGLLYSSANFGQHSRPRGSKGLISWGLDPIGG REYPAVMRDVIASLPPGGETIVIYIVNPFPYEAAIVDICTAFLSLFRKYISNFDKHTT QLNEIALQILPFDFIASLTSIVTPSQLDYLRLAFEVYSRCPPKSRSSDILSCAPPFTL AKSIPKIVPFKLALDPGSPLTERHSLHIAYSKSLDQRWVTTAWTDNLGRDQLTMTYCL REKNSNISRPMSEIRADIWDVTRHIIGKSHAYWRVMVVTDEPVELNETEAWISLAQHH NQTNATKIELTLLSVNVKPCLYLKLPSPPLQISTYTGPTSSTPASTPNPGIPSPDPSA AAPTPPPSSAEQAQTPVPQSFDTPDSETILVDKSDETWSITLSHRLNTSPSLTSYHSA LASGYLIRRRGGTSNTDGIASLCVNVVFTHARIPTELLLKDILRMYRDLAALARTKGI IHAQGDDVLPWHISTVVRGREFLSLVL UREG_01151 MALTSNVFVEPPHCQECNVRYQIAKARPNEEPESYTSQITKLVS GIIDRERDLNDRREVKRPISDLKMLEKAMVPWALACDHAESGLVPASSFLDVLASNKR EKVTAMKLTNHSAVPVYTISGSSTARPLPEWLARRRKRSLKTDPEYANRVELLQDFEF EEASQCIRVSEDGQWVMSTGTYKPQIHTHYLPHLSLSWARHTISLNTTFQLLSSDYSK SLHLQADRSLEFHTPQGCHYTMRLPRYGRDLMYDRQSTEALVPAVGVNQDGTGEVFRL NLEQGRYMRSYEVDVGGDDFTSAGGGALQGGINTGSVNTGAIAEESHNLLAFGTSLGT VELWDSRAKGRAAVLLPPSDSQLGEGKSEITALRFHRSGLTLATGSSQGLIYLYDLRS PVPLLKKDQGYGYPIHTLDFLTPTTSSREQTSDPKILSSDKRIIKIWDARDGTPWTSV EPAVDINCVAWCKDSGMILTANEGRQQHAFFIPQLGPAPKWCAFLDNLVEEMAEDPND PHAFNTGQAGSVYDNYKFLTVPQLRSLNLEHLIGRTTLLRPYMHGYFVAQRLYEEARL ITNPFVWEEERAKRIKEKIDKERESRIRGSKKVSVKVNKKLAERLLEKEERHERRQAQ RILAQGGEGGSESTVKPDSTSAKNGLLNDPRFARLFEDEDFAVDETSREFLALNPSGT IAPTEKRERGLTAAEEEAIEEVPGSSTDESSSEDERPVKTKSSTSISTSSYKRTKRAP PKMEVSSSSRTAKARDRSFESRVQKTRAKTRPAAVSRAVGEKTVTFAPQAKPRRKPVF DSARESSRHTKDRRKALMLVRSRIRTSKAIPSVAETCISGGYDTWYRDASLKITSSLQ LNGEWGNALLNSVGGDWLKILRALSSVPQAGAGARWHMHIIMIEGLLAPRSRLRVKTD TF UREG_01152 MHQHRRSLSAVASPAYSPRPTLNRPATREREFDRFGPNTARGLG IDRQMDSSDQEQSIDSTEKSPAQKLDQMIQNYHTKAALIILHSRVELGPSMQNGVVRT NKWFNVEVDETDDLRDKLSLWKSSNCTNSRPRPMLIEIYLDTSQLTNNQSLVIIDDSG KRWDVVEALASHGCLDSSRSSRRGVILERWRIELGSGPDELPPDLGSILPTVYKKSIV VFRSLYAYSKLLPAWKYSKRHSKVRMHPALSLKYRILEGPRGQISPATDALTAPLYPG KDPVVDTYSFGVTESPAGAFSALVTYRTNCEFRVDDSEALLSSRFMGVDEGFFRPSLP SEDKYAAPGQEPGSLPVQKRTVGHPDPGQAYGSMSTFHQVGPTTGAPSISIQPFKTPT LSASPAPLESPLGSQPRSLGARVGPMDIASAKTMPPPPRTPTTSKRVTQGSEGAIVSS MSGSPRPAPISKFSSSFSHRRGRLSSGGASKTDDDNISSGRVSVSSSIVHPISGTPAD HAAGSSESLQADEDNISDFLKMLESRKDLLTKKDTKSTDKSTKRTSAALSRFQKMRDS NAVLSDSLSSSLVLQQSSASSSKQLPNPTTSVIGASTSVSSSPGKAISPHTLHLPAVP SRLSSNSVVDYSHRDRPERKHRLSHESCSSPSEEPSNNEPRSQLEGPNANAIDIPTSP RPYISSFRRSSSAAQRRSSTVIEDDLADFLPFGMRSISLGAEERSPLSLSELVRQQDE SNPTSDPNALEQSQPEANAGQSTVNESPSRNEETPGASSHRQYQPRFAHLRGRGSFGQ SHGSVASS UREG_01153 MSNKLWQYFLQNDAESFKTLLANASYAQGHKGVNPASKFGSPGA SLASSPGAASRVKRSAGPFATSSLQEKVPFTQKPITLTRADVNARDQHGRTLLHLAAS SQDDSALEFVHALLAIPFLDIYAQDAESGWTALHRALYAGNTAIAQALFAREFKGMTD FRAPGGTHHPLGALIKIKDREGNSPFDLFGSTIVVRDINQALQDPQPLEGDSASDYSV NLDGPEEYNPEGRLKPFVNFSGDEVFTFGSNKNLSLGLGDGDDRQFPERINLTRPDHL LYRFYRERENLKQEQGLKTDETNEPGTTADLPIKIRSQPLTFQDVKMSKLHTAILTDD PESNLYMCGFGPGGRLGTGDEATRFNFVCIENGGAAGKKIVNVALGQDHSIAISDQGE VFTWGSNKYGQLGYSLPRTNQKDELPIQTTPRQVFNPFKKETIIAAAASAIHSVVYTS TSIYTFGKNEGQLGLIDADARSLEMQVIPRKVGASLFNQPISMVSAIDRATTVLLENH DVWVFTHYGYSKLSFPLDGSSAFIRDSFSATRYGLAANFVTKITGGGNTICAMTSFGE VYTVNVTRRADTQSVSSSTTNPSKIKNSLPQPSCVWSVRKSLMAVRDVDVGQDGSIII CTASGSAWRKEPRSRLKETGGNSFGDRQTKDYKFVRIPGLSRVVAVRSNAYGAYAASQ RGYDVTKTQIAVDDRTLWSDIWPLSPFRDLLTKFIPEATAKGNDMSTGHEMETGQVAS PADIETQLRQELQYQKVPETFPALVWVSCTTSDIRIPVHEFMLAARSSILRQALAEFR LSYYYSIPDLLIIEYNNDGQAHLQFQSLDFLSMFNFVLFVYTDCAYSIWNRIRSDTGN APRYRQIRTEVIKLATQLDLKTLERAARLMIQPKPCLNLDMEKAFLDPTFFDSGDVII ELDGGEVRAHAPILCQRCPFFNALFNGQAGGRWLSSRMGASSDSVEIIRIDLKHIAPA IFKFVLRHVYADTDDELFEDVRTSDLDDFIDLVIDVMFVANELMLDRLAQICQKLLGS FVDIRNVVPFLNAVAPCSVSSFKRAALEYICLNLEVMLENRLLDNLDPELFDELDEVC RENQMTCNPDSRSRNSEEYFVGMHPEIISRLEQDKQRRIDSMRLRSHLHEDEDRDQKL KVGSVERQGFLSPTARRKPSMQTLNSKSSMESPLLRSKVSAGDLIFQMDDETVLSPDF EQVTPHTPKSKFDTNNQKLVSEVWPGNGPPLGSTSPSVRPQYERPTGAPWGSLTPSTP KAGLKDIMAETSSSNSVVPTFISRREADTLRPTPSKLSQKERKKMKQQQTQEVLSGAE ATKAKLASPWKLPSAGPKGPFNADEKQDFAPLPPQRTNSKASLTLRQTIAGTPPPPSN GEIEPSSSSLSKPQPQRSDFQNTNSSATRFSNSSPLPLAAILQQQQFEKDVIREAATA KHNLHDIQVEQEFQAWWDAESKRVMEEEAAAAAAAATSVSTGRARSSGRGRGNGRGSN ANGTGNNKTRGKGRDSGAGGGGSQEQTAAVHAGSTPSNEPGGKHTSSHHQPRGGRTND RGRGDGPARGRGGKVASLSAKGMPY UREG_01154 MPSNHSVSPVKRSVSFPQAPSDHSSTSQHSPSTPAAHPQPHKPT AHKPHRPHVVGTHRVHGRTHSHKNLNKLQRLALAHNLAADGVPGPPTAPRHQRKKSAP ASPSTSPRTGQNHVRWNQSIISLPGPPSNGPVRKNLSTPVLKRNGSGILVKKNLAVNV ADKAESSDIKKSVGFELADSGDEDEWEDHSSISASSTRRNSVVSGKMNGQNSLTGSAS VTKSPLTQESRTADVNDKNGLHRDEPFQDDHTSGKDPRVASLRSHASDQHLVASRILQ QPHSSKIPPSISSISATATPVPPNRTPRSSSFANLASSLSAISHSPAPSAPTSMISSN PHAASSSAEGGVSRFLINNSGPRIEPRSESDPATPSSFLPHYHPRTPPSPESTLRRSK SPKPSANGPLEPHSRTQQKLWLQRTATMSNSPPDTSISGLPPTSAVDSSYAGPQSRPG TSGYAQDSRRFAMGAGPGLLAHQQIVNAGAFEKYTIGLAQSILSFTDSETQLSTVLSD SARYPGSNAGGKLTRANSMRDLANGHGPSRPFISG UREG_01155 MVHRNHKKFMELLVWSQIYKAAMQLHVIFNISDVDSELEGTRVD DIIKEILVHMLSDIDEFNEDEDIIYNSVLTDVADRQLIESDIPNMKDNTFQMMPHMRI RKHENLSYFVIALKLWCEQSEISHQQYQSL UREG_01156 MCDCKTDDSEHKLTKFKLMTNSLVKEMYITNLCQLAAGLLNIIK KIITVSASLLFSVTAAAAPLSLLSMNALLVLQKEQKDLLKTILQTFVRKRKIESPTVS LSAKSAHLILLLQMTPTPVPHVLFGGVSSAAPDSSQVFETLWKTTEQISLPPLQFFMS VMPLSSLPTPAKSLVDFKSILADKEMKEVQKEHDFILKN UREG_01157 MAGWFTSVSPFEEQVEKATASSLEDIALNLEISDLIRSKTVQPK EAMKVLKRRLENKNPNVQLATLKASYTCVKNGGRHFLLEISSREFMDNIVSLLKTQGV NALNDNVKTKILDLIQSWALATESRGELAYVGETYRKLQWEGFQFPPKTEMASSMLDS SAPPEWIDSDVCMRCRTSFTFTNRKHHCRNCGNVFDAQCSSKTLPLPHLGIVQPVRVD DGCYAKLTSKSFNPPSLSTRPGFNKSPKTSVRMEPRGGRAETDFDEDLKRALQLSLEE VKGKGPSGYVPQSKPAVQVASSNLNGTTNEDEEDPDLKAAIQASLRDMEEQKRKHTAA LKHSASADTHVSGASAAMLPKKDYELTAAEAEKINLLATLVDRLQHQPPGTILREPQI QELYESIGALRPKLARTYGETMSKYDLHAKLSTVVRYYDRMLEERLSNTYAQQTLGAY DSLQPAQSSTNLYPTMPSQVSESQGGAENFYLGSNSERQYSAPQAYPTMSRHSSMEHS FDQRSPSSAAIQPSSMYTHPVSQYNHHHQPWHHPTDPNSPISTPQQARPVSYLNQPPQ QTLHTHSGFQAKQDSGQGASQHLPLAEGPYSQPPSTFQSSQYPQQLATPHSSQPHQQP PTEVESSASQAGPLQSPPSPFFYHRPRIQPAVLHRSLSLRSIPEKWIF UREG_01158 MRGPVAGSHANSAHYSDRASIGADAAYRQYLDMDSLQEMAASLN LKGDVPLNELVRISEDAIQKSKILAQQGQLDLALVQYLRASDVVINTIPRHPDFAYMK QHHPRWADQFSGLMMAVNSQHSTMENIKKKITLENTNGYGAGDNQSRPSSRGYLVTTN SPSDSSSRYEGVQSPTDLPIRMPSPSSFQYTSGTGVPSILQPTGSPSKKPKPEVKPKP ENLTRRLARDKVPAEDSLAQRFAELRNPGRASAVCDNNGMILDSTFFPSPSAEASHPS SVPDPRLALNTRPPRPLGPREMNTPYGEPPALPPKLPISPVNHSLPTFPTPAYSPNTT IPSQPPHNPPRTSIDSLRPGPYKQSFPWMGDLNDGFNAADDNPYRSRTPTEMHAEGDV RSKMADTTVGASISAERLLEYLRKHDVLLIDVRSRDQYDTGHINAKSVICIEPVVLKE NVSAEELEDRLIISPEAEQSLFSRRNEFDLIVYYDQNTTDPSYLRGSPASSSLPHLRA LYDTLYEFNMYKPLKYGRQPVLLVGGLDAWVDLVGPQSLATTHTAAIMHSVRARKPIP GKYQPLRRMPTVSANSSWEVKKRRLREFKPLDAEEERAWLEKAKVEEIDPASYYAGDG VISEEPEDLEPTESESTSPFFHTYEDFLRRFPEPHDNQQSMAGLPTNEPPSIGLYEST IPPPSRPAPAVPRPSYSGVTDDRHIQPPLARQASATKHALYKSSSPLDRVKLPRTGLV NLGSTCYMNSIIQSLSATTELTKFFFDNRFHAQVQKNWKGSQGVLPGLYANLVRSLWK NDVEVIRPTSFRKFIGRLNSEWAGSQQQDAKEFFDVLVDCLHEDLNVNWQRTPLRPLT TEQEMRRERTPVHQVSGIEWSRYCHRELSYISTLFAGQHASRLRCTTCRRTSTTYEAF YSISVEIPVSGTGDIYQCLRSYCQEEMLSGDEVWKCPHCRTEREATKQIILTRAPRFL VLHFKRFSASHRQQARKIHTPVYFPLSGLDLSPFMIEPSNYPPTPQQNGNPTHSDTST QAELTPHELATSPPYIYNAYAVVRHLGSTIHEGHYISMARDANRDCWRKFDDHRVTDF TPGGPRSPDCLQNEQAYLVFYERVPAN UREG_01159 MWFSAYTFRYLWNCSATWRRLGRARPSSHARICTVLVMVNIGLP TSPDHPNRGWQLWIVGTVMLIAAAIFVGIRLAARYFRSGIQVDDWAVLASLALFATQV LYKVVICLTKVSILLLYLRVFSVARYFSWCCIGMLVFTVVSSVAYLGPTIWQCRPVAS FWDRSIMPHVCLNNHVSWLSYALINIITDFIILFLPVQQVLILQLKPRDKIAVIMIFL LGGFVCITSIVRTKSLAASANLDDVTWNTISVSIWSAIEINTGIICACLPMIRQPLAL LFPRLFSHSDPSNSHRNPLSYAAASRHKSRGDRSLPTVDPSLGWTRGRDDHVFLTSVK PGGRDTYMRRSESEEHMIDNGDGMHGINGSGNGIVKTMDVSISESSRGFYDSSPSSHG SRFPPQ UREG_01160 MSRRSRRDVASSPFAWTTVFYLLLVFIAPLALLGTAHAKEEQAP LQEQMGPVIGIDLGTTYSCVGVMKNGKVDIIANDQGNRITPSYVAFTDDERLVGDAAK NQYASNPRRTVFDIKRLIGRKYEDKDAQNDIKHFPFKVVNKDGKPQVRVEVNGTPKNF TPEEISAMVLGKMKDIAEGYLGETVTNAVVTVPAYFNDNQRQATKDAGTIAGLNVLRV VNEPTAAAIAYGLDKKGDERQIIVYDLGGGTFDVSVLSIDNGAFEVLATAGDTHLGGE DFDQRVITHLAKQYNKKNSVDVTKDLKSMGKLKREVEKAKRTLSSQMSTRIEIEAFHD GNDYSETLTRAKFEELNMDLFKKTLRTVEQVLKDAKVKKSDIHDIVLVGGSTRIPKVQ QLLEEFFGGKKASKGINPDEAVAFGAAVQGGVLSGDEGATEVVLMDVNPLTLGIETTG GVMTKLIPRNTVIPTRKSQIFSTAADNQPVVLIQVYEGERSMTKDNNLLGKFELTGIP PAPRGVPQIEVSFELDANGILKVTAGDKGTGKAESITITNDKGRLSQEEIDRMVEEAA KFADEDKAMKAKIEARNGLENYAFSLKNQVNDKDGLGGKIDEDDKETILEAVKEATDW LDEHAATATTEDFEEQKEKLSNVAYPITSKLYGGAGTDGESDEPSGHDEL UREG_01161 MSRNRVKNVAYDDDDYYDEDEYGDEGELSPEDREQMRLRTTEVQ ELLRSEIPPIQAKEEEIWESLWHYYYDVDKTVAYLRSGVPFLEKYRPPTPKKTTGAPT SVAKPRSKGVELPSPYPLPSHRAQCSAQFSARDFFNDSPWLNIPLHRKADILVEPLYP RLGLLGGAQEGPGRMSKLAALAAARKKKESEKNKANQEPAAPEPSSVPVSSENHRSTS ISLLDRLASNGKSKAGSETRPSKFLLRKADRDANRAPQESQPTNPRGSMGSQQKPKSP IASPIPGPVKDMAPSKEDLLAPPSSFATIIIGSRNGQRPSCCSSHNFDMFRLYGQNLT ESFNFADPSPDDVVINAQNAAKANKAKQAKAQSSTKASSDLADSVKQLSVQESIKPKS KQLDVLEEHRKAKRKKAANFVVIGHVDAGKSTLMGRLLYDLGAIDQRTVDKYKREADR IGKGSFHLAWVLDQGSEERARGVTIDIATNKFETASTSFTILDAPGHRDFVPNMIAGA SQADFAVLVIDAGTGNFESGLKGQTKEHALLVRSMGVQKIVVAVNKMDSVTWSKERFD EIEQQISSFLTTAGFQPKNISFVPCSGLRGENIVSRTEDKSATWYTGKTLVEELETAE PYTYAIEKPLRMTIADVFKGGAQNQLSISGRIDAGSLQVGDRILTMPSGESAVVKSLE IDEEPSDWAVAGNNVVLHLLDIDPMYLKTGDVICSPSSPVKNLNSFTVKVLAFDHLTP MHVELHRGRLHVPGRISRLIATLDKASGNPVKKKPKIVAPGTVARIVVDIDQSIPLEA PARVVLRASGETVAAGLLE UREG_01162 MANKEFTLQEVAAHDSKKDLYLIVDEKVYDISSFVDEHPGGEEV LLDVGGQDATEAFEDVGHSDEAREILEGLLVGKLKRAPGDPAPVRSQTAATSNAPSAD VSTGLGIGLYAVIVLVGAVGYGLYQYLNAATPVKA UREG_01163 MAAILTSTLLIWSYGIGVFLIEPNSRSPPVFDHFIKKFEATHAV SILLQIKPLLKYSVPIRDRFTLTATNITGLHRVTLRYGYGDTLSWDSFEETLIDELGL NHPNEDPESEGYTATDTSSIPLARPSQFASPKPITYIVGKDKLYVKKDSGFVRRIFLS VFIYLKGHEKTKLSRLKVPVDRLVEVGFSKAI UREG_01164 MWLAYQSIGAIYGDIGTSPLYVFSAVFTSPPEAYDLLGALSLII WALILIATVKYVGIVLCANNHGEGGSFALLSLIRRHVHLDWRDILSEESEEQTYKEKK LRSFNYSARNALRQSPMAKRLVTVLAVLGVCMVMSDGVITPAQSILGAVQGIKISRFA NLEFS UREG_01165 MFRAQQNAYDDVVDVCDKVSGDESGAKDAVVAMIKRLAHRNANV QLYTLELANALSQNCGAKAHRELASKSFTDALLRLASDRNTHPQVKSKILENMEQWTE MFSSNADFGIMEQAYMKLKSQNPNIQPPSKPTKRQITDIDRQKEEEELQMALALSIKE KQPVITAQQGSSSEEAATSSSTQSHAVPSVGIPSGTTAATVSRVRALYDFQPSEPGEL QFRKGDIIAVLESVYKDWWKGSLRGQVGIFPLNYVEKLSDPTQEELQREAQMEAEVFA EIKNVEKLLALLSTSSSELNVQENEEITKLYHSTLAIRPKLIELIGKYSKKKDDFTQL NEKFIKARKDYEALLEASMAHPAQPHFGRPAAHSFGYPPPGGHPGYPSTAAQQEPQRY YTPRPAQDPQAAPHNPPVGYYGTESSTVSYQPTSQSPEFQKHTPPGAMPPPQQQPSQP PQDGYSQSGTSHYPPKTTFDHPQELGTSVYDSPQGNAPQTIRHSYHPSMQQDFQRPLQ HNQRRYSSQENLKQQALSSNPPYPIQTSQETQQQPLSPPAPMHQPPPIPTATPSQAPY PGGGYQAYQPPTAPQQHSPTSNPAAFYR UREG_01166 MLYTPQKGWLSHFSLGRLLPSPLRPTRLLISVTVNPLLLSGCIR PFRCARACPRDMDSTKASSLQQPRRTSTKLLKQPPPLSSSSTWSIKKQASNNSLRRHP SAPIYPRSHIPSNREHARTKSGGFGSSTSSIDQHSDHNSPGAQYNEFGNYSSPNSAHN TARSSLSLQNSDELIGSRFDTRGMLNALESTQMQSSQRPPPLHSYNTSPDPRSTPTLR NSGVFNSKERRMDPPSPDGTIIAAKRHSDEGTTVKPGLGGRKKSGFSNFVNSMLGSPR NIKISAPENPVHVTHVGFDNETGQFTGLPKEWQIMLQESGISKKEQEEHPQTMVEIMK FYEKNTSGKDDDGVWHKFDHAKPADLQDHQVNSKASPGGLSVGPFSPLTALTSPPASP RFPQNHEGSFENPRAPPPIPKAASATSPLSPGPSPSGTNWVPNRAAPKAPTPAASNLV PARLPPQPPAPKDSRTVPEAPVHPFGVRPIPETEPLPPQPQRSRSNSNQTMTGVVSRV PQTMVTSPVQYQQLQEQASTAAHQAITSKQLERTRSQRQQQQQQQARLAEQSIQSRPQ QQQSVDQIPRPDQPGFAAPVPQQYGLTVDPAQYPQQARAGPSPRSRQRVRQSNGIEIR NRLLSICTAGDPTRKYRNLNKIGQGASGGVFTAYENGTNKCVAIKQMNLELQPKKDLI INEILVMKDSRHKNIVNFMDSFLHGGDLWVVMEYMEGGSLTDVVTFNIMTEGQIAAVC REHLHSKGVIHRDIKSDNILLSLEGNIKLTDFGFCAQINESHNKRNTMVGTPYWMAPE VVTRKEYGRKVDIWSLGIMAIEMIEGEPPYLTESPLRALYLIATNGTPTIKDEHTLSP VFRDFLHFALRVDPEKRASAHDLLTHSFMSLCEPLPSLAPLVRAARASRAQEKAQKGG I UREG_01167 MASEQPGLIVNGGSSAALSKSPAELLQEKHARDEAHQPTVEAVE DEQDILHPPPSSAAMKADSKVVAEKSEAPKPKTVPFDVQSEELFPSLGSGTKPRVPSA MPMAWDGRKAATPPTALSNGGSRNNFTSRTSAPASGNATPVPANVATSLGGPRLAMPG KHVEQIRFAPSQMLARSELSKPLSDIVREISRKSKARLDVREGPGGSYIFEGTGTVDA VRQALKEVAQQVGSKQSVCVPVPASARAHIIGRQGAVVQGIHERTGARIQVPKLSDSS VQDEDDDSNTIDVTIEGDAVAAEMARREIEAIVKERTSNINLKLKSIPPELFPFIAGA HNSDLNDLEERTKTQIRVPRYDTWSKRPPPQEAPLGQIQFVPDPDRHIHVFGDRTGAQ EARAEIERRAQELQRRIALRHLAINRGQHQFIIGNKGHSLHDFLAETGCSVILPPPFD ETEFLTVTGPPEQIEIGVNRVMDLATSMQMASIDLSRQHPNAPTGPHAYARALTRYLQ QRQIIKELERMHDAHIVLPLLSSDGPVVWEVYSRDGKNTIRARSDILNLVQAHPPSKL AIMPLDPYYHDFLRSRSAPQLKQSYGVHLVVPDESENSDVLLVCEGINQAETPRQRPS AEEIAAFQNALKEAQNYLLSALGDQSDIVSNSVPVPSKFHEKLRKFVARDQSAKGEDQ IPVRVVLADQQVILRGRSNDVQQLVPKIVAFVQEQERDEKERDNKILFDFPQKFANVL IGKKGENINKLRDEFDVNIKVENGKVEVKGPPNKALAAKSRILALAKKLEDETTYVLK IAPQYHRDLIGQKGSQVNRLQDRYNVRVQFPRTANQDEPTNDAASEVGSVRNTRTAQA PDEVVIRGPRKGADEAREEILNLYRWVADHSFTASVSVSQSQVPSLIGQRGREMDKLR TETGAQIDVPSMNDSINASGRVEIKLKGTKKQVEDAQKLLLQRAKEFDETVTKTIDVD KKHHKSLIGSGGSNIRKIVVECGGPEDGTAARMVKFPRPESDDSSIRLEGHETVITKI IAAIEEFVRQKEDEVVTSFDVPQSQHRHLIGRGGDTRRQLEGQFNIVLDVPKQGSNRT DVKIRGPSSSVENAKCHILAMLKEQQGETVNVPRNLHHIISENGAFFRRLRNDHQVTV DHAGEQIPSRSSPSESRGANDTGSLPLITDDPAASIDSFSWKVVVSSNGDAPSGTIPW VLSGKPENVAKAKAILEKAMASASQPSATGYLILPDPKTYRFVIGPGGSQINAIRKRT GCRINVPKDQARGEAIEIKGSKENLEIAKDMILDAVKAGTSGNGRA UREG_01168 MGSHEVLPAMKTNPKIIFFTDFDGTITLQDSMQLPLPYFLPSRI YANEAILQAMIICDAFRDMLDSVKNPFDECIRILCDNMQLDPYFAEFYNWAKDHNVPI VILSSGMVPVIHALLVKLLGHEPDHIQIVANQVKARPGKNINEENGWEIEYHDDSHFG HDKSIEIKPYAALSSDERPTLLYAGDGVSDLSAASQTDLLFAKKGRDLITFCEREKLP FTIFEDWSSILEKTKEIYEGKTNPKVIAEQQLQGA UREG_01169 MDGHHDDPDRALDNSDGSPSQASLSSYSFPDDRLKKVMDDQEKT PLLLVACGSFSPITYLHLRMFEMAADYVKFSTKFELIGGYLSPVSDAYRKAGLASARH RIAMCRLAVDKTSNWLMVDPWEALQKEYSPTAKVLDHFDHEINTVRGGIDVGNGTRKP VRIALLAGADLIHTMSTPGVWSEEDLDHILGRYGTFIVERSGTDIDEAIAGLQPWKDN IYVIQQLIQNDVSSTKIRLFLRREMSVRYLIPGPVISYIEKHNLYEEDGVASVSSNAV DKGATKHHTSEAKASAFD UREG_01170 MEADWDEVSQIAVPPPGPHILPTVASTIAFDDSQELLWVGNDFG RVMSFYGPELQRYTSVKAHPSEGPVRQFLFHDRGVISLSSKSVHLISRRGLTQWHISH PEMTELRCMSFTAQPHKIIVAGLQPAMYIIDIEKGTIVDQLSTDYRYTIMKKSRYLCA ATDTGSVNALSLSDFTVVKSWKAHGAVINDMDARNDFLVTCGFSVRHLGSPIVDPLAN VYDLKTLMPLPPIPFHAGAAFVRMHPRLQTTSFIASQTGQLQVVDLMNPNTINLRQAN VAFMLGLEISPSGEALAIIDAECSIHLWGSPSKIHFNELSKDTEFADVAARSSILDWS NDTPLNVIGMPYYHDRLLSAWPSHLVFELGNSPPPIDPSILPYLRANELGQYAPNPRK KRRYQVENTRMQFSTEIALAAPKFLSEKAREAPSSKPEAAQEAVGALQGLKINGEMKE DPLLKYSNVEIKYSKFGVDDFDFRYYNKTKFSGLETHIANSFTNSLLQLLKFIPLVRN LALHHVSTNCLCENCLLCEMGFLFDMLDKAQGQNCQATNLLKTFSSFREAANLGLLEE SLSNKSLSTTIQSVHRFFLNQISHDYRTIYPNSDVLDHNLSTAAVESICCMFCRNEIV RAGNAFVTELIYPPVDPKQGLRNPACRFSNILRSSIERETQNRGWCSTCRRYQQVSIR KTVQRMPLVLMLNAAITNPMYRRFWSIPGWLPEKIGVMIEDNQIQCYEGDQLRIRQQN NFEGLVVYELVGLVVEIDVVEQKKPHLVSFIDTSISAREPTKKSSWHLFNDFLVAEVS KEEVFSFNQTWKSPCTIAYQISTGRHGLDDSWKKELDTTLLYYEWSLNNRRPTDKCQI LKSEEKPVAGTPIALDTEFVDLEKAEIEVKADGTQEMIRPSKSGLARVSVIRGSGNDE GVPFIDDYITIKDPIVDYVTQYSGIKPGDLDPRTSRHNLVALKVAYKKLWLLLNLGCV FVGHGLASDFRKINIQVPKNQTIDTQYLFFHPEKSRRLSLRYLAWAVFKEYIQEETTT STSVTSTTTNPNAAEAANSTTTTTTTTTTTTTPPEGHDSIEDARMALRLWKKFKEYED AGIVNQVLEEIFREGVKVGFRPPPRRSTTPNANNNNNNSNGANPNGLSTPISATASRL PHSGRNTPDFIIPVSASTAVSAAGVPAGGGSSNGSISGSTPSTPRPAFRRSTALTPSN GSFGGTKGITFGGSPMR UREG_01171 MALPKPSSPLPRVLVPEKLSPDGLTLLRANADVDERRGLPPDEL LKIIPDYDALVVRSETKVTHEVLQAAKNLRVVARAGVGVDNVDVDTATKLGIVVVNSP SGNIGAAAEHTIALMLSMARKIPHACSSLKEGKWERSKFVGVEVKGKTLGIIGLGKVM RLDYGEFLMTRFSIVAVANRPTSLRSTTPLIASTKGMDIPLPELAQMKPRCPDTQCCS RRYPLMKVALLEALESGHIAGAGIDVFTSEPPTQDSFAANLIAHPNVVATPHLGASTI EAQENVSIDVCEQVLQILGGALPRSAVNAPLILPDEYKRLEPFVRLVEKMGSLYTQHY SSSTSFDANRNAFDLIYEGELSGVNNTKPLFAALVKGLVSPISSTNVSIVNAEFVARE RGIVINEKHSRDPSTHSYSSLVTLVARPPSRAPSRAPGAPEAQRPRHNPHQQIISGTC SGSQIVISRLGRFATSFVPEGRLLICHNYDSPGKIGVVGSILGKGGVNINFMSVAPVS KKLLEEDAAREQGQKLYDHSGNGTNTEALMILGVDRPVSQDIVTTLVNAGGVLSASLA SL UREG_01172 MVLPAIRVAPTAATRAFNLLRTVQYTHPPSCPCHSNPAHHHHHQ PSASSITRYARHMATPIDPSQQKEYAFEMAASSIRFGPGATKEVGMDFTNLGVKKVMV VTDRNVAKLDAMKNAIEGLSKEGIQFTVYDKVRVEPKDYSVKDAIAFAKPYNADAFLA VGGGSVIDTCKLMNLYCVYPEADFLDFVNAPLGKGLPIARTLKPLIAIPTTAGTGAET TGTAIFDLVDKKAKTGVAHRNMKPTLGICDPLNTRTMPSAVHAASGLDVLCHSLESWT AIPFNERTPRPTNPINRPAYQGANPISDIFSLQSLKSTVKYLPRAVKDPEDHEAQSQM LLAATLAGVGFGNAGVHLCHGMSYPISGQNPGYKHKGYEVDHDIIPHGVSVAVSAPAV FRFTAASNPDRHLAAAEIFGKDISNVKRESAGEVLGEAIAEFLIKLGDQPRGLKDLGF NKSHIDMLVEGTIPQKRVLDLAPTLSKELETEKEELRKLFEASMEY UREG_01173 MKPISILPALLMALTTTTTTAAPASASAPVPQCSASPATPTANP IRPTDTLQPVGCKARAQVCSLKMKCCPGLTCRRVMAFSFCSETKFTSPLKVSTNVST UREG_01174 MGPAMLSDIKMLDTLALTDLLEDNLSPPEITSIFIFATNGAIFA HASTLSQRQIRGLCATYGAAYKAYAVSHSQGNLTAVNPANHPSSFVTTSSIPLGDVGS IIFEQEGHVAVVTKIADKVLLAVVGPTRIDRNAVSSPSNTSSRRPVLTSSAASDIERT LRPVDSFPTAHDHLSGSAYEQAGKLASSAPAPGGSLSITQLSARIAASNKAANEDADK SLRAQWEIDRTSDLERLASLNLNSPSTILLALESKSAALGRFLSNKLADLETPEDF UREG_01175 MATDTSTYKLNHTMIRVKDPKKSLEFYGFLGLSQINKLDFEEAK FSLYFLAYNGPNSLSGERHWTDRNGVLELTHNYGTENDPNYTVANGNTEPHRGFGHIA ISVDNIELACKRLEDAGFPFQKKLTEGRMRHIAFVKDPDGYWVELIRRTDESVAAGVT STDPKSYRLNHTMLRVKDAEVSLRFYQETMGMELVKESQNESAKFNLYFLGYPGSNPP VKKDASNPVAEWEGLLELTWNYGTEKQEGRVYHDGNSEPQGFGHICISVDDLDAACAR FESLNVTWKKRLTDGRMKNVAFILDPDGYWIEVIQNETLKSRSKW UREG_01176 MTVTFPKPWRMPLTNYRGLDDFWWRVFKTTNILIERLRAWKHMC GYLENYVSATHKVQKAQAKEFEKILKTVSEPLKEGHHFVQGQGGVTGLFDSLRLNTQG IADVHLETEKNLKATVLPILEKLHSEIKSKSKELKHGATKAAKLVAKARTNTQKHIEL LGQYTAAFDSPTRAKHEASHDPYVISRGVFHRLNKQINEENNNLKEILAIQSSFQQFE AHILETVQNALNRFFQCMGAQSDRQRAMYADMVGAGQQIPTDFEWANFYERNDASLIN PNTPPRSMSNITFPNQDHRATKALVEGTLQRKSRTMMKGYSDGYYVVTPAGFLHGFKD NDDFRHDPQPDISLYLPDCTIGNFDGLKFSIKGKDVSSGKVGNAFHMSSELQFKAHSK NQIDDWSNALAGFVGSGSGSQPASPTVQRSASVTQPPAAAPAAAAESPVMPPLADTKA VDATVDPAVPAQKQEEGVVGIAGAEKSG UREG_01177 MALSFFSHTNPAKHFDIRLDDDYIIFRGSPDEAASAHLKGTLVL CLSEPLTIKYLRLQLVGVCRIGWTVVSGPLSGARKQGKEEVVFEKTWKFRDAGKGKTE ILSPDNYEFPFDVILPGSLPESVEGLPDSWVTYRLKAEIGRKYAKDISTRKPLRIIRA LDPNTVETINVTSIENVWPDKLEYSFTVPNKAIIFGTHIAVNFRMVPLLKGLALGTIS TQLVESLEMTMPADESVPRNSKSSRVIFSHSFEVDNEGDLQILDDEAEGYKFSKTWDL PRTLRKCLQDAETKRIKVKHKLKFKVHLQNPDGHTSELRATLPVFIIISPNFRIDENN NVVGQNPHSASIDHYLNQQAPPMYGDHRFDALYSDLDPNGYFTPNIYSGMTSPFGSQS RNMSAENLAVLPGFQVGDICADTLHSRLADLRTRGSGATSPSPEVLEGPGDELQRRSF YNADQLPSIMSELDLAVSDGSGRSSEEGQFPSGSATPAPRVYEIEDLCRVPSYSTALR SSARTHYDGTLPNYQAATASGRPPPRPPQSTHSRRASRLFGILDTPIRPHFSLHHRSN NDLSTDTERQVRIMQARSRE UREG_01178 MPDACLQHQTGDTTADRPGSPARKIKMKTKSLPLIIKTGPSRTK SHALANKGMKKLQKFIVMLWLRPNTESLEANAIDNRPVGPGMRCIFP UREG_01179 MHGARNQFFKLTSHSFLPPPPPRYSAPLAYAAGASNGYPVPILE TTNVVKHPEGGCPLQIGEGTYELRDDVHLATPPPHPSEPPQINYNPLVTTPLPLTSGV KVSPVIVKPPTNPPDLYRTTTLGSTGSNLKSIKESDHDGLHSSETGSSTCAPDSTATA FGGGNLALSISHTKDSTGLKRRKPKNSIIKSTSSFISRVITNDYALKKLADRNQEGLY VFANINRAFQWLDFSAKNKQDQLVKILFMKAHILSHDVNEVTKSASHLDVIMGSSAGD ILWYEPMSQKYARLNKNSSVNSFPVTHIKWIPGSENLFMAAHSDGCLMVYDKEREDAP FVPEQSEDSDSVDEKDDEVPKPTFKILKSVNSPNQRANPVACWKLSNHRINSFAFSPD RRHLAVVVEDGTLRIINYLEEKLLDVFTSYYGGILCVCWSPDGEYIVTGGQDDLISIW SFKERKIVARCQGHNSWVTCVSFDPWRCDERNYRFGSVGDDCQLLLWDFSVAMLHRPR ALQVNARHRNSVVSSSFSAASRSRTESNLTERLRSNSTLADSPSDEKSEEPLYHPVEP RAMTAQLPPILSKVIAEDPVCWIGFLEDSIMASSLEGHIFTWDRPSESSNKGPPLASP TSRVSLESKRSTIASRST UREG_01180 MGTAYSYDGLAVRICVCGDEGTGKSSLITSLVKGVFVTNKIQPV LPQVTIPPTIGTPENVTTTTIVDTSALPQERNNLAKELRKSNVILLVYSDHYSYERVA LFWLPYFRSLGVNVPVVLCANKSDLTPEGSSQQTVEDEMLPIMTEFKEIDSCIRSSAR EHRNVNEAFFLCQKAVTYPIAPLFDSKESVLKPAAVNALQRIFYLCDKDHNGYLSDRE IDDFQVKCFGKPLNDDDLAHIKETIQRTCPGSVTPSGIDSQGFLHLNKLYVEKGRHET VWIILRAFQYTDNLSLQEDFLHPRLEVPPFASAELSPAGYRFFVDLFLLCDKDNDGGL NDAELASLFAPTPGLPTSWADGSFPSSTVRNEAGHYLTYLGFESTDRSNPSTTAALKI TKPRKRRRRPGRVGRNVVLCHVLGAPGSGKSALLDAFLSRGFGPTSHPTIQPSTAVNT VELPGGRQCYLILDELGELEPAILDNKTKLLDQCDVVAYTYDSSDPDSFAYIPTLRAK YPHLEELPSVFIALKADLDRTTQRAESQPDEYTSRLNMPGPPLHVSVTWNSIQELFVH IAEAAMEPSTAFPRSEEDVEGKWMAWGIALGAIVCAGAAAVVIWRRVSGSAN UREG_01181 MPLGIHNPLPASLRSECRKAGKILASFVDPRQAFGPDKIIPPQI LANAKGLAVITVLKAGFIGSGRFGSGVVVARLADGTWSAPSAIATAGAGVGGQIGFEL TDFVFILNDYAAVRTFSQAGSITLGGNVSIAAGPIGRNAEAAGAASTKGVAGVFSYSK TKGLFAGVSLEGSVLVERRDANAKLYNARVTASQLLGGAVPPPPGAEPLMRVLQSRAF SGVNAYDDSMYNDIPVYDERHDDVVWEGRRGDALGEGVPRHRSASMNDEYEYHDRPRR SNTWADDVYDRQFTGGLNRSATGRTSQNDRFGNIGSRGRSFTSGGFGEDYVYRDQKPA RPTAPKPVFGQKSSPPVLQKNQAVALFTFDPDQEGDLGFKKGDVITILKRTDKKEDWW TGQIGDRTGVFPSNYVDVMN UREG_01182 MDFSLRQQTSIASYDRENGRDTSRQRHVPPLSIRDGSHHVTETI SQMYEDDYDRRNSNRLSFVATQQDETISTTPIARNNADSRTSPHRLQHLPIRTGSFDK QRPNGQQGSPRESGGQRNNGELSPGLSRAAATETTTTAFEVNDLDYGSNPAAVAQELN NLAALRRMSMDVGAIDPDLPSFGSGFSMPSIAPSPSAGEDDTSRLFWVPARLHPGIAP KEFKTFLESKAEQIKRRSGELSFLDPSLGMQQGAGGELRRKKSMLSRQVDSSSISSSR SDSIRSAPASSLQALAEEASSSALSNHFADDKPILPLAPPGHSLRRSTRTTYRKGSLR AGERVPRRFPRQSDPNSDAALRRSPPPLEETPILGLTRVSTDPTPVLNSPTSSSRLAP HRSLTPGDSSDAGRESRQERPNLGLRTISTHASTPHTPSTPRSILKDSPTHIQHDNIH SADAISPIQPQQHFIPERKSSHGAPPSLPPQMPLPPEPTTARPRKTSSKQGKEIPLAL KIASHLPPLSEGMRTDCLRVVTSIAEDKKPEKKTKEKKDPEGGRKSSWHWRRSTEDKD KDKKKDDEGKKHKSKSSRSGDKMHDNTRLDVLQTSIDGVKPRESVIIDRSGDDDRRNK KADNYEFKKEKESGLFSSIFGSKKKANPRRALYSQVLLSNFMYSYLAKVQQMHPHMSL PTSPAQNQQRKKELHQQQQQQQEQADEYSQYQEYQQGQEHDQYGESVSYVDDERMYYD MEGNGAHNHSTNHDPGNEWVPRHNQRTTSNENDDDDDMW UREG_01183 MPTLSYGLTYVKLLLLAFLVGFAIATGVSLFIFPTTSRSMIFHQ LRGYPSAVKSLLDEQVTYIKSTESGGPWKITRMATVVRRATSSFSGPRPNDEKVSKEA PNTFESPGLRTAIGKLSAIHSQVNAEMRYAKQEIVWGTLTPEDLEKLISLLRSLFLSL SGIAMLPRVFKRLTKAVQPQRAGMDATNAEVTRQDTFSPIVEDSPYETQEGTQEHFVQ PLCDRLEAAKALVNSGLQHTFTTLQLSKSKDFSTVIRGRRFSFVSRDEEEGVETGPGQ KGFTANFERKLYHFYSQRKNLPQHWANLNAFSPASHDEHNRSPEFREIRKEFFVILFI GHLQDILLQSIFDLVKFADSKVADGTMRHKRVIFPKSEYLKQWLLGGWPEEDDTATKI PVVGEQTDYEPSIHGKDPLKTRFADPEHLPPANRWQRFGNWLRRLSHLLSSKESAFGL RVAVAAFCVAILAYLKQTQHFFYSNRINWAVVVIVIGMSPVSGKSLFGLIGRIVATIL STGLAFAVWYIVAGNTAGILVFLYIANCLQYYFYVKFPRFIPACIIASITFNLVIAYE LQVRKLGVAVSASTGLNVFPIYLFGPYRLVAVMAGCAISFIWVIFPSPTTAGSQVRKT LGRGLFVLATFYNCMHTSIEVWINQEQGDLDDPQSPARLLENARNKLFFEELTLLAEI RNHIEFTKYEPPIGGRFPKEIYERISLEIQTILSSMALMAHVTRNLERMVPEELPFRE SISTRRRWSASTWSDSGRNEEKWIEHLARAASSQDFHSQVITSVLYHLSAAVSNGLSL PPYLAPPHAFPLARNLRKMNENLLDIRNIEDPSFSAFVSVEVLSSVVNSNLKTLVSDV KLLVGELNFDIYVHRHRHRMRERHDERQQRQGEDDQRSKEG UREG_01184 MSDAYERERQNNAFLESLSQKTNALKSVTIDIYDNARNQETIDN TVRSSPALSPPSLLTCFYLTAILLIRGGSAERSILVTIHEHQKQRGPLDPCRKAR UREG_01185 MSQRPRPGAARAAQNQQVIKDLLKLNCNKTCADCKRNKHGPVGI SASSSVYVALGSIEAWGRIYWEAKLPPGHVPSEAKIENFIRTKYESKRWVMDGPMPDP STLDGEADDDVLSFKKKAKLERSASHRTSTVTRPSTQAQSQSINLFDDNTPTPPTRPK TTDIAGAQAPVKSSQPPAASKQNKPADSLLGLDFFGTSQTPTASRPSSTPSGPTTTGP SRPDLKQSILSLYASAPKAQPAPQHDRTTSFGSSVSFQTQPKPPNEGPETTRFVPGRS FDLIDATMGSKKSAAAPPTTSTNDMLDLFSSPPPTTSPPVSSPPNTNDFNSAFNLSAP KPHATKQTPPTNTATTTSMFSSVNIDPWGGNAWTSTDPSPPVTQSASIMKVPDTLTAN DIGRGWGAPSDVGGTSAPKPAPTITGDEDFGGWTSAMPSETTTSAPKSKSAGGFAGND DLFSNVWE UREG_01186 MEGSLPRSAPLVNELAMSTDQRELDGAAREVIDQSFAIIINASK HHRSLQEKAIAREFKMQRDYTDIRFEALERQLISVNCGLHGRFGDIDRQFGGMSNQFG NMDRQFGGMGSRFKTVDERFREVEQRFAEMEHVMDRLSATTMNSKADRPMRALHPISA YQPDRGYHVHEDFPKTVGHFWNLKKKSRLPQLISLSLFYGVSRDELIEPVMSQEHSVE QVQQYAALTRKELIERFPESAHMALADRFGLKYHKVAAFMSRLGDYRFQSPRKRSATD EATEAPCKVVRTEIVPQSCVDITSEAHCPAPRFVPFSENEIVPLEFLVSNTPSTVESV YSDRTQLGWEETVDRQKLNAVLAVEAEEIRREEDRKFEERFTASLPYVILPAPPVSVT DSAPDSPYHPEAASEASREKDPKCDDDQQPIPVEPGSPRSMQT UREG_01187 MSFLGGLFNRFSTTATPASSMVYPDKRTEDEWRAVLSPSQFRVL RDKGTEAPFSGEYDKHRPESGVYKCAGCDAPLYKAEHKFSSGCGWPAYFDCIPGAVTR HEDNSHGMTRTEIVCSNCGGHLGHVFKGEGYSTPTDERHCVNSISLKFADETNGK UREG_01188 MASLPQNIRGSASQLFFQDKQTSQYAAQLAISKLAHPDRAILAT FVEDAADPEVAAKYLLREISPEDSSTEQPLVTLDEFLSRWKTLVEKFRPVQAVELSSD TKLTIFNRDGGCCCVTDIAFKSPSDPNLVFIHIVPPSVFTDPSLSEGAHIRISCDCS UREG_01189 MTVYKLPFGLYLRRGSPGLFEKYKVEAHTLRMVEQFTSIPAPRV IDVLSTPRFSYLLLTRVPGRPIGPMISVMTDEQLEQVVVDLKRYVAELRKMPKNVGEL QICNSQGGGVLDWRIPDSQREELRFETEKHFNKYLTDPFWDEIRSRAAVSHNIPHDIV FTHGDLNPRNILAENGKITGIVDWENAGWFPEYWEYTKAHYSVRSLIRWLADVVDRVF EGYRDELIVENMLSDLLGPF UREG_01190 MADFEAIGVLEELENEYCPPLDPALFAAIAHDYNLEDDDVGSIK ALRDTLDELKVLAAAQENCDFDPSGTSGNGALEEDGAASELSVPPSHRTLRSLETNIT SLESSLSSLSVEKGKPTGKRKQPEATAQSGPTASNLSQRGAAFSGLGLEEKKVYLNEM FPSIDQFTISHTLGKCDGDVDRSMDVLLNLAFFEDSNNYNSTDANDDRISIPKGVEGF EEGTNHKTARRKGKGKRSKAKQMLEQSISTCAEADLNRVNIDNKWDNGKKDVDFICSR THLSMQAVNSAYHLNSAHLPTTIHYLARKEIEKNSNIMDDPVTIEQIAELHEEFSTVP SIKLAGLLQMARNSISAANELARVMVTEPEIPVINMKLNLTPPISTQMEYTPVRKNQK TASPLPNSRDAGISFGSSRILADHHRRAGETAFNKAQVAYRRGKSDHLMGAAASYYSD LGRKHIEIARRETSAAADALVDSQSRGTVLDLHGVSVQDGVRIACERVEDWWESLGDA KYAPGGGGPVRQGFRIITGLGRHSKNGTAKLGPAVASRLAKEGWRVEVAQGHLTVTGL VRHR UREG_01191 MVSLPAIRAHNASLKSLGPGLVAVFVGGTSGISLSTVLAFARNT ISPRIYLIGRNRSAADAAIASVKNINPSAEPAFLQSDISLLKNVDRLCCGIAAKERKL NLLFMTPGYMTLKGRDETTEGLDRKFVLHYYARMRFVANLLPLLTAAAQDPSIDTKAR LSRVVSVLDPHVAVRLGGSGKLDFSDLSLKHTFSLNKCGAHASLMGDYFLEGLAKRNP HTSFVHAYPSGVATNLFRELPAGRVLAAVLTPLLKPFMVPIEESGERHLFAATSEKFP SKVEGEGMKGSWRSQRRDERGVVVTGSIGMGRYSQRIRNWKVQGIRARWRR UREG_01192 MNVHPPFLMRIARGIKSNAGSYSTKPMHNAPDGKAYQFNFVSDD PSRPGILAQSQQFTVQSGPHAQSDSSSSNGITTPATTITPPPGPIPSLTSSLTRNPNN TAIIPGYRGNTVSLSSNSTAAPTSTRRSSTTRVASGSNAERTSNAAPVLQAYSGVGGL VLAALAFVA UREG_01193 MSFDRQRLESDAAYFAQSLEAAYLQAGRSFNPQEDWRVVKKRVD PQTLFRAVGRRGGYHQVSSDKRRWWEIAEEVGIQTGLAGTLSFHLRELYRERLLDLEI RTGGYTGPIAGINASTGPTPHLSSSLPAPPAPSQPPSSLFVRSVSRSFLPFTTPEEEP EQSSSRMATPAAEAAISESRGDSRSPTPEPGPPSIIIDRTGGDIFHRSYMGVRSRIPE EVEAGLYHLVIASDVYQNQFFFADFPLLLEALLQQVLTVGELVHGVEWEVNYNYAYFD DEYKENARFDDLCAEGTFDILERLRRLPIIFPEADVRDDETEKKLRMINEAALILRNM CQVDVNANFLDIVNMARDCATIVLNLPPMSVFTEMKNHTLEMVIEACLWWPLWENDPL FNTLMEYLNSNDRFQLLSALRALVMFSYELEGVKKFGLPKSTLVRMTHMLLLDNDPEL VSAVLDFLYQYVCEPDNVEFMVNNLDLPTTLIPRLVTLLLYDAERQHELKVYKGAETR PPPSRIAIPPPRGVEALLEFQEPERTARWAQCVFMEDSEGEIAQRAVWISYQRTFAEF LKPESHSQLLPAPEFINTVTHAFSIARARVINEGNGQKFVIQGIRPREVCNDMNGFPY HYCKWRVPKQPASASSAQPPVLPPTVDAEQGQDVTMSDVQDATASSSQPLAPTPSSNY HPPTVEDEQSEQPARQTPAWDKATVAGAEVYRVGPPRPLTPETLKRITDEAPSRMPEY LDLKDLTFTGNSLNDPELEVCNRVYVDPEKYRKHVLLVHMGIRNGVDGKWYPFDKYKK VPEPVCRFDRCKEFSTPTSNLDQVAAHVSGHLPPIVNMDDPPREWGRPVFYPKRFIRW EYFVTPQDENKEPYGIAYKALLIMRNILQNCPKTKPKGRFEGTESWGVALYYSQRAKL LELADLNPTLRKELFDFVNDIDNSRWGFIFEL UREG_01194 MERHSSCPLALLVLKFSYVTTTVGRTSPLSWTHIAGGTDLLAVF DRVRVQENASSWTAESKLTLKVLRGTDVLEDLDLGALAREAVASSSSQQQVPNAKTPI AVIVKSPCLAIRYPTRVNQIRRFQLKFSSDADYCRAVSVLSEAGCPITESTAAPAPPS APFKTRLDPPSWPQSAVTPPPAPTASQFGDHGILTPTTALDARPSTVGSASTIGTAPP SRNAPVTGGNTTSPWLNSHVSNERPATAPTFPDPESISQLLPPKRELPFARPQWSRHA LQNQTPTSATEALKPMVRTHSHQQSNSDPRIHTPTRLYSDGPSSTAHTNSMENKARNE PFTGDREQSAVFSPASLSPQKRPSEGPTSLTKFARPSLADSHNSNPLHTRSSPALRFA PSQPGPETHFPSPGPIVNTNNQSVDIQVNRLATAGTNPVPTPEDLSSYLLTPTPERSA FIETWVWQQKRSNIIV UREG_01195 MASTSLTESAAAAASKTTKEAKAAMENPSQSVSSFFHTPFMRAA LPFLNGGLAGMTATTCIQPIDMIKVRLQLAGEGVRTGPRPSALGVTKEIIASGRVLDL YTGLSAGLLRQAVYTTARLGFFDTFMATLNKRAASSGTKVTFGERAAAGLTAGGVAAM IGNPADLALIRMQSDGLKPKEARANYRSVIDALARISKSEGITALWAGAFPTVIRAMA LNFGQLTFFSESKSQLQAHTNLSPQNRTFAASAIAGFFASFLSLPFDFIKTRLQKQQR DPSTGKLPYKGMVTLIVVDYLNLLTS UREG_01196 MDPAPAASSPSASAPRASVHIPPNHPLPLDFLTSDFARQQVAKQ QHSNYHSTSLRTMVSTSVNRTALHPTGVQPGKGHTELEEELYETAHIDCERVAIIANP SVAALYEDALVYETGTAITSSGALTAYSGAKTGRSPLDKRIVKESSSENEIWWGPVNK PMTPEVWKINRERAVDYLNTRNRIYVIDGYAGWDERYRINVRVVCARAYHALFMRNML IRPKREELEHFHPDYVIYNAGSFPANRWTEGMTSATSVAINFAEKEMVILGTEYAGEM KKGVFTVLFYEMPIKHNVLTLHSSANQGQDGDVTLFFGLSGTGKTTLSADPKRALIGD DEHCWSDRGVFNIEGGCYAKCIGLSAEKEPDIFNAIRFGSVLENVVFNPETRVVDYDD ATLTENTRCAYPIEYIDNAKIPCMAGAAPVKHHPPHLRCSRCPPTHLEAHQRANHVPL HLGLHLPRWLALRTIAEHKANAWLLNTGWVGAARVTTGGKRCPLKYTRAILDAIHSGE LAKVEYETYDTFNLHIPKSCPNVPSELLNPQRSWTGSASFKDEVNKLGDLFNQNFKKY SEEATPEVLAAAPIVVSASEATSTAAVEPPVSAKAAPASTVDPTQPVQVNGDHVHANG SSI UREG_01197 MSPVPRYPRSVPFSLFTREPRAQRLAAGPANFLRDIQLPLDNAH SSLPSLYSLSRPFSQSKMGSLPVGRLHKGNINQNILAARYAVRGELAVKAEEYRVKLE QGKEPLPFDKVIFANIGNPQQLDQKPITFFRQVLSLMEHPPLLEKEEALKSVFGYKQD AIDRAKLLLKDVQSVGAYSQSHGSPLVRRSVAKFLEKRDGFPADPAHIYLSAGASSGV NTLLNILCAGQDNGVLVPIPQYPLYTATLAVLNARCVPYYLDEASNWSTDVKAIHMSV AQAKAQGTDVRAIVVINPGNPTGACLGPDAVKQVIDLAVDENLVIIADEVYQTNIFKG EFTSFKKRLRQLQQEAPGKYDHVELASLHSVSKGMVGECGHRGGYFELVGFHADVEEQ IYKFISVMLCPPVIGQCLVELMVNPPQPGEPSYETYQQEYNAIASGLHQRALALYEAF KRMEGVECQEPQGAMYLFPTITLPQKAIEAAQAQNRHADEFYALRLLDATGVCIVPGS GFGQKPGTYHFRTTFLAPGTDWVNRIVEFHRKFMDEFK UREG_01198 MGSDPQYTRFPDLTLAQDVFNLANPACPAALREGSLKKLQTAIE EKQMAPLYRHLAHPVDGILNSSGEAAPQHNTATPNKPTVGCDMLPHTRTSTNVDFPWD ESLYERLLAENKKELDTLQKEEEEAAEAAGDTEVQAARGKRAEFWARVGDRDKAVAAY ETVFENTGVLGTKIDLVLAMIRIGLFFGDQLFVKKHIERANSLVEGGGDWDRRNRLKA YKGLHLLTVRNYKLAAPLLLDSLSTFTSYELCSYSSLVVYAVLAGSLSLKRVDFKAKV VDAPEIKAILGEGEDRLSALTGAISSGPGAGDEEMKDVSSATPGQASTVVNLTTLGTG SGAQADTEAPIDFTPLANLVSSLYNGIYRNFFTALAAVEDNFLTQDRYLHEHRAWFVR EMRLRGYQQLLQSYRVVGLTSMANEFGVTVDFLDRDLAKFIAGDKIACTIDRVNGVIE TNRPDDKNKQYADVVKQGDALITKLQKYGQAVRMRGSERG UREG_01199 MAPTNDIALVSQSPKAEETFPEKVEEQVVAEELENTSKSRWERS WPTIACGAGLFSDGYLNNASSSFPTRFMPEWPIIGSVNTVLRTLYPEAYASSAAVKNV PSIAFAGTVVGQLIFGYLSDHYSRKWSLMASTVLLVIFAALCAGSYGAGGSLSGLFAA LTAYRFFLGVGIGGEYPAGSVAAAESTGELKKGHRNRWFIMFTNFQIDFGFVVSSFIP MLLVLIFTENHLRAAWRVMLGLGVLPPLSLFYLRLKLKEPEEFNRERMHKYPIWLIIK FYWWRLFIVSLIWFIYDFSAYAFGIYSSVWLKIVLDDNAPLWKTFGWNTVVTLFYIPG SALGAWVSDWIGPRYCLTLGVAVQGIIGFIMAGCYQWLATAKNVAAFVVVYGLFLSFG ELGPGDNIGLVASKTSATAIRGQYYGIAAALGKVGAFVGTYVFPIIQNNAPNEIRAGQ DPFFVSSALCLFSAVLAAFLLPHIGQDTITYEDVRFRKYLEENGYDTSTLGNKNGDQS GVQRS UREG_01200 MVAVALAYHPTVAHYLRFVATTVGRDKALRTLQYLSRFLAWYLF RTNYSQAAISPFEAIKKQFALTRKLLRVGKNVEHFKAAAVLLDAKTSPSAAASSTADP VLKYLAVGRQLGYAAYLSYDMVTYLDAAGIRKLNSVKKLQSQALKAWMAGLVCSAVAG VYSMWRLRELEKSVNKQDGEGALEGKKIERERAAVTIQLVSDVCDLTIPTSSLGYVNL DDGIVGIAGTVSSLIGAWSAWKKTA UREG_01201 MLINGEKYACDACIRGHRVSSCQHHDRPLSHINRKGRPVSQCPH CRGLRKSRASHVSCQCAEKPHTKGECKNGPAEKGNRKQCCCSHGSRCVCSAKRDSNLA TVPEVGPAPSQKTNFQVNQSVSNAKIESSIVSHRPKVKHNNTSKRAHPYPMPRSHTIQ SPVEFARRSADNLPLPEDLGISSSVPAHDSITSAPRHPRRARSEHGSPVLGLAGLNTQ APLEMPYSTFDQASLPSPAFDYLQQWTGLYFPDADLTAEAASLGPPSVDWSTFDLAYG SDSLTATYSQPPSYASFDYANFSHPELSRSSSHDTSESDEFGSMGIPMQATKPLRLHI PTTSDGSETDAYHLSASPSHIDMPQPRILGPNHVDSLELDLFWRASEGLSHPSPLATV PLAPELQHYGLPQTFVSQQTSTPIDNVISLDTSGAFTTAESSDPIWIPSASPFPPLLS PIPMEAGRGTEQNPW UREG_01202 MTRWSVNDRPLHLRDSPPPELLRITRILPTLATDLERVEFSNMI ACKLGWFLALENEEMISTRIWRTNVNSRAQSIKLASPISRLAPRSLFILIRGAFIVIC MMAPARPKEEALANLSRKLAGDERQDRGEAARPEGYLAMKDCAPMPRNLQETALEL UREG_01203 MSERVPTEQATQEQGPIAPQPHHTGIIKSEPAAMASRDHGEMPQ APSYPSPSSAQVSQGPVSYYTNQRQLTAEELHLSAELSREVSAANINDNPANGISQGQ PMVLGSPNPNPAAVNRGDSTDQQPPAQQHLVQFTPNQQTGVDPNHDLSYGDQSARRKR TKVSRACDECRRKKVRCDATSESGVDMYIKELADRINSLESQIHPSISGQHDVQYQAL NEEASPPVRGYQEFSPTVDNNLLPRKRTFSMTEGAVLGLPIHQGARQPSVGGWPAQSQ TKDAHPDAMANLEVYASQNGAARVAQPFWSHGPLPTGAEPGEEAVPVAIDEKVLDTYY NHIHTIFPILPASRDQLRSNLRQANREIQEVFLHSLLAVTGAGSFRAENQFQEIPTFE KAQDRLYDWFRGDPSSRPLAANFVLLHSIILMILEADQRGRENFRGQSGISKATLVEG GVSLGFYIAKPLGQLDISNPDDKDIDSDGNLARRNWIVVGILARWHMMSTAGPDFFGN HDIATYEDTNIINLATMQLARYSTLFTELYDIFSESLNNPTYGLSRAFKRVITGQLTR IRDIERLDFTNTDAATVNTVESFSPLVYWSIHLLLKRHLYIYVPAELIYPSQVIIEII KKQAENATPISSPFHFHFLALAVITLLELTDIPELVNDTQEILEKALAAISLREKHAA TAGEFENIFATQSWENTIRLFIEARMNQLRQERVGNQISGNHQPLGPTEQRSLQHLAD LAVGAGGKAGNASSPRSAPPGNLAAENKEPTLPVAPGLEEQKEDYPIFIDFTELTKKG YLNVLAGMST UREG_01204 MAMATSSRRRSGRQRAEPDVEAEMSSGEEIVIPNYERQTYPLDD EANVEIQEILQSEEFANFDLHLRRLAEALTSTAGEVNDALADAKGRYERQKRKREEEK ANEDGDGDDDRTAADDEPDGNHIEDLEMRVDVTTKKLEEEMRRLVDSEGGAVEEVLPA TEHIGNQLKERQAQWENLSLTQRYTTNNAYIGFYRIVHDSKHLGDDITPLPHPSTWLS HIETPETAGSSSRPARQERGSAAGNPDDDVAIERERLSIRCPITLLPFKDPVTSTKCP HSFERTAIEDMISRSTETMLVPLPNTNNGRRRVRCIKCPVCSAQLALQYLRHDPVLLR RVRRALETAAMDEEEANNAEAVDEEEDDQDMAIDTKESKPVKVKLEKVDSPPRWLSGV PSARVVTIDDDGDEEMADAEALEEEEEEEEEEDDE UREG_01205 MVASRTGRALAGVLGINVDYRQEPEDTFRSAAKSISSVDTFVEN EPTTAEWILNHRPTAGGTTVGFVVVPQGMAYALLARLPPEYGLYTSFVGFLLYWAFAT SKDITIGAVAVMSTIVGNIVIKVQRVHPDIPAEQIARGLSIICGGVLCFIGLIRCGWI VEFIPLVTITSFMTGAAISIAVGQVPSMMGIEGVSTREAAYKVIINTLKNLPNTQLDA ALGLSALVLLYFIRWFCGFMSDKQPNRKKMWFFISTLRMAFIILLYTMISWLVNRNIS DQKDAKFRILGTVPKGFQHAGVPHMDTRLVSSFASDLPASIIVLIIEHIAISKSFGRV NNYVIDPSQELVAIGFTNLLGPFLGGYPATGSFSRTAIKAKAGVRTPLAGMFTAVIVL LALYALTSVFFYIPMGTLSGLIIHAVGDLITPPNVVYQFWEISPVEVIIFFCGVLVTV FTQIENGIYATIGASAAVLLYRTARAKGNFLGRVSVYRVTHDNFHRRDDSKITKEDPT WSVREAFIPLDHQDGSNPLIEAQTPYPGIFIYRFNEGFNYPNQARYLDTLTAFIFKET RRTQLERYDKLGDRPWNDPGPRNGAQINLDDNRPILRAVVLDFAAVNNVDVTSVQGLI DIRNQLDRHAAPEVVEWHFANVNSRWTKRALAAAGFGYPTSVSPESLGNWKPIFSVAA LSGAEESVTKARKSKNGDIETNTEEIEIYAGDQKEMLQIPKRIANVHGINRPFFHMDV QAAVESAILNAENRSAARALSTDA UREG_01206 MYDPARDRYTASDESLAHNATKHSTQDASQLSSQDKSVAGQDSQ EREAKEHPPVHSDTCRFQPLWRIALTSVDAKGALPSPRSSTTAANNFQNPTIALQDNS PLDPMEPSKKDNSALPSEDVPIHQASTSPSRPEAPSSLTAKSANQTQTEKSPTGGTHS DKKRKLPAVDQPTENPTIDKDQPRSKRKRVQERVQRNRKRGKTPPSAYSRRDDALSQL PRSPSPIRSPSPAAPVRQRKRPGGGARVNAANVEALRRKQEERERQRQEEAQRELRDR GVHDVVRQHYNAVPERGREWRKTDSKIKGLRSFNNWVKSTIIQKFSPDEEFLASNTGN GWTAGSGEEEKRLIVLDVGCGKGGDLGKWQQAPQPIELYVGLDPAEVSINQARDRYNG MRNDRRRRRGHPLYHAEFHPKDCFGEWLGDLSIIQRVGIDGNIGPNGSLMSSRWGGGG FDIVASMFTMHYAFESEEKARQMLQNVAGALKKGGRFLGVGPNSDVLSAKVAEFHKKR KETLAATGTEDTNGKQEGKDADDALEWGNSIYRVRFPGETPEDGVFRPAFGWKYSYFM EEAVEEVPEYVVPWEAFRA UREG_01207 MSYALASKKRKFHRVLESLSSNNTAPKAPHAASKNTATTPKSVH PTIKRVRLTPNSEPDDTAVHASQSPLAGRSSTSSARPSFVPWDRDRFLERLETFRRVD RWSPKPDDINEVQWAKRGWSCVDVMRVECVGGCGHSVVVKLPDEIDELEEYDTEKMEE RKQVHTIQRLDLAKPDHALKGLKDRYVKLCSLAQKLPARERIVLPENMNLDELIKMIP LEDLSASQLQTGSTHAGKESLQNVEGETGRQAGQATQTPINQPALSLALFGWDLCGDM HAGLASCNACFRRLGLWMYNPKEDGSSSIYPNLDVVNEHLDYCPWINAATQSGTQKKP GQAAGWEILERVIRNLYRRKTWSAEPTASVLDDQDENQDSKEVDAEARKAKDREWWAR LRRVRQALQVKGPKKNKGPP UREG_01208 MDIGFKVTKPQRRGGQAQHCTYNNHKSGQKRQSSISNCSLERIR SWKYDAVIRPEEQIPALFPEAGNAHEEHCYNNRGQQLNQLSNESTITPSQDLEFGASY EVPSYQISNPEDTYQPDLPLGRTIEDGINGNPSLSSPSASSKQHKHDDILIDETSQYC PCSKHGFTPDHTLDTSLSEEDYAIADIDDAALAAIVDQAELLYFSPQQTPPKPSSKFR QIRPYSHTAEFVEGPRPADSGPQLQFSGLLSPLSPFLRPPLPNGVTNIKSPIQGLNPS PIIRTCFRISEARKDGLSSSSFSAHEPGVPASSPTRPPILIELYAFVEGSYRLGDHQY FYFTDLFFPSQKPCLLGIWTGWVGNPVYEQCSRDFLGVGGREWGNGRQTTNTGKPSKI TERKMCRVIGAITIVKDLSIMNHYARLETLPASGTPQKALLMTVRSIHKAERSDVEYM KGLLEP UREG_01209 MARVAVGLGISLQFTKAVCSTKAMATILPMLTKRIGLAVVSKSF HAITSGFWEGGKGTRNKQLMSRKDPRKYQRREANAPGPSTRK UREG_01210 MQPRPTPKCRYFGTRKGKASSINVQLPFRSLKLDQGCRAGNSCL YAHDPQPLEITEAIQPQTDNRHVLLGNRGQILPSTRERDHLSSWLERVETPTPGSNQD LLNLPSRRPISRLQLENPREFQLNQVRRRFRPKESSDEDATYLTFTMVPTDPDFPHDI TGLDCVLRVPMGYPGRGNPSLEVKNENLNPDLRSAVEVRFSQLVNSAVSMGLLKLVNA LDRQLEDALTGKKTCPDHGKAEAINTQAANKTTTEVAVQDDDFDSRRRRREIAQLRSR LGRDRLFSTNPDGTVLIVPVRPVRPDLLPASLKQLSAVGLSVPVSYPATPCQLNISGV ADEVARYVEISFDKHVRDNPGMSLIAHINYLSAMMHNMAALPEDVGLSEAVGEISLEE EMTVPEAAPVSERPVPDNSTELQEPRQMEDRPHIQIIPRPPEWGNPGDERDNGDSDYT DGSEFDHSFSEEEDEEEIEVPKAQPIQTGREVLLSFPSLELHGIELLELKSVSLTLKC ERCKDTYDLQKLKIGDNGISVPPERVQICGKCSSYLTIGFRRELMHDHSNRAGILHLN GCTAVDLLPRLVITPDPSIEISNKSASVNRGLC UREG_01211 MAGKAWSRSIEGDIKTTRRKEASVGSNASFAHSLRMDKQLEMQN GLPEWT UREG_01212 MPSRLNTPVLTVDPAKIHEVDTRSAESLHGMWMVFSRCADYMED GRRLENLSWRLWNLETFCSTPQIKFHQRRIDRLRRESKDIPELSTSVDSAASEAERIE SHIKSPPSDMDFKPRIVGEDPMLSMSRGRERHITSIGLEKMVYKIKEKKSLEPITPLP TTSPPEQASQQQSLDITPRAASPTPTPKARNSWESVKPHNSTDSCSTAALEANDSEDS QTPASDTSVSSAGMIKSSSIVRGFSPSQISSSYRSRTQLNTGLVSSKPMTSLKASPLK KKSMFTLGGSSGDDESSFEDRMAIKPQRSSLTDGLHQVSLSQAKPPALKSTLPTTDFK SIRESTDDEGAIETDDEDMPESAIDDDSDWEDSVTESGRSSLDERELFQRVDSRPNLV SRPSLLTMMMHQPQHTMVSGKGRNHNSRSTPALQRSRLTSPNRQSSDEDEENNLTMRG PEVPRSRPIIVKSVPGQSMAHSPRTTRRNMLATELTESLRRHLLWERQQKTAPAATAF KRRHTAHDMANLQEYPGPKPVSEEQLPPPQRQPLVHPAVQQVKDKETSKNSSWNHYFD YGPWEYHVKGW UREG_01213 MDFARFFPKSLWKNNMNFEIRISDGFSPSSSLSHLEMSRVDGEG LTAFETQGGERQSMKDRILAPKTGLSVSGGFELAFLQSKIRRLRLCQDARGTGIERRN GTVYDPRQNIVEIEAES UREG_01214 MAPAVSYHGGNHTQMTLGTIPLSIPKAQIAQGKLNDNPACFRKA KPTTCVDESTDQDYQTMFWRRQGKSYNALEVFLHSFVV UREG_01215 MELPSLPVPLAEFVPYLGKQTRPDILNETALKPFHLYESKLREI FAQEPENKVVADPHINVVPVYTGHEGQLKVKPRDLEKESPAESDKYILPLSTAFRRDQ GSPAVVPSLREFKDNFRLFSESSLVDLDWSNVVVAGSAVTTCLLPLSGQVKSSRRAQR EFYHEKIAPASDVDLFIYGLNEEQAIAKIKQIETSIRNSILEETTVIRTKNALTIVSK YPTRHVQIVLRLYKSVSEILTGFDVDCSCTAFDGSQVWMSPRALASFVTQANTIDLTR RSPSYENRLAKYSHRGFEVYWPKLDRSKVDPTILERSFTHVVGLARLLVMEKLPTASA RDSYVDQRRAERDRPPSTKEKFYRNPHGNLKEYQPEDVAEWVEDEDVSNYHTFTIPYG PKYTAKKIERLFYKKDLLLNAEWNKSKERTVNLHRHPAFFGAVEHVIEDCCGFCPSPA TEEEIQVAEEESKRFISGKIQFMKDDPGRQAIGSFNPITDTDWTEMAYLGDNVRICQA IVDKDLETVKSCCAQEGFKIDKRDHTGRMPIHLAVMCSTPEIVQCLVDSGSRLVARVA GGYTVLHLAAARGDPEILRIILKKSEANKSEHLEKSENKLADAEEQPSSGDKHELESQ DPEDDDISIVQSLTDGAYAATQGSMVVVNKSNPEMENPPEETDDEGDEPNFYDDVNVV SWDTPINPLQLAVLYGHAEIVDILATEFGANVSLPIIWRKENHHAYHDVTLSMALATY HPLEKSKQVLRTMLELGASCTQADMKHITAFHSIVMEGEAALIDLLFELDGPAAQLAI NHPAILPHYEPEARMPLFTAVKEKGLPMIEKLLEHGASVSGPSERLRRFWARRESTKA NIVENFLIQPIIAAAQFGSPAIIKALLDAGSDPNAMTRASYAVLSSRYSSDGGQTVLD IIARRIAYLRNPTRQDSQTKSISPKAPVLKPDADYLKGLVEGSYEYYFVQNELELAKA AVQVIEERRKRDLKQEDEKQQKEQAKSKWAEAELKSLEGIEAILLEKGAKPFHKLHPG FKEKSTRQVHQPSRSSYPEEKPSEKEFSISHIFRDHDVGELSDPGYMQLFEAAWEGDI QKVKSLTLGSWDADEKKLPLRITAKNKRNIDPFTIAVSRGHFDLAKVILDIVEAQYTP KTTVPARKVYKIVVDAAEDEYSESESESGSQPESEKEDDHGISVRFNLVDQQHTIDDI RELTSIVKSSTPPWAVITNFNVDMAFFFDTARKKDAFTERIKNGYDSSIQSYVYGKEH LTGVGFLEKLCSLPNYNSNNLLFNYAVEKNDLDAVKFMVEQKSNYHDAKDEAGPPFLH ITTAIFKIAIERGYTDMLDYLISKTGTQFPFQSLMKKAGAKLDSKPESYQGLTVYGRK REDWAAEDGEQWNHGFKDEDNLLLRAAFSTNLDSIKWFLSDIPEQKYKEFAAAYADDK HVKSFETVPGGFEGVLSSWLHSRRDLALHCAVLGNSTKETGVAHVEMILDAVPSSLNA KNKAGITALHAAFAGQKIMAARALVKRGADQTLRDNQGRNMLHYILSPNKSGSKAVLQ SSRLFKALSSVLDKEVLRSLAIQRSRVQPGRTYSSWGMQTPLAEWLEGAGDPEPDMLR TILEATGGQELYVLDDKGSYPIHQAVRRNLISIVRVMLEMDPKLALLENATGVTPLEL AENKLFEVVLSSLKQGTRKIEGGDSAELWNGTPRIYESLYEDDRYRYAQRRPPPEEVH KREKEDKPRFASSDRVEYKLYRLLQEVAGDSKAQRKLISLQDANQLVKRLAGRKWKKA AEEDGENDANKNDEVGRFLYSRTANWEVDEILEQEKKEKKEKEKGKEGQGNKDSEMP UREG_01216 MAMSTVQMPLLQITPANNFVEQILICHKGPRGLDKILISPDGDI TVTNDGATILSQMEISNHVAKLLVELSKSQDDEIGDGTTGVVVLAGALLEQAADLIDK GIHPIRIADGFDQACEIAVSHLDKISDEVKFSRESQENLLKVARTSLGSKIVSKAHDH FAEIAVGAVLSVADLERKDVDFELIKVDGKVGGSLEDSLLVKGVIVDKDFSHPQMPDE VRDAKLAILTCPFEPPKPKTKHKLDITSVEEFKKLQEYEKDKFTEMIQQLKDTGANLV ICQWGFDDEANHLLLQNHLPAVRWVGGPEIELIAIATNGRIVPRFEDLSPEKLGTAGL VREMTFGTTREKMLVIEECANSRAVTVFVRGSNKMIIDEAKRSLHDALCVVRNLVRDN RVVYGGGAAEIACSLAVQEAADKCPGLAQYAMRAFADALDAVPMALAENSGWSPIETL AAIKSRQVKENNSRLGVDYMKEHFVIDPLIGKRAQLLLATQLCRLVLKLSFRLLANTA QVNNVIIAGEDEEY UREG_01217 MPLDFSEEESGGESIPFKPDPKEEASNQSEAESDDDAEGVFLDM NSAKTDGAQEALDEYFKIIGGRPEKQTKKRKSVGAASTPETMTSKKAKTLGHSSNGTP NGEEISDWTPKSNNWDRDVRAVETIVREGDNLYALLYWNNDKKIKVSLRQCYEKCPRK MLKFYENHLVFKDE UREG_01218 MSNGVLNMLHTNTRECLPKRRDSAKECEECEKGDAQNDERDVPK EAHFLEMQNKQRADPRNISASEVLVRRRHQIDHMKKDLPPGSYSFKYVDRCLRAGKLL DLEEFRAGPARGAIRPVGSTSVPAKGRRTGYTAKDDQILYDWVKPIEKSGGAIRGNKI YQQLEELHPQHTYQSWRDRYLRVVKDRPRPVAKGDTAGAAAATDPPTPPSSSIRDEPA LNPSEAAPDSNPLHRLSHFRIQKQMGSFARHPKSWISTQLERTNFGMKWKNYFHAFVR PKHVARSRAKKESAQKSSPALSTKGDRQAPHTERSPIAQKQMSNVTEPTHKSAKRTFR ESDASSEERLRDNKKKRRTITGGSTRASPKTPENSNNSRKQIIDYLDEPTVSKRAVRY EVSEAPSQPASPPVVITIEDDTDDDTQTRQKSTEPILSGALTSAEPVPTSSNTSQPNE LYETAPQVQPTQDIFADPSQYMDDLSNLLRPTLPSIPEGAQSNSQAMTEEEKEEIQEL DQWIESRLKTGRAKDEEQIIQALSYTSMNPYLADMVLDRLKEGSDVPTDIPGIWTEEE DRLLETGNGYDVQKLQEKHGAEYFDIRGQYLMTLRQVVGLEE UREG_01219 MASTLTREAAEQSSNQDRTKCTAPELLLKVVLAGTLSHYETRGM IDDKRLEHMLLAGKQILYKSHQESDVRQNLGFSPAIWQGLTDVLTKAIPVLESQSFAW KNPASANYDGSSANLIAYNYFSLVKDIERLNDLCTIARNLLATTKRAQNLAAEKGFDQ RILMLIDTCVRVTARDMMGEYPRNEERWQKVVSLYKRLLITCLQFLHNFIMHNEHRKL VLWLDLFGYHQNGESSIITHMEPLDQANATPQGVAPIVRAGERLVNPPLRTLYDQTAE DLLLETISTFPREPATIKEEAAMLLLANIKDHMEKLLGRNLKEIQQMGKDPERVKEIR AALTAILGAKVDGWADLSERQGGPSKEEEETRKKPILSIDRTATTGYPRTCWTDLPDL DEYDAIAEINTPVTAGDKLMTRSAQSAAETLQEAKDELMARLQETSPDMVEGDSQYDS TDRRGMRSDDDSRGTDGLADASGDEDEDEEDDEDDYRGRPGDQQRGLLTDIPLVLGPS EIEALPMIIQAGIVDSFGPKGPQQPGVRNMQAVRCHILLAQETGRNLLRELLIFIAAW DLPDDELYFKMMVQIMEAVLKNGLMSHAYSDFGQAKDIISPAQAVVIKILTHIFRAKY SPPNITSATETPTGRSSVPVTKVDLYTVRYIFTIFRGNIIPETCALIYLQGQIRAGHA LPEDFPLNLWDMERVYEGVYQFLEFFAVLTENTEWKNLLVQWEIVYDLVTLIKELEAS IPKGSLSSLTAAASRTQAPRDSVQPDNAAPVAVERPYEPNDPEPTDAATVSAESRAPS PPMGNEDPSEFEWRNLKKLVILVLSSLVWKCPEVQNQIRRYHGVETILSCTSFDAHNP YIKEHAVMCLKFLLEGNRENQRVVEELEAREVMKDDGGILQRSGYEAVLDEVGKLAIR KKDTNAATAGPSGAERK UREG_01220 MASPQGDDHIDAETADLIIKLQEDDLKELDDLKKGKGRADQLSD ADLAQALYLQELQNGAIAFADRRIAQSISSAASSDEEVLQEHAEQETNAIRDREIACR LGGITAGTAQPPQAPERSVEKKLVSKFHTLDIGSSRQGHHEACNEGPSEGSSSRMPAN ISLVDPKETVVCDVCADSTLGGEAKRLICGHIYCQNCLQRLFMDSTIDESLFPPKCCR QVIDFEEAKPFLSAEQIEFFQGKKKELETANRVYCSSADCSAFISPKTIKHGKAECKI CGTITCGFCKAESHEGECLEDPALQEVLRLAKENGWRSCFNCNRIIELRFGCYHIM UREG_01221 MASYEVEHSTTPDTAADNQPHSSPRHHRRPDLSSFFATLNEITP NPSESRTRPDAVPVPGDVSAAFRSLAEALDVIRRETESGGDAPLPVYDGHQPEGLGLA GEGADGGLIGQMIRTLLQEAEAPPREVEGVSEGFCDALERVATASLKPSQSCPICNNP FLDDPYPLVVRLPCHTSHLFDLECVRPWLRLRGTCPLDRFDFGKKERVKEQERIEKMM KRRTATEEDEDAEWDGMYG UREG_01222 MAFILAESAPWHAGEVKMHSLMQVPYEDDPTAPNLTPGGGYFVQ MAPLMAIGTLDKQGLPWTTVLGGAAGFAGQIAPSILAVRNTVDRRYDPVIEALLAGKS DGEIVKFNDPGRLMSALSIDLGYRRRLKLMGRMVVACVNENDSGQNRSDHTGMAQLVF KVTNSLGNCPKYINKKHISPATPEPNLVSDSPQLPQGAVDLLNRVDTLFLSTSHHRES MDTNIRGGPRGFVRVLSNEPTGAVLVYPEYSGNRLYQSLGNLQTTPQAGYVFPDFETG NVLYATGKTEIFVGGDAAALLPRSNLAVKVTLTAARYVEKGLPFIGKPGEPSPYNPPL RYLPTEKVAPGTQLPEGKAVSARFIKKEVITPTINRFRFQISDPLVVGKWIPGQYATI SFQDELDMGYSHMKEDDPTSINDNYIRTFTVSSHPQRKLADNEFEITVRKHGRVTNHL FRSSERSAMEVSLRGFGGDFRFENLDNDGRIIPFVVGGIGITPVIAQLHTIEFSRLRL YWSVAAQDIALVHDTFEQFPGLPKSTVLFLTGLERAKANFGEKEQGMLKFVLESGAEV QERRLTADDLVLDGTEEWYLCGSAGLRKNVLSWLSGKRVVYEDFDY UREG_01223 MPLLDYSPLQETQRIFDLLCGLKDSLSLPPDLETNAKDLAFTSE KDTVYFPIPLKETETAAALKGIEALVANTDLLEAQSNPYRRMSANLYATKTPGAYYHI HGSLEATKTLGMIGLPPYRPDLKTIDDIAEEIECHVKQFTIEELEQKNKELGQAGVIA LKYDDFIQTPHGKANVGKAPWTLTSLENSTPPAPLPTDPAGTKPRVLQGIKVLELCRV IAGPVMGRILAEYGAEVLKVTGPNLPDVPFFQVDVNMGKRTTDIDLKTDEGRAQFDKL LAEADIILDGYRPGTFDKLGYGAEALSRLAVQRGKGYVYVNENCFGYEGEWAYRPGWQ QIADCVSGVAWAQGKFMGLNEPVVPPFPISDYGTGAMGAIAALTGLYHRATKGGSWHG RSSLMQYDLLLFTAGQYDEKIQDELRSRQDEAFFALRHQHSVDQISGTVIKTMRKQYP HLFEYYREDWHSKGFNADVSIITPVAEIEGVEVSFQRASAPNGTHEPEWSFHKNEDVK LG UREG_01224 MYILDCFLSASLGRPNGITSRDAADLFADDAEDDHQISEQEAVE TAALRASVRTARLLGEILSSVYAERKISVKFVQKSSKQFQDWKDVLPAALHWRNISLP NEDPRATLAQLHVNLYYFHGVILLTRPFLLQKILNQAGLQNGNGDSARSPEAGRRGPQ SPSVQTDSFSAACVRASLYSIDIVQSAILKRTLPRRDPFVIYWLFTASLIIFSNAFCT VYDDTDTTRAMQTSLDLHRYLADTDPLAQRYLQILTSFHDTISSNSDSRMAPRSSAST NQALFSNFFGDRPRVQSPDTRQQAASMQTMEAKRRSTRDDQSLQTGSTPANGEIAPTA SSREPLHTSPGMSTTEISPPDYSLDFDNFLSLISQGGDAAYQLDPQ UREG_01225 MPFKSINSKSLYYTVTPPPEANASNPLTLFFIHGLGSSSSYYFP IIPYLSSLGHRCITLDTHGSGASAYTASAGNSIASIASDVTGLLDALHITQNVVVIGH SMGGIVASQLALSDASGRIKAVVLIGPVNPNPAAADVFGKRIKIVEEQGMEAMATTIP QGATGARCSTLVHAFIRQLLISTDTNGYISLCRTIAEAPAPDYASIKIPVLLLAGEED KSAPLSGCEKILEGYQSQVKKMKVLTGVGHWHVLEAPDEVQQAISGFLDELP UREG_01226 MSEKISHPSTTSVVEAGKVDNHEDHAALEARLRKKFDFRILPIV TGIFLLAFIDRANAGNARILGMAKDLDLRGYRFNIAMTAFYCSYIVLEIPANMMCKWL GPKIWLSFLSFGFGVVTMCSAFVTSYEGLVVSRVFLGALEAGIMPGISFTLSQFYRRH ELATRVGFYASVSPLSGAFGGLLATGLSRIPKWGMIETWRNIFFFEGILSIILGAVSY IMLPKSPATASILTPEERTYATWRIAEETKSHVPEKTSMKHFKMAVCNVNVNIMAFAC SCTFLTMTSLSIFLPSILNSMGYSAINSQLMSVPPFAWSTIVCLTIAYTSDRTKSRGI WLLTVMPFTAAGFLVLILVTKPAVRYFATFLALTGAFTCSPMLVAWTVDNTAGPNVRA VSSAYVVSIANLGGIVATWTYLLPDAPRYIKGHAINFGAAVLCCILMTAATLYLRLQN KKKENGEYDYLLEGLDESEQAALGHNHPEYKFTP UREG_01227 MVDQFDPNFTENVINATGPKTDPRMRQVMTSLIRHLHDFAREVE LTVDEWMAGVNLINWAGQMSNDRRNEGQLVCDVLGLESLVDEITFSRAANAPDAATAT AILGPFFRTGAPHYPNGSSIIKTPPTEGGEVTFMHGRVVDSTTGEPIEGVVIDVWEAS TNGLYEQQDPNQADCNLRGRFTTDKDGKYSLYCLRPTPYPIPFDGPAGKILQLLDRHP MRPAHIHLIATHKDYKPITTQIFDSEDKYLSNDSVFAVKHSLVVEFKPLEGNDQATRE LEYDLKLKKPSST UREG_01228 MLGKITLEDHFNTPEFAEKARWWAGFFATDPDRHVREICDLNKI RLQYADEYGVGYHILSYTAPGIQDITDPAEALKVAQYVNDWTYEQIKDKPERYGSFAC LPMHDPATAAAELRRCVEKYGFKGALVNDNQIASDGKSLIFYDQPEWDVFWQACVDLD VPFYLHPKQPTGVVYDTLWADRKWLVGPPLSFANGVSLHLMGMVCNGVFDRNPKLQVI LGHLGERIPFDLWRTNHWFEDIKKPIGMPIKRTIRDYFRENIWITTSGHFSTPTLKYC IEEFGNADRILFSTDYPFENYKDACTWFDNIKGLEKGQKAAIGRENAKKLFKLGAYKD SEAPVED UREG_01229 MAMHQAPFLLSLPKSAVCRRRKRPPSSDVISGLSLNAFRLIFTS LFRRAHGEPPTRCTPAACHHPEPEHGQLASIHTIPRRGNSATDLARFCSTPVGMDPAL DQSQTQNASASGDFLPAYNAPSVPLAPPPDYETAVGANPPTPASQHGITNHKPLTLSI EGKYVYSSLSKPDPIYSLTHELDGHELSLQGILLTRLDQNPVRRMRTTVKRDVFALRA PPALHIGPTKYEIDGLRYLSGKNGYMTKKVSRTGMGWTVGGRGLPSFVLRPSTSADSD AELYEWRDRTNDHYIATETRRRWDKQNKVEISPPTLELRIGANVDKGYLDFLVAAWCM HNWREAKDITKEPLSWEECKRPFAIHLPSN UREG_01230 MHFKTFALAALTATTAVASQVGNAIVENHCPFTVYLWSVGGSTG PKQVLKSGGVYTERFHRDPKSGGIAIKITKVDDGIFNGSPQTNFAYTLTDTRVFYDLS DVYGNPFSGHKLVVDPSDPNCQEIVWPSGITPAGTLTRDCQNKSDVKLTLCA UREG_01231 MLQIPVRERSADMASTKAVILVGGPSRGTRFRPLSLDVPKPLFE VAGHPIIWHALKAVNKIPDIREVILIGYYDETVFRDFIKDCTQEFPQVKIQYLREYRA LGTAGGLYHFRDAILKGRPERFFVLNADVCCSFPLVEMLKLFVEKDAEAIILGTRVSN ETATNFGCIVSDAHTKRVLHYVEKPESHISNLINCGVYLFATECIFPSIRSAIKRRTT RPRLFSYPSSEHLESTYGAEQGDEGEQSEVLRLEQDILPDLADSNRFFVHETKDFWRQ IKTAGSAVPANALYLQKAFQSGSDELAAPSATIVPPVYIHPTATVDPTAKLGPNVSIG ARAVVGAGARIKESIVLEDAEIKHDACVLYSIIGWSSRVGAWARVEGTPIPAGSHSTT IIKNGVKVQSITILGKECGVGDEVRVQNCVCLPYKELKRVCVYPFSD UREG_01232 MEDQSSSIDMISKSMKTMVRKIQDLRHLGIENKQLPLPKIVVVG DQSTGKSSLIEGISEIKVPRSAGCCTRCPLEINLSESDTPWTCRVLLMKKYMYCSKKM LPTSKSPFGPWVEQEPEEFLFDTLTEKSMLEAVIKWAQLATLNPGRPYKEFMRGENSD TEPYTQVKFSPNVVRLDISAPKFPNLSFYDLPGVINVAEVDDEKYLVTLVENLVKDYI KSDNTIVLLTMPMTDDATNSSAARIVREVRGARERTLGVLTKPDRIDCGYEQWEELLS GEKFTLGHKYYVVKNNADPVVDHANARVEEHLFFGHPPWTREFAPYSDRFGTRKLQTA LSSLLLKQIQNSLPHIVDQINSRAELVSQELLTLPAPPSANIPYIVCQMLNIFTNNVH AHMSGGSSAHPFLKQWGQLAADFKLYLAKTRPTFKIGEDLQVKKPARHSAIDDDDDFC EILDMGPSPKRKHTNGGEPDMAKRLRASTPSIEKAHTAAVSSVQFVTEHFNQLPTRVF SPKDIRDINTDTYASGVPGLGNPQAVETLNRLSVAHWLTPMQTFLSATYSMIARVLMH EIENVFGQYQRTALYGALKAMILEFLDSIQKEHFRQAQENYDIECSKPFTLATMTFSK AQKEAIDMFFTKRNAARLREYMRRFEEINGKSCNPKMVTQVELGSDGFSKEVEIMAVL LLLTSLFS UREG_01233 MSDQDPAVQAAGNAVANLHLDEVTGERVSKSELKKRQKQRELEK KKAEKAAEKAAAAAAAGAGSAGKKKSAEEEESQLTPNQYFEIRSGKINQLRQTKNPNP YPHKFQVTADLRQFLKEHQSLKKGEQLRDKQIQIAGRIFTKRSSGAKLIFYDIRAEGV KVQVACQAQESTGDVPFEAQHEHLRRGDIIGIIGFPGRTSPKTRDDGELSIFAQQVIL LTPCLHQIPSEHYGFKDQEQRYRQRYLDLIMNDKSRNVFVTRSKMISYIRRYFDERDF VEVETPMMNAIAGGATAKPFITHHNELDMNLFMRIAPELYLKMLIVVSGLVKHITGGY ETVFHTPNWGGLVGEFIEETCINPSFITGHPQMMSPLAKYHRDHVGLCERFEAFVCKK EIVNAYTELNDPFDQRLRFEEQARQKAQGDDEAQLVDENFCTSLEYGLPPTGGWGLGI DRLVMFLTDNYSIKEVLAFPFMKDEKVTGHKEPLAAEVVGIEPSPEEGIPHK UREG_01234 MYPNTKDSFAGINPEESASAVSAQATSEAGPSEEGARSQQHVAP DTVSSTSSLSDIDDDEDDDIRHTIFKTIKIHTAKCDVCNQHNKSTLRRCIDCGWQICT PCWDARGGNGTHGVSHKFTGPVFSPGGPNFASHGQDIAADDSDETISIPDDEVKRMKS KAKANIKGKKPNQQANHGVAKGRASERAPGDKGKSKAAGTKASQSKAAIRKSAKTIST KPGSNTTTKESPVVLDGNDAGTDDELESESAAQTAATSTQQPQAEMSPNDPNNPMFYL CMAAELASNQEKEQRLRDQALRLQAAQAMAARARIQTALTAGKARSPLFVPIGSSPDR LQGGTATAINEHSPLPQSMAHRTGPQTTFHQPSIPGSLQPPGIAGPASQTSVFKRSIL QADAGENTTNREAPQPPPIAGPQDPRWTSGFTSSQRLRLDPESSWINSYTSSMWHGSF QQFSGPSGMDEEVEDEADSRSHGRPWDL UREG_01235 MALFAFQGANVPAELEISLATSSPVGNVYQKQSAISAGFHDNGW GTTPLPETLSGSIPFSARTWTMGGSPANDILRHSLSITEWRPVTGSLPPMNADDWESE FAKYRASPEYKVLNPNMTLDEFKNIYYMEWIHRLWGRFVGLSFVLPAIYLTAAKKVSK PMALRLTGIAGLIGFQGFIGWWMVKSGLKDDLFAPGSHPRVSQYRLTAHLGAAFACYV AMLWNGLAILRQHRLMADPQKGLNVLEALRDPKLNIFRRSVAGLALLVFVTAMSGGLV AGLDAGLIYNEFPNMGLGLAPPKSELFDPHYSRKPDNSDLWWRNMLENPSLVQLDHRI LAMTTFSSVMGLWAYSKFSPTMKRMLPRVAQKGVHGVVAFAMVQVGLGISTLLYLVPM HLASAHQAGSLFLLTWVLVLGSRVWHPSRTARLLQMVAKSRGANAPRAASPLIKNL UREG_01236 MAPVADSPVLQPTADLNQYRGYDHVHWYVGNAKQAASYYVTRMG FERVAYRGLETGSKAVASHVVRNGNITFILTSPLRSLEQASRFPEDEELLREIHAHLE KHGDGVKDVAFEVDSVDAVFSAAVANGAEVVSGVRTLEDETGEIKVATIRTYGETTHT LIERSAYTGGFMPGYRMENSVDPTSKMLPQVVLERIDHCVGNQDWNEMERVCDYYEKI LGFHRFWSVDDKDIYTEFSALKSIVMASPNDVVKMPINEPAKGKKQSQIEEYVDFYSG AGVQHIALRTDNIINAITNLRARGVEFIKVPSTYYDDIKLRLKKQGLVLNEDLETLQS LDILIDFDENGYLLQLFTKHLMDRPTVFIEIIQRNNFSGFGAGNFKALFEAIEREQAL RGTLI UREG_01237 MAPSPFAAGAAKPNLSSNDSKVLQALFDAESSPSPASSTAQTDE SLPPLPHISESELPALQEREIQAIRRIQTTDASPSIDAIKTTISELSALITDHPKYAS AYVNRAQAYRLLVDAESKEPSSSSLSAPATTPTPVSQIFSDLADAITLLTPNTPTSPV SPLQARILANAYTHRAYILYKAARTDPSSADGRNQFLPPTLQDASDDRLEELASFDFQ AGGRYGNPVAKQMAVHTNPYAKMCGAIVKDAMKAEIKEWADLR UREG_01238 MPVSNFSAPEKYSYLNGFGSYHETEAIKGALPVAQNSPQKPPLG LYAEKLSGTAFTAPRHENQQSWLYRILPSAAHEPFEDVDPSTYHTSLTKDASSLRQIP NQLRWNPFDLDENVDWVRGLHLVAGAGDPTTKTGLGILLFAAGKDMGNEAFYSADGDF LIVAQHGVLDIQTELGRILVRPNEICIIPRGIRYRVTLPEGPVRGYICELYQGHYQLP ELGPIGSNCLANARDFQAPTAYFEDEGEEPTEWKLISKFNNNLFCAKQNHTPFDVVAW HGNYYPYKYDLGRFNTIGSISYDHPDPSIFTVLTGPSDHVGTAIADFVIFPPRWLVAE DTFRPPWYHRNTMSEFMGLICGDYDAKVGGGFRPAGASLHNVMSSHGPDASAHEGASN MELVPKKVGEGSMAFMFESCLMVGVSEWGLKTCEKVQEDYNDESWTPLKRHFKNPEKN UREG_01239 MQEPEMRFLINAMRSLHCVHLLCSRSPSLTAYLSCSITTSVRLF SAAPSGTMASQSWLDIPASSPFSLANIPFGVISTAPSATPRPAIAIGDYALDLFTFAS AGGFSKLPAFQPHIDVFAQPVLNEFAALGRPVHRQVREYLQDIFRANTSHPEVLKDNE ELKKTALIPRKDATNHLPMKIGDYTDFYAGLNHAYNVGVLFRGPDNALQPNYKHLPVG YHGRASSVVVSGTPIRRPNGQILANPAADPKVPVFSPCKRLDIELELAAFVATPNKMG DPVPIAEAEDHLFGVVLMNDWSARDIQAWEYIPLGPFNAKNFATTITPWIVLMDALEP FRTQGLEPANRESILPYLREKRPDSAYDIKLEFELKNKGGQPTSVAKTNANNLLWSFS QMLAHHSVTGCNMNTGDLLGSGTISGTEPGMFGSLLENTNGGKTAIKLADGSERKFLE DGDEVTLRGMAGQEGSYVGFGDCFAVIEPGHQIN UREG_01240 MASPNLELYGYFRSSCSGRLRLALHLKSLPYTRHPVNLLKGDQL ADAYRALNPTNTVPLLVVSNLPDNPSPSFASFTIGQSVAALEFLEEAFPNARPLLPPL SNLAARAHVRSICLVIACDVQPVTNLKIQKRVKALSGDPAVWSRELSEQGFGAVEKLL ERSAGRFCVGDEITLADVCLVPAIWSAQRVGMDLAQFPNVKRVFEEMLKEEAVQMGHW QKQEDTPEELRA UREG_01241 MPLPIQVPPQIDPPPPYPARYHRAEGEHEHLRVFRGTFTIGNRE LETSGTYDSRHSAVFSFEDHPARRPSDAPNANANANATATARIHPTVRADCPNLVRPG LSPIQRVRTPERLDGARQQWLEDRLSMEQRLRVEKENNQKLREWIIKERREMRKEVQD RVHELRMAEGVKNENASLKEEIEQLKRRLARCEVDEQTDDED UREG_01242 MPLAKSLEGVRNEAAPGISYFSPYQNPRAGTAADPQSDGTKPPK LFQPLKLRGVTFQNRIGLSPLCQYSSEDGHLTDWHIAHIGGIALRGPGFMLIEATSVL PEGRISPEDAGLWKDSQIEPMRRLVEFVHSQSQTVGVQLAHAGRKASTLAPFLATGDI AVEEANGWPDDIKGPSDIPYSTRMGQPKAMTKADIEEFKEAWGAAVRRAVKAGFDYIE IHNAHGYLLYSFLSPVSNNRTDEYGGSFENRIRLTLEIIDISRQNMPEDMPLFLRVSA SDWLEESRPDLPSWKSEDTVRFAQAVVEKGGVDFLDISSGGNHPDQRITLGPAFQAHF AIAVKKAVKDRIAVGTVGSITDGKQANDLLEREGLDAVLVGRLFQKNPAVVWKFAEDL GVDFKLANQISWAFAKRGSTGFLKLRGSEQKN UREG_01243 MAALSLPRVAVSRSLLRRSYGTVQNTVIPLVASPSSPVNNALQG ALLATGPRMDWSREEIQKIYDTPLNELTYASATIHRRFHDPAAIQMCTLMNIKTGGCS EDCSYCAQSSRYNTGLKATKLSPVDSVLEAARVAKENGSTRFCMGAAWRDMRGRKTNL RNIKEMVSGVRSMGMEVCVTLGMIDGDQAKELKDAGLTAYNHNLDTSREFYPSIISTR SYDERLQTLGHVRDAGINVCSGGILGLGEEDSDRVGLIHTVATLPSHPESFPVNALVP IKGTPLGDRKMIAFDKILRTVATARIVLPISIVRLAAGRISMTEEQQVTCFQAGANAI FTGEKMLTTDCSGWEEDKKIFDRWGYYPMPPFERGQYRGKATSENPATSGVQEQQQIK DKSQAQANV UREG_01244 MISSFVGSGLWRTARAYQIYGANTNVGKTVVSTILCKAIPSRQP DEKLWFLKPVSTGPLDDADDRHISRYAKGISTRCLYQFDDPVSPHLAARTKLCPPDSE ILEAISKTLNNWAQQGPGIALVETAGGVLSPGPNGSLQADLYRPLRLPVVLVGDSRLG GVSASISAYESLSIRGYDVEGVIVFEDQYYQNHGYLRDFFHKKGVQLYTLPQPPGKGS RHDSIAALLDELKIKHQLRVERLDSMTTRAHETIWYPFTQHHGMTPKNITVIDSAHDD CFQTLKSDANSTIDQTSHAGSELLQPTFDGSASWWTQGLGHGNPELALTSAYAAGRYG HVMFAGNIHEPALTLAELLLDATQNPRLKKVFYTDNGSTGMEVAVKMALRAAAGRYGW DASAETVSIIGLKGSYHGDTIGVMDCSEPSTYNKRVEWYRGRGYWFDFPKVQMSKGIW SITIPEEMKASLGSDVEFPSLSAIFNLQARQDSKLAQKYRSYIKTTLDDLVAKQGMKF GALIIEPIILGAGGMLFSDPLFQQSLIQVIRENPRIFTQSSNPSPSSPSTNWSSLPIV FDEVFTGLYRLGRRTAASFLQTHPDIVVNAKLLTGGLVPLCTTLASNEIFQAFDSPHK HDALLHGHSYTANALGCSVAVSSVRTMMDMEDSGYWRGYSRDWDEQGTQQGESPVVDA GGPAVWSTWSQGLVRDLSFAAEVESIFALGTVLSITLKDSQGGGGYTSTAASGLQKQL TAGQNGFNVHSRVLGNVLYLMASVTSKAETLSRIEGLLRKALL UREG_01245 MKTTNPLLEAFRAVLEERRAKGRLRALKVLPPDVADFSSNDFLS LSISPAFRELYLDNLCKTSNSHRIASSGSRLLDGDTDYAEDLEEFLARFHNAPSGRLF NSGYDANVGIYSCIPQRGDVIMYDELIHASTHDGMRLSRAGRRTPFKHNSVEDFQEKL QKEIDLDPLVRSGKRNVIVAVESLYSMEGDFAPIREILDVLESMLPLSNGHLIVDEAH STGIFGPRGAGIVQGLGVEDRVFIRLHTFGKAVASNGAIVLCAPITREYLTNYARPLI FSSATGLPSLVATRTSYELMAEGATEPLQAQLQQRIELLRSSLANIAPASSAILCINH HPTSPIFSLRTKFPHDLAKICQKEGLMVRAIMSPTVPLGTERVRACLHARNSVEELEK LVDVIRKWVRVKEKELERARL UREG_01246 MAVAGRRCRQCSRLARAVQRGGIRKKNKADARPPLSLILVHDEL ELAPGELRIRRGGAELSARGHNGIKSVTQSLVKANLLPASSALSKGGGKNGAAEDVGL PPILIRVGIGIGRPASRDPNTVADYVLREMRAAQYQETCAQAAPFAEILEAEMVRIQS UREG_01247 MDRTKMFRPFLYLFLLSCFPSLAQALKFPHFQRGSNVVPVAPKA AAELTSLLHLDNVKRNEIFSEAIRLLDSMQSSSSCNQRAVTDLLMSCQALEGNQREHD QDFSLQLDQFKSLYAARLAVCELRGAGATVPDKCLPILNSSKENTEPQFHETFHRGSK EHRGVPAQLESCLHSLESRPQWWTSYSNNRQNAAVMCQAARFDIERDELLKHHRKLAK ITFGLTESLNQSLTDAANEAVKQHVFLNLINDLRSRIIADLQDVDVTARTRFASFVSE LEVQIRQTSSETKEFMADILSDTGLLSKDIRSSIQSIQDLKERVNEAYIELMKRNSES AAAAQENQQANIEVVSAVRQSLDQVKDQIQVLNNQEFSLLHSSLRSLNGLIFSMHQKQ TSLDQVSLP UREG_01248 MTWALSRIYCRQLLRESARLNSLPPFLVPAFSLPPKTQNFSTSA ASQSRIGAAPISVPPEVALKFIDLPKSKATTRVTSVDVPVVAVEVSGPLGKMTLKIPS FSTLKYDPESRKASFEIADAENKQQKAMWGVSEGHICILKMVGVGFRASIEPTAITLS PEYPGQQFVSLKVGYAHPVELGIPRGVKASAPQPTTILLEGVNKELKSDSGDRQSRIR ARVSSSMARLSS UREG_01249 MSYQKELLVAQLAVQRASILTQNVFHEKAKGTLSKDDFSPVTKG DFGAQALIIQAIRTNFPEDEIVAEEEASSLRENDSLRNEMWNLVKDIKLTDDESDRIL GGPLKNETEMLEALDGGKSEGGPKGRIWALDPIDGTKGFLRGGQYAVCLGLIVDGDVK VGVIGCPNLPLSDSATLSAEIGQSGAADAETGVLFSAVKGQGATSRPLSQGALPEGKA ISMRPVTDISQACFCEGVEAGHSAQDDNAEVARRLGITSPSVRLDSQAKYCSIARGAG DIYLRLPVKADYQEKIWDHAAGDLLVREAGGDVTDITGKRLDFSIGRKLSGNKGVVAA PKPIFEQVINAVRAVYAAKASI UREG_01250 MCKRSLSTDKKQLHLFVGDINTTSPARPRLCFAIGGQTAALHHF SASNHSSSRPASCILPLDTLRSTPRSAAESARRTTPAATMFSSSKKPFTAVTVQIDRL TSEQYDVDDSSGIVDLIEVIRLQSSGPQEASRALRKKLFLKTFADEPLLERLRVAATD SVSDPDVREKCKQLFPQWAVTYKSTPGMERVALLYKQLPTRKRRPRPQQSKVLKETEE AADQPMGHTVSISSGEGPSTVLSPTGRRSSMPPFPTVSGKSKDKKRNRTTPFNLDKER PEILQTIASASVASTNLTNALKLVNREAQRVSENSDVMEKFERCKSLRRQILRYIQVV ESDDFLGSLIHANEELVNALMAFEVLDKSVDYDSDSEDDLSIDDLRRFPDKTVPDRFA GLVLNPPTKPPRNAPSNVDKRLSYETASEDSAADDDDDDDENNPFGDRNAIPTPSIEK SGLTWREV UREG_01251 MQASDVPFNGHDAYTCLRDAGVGHTVTRELEDHLIKLFLSWENP FIHVVDEELFMEARAKALSENTADSGVRLGCYNQVLVNAMCAIGAAFTDQAISELPTS LSEFFQRRARVLLDADMANSSIATVQALAIMSAHEAAVTRDARGWLYSGMAMRLAVDL GLHLNSDPGAQREPLSDQEDVARCVTFWGIFIVDLNWSYYVGRLSMHIELGGIKIPKL SQSLRKSQNWEEYTDEALQEAHSSKLYDDPLDNVLEHQVNLYQTMRRLQLIFSDRKQN PLETINARTEKIITELRMWKKSLPSNVSDPENSLAGSAQKRTLPHVLLLHMQYHELMI FANHPLIAAADSSLTAKSIKTCNKSARAITFLLQVYSQTWSLRRMSVQGVHMIFSAAL VHLLLACTSNLAPQREIAVANLKACCEALKELSTPFRSASRALDSLSQARQKWQASLE NDTDTPLKLYFRIQQPISDPEIWQAVDKVICKGSHVEEDDPQSGDVSWLEGWMTMSRP SDSLVDELSRIDPRT UREG_01252 MGDIANGEQATMPSIESASPLRTPTEEEIPPVVSNDVDPDLEME EVHRMNPRKELLDDGFVTLSEAQGAVNDMTIQLQYVTKMELFFKQLLARKKDIESLVA SHCGLSNTELVRMADPIGPDKKKAWPRGCFNVCIPIEINNVGLNLPAKLAFRVPLPFM LGEEHFPGNEEEKVRTEAATYIWIKENCPDVPIPKLWGFGLPGGRSFFLPPAVSLWQR CTTFFRRLVCRLNGSPRFSEYIPQPRSWLTERGYVLIDWVGDDGTRILSDTFYKPHTK KQTRNLYRSLSKIMLSVARIPQRRIGSWCIDDEGRISLSNRPLFSHLHQFENEGVPGG IRPKTTYNNAESLYLDILDSHDNRLREKMNATPDELETRSQAKDILLMRALLRQFTDR RLANGPFAMQLTDVHASNIFVDKNWNIKHLIDIEWACSLPVASLLPPFWLTNRYPLEL CGPEVDRFKLCYDEFMDIFEEEEEITPLYYKGRCHYRAPAMRTALDSGRFFYYHALQI PLGIHDIFRDQLEPKYDEAPRDAIITGVSTFWAPKMKSVVASKIRDFKDYQGRVRRVF ESTRSGIPYIDAEKIKPNTRK UREG_01253 MPKYSYTSNYCYESDSDDSDDSSDSKRRVSSPTSTTPKYLLITP RESVLDGSSSVSDARYSRAASQLGSAVGAPSLGGPTWISCRTGWPRTVTNGSTISRRP SRFGSTVGGSAVSRRPSCLGSTVSGPTISRGSSRLGSTVGRSTISRGSSRLGTTLQES RRQSYSPAESTIQELGPEDSASSVGNPVRDLALRRHGTSAMGGSVYSNATHALDSLSA GDMQPRVGKKHRHTISTTIRADNDCFERSSTIRIEGRKQVSAYHKR UREG_01254 MDAETPGPSPAQAPAPGRTEGHYRLPHHAREIDRLRRQHEHIKS CCNGELLGFPLPPSTQPLRVLDSGCADGNWLLDFASQHPQRQFSLHGVDIGSQLFRDD PRLDLRKHNITQPLPEEWKNSFDIIHQRLLVWALKKTEWPTAIQNLRDVLKPGGRIQL VECNWLYPEVWAPDSELRGLALLKLWSTESVGMDCYVGEKLESLLEEAGFEDVTAVCH ELAYGAAVKIPENRNRSVEFWEEALRHQATQMGG UREG_01255 MYIRSALLALAALAGQALAFPLNSLPERDNAGLDIQLSSTGNTR VKAVITNNGDEAMSFVKFNTLFDSSMVRKVKISKDGSAVPFTGIFGYYDINNLPKEAF ATLSPGASLEAEFDIAETADLSEGGSFKVSADGLLPIAATKGSTKVDGAIQFKSNELT IDIDGDEAAKVHASVMSTLGKRSRVDGRTCQGRAGQIIVNSLRSCVGYAQAAAQGAAN GDAQKFQEYFKTTSPQVRQNVARRFQAIAQECSSPSQGRSIVFCQDVYGYCQRGLIAY TVFANSHVATCPDFYRLPARVNQGLGPDHGYVMVHELTHAPAVFSPYTQDYAYGYQQC RRLNAQQSLGNADNYSLFAAAVARGA UREG_01256 MIVGIGNVTELIVKPPPRVPGMVLLAAKGEAEVSGNADLIFCLL LGLGERKEGETGAGKGYRACGILASRYLRFLDAGIERGLFILVFGSMAAMRAQGKAAE SSLMAIFWSNGQKQPRSDCRITQRSGKTGDATFNDATRNSRSINNEFIKRASCYQSGS SWRRTALPANTVIPDPGSSLQGLPRPELHCLSRWACTALWCLFELLLSATTQEKSRCQ HHGMPMRTPGTQPRCKICDEMDGFPLEEPASSPNATTFERGFRREAQAARDKGLSLTG HLLMLESAPGN UREG_01257 MAIKRSRNQQSRAVKANKRRKVDAPAPNGAEDSTQDIVSVDQLD WKTVTLPDRIDDAEGFYGLEEIEGVDIIRPTGGGEIKFKASKSKIKGILKNSADAANA GSENWDEWSGFSDDEPIPAIDQAKDDKADGKTHKKKKGKDNASNDTAVNKQSKERVLR EEKENGIQAGVPFSALQDEVEEDTDVSAWDPLGLRAELQTSLARLKFCSPTPIQSACI PAVLQGHDVIGKASTGSGKTLAFGIPIVEHYLGRYPTGQAPASSNEDGTSGKAPIALI LSPTRELAHQLNKHLTDLISNAPHTSARIASVTGGLSIYKQQRLLADADIIIATPGRL WEVIGSMPGFLTKLKRIKFLVIDEADRLLSEGHFKEAEEIVNALDRVEKTEEGYEEGS EPGSPEEEEEEQRQRQTLVFSATFHKGLQQKLSGKIRYRNDDLLDKKESMEYLLRKLN FREERPKFIDVNPISQMAENLKEGLVQCAPMDKDLFLYSLLLYHPKHRTLIFTNSISA VRRITQFLQNLSLPAFALHSSMAQKARLRSVERFSAPSSDPSSILVATDVAARGLDIK GIDLIVHYHVPRTADTYVHRSGRTARASASGKSILICAPDETTGVARLVAKLHSNTKN ANEEPKRKKVSLQSIDLDRRIIDRLRPRVTLAKQITESVLAKEKLSSEDDWLRSAAED LGVDYDSDEFAEQQAKGTGKGRGRGGGRQAREQKAANLSKAEMAGLRAQLKGLLSKKL NVGISEKYLTAGRVDIDALLKGEGNSAFLGHVEELSF UREG_01258 MAPLEGIATLKADITHPSTIPLLLKALDPDLYDETDSENTNTPS PSKPHSHPVDLVLSDGAPDVTGLHDLDIYIQSQLLYAALNLAIGVLRPGGKFVAKIFR GRDVDLIYAQLKTVFERVSVAKPRSSRASSLEAFVVCEGFMPPVDCNGQSRSGFDNPI FGGAKSGVSPTVETTETDNMRWIPPFIACGDLSAWDADASYELPPDHVSLDPVQPPTA PPYKRALELKKAKGGAYGKTKGVVYDV UREG_01259 MRSLEPSSAGSPETALQRVDQTQPVSLALPFGRPIPFSSIGGPT YVTAQTLVQHVAYALSDKLFAYSPETFGLDAAVREWFSQSEANANGYPTTVQAMETRQ GAGAIALGYIFSKDFDLKKRHIPQSIIASSATLQYMRPALEQLSLLYSVANPLVAHIA AADYIGGPTSGLVSDYATAMSLVEDLGMGLVASQSAHESQHMALLSTLLATHLPTVHI YDGVRVGRETTRVVDTLDQPGLYNAYKSVLAGLSGSDQKHLDDQGKLLSLLKAFNNGL GTDYAPFEYHGHPEATSVLVTFGSIESSLAKQVATRLAEQGSRIGVVNVRIYRPFVED EFIRSLPKSVRNIGVLGQVQSDLAVQEDGMHATLYEDVLATLTFSSEIHLRQGCTEIK YSPQDTWNIPKITAAFQKVVEQPLLQAEEIEAGVLQLLDPSIQQYTFWHVDNDFSSSA ALVLAQALAKDSSLNVSSNTTYDNLILGGVLRTDIRKGSRVSDAPYPVNSADVSYVGD IRLLSQVQVLASLKAGGRIIINAPGVNDEDIEKRLPASFKSAVAQQGVELFILNTIDC QDPSLEPLALQAAFLRVALPDLEPVGLQKLASFLKNDDAVENIAANVENMLRKIEIAE SWKVVEDAAYETEQLPIDIRGTSFASFDKAEIQPSSQLEGWQTIAKSLCFKEAYGTAN SLRPDLSTKTFTVTVQENRRLTPVTYNRNIFHIEFDLGDSGLKYDIGEALGIHAENNP EHVHDFIKFYNLDPKEIVQLPSRENSEVLESRTVYQALTHNLDIFGKPPKRFYESLAE FATDPKEKDQLVKLGGTDGAEEFKQRSEVDTVTYADILQEFPSAHPSFHNLVKIVSPM KRREYSIASCQKVTPKSVALMIVVVDWVDPRGRARYGQASYYLSKLKVGTTLTVSVKP SVMKLPPKSTQPIIMAGLGTGLAPFRAFVQHRALEKAQGKEVGAVLLYMGSRHQREEY CYGEEWEAYQAAGVITLLGRAFSRDQPHKIYIQDRMRETLPEITRAYLHEEGAFYLCG PTWPVPDVTSVLEEAIGIHAKANGRKVDTRKEIEKLKDDLRYVLEVY UREG_01260 MSVFTSVAKRAGNRIFTATRHSSIATSSLTSSVTTLPSTGANAK LLSTSPILRRSVSSSSQRSTYVDEHDPDSRYAINTERNEYSKSGTDNAVAAQDSAWNI KNQTPESAKEESERESAMNGRGKASPLDVSPANQEVSRFTDESGRGESVVHGPSKRVS PRKGKKVDYGGGASAEAGSGHDSTKM UREG_01261 MDGYFKHGWGQPMNPETNITSTIANLVNKSGCGQARWVGGGKRW SHDSETAMLD UREG_01262 MCFYNQKKYACGDWSWSSFAAKCNHEYRMGETCGMKLVNHTEFI QTQCKLCEKIATKYRRRDGELARIRRWHDEGSVMKASIERSQSAVKDLEQEIKQLEYE RQMKQRTLGK UREG_01263 MARRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQRYLLTYN EEIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDSRGQFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKDDCDLKEACGMAVKVLSKTMDSTKLSSEKIEVATVGRT KDGKIYHHLWGGDEIDALLKEHGLAKDAEQPTG UREG_01264 MLKSTYTPLPPLPPGWTEHRAPSGHLYYYNADTKQSTYKRPAAP TPEPQAAFISPSQPPFSHSPTTPFAPFSAAVTSGANRTTLGGGRRFDRRQPEDRPKSK HAIPGCEPWLLVKTKLGRRFVHNPETKESFWKFPDYVLKGVVEYDRIEREHRERRERG EPSEDEEGVETEKKELSRREQTHPIGDHQDEIEERYSDEDYEQVEVTDDEEESRSKRT RTEEAADQPLEFNEDDIAFQLAAMGEEYGLDPGEYGDPGEEGWEEGAEGLPLTEEDAA ALFRDLLDDYRINPYTPWEKIIEEGKIIDDARYTILPNMKSRREVWTSWSRDRIQENK ERKEKEAKKDPRIGYLALLEAHATPKLFWAEFKRKYRKEPEMKESLGSPTTPEPVFVP GGTSPYYFNGSSLYLIAIKGQESTC UREG_01265 MADVRALLRSELASRASSTTGPGKKRKLEPRPADIRKKLKPTAQ VEEPGKQEAEEELVEGPENETYSSSNTTTTTVIAEPEPAVVAQTQATEASQEEPQAID EDEWAAFERSVVAPTRMQVSATAALNSGATISAAPVSAAELAAREQEERESRTKAREA ELQGEQEEATRSLEDELDEMEQLDERVKRLKEKREEILRRRGEGLSKDGLDKARNLEM DTKDRINRDKDTNEDEEDEEDIDSADEWDDWRFR UREG_01266 MAGKQLYPVCMRRRGLAKSVERCLQSPQPSMNTSTDNIAEEPRR SGRATKGQHKNLDMPEPPPTKRKAKAQAKASKQASNEPTPPANEEDEIIRCVCGEYEE EEDVERDMICCDQCSAWQHNDCMGLNFAKGQEPAEYYCEQCKPENHERLLGQMARGER PWEEAAQRRAQAAEEKKSRRKKGGKRGRKSRVSDIKPETSDIGPSPRATPTHEAMQAN AETPNGPATSTGNPEQVGAQKRKYSEQGETGQPESGPKVKLQRLSTGSLPAATHEIKK SPAQSRKASMAGSAISHSSKLSKSPTEPTASGVGHLTQARKNVANAIIKLFVEQAGVA QEQGKFSNSGRENERQCRRESGGSHLSKPMYQNLCGGSGEPNDAYKQQMRTILFNVRK NPSLRDSLLVGRISPDAFSKMSTQDMASEELRQRDDEIKREAERQHIIVQESGPRIRR THKGEEFVESDPQFVGTESVFSTAPTRRDTVGDIMSPRVGSPATARTGSTDIPQQQKP QSIDTQAAAPGEPARSASTDFNIQNVWSSVQSPGAAPHHDAPQFGPPAFSQEHPPPST HRVQADAEIDQLLKDEEPESPPYSPKDFPGPAVVWHGKMFMNGISSFSASARHVAGLD LSERIPWSQLVPSSLTVDGRIDIQLATNYLCGLQFSQTTDITIIGVSPPDRPDDLVNF NNLFDYFARRQRYGVIGKHLHPAVRDTYLVPIEAGMSKKPEFVDGLDNNTIEHPTPER LLLVVFVVKTANNHSNTVTPQDPTTSTASPLTATPGIENPRLSLAQADSPQPSPAQSQ SQGHYSPPMPQQPYPQSQPLPALNGKAAAIHVLGEQLASSPTIEEILKQAPNADVTQF NVIAEILVRNPSAANSYKQLMDALLERTNGGM UREG_01267 MAAEQRKLLEQLMGADQLIGTGGSSRNAQLSITDSKVCRSYLVG TCPHDLFTNTKQDLGPCPKIHSEGLKAEYEAASGHEKSKWGFEYDYMRDMQKYIDECN RRIDSAQRRLEKTPDEIRQTNNLLRQISDLNKTINTGLEEVAILGELGSVSLAITEFH KARQAKHQKESYERDLKALADTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKM HLGYAKMRETYNVLQRELKGPPPMRHDDGPSSRDQGYDDGGWGSRSGGGYGGRSYRGG GGGGGYGRRRGGGGYGGRW UREG_01268 MIFHSFPLLCALAASLSWTPAFATSDYTEQLVLEPLPPSSLLAS FNFRSNESTAAFEQQNFRYFPRSLGQILQHASAKELHLRFTTGRWDAESWGERPWHGF KEGGTGVELWAWIEANTDDEYGFPLQSLVIRAFAKWMTLTQSLSGLFCASLNFIDSTR TTRPYLTFQPSGNHPSGQNLHLLHGTLPGEVVCTENLTPFLKLLPCKGKAGISGLFDG HRLFDAAWQSMSIDFRPVCSEENGQCHVTLEQSVDMVLDIDRSKRPRDNPIPRPVPVD QLVCDQSKPYNSNDICYPVASAATKEWSLVGVFGRTIPSPCPLSKDEEKTVCIKVPAE REVLVTAGAPELKNPDGVSRCYTLLWDIPFNLQLPAQESIHQVPPTQPVLHAERTTIG NGQERGGLRSILTNPSDTMAVDFVYFETLPWYMKPYLHTMKTTVTHKNGSAEEIAPSE IIKDVFYRPAIDRKRGTQLELVLSVPAASTVTLIYEFEKAILRYTEYPPDANRGFNVA PAVIRILNGSSNSSPETSSLTYLRTTSLLLPLPTPDFSMPYNVIILTSTVIALAFGNI FNLLVRRFVGADEAPPSGLKAKIQGKIIALKDKFRGKGSKAE UREG_01269 MDEFAQTRGVDDLFDDEIVPVVPSQAQVEYTEDVPSYNPSQPDA APSQVPPEPARTDRRKRGNGKTRGTRGGLYSRGGKANGPVNRNDNQTESEPSATTNGL ASSQPDKETAPATGPEAAPENNDELQAKDAVKPKVPAVRGDRSATGGIKKPKLTEEEL SERMAAVKIAAAKRAAAHARAEADKTSFHERERIAKQRRAEDARNHRAMVGERERNRQ RKLQAQTGREWDAEKSQDAFSDRGRGGSAYRRGVHGGIASETRHIEDSTGHHHDSDND GFRGRGNRYGRGGQGRGYGRGRGRGGFRGTNEAAQPDVAAKDSDSRAPPAIEAESEFP ALPSGKSSEAQPVTVTTQQPAAPATSDTPISPMPLQESWADHVDALHEAAAEKKAANS A UREG_01270 MAKRTASTALDQSGRPSENPIATAPSAPIPTPQEAAISSASPPS LRITRSAARLAAETPSTPGTRAGSNPSSNPSTSSQTRKRKAPTRREKESPTAEQEHFP STSSPPRRTKRLKTAQSPATRPVPQPRQTRRQTEMSQAGSSSRQTDDTKGTRSSSRQK SSKTKRSSQDSNPPQKSPPRHQKRRQSKRDSDVTMKDADDIQDESVGRSGAESSSPNE ERLPNDSSLRSEDNGDPFPGHLFGSRSPLELQNTLRALSGMMSGISTRLRDILVNLRM KEDPSVQLIALQELSDLLLVSNEDTLSGQFAADSYVKELVTLMEPSDTGEENPEIMLL ACRCLANLMEAIRGSVANVVYGGAVPVLCRKLLDIQFIDLAEQALSTLAKISVDFPAS IVREGGLTACLTYLDFFPTSTQRTAVTTAANCCRNLPSDSFHVVRDVMPTLLHVLSSN DQKVVEQGCLCVCRVVESFKYKPDKLEDLIEPALLRAILRLLLPGTTNLIGSHIHTQF LRVLGIICRSSPQLSTELLKMDVVDTLYQILTGVSPPSDLSDGTVKIDSVHVMQALIH RPREQIYETLNIICEVLPGIPGEQLLTNDRLRSPFDDDVLLYSNSHKTNQSVAKRVES LKSCKDEMKRFAMILFPTLTDTYSSTVNLAVRQKVLLAQLKMLQHLDVQVIEDALRNV PYASFLAAILSQDDHVSLVALALRCAELLFKRLKDIYQYQFHREGVITEITRLSEKPI PSELPGKKESKTNLTVGHDTGSETGEDKFEDHSQSYSHDEDEESREGEDYNDDYDDEN DHEHGHDDMSESEVSSSSDTGHPTARRFDKEKMVVDYAKDFLRVYEHSKAVGLHDKAL EVLQQLKTLVSDIALCYEHPKPQNGFHLFQKLSSYFGGDAVESITSAELLNSGVIQTL LDVIGDVQANRSIPAPAPGNRAKTDFLRAFMAPNISESNDGGDYTTPFGVLIHKLQDL LSRTEHFEVVTVHHNTYESRNTASMLSKQLRLRLTAEDESDIPKTFKSIMVSIHAIAN FKALDEYLRPRIAVTERSRAPRHRDGHLMQPGSSSKTKEASGEGAEPNNTGTEASQQP LSSRIFGISRSARANEKSEITTGGSSQPVSPAASRDRSGRSRRSTRRQPPPPPPPPPP EDETDGSDEPLECADEQPLSDDEDEEEEDDEVEGTLDAIVGDLEGDLSEGSAPDPTAV NMEIASTGKVTARRDDGTKVATPSQLTPAGGSASTSTPAAQSLRSSLALAGRPFSSFA AAIDSIPQDWHIEFSIDGKPIAHDTTVYRAIHYNRKPLPESYTGNVWSAVHTVRFKRV QGPAPAEPSRLTPTTPSSGDPDIGELPESLTKATTTAAILKLLRVLHRLNSQLDYILA ETKEPIKVVTEPLAQFINTKLTAKLNRQLEEPLIVASSCLPSWSEDLARHFPFLFPFE TRHLFLQSTSFGYARSTMRWQGPQPGDDNRRDQRRDDRPFTRLQRQKVRISRTRILDS ALKVMELYGSSPSVLEVEYFEEVGTGLGPTLEFYSTVSKELCKKKLRLWREHDSSDGE YVYSKLGLFPAPLSPEQAVQDSGKKVVNYFKGLGKFVARSMLDSRIIDIAFNPTFFRI ANNFSTFTPSIGAIKAVDPDLAKSLLVVKQFANAKIAIDNDASLTPEEKEKTLRECEV GGAHLRDLGLDFTLPGYPHIQLLPDGADISVTLENVQLYVDKVIDMTLGIGVRSQIDA FRSGFSQVFSYSALKAFTPNELVMLFGQVEEDWSIETLMDSIKADHGFNMDSRSVRNL LETMSKFTLQQRRDFLQFVTGSPKLPIGGFKSLTPMFTVVCRPSEPPYTSDDYLPSVM TCVNYLKLPDYSSADILRKQLDVAMHEGQGAFHLS UREG_01271 MQLLQPLIFAGLSAAAAMPRPAPEVRPAEVIGQNPATQQAPPKH LFSCAKRYEQCHNNVPPCCKPYVCMPTSAIQVIMA UREG_01272 MAAQNSVLSQTLQALTTTKIEELEKQRQTYEAAKNKILASARDA GDDIRERIARLYKGALELQLLQEFELQNMVRWLDQSRYDPTVPESMLVSFETELRSSL DRHTRKLDLADLYSRLLIEWVSSPGSNEPEPELLEEGEAFDIVQDIQKEKLQQLRERF EKVVFEPLITDEMEIVEYLESLFAGDDRQQALKHIRSRVSDYGESLFNTNPLVTRPEL KACIKSLLRNDLLNDEKSATLTEFLRDDTVLDEIANVLNLRYANLANWTWNLGDNGMP VEPWCVFLKRRLQGLIRNATVWSKGQAISERDLALRRYYLQESKTPGEPSLDEERFEV YNEHFFLAPMATREFEDASGYDDDDNVNDDDDDNDNMSDTGKLSPKETKQLLLRSLAT EVLFGRAFDGEVAVVQSDFQWFATGIAHSTVFAVLRFIGLPEKWIEFFKKVLEPPLDM LTGEPVRIRKRGLPMVHIFEKVFGELVLFFMDMAVNQQANMLLYRIHDDLWLCGKPDK CAKAWQAMEQFAKVMGLEFNQSKTGSTYLVGEGQQRNLDVVKALPKGPVVVNFLVLDP TTGEWVVNQNHVKQHVEQLSKQLNAANSVLQWVKTWNTCIGRFFSYTFGEPADCFGRK HLNAILATHQTIQRTLFNGLNGNGSNVVEHVKKMIASRFNVTDIPDAFLYMPEALGGI GLHNPFVPLSLVSPNICEDPGELIHEFLQNERETYRLAKRNFEELSETNRRRRFQQIF PKDEETGIRLHAPSRDEAKEFLPFHKYVEMRGYNNPKLLKLFRQLNLAAKMRKLNSSH EIIQELRKVSRSLIHQPELSVSKIDPELEWLMQFHLRELKEKCGGLSIVDRSFLPLGI LKAMRRKKVAWRMAL UREG_01273 MTSPSKSRDDFQPPVPETRMEGHDVNQQSEFTYVFPKQTYPIPM TGAKRQISVHSTLALVGAWLALFCTLGFQNAFGVFQEYYARAELKGYSEFDIAWIGSL LSFMLFFCAAPAGIMVDRIGPTPLLAFGSVGTVLGIFMTSLCKKYYQFLLAQGLTLGI SNAFLLTPAMATVSHLFDKNRGTATGIMIAGSSIGGIIWPIMLDQLLNVRGLSFGWSF RIVGFVVAPLCIIITISIRAPKKPHQENHAENFNQETKRQQDGVMSQAPKSNVSILKN PTFILLCLGLSMATFGLFSPLFFIPTYAVTNGLSSSLAFYLVSLTNGASLVGRVSTGF LADRYGNFNLCFITIALSGIIAMCWTAATSKAGIIVFALAYGYTSGAMFSLQTPCAVQ LATPESRGTAIGLLMVAPALP UREG_01274 MKLKCNRERPCSNCANRGVLCERAYSVNPQGRSSSSGHNHEEIL SRIERLEKLVGRSGGLGTNGLPDKEISVQCPVADARSHIIEEEGDTRWLEGVGTRGGT LLPGLSSGITFQAIPIQVAIEQSTSPMEAVKNVWLPPKREALWLFEDYTEHVAYLHHI VHIPTVRNIIHNLYRNLQLGLPIQPSHVALLLSMFASTAYMLSSSNCSEVLFSNLQNA IQCSFLWCKSALDVLEHSNRTTAGSIEDIQATIILAFVVFNFEGFTARFRILSSSALS MARDLALHRIDAEVQAPRTGAEKPIQVEIKRRVWWHMVSTDWLLALAGGPQEGTYLIH PAHMRVCYPRNIDDLDDVDDVAAERHTLGDDPSLSQPTAMTYSILRIRLAEICRSVVD AVPPQFTDWGRVNYDDIITLDGQFEQYLRDLPSFFCLDEASLHKSREIDQKYPQLAVQ RYIICSTFHSRRFKLNQPFLIRASSDGRYKYSREACLRSARTVIKIKWLLEHDGSPFA STHVRLATFLHTLFLATAVLVMDLCVNKNDGMEQESARWAEVVEACRMLQEAEKKSPM ATKFLEPLVDILKKYHTQLPFISSSSTAALLPLSAAPSYGISTTTGLDRPSVNPTPMQ SIPSIQSDQIHADAVDTNGDLKEGDIFNPNSDIFDEMWRSFIDMDQHIVPLVGLTDSS YLRQPESH UREG_01275 MGYSLLPRCFMPDFTWPHHISPTVASEIPETTRATHPKLPFGLI LKWSDGTRLEEVLTTQVARRAGFPVPKVICYGDHPDTPHAPVSILMTRIPGDELGRVY KTLSGTERDSIQLQLKGYLEAIRRWKSPWGENRICSSAGTAIRSVRVPNHLVGPFESE QEFNDYLRSTAWSGGFPSETEYNDALDHARKMDSMPHRIVFTHGDLKHHNILVHNGQI TGFLDWESAGWCPEYWDFTTALRFIPKDHWWYNFVIGLGAGPYMAELDCERALTSLTV DSYCCTGSAVLKLQKWPHEDGKKHLCSLYASQTLSDQRKRSLSCHYHNPCISSPLLIT IADRRAEGHRASKDGFTSTSCFRPALSEAPFILLPTIYRQLALRYPAKVTIRMALDYR LIPIDYRGAHPNMRAAAARPANEGVASDWATRDGDIFFRDTHHKLQNECLEWFPLQAA AHSPESRYSYWDKDRQLGAMRYLLEKGADPYALYRAPLERPNVYRYPGETFDEKLEPL KKEFVDISPALRPHFSMYGICSVIHSIFENGGQVLPFFDEEFNLDIERRDPQGRTVLH SVCRSILGADAILGDVYRELEAEKRDPLAASVYRPSLFHAFRLRNADMLAVDNKGKHI LHHLFESPADPGLHEDPKIDDALLYTLTHLPQLVNQPDYHGNYPIHAALQSLRHVPYY FKNRLRRVEQLLAAGADPLACDGRGNTALHYLAATGFDDIAPKEPVRALFRKFAELGV DVNARNKVKRTAIEIFLDDENSGRARNNDGQPSTKSFYGTFRHDAYAWVNLLDLFDEA NTNWTESNANGQTLLHLVALQPTKAAVEHAEYLLAKGVDASAKDRDEKVAADIAEQYG RDEMLKLLRPL UREG_01276 MPFKIDTTTPLYIVLVVGGAISLILGALSWARTAALLLPLPTWV PATATLISPITVLTLIATRVFSKQSDHETPRNCWWSTISGILNQIQTIISTIVATVAL AYIFPDSILSCNLDQQWQAFFQSKNSHAIRSIQDEFRCCGLRSLHDRAWPFKDRNHGD NACELQLGYQRSCFAPWREHQQSTSWMVFAAAVFVFAAKIAYIRLFSHRMSWMSTQSA IRRPDYQQIIHTAVQDEGDNENGVHGEAQRTFLPESITEYRNDWEVD UREG_01277 MPSTLRTPSPIAAKPVKRDSAVPDIYRLDPDGDITLVLTRFVTY VDEDDEILGTKAIPQADTGDDGVVEEEVIIHASSRHMILASPVFRAMLQRKFSESNTL QLTGRVEIRLPDDDPDALLILLNIIHGHVRKVPLSVDLITLIQLAILVDKYDIHEAVE LFSNFWFDNLKSTIPGEYTDDIPAWICICWVFDRPNEFKVTTHLALRQGKQAITSGEL PIPSSVVEAINSKRQEALHKLLTTLYGHLDDYMENEHCSFECDALMLGSLTKRLRALK IFPLRPDPPYSGLCFEEFDYRFRQGMYFPGAQRTSAYFYEHSKCAIRSLDTTLLKCEE KLLGLDMRDYK UREG_01278 MTFAVLARKRRRQLSPPSPPIAPKRASQMIVPPLPMTPPDPDAD PIANINPSIPPMDTVVHVIATEKAALANLERIYTTDTLSRENMERAVERVARTINIGG KLVICGVGKSGKIGEKLVATMNSFGIQSCFLHPTEALHGDLGMIRLNDTLLFITFSGK TSELLVLLPHLPPTLPVIAITSHMQPSSCALLSDSDIRDTILLPAPVHEREEVSFGLP APTTSTTVALALGDALALAIARKLHTVPGRGPAEVFKGFHPGGAIGAAFASSSSPSPS VTPSLSSVSSTATSLSSATSNAGPTQANCLNPLPQSSHQKLISSLATPYSSIPIISYH TVPYSEIRIADALAAAVRCPEAAFWVLVTPSHLLTPRVLRRLAKTRDPGVKFGDFESE SHDLFRKNWIHVPKSSTIADVRQILNGIDSTSRGREPTEKSARHTRNRVVALMNDKSE DDLFGFVEEREIPQ UREG_01279 MSCKSIWRTLHWSAKRRPVPVKAPYRCFSCSIQAQANKPRQDEQ TTHFGFETIPESAKESRVGAVFSSVASSYDTMNDLMSLGIHRLWKDHFVRSLNPGSRY AREQGKQEKGWNILDIAGGTGDIAFRMLDHATNINNDPYTRVTVADINPDMLAEGKKR SLDTPYYNTDRLSFMEANAESMPSIPDNSVDLYTGCVWDSEFHEQADRVGGKLFVC UREG_01280 MSRPLLRQCLHSTRRTNVAFRPQVGRARWASSISQKPGSDHVQF PGAVNSKFTTNLSFVTPSELPAIPTYRVMDSDGVIVDKSRAPDVPDEEIITWYKNMVQ VSIMDMIMFEAQRQGRLSFYMVSAGEEGIAVGSAAALSPDDVVFAQYRETGVFQQRGF SLKEFMSQLFANKNDNGKGRNMPVHYGGTNVRAHTISSTLATQIPQASGAAYALKLKT LQNPNVAPQIVACYFGEGAASEGDFHAALNIAATRSCPVVFICRNNGYAISTPTLEQY RGDGIASRGVGYGIDTIRVDGNDIFAVREVTKQARRMALENGGRPILIEAMSYRVSHH STSDDSFAYRARVEVEDWKRRDNPITRLRKWMENKGIWNEDLERETREQLRKAVLKEF AAAEREQKPAIKELFTDVYEQMTPELLAQKEELRRVMEAYPSEYDVSEHEGGLKGL UREG_01281 MSLRTQNDTVIDDDDEFCPLCIEEFDLSDKNFKPCPCGYQICQF CYNNIKTHSEEGRCPNCRRAYDETTIQYRVPDADELKADLALKHRKAAAAKKREQEKR EIEASSRKNLAGVRVVQKNLVYVIGLNPTIRDESQLLQTLRGDQYFGQYGDIEKIVVS KAKPGGNPNQGIGVYVTFAKKSDAASCIAAVDGSANGDRVLRAQYGTTKYCSSFLRNE QCNNRNCTFLHETGEDSDSFSRQDLSSMNTISSQRPHPNYPNVAASQPRAYQQPSQPG SSSSVSVQRHSNRDEGSRSSGGDSPALPSSASWANKETLAQRTRRPSMAASRGTPSPK PSPVTLATKPEEPKITIERRPQQNSESSRQHTPTPAGGPSSAQRDSVPPVTSLSTFQD KSVVLDNLVKAINSSEFRFQFSPAGLSTDELAFIENHPSLIDPYGGVKRRAMREKAEQ ERTKQESEAKMLAQANQAEEETLEGGSSQLGGEPEESHSAAGGRSGREPQAIQPPSQQ ATASNSAVGSPISAGHHFQSLNVNGRALTPLQQQQLMALKSANSQPTGLLDQLQSSSA NGYDHNPLSRPNAFQNQMPPISTMSGHARQSSRFSFANDSNAKNSSQRIINQQAAIMQ ASTPNPIAAANSQHGLGSHYFTSGVQGPPPGLKTTGTPPVSGGGMFAQGHGFTSTMNN NLGLNVKQDGNADLMRELMRARGGTSGGGVQISEAAKREYISSLTHQHNAPPPLAPVS GLLNSLYGPQSGFYQDPGLQKQKKRGKKHRHANTSSGGGGVVDLADPSILQARMHQNS ATAVAGQGLYGSQGQALHMTLDAKISGWGVGMACLHICGEVVGALIWHLEFIFSATTG HTTESSWAIFSLDEDFPPLAPPKSALDTVRIPSRSHISIGSPVSSIRSGTPTLPPGLP LPHGHPAASLINESDLGSSPSPSKRRASGQTTIAPPPGLTPPQKLREPVVSRGATPVV PESPATSKPDTANILAEVSTGSPKPKSSTFAVQGIRAPSPSRSSKRPTDEVTLTKQSK GVSKESHGKSKPIKLDISFSTLSRESASPSQTAPPYHLPALATSVVGSRPNTPGTVAS RMSDSPAPRQPRVLRVVDTPKSETPPLQSSTATSGSVTAMKQRSRRPSISSVSRPATP ADIGSEYDPYTSASASRANSPPPSRIGSAPVRAMSKNQVKKQRKLKAEQAESKKEEEI SPTPPEDTVQAPILGRKRKTKKPSKRNVDAAEDGERTPSVANEEPQAKSSGYATPKQM ESAAKISPKVEEVEEEQELDEPWRSNNTLEQLMVDSEAMGIPLKELFVERTASLPTIL AQLFKSGEMDLHVHPLFNPPNLNQRVDMKCNADDYDYLKRPIHLSEDDRKKLLRGEPI RLNGGSDLLKHRCLITPRGCILRHLSAEEEDHYLSLEKSLTSAMESGHEYPVFVITEP DTTNRGGGLDALFATPEKFNIRWIDDEASRSGLITGTAEDSVILSHPSSQAPTTTPPN VFFRARSRFSSVYQLGSPQQHRSISTLGPDLEELMSVPDQELRTMIEAAQRELEVSRK DVDAVDKKAMALVKRNKKLMQQALTAALEFLTSPDAKSMS UREG_01282 MASARSMMRLASSRSLAYARPARTARLFSSAAALHEAKVVSGSP LPNQRDERRAPIEALHRAVVNPADKYAEKSKELHTYGQYLMSCLPKYIQQFSVWKDEL TIYIPPAGVIPVISFLKDHTAAEYKQVSDITAVDFPTREYRFEVVYNLLSVRHNSRIR VKTYADEATPVPSITSLYDGAVWYEREVYDLFGVFFVGHPDLRRIMTDYGFDGHPLRK DFPLTGYTEIRYDEEKKRIVVEPLELTQAFRNFEGGTSAWEQVGQGTDRKPDNFKLPT PQPEEKKEEPKK UREG_01283 MPSVGTPGIKGHSLQKSKLPMKSNPQAVDCRGVVTFVEICKMVS QLKYFIPLCSVWGAISVFYFSYQNGHIPAVQDMAVSKLLPGGELLNTNWTGVTVIDEI LTAFVPFFYPIVNGTSPNLSLYAAKFAGAVAAIYILVCLESVRAGNQGRLIAYFAVSA PLYVTLHLFTSPTASKPTKENINMPVIQVKTLLWSTLAGYVVPSVLVAFPEMAQGLLP SKQHGIALWQAWPIYVAIFQYGISKSAEICCPSGSGNVAQNRSSLRYLYAFAFACAAI PHIASWAISLSALAFPTLFSPELASTLTPRNVFENISPWSSQKPETLGMGTLWLLQWD YMTAAAPTLLWAILLYNAAHSACGIRVSSVKLGFKTIALCAVAGIAGAAVELMWERDE LLFGSSDAQKGQRGKE UREG_01284 MQWPNDKDWALHKHNIWLLYIGENLPLKEVIVQMRSRHGFKGTP KMYKSRLALWGFTKYKKRVGKPTENEDVSSVTVSSSSPLSVAAVRRAFSSRTVSPATL QSPLPPSVGESIFRLVSGYYRASCDTKLWYTCESGRFTTSKDVARSASNPDKFVGFWT AGLSFAKERDFKNTRQSLSNACELIPTMVLAEHPATMRAILELLLLYNREGYYELAQL VLQQIYQMAGLYLCHSHPLSNICTLFLRVEPSELDELFE UREG_01285 MPKRKREDVDENPASNPEPRKLTLRATRLEQKIEQGIQQVHRAL KTARGFERQKLGRRQKNAQSKNETAQLSRLSEEVQALKSLDLSEISRKYVIKQLVKTK RIADSPVFAQLEFSKQNVFDGKKDGPEANVMARLFSSNPVKTILPGIMDGIRGILGVD DAAISDKKTAASQKTSKTPATAGPLISKTPLQMDSHSSDQEDTPMGEAESTSEFAQFD DLIASSSGSESEGDYENIVSGKKDKAYDPVEDFSLSPTPSVTDSDSPPATALKSSKPS KPSGKASTTFLPSLTMGGYWSGTESEAEDNEAASAIAPRKNRMGQQARRKLWEKKFGA NANHVRKQGEGNNRDSGWDMRRGATSNEGRGRDSGGGGRLGRRSKDESGPYRRGEGAG RMRDSIKRGQDQDQKPLHPSWEAAKRAKEQKAQANFQGKKVVFD UREG_01286 MRHRSSLEESPRSHPFCPINHLIRYHKIAWFNRLLQTANSGERD DAPHANGPESRNVRPAGYLVRCKFMMRAVSTEECNGDSLSRRGAFVMEDRDGGGWIAP WRGYREAGYLGEPGEFAKTSSADYSDMDGI UREG_01287 MARKFLFGFLALAASSISVHAKTDLDGCVSTATVNSYSQAINLW YVPETGEICEPLDCGGGRAPVKYTVPGCHAYRGTDTYSPKYLALSTDAPSETGTADTA SATTEATITQSSPAQTGMTTVVSRQSSSPAVTSAADTASASQTGSATETGAPATQTTN AAAGLVVGGRMMADKAPSSVRDQVADHESNAVKYYLDREVQFPLQAAALEFVSDEVVD KAARGLLLDADLTAPTELPGHLQEELDNDPEIMDLTDKNCKLWEAIKALGFRTVISAK GHTPLYWEKKRVLADLNSHKVWLRNELMEQARSEHFRNAPTKRLNTHLNGTDGDAASP QSLPLPRLLIEERRLIVELIRLKTSELSEDEILERRFARMDLWVRLQDRTETKRPGLQ RKRHQ UREG_01288 MSSYVVTGASRGIGWAFLDQLSLDANNTVIAIVRNKPATEQNVL EKLPGRPNIHILEADITNYDALQSAAADTAKITGGSLDYLIANAGLISHHDAFDPIGV LGQNPRALEDNLLECFQVNVVGQIHLFNIFIPLILKGSAKKVIALSSGQADPKLVLDF NIDVAPSYSISKAALNFAITKFSAQYAKDGVLFMSISPGLVDTGHFNELSSAQSESIY KTMASFKTYAPDFKGPITPEESVKDMMKVIEGASLENGDGGAFVSHKGNQQWL UREG_01289 MYSGTSEHALLAQTPLAPFNPVQLRDADAHLFQYFQTTASCCLS TVSNDPVNLGNILVCMALTRTSPSATAIWQAMLGLSSLHRYGLQGQAIEFKISAIEAL AAASNSAIGTAEAIQHVAAAMLLCSFEIHKASCTAGQWKWYITGAKQIISSLSLHRFS GNTDIGALLDWVYYHDMLSRFSALHWRRGEGTRYLPLEMCVEAVREESQYSNMNLGSA LILQSRQSTINDLLQLLAHGCEIISGRPAITSPEDRREYEESIRILGSQIKSLPINKS SNSTLELFHLATLTYLNRATGNLLEDDSQTQRRISRAFALLSSQKSCERQFLLFILGG EARTEEDRRMILDLIARTEKTAASRSLFLTGALINYVWVQDDLADRELDYMKKMNTII SICSILPSFV UREG_01290 MRATCVMETCIAAGLVHRDFEFRNIVYDPVTLEVRLIDIEPPPP GFRIWTPGEVREIMESESIGPPYRLQASDPIRLRLLYVQSLANSMKHHTLHTILILPP MFAQPYFGNGRTRIAMKTKANQGHAVKEQHQGCIELEKDCSQNIN UREG_01291 MFFTIRVIALLSLLATRVSTSVVEPFGVEHLENRADVPDNFRSP PYYPTPKGGWVPAWEEAYAKAHAVVSKMTLAEKVNLTTGTGFLMGPCVGQTGSAPRFG IPRLCLQDGPLGLRNTDHNTAFPAGISVGATFDKKLMYDRGRAMGEEFRGKGVNIHLG PSVGPLGRKPRAGRNWEGFGSDPSLQAVGAAETIKGVQSTGVIATVKHLVGNEQEMYR MTNVVQRAYSANIDDRTLHELYLWPFAEAVKAGVGAVMMAYNDVNGSASSQNSKLISG ILKDELGFQGFVMTDWYAHLSGVASALAGLDMSMPGDGSIPLTGSTYWGGELSSSILN GSLPLERLNDMVTRIVAPWFKFGQDKDFPLPNFSAYTQNAEGLLYPGALFSPRGIVNR FVNVQGDHHKLARVIARESITLLKNDDDLLPLGRNWTLKVFGTDAGTNPKGINSCTDK GCNKGVLTMGWGSGSANLPYLVTPEDAIRNISKNAEFHITDRFPRNVEAGPDDVAIVF VNADSGENYISVEGNPGDRTKAELKLWHDGDELIEAAANKFSNVVVVVHTVGPITMER WINLPSVKSVLFAHLPGQEAGNSVTDILFGDFSPCGHLPYTIPKAESDYPDSVSLINQ PFGQIQDTFSEGLFVDYRHFQKANITPRYHFGYGLSYTTFNFTEPAMNTVTPLSEYPP TRKPKGKAPSYPNDIPPGSEVAWPKNFNRIWRYIYPYLDDPSAIKPKPGYPYPDGYST EPKPDPRAGGAEGGNPALWDVAFTVSIKVTNTGKKAGRAVAQLYVELPTDSAYPTPKL QLRQFEKTATLEPGQSEVLKMEITRKDVSIWDTVKQDWKAPGAGKGLKFWVGESVGDL KAVCEAGESCKLSG UREG_01292 MGAKASRSSNYFMPAMALSKTNNSFDASTWVAEGLSPDLTTNMR RSRPFNSASITAFSISSSRTEICSSTGGGSSMRALATLNSHWRTSLSKDGTAQLGKSR MSFAMHHDSRIMGIGQPQVPRAPGLIQQSNIAASAHTCEG UREG_01293 MPFPIWCSTCQPPDSVLIGQGVRFNAEKKKVGNYYSTPIYSFRM KHGACGGWIEIRTDPKNTEYVVTEGARKKITSEFGKGDYEEDGVAEIRVKLPGEEGDV EDPLARLEGKVADKTKYMSAQTRMEELLKKQARDWDDPYEQSRKLRRTFRAERRGREA MEKKAEVLKDKMSLGIDLVEESEADNVRAGMVDFGSSASESVNGFPARTRTRPLFDSG QPTTSETSSSSASRRRPGKRVSKRKAAAEIASKRKAILQQEIKGNTKATIDPFLNDVN EIWLPDIRKRRKADLRPRGAQKDVDEDSPAIDDRGTDNIRDIEDRKQVAKSTENEQGK GVLSLVHYDSDTD UREG_01294 MATEETFSSPFLKQLDPATPHVFEKPSKRINDHQDVSTFISSLA YRDITTFILQLNRSVVPAKLVENGTQRIQVWSLGTDAVKFSEPVRRLQVLLSKLESII DEVPPDPGPRRFGNISFRKWCQEMESRAEAMMDECLPAEILQQGVQAPETVTAKTELM AYFTGGFGSSQRLDYGTGHELSFLAFLGCLWKLGAFAKDDPGVEERGIVLGVIEPYLK LIRRLITTYTLEPAGSHGVWGLDDHSFVPYILGSAQLAPACIPSDRTPTEGSLSGAPE PSEVAKVNVVERERKTNMYFSAVGFIHDVKRGPFWEHSPMLYDISGIRDGWGKINKGM IKMYNAEVLSKFPVVQHFPFGSLFRWERDPNAAPSSTSIHSSRLQPADTMAPPAVSQR PMADAGTRAPWASGPTNLHQAAYPSTAPSRAGYGGLRDPGVPAYIPMGDQAARNEPIN LPPSGRAPWAKSTPGNSGADPDLMTTKAPWAKK UREG_01295 MGWMGRKNDQEAMSDIEVQSECRAIIENGDRQAENVTEQLPEEP NEMEVMQIVEESQDGRDELADIFSDSESHYILLHDEYFLEASRVFEQEKNWSALVSEA RDLINDAKTQRIGVFNHFTIRLLGSISDAKDIYSESMGRRQDREDDAEITGKEDEIIQ IISDRLSLLMKSKMELASRENFPSLVDEIHGYILPAAIGLLQSCLMAHFLDGCLSTKG TEQLVLMLACFSELCELISPSEIPLAIFHFPDRLKTVRILLRFLLYVFRTNQGNQTTG NGS UREG_01296 MASTEELAPIAEPAEGDTLNPIQETVNDTQEIKAEADSPADKAL SSPERKGTPSKRPLTGTGPKRPVTSGTTRSTKPTTTSARTAPGSALNKPPIRPANQTT TRKPASSGAPVVSHRSQLLRGSTSDSIGTYGQQLRRPRTPGSRTTPSATQSPSKPSSR PTAAVPSTGARTTRPATTGRSSVSSSDATKRRITVPASPATKRSSGEPSKNLSSKSPE LQKRIAEYESIKSMLLAAIDADETGDDDKRDRIQSDVDREIVKLKAGLDIAKRDGPED SGAQSVTHLLSQLEASENKILELQKLLDESLSKTADLEKLADDAAAAQTENSAEVGIL TESHSQEIKALQANLEEAEAKYRELVTQSTSSLEEAKRSAVEAGDLKAAALLEEQKAV HVASLQNLKDELAVECSSKTELSNEIEILKADITARTEELNAIKKAAEDEKQEANETQ QNKLQQLESEMRGQDTVMKSLKDEIQLLKNLKDQELSEAQETSSQAQAALKEKIAMLE GKLAQAESDTARGTAENSQLLADKDQEIQRLSEVIESLQQSIENLNESKSEEHYKQIL EVSTVHDRAIASLKAEHDSVSANLKAEHEQKLAEIADEIKSLKESQEREIADLKQKHE TSQGGLDAHISELNADKSRLEIEIDEVKWSHEVEIEELQNKLSEGEYALAEAQRTSED NNAAQKEASATLIQSLEDKTKAMEAELAESNSRVQLLTADLEAEKAQVEGLRKGLEAF EADSKGKDQHYEAQIAKLRADAEAITTSMEEKTIELKQAEERHLAALKDLSNTHETEL AALKAELLESHKNSLGDIQRKYDELSTAKSELEAGFVGRVEALKEEHATALEQRVANL EESHQSAIDQLKKEFENSLAKQGQELEVLHSQKFEELETTHAKAIEELLAAHEEKLNN LREELEAASKEKLAEAESSHSAALSELQQQVTKAQEAAADTSEIDCLRQELSDLVSQL AKVEQEKADVETTLQSAQNSLSELENLRLDLESTKAQLLSANAGLSQLRQTHEDTITV AELLRTKATTTEAKLHEAEAKSADLEKNLNALSEKNFSLVEQLQEAETAAAKTNRRIR ELEFDLSEAAKGKESPVAVSNGDAPKSKGGLADSKWAVPDDEDQSNDAAGNPVGEDSG LAVKEDGQHPGTVAAA UREG_01297 MGKRTAPSRGTRQAGKGVGSEAPADDSIADIYRDMLVEAHPTIN QLPNDVRATKRRRVGERSTPASDPQPVPQDPAVAVERTVGKAPQTVYDIDASDESEVD DWEDVEPPSVLPIQESSPSASANRQDDVELQITLEKPEVKDKQKASSRRKPVSGAEKR CRLDIHKLHLLCLLGHVQMRNSWCNDSKAQGSLRRLLSKRIILLLHPKTDMPQFNRST TFADGLKQASDTFRRRFKPTALGMRRPFWLDNLDTIQSSITIPDSTEILLSKEDFRKH AISMEGSRDLGAQLFCTMLRAVGVDTRLVCSLQPLPFSGVAKGEAPMKSAREYIILPD DNERASSETSGNSALDTPKAKDTPPQRMRRFGQPRFSPGPSKSPKPKSAPVYSPVASE SLYPIFWVEAFNEAMQKWVVVDPIVTNTLGKPARFEPPASDRYNNMSYVLAFEDDGSA RDVTKRYVKSFNAKTRKARVESTKNGESWWERTMQSLEKPFLDDRDQLEIGELTAKAA AEGMPRNVQDFKNHPIYALERHLRRNEVIHPKREIGKVGLSRCIKASEQPLKRVPTSN NKVKLDTNNDDDAETTQETPMYAVFQTEIYKPSPIVENRVPKNVYGNIDVYVPSMVPE GGFHLKHNDAARAAKILGIDFADAVTGFRFQGRHGTAVIEGIVASVQYREAILAIISG LEDERMQAEQDRRTMAALQMWRQLLLKLRIAERVQSYAFEGENDETTRHENDLSESDV EETGGGFFPEVDNEAGEPSTAVDQESSVRNSTIGDAVNDTSRLHQPRDSGFIAPELSS TAISTSCGTSSMIPRAGFPRTASQSQYRLVVVPAGTLPLSGSLSNPVPAPAAQQCQVQ EDPQISASSPVNELPLHSMRDTSGISRSASAEELAALPDLVHNDSDSEIDDQNSMISH DPEDEDAEPEWLLSD UREG_01298 MASESQSSSKPAAGKLWGGRFSGATDPLMVAYNESIYFDRAFYA QDIAGSIAFARANVATGILTQAEFEAIEKGFAQIRGEWENNTFVIRPGVDEDIHTANE RRLGEVIGTDIAGKLHTGRSRNDQVATDLRLWLRDELKTIESYLVELIRTVSDRAEAD IEYLIPGYTHLQRGQPVRWSHWLLSYGSSFLNDLERLREVIARVNKCPLGCGALSGNA FKIDREAMAKELGFDGLVMNSMAAVGDRDFILEAMQWGSMLMLHISRWSEDLILYSST EFGFFTNRYPRSLDPDNIP UREG_01299 MTKRKRFSAPRPRARNRNVAGSPVTQRRRYRLSLGGNTRSQKTL TQINFVNQPSMRESLSDLDLEYIEDEESRQDATPPKTRSRRRKAESSVRNDRLIQPAN TTLTQMGYGTIQSDSDEDDCLTLPTERTVPAQTRKRNSRILEPAEGESPLHNISEVET NGFVRPRKRRISCEKEHRVKRLDDKHRTDSDSFVLKSSDKPHGSSKPKKISKHVPSPA RNITKASDQNKARLFSSPITPQKVRPRVVPSSQSPESPELVLRSASHKVATTRSSLKS QSDDIPYDHITNVRINGSPSPFGATVNTDFTQAAFSLPPPIDQVLESPSLHTINGRSI RDRCPSSPLSSVCSPEAPSKSFLGEIQPTLTLGRPVSRSSAQSKGSTRQIVYETDGET DSQSERIFVPTSQLAPHDNIPSGPIVHGSSPQSPSPKPQGESTEAVPSISDPDASLLY SRHYLTYPYEKYMGTPRRGDMGEIFEGHFESPSDSIEPSLPVPPHLNNRTSIPKELNL TEDLSTESVPESSQVQQHDAEIQNTQPRTSPAPIVLIESSQEPTTGTNNTGPQSDPRG ILTTSQLVPENLMDSIPPPPGWMPSQVIDQEDI UREG_01300 MDAAYDHIQEEILASPDADKDPQAEISTPSDSSNQHNLSAEFQE TFSAFTASPWGARLGGLWGNVRRQGENYYEGARQEYSAASQEAFKGFSDLRNTIIGRT RGLSLGGLAAHGEEADGGDDPTTPTSPKAGESSKEMAKDGLSDAQVSDGDGFISRFRV EAAKRLKDIERAEDAADEALLRFGNNIRDFLREAVTIAPPEDGESGSGKTMFESRDID GKRVIHTTRFEAQLHAIHSNLESFSKDPDSIEWAKWKDGFKIDDKTGDVSTDLETYPE LRRAMESLVPEKVEYVDFWRRYYFLRMVIETEEKRRKELLQASTAGDEEEVAWDEDSD SEAETPSTPQVTINKALEPSDTTPVAASSSMSKDSLKPSEPRRSNDQQSQADSDASYD VVSGATTRTPGSPKEPGKSSATPAKPVEDSSDEEDWE UREG_01301 MAIAPNSRPYKSGFRGKFAAARGNTSLESAVSLTKGLRWDIQGL GQRLASIASHEALGRKGAISTARRAEINAEVKIVIKDIRKLLDRIEDAVPLINLAITT SGASLSTTLPATVSPSRLLQASTFLTAGDTQFSVSPAHAVQIGPTFTLSVYLLFAGHV RPHDEESVRNTTWKEVIHKARLKLRRVPMRLLNSHPSSSLPVTDWPSDEHIRSGTKGD EFAYQILIIEDFDDDRVHTFDSEDEEPSRFEDVALAGIRETIPIHQISKIFYADTGKI LNIGGEGEVNHPVLLLKRDIDAIPPRRMMYESEAEYHEMDEEASEGTLSNEEHHVDDT TSVPLTKSELAERWLFPPGLDLEWIAFEVYNESETSDSEDEPESPEPSPSPRAPDDNL NKKLSKLHIDDAEQLLRSQSHINAPQSPSGNETLYTVSNPLFNNIKTSLSLLEMLLRL TSLQQFQQQSHLSIPDELLNFFLEESSTTGAGGDEHHRQRLEHYSTIKGASGERGALS KPLSKTLGRIWFQAGDRLANS UREG_01302 MTYIKGTPLSNILKAPKVKGRPVLNPEISERGLRRAYQKMAKLL LELSKPKFSKIGSLTEGPDGEFTVSRRPFTFNMNELSTSAYIPPHALPNPNTIFETAA GYFKSLATQHMLHFLTQRNDAITGEADCRKKFVARCLFSKVVQRIQFHEGPFQLYCDD FRPSNVLVDIERFCIAAAIDWEYIYVAPVEFSYVAPWWLLLQAPGDWESDLMEFLTRY RPRFIYSWMLYEWSNRK UREG_01303 MSHLDSWEDDPAAQDENLARQAQQNLNLNPQTSSFRPGATSFQP GASEFQPGQPFQYGGYPQHGGGQFQQQGYSGYQQQHQPYGQYNQPYGQQAGYNQYQGQ QYGGYNTQQPQQSHPAQPQQQQQWRPTQIAERPKAPSQTVTPAAAQKPANTPAQTAPA PKAKILSIGGDIPKAKTPTATSTTPASTINSAPEKASGPAAVEAASKVTAAKAIEKTE KKTAASGKTSPSPSSGRSSPSRAETKAAARDADSVAREQAADVDEATLREIYGEKREH VNLIFIGHVDAGKSTLGGSILYATGMVDERTMEKYKKEAKDAGRETWYLSWALDLTNE ERSKGKTVEVGRAFFKTSGETAQGPMTRHYTILDAPGHKSFVPNMIGGASQADVGILV ISARKGEYETGFERGGQTREHALLARNAGVKKLIVAVNKMDDPTVEWSKARYEECSTK IGKFLEAMGYKKDDLKFMPISAQKTVGINTPVPKDLAPWWNGPSLLDYLHNMSMPERK INAPFMMPINAKYKDMGTVIEGRIESGVLKKGATCILMPNREEVTVTALYGETEEEIP TATCGDQIRARLRGAEEEDIMPGFVMCSPKRPVHCVTAFEAKIRILDLKSILTAGFNC VMHVHSAIEEVTFAALLHKLEKETGRRSKKPPPFASKGQTIIARLEVIGGAGAVCVER FEDYNQLGRFTLRDQGQTIAIGMITKLITDTPA UREG_01304 MDGTSQSQPQPPEQTPTSLSPSAQLDLAITLALHNWPVLSLAVQ SSWGGPTSADKRDWLCAAIAELFTDRPDTDAGDLEEVLLQVMNDEFDVVVDDESAGDV ADRIIDLKGKIDKGDLEMVNKLWEDWKQREGKASSVGLFKRVETNDEDQETDEDEEED GEDEDVEMEDAPATRPPREVIEPEVDEDGFTKVVGRRKR UREG_01305 MPFNTITVASAIAPTVLKTWWAHHFGGKPPKQKPTHRLSYHEGL EVIRRFMYYSSHHTVEQFQAFTARRVPSPHWVKQSNVVVPAEHLVSAGHLIIAELGPE GIDRVGGKTWWQWRGDDVALYAEWIEMRSDYTERRNLGQKSKRIILYVHGGAHYFGSV DTHRYQLQRHARKLKARVFARPEEIIFAGDSSGGGMVASMLIVLRDQKIPLPAGAILI SPWLDLTHSFPSMNDTTGQDYLPVYGFMQRPSMGWPPPNADEMGVVTNCAGKILQAIN SPPVADSTTTVDEHAIEQFFIRQATATTQAGHLERLPEHRTARFGDTSHDHIQPDHLL TIRMDGVVVELKDQIHMYTTNEMLSHPLVSPVLQPSLGGLPPLLILTGGGEMLRDEQI YFAHKAANPTAYLPGEKYLNRHDPERKTIGRYGPTYVQLQVWDNLCHVAPTLSFTQPA KYMFRSIAQFGAWVLSRAQEASIEIPDWSTSSSGNIDYPGGQQQGRFQQQQGFRSVGK AGDPLPPFHRHMIRQLIDGYGNVHPLPDESQLPALNIPPDDIGEPKVGPVRRWMNAKH EWDTKYARQRRKVLKRRVEELLLGIEELAPGEIPPPSSAAARRGVSIQRIRRPSKKSR ALTLWNSIGNKHDEAALKETGEYGGRFRAPMPRPASETRVVTDVGQSNESERDVSEKF SPRRPSSLASSRYTDYTTFQNNNARESNGYIEGRNTPGLVTPQITVNHHPHPGEAPPR SALRDAHPRHERSLRHRDPNDRASTRAIRHAQGVVGPSSPIHESESSQNWATPRESTS ALPSRQSVPMHTKSYRAPRDRLAPNDASTSGFVDRRKLRVEEPDEDEGPSYENASDRY PRYDMDRPAPLNATRSRGSTHLSSQARPSFVTARGSSRRYYQGKGLADEFNSTGPTAP SETEPFPPFPASENVSTGSRLGAGVNGDVEAMDARSGYSSAYSDERVGAENARRYY UREG_01306 MTTRCYPKGLPRSGTPPSFPRRRGLPQKRKIRDVNKVVAVSSAK GGVGKSTIAVNIALSFARRGIRTGILDTDIFGPSIPTLLNLSGEPRLDDKNCLVPLTN YGLKSMSMGYLLPPPSPESTITTSDPNTAPLDTTPISWRGLMVSKAMNQLLHSVSWGP LDILILDLPPGTGDVQLTINQEVVVDGAVIVSTPQDIALRDAVRGYGLFQKMDVPVLG MIRNMAFFACPHCGKQTRIFSGGISGQGHECQDNSGVVAACERLGIDFLGDVPLDARV CEDADRGVPTVVAEEGDDRSARRNAFLNIAEKIARKVGLEWK UREG_01307 MAGIKKKVKSLAEQVSELEDPTPKDFDPEDQSDYGQTSDEDQLS GDGNGVEYTGREHYEAVGKSKLRKPVPVELGREYEGSRVDRNALNAVDYDHDSFGSAD SEEGLEEDDMSGLDDGSGDDDDDDDDDEDGDENDGTENMDGIDEDLGSEDGVEDMESE ESGDDEEDEEKPPLRKNNHFDEREELRRLMASDHKVVAASISQAAKADAAKGAAVKRQ RRAFDALLNSRIRLQKGLTSLNDLPSEPEVDPNMHEQLITSAETAAVSLWNALDDLRH ALADSRSKDASSRKRKRTPITIDTPSTVIWSQMNDFETKSLPHRRAILDKWSIKARGI RAALPSNQNKLLTTQSDRQTITAVLDAHIATETAATTANIGRPEDPKPHRTIYDDTAF YQSLLRDLVEQRMTSSSSTAAIDSQLPSRLTGLSIHPTTGMRKDKIKRAVDTKASKGR KMKYNVHEKLQNFMAPEERGSWGDRAREEFFASLLGRSAREVLGEDDEGKDGVTSDDE DVEEGGLRLFRS UREG_01308 MSTDIVALENEVKEFKLQLESVQSSLQVDPDNTELQSLKTELEE LISLTEQSIAELRPASVPTPAQSKPSTPPVKEKWSKENHPAYQAGYRKSAVEATPVEE PLHSVSFSVNDNVLARWVSGDNSFYPARITSITGSSTNPIYIVSFKSYSTTETLTAKD IKPISNDSRKRKADGIPGTPGPQSLPANSSVISAAADINPALANKARTETVKPADGSR PAKMPRKVKANKDLEAGKAKWQDFASKGKFGKSSKKESMFRTPEGVNARVGFTGSGQQ MRKDPGRSRHVYQQEEDTY UREG_01309 MSNFDSLYQRSLFFTPDQQDLLFATLSSPNQAGKPQEDTTFDGV PVKTQQSPHMENRNGASSNELFNSPSDHAAPGSGRLSFGDDSPFLDFTLDPDFEAIEE DLIGDIPTMEVREKRKSIDGKEEEESGKKRKESDEKVAKKPGRKPLTSEPTSKRKAQN RAAQRAFRERKEKHLKDLETKVEDLEKASQAANNENTLLRAQIERLQVELREYRKRLS WMSSGNNYSLPPTAATRNNDLRSSRFNNDFSFEFPKFGDLPGAMFNNNSLAKTQGPPN SSQVSSLPAMQYKAPGVLNRDMFKGSYGISAQSTGSASAANSQIEAYRSLYGRGAGTT KNVSTSSHFNGTGSAAKPQNGTDQTKRQGSYSSHKSGLQGASNTNSPSTSSESHHGRT SSIGTSPEPAVNSPQTAKVNRQSAPNISGNSPATLDECEKSFYEKLNEACGCAEDPVP VALSRLKESPNSSNQQTNPERNTDDSLGLNLLVQQNGGQFDPILFNDYREPQDAVLSQ DFGSFFNDAFPLPELGNSFPSFDLGTSPASKPDLITQIDRSLDADEEVVPGEDRSQMM TCTKIWDRLQSMEKFRNGEIDVDNLCTELRTKARCSEGGVVVNQKDVEDIMTRAL UREG_01310 MANAYSKQPRPPHTFNNPSYNLPNGSTSGPAPGATALLPNNGRV IQSGSVRILCVADVRGNLKSLNELAKQARADHIIHTGDFGFYDDTSLDRIADKTLKHV AQYSPLLPESIKRSIAQVPPQQSIKQRFSPDQLVLSELPLLLNKQLTLDVPVYTVWGA CEDVRVLEKFRSGEYKVDKLHIIDEASSRLLDVGGVKLRLLGLGGAVVMHKLFDNGEG KTTIAGGQGTMWTTLLQMGELVDTANRVYDPAETRIFVTHASPAREGMLNQLSVTLKA DFSNLCWLTFSIWAARTTGPVTASNAGGQVDESAFKNMWNFNLADAAFGYLVLDIDGG RIGTEMRAQGFNFSHRGGKPAPATAPAPLAQHTTVSPISGGATGPGSATGAPSSQVRP PPQFGQMQAQPPRGTGSQYQPPVSQVQPPLPKPTPPKSAASPVPVIPAKEKPGTPQPA VPNVPASGNGASAKPASEPNGTIHASKPTESAPKPASPAEKKIINGLFISNVDNEEAI RKLFPDEDRAKILKIEKLGKYNNHVVSFPTMEDAKAALERQPLEHKKPSPPGPNRKPN VKMFEDRGGRRDGQGNAGTWQASNRGGGTGGQRSGYQSGSATSDGESGRGRGGFGGRG RGRGNDRGGRGRGGRTGGFGKGPSGPGESGSPTPTSVGGDKPTES UREG_01311 MHFSYYAVLLVGLAAVQSSSAFMEGETKRHEKGRCAIRGHCGKK SFFGGQLPCPDNDLAREPEDKVRDKLVSLCGEKWSHGPVCCEDEQIDALSKNLKLAQG IIASCPACKENFFNIFCTFTCSPDQSLFINVTQTEEVRGKLLVTELDNLWSEKYQSGF YDSCKDVKNGASGGKAMDFIGGGAKNYTQFLKFLGDKKLLGSPFQINFMTKPRNSFDD GMRALPESPKSCNDSDSAFRCSCIDCPAVCPQLPALATEHSCFVGYLPCFSFAVILIY SVTLLLLVSGVLGRVAFRKHRERKIERVRLLQDASPSDEEDEGDIIENAGSLTRPTKY YQLNSTLDKAFSRLGRFCARFPASTIVTSVIIIAVLSLGWLRFSVEKDPVKLWVSPTS AAAREKEYFDSNFGPFYRAEQAFLVKDEPGPVLDYETLSWWFDVENRVKRMISLNNGL SLDDVCFKPTGKACVVQSLTGYFGGSFSNVDPNNWQKQLRHCTESPGARDCLPDFQQP LSPHMILGGYEDTGNVLDAKALIVTWVVNNHDQGSKAEANAIDWENSLKQVLQVVQEE AMERGLRVSFNTEISLEQELNKSTNTDARIVVISYVIMFIYASLALSSTTITWKSLFS NPANTLVQSKFSVGVIGILIVLMSVSASVGLFAAVGVKVTLIIAEVIPFLVLAVGVDN IFLIVHEFERVNVSHPDEELDERIAKALGRMGPSILLSATTETVAFAMGVFVGMPAVK NFAVYAAGAVLINALLQVTMFISLLALNQRRVESLRVDCFPCLTVRKATAAAIPGSQP FDHGEEGIIDWLIRSVYAPKLLGKKVRLLVLLVFSGMFAAGLALLPTMQLGLDQRIAI PSDSYLIPYFNDLYDYFGTGPPVYFVTKDVNVTARLHQQQLCGRFSTCDDFSLGFVLE QESKRSNVSYISGSAASWIDDFFYWLNPQKDCCVEDGKICFEDREPAWNISLHGMPEG LEFLKYADKWIRSPTTASCPLGGKAPYSNALVIDPKHIMTNASHFRTSHTPLRSQADF INAYASARRIADSLSSRHDIEVFPYSKFYIFFDQYASIVRLTGTLLGSAIAIIFVVTS LLLGSITTGAVVTFTVIMMLVDIMGTMAVAGVSLNAVSLVNLIICVGIGIEFCAHIAR AFMFPSASLLERAQNKFRHRTARAWAALVNVGGSVFSGITLTKLVGVCVLAFTRSKIF EIYYFRVWLALIIFAATHGLIFLPVALSFFGGEGYIDPESDGGLEEDLAARRYRSLLP DNDYDSDDY UREG_01312 MDQERFLQQLQIVLNPSQGNVKDATATLQKEFYNKPEALIFLIQ IFTSHSNTDLKQLAAVEARSLVSKHWLKVPREQKPQIRERLLHSTLEEQAPLVRHSFA RVISAIAKLDLQDGEWADLPQWLLQAATNNSKEVRAVGMYILFTILETLGDGFQSKFV ELLHLFDKTIRDPESAEVRINTLLSLSKLAIHLDIDEDAQAVQAFQNIFPAMVAVLKD AIDQEDEDRVMQAFEVFQTFLGCEPQLLNPHLKDLVLFMNQLAANNEMAEETRTQAIS FLMQCLRYRKLKIQGMQLGEQLTLTSLQIATELGDSDDVDDITPARSALGLLDMMAQF LPPSQVVVPLLKALGQYFTSANPDYRRAGILALGMCVEGAPDFISTQMKEIFPVILQM LSDPEPKVRHATLNGVVRIADDLAEDMAKQHQQLMPLLLQNLASAMQEYKGEESGVTI DLIKASVSAIDGVVNALEGKDAIQYQSELVPVLQKLFQQPDFKLKGLSAGALGSIASS AGEAFLPFFDESMHIMQEFVTLKNSEEELELRACVTDAMGEMSTSAGPDRYKNYVGPL MQASEEALRLNHSRLKESTYIFWGAMSKVYGEDFTPYLDGVVKGLLDCLEQDDEDLEV SLGDAARDLIGQEVSIAGHKVRVADADDDDDVIQGMDDDEDGEWEDFSTVTPIAQEKE VAIEVLGDVLTHTGQSFMPFFEKTIEHVLPLAEHPYEGVRKSTISTLHRAYAALWQVS ESAGHAQKWERGKPLSEPPQEIKKFGEILMTATIKMWSEEEDSLTVADINRNVAENLR YCGPYIIADQATLNNVVTLVDTIITKQHPCQQDFGADEEDQAALEELSEFDWVVVDTA LDVIAGLAAALGGDFVGLWPVFEKTVLKYAGGSESLERATAVGVLADLITGLGEAVTP FTGNFLRLFLRRLTDEDLQTRSNTTYAVGRLVENSNSTQEIIQAYPSILEKLEPCLRI HESRLPDNAVGCLARMILKHKDHVPLADAIPVLIDDLPLTTDYDENDPVYRMICQLYK WEDPVIQSHTPRLIPIFQAVLTGDRDQLEDERRAELIELVSWLNNMQPGGPASFIEQL GN UREG_01313 MEMKMEATSPPSAELGPPEASEPLAATNPPNTERIPVPSYLEIR IALEPRCTLSASDIHEPGRYLVVYPPPLQEGGVSDYGKEEIRALLAYAIGALECKWVP MSPMGRRAMKTLKRACEQFLRIESERKQFNFKLAAKQLKEVIAFMDSGLREAPYGLLP SDLVDKTLQIGLIELYKASFWARYGEMLTTLGTDLGCEKEIDKLRLSVASVKTDWVHI DRCIQGENKDFASGHLPEDECVVYNRIMKACNSTGFEADETFYMIHNWAIGDGLVQPD LIDLIKGAEEDNPFYLARRVYFDIWDCPMIVPPEEETCLSILRELLEACRNTWFDCNS SPDDYSIWPPSWHLAEFLGYQDEERRLNLPDDDQLREEIYSNILRETKKQLLNSARCY FPCLEDYGQFEQFSWGCGNISSECPLEQAEIEKAQKLARDWQRVERLVHGVGQSWDIR FETMDGVFEVEEGFRHQIISSFQGNPEIDYWATW UREG_01314 MAANSHKPALVDGTLPTSSGSSASSVVTKPIKGTTKPCFGHGIA GCRKANWSREMQRFLKRRALYRHFRRQNQNTQVKLLRTSIAQQMDKLLDERRRYEAIR EYAKAQYPGCDVMLYVRV UREG_01315 MEEGGRQKGITAAFPPPPPFWRHFTPENLQKLEKAKREAGPQTQ SHRWTPQALQALELPPELRYLVPPEFPKEGSYSLFGESQSLSGSLPSLEEQGLEQLFP SSLTDEAAGPSPDHAYYLLKISKSLLLNFLELVGVLSISPEHYEPKVDHIRSLFINAH HLLNVYRPHQSRESLITMMEEQLEKAKEEIQEMDQMKACVERYLKELETEGRTISYQG ELEGTAEKLAAAAETKTAEPHLDGAQEMWDLLDQIENS UREG_01316 MYGGDATTSKITGSGYPYSVPETLKLKGQMNVEQLSATDLNGIP PSDIPFQSRIIVPPRRLDAVER UREG_01317 MGHPLGRRRISIIVWVRDNAMLSDDASSYLKSLGFAGIHRTSPV PPTPDDLQRLLAHFDSLCKKESENVLPAAEYANGASPTEHEGKEADHVRVTETDAITG HSTSQPLLFLLSAFDEIGVSRNAGVLSAHLKSLPPMKKPGSTQYMIDLAHTLCKRSRF PWRAFCTASSLDELLHNLEFKLSKPVRSNKRPALGFVFTGQGAQWYAMGRELLAYPRF RKSIEAADDYIKSLGSTWSLCDELQQDQHNSKVHEPAIAHIACTALQVAIVDLLASWT IFPSRVVGHSSGEIAAAYSAGKIGRKSAWKIAYFRGVVSSLQHHTKGSMLAVGISEPD IAPYLARVHEDLQGELIIACYNSPTNLTISGDEAKINALKVLLDADSVFSRKLAVSNA YHSSHMKAVVNEYSMLLEDLISTDKLEPPQDTHMFSSVTGALIELNDLELAEYWATNL ISPVRFVNSLCAMCFENISKGQKSVHMDGTAENVFVNDIVEIGPHGALRSAIKQILQT QNTPSIGYLQLLDRSNPGLGTILSAVGSLTSKGYTFDVSDAINAPNPGQQQMLVNLPP YSFDHSTRVWYESRVTRNLCLRKHPRHDLFGTPVADWNAEEPRWRYFIRLSENPWLRE HAVTGSYIYPGVGYVVMAIEAAKQIWDPTITISGYRLRDVSITTALNVPDTKQGVEVM ISMSRMDESSREKSKIWWNFRIASFNPTGDEWIEHCTGYITIEAPAKLNPIDNGQEAE KESVAWQKMLKTAFNTCVSPLDMTEMYDKLSNIGLTLGQLFQNLSEVKLAQGSGEATG TITIPDVASSMPKKFLYPHVIHPCTIDSMLHLFLASVIDSSGQNTLSTLMLPTFMKEV WISSDITSNARTALTVLHQREKVQNLQFASMLMITGALRELEGISVDMYKGYLQNYYH WLLEQVTALHSDSMPHLPLDKWIKYKDDLQFRENLLRKLTEMGPDGEFCVRCGSNIVQ VLKKEVDPLYLFFGMDDLIEKIYAGMVESGDLRHLIKAYVDVIGHNRTDLNILEIGAG TGSSTATFLETLSPLPDPGRKPAGSKIEKYTFTDISAGFFERAKERFQNWRSILDFHT LDIGRHPKEQGFSGSQYDIIVAGNVLHATPNIRETLRNVRYLLKPGGKLIMCEGIRLD FVFTGLAFGQLEGWWLGVEDGRKWSPWLNEKEWNGVLQETGFAGVEIAFNDRSEPDLH FMSVLVSSAIDNEDIRRPQSCGNTDYYIRRVSVRFPSVLSNPTEEEFDNIRHLLATCK GSLWVTGDITASPEFNMIAGLIRSLRWERDLESPNLVTLSISNPQPARKVLLQAILDV FKHQFVTQREDKDNAEYLLKDGVILTNRLVDAPEMNDYLTAKVSKLAAQMIPLGEAEV GRPLRLTTAAPGMLNALQFETDPNWYEPLGEFDVEVKIKAVGLNFRDVMIAMGEQNSV TFGSEGAGVVTRVGAAVTKVKIGDRVVFVDGAGKTGTFQTYGRVAEDLATMIPKDLEF EVAAALPSVFMTAIYSLYTLAGLTKGETVLIHSAAGGVGQAAIMLANLVGAEVFATVS TPEKAELLMREYGVKQDHIFSSRDWVFAKSIMRITNGSGVDVVLNSLSGEFLRRTWDC IAPFGRFIEIGKKDAQSDGRITMRPFLQNVMIASVDLLTMMRHRPKQVVSLIKETIRL YTEGKIKAPSPIKVFDYSQLEKSFRSLQSGKGMGKFVIVATEDAVVPVVPPPLAPVRL REHASYVLSGGLGGVGRSVALWMASKGAKSLIFLSRSGSASPAAQEVIRELHSRGATA HIFSCDVSDKAGLEAVLNQCKAELPPIKGCIQGAMVLADKMFENMTHDEFQMARKPKV QGSWNLHVCLPKDMDFFIMLSSAAGLVGNRGQANYTAGNTYQDALAAHRVALGLPAVS LDLGALLSIGYIAENRQRLGKVQYIASLMGTTREEEIHGMIEYYITPKGSSTRPAQVA STLTTAAQYAARGMPVPSWMHSPLFTQLSSTSSAATDTMGNDKTDSGINVTSKLASVT SISEASDIIADAIRSKLSKLLSIPVENIDTAKSVSSNGIDSLVAMEFRTWLAKILGAD VPLLDILGTMPVAGAGSLSTKVAMASKLVPETLKTEEVKAS UREG_01318 MSPADESIHPSPDTIMSPHPPGPFLSQFPTSKTADPIGAQDGRE EDRVIDAEEGTQYLGRLQLTVLTLSLCLCAFVVSLDVVILATAIPVIATEFESLGDVG WYGSSYLLTQTALQPSFGKLYNYFDTKWTFISGMVIFEVGSVVEYLQTAWAGDGGIAS PATPLHIFTEY UREG_01319 MDSTPRAPTEPPSSQLTAQLEQSQPQSADAPVAISLENPALLIS NPITKPFLPSEGPATPRCTTPLQPPATSSKPDNLAPGTPATPKRTGQLAPGLSLHVPQ RLVSSQSGSFSRPPNSPKLDSTHIYTSPSSVLPRRSRGLDFSRASTNLHHSTLAEASP DSSPIVGGRGVTIPQRRPGNGSGFASPNNSHSHIWSGSGHGDRISSSVSSINMLDSDS SSCSDEDDDASLAAVERGEFTITTPQAAKTFHSLSNVASPNVAQSPATDWMQTLSSTK PSLMSFQRARYRGKTRHSSTSTSGTSSRPSPGPRSPPVPKSVETFPSGYFSKEAIIAD VKSRRESLSLGTSDLHLSDMSDDAETKQGINSSSSGSIAGGSNPEFSRRGVIRRAVTR RGNLLPKTKTFSRIKAALMEEGAPIESEAKKEAEVIRQVRESDSLLPPLSPSLITSAL PLVSQTEDGATHTSAAGKAACTDFSQQASENSGGVQFWNSFDRRHQTPPPPFGKPFGD TAMQTSPGKPTSLPQPGSPFVHPRPLGPQAVLSQAATDLSQRLSKRRRVDDLDLDLAS FKRRAVSPGTSTQSSPSQSQAFSFPENTHSNQLSKAVLCQNSAQSTSTGHSQPIKRVG LQGMTETNEGLMNMSID UREG_01320 MVLLSTLLPLALAIFSVDAAKILSAAPGVKTVPNGYIVVMKDGV SSQDFDSHRNWVTQLHHERLARRGSTNVGGMRHTYKTTLKGYSGTFDEETIQEIANRD DVAFIERDQIMTINEIETQPNVPSWGLARVGSMRPGGTEYHYDSTAGEGVTAYVIDTG IDIDHQDFGGRAKWGVNTVDNMDEDCNGHGTHVSGTTAGTTFGVAKKANLIAVKVLDC NGSGSNSGVIMGMEWATEHAQQTGADKSVMNMSLGGGFSQATNQAAAAIVQAGVFLAV AAGNDNRDARSFSPASEPSVCTVAASTRQDGKASFSNFGQVVDVYGPGAEIISARVGG GSMTLSGTSMASPHVAGLGAYLIGLGRGSGGSLCNTIKEMAQPVIRSPGSNTTNRLIY NGSGQ UREG_01321 MSTPGSTLCCQALCLGIFRPRLSSTHIQRTVSKDNPLRIDDRSL KGSGYRQRQRAMVTSRLCFGFSAFPGLTTSANLQHRGELLRQSVVCNCNWSLETSHRS KTVVTTGPGDSDTPNVPETEKSPPYLPVADRMPLDSGIIPYRIRFSIPARMIDRPHTN YDVLRRGTRFLRPDPGAVSGHWVFRKMGLISTV UREG_01322 MKLLLVVSVFLTSAFGATAASLGSEYNGYKVFRIPTTEENHARV VDLINELHLDTWKFPKKVGFAADVVVPLEQISSFEKATAGLTIEVMHEDLGASIEAES AVASTFEAGNPNSTWFDTYHSYEEHQQFLKDLQTQYLSNSELIIAGNTYEGRPIQGIH IWGSRGKGKPGVVWHGTVHAREWITTMVVEYLTWSLLSQQNDPAVKGILDKHDFFIFP IANPDGFVFSQRVRRLWRKSRQPSKLLCVGTDLNRNYPHQWGERGSSARLCAATFRGL GPGDAPEVQAHIRVMQDIARSQGGKMYVDWHSYSQLFLTPYGHSCDKRASNHEKHMEL ANVFAQALGAVHGTAFKVGPTCNTLYQISGDSVDWAVDVGKFELAFAAELRDTGWYGF IIPPQQIRPSGEEAWAGIKALMERM UREG_01323 MWLFLWRRKQVFLLTVCLGLSLYAIFLWSPSLATRYWHWPWLRE QHLDFLPSWLHLLLSYLFASVASSVEISQGTVVGTVLIDSTLPRPIEAFLGIPYAQPP TGERRFKRPQPVKPSSDIIHASKYGKRKLPVAIYVHGGAFNSGSGLHDQILLFKWVQE NIGAFGGDPNQVTLIGLSAGAHSIGHHVMHNSGQQLFSRAVLESGATTSRAVYPPDNP LHEKQFREFLAEARCSNLPENEIITCLQSKPMYDIARASESIFNHYNPSDRWAFQPVI DGEIIKDAPIKNWKSGKWNKVPILTGFNTHEGAPFVPSDMEKSEEFTDFFRALIPPLP ASDTKILNHLYPDPLKHPESPYVDGRDIDVGAQYKRATAAYGQFAYICPVRQTAQLAS EAQDAPVYLYHWALNKTVKGGASHGDQSEYEVYSPYVRRLSDAQEKIAGYIHAYFTSF ITNGDPNKIQGKYADRPRWTPFKAKASKSVMVLGEGNDERAGGGHVGIAAQMADNKWS EKECEFWSDRSILTES UREG_01324 MGTHGRIPVVIGVGDIKNGSKSIEDAHEPLGLILQAIERAIQDS GISHSAAQELQSSIDSVDVVKTWTWPYPDLPGLIARKLGVQPKHTFYSENGGNSPGKL FDEAARRVSLGRSKVAVVTGGEALASQSYALLDLGAMHSIGLPVHVYPLYENGFRAHR RQSLEENNSESAQLYGSFARIAEGNPVAWSHGKPAETPQSIGTVTKRNRLICFPSCLL TSTEFASKLGIPESRWVYPLGGAGTADSNNFWERPNFYSCPSISRSLDSALDLSGLDK GDIDLYDFYSSVPQLLIPSTNSFIHCFNSCFPIVPKLACQHLGLPIIDAQKPITLLGG LTSFGGAGNNYSMHAITAMVRRLRESTGRRCNGLVLANGGVLTYQHVVVLSSQPRKDG LSYPSKNPLPDVLTDEEVPVIESNPEGKAVVETYTVEFNRDGTPYQGYVVGRLKSNNH RFIANHADDDSLNRLCSISEEVIGKTGWSIYANFSRHISIAQYVPMNHRQQPPVANHF GDRWLQKLPAKRCGWLDHPNGYISSD UREG_01325 MIVQPLVAHLSLASVGVGFLAVTTLLCFGYVVYNRLFHPLRKYP GPFLATVTPWVQLYHGLKGDRHLWLYALHQKYGPHVRVAPNFLSINSARGLHDIYGHG KKVKKGDFYNAFPAIKGVYNTHNVIDKHVHGRKRRVLSQAFSDQALKGMEDVMLVNIR QFCAIMAGDEPSLDSGAAKTERGLVVRNMADWFGYLTYDVMGELCFGKSFGMLIERGK RQVIGLVDRAAYRHYVCGLWMPLDRWHLDQVFIRSLTNDRWNFIQKSRVEANQRAKER TQAGHEAKKDFFYYLLNAKDPETGRGLSTPELWGESNVLMIAGSDTTSTSLAATIFYL VRKPTAMAKLQKEVRDNFTDVEEIVTGPKLNELVYLRACIDEAMRLAPAVPGAMPREV LPGGIDVDGLHLPGGVDIGTPCYTIHRNEQYYREPNSFVPERWIEGAMCETDNKIWTS SKDDVDAARKAFCAFSIGPRGCIGKGMALMEMRLTLARMMFLFDVEFADRTGEDANGH LHMVDHFTSQKHGPNVIIKKRQSV UREG_01326 MVLLATNADDISKAVRFAQDSNIDLAIRGGGHSVAGTSSSDGGL VIDLSRMRKVTVDPVGKTITAQGGALWADVDLTAAAHGLATVGGTVNHTGIGGLTLGG GYGWLSAKYGLVIDNLISAKMVLADGRIVNTSATEEPDLFWAIRGAGHNFGVAVEFVY QGYEQVNQVYAGPLVFSMDKLESVIEVLNATLQTPDENSAAICALSKRPGAPDISLIV IVFYNGNEEDAKRRFEGLFALKPEVADAKMIPYSEVNTLMNAAAVHGGRRSFKGLFFS PPLRLDFARSIASIMAQKLNNEPDMAGSVLVLEFFDMRKTLEVKLTDTAFANRGTTLN GILSLRYEDPANDAKYRQWARDLQMLFKQELDEARKGLESCGEGVPQYINYAERKSII PLFPLPNTSQLHNKEKRQGGIELTLL UREG_01327 MPFFSHYGDIEDISDKLAIPALVFTIVTPLFIIARFWSRKVFAK RFGADDWVILASAVTTAFEVSFFSFPRLLSRLSLEITKPCTESCLTNSLSLSLSQLYF YAQIFYKINIGLTKISILLLYIKVFIQPWFRKTCWACVSVIIAFTVGTVFSSIFQCTP VQYAFNKKIPGGGHCLNLTAFWYANAVFNILSDVVIIALPIPVVSKLHSPIKTKIAVA SVFTIGIFVCITSVLRFTTLNVATSHLDTPWTNIGSSMWTVIEINLGIICACMPTLWR PLSRIFPWVSSHLTNTKYGSGQSLGSQKPIPGGRRAAPTGGREVAGYWTKIGSSDEQL ARDYGMYTSAEGERRATWDENMPTEEEEFSNTIRKTTQVSVRYCEGQNDPERGERDIE LKRVGR UREG_01328 MESEHSEEKTVPPTANADKELESGDGGSSDAPSVSPAKVLRKID MHLMAPLWVVFVFGFLDRINLGNVAVLGIIQELNLQGNAFNIALQVFFVPYILLDIPS NIILKNFTPSTWISLLTFMWGVASMCQGFVKNNAGLIACRFFIGVFEAGFVPGCAYLM AMYYKRHEFQKRFSLFWVAGLVAGAFGGLLAYALDHMGGLGGYTGWRWIFIIEGLLSI VLAVPAKFLIADWPEQAKFLTEEEKRYVQTRSSQDVGGGARMDRLDGQAWKRIMSDWK IYVGSLIYIGITVSGYATALFIPSIVNSLGYSGIESQIHSIPIWIVAAVVTMMVSYLT DRLKHRFGFVVFGVVFASIGYIILLCQGPPDAGLPPRVRYMAVFFVTTGTYIVQPVTI VWMANNLGGHYKRAIGLAIQVGFGNIGGIIASNIFVRTDAPRYFVGYGVALGMMIFCG FMSLVFAVGLVRENRLRAEGKRDDRLQLDESILGNMGDDDPRFRFSL UREG_01329 MYGRPKIYGHPISMSSDIVLLTVAEGGLEDYDYAVVDLAQQANK RPEFLAMNPSGKIPVLTTAEGDHISESRAIAQYLAARYGFTHLVPKPDDLLGLARFYQ AASSETFNFNVPAEELLHEVFVLPLFMGRPVNEKVVTRTRSLVEKHLDVCEQAFKAGQ KYMAGDSFSLVDIFYIPIIARMIDAGHGDLFTKREMVNDWWQRCLARPATGKFVQTMP KIADLKA UREG_01330 MAPSSSSPFARSNRPSTTPSTRFSSSKPVKRNASILQFFSKAET PPKPVSTQQRITQFVAQRDDSSVPSLSSKDLRNNGTAEYESLFVEDVKAGNNNGFGSE GQPLSERERSRSPDDLWARLGSSDIGAYQAGGDRYHEDGMAVKRRKMGFDYAEVNIHG QSETAVAQEMKIGQETRIASNNGERKFTGPFLIDSDSEDDPPVVGQLSSQCNTGSALV GVGKSLAESGDMLRISPERGLMTPNEPGERLDGGECPAMDPGGSDCEETSDYVDLTEN NHFEAMDGCPNDEEVPICPICQKGLQGLTDDAASLHVNKCLDGESIPEVTKVDASESV RTRADLKVMARPGQKNPFAISSTVTKSSSAFSKLMSGNAEDAAWAAAAANEVASRGKQ AFERTCPFYKILPGFSICVDAFRYGAVEGCSAYFLSHFHSDHYVGLNSNWSHGPIYCS KVTGNLVRQQLNVNPKFVVDLDFEKPTDVPDTDGVKVTMIHANHCPGSALFLFEKKFG QGKGQRVQRILHCGDFRASPAHIQHPLLRPDPIDQATGQPKQQRIDVCYLDTTYLNPK YAFPSQQDVIDACAQMCVNLNENKSGCRDLWQRGKSMPKDSKEPHVNGKPTETSKSRL LVVIGTYSIGKERICLGIAKALGCKIFATAAKQRICACLEDPELSSLLTSDPLEAQVH MHSLMEIRSDTLSEYLASFKPHFTHVVGFRPTGWNYRPPTGRMVDNPAVSTVLQSESW KTRFTVKDLVPQRGSNKDSSCFGVPYSEHSSFRELTMFCCALRIAKVIPTVNVASRKT REKMKAWIDKWEAEKRKSGLFKLEEGATRW UREG_01331 MAATFTSPTRPPVPRQARGDRALNTIIENGPDAADVYVGHAGHS ATARRQHSYGHGYEDGSHPLRPRGELHGRRSSSTRMSSPLAPHGDGSRHSIASSNRAS CSTDCRAKHRDGFDDLYDVTDESDPDSCPSLSSQADTRSNSSFTASDLSSSKSGHRKM FPRLSIPPSEYDSNIKLSHVPPTPPPKIPVSPVLLAKLPRRVPAIAAPPSLAGSASGA SDRPSTFSSPQTPNLAELPDVNWDEQRLQVRDDGELANFSETSSASMSPQLDIQLESP EDWSAVVGSFPRIPTQAVPDQPSSDCESDNAPSVESNKGVQLPSAAMATLQRFETNSD RFQSSPPSETGQVGEMHELPNPMRPRSAVMPPSICSGSSFTSLSIPSPGGFFASLKGQ ARKTWCFPINANTPTSAVAERFYNVPWDAREDTIVEQVVECEDNDTEGPSTAKAVVSG PPTARRVPVKPPEEAKQRDAEDSGNEYDASYAEAIKSQAMSNLDRTTVWLVTQSARTK PVAYHTPSVNLPETIPTEPLEPPSKPLPARESTFYLGFKHVRRNSQSTDIFLHSNFRF EAVQASRLSMRAKHVDHIAGKYEISSPVRPPYRGPFAQAPRNSKLPEVLQEKAMFSNV EKEQDVLIQLHSSTWAIEALKFLNGGPLVPSPAAKRLAKATPLNQPTGAKRRRARVLD FGGQTACEWAWHLANEYPNAKVFTVVPRQQAVSPVDGPANHQHLSVPYLWRLPFKDNQ FDLISARSLHMLLKSSRPVGAEAMANDEVDMCLKECFRCLKPGGYLEFFIMDSEIVRA GPYGSATSVEFGFNLKTRGYDPAPTKAFLSRLRKANFADIKRAWLFLPMGAPYNDDAQ VPGRFSPLSPDTTELVGSTGDVASITGLFGGWMWEQWMLRLQLEMGRDRDKLLEETAA VIDEGRNFFKTLTNHTVIIELKNDIRIRGTLKSVDQYLNVKLDDIEVIDLDKYPHLSS VKNIFIRGSVVRYIVLPQEAVDRGLLEDATRRACYCAIGPVPNTDRIPLSVPCSVDGP PIFTIPGGVDYDSDPIAHDYHTCFVARIESSEGA UREG_01332 MALNANSDQLLSSARAVFWTQHSHLPDSKRQELWTQHLSQFICG PTTALHDARRNALRSGGPSYLGKRPGDDVPRTLPPGSPPTKRRATTPDTLSLSRSASV RSQLARQHSARSRIGHSARKAGTASGPRASWNHPPALAPSPQIEGLAAVRSQATASPL QQSQTLSTEYWDHGLDGAAAPIHSNRASGSSSSPIEVDMDHSPAADALVLDSQHQGQL AVGTESLEMTRSLTSDSLCEGMDMIRFDSNRSFLENLDFPDAQLSANFTLQSFVPHND ANYSLHSSPISHFHSRNMHHVQFSQSLPESGSGFFRHSVSAALNRSAASSSDSSSDSC GSSSPSSAEMKPSLSSQSTDSVASSETRARRRTREQIVQGTQKIAPKPANAPQSNNVL EHKMIIASEDGTSREVAAIPKASVQRPSRPKTYCKYCNEQPDGFHGEHELRRHVERVH AVVRKVWVCVDISPNKKFLANCKACRNGKRYGANYNAAAHLRRTHFNPCQRGRGGRGK DSEKRGGKGGGTTPSMDVLKHWMEQREEIVVDNARILLDNDISPEEAELLGRRRISDT ASIEQSDGHQSADESPAAAPATYDSHSSTPIDDEPSEMAQDFAFEFDDWTTNSPQQWD AAGTGATTAAYDGYDSAIMNPFDSSFYDPASFIQAQPMSAEVGSYIPVML UREG_01333 MPDHSPSYSQDDPTLYLFTSLTAGSSHIITATSRLETILKANKI AFRAIDAATDDKARTLWGRKSKGRKLPGLDIEQIEEWNEYGELQDQLRADPSSAAIEP SPAPSTTTTSIPSRPTPVTVSSTPHIQIVGTPSRTPSAQSKREDRLTLALRQASEEAA AKAKETARVRVGAVSPSSMRAAEQAVAAKAVEERSAEPEVPSGGKGEESKTQAEPQPE SATIKDDGGEPAKKDGPAPELSEDAVDDETRKDKPDSSQQ UREG_01334 MAPPAIIAPSILSADFANLGAECSNLMKADSDWIHVDIMDGHFV PNITFGAPVVSKIRSHVQKPASMGGKGTFDCHMMIAEPQKWVKDFSDAGCDLYCFHWE AAMTSTAAKEPADKETTRKTSPKELIRYIHETGMQAGIALKPATSVDVLCDILENPEE IERPDFMASELPKVQALRKRYPNMNIEVDGGLGLGTIDQAADAGANVIVAGSAVFGAN DPAEVIAKLREAVEKRRG UREG_01335 MSSQSYYQQPPPQGYPPQGYPPQGYPPQGYPPPVWSSLHFDTPA LHQNDRAIT UREG_01336 MAPGPTVNCGSELICSRRDMLNGWFGQTGTGSVPITATIGIAAM CLCRAAGLTSEEPSSNAVPRTNCPWLWAIARRETHRFSAQRGCCAAAQRVSRATAMKG DCIAMLLLTRSPCSETPKPAPRPCILVLAGGRDGVCADCKNSVHHSHSSRRALFLTQH SPRHCFHALVGQSYTVQDTSPTSWSARRLASVSTNNGRLVSDGRHRHGWSAARDRPLP SSLHLVTDWSRDSAGAANQRAGPDIAAVGDRTLDASGATPHGIDIVVKQQLRLRSVVR LPSLAAPAGVTIPDPHARMSDLAYTALSDLTQFPVTEQPPSDDFDISFSPGLFSVEDI LVDSTDYLCRTGTADLTGGADLTRPALVEQRVSSPGPSPSQAAIPYPPMNDNIHGAHY LPSQNTTQLQPPLIHSMRYASPSGSHGGDGSATRLPHERQFVDKIPQPHAQRRAFTEL FSPASLMPTMEGSYCGSEYSVNPNDLQHYPDPSQQVHTPPPEDEPVAFYDDSIHFEQT PARPLLTYPGHEPQFAYEHPQLEPHQDIDPLLRQPHDFYEQEEPAVEPPRKRMRLEAS PQQSSAPMPQIETPPESPKKRSKPASNSSKPRQSFAKRGSKHRGRTPPSKAPSWAVRK KSERQFPCVFAPYGCPLVLVSKNEWKRHVMSQHLQLGFYRCDVGICNVASPAPPCTPP SDATSFNNPCSATARAPNDFNRKDLFTQHQRRMHAPWRVPSSPTCPPSSSSSSSPQSS STSEPSKRVRDAFEASLNDVRQRCWIEQRQAPQYSQCTYCSAEFKGAGCWDTRMEHVG KHCENGDVEIREDLGLREWAASEGIVREVKKGSSNTSKLLNLTLSMRKEKMTCSDTFQ EDDPSLPVIEPQRPRTLLGAGVTSHVDAIDETTALKKAPLWDNTYMDKQKQFPTVDLP VSEIISKCWLEQYTVAHEVVAELQLLQDNARNEPQDRTRILTSIS UREG_01337 MDFQPPSSSASREKGFSLSQKLKIPTSEDVVRHVHPLRKIIAGG LSVKKNAVVQCNTQWFPMPSILPFSLYRLCLRKIANPNPSCPVRTRSHAQRGLPPF UREG_01338 MTSAPAPFGVPALAAEAGAELQDAFSARPFEENESGSQTRTYAD ETKPSTASSVTAGKVSELEGDDGITKDQEHELQGLARQVSRISRRSSVYNHENVVNPF LDTEADPELNPASQQFKVRKWLKTILHIQSRDPDMFPKRTAGVSFRNMNVYGYGTAAD YQADVGNTPLKAVEPSEPRWPWKKVALIFSDFDGVSGGERKRISIAETTLSGSPLQCW DNSTRGLDSSTALEFVKSLRLSTQYSGTTAIVAIYQAGQAIYDIFDKAILLYEGRQIF FGNAVHAKRYFVEMGFECPDRQTTADFLTSITNPAERIIRPGFQDKVPHTPDEFAQRW KSSPERQALLDDIEEYNSEFPLGGEQLEKFQHSRSAEKSKSVRKSSPYTLSYGQQIKL CIWRGFNRLKGDMSMTLTSVIGNIVMSLIISSVFYNLPDDTSSFFGRGSLLFFAILMN GFASSLELLTLWQQRPIVEKHDKYALYHPSAEAISSMIVDLPSKAIVAIVFNLILYFM VNLRRTPGHFFIFFLFSISTTLTMSNIFRTIGAVSRTLAQALVPSAIFMLALVIYTGF TIPVRDMRPWFKWISYINPIQICSATGAQPGQTFVEGDAFLNITYKYFASHLWRNFGI ICAFFAFSLFTYLSATELVSAKPSKGEILVFPRGKVPSYLKSKKRSDDPELAETMHEK QKLESGGQDQVGAIVKQTSIFHWQDVCYDIKIKGQPRRILNQVDGWVKPGTLTALMGV SGAGKTTLLDVLADRVTMGIVTGEMLVDGRLRDDSFQRKTGYVQQQDLHLEISTVREA LVFSALLRQPATTPREEKVAYVEEVIKMLGMEEYADAVVGVLGEGLNVEQRKRLTIGV EIAAKPDLLLFFDEPTSGLDSQTAWSICTLMRKLADHGQAILCTIHQPSAMLMQQFDR LLFLAAGGKTVYFGDLGKNMTTLIDYFEKKGAHKCPPNANPAEWMLEVIGAAPGSQTD RDWPEVWSNSPEREDVRRQLAEMRAELSQKPQAPRSAGYGEFAMPFWQQYLAVQHRMF QQYWRSPEYIYSKLALCIIPPLFIGFTFYKEPISLQGLQNQMFAIFMFLILFPNLVQQ MMPYFVTQRSLYEVRERPSKAYSWKAFMLSSVLVELPWNTVMAVPAFFCWYYPIGFFR NAIPSDAVNERGATMFLLIWIFLMFSSTFSSMIIAGVEQAETGGNLAQLLFSLTLIFC GVLATPQAMPRFWIFMYRVSPFTYYVSAVLSTGVANTNVNCGPNELLRLVPPAAQQCG EYLKDYIAVAGGTLLNPTSTTECEFCPVADTNTFLQKVNIAYHDRWRNIGILFVYIII NVAGAIAFYWLLRVPKKWSKKAKKD UREG_01339 MSTPIYEGPEPSYGSERGRLEHGRSSTEETMVGEKPPDEERGRL KVDPSLNPHDTVQPSPSRTREEAHRLDDDLAMLQVERQVSITETEVEKAGRTGSIHRS RTRRSEPVDEFDAATNPLHEQTAFKPPENPTTSLARFVKKVHNSSFLIRYFTYITPLV LILLIPLLVGALAFPDADVGGVRLMWFSVWLEIFWLTLWAGRIVAKCIPVPVGIIASM FTNNAKKWRDLAKQLELPAALFFWWLAVEISFLPTMKNHHVDGNKATKHWELVMNKII ISIFVGTTLNLIEKLIIQLIAISFHLRTYADRIEINKFQIGSLTKLYDYSKKMITMED REFEDQPKDGQSSGARTPMMYADRATRVAREALHRVGDVAGVVAGDFTGRKVTKSSHP YQVVLTLLGTTAGSQVLARRLYRTFVREGFETVFSGDLKAAFDNDDEAEAAFNMFDKD MNGDISMEELEAVCVEIGRERKSITASLKDLDSVVSKLDDVFLFIVVVITILVFLSLI STSAAGVLASAGSTLLALSWLFSATAQEFLQSIIFVFVKHPFDVGDRVSVYGNTGANL TGDDYFVKEIALLYTEFKKMEGHVVQAPNSYLNTLFILNQRRSGGLAEAVPIVIKFGT SLEQIDALRQRLLDFVLSEKREYQGKILTELRQVTENYSITLNVVFFYKSNWQNELLR LQRRNKFICNLMISLQEVGIEGPRMNLLGYKNNLPYHVSYQGAPPQYTPNENDPSHPP PSDPQQIAEARDTLGELGGGVSTGVNHHPSILRRPGARGRGNSASKHVDFSLGMSALS SGDLMSDVYSDREKGRVDDVIRSANRETAERRLQAQQERLSLEREASHRGRNSLESYR SGSSRQATSALGSTSSVHRNRFFGRLGSRAGSHQPSHRGSRGDDDMMEQGRYVGGSPI LPPVPQNTMDSRTRNISSQAAHDDYLDQRTTNSDSELMHSRSMDRMNEEFEMGRLGHR S UREG_01340 MRGEVCHLHIGQAGTQLGNSAWELYLLEHGLKADGRADPDAADL GEPGSFDTFFTETGNGKYVPRSIFVDLDPSMLEVTTQSERNLLITLSIASVALLVEMP SKHTAGIWLIVLSNLDNCSSLQGFLIFHSFGGGTGSGFGALLLERLSTDYGKKSKLEF AVYPAPRLSSAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICHRNLDIPRPGYEHL NRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAPVVSSNRSSHES FKIPDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDVAPRDCSVAVASLKAKTSFNL VEWCPTGFKLGINYQKPIRVPGGELAPVDRSVSMLSNTTAIAEAWSRLDHKFDLMYSK RAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVASDSLEAEGDEGLEY UREG_01341 MASLDLLPTELLNLIAQKLHVEHISNLLRTSKRLYNALNDELYR EASRRDNDEYGQPVSLIHAASFGEPASFDGLLSRVKDINSPIVDLEGYPSYRLPISKG VTRITILQAVSAMGKENLVELLIAKGVDTEVRDNGQQTALHLAVILKQTAVVKSLIEG GANVLALHGAQNTALVYALQYGSLAIARMLIAAGGANVELPAGPSNPVSQTVAYMDLS LVKQLLETSSAFFPEPCLTDALPSAIKTGNVDIIQYLLDAGAKATPDALSEACAADNL PVIKLLIQAGANVRGRQRTGATALHSVCSFEAAKLLFNEAPDLGRLLAAHQPAVEHVY RTYSLNQNLDIILLVLFLLWKGPRDQDPEDSGNRMRAIHYAAQYGHEAVLRSILAQQK WQVSTLSCTGATALHYAAASTSESRLNCVRLLVEGGVDMAVMDQLGNTALKSVFLNGY HPLNATVAEYLVRAGANPSQRQQNDKSPLHDALYHSDSESALVLIQAGADISAMDPCG MQPLHFAALFGCADIVTELAQRGVNLSARDNRGYTPLHLAISQGFEKYRNREDFLVSV ERVITRKYSHAHTSHADPEALRGSRYLAVIKALCYTGANINAQATSMNLSPADFVGMQ RFCWNGFARNEGPSTETEQVDETLQSADEVRKIWWKLAEYLNWQRGQRYQVVAAGAIA GLVSRFCVAPLDVVKIRLQLQIHSLSDPLSHRHIHGPVYKGTISTLKAIVREEGITGL WKGNIPAELLYVFYGGIQFTTYRTVTQALHTLPTAHRLPQPAESFLSGAVAGGIATLT TYPFDLLRTRFAAQGNIKIYPSLLSAVRTIHSHEGYPGFFRGASAAVAQIVPYMGLFF ATYESVRVPVAQLELPFGSGDATAGVIASVLAKTGVFPLDLVRKRLQVQGPTRSRYIH QNIPEYSGVWSTIKSVVRDGGVRGLYRGLTVSLIKAAPASAVTMWTYERVLKTLKEMN ADPVR UREG_01342 MSARLGLRRLSKAPSGWCGHAYRVKPSLRAYSSAPIEPEHRAAV QAESNLQPGHSAPFKIRKFPARNKSNATDDAIARHIAKTKPSGYNAQTGEIPKPVVDT SRLWLPIDGVEMPIARLRLRDACTCSKCIDPSTKQRNFATSDLDMEVAEKDVSIQPDK IVVTWSGSNGDPDGSHISEYSMDQLRSLFQPPVPRHKRAGGYRIAWNKESFTRNQHWI SFDEYMNDEKKFRGFMRSLYRYGLVFVKDVPESTESVSQIATKMGPLRNSFYGSTWDV RSQPDAKNVAYTNKFLGFHMDLLYMADPPGYQLLHCMSNSLPGGESMFSDTVRAAEQL YRTHRHDYNRLWTTPVRFGYFNDGQRYEYTRPTFEGDRMGDIKLHNGVEVGPTFRSWV KAMRVFANSLEKPENVFKLKLNPGECAIFANRRVVHAREAFDLSGSDNQERSRWLRGA YVDEDALLSKFEICRAQNPEEWREVTEEQWMSRSLTPRAIREKMIGRSQPEPVQDSTV TE UREG_01343 MSTLHEVTSEEDFTTQLSALPPTALAALSFHTPWAAPCTQMRTV LSTLASTYPATTPPSIAFLSINAEDFPDISEQYDVTAVPFLALVRDKQVVETVSGSDP VKVRDAIERHVGRGGQADQPSIPPALPVTPRATASQDVPNGPPTTAAPNAQPEPPEPT KEELFARLSELVKAAPVMLFMKGTPSAPQCGFSRQVVGILRENGVKYGFFNILADEHV RQGLKEFGDWPTFPQLWVKGELVGGLDIVKEELAANPAFFHDYSVSKVATAPSA UREG_01344 MAHRGFTTILRHRISNSLTIKPFRRAYTWVPLEPSTVRYEYTEE VERLDFYVPGGYHPVKLGDKFCDGRYIIVHKLGFGRSATVWLAEDKKASQLVALKIST AESIERKLVERTDESAILLQLGNAESSLPGRTMVQTLRDEFTFSGPNGVHKCLVSNAA RVSIMESKLASNHCLFSLPAARAIASQIILGLQFIHAQGIVHGDLHLGNVFLNLPPDI QAMNAEQLYTRAGEPSKQLVVRRDCKPLDFGVPSEAIVPVWLGGASDELTLADASIHI ADFGEAFVPEKVKQFISHTPPQLTPPECIFMGSGPDADEPLSFPGDIWTLGCLLWELF GNSGPFCPFPQTIDGLLTEHVEMLGQLPEKWWRKWKNRSDWFDDDGIKSVKEEYQQKY GVISRNWDQRFPHDINRVRLMMKYPTFSPEEEKAFGEMIKSMFIFEPRKRATIKDVVR CDWMQKWGLPEMRNMEAEIDSKSKM UREG_01345 MLFLRKVRDRISLPLRPKGIEKIGLTSSATEDSHLEANRKLHLY HRVHRWDPNLDDEYLDEVHRAANSYDGSSTNKRMAQKVSENSPYPEVRAAVRNKDEDV PVDTIRAWTIGIFLTTVGSGLNSLFALRAPSIVVSSMVALLIAHPLGLAWAKVMPNKK IKVFGREMELNPGRFNIKEHALIAIMANASIGNGHAYFANTILAQVKFYNTDFGTGSC YSFAFLRLLADHGSESRGVMGNMSCNQYPNGRIRYCRAASSDSCRTSPPNPATTNGWR IPRYRYFLYLFTGAFIWYWFPGFIAPFLSVFAFATWIKPNNPLVNQLFGGWTGLSLIP ITFDWTQIAGYVQSPLIPPWHAIGNTMIGTVVTYLIVTPILHYTNHWYARSLPIHDST IYDNTGHPYDVSKVLDADRTFDLQKYKAYSPVFLPTTMSMTYGLNFAAIAALIVHTVL FHGQQIWIRARDVRGDLDDIHTRMMRKYPKVPVWWYLVLLSGCLVLCFLTCVAWPTHL PWWGLLIALLIAFILTVPIGIIQGSTNIQIGLNVFTEYIVGYMLPGRPLGMMLFKTYG YISMAQGLSFIQDLKLGHYLKINPRSLFWAQVLATLWSCFVQLGVIRWSMGNIEGICE RDQPNRFTCPNGRVFFAASILWGLIGPRRIFSGKSIYAGLQYYWVAGALAPIVFYIIA RIFPRSRARFVNTPILFGGTLMLPPATTLNYLAWGIVGVVFQKHIRYRFTGWWMRYNY ITSAALDTGLAISTIVIVTAFQLSNISFPSWWGNTTALQTMDQKGTAIIDPLAPGETF GPKTW UREG_01346 MTGASAGSAPVENGINGGKSGLVAKQLPLLQRPGEEQVDYLLLS WILLSYRGDGSGEDGSFSFEYQPRRIISPPAQPISGFITDIIVEETGQISQALERVRA VRGNHLAFDEELEQREGLSVLFSHAAVSREDSERETLNETFRIRVHLIGEELSVHVAL RPALLSCAMAHLAVKTYVEILSSIVSDPNQTVAQAIRVTKSELERIWEWNRTVPPVID DCAHDIIMENASLYPERPAVVSWDGGLSYREVDRFSTQLASQLLQNNVQIGEPIMLCF EKCMWTVVAVLAVMKAGGALVLTDPSQPEARLQTIATEVNARLLLTSESQAKLGSSVA PDACIIPVGPDTFQNQTEGPLSSISLPKVPGSSTLYIIFTSGSTGKPKGVVISHANYT SGAIPRAKAVGYQAHSRVLDFPSYAFDVSIDCMLCTLANGGCICVPSEDQRVNDLCGA IRSMNVNMAHMTPSVARVLDTDILDSLEVLGLGGEAVSARDAAAFGQRTKVIIAYGPS ECTVGCTINNDVGTDRAYTTIGKGVGCATWIVDPADHDRLMPVGAVGELLVEGPIVGE GYLNNPTGTASVFIENPPWLLAGSDRTDGRLGRLYKTGDLVKYDLDGSGSIFFVGRAD QQVKLRGQRVELGEIEYHLRCRLPANTTSAVEVITPGGQKENATLVAFIAEQTQDKNE ASEETTAFSPELLHVLASMESELAVVLPRYMVPATFIPLKEIPLLVSCKTDRKKLRAI GSAMSRKELASLKITQLEKSKPQTDMEVRLQALWVRILGEATEINANDNFFDAGGDSL KAMKLVAAARSEKLAITVADIFRHPTLSDMATIVKQVDTDALVDIPPFSLLPDGWKRP DARVEVSNLCGVETSLVDDIYPCTPLQEGLMALSAKVSEAYVAQRVVELPSLDIATKL KAAFDTSIVECPILRTRIVQVPRRGLMQVLIKEDIPWNSSHSLERYLQRDREAPMGLG TALARFALVDDQKTGKTHVVLTIHHALYDGWSMPLVVERVNRAYHGLKTQRPAPFKAF IKYLLDMDRAETENYWREQLQGATSLQFPILPEPGYQPQAESLLEHYIPLTKRSASST SIATAIRGAWAVVASEYTATDDVVFGETLTGRNASVQGIDQIEGPMITTVPIRIHVDK ILPVSDFLKEIHNQGISRIPHEHLGLQHIRKLTRDARDACELRTGLVIHPTSEEENVS DREDNPADGFVPAGDLEAASEALKFNSYSLMLVCSLDPRGVLVMASFDSRTVSVPQMN RVLEQFGQIVQKFCTEPSSKVGDAMRLAEKDFAQLSDMSRIGPKSLESGKPTALGQSL ANASATWIVHPLNPDHLVPVGAAGELLVEGPFESSLQRIGVPQWLSATGTSTTPRQLY KTNQLAKYQSKGAIIFLEQNNLSSVTQQVRRAPSSPGDMTAKERKLLQLWSRILNMSE SEIDIGDSFFDLGGDSIGAMKLVSEARMEGFKLTVAQVFKHRYLRDLAADLQESQPSP KASAEICRPFSLLETPDLEAFLSQTVRPSLHRPSWKIIDVLPARPLQSVAIKGTVQLP RYSARYELFYFDSQVDETRLFQSCRELVAHNEILRTVFSELNGQYYGIVLEEIDVEIE IYDIERNIETFSHNLCNLDVETKMPLGSSFVKFLFVRCEDGRSCLILRISHAQYDEIC LPILLQQMSALYEGKPVPRALPFSSYVRHVIKENIPQSIQYWKNLLKGSSLTVLRPDI PLESKAHAAVYRTFDISTRPKDITVATIPTAVWALCLAKRLSLRDVTFGEVVSGRNID FPNSDVVMGPCWQYIPVRVKFERGWTGLELLKFVQHQHIASTRFEGIGLPEIVKDCTD WPSTADWFDSVVHQDVAHVESLGFLSATSRMETVYPHAEPLREWKIQAFLKDNQLSIE IVTFESWLGSANSLLDDIAKLMSQLLAEPHSRIFDD UREG_01347 MAATVGELGGSGSKMPKDIKKRLTSYLRLLVYANPSFYDFLLLF LGVVAALGSGVSFPLLGILFGEVVDDLNSATCSTDIADLSAFQSGVNAQVLKVVYVGV GYFVLAYISIVCWTLTGERLAQRIRQKYFKAILSQDVVFFDDLPAGAVSARLNGDIAT IQNGTSEKVGLVLNSISFFVTGYIVAFIKDAKLGGALVSLLPAFMLMSFVGSHFIQKY TSRMVENVASSTSVALEALSNVMVVHALTANPRLESKFAKFLEMAKNAGIKKAISAGI QAGLLYFIAYSANALAYWLGSRSIADAVASGGEGATVGSTYTVIFILVDASLILSTMA PFFQYFDSASVAFQQLEQDIDREPEINGTASGTGEILQNTTGHIELKNIQFTYASRPD KQVLQDLSLDSIVGLSGSGKSTIANLIMRFYDPNNGSILFDGRDIKSIDVKSLRGYIS LVQQEPCLLERSILENIALGLVNSPTHSHLKPTLQSGKLSEVAAAVQRGQDLDSVAEA NGAEVVEIVRLVKDAANLADVSTFVSRLQDGFGTLVGSSGSLISGGQKQRISLARALV KDPKVLILDEATASLDSASERRVQAALERAAMGRTLIVIAHRLSTIRNADKIVVMRNG EIIEQGAHSELLARDGAYADLIRLQNLNAREEEDNRSSQSLATDAAIEDVSVKLSDEY SSTVDEKLNQIDVESEKSSAGPVPDDEDATLGARRPFGATFGVLCGFLRPYIFHLFLA LVAAIIVGGTYSAVAAVFGNTIGELSPCNGESRIRWAGNFYGLMFFVLAIVEFFANFA SWSLFGFVAERIVYKIRVLSFRALLEQDLQWHESNGRTPSLLLTLITKDGNALSGLTG SLIGNLVSVVVNFVTAVILTHIIAWKIALVFLAVVPLMLGAGAMRVIQFARFEQHHAE AFTKSIGITIEAVNSIKTVSSFSLEQEIFNTYIRSLQKPIKAITKHSAYASLWLAISY GLSNFLYALAYWWGSKRIIAGDYSQTQFFIVLVALLVSAQLWGQMFTLAPDVSRAFTA INRIFNLLDLGSDRKLSNNRRPGTDVEATPETTEKALDSGNSGISVAFKQVEFAYPAR PDVKVLQGLDLSIQPGQFAALVGPSGAGKSTIISLVERMYAPSSGVIQVDNRDISKYE GITFRNNIALVSQDNVLFDGSIRFNLALGARPGHEPSDAELEEACKIANIHDTIIKLP EGYDTSCGPNGSQLSGGQKQRLAIARALVRKPRLLLLDESTSALDAESEKLLQDGLEK AARGITVIAIAHRLNTIQKADVIFLIEDGKCVDRGTHRELMERSESYRVNALHQAVDG AELSAK UREG_01348 MHGNGLSSILPGRKPYKVLVVGGSYAGLSTTLNLLDLCHGKPTR FSLAASPDLRASNERIPVQITLVDERDGYYHLIGSPLAFASRDYAAKAWTRFQDIPAL QTPEVRCVQGRVTSIDCQGKTATILETGTNRQIDEEYDYFVAASGLARNWPSAPQSLT REEYLQETRKHIKYLENAHDKIVVIGGGAVGIEMAAELKLAQPEKDVVLIHSRSKLLS SEPLPDEYRDNVLSLLHDSGVETIMSSRVVNILPTELKGGCAPSTVVELDDGRNIKAS YVINAVSRYRPTSSYLPPSAISKDGYVRITPAYDVSTWPLI UREG_01349 MSTGSANDEPFDIVSVGFGASALSIAIAMRDRGVQSRALFLERQ SEFGWHTGMLIPGTKMQISFLKDMATLRNPRSHFTFLNYLHTKGRLVHFTNLSTHTPF REEFNDYMKWCASHFDDWVRYDQEVMSVTPVESRPGWPIELFKVVVGDRQTGEVRELY AKNVVVATGGEPAIPQCLLKDCLYNTVVHSSAYLNTVPHLLKEQAAEYRVAVIGGGQS AAEICEDLASRYPASKIKLVTRGTSLRPSDDSPFVNEIFDPESVDSFYSLSSSRRQQQ LKENKATNYSVVRLPLLESLYEKLYRQKLLNPDPSTWPLRLVTNRELCGAKKVISNGK NQVELQFRNTETGENEISSEKYDLVVLATGYRRNPFNSILKPLNSVLETGPAGEQFCV DRNYQLRFLPGKVKRDAGIWLQGCCETTHGLLAKRQPSIYIGSSRLRAPRFHPCKP UREG_01350 MAAAITAYHTPLVRLQQTLDHIQAPSSQKDGHNLSIVHGPTEPL LWEMTLGELLSFQCLRYQDSECLVCPWTGARWTYGHLEYESNQLARGLFGKGIKHGDR IGVMAGNCEQYVALFFAAARVGAILVVINNTYTHPELMYALKHVGCKLLFIVPKIGRH SLLSALRSLEAPMGVSRDLPQLTQTIILRGRYQDFETYGDVIHAGLAIPMNAVQRRQE ELSPFDVCNLQFTSGSTGSPKAAMLSHYNLINNSRFIGDRMDFNRSDVLCCPPPLFHC FGLVLGLLACITHGAKIVYPGETFEPEAVLRAISDERCTALHGVPTMFESILSQPRPA DFDCTFLRTGIIAGAPVPRPLMRRLLKDLNMTEFTSSYGLTEASPTCFNALTSDSIDR RLASVGKVMPHASAKIINPQTGKTVRVGERGELCMAGYQVFNGYWNNPSKTAETLIHD ESGKVWLRTGDEAVFDKDGYCTITGRFKDIIIRGGENIYPLEIEERLAAHPAISKAAV VGIPDSHYGEVVGAFISVEEGHPCPAAEELRNWTRQTLGRHKAPRFIFAFGTDPRLPP TMPLTGSGKIQKQVLRDLGRKLLEEV UREG_01351 MASASRASELPLVKLPEPYKTKYELWQSPSDSNRRIIQLRLSEV QDSGASEPPEPLHNDALVFSELISSPQSQIPSASDNTAWGRACRYLVSFVTWDGDAAP TVGQVWIIVYAILSAWPAEEYFRLCLSGPQKDQLCEEIAATGLGRPFPNKAESQEIED MVISRAAFWQGAGSPFGTRPIWIAQPQEGPNSRRPASDYPPFPLQYTITTDFSSRPIH AQHPIRPAKPARGATIYSRYIPHLDEFFSMVHLDYQNETHLNLFHKWQNDPRVAVNWN ETGTLEEHREYLRKIDVDPHQMAVMAKFDDNYFAYFEIYWAKEDHMGTYYPALDWDRG RHSLVGDARFRGPHRATAWWTSLIHYLFLDEPRTTCVVGEPKATNEPVLAYDAANGFH VYKWGDLPHKRSAMVRCERVRFFEVVNFGSVTSNGTAKSARPKL UREG_01352 MRAIFPLNRPEAPVVEKQPAIGDAEVGREGGTVSDTESEGISKE AQAGVQNMEATTTVWSTSHLIAAYVLIWVIAFIESMQSGMGFALDPFVTSSFQEHSLT AATRIMSSLIGGLVKLPLAKVLDIWGRPQGFLLMIVMLTLGLIMMAGCDSVQTYAAAQ VFYMVGFNGLTYTISIFIADTSALKNRALMLAFSSSPYIATTWISGPLANSFLAGPGF RWAFGAFSIITPAITLPLFALFAFNYSKAKKAGLVPERKSNRTFLESAKYYFIEFDVI GLLLITGGLALFLLPFSLYSYQANGWKSPLVICLIIFGGLLLIAFAVYEKFFAPVTFI PFDLLMDRTVLGACILAAVLFISFYIWNSYFFSFLLVVTNLSVRDASYIQNIYSIGSC FWSLLVGVLVRWSGRFKWLALWFGVPFTILGVGLMIKFRQPDVNIGYIIMCQIFIAFA GGTCVICEQMAVMAATSHQYVAVVLAVESMFANIGGAIGSTVAAAVWSGTFPKKLAEY LPPEAQGNLASIYGDVRVQLIYPMGTPTRSAINQAYGDAQKFMLIGATAILSLGWAAT AIWKDLKVKDFKQVKGRVV UREG_01353 MGKKRKRGNYSRAAEAGPVPTSNNGFGLGQTLSLLREPPAAASP FSGQTDSNADEVDTAGDWQTIENKSKKKAKTGKSKSKCPSLIYVSGQMQNPIKISDLQ GLLLYCFADGIAPQWVSIKHSGHVKKAVVLMVPGLEIGMLDGSIPLALDNMASHSIKD DNATVDEKLSEYEQWKRGLPPTQDSLQRSNPKPLVHDQLPQPLQPLADMFPHVWPVKS PGDTKYNKLHSPLQAILMTALPKSKEDGRSTGPKAPRGASTWMAQRTSVTTFVATVQD LKEGEYVLHPAVFSTTGEKQAYADIRQRAGQSQEHGWVDTHVADLSDGNVPKNEIQKG SITAGRQVLGLDCEMCITEGGASELTRISLVGWDGEVILDELVKPGRPVIDYLTQYSG ITKEKLDPVTTTLSDIQKRLLNILTPRSILVGHSLNSDLSALKLTHPFIIDTAIIYPH PRGSPLKSSLKWLSQKYLGREIQKGQTGHDSIEDAKAVLDLVKQKCEKGERWGTSDSN TESIFRRLSRASRDSRAARAAEGEGRTGAVVDWGNPERGFGAQATVALGCRDDEEVVV SVDRAVNGDSDGHVVPGGGVDFTWARLRELEVIRGWCNRVPGTSNTDESAPVPIKPSL GADDPEALGSAVTAMVARIKRIYESLPPCTLFIVYSGTGDPREVVRLQAMHKTYMEEF RSRKPWDELSVKWTDTEEQALKAALQKARSGCGFMTVK UREG_01354 MPLIPVPPTRAVEFIYANDPPMLYDTDDATTAHCARCRSNLFLG TQKWITLFPNTGRPMTIFALFELEYAEEKVCCFRCGDEIGFRSPVDGHPGKYDYIWTC SKIQLKDVYHKMDVSPLFGEENEDEGLRVRGLSKCQLPSGLVEPPAPASKTPIPSERK LLGATTPEINVSATVTELCQTVRELQSQIRLFSAAPSQTSTGKPDSFELVAIALKELQ FKTAEVAKLTSENVALSLRIKDLEERLNMGNVKPTIPPNAPPRAGTEVASHGSLGHSL LPQPLQTSNSLNESRNGSHMPNNPALEIGTVSVADAKGAQQSHELHVNAVERREGDDG IGGQQVSAGNPNQENGQPMHPVNHAAHQRETEQAANPPDVGYIGRRKRAAEKRESQAT RGRGSKKQRLEPQSPKRGAKVPKGPSRRPPKPSGNVAPPVPGLSQPQYQVGVAKGPDD YLPPDSRYHTNERIRPIATGPRGGRGAKSSRGGFRGSSQVHIATFPNAAPPGFPQRVK PTHLRFHKDNENSGSPHGDRERLDDGRDDYSFSNSRFSFGSRQLQQQGSISAHTRETQ PPLQPTHSPVTVKREPDSLPDFHPTRNTELGEPAPHARRPHMTAQDIMSRAAVQREDV MQPGAL UREG_01355 MKRGLGGVPRLSWLATTRARLPRRHSCIRPARVAQSQSSWCIRA SSSWEKPGRAAFDFRSDVVTRPTPSMLEAIANTSLLDDDFMEDPATNALQEYIAELTG HEDGLLVMSGTMGNQVALRTHLTQPPYGILCDSRAHIIHYEGGGATTWTGAYLKGIEP TNGRYLVLEDIAKHAVLEDDFHVCPTRVISLENTLDGMVMPLDETKRICNWAHHHGLK VHLDGARLWEAVAARAGSLHDYARLFDSVSLCFSKGLGAPIGSILVGSAKFNKKARWF RKSIGGGTRQSGIIAAAARVAVEETFGRGPCGDGGKLKPSHANAAIIADMWVSKGGKL VSPTETNMVWFDLEAAGILAADWEALGQQEGLKLMGNRLVVHYRMYQPFPSWGNTLTV IIEISDAAIERLDRVMDAALKQNLPLHTE UREG_01356 MARALLGPGANLIHDVEASEIIEIVSSYFGFILAFLPKFIFLGR PSCGLELPPYAIEPARLALGAAGLAGLFKNVVDCFEYIQIGRNFGTAFQTSILNLDVA RLRLTRWGEFVGLARWDGLQSLKETKLSTECIAKAELLLGQIEDLFVEGEGISERYKR RCSSEQSLAAYDPKTDLDKTRTLLHKKMREFELKRQSSANLREKAKWALYEEKRFSRL IEDITALTNGLIDLFPAAEQIQRQPCLAEVTKLDFQNDSLPLLQEAAADQDNLLSDAI SEVRNSQPTGSYNISLSGNQNHGLLFGYNTGTINWR UREG_01357 MDDATQPATQPYTDPRRRGLNNSGLDQQEITDILCILHPNSIAA HTAVATTARRNPQHILRSDDDDGLEYDSDDTSPIESSRDIALRFSSHVKDPSVGFSFG RSAPRCDIILTPNDNEKRISNSHFRIYLKPDGILMLEDISMNGTIVDNTIIRKDKRGG APTTQMLIHGSIIQVVGSDVSASIKFIVRVPSRDEYQAQYNQNLLQYLDRVRQATKKP EGEPRRDLQNFMIPQQISNFHGMRWNGGPKYNVAGQIGKGAFATVYKLATKNDGALFA CKELDKRRLMKNNILDHKVDSEMRIMKGLEHPNIVQFHDYHDHENRWLYIIMEYVGGG ELSALLGQMVRLPEDMVKTIARQTLHALQYLHSRKITHRDIKPDNILIASIEPLRIKL SDFGLSKVVQEETFMKTFCGTLLYCAPEVYPEYEDYKRKEARKRRRLGDPLPKTSPYD QSVDMWSFGAVLFHILSGSPPYMGRGDDRGAQMLRNIMTTEADYNLLRKVGVSDEGID FISKLLNRDPKARPKESACFKHPWLIDVPDEFDYMEIDEAAEEEVNADLKAIVEVSEP ESSEYERLDASGIDLNDVTHDPFSEESEDEDHPSSEPINIKRPRVTEEQEGSQLAAPL FPQRIPYPSLPNISSYDFGSSVHLESTPTGRRLFGEITPTALRSSGAIGPNIQIPMDG PQFQREFGSVSKSDYPSTNGKSSSHGYSLHTTTPSNYLLSEMESRLGRSASSLMGAEA LVGNLSMGSFDGASTSPNSDNPTFSEEEKNETSPSRVDDSEGNDVADVENDSNKEEEQ VARRSRIQPVFSLGQPIPNQSIKPTRKAHSSHELHVRDESFSQNSEDHDASWVELACT IDERTGQEIRPSTASDLHHETHSLHAIDDHSQSTTKPLNGSRNTSNAIKPFTILGKLT PVPGSITDQCIILHSRMTSWGRGTSNTIRYPDPMDVRIPAYALELTFWAPAIESRIDA GEDWLQMTDVATVVSTKASKRIWVNDVDLQRESPDGDAYLFGKVYTGDIITVYRCRDE FLQYRCEFFHGDSAQTRPENEEKFLIEKTAKSRSDAALKPVPSVTVSTVAGAYSESDL UREG_01358 MHIQALSLFALWLPLAVEGCGKDHRLRRSFDPGDPLLVKRDRPQ YPPRLTKQESVLINSFNNASISDWSYYYTHGAHLAGKNRTMAQWTADRWAEYGLTSSV VPYSVFINYPESQALSLSYANGTKWKASLEEDVLPEDDTSSYPNRIPVFHGYSATGDV TAEYVYVGRGQKGDFDRLVELGVDLKGKIALARYGGPFRGVKVKNAQDYEMIGCVIFT DPGDDGEVTEANGYAAYPHGPARNPTSVQRGSVQFLSYFPGDPTTPGYPSKADAPRKD TTDAVPKIPSLPISWKEAVPILAALDGHGIPGKEVNRTRWVGGLNVTYSTGPALGTKL SLKNSMRETITPIWNAVGIINGTSPDEVIVIGNHRDAWIVGGAADPNSGSAVMIELAK AFNELKKTGWKPKRTIVMCSWDGEEYGLLGSVEWVEEYLPWLKAATVAYLNVDIAVSG PIPSFDATPQLHQLGIESMKKIRYSVKGSKDTTLFDIWNSTSGRIGVLGSGSDYTAFV HNGIPAADLGAYEGDSDPVYHYHSNYDSYHWMANFGDPGFKTHVAIGQFMGMMAYHLA TDELVPFDVNNYPKQLNIYLDNLKALARESNVQLDLSKLEHSIQALTTAAKLLTATKK VAKFTHDKRLMDLVNHKQRDLERAFVSQGGLPDREFYKHVIYAPGQDTGYAPTTFPGV TEAIQFGANQKLAQEWVDKTSKAIFLAARTLTPWGGKW UREG_01359 MGYNGEPTPCYDLTVGQQPGPFSLNLLSIRTPFASRHASPGHQV VDMEKTEVVIHRDSDSDDPKAITPDGEEPTEYEKATLRHVSDPLSISVWLVAIVEFCE RFTYYGLSALLQNYVQRPLDGSEGRGALGLGHRGATGLTTFFQFWCYVTPLVGAIIAD QYLGKYKTIVLFCITYAVGLLVLLFTSLPIALRNGAGLGGFIVAVVVIGLGTGGIKSN VSPLIADQYTRRRMAISTTKEGERVIIDPAVTIQRIYMVFYCCINFGCLATIPTPFME RDVGFWSAYLMCTVVFFIGTGVLIAGRKRYIVKPPNGTIITDAFKAIWMMVKARNMDA PKPSYQAEHGGTSVAWNDHFVEEVKRSLVACKVFTFFPIFWVVTVFSAGQMAGHGIPN NLMQAFDPISIIVAIPILDRFVYPFLRKRRIQFLPITRITVGFLVASLAMMYAAIVQH LIYTAPPCYNMPLCEASIVDGVKQGNNVHIAIQAPAYMFIGLAEVFLSVTGLEYAYMK APESLKSFVSRLFLLTNAFGAALGLALTPVAYDPAIIWMFVGLCGAAVVTAGIFWFLF RGLNKEEDKMNSLDKNYTGEESS UREG_01360 MSLMERTPGLAIHEAYRRSSLGGGKKIVLIVASNIGGGVMEWKG AREWAIERDSLRNKRRYVKRWGYDLEIVNMVTKKRYAHEWRESWEKVDTIRSALRKYP KAEWFWWLDLHTFIMEPSLSVENHILHNLGKKTYRNINTYNPLNITHPPSLFYLDPNS LAPEGDGEESSINMIIPQDCAGFNLGSFMVRRSAWTDRLLDIWWDPVLYEQKHMEWEH KEQDSLEHLYTHQPWIRPHVAFVPQRRMNSFPPGACGDGTNLGIHYQEKTRDFLVNMA GCEWGRDCWREMYHYRQLSNRLNRNPWEKFKDGVSDRWKKTFGRKKEKEKEKKKT UREG_01361 MPTPESAAFLAKKPKVPPTYDGVNFEDTEALHNARDAIIREQWV RSMMARLVGEELGKCYRREGVNHLEKCGKLRDKYFELINERKIKGYLFEEKNYFSKEG EKSS UREG_01362 MSAPDLHNCFLRPPIIQILRAAGFHSARPAVIDTLTDLAARYLL LLASSTVDHALNSHAEDPIPTLDDILMTFNDVGALRPQKTPLEEDLEGEDMRGLELFL AWFSGPANTEIRRIAGCIPSEGDVVDVEDMGKEDYLSALKKKHSKTGEESRFQGTVLG KDVEDRPVVIEGGIESIKAWGTQVRSREQTAEPASTTMSSMSRTMVFSFSLPTTSHLS FQSYLTSPTHPSLPSSASTARHALRLALKKHKALLPAQRPAHLPSILTALHEYLPYLF ALSQGLSSNPGPEHEDIDVILRTEIEVAWRATLSAPASALHVLHRAAQGARLKGCGLD FEIAFVLFTLGYVLSLRARTRVLNTVYASATPTVEQKTAAAQAAMKDLLAASSVHTYL ASAGLGAMTSEAGHGPSSAPVPDMDPSTQSALASLAMAEATLLAVLKDDAYLSACIQA RNKDDTEWMIKAPDIPKVRALLFARLCVRAAEYSEQAAASAGAVKSGGSSSPDSSGAE RRKLDENLVNYMRVLARVGRAKACRFLGIDAEMAGKVGEGIAWLRAGKSILGFKGSLE GDEEKSGSKVTGRMGFSKLKRQWSERREEKRLEESASGKKGEQAAGLDWGDDAGREEE GRVIEMLEAKWMKMNDTVNTQAIPSSAAYLSRLPSGRDIHPPPGPYVPPHLDADHLAR MRAPPESPGFADVGDSSEEEVGETHSERRGGYF UREG_01363 MGSRDPMTEAPFRVIVVGAGVAGLTLAHCLEKAGIDYVVLEKGI VGPPFGTTITLQPHACRILHQLGCLDAIVAKCSTMGGCSCRTSSGRAFAHSRFFDTVK RYTGYDTRTLDRRVFLTTLHDQLRDKSKVLERSRVESITEEDGIVRVLLADGTQVAGD LVVGADGVHSKVRELMWHRANSIVPGLISATEKRSMTTTYGALIAMCPPIPGLSKHDM EITSNDKFSFLLLCQPEFITFIAHYKLPEEKQCRWPNRARFTEADMEALATKLADYPV TESVLFGELWRSRTKGQMISLEEGVLEHWFFGRIVLTGDAIHKITPTLALGGCTAMES VASIANMIHALVNSHPNKKPSDVEIRDALQYYQDSRLARAKAIVKMGGKVTRLQTYDG WWNYVIQRWITPIAGLDSISKSVAKLCAGGAKLNYVPFDEKVGIYGWKEDSLKERFLS SEKERAHTSVLERFLPLFLGAFVILSSTLWWNFFKNAVHASAGFGVSIR UREG_01364 MILWNATGAAIIQPPYFYCIAKSKATSRDPTIPLNEAISLFMTT IPALLCPLLLFVPVWLNYSTWSHHGFIAIFHVSPILVILIFIAGVIILLPRHGLVSKK DAKNPDADKPWIVASYVTAGIVSSVVHIATVLTSLRTVSSDATFARVFIPSPGRANLF PSWFPNPASTLSGLPTKYVELLEQYHLFTQFDLIVIALSCIVFVHALLPNTRGDDAKF GPKTKAAIEKRELAYLFLGTLVIGPGGAGSFALAIRESRIREHAEVKGQ UREG_01365 MAPTRFTLRFDPEATYFLVGCLGGLGRSLTSWMMDNGARRFIFL SRSGTDSKNAGLLVKEIEAKGAIVQVVRGDASSREDVENAVKSVSASYPIKGVVQAAA SFQDAMFSSMTYEQWVNSIRPKVIGTKNLHEVLAGVPLNFFVMTSSTSGTLGTPGQAN YAAANSFLDALARHRVSSNQNACSLILPMVLGVGYVAEHPEIEEGLRRKGIYGIDEEH MLQSFEAGISPRDNNNQTDHIVIGMDPAELQKSLQSADTTDAFWLRDARFKCLLQAIQ STDSETHAPKQSILSTIKVANSPAEAIEVAAEYFTDKLVRLLHLDRQEIEPNTKSIAA YGLDSMIGVELRNWIFKEFELDIAFQKLLAPSLTIKKFAAQVCGVEVE UREG_01366 MPFLRNRLSSSSQSSQDGHISTPSAVSDYEYPAISMPGYAEKPL SEQLEPIAVVGMGCRLPGDVSSPSQFWDLMINKGTGRMDKVPKSRFNIDAHLHPNNER PGSFNPVEAMWMDPQQRKLLEVVYEAFESAGASLKDVAGTTTACFVGCFTLDYLLMTF KEPDFRHSYAATGVDPGIISNRISHVFNLKGPSITVNTACSSSVYALHNACNALRNNE CSAAVVGGTNLILTVDQHMNTAKLGVLSPTSTCHTFNAHADGYGRADGVGAVYLKRLQ DAIRDGDPVRAVIRSSAVNSNGKAPAVGITHPNLDGQEAVIRHAYRRGGDLDPMLTGY FEIHGTGTPIGDPLEVCAVSKAMNDERASDGSPLLIGAVKTNIGHSEAASGLSAVIKA VLAVEKGIIPPTRGFTAPNPAIDWEGWNVKVVTEPTPFPAHLPVKRVSVNSFGYGGTN GHVIIEGADSFLPNYQLAQPKTKSRGTFARKRPYLLVFSAHNKPTLNRNIEVHGKVAQ KYNILDLAFTLGNRRSHLRSRAYVVTSDDRLASDFQDIAKSFAYAEKKKAPSIGFIFT GQGAQWIRMANQLMAYYPSFLRTIKILDRVLGNLPDAPEWTIEDELVADASTSCVNQA EFSQPLCTAVQIALVDLLASWGIKPTVTVGHSSGEIAAAYTAGKISRMEAIIIAFYRG QAVRDIDTSGSMLAVGLGADAVKPYIAEIPGVTVACHNSPVSVTLSGDTSALEVVREK LEKENIFARTLKTGGKAYHSKHMEPASVNYVRLIQRAKSALPFDPPSPLAITMVSSVT AAPISSGMQIDEHYWAANLVSPVMFSQAVEQASSLSVDMLIEIGPHSALAGPVKQIRA EHKLENLAYLPTLVRGQDCATQLLKLAGELFLRDYPVDMDRVTLIEQRLPGGKIDFKR GSILVDLPPYQWTYGQKDLFAEPRQSAEHRTPQHARHDVLGRKLPGGSELEPMWRNVL RINDLPWLKDHSLGGDVVFPAAAYFSMAMEAITQINETSNPPQEINGYTLRDVSIKRA LIVPEDDDGVETMFSMHPSIHEEAGPQSTWWEFNVSSISQNGTQKDHMTGTISINTRS RGQKPKDVPFLPQKASGKSWNQALRAVGFDYGPTFQDMDHIRFDGKTYVASSNTVVKQ ECGKMQGESRHILHPATVDSCLQLIIVSIYAGKAKDMTCGAVPLQVDEVAIWVPTGEQ LQNPNATVYSWTDERGNRSFRSGTQLVASDGNLLMDITNMRCVSYEAAVPQRALDSPV QQPYMEVVWKLDVDSLATENPRKNISVAQLVDLVLHKKPGAKILDVKGHNEALLAERK ACLCYNAGLISDEGHGKYDLILANGVDASPSTVDMILDLLAPGGRALLKNAETAGDIS SSYIALEDDLAIVKPTSLPEACSDVQPAPSFLFVHRNTPASLVSPIIESFAERGWMTR SSQLCNVDIKEGERVVLVAELEGPLLSSLDEPELRGIQRIVSTASSLLWLTQGGLLSA KQPEYAMASGLARSVMSENASLDFATMDLDLETVSASTAIRSVTEAARRQVENIPDRE TEYCIAQEKVYISRLLPNDKLNSIYSVDGSKFEEVPYDSGRAVAGRVQSGRVIFEQDK RLEEPLEPSQIEVKVAFSGLNREGVLVIQGQDYPTAFSHEVFGTVTKVGALVTQFTPG DQVVGFNFDKFALVQRVSQDLVTKFETHENPANILGLLMG UREG_01367 MERFDVVVVGAGWYGLIAARTYLKLVPGTQLLIVDDSSSVGGAW SKERIYPSLYAQISHPLFEYSFYSMKKEGLSPDGFISGATIHEYLASFAEDHDLTQRI RLETRVTHVARNSNGLGWVLETSKGQLECGKLIYATGANSSPIIPSWPRDCFEKPVIH TWQIGKYLDHINNDVQRATVVGASKSAYDTMFQLLNAGKKVDWVIRDGPSGPFSIYAP TFIGLWNIVDHISTRMAANFSPSIMNTSGFWYHFLQRTIVGRAVTNVYWRTATFLSAR YADYNKSEHTKGLRAQPKSDGLFWGSGGIGIATVPNFWKVMHGGDVKVHRTEIESLSH KNVVNLKNGHSIPTDIVILCTGFNKGYDTFDKDLQVELGLLYGSDDASKWEELDAKAE EIVNTLLPYLKRDPFASGTHEASKKRAQGPNRHYRRLVVPHLAAQGDRSILFPGHIHS AFTPLAAELQALWGVAFLQGWLDLPGQEEMELEAATFNAWTRKRYLEQGRKHSYFIYD YLSYIDTLMRDLRLKTHRKSNIFAEMFVPYRPSDYRGLIDEFLSARKARNGTGSVVSE UREG_01368 MDENGPSGRNIEPKPNGNLENGVEPAENDKEESPRQLHGIKWAI AYISMLSTTFLFALDNTVVADIQPAILEDFGEIELLPWIGTGFALGSMCILPWGKAYG VFDLKVVYLLNVVVFEIGSAVCGAAPNMTAMIIARVVAGIGGSGMYSGTMTYVSMTTS MKERAMYMSGNAVMWGLGSVLGPVVGGAFAISSATWRWAFYINLVIGALFAPSYLFLL PRIDPRPGKSLREKLRMIDWISSVVFLGGCACFTMAISFGGAVYAWSSGPEITLWVMT AVLLIITILLSFFHPGVTKENRLYPAHFLKRPILINMQLQIFLSSGFILSMTYYIPLF FQFLRTDGPLDAGVRLLPFIVSMVVLSLLNGALMPKLAYIGPWHIVGSALAVIGSALM YTVNEHTSNANIYGYTVLVGAGGGCYIVAGFAIVQSLVPVHDIPNAVGALTICKIPAS TTFSPYLDTNFDYPQTGQDLGMVTFLAVGGALFQNFALKNVKQALPALSRTEIKDLIA GTSSHAFKSLSDEDKVRVIPQIASAMRSVWLLFLAGAVISFILSVTLAVSRLFPFVRL SLHA UREG_01369 MSGKPPGKPSLKLTFSKPKVPQLPKQASPPSASPPPATTPGSAI PKLKLKFGGPKPAPAPTPPAASKPKKAPKPATAKDAPRSSKKRPRDVADKGATSVTAS TPAPAVKRIKFSSKPLPSIRLKSKGEPPRRPRGVGYDSGASDTEIDPALEEEFILRMP PGEDCDYVRQAVEEKRFGPRSQGGADISFKALTRDGRRAIVTVRGRMYAASLVDLPCV IEAMKSWDRRAWYKSADICQMLLVLGPVKSEDEAKTYPLPKDAEEGPPEFEVMDYNQY MREESGYQEEYDDEQDAEGEADETAYVHPGAQDEMAGLFEDDLAAEMEAALAAHAEAS SMPTSAIAEENQAIGGVVEAEPETEAEMGTPKPATGGETSGDEDESEESSPEDGNEDM DEDALEQQRQLQQQREEIAELEALVRAETLKWEQMPNPILKAKVGRRVQSLKQELELK KVSVGEGNADD UREG_01370 MAPIRLPSVRSLLDIIDNLFGDPILTYSNRSNRENAFLTLAEEQ AEASGSANPRAQLTQRQLELQSPLETFPIYPQVQEYWIRGTSMGGGQCNSLFPWNRQH VGSKSWEPGNQPPAPGYYPFATRFSSEYR UREG_01371 MDPPGTPPRPPYSPVTPVMAYTNLTPIPDSERMMPPPVVRTPAP EAPTTPDLGSDSKSPRDTSGKLPASSFPTSLSPAFAPEPPPVPISESENPDAIALRSA ISVLQIQKQQALRDIRTLDKLKQAAAESPETFAREIIKGNLVVSGGEMDIFSLQSHEG DGEESDHGHQKKEGQNTSPALGTIPKLQNVVRMPPVNWAKYHIVGEPLDRLHEEQRRR PSLGEPRILRHVSGIFNDPH UREG_01372 MAIMELSGPPHRPWEGTRTAHQAPQPQNGQVLPSISTLTASMSL GSITSAEKSPAQATLERDSGNWSMSQSARSSTYSSTTNGTNGYHHGSFIPSSQAPQIP TEPPVTPVSNGPAQSPSFSSQPPNTMLPSINQTFEGSQRPEYPESRRSSLDSRMNQGL SSLAINQASPYQSANVSQTSIVSGLQRERGISGDYGKPPTTHRGPRYVGNQPLSPLGP RVGEHRSFPAGRTAPAISSNPEAKIYNAETPIPGMPYAFPDPFVARPDKPSRLSDQIS RRDSGTESLASSRFTNDSRLPQGQHELPQSVHHHSLHHKQVRNLMGESEPPNGSTPYS RTPELRISHKLAERKRRSEMKDCFEALRTRLPSTQNNKSSKWETLNRAMDYISQLEKN LTQSQHERDQLRAEVEELRRYATQQQPTLSRQTSAFDIPHPAPTLQPNGIANSAQTPT SLFSSPFSAGSSTSLVPPPTHPPTQDPSRTLPPLVNQPLAPMQGVQYTDGR UREG_01373 MKRKASPDSTNDEAKKRALSAEEATARFGEGLFETATLAKYKNA YAASEPYKHGVISPLIAPDLLRSVRNEIQEHLSFTEKETDIYKIFQSGDLANLDGLDD ASLARLPFLLKLRDALYSRPFREYLSEVTGAGKLSGRKTDMAINVYTGGCHLLCHDDV IGTRRVSYILYLTDPDAPWKPSYGGALRLYPTTIKTDKNGNEVKIPSPDFTLSIPPAF NQLSFFTVQPGESFHDVEEVYHIDSESTEQEKKARVRMAISGWYHIPQEGEDGFEPGL EEKLAERSSLQQLQGQDDEFDCPQPQVGRYDEPIDTSASKGKGKQAANDDEDDAEFTE EDLNFLLKYISPSYLTPDVSEQLSETFANESSLSLERILSDKFAAQVRTYIEEQEKST TALPTTSDDIEKQTHWKVSRPPHKHRYLYQQPRLDTQGQEKTPIQELIENLLPSRAFK KWLSTVTGIDELLTYDFLARRFRRGEDYTLANEYKGDSPRLELTIGITPSSGWEQQDD EDEEDGGEEPSGNGHSTSKPNAEDDGPSVGGYEIYMAGDDDEDEGENGVEEASSLTGT KSKVNRSKADPAIYKSAADSEDDGILFSMAAGWNRMSIVLRDQGTLRFVKYVSRSARG DRWDVTGAIEVKFGDDEDSEEGDE UREG_01374 MASRSFTKSDGDPKSHRSSGLRNCRPTPSAPMIGRGRRHPFPPL LPFNDQPEHLQPVWRFCLVTSSHGSSKSSAVRGPMNPHAQVQHAAFQHSLQTPPSHSA ALWAPSVSIRSACPITPQSLDGKMGDLDDGNRILGLGMD UREG_01375 MDPTSSPTTQHRVGQDVHTPIHLQIPTVPTFPLSSPIVEEVMTP FSESDSSERAAGRSNNPFRFPEGYLVAEANDFGDGHQGLHSNNQNSAAHFEQHGLPRS FESTPPKKMRPGLNVVTDFSRNGFSQTAKDDVAASRQPPSKAFLDLNDLKSLSQTQRP SERQASGWQPTWKSKLRGYEELKDQSADLSNNPPKRKRAEKESLMRKLSRKAIKKPAK VQELSPSDRPILIGISVPAVSVNQSSPEDLTVPTNQQTPATPSIVITPAVEERAWDTT WDSSNSTPPRPASSVYSQPTPFVRSGRIESIPPVPAIPPPNSVWHNEKDGEPLVDGLS ERSRKRRSYSAGTVIDEELSPSGFSLRQRSFSNESKLSRSKRLSLETVSTRRRSQGWW NVLWTPSLTRSNTLSSWRGPASDASSPPPVPTLSAEPKEPYSRSTINEKQWTPVRSAF SPDTPESGTGKRILSDITAWPDMDDWDKEREDRSRVPRSLHSPKIPNNKASPMSASST QSIPLVMSTSTNHAATFHNMPCQHDLLSSQPCSTCLTRTNIDRFLAQTASESRGTSTD YPGTVYVFTRPTARQPILSEVCRKFTE UREG_01376 MAARGENGDDAVTLTRRKSNTPDIEPRWLNLTGYPAMPTGISTV GGPDAIEMNSGCVHPSTMWSCALPKEDQEMNKPYDAETPKFRIEIRFKNGTYPRSTTV TTKTKRQLKSTLGERLVRRILGRSRLYARSDDFTPIPAPPNLKDIEFLGNTTDRFTQP FVGEETPFYVTFLSTGDLDSLSKRDSFPDPFPNLTDIIPSPTIAPDGTAAAANLLPVP KDQPLRLYDRGMPTERYSFYTYYNRSIFLKSAAPLNESDTTDVPDDKNGGSTKSAARV RCTWAETRFNVQIWTRPQQARLQLVKPSVLFNHSSTPPVNSAFPYATDFSRPGTFPYP ITIKIDRHGGDAKKKMVYCYGMNERSQIELNERKLQLEFRGFGGVLINPAGGIFNLTG SDGHKKRDEDWMPVDGGTGGCKCEWRNFYAVRE UREG_01377 MVDFISGGACICLVCLVCLICLICLLGAQPLTLNIKLRWSKDVR SSPSSDDQLLQASRSTLELAEHDRTVLEEEEELERLLTRRTHQGSGLTKIFGVAHDTG SSVRIGRREKRKRRKRKQGQSQSRQNRGGDEEGELMYEMEEGDAFGKEEDDRLSLLSS SSSSSSLDSLALGDGLLKRSKGFCRRFSLPLTAVLVLFLILLLGAYKASSQFRARQQI KSTLLSNGTALFRPTTILISLDGFRADFIARGLTPTLNQFITEGISPRFMLPSFPSVT FPNHFTLVTGLYPESHGVVGNTFWDPTLQEDFYYTDPKRSMHAKWWNAEPIWVTAEKQ GVRAAIHMWPGSEAHIGPLEPTYVDKFNGSEELSVKVDRILHWLDLPGDERRQQSAAD QKRPQLIASYVPNVDADGHKFGPNSTEIRATIADADRMLKALFDGLHTRNLTHIVNIV VVSDHGMATTSTDRLVQLDDLVDLSLIERIDGWPLQGLRPKNDTDIPKIMHDLRKNSE QYKDSIEVHTRENMPERYHFSNNDRIAPIWIIPKTGWAVVKKADLNIIEAKKKNVTYH PRGIHGYDHEHPLMRSIFVARGPSFPHKPNSRIDPFQNIEVYNIICDTLKIKPSPNNG TLRLPLHPAGLHSDNDAPILDVPNDPPVPSSSASISNNPHTITSTATDVWTRPAPIYT TLSSPTPRASHSISDNQGHQSDEGEDGDKNHTPWWKSVMDKIKALKEWAKGAFENGKS HTTSSANKGR UREG_01378 MAPGGGGNIKVVVRVRPFNSRGRKLHKTDTVPVFIPEIARSAKC IVQMKGNQTALTPPPGAEEKSRKGGKAGGAIEGPKSFAFDKSYWSFDRNDKNYAGQDD LFGDLGLPLLDNAFQGYNNCIFAYGQTGSGKSYSMMGYGEEYGVIPRICKDMFQRIEM MQADKNLSCTVEVSYLEIYNERVRDLLNPATKGNLKVREHPSTGPYVEDLAKLVVRSF QEIENLMDEGNKARTVAATNMNETSSRSHAVFTLTLTQKRHDTETTMDTEKVSRISLV DLAGSERATSTGATGARLKEGAEINRSLSTLGRVIAALADLSSGKKKNAAMVPYRDSV LTWLLKDSLGGNSMTAMIAAISPADINYEETLSTLRYADSAKRIKNHAVVNEDPNARM IRELKEELAQLRSKLGGGVAAGGSGTGIAQETYPAGTPLEQQMVTIEQPDGTVKKVSK ADIVEQLNQSEKLYKDLNQTWEEKLAKTEEIHKEREAALEELGISIEKGFIGLSTPKK MPHLVNLSDDPLLAECLVYNIKPGCTTVGNADTATNCEIRLNGSKILHNHCTFENVDN VVTVVPTEGAAVMVNGLRIDKPKRLRSGFRIILGDFHIFRFNHPQEARAERVEQSLLR HSVTASQLSSPVVPRSHDRSMSKSGSEVDGDSIGAESPVPSRGGRDSDWFLARREAAS AILGGDQKISHLTDDELDALFDDVQKARAVRKGRTENRFLDNEEDSDSVSSYPVRDKY MSNGTIDNFSLDTAITMPGTPHQTEDEDKESREPVLQMVRDDMQRQLDKQREEYQEKL RASEASQQDMDELRAEKARMEEALLAAKEEFQHQLQKQKEAFESHIKDLGHAPPKPYE DGLPVLQPGEIDTAKRVFRHWRRRNYVRMAESVLQHASLLKEAQVMSHIMEKNVSFQF AVIDVGQNMESSYDLVLNGISGDDDVALDDAKKPCIGVRVIDFKNSIIYLWSLDKLQR RVQTMRQMHQYIDRPDYIQHFRLENPFSERCPPRYSLVGDADIPLAAVFESRVQDFSV EVVSPYTQNVIGLIRLSLEPSAAQAPSSTLKFNVVMHDMVGLRRARGHRYKLLSWDEM RDAPEGPPQKRKAPRIPESEFYSEERHDVFARIQILELAESGQYLPVDVLQTNNLDPG TYQLHQGLQRRIVVDLTYNSTESLPWDDITALRVAAVHLLDPWGKIPDMETQTSDIQL KLVQEPMLRDNADGTSNVTLIGQWDSSLHGSLLLDRTTADKYRVQITVRWNLVSSRLQ DPIVFELDQRLQILSRTYVRQQSMFKQFWSSSRVIHSTVGMFSLAVRPVSAKRAADLW RMNTQNDYVKGEELLSTWSPRKVSLVRDYIYARKRRRRVAEIDAARGSLSTGSLTPLT NGWSTPSRGADKSQRQEKLLRKYLDLWSTKKDLAETILVKDNTEPPTEGAYARNTSNN TPVKQPTNPSSNTSLSGDAESTISSHLSSVVSSKPRFLATIQHIPKNPSSSKSGYLYT PDDTNTHWIRRFVELRVPYLHIHSVPDGDEINAINLRNSHIDHEPDFARLLGSSARNN NDDQRSREPMRGRPNVFAVYGTQNTYLFAARTEAQKIEWILKIDQVLDYTPRNDQIKK AHVRTRLVFGMPVTSSEALPYHYPPYSIQPKPHAMRLPRFSFRHLVLASCTSLLVFVS FVILQADRPRERLSTSWHSPIATGKLVPEINSTVWRFVTSEHGDDYGLGRVQCRIAFP KLFAEIEKSVSARRGKKIEYEELASRTLEDGMVRAIIYNGELRIVNFEGHEFTFTRAK ATLSSLNRALTAIPDRRSLPNIEFIFSADDFTHGPGPIWTYSKRDEDSWAWLMPDFGY WSWPEANIGPYRQIRRRIAAIDDGDSVGGRVRPGLKFQNKHQKLFWRGNIATAPVLRN KFLQVTHDKAWASVLPMDWSNSTDIEAKYVPIEEHFFITHKLEWREVHHAALVSSGPE ANYVEVQRDFSDLEGKIRHLLTHPKIAERIAQNSVEVFRDRY UREG_01379 MEYSTQYQQPHSQHPHASSHMSGPYQTPQNTGAPVGQITSPTNP QTQIHHGHSNHQASPILPSQSHYQASQPPPGQVQQPMNFPQPYAAMAPSYGISPTQAA AMATAAASGQFFPLHQDSMGGMGQGARGSPRMSQVNKDRNPRSPTQVSGPISSLPTQV PMAQNASIQQQRRMSQQMNSPHVPNAQPVMNHAMPRPSGQPSMPPPAHQPVQQSQPSP EMVTTTAEESPLYVNAKQFHRILKRRVARQKLEEQLRLTSKGRKPYLHESRHNHAMRR PRGPGGRFLTADEVAAMEKQQAANATGVENIPNHAVSKDHGSGPTGVPSGAAPGQKRK ASGALNNNSKKAKGGLSQQSRIPMAEAGLGNDVGADG UREG_01380 MGKIMAEAGKAAMRGWEKRQRVRQPTSSPEERITMSQGHRFWMS ATGLNQLNANSFAADS UREG_01381 MTLHSSTTLGPIQELDAGRRLIVLVWPSRQSFEYNVFFRRFHSR WILSTLSDRLLRLPNRNRDGAGTKRVYRDRAWIRTVEDPQDRRRISGRGRSRSPMNID RYQPGDRISRDEYYNVSRDHASRDREERRRHPSPTAANIDRYVPGQETGRPIIRTNPL PSPLTLDYQVGFNWFAEWWRTEQIIKEEKERAKHGGRRPSDRVKGEREAREDREKERV LIQAAYDSYKSEFQIKMARSFVQRHRNEEWFKERYVPEIRDPFRQRLMEFRVGPYEKW TKDLETGLFDDFTLEGIYKSESDGAGGIVEKEEGEATAVGETLGVLDLLPTRGGDLRD ESLLQPALLIKTLAPNVSREKIEDFCKEHLGEGDGGFKWLSLSDPNPSKKCHRIGWIM LHPAPESHVVIERGDGRDEEGEEVEPSTTANGNSGNSTAEKALEAVNEKTIQDPVRGD FVCHVGVHVPPAHPKKKALWDLFSAPERIDRDLELVKRVVAKLDSQMASADGITKVEE YVEELGTKGLLQPAVTGPVKSKKSKSFDLDSDMVFLENSEAEEGEEQEGDDDDVDSED LLYKKKVLDLLVEYLRRVHNFCFFCVFESDSLHELVRKCPGGHLRRPRSGLSTHAKAA ARASALGEPYPAKKKEEVEEGEAEASPSDEKKPPRFSSKSEQQIYRAFNWVKTFEEKL LQILEPENVDLRKLGGKPVEEALEEELNKFVKQEDEAKFRCKVPECTKLFKGHHFWRK HVEKRHPDWFLEIQKELTLVNAYVLDPAHISPSRSDAASNGHFPFPPGHVPAGTPRGF NFANMPFNFGANGSMAGAAFQGMPPSSAGGLPGFMNASGWAAGGMAMANAGGAPGLHN PGVIRRGRHFNRTGPYDRRGGRFGGTGGTGPGTGGRLSPVRGMFGTPHAGAPYIPPGH PAAVAAGMAGFGPGGGRWGDTAGGGPQAMGPREAVQGRSLKSYEDLDAVGGDGNGELN Y UREG_01382 MATPNQKVHPCLWFDTQAVEAATYYTTLFSQSPNSDSRASSRIT SVSGPLVTFTLAGHEYSGLNGGPLYKHSPAVSLFITCEDQAEIDHFYDSFIRDGGQEI QCGWLTDKFGVSWQVVPKALMEMMNDADQAKAGRARDAMMKSKKFEIAKLTAAFDGVE Q UREG_01383 MITPVPIPHLPGFSSIIVEGHQLRGHPCLEQLQITVNNAFREGE HDRFPSIEHVITTPGVHGRCCVVFRDGDVDMTSPVATAMIKYYNPDVGVDPIRIIDRN GNVVNTSEFTKSEPGYDSEPADFLSITHWEPAAVAILSEDPSLKKLGLAVYCVNQLEI DLLRRLSEARRKRPAVPDIQNGNHGLQTTTGIRNLTFWIRCKEHAVPYWERRGYGLVQ KKVYPKGVWGSRTELNIVTLKRDVSYS UREG_01384 MEPSVEILVHVSAPSGAEDDANHRALADAYLHFEPVTKIKIYPD DEDDGLRANSPNDLDVLSQINNDLEGGSTANSTGYLTPPSWKRVNAEYGLDEPSIYGV QHGRHSKGIPEGDTTEIDEDSFETPPETVPDSQPSLSPGWDAHMAANPDDEPTMGEIS VLEPLPDTTGSQAEIADPVSSAVPPGSAFSSQASFESVWDVERNNSPGTGRVRAVQID EPPSPSQDRASKRKRLSTATRDVASPFREPFLSSEATEISSPGPSGLTSLPDLPMEIR PPRPPMSDTARFTTHITPTLHMISEQLNPSRYFKPAYQARQLGKLERGYWQLRIPVNA NKQAATSNEDDGDSTTNASTTSSWTGPTRPWTLDHFLRFWNYLAEFVGQHGRAGWGVW CYCDSAEGQPKVDSVQQLDVRVYAWGEIAAHIYLLLFLASHRAVKRVPHVQWRDGKGE TIIRMA UREG_01385 MASVPWQQKAQAVRDYRDATLAKVEPPLPEIPEPLPLNSQGLPK QFLSEREYELTQNYDAIALLEMLRTKKVTSEELTRAFLRRAALAQKAVNCVTELMWDE AITRAKYLDSLQEPIGPLHGLPISMKEHHGMKGKTVHANYIAWIGDESSDNLLNNTLY DAGCVFYVRTTGPQALMHLECENNIYGRTVNPHNRNLTSGGSSGGEGALVAFGGSVLG VGGDIGGSVRNPAGNNGVYGFKPTCNRLPVSGIKLPKGCKDAIAATFGPLCRTRESMT LFMKVITDAELWRFDASLCPKPWTPVTFDKPLKIGIIWDDGVVKPHPPVLRALREVVE ACKKGGIEVVDWVPYDHRKAWDIITELYWPDGGKEVRGLFESSGEPILPLTKFILEQP TVKDHTIAEYAQLAVDRDEYRNAYARHWSATANSPTGEVDLLLCPVTPGVAPPHECAR YWCYTSQWNLLDYPAASFPVTTVDVEKDVREEGYIPRNEHDRFNHDLYTGPERFQGAP VSLQVVGRRYQDEKVMAGLAAIEKAMGRE UREG_01386 MAPFSLADIVSALPSEDDAWGPPVPSGNTLDGIPYAPFSKGDKL GRMADWSSDSKDSRERRPAYNRNYRDQQVYGAGTSSLFAVQVAEDESSFSVVDNTRTS VKTRGFGRGGGTIFRGRGQRGGAQRGRGGAFQRTTTGRGGHAGGDRYYDQRGGRGNRG RRFGWKDYDKPQRNRDSSVTIRPEWSMLEEIDFSRLSKLNLETPDGEDLDNYGFLYYY DRSYDKAPVKNSERRLQSLDRAAYNVTTSADPVIQELAERDEATVFATADILSMLMCA SRSVYSWDIIIVKHGNKIYFDKRDNASIDLVTVNENAADAPMEASEGQAGAKQDAINT PGALALEATIINHNFALQTVIESDNAKVDFAHPNPFYNPAEETEPLASKAFKYRRFDL SLEKDEEPVHIIVRTEVDAVLKNNVSGEDQHVTLKALNEFDHKAQGAGGALDWRTKLY SQRGAVVATEMKNNNVKLARWTTQAILAKSDVMKLGFVARANPRSATAHMILGVVGYK PREFALQMNLNMANGWGIVRTIIDLVNSLDGDDDEDGQEDKIKKYVLVKDPNKSVIRL YSVPTNTFEEDDDAGEKVEKEEEKVDEKEE UREG_01387 MADDTSNPPGAPRFLEPPAITALKQQARDVPPPGPAPMVRSLSQ DIREERQDLQEAAEQTLNVILDLALDGRINWVSPSWLEVIGTPIDTVEGKYIQDIIID NKTAFEDVFESMKKDDSRSHIVRFAIKTGPASVFRQNLAQEPVGGEEIEGTIAEPTDE VDENVLNLEGQGIMVYDKPSSGEGHTMWMLRPSTQPREVTIGLPSLLVESLGVGAEVL ANYLTELAEVGVNDPAHHPPPMPVLCRICERQITPWWFEKHSELCLQEHRSEMDVQLA QDNLNEHRHSIVKVLDALEARKARPGHTDGPQAEYKNLPIGPSPSSSGLISGSSSSSG TPPRSRDPSTSGLGHTRTRSSFAVRRPLARIVELILDLCDTALEVSTPALKESRTEFG DEVRTQSPQSESRISQVVSWQSPSSNTLEQEQGLAALSADTEQLARAKVDAVFRHRRI IEYAERIRVEFAVLVEECIAAAMDKAERVAAGDLDDSTTSCSSDDGNEPENSTASPDV QSQDQAPLTSISTTGTASEPALDQTLRPQLSRGSSSVAISTRSSSPMECPTPRSHKSV GILGQPILTKRGSLLAESDAADSDSSILSSVLSTERHTESPSSERGISRATSARENKR RSLILPGLSSSPRRESPARNAPSSPLRLNKPRLSTGEVFPSPATSPILTSIDLGPHNS SFYGPPYRHHHRRQSSTTNSPELGKAPVSPHLSSVSHPPPRAVTPSIKDFEIIKPISK GAFGSVYLSKKKTTQEYFAIKVLKKADMVAKNQVTNVKAERAIMMWQGESDFVAKLYW TFASKDYLYLVMEYLNGGDCATLIKQLEGLPEEWAMRYTAEVILGVEHLHSRGIVHRD LKPDNLLIDPRGHLKLTDFGLSRMGLVGRQKRVQKNPNDSAPDLLKQGPFTRTTSLAS SRSASFDFPVSQSPNTTPLIHAELGGPGQPSYFSLSKEPLFNREQSRRLSGYRSDSGS SDTLASQFKSLHLFEPGETPYPISQHAAQPQQTASIEEETQSEASDSPQLFPAHPSIS YQPSFPILHGTSQQPQIPPAMALFNPEEQNQGFVGTPDYLAPETIQGVCQDEMCDWWS LGCILFEFIYGYPPFNAPTADEVFQNILGRKINWPEGFEEYSSEESRDLMNKLMTLNP EERLGSNSSEKFPSGGAEIRAHPWFSSLNWDTLLEDDGSFVPTVLHPEDTEYFDPRGA TMQGFTEECEDQITSPPGSSGQYPDRPHDALFKVKSQVSSLKRGMIPLHIPPHIRDTR TRRLSEPVLADDFGNFNFKNLPVLEKANKDVIQKLRQEAMQAQQRSVPSTTPSTTSGP SLEGSPIVPGPLKRTMSQNKAVNRPASPSSLSQANSSSPSRPSQPSSPLLVQFSTGQH HHHHERRKTSGSSSTFSQFSQQSIASSLQPGSFEPPRLATNLKMSASAASSPIKHPKL PSPDKQTGLHRQSSAPTSRQRSQTIGSQDSDVALPREPFVPGHHKRRSQLFDVSPSSS DNEDPRAKALLKVQRRRQSSRRLSQINFAEGPFFRPLDVLICEDHPVSRLVMERLFEK LRCRTITAVNGTEAMRFALSEVQFDIIMTEFKLPQINGADVARMVRDTRSANTHTPII AVTGYLKDFPETHHFDALVEKPPTLEKLVDVLSKFCQWKPPPNDEELAQQLQIPASAL RHVSLRLEDSPSSTSSGLAVPPSSYRGSSREESLGSSYFGDMEAPKSDDSPMIINRNV GDEWSGGRTGGLGITEEPSSVIKPRLIESKSPPLVPSLLTATSAPAAFHSGGMRSLRQ QRSIECIRAKRESMETKRYEGAESGDDEDEELGRVHMRSNRSPHATTGKQRRSGSKLG TEMLRTNSRGSVVSAGEDILKEREAEPMEPSATKSMGSPDFMAPASLDSKIEQLHIGE EVLEVLPEVPEKEEPCTPPADTTNEQNSKDTQPLPHEQKELGDEAVDVIPATSGPTDQ TIVQQSQARGTEIEQENITPPGTACGPTVVTPETQRSSKTEDTERTPRAALGGKPDLL PLEKDECEGDATLNAPERHRAHHTIERVVGWMRREGR UREG_01388 MSSPRSIELGSVLVVGGCGFLGTHIVDHLLNFPSEDSSETSRIT TADGTPDKRFSYPSLRGRYPTYKNTKVSVADLRTTNNRLPGAEYYDADILSAESLLEV FRAVKPDVVIDTVSLMLDGNKELTYNVNVNGTRNLLEVAGGMKGDWGGKCKAFVYTSS SSVVHDTTSDLIHVDERWPKITGKLQQEYYTETKAIAEDMVLDFNGTSPSGMLTVAIR PAGIYGERDTTLTFKMVEHAAKSSQRILNFQLGDNNNLFDFTYVGNIAYSHMLAAELL LETQKRTEAGGAAPLDYERVDGEAFTITNDSPVYFWDMARSIWALMDRYVEPHQVFEL GEGTLTVVGGILETVFGLFGKRPRLTRREVRYSCMTRYYSCNKAKLRLKYRPIVPLDE GVARSVAYVLEKDINLRAKKQL UREG_01389 MANQAILRIGREISQLQQGTDLSLAVACQEEDIRNVKALIIGPA GTPYEFGFFEFSIKFPKDYPASPPKVESVTTNGGRCRFNPNIYACGKVCLTWRGESGE QWSSAQGLESILISIQSLMSANPYENEPGYEDAKGENDLKSIPKYIEKIYHETIRISV IQRLEDALGIQADGSLVLPPGNSPGDLDEDADENPPFEPFHDLCKRRVLWYYESYLNI IKEQESKNAVGTRFERMPFEGGGNTMDGRFNYPDLKARLGVIKDAIMQETENWETEGL KAKANDTRISVSLQRQYEQIIEDLKERNNITLDIAFENDNPFVWKLTFFGRPMTNLDG GIFNIKVSLSPNFPDEQPRIFVQTPLFHHRVSKDGVLCYFCRRPEDMRSHVEAIVEAL EDEAPRYDPRATVNPEASELFWGSPEQKKQYNRLLRRAAQRSTE UREG_01390 MAAGTRRSALSLQPVQGQHPSTSRPRMKPRLGERKIATWPPLRL TSRQDATVTRHQPQSGGLIYQREFQAGLQKLSTNDYLVLAGTFHGVHAITRSLTPRIT SSSSTSASGPGAATPTHSSLPNPGLPKSGLEVLESEKFRLTCFQTVTGTKFLLFTDPV MPNVDPMIRKIYELYADYVMKNPFYQMEMPVRCEAFDRHLGTWLRSRG UREG_01391 MTLEATMIIVDNSESSRNGDYLPTRFEAQADAINLVHSAKTQAN PESSIGLMSMGGSGPEVLVTFTADIGKILEGLHRTKIRGNAHLSSSIRVAHLALKHRK ERAQRQRIIVFTCSAISEDEKSLVKLAKMMKKNNVNVDFVAFGDLDSDTIKKLETFHE NVNSGNGSHLEIIHPGPNLLSDSLVATPIIGGEAMGGREGEEGGSGFEFGIDPSADPE LAFALRMSLEEEKARQEKEKAEQEKASLEGIPEESQPLLNQSGEPSGSNQGPPGDDQK DKGDSGDKMDTS UREG_01392 MALRKKSMGELLAKYQEESKAAASKTGTPKMTGSPSPFKKSAMF PKPMSAQSDKVSRNSGKRPATKATKLAKRKTDEIHSSDKENAPCLESMNIPLANPKRR GKAGAQGGTARVISQHAQGSVLSPKSSNSRTFPQSPLKQSPVKPQPYISPLKPSYGNL DENTKANASRGTARKILSPQNSPASKRPSSAASVRMKRGTGAKSAMGALGTTRKTISR PATRQQHTRSASTSTTASNISVGTTIVRPTRSGTTTAAKKAAAGTGTSTTKKTTAPRG QTGTAASNAKKTAAGTRRGLAAEQPAAGRRVLRNRA UREG_01393 MPPPAGHQIPAELIPGGARNGGLQTNNMNSGMYGDSYQTHSPTD MADANTGSPWANTSSAPHTEVQDNRLGRSQETGYGPRDIAPPRDRSRPNGPAGGKSPG SSSRTCRKCGEPLLGQFVRALGGTYHLECFQCHDCGDIVASKFFPVDAEDGSGQYPLC ETDYFRRLNLLCFDCGGALRGSYITALDRKYHIEHFTCSVCPTIFGAQDSYYEHEAKV YCHYHYSTRFAQRCHGCQTAILKQFVEIFRNGENQHWHPECYMIHKFWNVRLAPPEQR YEPPELDVDAPPEERDRVRKEEDDMEEKVYRIWSVLSAFEESSAQCISDMLLHVSNGA YIDGVVVAKKFIFHVEIIFKATDRLAAVVLAQGTIDLSYAREAKLLCKKIVAFFALLS KTQETGVRKLGVTQELLALVTGLAHYLKLLIRIGLQAALKYEREATTPAELFKFLGQV EDLEGVNKISATELTANVERLANQQSDCCAACQEPIDDECIILGERLWHKKPPHLVCG ACQDDLTMDLSRARWSEKHDRPFCRACAEQRGHDPQAVGGFSYVTKLQQYVFLLHVAL ARLLAVLRSGGTLPHTSDDPNLNQYEANDGHRVSATGELQSPPQRSNTRSNSYAEASK GTAPSSLEQTVGEMRRLRSTRNERAISTTFKKARTSRIIDGPQGSTARPGSSGAEGGD SRNPGFQIVEERDVDGEPVTDLTFGNQDALTLDDIPRIVAAEQAKEYRPRAFKHAGTG LVASGGPAPKIKQGHQREVSSADDRQGQQPPKPTKYFSELSALEYFIVRHIAVLSMEP LLEGHFNLEELLGLIESRKPTIWNIFGKAFKNDSRKGGKKKGVFGVNLEQLVERDGTE STHGVGPGTLRIPAFVDDAISAMRQMDMSVEGVFRKNGNIKRLKDTTELIDTRYEAAD LNRETPVQVAALLKKFLREMPDPLLTFKLHKLFIVSQKISNPEKRRHILHLACCLLPK SHRDTMEVLFSFLGWTSSFSHIDEESGSKMDIHNIATVMTPNILYSNNKTAGVDDSFL AIEAVTSLLEYNDTMCEVPEDLQSILNDTSLFNRDAEITTKEILKRYAEIGKMPAPNR TTTGLDSLPARSGSNRGGNTPVATRIDGDPSQATAWQKQRSVRHVQPHQANDGSELRP NPQPIRSDSGDSYHSQGYTQHNHQQMPYRTRQPVGQSGI UREG_01394 MAANRYIGPESPDIHSKYQPTDSHIFYSPYGPFPKVDENLNIHD YLFPPGQPLAPDYDLFIDANTGAKVTLHQFYHRVCALSRALRYDGPNPVGLAKSPRND REDGEILGIFAKNHLSWPLISHSCFRAELVFGGISPNSTPYELYHIMRKMQATSAVVH ESLLPVLHEMLEKGTQAGDDSNLSFVLDPKKIIVISDNPALDFVGGYRTVESLVRLGE RLPEQNRKLSGGDNLCYLFQSSGTSGFPKAMMISHKNAIHTAMQGIIPAYHSFGMILW TLRVNLNPSTNILMSKWNLEQALQLIQKYKVTMLPLVPPLIRQLAQSPLTDKYDLSSV AAAISGAAYLPPDVAYELGRKLPQQTPVPSGYGLSEAASIASPILEGMFGLSRSDPGT IGFLLPGVEGRIVDPDTLKPVPKGSKGELWVRANVVTPGYFRDAKATAEIFTEPGWLR TGDLVMRDSEDRLHYLDRLKEMIKVKGLQVAATEVEDTLLSHPDGLVKDACVAGVDNG RGDGSLFPRAWVVLSEKGKLRNESAVIQQLDEFVKSRLSRHKHLAGGLEIVDAIPRTP SGKMLRREMRDRYHARIKAEKQKAKL UREG_01395 MGSTPVPDPTHRKRELPQNELEASSQLKLGEFQNVPTLSLSEAR LVINKVLDLRKKSNNKYEERETLIKTQDYLEVFARFKEKENIEAVERLLSAHTELEFF ERSQLGSEPTHQSFCFQAVADCRQEVYVAITRRKRKPLFQASEIKYQMRTYKSY UREG_01396 MFRPPVNRAMRVLDRSFFKKTVPLSAATVFDNKNISRVKNELMK SNDMLILPRIMPVKKPQTTNEGDEKRKCLLLREEVRADDVATWSPTIKELVAAKFVEV KPFDLHLEYDYWTYADIISAILPEDELGEVPVGFSQVGHIAHLNLRDQYLPHRHLIAE ILKDKNPSVRTIINKIDDVGATSEFRTFAFEVLAGENDTNVITREQDCEFSFDFAKVY WNSRLSTEHTRLVSTFKEGEAVCDVMAGVGPFALPAGKKRVFVWANDLNPHGYERMEH GIKKNKVQGFVKAFNMNGRDFVKFAAKELYENEPTKVVIKPKVSRNGSKEQRSKSPSR NAPPPLVYTAPRTFDHYVMNLPASAITFLDSFIGVYAGQEQLFAPHTDRKLPLIHVYC FSTNSEDGEFEKREICERISKEIGFTITPEDCEGGIGNPEREVEIRNVRLVSPNKRMF CASFRLPAEVAFKGE UREG_01397 MSGRGLFLNGEWLCDCIPRQPAVHLQTSNGGPNDGRWFYKCPKS QRNRCRFFLWEDEASRRVGRTATGNPKPEPRNAQNQNPRTPTISRVREIAPTGLPTPE TGQRKRTRDGDDVAAQREESPSKSRRVELRKASSFLTNWEVMVMIPMINPFGWGDEMD GEAVELIASREKTEHIARPSTPEAQLDNRPELGGSKEHTVPRNHYPQPSLPMPQPSAM QPPPISLPPNQANPPPTPTPVRFTPTPLFNRHISRSPRHESQCQLVSQTLALLEAHRV FISEGTKRELINLLEVFDLRTEGIIKGRDISRMAIKTRDAKIQELLGRIECLEAERET WRAGAAKEGANAGSNLDGA UREG_01398 MAGATASSHTSEDAFVKSVNDKHSAPLWTVLHKMVPPFPNPKAT PTVWKYDEMRPLLMEAGRIVGAEEAERRVLMLVNKTMEAPYTTDTVYAGLQLVLPGET APAHRHVAFALRFIIEGENGFTAVEGQKIPMSRGDVILTPQWHWHDHGNEGKEPMIWL DGLDLPLFRSMPVNFAENYTDSRYPSLASPESHFRFPWKDTKAALDKTGFPYAVYHYN QRGRKHLSETIGGQAEKIAAGATSPESRETCSFVYHVESGAGVTTLSCQDGTQAEIKW TAKDTFAVPSWAKIQHTTTSKEDAYLFAINDRPILESLGNRKFAQLHNVLVLGLSIRR VTQLSIIGNRCQNSETSCMEHNHHRWGTTPQRCKLDEALDSVIPETTQPLQDAEEMLP QCGDDLDAPGRPIVKLVNDQQHEQAKSKSPAHVMLARIQSQSTQFRMASNDFQNSLHH LKRELINHQVRSEIAELNSPTALRRFGAPFKSEFGEVAPVDSELPILRYIFVRHVRNF PFLDQAKEKEFWQDKLQVFLESFANKHISSSEDRHEVTKRRKIALKCEKLVELMMVSG IRTSSGYEERIRFSEMEVVDRGANEQGLLVNIPDGHSINGWDVNVAGVRTTLVKRTVR YHQHAEFLLRVRRQGKPDIYVGRRFGDFAQLHRRLRTELPGKILPPLPRKNKSSMSTF LGGADDDASSVSSISTDVGPLEENGNGSTRNLLFPNYLGQSPSRSSLKSRDSPRASGD LKIEPVTLVAATVYHLFLAEDNSPELFAQAKRIHSLIPYTLLKNVIRIANPAAVMSGV LDLFLAQPFGSRSLLQRIFSMAIHDGMKAFQKSIDALSTRIGDPVLIEKLRAFTYADE DLKNYIRQEAEDDDVDIIVAILRSEQIDPQLNTSQIEKIFNSYVAWVSAVESVEFGML QDAQWFAYLKQLLKLLTRQRDKAMMLNIIEEPVTLQLFRDLFTVFYEPLVRVYKSANV YNSITDFAAFANDAISVIEHAQRQDVSADPNQTVQAFIDLCVRHQQSFYKFVHEVHVH DNGLFDALMGWLEDILGFLREGPKGTLDMNALFQGAVSVSQINKDVAIQEINSLVKWH TDRKKWHHDKTKQKMAAEGASIESAPGSATFKSSDFGLNEADLEDLAISDEASDTSDE DSADDELDPITAERKRRAKRQDRLRRTAGEPVKPEIREILKLQDSFTQMLRLVLAE UREG_01399 MATWSRLIRFVGEDGVTRFGEPQVADAAEVVQACADGKLVAHEW TGEDPFSLTMGSKSVKVKELLAILHPSDVPIIRCVGLNYMKHSKLNSMPSHKKHRANC STIVKEGGRNPPPHPSIFIKPSTAIASFREDVPIPKLAQDSLDYEGELAIVIGKTGKN ISKDESLDYVAGYLASNDVSCRKWQRDPAYAGGVPQWCFSKGFDKYAPLGPVLVSTKV AGAADNLALQTFVNGELRQDSNTNDLLFNVKHIISFVSQGTTLEKGTVIMTGTPAGVA MGMRPAPVYLKNGDVVEVKIEHLGSTKNTMVFE UREG_01400 MAESVSSGACLCEAIKYEVRGEPEKLMACYCTDCQKNAGGPFQT LAKFDKKQVSLRTEEGVEPATWVVQKTTSGFVKHKIGEIPPNSGAFQLTQALVHATVT RARREGLWPATNRRRATHRADWRLSLAHTIHPRHGPFFVGVAPHHCMNERANLYKRRS RGAASGPTSQAAQDSSGLRSVPEQKGQMRRSATRYIASLVNKIKDLEGEGSPPPAPSL VAEALQTLSDGSRSDHRSDAPAEDDVRPRIYQGSPLPPIHTIGLTPPFPQPVPLQREY GGSNDRLPSVFITEQPRPIIGYAQEPIAHGEAGPALPLDKGKQPAIVESFDGVNAMMG AVEQEQPTQGFFGSSSAAGFMRQIKTAVDRIVPPPAKDGPTSSSCNTAQSAFSSARID RMQSMLTNYVLPPRKMADGLMEVYWGIVFPLYPLLSRPQITAEYAKIWSGEGFDCDEN MLMCTINVIFALSCQLAEFIEPEERCQSADVFFSRAKSLLHFSLWHSGSVELIQCLLL MSQYLQSTDSAHQCWIITGLAIRNAQSLGLHLGKTLGYPHTLQEQQLARKIWHGCVLV TSMTFGRPSMISKTAAGSVPLPVAIDEEDIPGISDPEISQIQGRPSMMAFYAKSLELY EIMNDILLSLYSPTERLPSCEAPGEESVASMQDFYFSNEAEGPKMVFEHDRALTKWCR NLPLHLRDPSSRVQRNPIYHRQAIVLRARFLHVRMLLFRPTLGKYCTARDVTTTDPLI SQDYSLTQRVALQCSIICVKVAQEVIEMIYNNIPTDGSNGPLPAWWYNVLSWPDDPQD VYTAATILIAGRLRSSIVNEVSEASISHAWLCALEILRKYQSYSTSARRCVAALEILH DRVVSEGVPLSRMPPAGASSTSAGNLRAVVDGTGPDVVNNPSGHTLSESLNAFGDVNL ADGTANVGPDNLDFLDLLDMSWLNSVPSSLL UREG_01401 MSPISLHNGVKESQGQRMDAENKAPVYLEGATSPKGSTQDEGVR PPHDPLSWPKWKRNTQILMIAVHSMVSTFMAAGIVPAYDTFAEMYGVSVHTVTYLTST QILLLGIAPFVWKPLTTRFGRYHICVLSVLGSFLCNIGGARCTTFGTQMATRVITAWF ISPPIAIGSGVVTELCSKDERAQKIGWWTLLTTIGVPAGPFIMGFVVQHIGVEWVFWL FAIINFCQFVAYILFGKETLYPRDLEGNLEPPKAPSFPRSIFPHRIDPRPVTATDFIS PMFLCRFPRILVPSLAYAITFAYANIVIVVEMPTAFGKKFNFNAQQIGYQFVAIIIGC VLGEQISGRMSDSFIRLLKRRRGHAAPADRLWLSYIGFATVFAGLLTWGFQLQNATTW NVTPCVGAAIASFGNQILTTTLITFAVDSHKEVSTDIGMFVNICRQIYGFTGPFYLPL MFERFGLGGAAGIFCAIVAGSALVPIIAIQFVATRKP UREG_01402 MAGNGQVRFQILIIGGGLGGLGAAICLARKGHNVTVIEAAADLN EVGAGIQVPPNSTRILDSYGLTDEFLKKIVWPKHLSFRRYCTGEIVGSTPFRHVLEKT YGFPYWLIHRADYQRILFDAAKEAGAKILLGTPVDSIDERAPAAILTDGRRLVADLII GADGIRSKTRRAVIREKEIEANDTPNCAYRVTVPAEAMNADDEIRHLMEEDANCWIGD SRHIMAYPIRQGAMYNLVLSCPGKAMVGKWNEPGNPDEMRGYYANFDPTIRKVLKNVK SCLKWKLADLPPLPNWVSPSGRVVLIGDAAHAMVPYLAQGAATAIEDGAALGECLDRA KSARDIPRLLYAFEAIRKPRCERIQAGARENGHIWHFADGPEQIARDRAMKAVAHEGN EDSATYNPNRWSDPEFQPWLLGHDVFTHTNGMLDVILKPRPQL UREG_01403 MSEPQSHPRRRPFNGAALPSLLTSSNHGNGPASHVVAPYKRMLK KGATFHSPTTPSADECDPILYIPSLPRRAPTSSRALEEVIAAGERRVAGILGQVERDL AGIDGKSTRNRPSFPGDDFPVPRGLLHARVADTDPMDIDTAPYDSKFHSSRRLPPVDA KEHRVADSGIGSSILDAPLEKTPASGGVQHPLLSSHRSGSMTMESSKPLMRFGAFKQI ERHILAPILGEQSFKPFHPIVETIPQRVRNNNITCLRDLEKILLFVPLNETISKGSYM QYGRFTIHCLHTAVRHLNDRDQCLPADRPYTNGYFLDLVAQVQGYAATIAAARNSRSS RDQKGNNLDYSSGEELVLEGGLSSSGRPAELVRRKKDVAISLQTGEPYVESKPTIPIM KRALSVEAGDDSVMRSMARRKKNEPPLNINKKCDHCDRIFRRPCDLSKHEKTHTRPWK CTEPGCKYSKTGWPTEKERDRHVNDKHCKSPRLFNCRFPPCTYQSKRESNCKQHMEKA HGWVYVRSKNTGKGSDRGSPHTPPSFNPSPTIAPGIPTPISTVACSPYMSPYQPQLEH QLLAIASDDTPNNNTMQLELKDQLQPMLTTFNPGAFGLGDAHDFFGLGDAHDLSNTFG MDFDLYPEIPGNGLVDNASPFAQDFDWQTFLC UREG_01404 MERVQELSPSAHWFERSSSSVGYPGTFNAHAFEDARGDYWERMY SGRFHAYLGSISSASLLDRRELESSSGSLGQREADVKSPAPQWMKPSGSDGMRNQDIS VSSLLARFHV UREG_01405 MLMESTTRDPLHVTKSRRKKPRFQRRLASRKPLSGRLVLDHRLK GDIGVLSDDLVLDLFPHVNLSAPEIVPDILYVAVSPWIPRLAAVEDISWTIIPVRRQS SDKMKITTTISHSTVHFPVSGNSIQSFLQSLQAVDPSRNSLLAHRGIEIHILDVVPLH LDTVYVTVEKNLLRDLDEVQKRFGGGYNGANGLGAKGKGKAAVPERLAKKSSEETSAE REERLMAAVRAALSTQKVLHAGDVIPLPLPAHPITHIPPAPAKISFCEPVSQGVLVPR TKVVLVQTRSPQQSRIAKGLASPRSNFLHQVTEDDAEDTNEQFYSAAEDKPIESGTDI ESTSPPDESDTDASVHSSSEMSDDSLDDMISLAAPELPQQPSGIASVLSSATPRAGGK RFDVHTPGSVLSGFTSGTARQGRYGGKVFRAECLLDRIPNEVLHPKPADDDDTEAFVF VDVHTLVKIGCFSGDWVRIEASEEPQRNMLASLKFGSLNSLGEIGESECWRMAKVYGI PGLSPPKIRYSMNHDRRSSISHMPSHSLTPTVLAPPILLHNMQNPKYLKLSPLLAHGS HQTLSRPGPNQPKHRSPPIAKEVTLVKISTPLSMDRALQPALFGALKRHFESKRRLVK SGDIVGISVDEGLGKTIFSATKAPETGNQDDELTSQLGFSFDDPGRTLSGTKKIGVAW FRVSHVTAPASENEDLLEQEQWGGVAAIDCLNTRMVQAGSEISTVPKTHDNSWEYWLG VKSLPRSTIGQGTRLALTEPPKQYTSQTQRRVRELIAAATSPRAIQLGMKPVVILLTS TQRGIGKSTIARSACADIGLHTFAIDAYDILAEGGANGGDVKTEAYLKARADRAFACG ADCTVLLIKHIEVLTADRMVTAMKDIVTDARAIIATTTDVEKVPEGIRSLFTHELELT APEEKEREGILRNAVADLGVRLSPDVDLSSVAVKTAALVAGDLVDVVERAVTTRALRL EKLAESATNSSREAKPTVMDVYISGGDAAQCVVKADFEAAVDAARKNFADSIGAPKIP NVTWDDVGGLTNVKDAVMETIQLPLERPELFAKGMKKRSGILFYGPPGTGKTLLAKAI ATEFSLNFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVAPKRGNQ GDSGGVMDRIVSQLLAELDGMSGGDENGGGVFVIGATNRPDLLDAALLRPGRFDKMLY LGVSDTHAKQTTILEALTRKFNLDPNVSLESIAGKLPFTYTGADLYALCSDAMLKAIT RQASAVDEKINALPGGPVSTAYYFDHLATPDDVAVMVTEEDFMAAQRELIPSVSAKEL EHFERVRRTFESVDTAKKSDKQRGKLALANGSALHLNGATIASHERREDGALEGDEDE YIVRTDHLKPTEEDYFPSSDD UREG_01406 MLSQLSNIIPSFRHFSKQPAERTVEVPPVETHNIETAHEKSARS LKHLLKLNHVKFSVLYRELSFYNHIPHFLSTAYLLGGDAEHLNQLYESESKELEPWVD SPGEIGDDDWKAFLGKREYQRAWLDFFEDEVVRCGYDWKQVAQEYLFADTTPLISSVV SGCYAYEIGSREVAMEALSMAASCYGDIHQYSDDPSYRHFTSTYKTASVFEGIDRLQN DKAFDDLFEGTSVANFDTLFKSCETPLLNHWAAWDITNATEQFEDIQQLATALLVNSA RPHKSSFVYLLTTTHALRVIFPLTPAQFHIPLLKQWWLLALAVYVSELRPQFNASSIN EIKTYDLKGRTWDWVSQNALQGKMSVAANYVQPLRAMREAERTQLKGSLVNLTAVWGG GARGGTETRGKSNTANSGALHVCRVCMCLAALDLVRATSLDNVPRQLLAQPKNKTPKL GGNHLRHSRLKDPIHTMTTQNLAKPRLYAELFPNISQITVYVTLLDYHPAPSSTGTSQ AFFEVLPSRETLSFSYRGQKQVLRLPGRLSSETPLKFSLPPKFANDKRTTTTHENEFH FRLQVDVTDPLTTPSDISSPQPPWSAKHMNPDTRIGCRNCGNYLLEPQKPAQIIWKDL PSANWAELMDLWHCHKPDSHEDDKQRHGDGDASANIGSVAAKGYGAGNHTVCQADTVL VDAMNFYLTETNCVGVEPTVR UREG_01407 MSENGPKQSERHPRTVRCLKCQRVVGEMQPLLDGIVLFKSDLSV LRRPCDAATDDLTIQWETYPVDMIISAQLLEQIERTGARRYILHRDDDNATSSGILVW IFNPYFRYSCSETNFSTDLHTGVGDGKDDWLAITAQRAMKLFYQFIPNIQALLNPKKG TPSSVSLEELPLPGHIYEEVECVLTKRNVLLPKSARQFKEWKASLFHVFEESDF UREG_01408 MAVSESSTSVLTDSAHGEASRVGINHMSPMPGVRCPKCEEAGKE VWVIPGKKCHVCGALC UREG_01409 MANGGLIGTVSLTFSICCSSAPPKRKVDTSHFQSSSEQSRIYIA LAASPPTVNCRKVSLLFLMCQFPVFEHSVYYPSVLLKGCRCLEIDVWDGELSSASSSD SENDESKKKKKKEKKEQKDKGLSRTDSKRAFNLSSLSDRLDRLKKDPVEDATGAVAMP TAAVAAAVPLRPEPRVLHGYTLTKEITFRDVCYAIRDTAFVTSDLPVIVSLEVHASLD QQETMVEIMTEAWKGMLVDFTPELAAELERGDFRHLPSPDSLRNKILIKVKWAPNHSK VENNVASVEVSEGAVGPVKGQTASANDKALAAQAAKKKPVKILHALSRLGVYTRGYTF NELKQPEAAIPTHIFSLSEAAVRDAHESQRQALFNHNKNFMMRAYPSGMRVNSSNLDP SFYWRQGIQIVALNWQNCDKGMMLNKGMFARSKGWVLKPEEYRGDAWAERQNAAKSGS GPSRRHTLHLSVQIYAGQNIPLPKGDEHDRSFRPYVSCQLHVERPKDSVHSKGDGDDS GSAKYKRRTTTCSSADPDFGGQTLQFPSAPGVIEQLSFLRSVRNNASRSQLSFAAL UREG_01410 MGAEKRINDEEAQPLTGRDRSRDSIDSTSTASISLALIDQANRS THAGRTTPPRNFGNGEKYRDNDDDNPEGGLPPPSGAQRTPKKVSIIFWLVAALCVGGW LVAFFVFMGSPKKDSDKEVVVSGAENSTVPGVVSTGGKKVDLDGVLTGFWSPRSHEIS WIPGPDGEDGLLLEQDGDENAGYLRVENIRNQKSTNKKDDAVVLMKRETFKVGARRVR PSKVWPSPDLKTVLVMSDRLKNWRHSYTGNYWLFNVETQTGEPLDPGSPDGRIQLASW SPKSDSVVFTRDNNMFIRNLSSKDVKPITTDGGVNLFYGIPDWVYEEEVFSGNSATWW DNDGKFVAFLRTNESRVPEYPVQYFIPTVGRVAHAGEEHYPNTRKIKYPKAGAPNPTV NIQFFDVEKGEVFSIEMEDDLPDHDRLIIEVIWASNGKVLVRETNRESDRLSMVLVDA KDRTAKVIRSQDFSKLDGGWIEPSQSTYFIPADPGNGRPHDGYIETVPFEGFNHLAYF TPLDNPSPVFLTSGNWEVTDAPSAVDLKRGLVYFVAAKEQPTERHVYTVRLDGSDLQP IVNTKAPAYYTISLSTGAGYALLKYEGPEIPWQKVISTPANEERFEETIENNTELAGR AKDYALPSLYYQTITIDGYTLPVVERRPPNFNPDKKYPVLFHLYGGPGSQTVSKRFKV DFQSYVASNLGYIVVTVDGRGTGFIGRKARCVVRDNLGHYEAIDQIETAKAWGKRPYV DATRMAIWGWSYGGFMTLKTLERDAGQTFQYGMAVAPVTDWQFYDSIYTERYMHTPQN NPAGYANTAVSNVTALGQTVRFMVIHGTGDDNVHYQNTLTLLDKLDVDNVGNFDVHVY PDSDHGIYFHNAYKMLHERLSDWLVNAFNGEWVKIRNPVPNKSLMRRARSLLKRMSNA UREG_01411 MDLLMENGECKGIIAYNQEDGTLHRFRAHHTVLATGGYGRAYFS CTSAHTCTGDGMAMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEG ERFMERYAPTAKDLASRDVVSRSMTLEIRNGRGVGPEKDHIYLQLSHLPAEILHERLP GISETASIFAGVDVTKQPIPVLPTVHYNMGGIPTRYTGEVITIDDKGNDKIVPGLYAC GEAACVSVHGANRLGANSLLDLIVFGRAVSHTIRDKATPNTPHKEISADAGADSIRVL DEVRTAEGPKSTFDIRNAMQKTMQSDVSVFRTQQSLDEGVQKITEVDQMFSQVGTKDR SMIWNSDLVETLELRNLLTCATQTAVAAANRKESRGAHAREDYPDRDDENWMKHTLTF QKEPHGKVDLTYRAVTHTTLDENECKPVPPFKRTY UREG_01412 MPSATGQNWEKYRKTFADDDEPEKKITPLTDEDIQVLKTYGAAP YAAALKKLEKQIKDKQASVNDKIGVKESDTGLAPPHLWDVAADRQRMQEEQPLQVARC TKIIADEKDPDKSKYVINVKQIAKFVVNIGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDPSVTMMTVEDKPDVTYGDIGGCKEQIEKLREVVEMPLLSPERFVGLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAVGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDLEGRANILRIHAKSMSVERDIRWELISRLCPNSTGAELR SVATEAGMFAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN UREG_01413 MAAALGSQGNVASNAFKDKEKPMAVRTANIMAARAVADAIRTIL RSGANISEVTWASRNGQDGNQYDPEAIFRYKLTGTQIQTGKGETIITNDGNTMLKDMS VMHPAAKMLVDLSAAQDVEAGDGTTSVVVIAGSLLGAAERLLSKGIHPTVISESFQRA ASAAVQILHDMSQPISLADRATLLQAASTSLSSKIVSQYSSLLGPMAVDSVLKIIDPK TSENVDLRNIRVVKKVGGTIEDSEMVDGLILNQPVLKNAGGPTRIEKARIALIQFQLS PPKPDMENQIVVNDYRQMDKILKEERTYLLNMVRKIAKTKCNVLLIQKSILRDAVNDL SLNFLSRVKILAIKDIERDEVEFICKSLGCKPIANIDSFTEDKLGTADLVEEVNSSGS RYVKVTGIRSATANQTVSIIARGANNLVLDEVERSLHDALCVVRCLVKKRALIAGGGA PEIEIAHSLAKQARALSGTEAICWKAFADAMEVIPVTLAENAGLNSIKVVTDLRHRHA MGEKNAGVSIRSGGVKNNIADERVLQPLLVSTSAIELAAETVKLILRIDDIALSR UREG_01414 MSVRLRRQTNGHCPKGYSWYRCAKGPFAGCCDEDPCDTGICPNP LTVDSSSSTTPAEITSSKETSDTTSTTSSGSSPSITSQTTTTSMTARISPSPTYSLSF TTSTLNNPNPAQTSITEPLQTPQAERSGLATGSLFAIIVPIIAVIIIVLVLAVCCFKR RKKRLAGQVRGTTPVSKLNGFRSSCLAPALELLKSAKPQTNDSRSSSGGTQDIHVQSK IKELHDKGATAPDSAALSASPGFSNNSPSLGPAPPTYRSSPNPLYDEQIPIRPMELPG SFPQGPPIELDDTSCERVAELSTPAQQALIDIPLSQRTVYICPNRRETTTSSANGPLS ITTHDGVVLAPNLMCSCGQRHHSGATDHVTSFMDYQSNIKPPR UREG_01415 MLCSRCRTHLLSRLPFSRGASSLPPSRNARLNSTTQQRTYSTPT NPTQAPAAENSASGDANEATVTTTAASPKASSSVPAGTRLIGLNYFKNKPEILAKEDS EYPDWLWKLLDDPTAKAKSGAGSVDVSTLNKKQRKRHEKKMAALAASKPRVIPLHEQT VDIIPADAVTADAEKNYDVAATGIEAREAINKSARLARRKAIKEANFLKGL UREG_01416 MYSPSHHADHDAALRASLSTLLSCAAAARGLPKRDNQCSIPERP NISRAPEPSTFRLVPEPVALADNRRDQCSNTREPEYRTTTSPSSKRSRSPVKSASKAR RRPSLSKDRSVPQTSKKARRTAVTETGSIISPTMMTWVISAGVVVLFSAISFSAGYVL GREVGRTEAGQGLYVNANGLSGARSGAGCGKDAVKGGLRQLRWVGGGSGSGIAA UREG_01417 MKAPKSKTKAPKADEKVLSKVKNGGVTKPSATPKAKSKEVAKKV ASKTAKELEKKKKKPPTPSESSESDSDEEMKNVSSGSESESESEVEQKRAPAKAASKK VQPKSESESSSESSSSEEEPSKAKAVESASESESESEEEPEPKVTKQAAKGKKVESES ESSSESESESETSGGVDVKATNESEESDSESESESDEAPAKTKKAIKEESASDDSEDE SGSDEESSSEEEEDEKPAKSQKRKAESDEVPVTKKAKKDSDESNASANLFVGNLSWNV DEEWLRSEFESFGELSGVRIVTDRDSGRSRGFGYVEFTNAEDAAKAFEAKKGAELDGR PLNLDYANARQNAGGAKDRSQARAKSFGDQTSPESDTLFIGNISFGADENAIQETFSS YGTISGIRLPTDPESGRPKGFGYIQFSSVDEARSALNELQGSELAGRAMRLDFSTPRQ NSGGGFGGRGGRGGGRGGGRGGRGGPRGGARGGRGGSTNRGGFGDFSGHKTTF UREG_01418 MTTPRPSAPPSHRPRKKRPFLAGTSLSTNVDLIVGANTAEPSPA FPLVAFLWPARTGVSQWLVLPIILMVAGLFRWTVGLWGYSGFGMPPMYGDFEAQRHWM ELTINLPMSSWYFYDLEWWGLDYPPLTAYHSWLLGKLYDTTGSLIEPAWFALDTSRGA EAQLLKVYMRATVIVSEYLVYIPAIVIFLRRYAREQRVNTWAASVALVAILMQPATML IDHGHFQYNTVMLGLVVAASESILARRRLWACIFFVAALGFKQMALYYAPVMFAYLLG TCLSPRIRLGRLVGISMITIAAFALLLAPLIAGAVYDKYRGIPIPVSHLPLFQSLPAS LNNGSWLYACLSQLCQAIHRVFPFSRGLFEDKVANLWCAIHTFYKLNRFSPPLLQKAS LGATIVSIIIPCIAIGRHPRSELLLLALANSAWGFFLCSFQVHEKSVLLPLLPMTLLL CGDGGLGKETRAWVGLANMLGAWTLFPLLKREELRVPYFVITLLWAYLLGLPPTSLNL FRTHGEPGNLHVLTKLVHLGCYSCMVSWHILEAFVPTPRTKPNLWVVLNVLIGTAGFG LMYLWCTVKLIQQVRLTAQAVELRATPISDMKKQQ UREG_01419 MSSAAVSTASSFTSQAANPSVKPSTTETEKPKPCCVCKPEKAAR DDCMLFSKSDNPAESECRSTIEQYRSCMASYGFKV UREG_01420 MAPSSTVFSIQDKGLRFDSAADLEPHIKPLVENDSLFTEIHLGG NTYGVPACELLSKALRVQKKLHTANLADIFTSRLLSEIPQALSFLLNALLEVHTLQTV DLSDNAFGLNTQAPLVEFLQAHLPLRHLLLNNNGLGPKAGTLIADALTELCARKAKAR SDPDVGYEVPLLETIVCGRNRLESGSMAAWARAIKDNGKGLRTVKMVQNGIRQDGITL LLDHGLRHAPELEILDMQDNTFTAIGARVLADTVTGWPSLRELSLGDCYLKGRGWLRV GKAIAQGNNAKIEILRLMYNDINAAGLKVLVHAAKNALPILRRVELNGNKFEEDDESI VELRELLDERKEAIGRDDEDEDAWGLDELDELEEESEEEDEEESEIEDVEKRAERIVE EAELAEDEKVAQDLDNAVDALGEKLKGTSI UREG_01421 MHSLSSALAGSTFVLLFLCLLASAQPLTTPNPARALPDALTGGS SIFSCPAASWPPTRIGSPNRPQRPSRELRSILSQISHKRIEASILKLVSFGTRHTLST QTNATHGIGAARDWIASEFKRYADASDGRLSVDVIGYEQQPDGNRIPFPVRISDVVAT LKGTEEPERIYLISGHYDSRVTDVNDYTSFAPGANDDASGVAVSLELARVMSQPHFPR PRATLVFAAVAGEEQGLYGSRFLAETYRNKSANIEGMFTNDIVGSSTADDGTRDPHVV RLFGQGLPPLTVEDQKQRETRLTIGGENDTPARQLSRFVKETAENEHTDMRVSVIYRL DRYLRGGDHRPFLEAGYPAARFTEPHENFAHQHQDVRVETDPKTGRKKQYGDLPEFCD FRYIARVGKVNAAALWSLANSPGMPRNVRVSTRDLSNDSKFFWDPPVGGNEGVGGYEI VWRSTVAPFWTHVLDVGMAREATVDLSKDNVIFGIRARGKNGERGVAVLPFPA UREG_01422 MTIAPGSGDIQLSLYFAIHFTPKPLLTAGSLQCIEAMSLMFDSI RWLEPDAQEALSEVGYNLRQVAIGIMKALDFYARRQSPPKYAYQDRPSDAIVALLYLI ELRYESFTPNHIRTAPAPSMSEIAPTKTKGRLSCTHSNNPPRPRGLSKLNFSEPTNLI LHIFLFSILQTLFPQSNPTVLAVQILLAIYILWESMQLVLRYRTSPALFGPLYTATSL SSFWSETWHSAFASPCHSLVYGPLRRNLPIRFGMPAALARGIGIIASFMLMGFFHVYA LTPLLPLDALLRISAFFFLNGVGTVMEEAMWGRQVHWGKTLLAWVFELAIASWTVEGL SVPKGLRSISWKNICDVGREAEVIFKE UREG_01423 MPDPTLNSLNPRPTVRRSIFDQGPTFTLETFSNRDFIVKDFIES LSDSALSSQRRSGALGNQAFDPKPLIRTFEHAQRRLVELSGDLELRENELSAAVRRAE SQHSQNVTTLGRKLDQAIESFQKLDTSLNGPRGAGGELSGSGNVAVETGRRLEELDRQ RRRALDAHFLIECWDEVSNRGETTLLENMRRTGGGEGMVRAAHIARQLLRISQRLDPL SWNEANGEARTNGHKRGNTRELIEKFSETLEKDILKQFDDFYRRANFDGMRECAKVLH DFNGGASVIGLFVNQHQFFIDRSQLINDEAVGDPDTWEKLADPDAEPPGVDPSLQSLV DEVKVVVQEESGIIKRTFPFYEQVLGTFVQRVFQQSIQQQLELVLEKANGVSSLAFLR SLQTARAYISGLVDDLKAHGLTEHPDTISSQTAMVLDQQLEELFIPYLTGYIEREKGN LEEQYTSLLFKFATFHARRKKTPTTFISSLAKSGSELLASARDAYINRLDTSDFTPTQ RKMLLRVAGLKDIDDQKQMEIELTDEDGQLSVEFAKRMLRWLAEGVGRGLELNVGTET PKDVSALLMMLLSVMAEGYVEMALDASLESATAQESGKSEPEFGYLATLRTAISTTHL MMTCIDTVLTPLAASNITIRRDMEKKTNFAMNRIEEKINAIEQKTVDVVLAWVARVLS GQKKNDFRPKEGVTETGAGWLEMLQTPTCASISGFLTRLHGIALASLPSSGTNVKIFL TEIALGIRALLLDHFKKFPVSGPGGLMVTKDMTRYTELLRSWNIDEGVKGIGGALDVL LEVGSLFVVGPEALRERIRAGTTGGSSGGGGSGASSSQGKSNTALSVQEVRAYVLRRE DSGSVGMQSVLNAL UREG_01424 MAIFKDPGPPRSDARTHAQYSPAERERLIQPYLPEPRIPPARSR SRKSRPKPIRTFLKNKLYYFVYFVIHIFFSIYIRLRQSYHAVVDRVLAICYYHHRTPE LIRKDVRELDRLPEHLSAVLTMRKDEEGLEILMDEVAELVAWSSCVGIPMLSVYEKTG ALKFYIPALHKIITAKLASYYGPSSHQPTLRLFAPHHPLCSPEPPSPNAGPKTNLDTI TVLLLSSSDGRETLVDLTKTLAEMAQNGKISPQDISTKLIDAELSDMMTTPTSPPHQS PEVESSDTDETTVERDVPPSGGGPVMKAEPDLLLIFGPYVKLDGYPPWQIRLTEIFCT GDNSSSIMGDGGEAVEYQRFLQGLWRFAKAEFRFGR UREG_01425 MAVNSGKSSPSTLENGQSTCPTAETHDLLGMLPPDYQRGSNFKP NSSLVLVGIRGSGKRSLGFIAATALNWRFITEDHYFKETIGCSRSEFLQKAGSREFHR KGVEVLKLMLDNHRTNCVIECGLGSLTQSIQQYLQEYARSNPVVYLLRDMNQIQRLLK LEDSAVQLLQSTDPSHRSCSNLEFYNLEDHSSDLHGQDDACDRRCAAYSFKLKDAKED FTHFVRFVTGVGTPTSGFDSPFSLLEDQIESRLHTHALLVRLSDLMEGLVYFDELDSG AEAVEFCVDIWPSDVARTMSKYVSTLRRRIGVPIIFSIDTRAMQNNKSTLPLPFEDVY FKTLNHGLRLGVEYLSLDLTNDNIANHPATAKLLQVKGRTKIIGHFFCEYVDGVTWES EECFGLYQKAELMGCDIIRILKVAKDRLDNEAVCFLRTKVKRLPGNHPPLIAYNVGIL GRHSQVFNQILTSVTHSAIKRERDVEGFDPLITSRDAVKALFQSFVPDPLQFYVIGAN VAYSLSPAMHNAAFQAYGMGHTYRISRTSSLAELDRLAMDPNFGGASILPPWRIKVFE QLASKSRHAEAIGAVNTVLPLRVDATGYIYPLKEQANQRNRAGRIAGWYGDNTDWVSV FVCLRRSLSPRNAISSKSTGLVIGAGGMARAAVYAMLNLGCRKIFIYNRTVENAERVA NHFNSWASRSPGSGQVVHVLRSATALWPSDSTLPCMIVSCVPANTIGGDPPTSLELPD EWLGSPTGGAVLEMAYNPLNTHFLKRMRRYRSATGRPWVLVDGLEIVAEQGITQFELL TGRRAPRRLMRREVLRNYVGEDGPYDEKTINARLEGISHVGCH UREG_01426 MEDAQEQGGRRRKRVSRACDRCRSKKDRCDGRRPACLACQSSGS ICSYDPSAKKRGLPEGYVRGLEKLWALSMSNIDGLEESVLSLLGANNEPASHRRRKLV SLWVTESISEKLHDSWKSSGLYRELERLLSAGDIESVLSSRADSENRGPSVERAESHV GPHDPFEYRIETQPASGGLELEQSGGARFKRIKLAHNPSSSPDSCQLQLPVQTPRLLD IYFAHTHTWFPIIAKHSALRTSYSYSSEPLSLSRKAAVSGDHAALWAILSYTTAQLKS SSDSEQLGFADPLATSKEFYAVARSLIPTEKENFETGHVQALLLLTLVNIGLGDWTAA WLLSNQATSLVLHLGIGRQADHRQHPVSHQTKAVFLGCFVVDTLLAVRLGRCPHMRPE DLAPVGPLEEDGLEEWNPWMEALYPNGPAQGQVLPGRGPLLARSCFNRLVELASFLNR ISRHEPYGFDAQWFCQTIIKDMQAWEDKLPPACRLAALCNSAASANTTALLPHQIYLS LTHIATLSFFFTRFSSQVQGLYYPVRRLLQVVPVLLSGHGEVFGQFTLPPLFECPLRA ISDCARFGGAATEQDELQLSLWLDSTSHEVSKVGGIWPVMASFAEEVGNKRAGPFKRG SLHSVAMTDFMDVRRLAGSHQMLNDEMEGLSHIDSSPQNDPGQALRHNTISSLALMSR PEHANDGPANLAAIPAASPLVSSMRSDGQLNGAAQAELLDNNFLTPYESHFSSTETAD PSTVSDHPRLPLQHLPHAIAKASESNRRLQPKHPLPTNDLDSIFDDLAHLDTNEWTTS REQELKDFGFVDEIAFQAFCRDPERVAGTNPLLRPASIADIWPPPGFFPDTFRDDKEA SMLDSNEGKI UREG_01427 MDSKEIEVKSKALTKATNSAEPPSTLISILKDLQNGVRPTEDLL RATKIGITVNRLKTHKHPDVARLASDIVHKWRHEVNKQKTSGSPTTSQRSSDSPKQTP NGAATPTPGAEGSDKMSKSTVPPDKRSWKADQLTMDHTKNKTRDSCIGLLYDGLCLSS TESPRTVLQKSIEVEAAAYNAFGPETKDQYRTKIRSLYQNLKNKSNLSLRMRVLSNEI TSDKFVRMTHDELKSDERREEDLKIQKENMDKAMVAKAERSISKSLQCGKCGQRKVTY TEAQTRSADEPMTLFCTCLACGKSWRQ UREG_01428 MVEQRPEDIDALPPALRRKLSPMAQRSSHCFFDMRRASVSHPDT LQRSSFPSHLTSFPTCRSSFSLSRCEGRVGILRDAAPLNLHLLPRVSAHSAQPASSAG IQSRPQVTNRSRTRSMVCVGR UREG_01429 MRVLFRRTLREPETLQAAYLSVQANSQWFRSLPPKVQQTHFSTE ERACFGSWPSSVILDAADQALYKLGHQARASLDSISSMPSVTTSSSITLTPSLHCSDS AIDMDDSMYDSFRWLDEDDDLDLRLDYHAHIAPSPKVQPYRGHQSSFRRTFSLKATQR SRPSTAAMIPQTSQSCTVPPPPLVLPPQKHRRSLSRPQPPPRHISQTSVTSIDHPAQY YQDPEARLKLRVYLASPQKFDEAIEFGFPSLELKEGFNARLSMDREWKLYDDRRTFFD NDSKSCLGETHNDTRNTRSDERNINDSPNTSPDTSTTVHGPYESRPSKQLTRPRLVPI AHNNAQNMIGSREMTLKMTLTRADLRTAEPSVTTSPRVSENDDPLRLADLPAPDEELH IWDTPSEDKGVIKKILRKFRKRRC UREG_01430 MLLRSIPRLFFLRSSFRHRRAHRYRRLTMLAIFTIAALLVTPFY FIYKPPQFLIRYLQHRWPDVLFHVPTSSKLVALTIDDGPSQYTSELANILKENDATAT WFIIGGQVPGREQVLRDLVQNGNELANHAMHDETSKALSEDALREQIGAVQGMIQQAY AALDPKREYPKYFRPGGGFFGARMQKLLGQLGYRLVLGDIYPHDPFVPYWRVNAKHIL SMLHPGGIIICHDRRSWTLPMLRKVLPEIRRRGYRIVTVTELLESTKRR UREG_01431 MILRRLLLAGSLLLASLAAAKKEGPKITATKFDHEPRHLFYFED TDTVVFQHKYDAHISTDAGQSWSVIKGPDDGMVGKVKSIYPHPHDRKKAYVFGQSRTH WVTEDAGKSWRAFKIEQDIPRSGNPLAFHGTDSDKLILHTIDCSGFVCDMPALYTTDG FKSHKTLTKSQHGCNWAITTPEFGTQADLPEKIDNRVFCIFSGLHAPMGRNKRLLYSD NFFEDDKGFEVPLNNGRPVSDVVRLAGVKKFLVAAAKSPRTTEMTLYVTDDATRWHQA MFDGHKLENDAYTVLESTNYSIQVGVKTTGGFNPMSALYTSNSEGIYFTRNAEHVNSN HLGYIDFEKIAGIQGIFLINTVSNWEEIDNNHQRKKKVVSQISFDDGRTFHDIKAGDK KLHLHSVAQLHNSGRVFSSPAPGVVMGVGNVGDHLKEYDEGDLYVSNDAGITWSKALE DAHKYEFGDLGSVLVAVYDEGRTNKVSYSIDHGKHWETAELPHKIRARVLTTTPDSTS LKFVLIGTSKSGSGVEHSVIGIDFSNLHERKCGKDDFERWPARLNEKNEPDCLMGHKQ FYSRRKAGSECFIGSEFKDPVPELERCKCTEEDFECDFNFVRSKDRKDCVPARALPVP EGQCKKPDDKYTGSSGFRLIPGNDCVKDGGIELDKPKERPCSDAAKEPVSGEIDVTKH FFSANKPAEYYYLERPVLSKDKDETIVMLTDKLEVFITRDHGKTWKETLEGKHVVKLW PHTYINDAMYFITGEKRVIVTKNRGDSFREFETKLLPNRDRLPVLAFHPDPERSDWLI WTGADNCGRGGDCHSVAHYSTDGGDEWHTLMRYVGRCEFIGKERSRKTDELIFCAQHE NENPKNRHLRLVSSDSWFKDKTVHYNNILDFRTMAEFIIVAARSEKDSLKVGASIDGK TFADAEFPANFDVKVQQAYTVLDSSTHSVWLHVTVHNVEDHQYGSIIKSNSNGTSYVL SLNNVNRNNADYVDFEKMEGLEGVALVNVVANVDEVQKGAAKQLRTMITHNDGAEWAY IRPPAKDADGRAYSCSPGKKGTEECGLHLHSFTERPDYRDTFSSPSAVGLMLAVGNVG DHLTLKSEGDTFITRDGGIEWHSVKKGNYIWEYGDQGSIIVIVPEAKPTKALFYTLDE GKTWTEFAFSEVEMLILDISTVPSDTSRNFLLWGKEVGSGSKPGFATVNIDFSGLKER SKECVLKEDKPEADDYYLWEPKHPLLEDNCLFGHITRYHRKKPEASCYNGGDFERLHN VSTNCECTRQDYECDYNFERQSDGSCALVPGHQPLDPKRICTEDSKAIEYFEPTGYRR IPLTTCEGGLKLDGFKAFPCPNKEKEFEKKHPRLHGAGLFFAIVLPIAAAGVVGYYVY TRWDGKFGRIRLGESGSSGDWLSRDSPLIAIPIAIIAGTVAVLSALPLLAASLWRSAR GWTPIGRSSRPYSSRGAFAARRGDYVGVVEDEDELLGAEDFEEDEEV UREG_01432 MAPVNLTEAELSTLSAKAIEAKAAAYCPYSHFRVGASLLTEDGT YFIGANVENASYPVGICAEKNAIGTAVTAGHKSFRAVAVASDIIPGTSPCGSCRQFMR QFCPPSLPIYMYGTDGKYVMKTMGELLPDSFGPEDLEKNR UREG_01433 MSAAETRPQVSLATTDMAVDIPDLRRKAQDQGMEAFKDVTFGSF AGIAGKYIEYPFDTVKVRLQSQPDGLPLRYKGPIDCFRQSFQADGIRGLYRGISAPLF GAAVETSSLFFSYRIAQELLQTTVYSPSEELPFPALLTCGAVAGAFTSLLLTPIELIK CKMQVPVASEAGLKPPGPLTLIMSVYKHEGVSGFWRGQMGTLIRETGGSAAWFGSYEG VSALFRAYNKADPSESPGKSLPPLPLYQQMLAGAAAGISYNFIFYPADTIKSRIQTEG IALSIGDSQKRTFWEVGRALWRQHGLTGMYRGCGITCARSAPSSAFIFSIYEGLRHYF G UREG_01434 MSSTDAPRSSGPATAPPKGMRENGKNWHDTKAAFRPTKGLTSYA RRLDERKAMAAIKEKEKEMKEEKEAERQRRIQAIKDRRAAKEEKERYEKMAEKMHRKR VERLKRREKRNKLLKS UREG_01435 MEPSQTAAARRLPAFSPIRGSQSGREPNSTTPGTKSKPKHRRHL SHRAYLHAHFHNGLYLPDQPWVQDHLIGGEDSWTGQRDLDPNLDGRREKHRRLRPHRR HRSHDGRLGSRQIRNDMAPGEARGEARGVQAGSSENDLGVGLPGEVSEEIGNEFQMDN VIRLPFVQREEYIRLEDVERQKQKRKDAEKSNSVALSSLADQSLAFSQRLDTAYYNLL DRLSSIRSTIRSFHTLRDLTTSIDTDFNSEAAKLTQSTRKQIADFQGFTPQIRKIEVL EARMKSCRERASNLDQRLKTVREQIEAWDQKEVEWQKRVSRRLRILWAVMGTAVLFLA VMGLVDRFKPSLAPQEALGATDSWKTLVSRSAENETECGEGTPCLEDDAHATRLISTS FASKAKEARQSLGPRVPTSVSEQKDPEDQIQRVLDEL UREG_01436 MTASQLKKPLSGDVELNVERNEKPLKNDAREAAERGQVATDIYG NPLVEFDRAAERRLRTKIDFYIVPPVALLYLFCFIDRANIGNARLAGLERDLGLRGYD YNAVLSVFYISYIVFEIPSNMLCKLVGPGWYLPGISLAFGICSVGTAFVDNIAAVSGV RFLLGVFEAGMLPGIAYYMSRWYRRSELTFRLSLYIAMAPLAGCFGGLLASGILKLPN FGSLEEWRMIFAIEGIITIGLSLIGFIVVTDRPETAIWLNEDEKALAIARVKSERVGT TEVLDKVDKTKTVRGLSSPVTIATSLIFLLDNITVQGLAFFLPTIIRVIYPDSTVVQQ QLQTVPPYVVGTFFTIFIPLLSWRFDNRNIWMIMSAPLVMIGYIMFLASENARVRYGA TFFITSGAFSFGALCNAQVSANVVSDTARSAAIGMTVMFGNVGGLISTWAFLPFDGPD YPIGNGLNLATSGTMLIIAVLLHFWAAVDNNRRGKRDISSDLAGLDQKAIQNLDWKHP GFRWRL UREG_01437 MGDYGDLLDYNYDDYDFDETADPPSPTAEGLGENEYLLRKEIPK SERYISSYTMS UREG_01438 MTDSRMPPYGQPSPDLSSQPPSPQARSRRPSEKFRPVSHINTLF YCSTREAWIPSVASGPSYVAVSGVYAPSKHRLLDFEPNPIGDELALPDYDAGFHEPSK TETLQNQPADVSQPDIGRDDDGDSWFSNPMNVPKTAGDDSCLERRPTRQVDYLTHTWK QEEIRGSWKYLTRNRSCKNSWRLENAAWRSWMKTKNHLPTIAPESMGWLKDADVTWLF GPLLVDDRDYPSSTRPSSVAVPASNSQGDPKPILKKKTISQAILQHSLSTDSLLQHAG TLIRSQTEDRRLGLGRTVSDTAMLTTHNESSLQAAEVAPSVASSGTSSPNSRRHITFN QVVSQVVAVNRDDYDGDDEDDDFSGDYCLLDDDDASSLSTLVTMKGSASRPSLSSNST PRSSFSSDTRTIIAHLPPTTLKWDSGSDTEDDETPYFGPPWDRPGLSRTFSTDTLRAT FGNTGRLPSPNILSTSNPALVTVGPKHPTISPMCSGTSAGNPDPDPD UREG_01439 MENINVSELAERLGSDEDAVRKMAVFKLQSNIGDPSFADVFISE GGLVKLKHLAMTATGNTLAYSLTSFARLLEVDKGWDVVDEEFIERIVQLIVTHPLVNI LRGAMSILVSIVSHPRGSGRNSTYSQSGLFGFHALKPAIAIHSQFLEMLVSRLSSADH ALCANALQLINSLMRDSIMSESEAEWPRFIKRLQDLGVIRAVYVLMQGSALQDLAQPL LEFQSLTKVLLKKWRDLAVDLETPDHRRALKGIHLASNPAKPQETEKTGSRRHNPHKW RRLGFESESPQWDFHEMGFLGMMDFTDFVRRYQDQFQKMLLEQSTKPSEQRCPIARAS LNVTAILYEHFEIDKADLEDPKSYMILESRSNFDKVFKPLLLHWPRLHVSALNAFFRL WKATGAQLEDFSKITELVRILVESVIGGATRTKDMHEIEEEMNDFEYQRLRELQMELL ELTYEDVWGQHLHRVREELHHEALQFVKEQRIRCLLQGAWFPIESISKGENGVASRPD AKRTNTTSYRYAQLSHNRRILHYADFDSVDNDIPDIDDLTHKIDLSTVSSVVSNVSAS SDDSSGSTIKTLPQPSSSTRITIHGYAQKPSSAENNAKALSDAHDGNASRQRSNTAKT NQTQKEIVLLTLHPQSHSIASEWLDGLLMLLNQQPITAETNKLINLVSNYGLKIRLLN VRFDDATFMGECPEIPSREGLDEDYYYDVFGGS UREG_01440 MTITHHSPEDLGPIHPPRVRNLSTRPSNAQTVKIKVKDPLDHEA PAILHLPPDFDPHRANGAAVVLISGAGGGVSGPSGIYPSLAEKFSLLLSIPCIRLDYR EPAHSDFCSADVLASFTYLSKHCSSTKFVLVGWSFGGSPCFTVAARDPERVRGIATVA SQTAGTSGVRKLSPRPLLLLHGTGDTVLAPTWDDHGLTKNAPKAEKLIFDFAASCLGF GDLLTGKMEEKAGRDLAGSKEERFKEMQAGHDLEGGERI UREG_01441 MTTAAVFGCTGAVGSQILATLLASDAFSSVKTISRRLPNAQSPK LQALEEGDTSKWGGMISSLSPKPSVVFNAVGTTRAAAGGIQNQWKIDHDLCIENAKAA KEAEVKTYVFISSAGTRGFLASHVPYSRMKVGVEDAIKELDFDHAIILRPGMILGREK PKAPFWESVVGNINKLGQGVQDMLGQDQTTIGRAAVMAARMAEDGKAPSKYWVVEQAD IVKFGRTEWKA UREG_01442 MAAPPPKKRQRRLVVQSSDEDDTYLPLSSSITAPASQRGTQTRL TTTESQIASAASKADTCAARTRRSKPQPKKTSSRVSNSRIPSTQTTPASSPDKRKTGK RPISDKSSSSKSLHSFFAPATEEQRWSKAVENHTLDIVEEIEDEECGDDLYNWTSARS YNNEASPQRVKHDEVNAGLTIGRRKASAYEARTQDEKRPPARESKPVKRFLLPSQADL GTSPSTVVGDQLKPWTERFVPTNLDELAVHKKKVADVQKWLVDVFTSRSKRRVLVLKG PAGSGKTTTISLLSKALGYDIIEWKNSSGMEYLSTGYISMGAQFDDFLGRSGKFSNLT LSEDPTASQFTIDENPTPPGNHRRVILIEEFPTSLSQGSSTLLGFRSALQRYLAAAVP SMGVKGWLPQSDIGSSPPIIIIVSETLLGTGAALSDNFTVYRLLGPEISNHPGVSIIE FNPVAPTFITKALDLVLKKEARSSGRRRIPGPSALKGFADMGDIRSAIASMEFLCLRG DEDGNWSGTVASRIKKSGRSAAALTNMEKESLLAITHRESSLGIFHAVGKVVYNKRED PTITVAPNAQAPQPPHYLHHFARRKVSQVSIDDLINETGTDIQTFVAALHENYILSCD GDDFTDHFADCIAELSDADILAPDSRRGSQFTRNEAGLARSSVQGSGTSVDLLRQDEI SFQIAVRGLLFSLPYPVKRRGAPGAYGSDAYKMFFPTSMRLWREIEEQSGLLDMWIRR LACPTSTNQSPGSASHREGIASWGSRQEFGISPPEADAPAHSIASGSSLSSEEVLLEY LPYLRLITRDPSTIADLERLTQFRGMVGRNNVISDEDLGVLEDLEVEHWATDPMPQSP QKRGISNRMPPPPRPPALRVRETAPQVEDGEAKLVLSDDDIED UREG_01443 MSSATDGLDRTRHRRTQQISESIENHPPGNDSLDALHGTTQPNG SMHGVKRDTTPRSRETKGPEGVASIASAVAHATVPAWLNIGFMMALIFGGCCANVFTL EAIVRDMPQAGIGSMFFKPRGVPLKEWIIFTAFFMTVNLMNNSAFLFKISVPLHIIIR SGGPVTSMIIGYLYNSKRYTRVQVFAVSMLSIGVVTSALADASSKGKSLNIGVTDSDA SPMRTLVGFGVLGLAMVLAAFQGVYADRLYQKYGRDNWREGLFYSHALSLLILLPTYP KFLPQIKSLLSSPSILASSPSVASILSTDSFASPVPSKAITSSIPAYTPTPLALKNVM SQSRSVLVTILGGPLVRSTLSHIPLKLAYLFLNALTQFLCIRGVYLLSAKSSSLTVTV VLNIRKLVSLILSVYLFGNHLSRGAMAGAAIVFLAGGIYAWEGTRLRNKQEERKKK UREG_01444 MTSLGEDLLSTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINIPSDRNDTSENDEVNIPHTAASVAGQGE WAEFHHQPGKKYEDFSQVKHEIENETARIAGNNKGINRQPINLKIFSPHVLNLTLVDL PGLTKVPIGDQPSDIEKQTRNLISEYIAKPNSIILAVSPANVDLVNSEALKLARHVDP VGKRTIGVLTKLDLMDHGTNAMDILTGRVYPLKLGFIGVVNRSQQDIQSGKPLADALS AEAEFFRHHPAYRNMAIRCGTQYLAKTLNTTLMGHIRERLPDIKARLNTLMGQTQQEL ASYGNKQFSGKEHRGSLILQLMTRFASSFISSIDGTSSEISTKELCGGARIYYIFNSV FGNSLETIDPTQNLSVLDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEIPSQRC VELVYEELIKICHTCGSTELSRFPRLQAKLIEVVSDLLRERLGPCSNYVESLISIQRA YINTNHPNFLGAAAAMSSVIQSKQEQEKKAALAEDKRKRDRRRLKEIGGVNGTGTPDE NEDHHEDERPQGLPLRGHKAGRSHSPHLGNLEGSRISSSLNGFQAAAQPTGGRDSFLN YFFGKEGALPAPGPAPGASRHVSHSTEPSFSQSFRRSEIRSPSISHTFQDEAAAPSEY GDGPLLKDDAEPALTDREALETELIRRLISSYFNIVRETIADQVPKAIMHLLVNHSKD VVQNRLVSELYKEDLFPELLYEDDGIKAEREKCEKLLETYKKAAKIVGEVL UREG_01445 MAAEIREALRSNGIISDVLDDFQPKFNLKISYPSTEIKLGTRIP TSKAQDTPTYEFHPISPSTGSESNKAYSLVLTDPDAKSREEPIWSEFCHWVIADVSGP GTGGASAGKTLEKYMPPSPPAGTGYHRYVFVLLKGDADKIGQLQAPKERKHWGYGKER HGVRQWASRYDLEVVAANFFFAQHE UREG_01446 MATLNLSTNGHSITKSYQSVVTAPAPSGPAAESGTYGQWAVFTV SAPLASAFQDTSTKESVLKVHTTGEGELIDLIEEFSEGRIQFAFVKVKDPNTALPKNV LIAWCGEGVPERTKGYFTSHLAAVSKVLHGYHVQITARSDRDLTPESIIQKVADSSGA KYSAATTAPPVVAASKPPVASKPAFTPTRTGGSFRPLTSSRRTAGVQKEAVDEDGWGA DAPPVTRSQLEKVQSAYQPTKVNMKELMSQQSSTSFSSSGPPKNDVPSNVVRGGYQPV GKVNIAEIRKQARESGQIRDDRPEPVKGAYQPVGKVDIAAIRAKSQGGEPTMSPPSRV SPAATGGSAPGDEPKSLAERSAAFTPSERLTALPKPKVGKGFGRGGAFAGTKAPLPGG FDTNTVATVPVVGSASRTFADVGGKTPAQLWAEKKAKQGGAAPSPPIITSPAPLQSQT SGEGGWKSSYSGKTWAPVQVTHTGRSSGTGVSEQKTGELERERESAESGNFGSIRDRF ANTQPMGAPVPVSTYERAAPSPPLTTDTKPSPPRAVPIPGLPSDTHESHEDVHQEVPP PPPQRRSPSPEPPADIPPSSPIRVAMPVGRGSPEEHVTDAHTEQFSPPTPLPTRSIVE HAPKEEEIEAQPPHDPARAVAEATTAGHGLPKTGDGIRALVQYDYEKAEDNEIELREG EYVTNIDMIDEDWWVGVNARGEQGLFPRNYVEVVEDSAAGHEQAASSAVGNAPLPEPT QQAPHEPSRSQRPTATALYDYEAGEDNEIGFPEGAKIINIEFPDEDWWHGEYHGKSGL FPANYVELDE UREG_01447 MTDRAGSPSSSGSSFDPYSADSPEGPMDNLTGNLSRDPRSFDSQ ATPYNNESSSSIPGGFHEPSSRDNVPFPEQDDHQFRKRKREDRLSQEDLVPHMENGGF NRTEDGSQFPTGLSPSSRNKRARFNVATSEVRDHFLDKIKLPGEIWQYIFTFLPPTSL GCVLQVNRAFKELLTADLTELSAKGATPRSLKYVHPNYIWSSSRKTFHPGMPRPLSTL SELDMWRLVRGTACQFCKKAGFISSSETSMWEGGPGPTGIRIIWPFAARLCAECIQNN CEKEMDLLFSSTLPTLLVPAIPFAFFTPSMNFVSSVVLRSNQPPTGLSLTKFYLKSHI EAMRAKFEEVKTLGSATAEEWVKGLEGNGKEKIADSARWEQWELTGGLRSVRTANTFQ SGRPSVDYVESGGQSSSTIGSNSGVSSPPSGNFPHRRGRPSLSSNTHVKTLSNSVPAS SHHRSERSIREVNEAKSNRRAEIERRCAELDPPLSASVLGHMDSFQAAIQIPHPFTDR DWDILKPRLLAQREVAERRELERVKQDKILQAKSEERRQQEAQLKEAKDLLDKEWDEV QKPIRERMATYADEIIREGWRDGDGVTKDKCPKFAADVLMYVRNKFYSDLVKEDALAR SLGNAIEEDRPGAPPKRKLILENMKWIFDQKIKPLTDPHQKELFLCNGCENNSKYYGF EGVVQHYAAKHTSVLSLGSVVVHWRAEWPENPPFHPNPNAARALMFAMPRPTMGQPNH GYQANPIAPDTYPQMSPAPYRRTPYGTPYAYGTGPYRPPSPAGSQYYPPQPGSYAFSA PQPGYPPNGPYDPHAQPPPVYGSPYPGPGYPPPYPGPDARAPVPPAPYPTHYGPHPHI PPFGAPFSGNPLAQRPGAAPSNPHKSNPNSQAFGFYQSQVDELAKNARAIWNGTSGIK DLPHNVRAHVLLHHVAARFAERYNHDPPLALISDALSAHPQMKPIRNLCGLVCKSCSN PNSSHGRRGRGHDRKPFTLPAVVSHFLSVHLARDDPPPDWKTQMVALPDDSVISSLNQ TPGMDQAKFHLVMAAFPWVFGSKMPNAPINTAPKDDRPPLKKEHRGVGRGQPRIKYES RAAEPNPSLPEGHHSQLEVAVDDFPKFIESPLGEGVKPLEPPKDSEYDPHRPAFIDPP RDQYGRFDSRRARPKAILTAPIQDARLNDPGHAGPPAEPPSSEPHTDAARLTHRPITR GSKASLNDIGVATGIIGDTSGDTERPVTHTRNVSEDGEVPEPVHSKSGQTKPNSPVEE LSAAERFLSSFVPGQDLEDYKPATGDVDRSNDQSRAKWLDLEDGDERRWRTDAGGTAE GSVVGDVPRGGRPNWGARTNSPSTIRGYRDFEQRFEPPDHSTGSVRRGGITPPDPGEA RNGRRAVAYSDSRHQPDHYARRPHSRFDRYEAQRQESLRPRSRSPAVQDTLQIEAPFY RDRSPRERGRRPVYSDYPPSETYYDRVPLEQQGPYTRLPHQPPYQYMEDSRYAERPYD GAVEYIPVRVSGREPQNPTTYYIERPIHREAPKEYVDYEMEYRRQPIYDEPGQYYPPE TIPRHTEAPGPVPRRARYR UREG_01448 MAPPHLDASDVDETNNGTSTPPAHSPFDPAGNGAEHSDESMDGP SSENDPSNSVTSPILPRDRQRRTATYDYAYEKSVSHAEAKLFYQRHQLAYRPADGELP QSPPLLPRSSTIPVSNAVEYDSPTRTASTASRLSSQGHILPPPSQYAISVPLATNVPR SESIAAADQSARSHALHPAPPHEAESRLLSSQGIHGAGAGFGVGQRSRRRLGDNPRDE PGWNVYPPPPEPAWGELGEPTGANSMSNSMVMPPKNTGPENDSGPTQVRKKRKPGQNI GEDFDMGDLLPLPESSSMTFKLDDTSVYQVYESAEARELNQPTVQVPSLRDFYMDLDA TLDVSTDGPIKSFAFKRLSYLEGKFQLHALLNEYQEIADSKKVPHRDFYNVRKVDTHV HHSACMNQKHLLRFIKSKMKKSPDEVVLFRDGKHLTLREVFESINLTAYDLSIDTLDM HAHTDSFHRFDKFNLKYNPIGESRLREIFLKTDNYIKGRYLAEITKEVIADLESSKYQ MAEWRISIYGRSMDEWDKLAAWVVDNRLFSPNIRWLIQVPRLYDVYKSNGIIDNFEAI IKNVFQPLFEVTQDPNSHPKLHIFLQRVVGFDSVDDESKAERRLYRKFPIPKQWDTKQ NPPYSYWIYFMFANMASLNHWRKQRGFNTFVLRPHCGEAGDPDHLASAFLCCSGISHG ILLRKVPLLQYLFYLDQVGIAMSPLSNNALFLTYERNPFATFFRRGLNVSLSTDDPLQ FAFTKEPLIEEYAVAAQIYKFSAVDMCELAKHSVDQSGFELSLKQRWLGQNCHLPGVV GNNMAKTNVPDIREAFRYETLLGELGLIERYALSSVTQPQTPKTAALNKQWQNTQAAS PTLSGKVGSLGGAGPSGVSLPSLTSNQGGYGPAGNASSPNFLTNSARLPTSIPFSEYP QNSNIQSAPQQQQGWDATLPWVTPIPLAHAQNASIPQEVPSPSTLTTPLIDQRIFPGI VHARVRRSSSLHNADNVAGVDDMESKSPEAEGSGIDGDADDSPHFEDKQPTWGRVEDH DDDD UREG_01449 MDKSTILGDLPTQTHESGLAANPPKRRFAPQLLESSSRKSSDKS PDNAGRPQTRDRIKPQLIETTKEHRGGKSVKFPNQIEGSSVRTATIPGPVTAPKKFTP QLIETAKRSFRQSRPGSPSNLPQSRNRIRVAGWSGPLNPRPEHEEDSRFSYANLVRRQ ESRRHSFRVPDLPAIPSSSSDESLPSPVSSFSTSPTFITDGNSSHPSNNPNDPSRDSS HEAFIDVDFYSLPARTREKLLQERALAAFPNEQVYQTVSHFAIDREDEDDTADEDSSV ETTLRSMTLDLARFRRESSVDLTWELEEMRRHKEESEMRARERVFASAPSPFSAAALA AKRAMDGANIFDGIPHGTIPRQKGADSANKRRAVRPPMLGDDIIFPQCESPQTTRCET DQGSTSHQHENGEGLEQHMSPLWTPEVHVDVCEELGLWNGTCKRPSETDALTPLPSPR VTLCKPQSGEKICEEPAILSSVSRATLAVPDAKSVSTPPRPIDEDEEALEESILQEFD DAFVTQIYNYLSLGYPCLARDYDVEISSVTGISVEELRSDDYRANAKGYLGAPEGSSS KGEDARCKRWAALKLYIHEWARKQPRSTTPESGLEAWGVRPRGSWAV UREG_01450 MSEPAYLCLTPMYRALHPIEAAIYIREASILSMAYNPCHRSTRS WPPTRQNSIHEVMHDLVDSELSDQDSFPSWKSTISIDSPVLNDNEEEHGTTLLQYILN RFPESDPNLILTCLNRRDTDAGPPSHSMDEWLNSQADFQLVDLQSDNDDDWCRCSIVF FDDHQQSRAASPVGSLLNNYDSADESVASSVISSRLAWNGSPSILTRNVGGNFLELSP LHHPLCP UREG_01451 MPTLKQLSCHVEWAPTDIPFKEYGVSYSDGIVESYIAIPSAPTP FSINLQSHGYIAPGLAMFVFMDGVYQCNRNRDDLIAAEDKAKAKGKGAKGLPASRTVN FRVRQKEEKRAEGRWVGRPWRFEPLNIIPEIPGMPEIGPKSHFDHLGEIMVIVLRCVP RNASTSGLSDGSRTPDSAMAPSPDPNDVDLALSDEEFEVIKAKYKHPEPEPELESKPE PPVEVKPEIDNKLTDFGMLFDGANDRYYAPRRHHRERHDHHAHENVPFTARHGPSRDY CVGCDHSHHPPQNDGRCYGSCQDAHARREPGNIAPSDSDGDCSSCAGYQNRTARLRTR QRHNEDRDWPDCHATRELSRDERGRPSSHSSTHNHLDRRESTRYKPHEKIVHELSPSS ELTESECECAQCSHSKLKQRGFRHSRTASGASRGFNDEWYHTSHLAPESDCRVHHHGT ALKAPFERSRSQKKRICSRSSCLECNKSAKHVELDVHHSGHLRQPEDPGEEHGTHYQN EHKTGERIAYGSRLQGGANGPSIVLNVNTPTCGSEQSTCKTCNKQPNDSDVAHTGCYV ISDGKKIPHQCRRPRTQAPQLHTWGRSNRSITREASPARGNEPHVNQPSGCGHGSNAG NDPRDRNQMSNELELDKRSNQSRTGSIRGQQPPENWGEGNFGAQDHNNNEDIRSTGWA GDGWPSTKGDTGNNQGPLDWSNDNQDSNNTWPDDNQDGNNHSNGPNDDQWGTGNGEST NHEPQQGAWSNENSEDNGQHHGQGSWGHSEKNNSDGGNPGGDRMGLGQGYGNNNSDNV EASNAPASYSNEQANNKSVPQPQLEQTAQQPSWVPMQPYRLVTPNQQPAFVSQVYYDP QNDEPPLYTVPEAIAQRGSLSHQVQVGRAEDYLHKLRVPEYLDTMEEPYAKFIFKYRL QEIIERKFDVKVERDPEVERKKLEMLPKSEIVNQLLNAQGIFGNQTSTHPANAGQPDQ PIPGSMQYGGAFGNSGNQNFPGYWPPGNNHMAPLPPAPPMDMPNLSSFSIDGASSNIN GVPIPLHGILLYHDPSGGHFPAQILRSTPQINGQGHDKRPSVHPGSIKDGAGSGNNGR RPTNARGCAGGNGGNVEAEKGRSNGDPFQRHRSNDNSCTNSGDAFQSKHHQSSNRNVS SSSTGTGDPFQSRHTGGVDRNVSGPSSSRGGQFPSGHSGRNRGTSVSSSGGGSARVRN SNNRMQNGDPFQSRHGASANGSTSANPTWNTNDGDGDWKQNGGAATGVEW UREG_01452 MRGLTLLSFLLSLAALCLVDGRRVLHLAKHEAPEGPIEHTLAAR EAELGARPKFLNRKTRRFAVNGKRIPDVNFDVGESYAGLLPVTGKRHEDRKLYFWFFP SVNPLAKDEITIWLNGGPGCSSLEGLLQENGPFSWQFGTFRPVRNPWSWNNLTNMVWV EQPVGTGFSQGEPTATSEKDVAEQFLGFFQNFVDLFNLHGKKIYIAGESYAGLYVPYI ADAMHAKKDKKYYNIQDILIFDPSVNHETVLRQVPAVPFVDHWSRLFPFNETTTKRLH DLADSCGYTDYLNKYLTYPPVGKMPQFPDSIANNKTCDLWEAIYDAAALLNPCFNIYH IADTCPLLYDPLGFPGTFQYLPEGATVYFNRTDVQRAINAPIQPWSECGLRDVFVGGK DNSPPSSFTVIPSVIEKSPNGRTIIAHGDLDYILMTNGTLLTIQNMTWHGDQGFSKPP SDPLVVPYTSIGNRAAMSGAGIIGKTRTERGLTYAEMYLTGHMGPQYNPAGSYRLLEY LLGRIDSLSKA UREG_01453 MGIADRPSCYRHQKPADEASLSYISTSIKALIRIDFFAVLPTEL SYRILQYLDTTTLCRVAQVSHLWRKLADDDVIWHRMCEQHINTKCLKCGWSLPLLQQQ GRLVQSQTLDLSKGSLEYWNPIRKVDGLETNADVPPSHGSSTSPPPAKRQRVLHHHLQ QTRPWKEVYRERFMVELNWKHGRYKTTVLEGHKDSVMCLQIHNNYLATRSYDATVKLW ELDSGELIRTFEGHTAGIRALQFDGHKIISGSLDRTIKIWCGGFHSQAPVTCVALTDS VVATGSDDCRVIVSNFKP UREG_01454 MPNSASDRPSPQGKRKLDEGGADEAQGKKARVIGPIMPPAHLVP QADSDNESSSGDSDDDDDDGYGPTLPPTNIDPDAHGGDTGICEQSESPVGPSAPPGAE PTKRDDWMLRPPEQLDLSSRVDPTKLRNRKFNTGRAANAPTGKSMASTWTETAEQKRK RLENEVMGIQAPASSGAPRPQVEDSSRAISMQEKARNKSLYAQHQTKAADVEKDDPSA RPFDKEKDIRGPSKINHSQRRELLNKASSNISSRFSGGNFL UREG_01455 MSLLFPRPDTHRDQAKTGCSDLESEIAEIEAEEAGHGIKFLREI EKELMAIPKSVLNHRPDNELVLYAIPRSLSMPEEEDIVRPIMADARERAREKSLVDTN GEAQAGQAPSAGSGDKGDDAKLPGTSPIGEPEDIPYADDVDAMDIDG UREG_01456 MASSTSAPSQREIDWPAVKPSVPSPALPVIPRPLLTFMLFLVPS SSVVPLSDPTLLFANAGMNQYKSIFLGTVDPNSDFAHLKRAHNSQKCIRAGGKHNDLD DVGKDSYHHTFFEMLGNWSFGDYFKKEAIQYSWDLLTKVYGLEPDRLYVTYFEGNKDA GIEPDLETKDLWLGVGVKEDHILSGNMKDNFWEMGDQGPCGPCSEVHYDRIGGRNAAH LVNMDDPNVLEIWNNVFIQYNRESEKILRPLPNKHVDTGLGYERLVSVLQDKSSNYDT DVFSPLFDVIREITGVRPYAGKFGEEDVDGIDTAYRVVADHVRTLTFAISDGAAPNNE GRGYVWTQMGDMFPEIKKKQTDVMEILDEEEISFAKTLDRGERQFEIYAQQAKESGSG KLHGADVWRLYDTFGFPVDLTQLMAEERGLSIDNTEFEEARLKAKEASKGQAKAASDL LKLTVHDLGKLEKDNVPKTDDSAKFGRGNIQSQILSIYHGKEFVASTNGISAGEQIGI ILDKTNFYAEQGGQEYDIGKIIVDGHRGVTFQFGVPFLRPFVFYAKQARQLPQATDGK LQERLLLTRPQRHEVSNNHTGTHILNFALREVLGNGIDQKGSLVAAEKLRFDFSHKSA ISDSDLEKIEAKSTEYIRQNCAVYSQDVPLAKAREITGVRAVFGETYPDPVRVVSVGV ELDEILKDVKDPRWKGVSIEFCGGTHVQKTGDIKDLVILEESGIAKGIRRIIAVTGKD AHEVQRIAREFGERLNKFEKMEQGPQKEQEAKLVQVDLNQLSISAVEKARFRDQFARI HKQVLDAQKALQKQEIKAALDTINSHFEKPENKDTSFLVVRLPTSANAKAISESINHV KSKLKDKSLYVFAADEQQGRVAHGCYVSSSLSAQGASASSWSGVVSAIVGGNGTDVGK VDEALDAATKYLEQFKL UREG_01457 MRFTNPSLLVALLAAADFAAGHGAIVSATGDQGGQGSAIGIDQN TPRDGTRRTPFQQDTTRFRGANRDTCGETLLNGDNDIEAGTEQVMSDNGGTLPQVSPG GTLEMTLHQVNADGGGPYKCMIDSTGTGTNWQNIEVTQNVPGRLGINLRGRKSDFVCL TRLPTS UREG_01458 MRSRGSVVWKKEPEDPRPLKPSKISGTLVEKDPKITRFQGGKSK RGYQPFADAVHAELPSPPVAHAQGKITKPAIRDRSLTGRCRNLWQRP UREG_01459 MALFGNTTFLVGGVALLIYAVIATPVPTLLESLALIDVHSYAQG PLVRVGPNYVVCSDPSEIRRIWSVHSGYYRSSWYKAIRVDPTRNSVLTICENKAHHRV RGYLVGGYAGKGINNQEQLVDEQVEKLISLIRRKYISTRSALQPLKMDQTMQYLTQDV ITAVGFGKPTGYLDADNDIFGLFKTFQSTILPFHLLAMMPAVVDFLQTYIMKPFVPKP TDTHGVGKLLGVIKAHVDTRYEPERVRNDDVLQTFVDSGLTRSEVEVEALVQLLSGTD TTATALRNIIFYVCTNPSAYHALQAEIDIAAETATRPVIADQHAKSLPYLQACIKETL RLWPPIMGLMPKTSDKDDEICGIRVPAKTQVAWVPLAMMKDRTVFGEDACVFEPRRWI DAQPARLREMEATHGLVFATGSRWECLGKRLAYMEMGKTIFEVGTYFLAPFSLFTD UREG_01460 MSIFGEQYHTAVQKIQSAQLPAIEISALFSFVHSAVVPNDAGRY VLQQLSENPRRTLEETLRSIREDWMTLALKRSILTVELVSPDEVPCEIKAALNERDGH RCRVTGSDTDVKPTYIVAPSVVHDADLRSGGYLRPLLDALVSSKQVEEMLEMLKERNQ RNELRNLWLMAQPVRTAFRYGSFKIHKPPYLETPSARIPNVKNDGWQIQAVPPTGRLP FTLDGHGSFYTVPSTPNPDTHLLPARVLLNIQGIISIPLHFSVIEQQIRDGWPPITQG REHTANEANALLLVERYTSINAPRLIDSVMSDNKSGFILMTTITGNPLNLVFYRTTYE EREQIGKDLAEWIQQLRRIPNQTNYLIANTLGGPISDHRYGQGEAWGHTIQFQISLID SFKMFKGAK UREG_01461 MSVASPTSTPDPNNGTGSGSNSQPLLFFVALGFGVVFTNLWIIV GVKYCFRYNQRHRQLRNEETGDPIDLMAVPRTHRRRREKKLMTMDEVNNRFPLIKYKT WRASRADEGLPTAGGIEAPSGSRPASLKRASGAFTDATDSQTIANRAEPSTIVPEESE TKEGKSEKETLQKPLDIRTPAEHPKELPAKATAMDGSHLDDDDDDDHDDPIHAAVPAE LLANPGDSCAICLDVIEDDDYIRGLACGHAFHASCLDPWLTSRRACCPLCKADYYVPK PRPDGSDGNQEHGRRNNNPAEPEPALIGGRLRPFSTRMVLPGRFISIAPSADRQNSRT TGETRSSRRPTAPRDTPNTTSHQGTSPWSSWRSRLPPLAAARINLPSLSRSRAPDTSG QNTEPTPNQLESGTR UREG_01462 MKQFLSYLTIGLAAATTSVASDDVTIFIPEITPASKHSDGRQIS PDIARWILARRLGWPGTSLRGDIDEEVLQGLDEFGGQQPVAFGDSNLKQPSHKLLVVL QGFDQAKDAQSKLGRVTVTEALPDFIEASFLNGLLSEPSPRRPNSRLCSYHWEWDPVV AADLVFRNTNGLDCPSEAPFLNEFKDAFSEERGDIESLRRVVQTHFGGTPFEPGQHVS AILRLSPQVQEKPVSSDRYLSPILTSAEASIVDTTVVVLPGNRWKSSSFSKSEPSIQS SGYSHRRHSSHLPPMFPRDSNMTKPNNTLSTLLPVCYATNDTCTTRTNSCSGHGYCYL KRQGAAKGGECYACKCLRTVDYTDPDKNTTKTIQWGGPACQKKDVSMPFWLLGGFSLL LVVGIWFAIGLLWQMGQEELPSVLSAGVTAPRAQK UREG_01463 MFGFCAYTVWDKFVWTPFITFVLQEAEEEETLEEEHEPGRELTN TKDEDEDDPLFVPLAMPSPVKGDLYSRDDPEWQNFIKISNDKKFLEKLKLELADLVQS ALAKDPDLSKQMGQPISVNQAILTPVFPSRAPPEYDQLGFEFLDDGSVDAVIRRLPLE EGGRFRDIVLPTPLFFAILGAGLAFFELKMARLKSFLGGNSNRPQEGGQGIGSRQGLP SSFEPGTPQSRTALPHNQSNYPRGTSLQESIPKVESSSELHSNENSTVKSFLSFAPKP DPDLLVVTKIFHSIFNDLSHGLSIPRGSFAVRGLIGLKGSRGVCTIGATGIYDPVQKS WTGLRLKLKTLSPRVPESPTST UREG_01464 MTLPPKYSGLRLATSGIAEQRHTLEISEQFSAKLFHTFYTSVIP IIQKTYTPKLQVVFRPQVQPWHPSSTLTQEAALAVLKLAPSKFWDFSDALFKAQKEYF DANVVNETRNHTYERLAKLAAKVTGLDEAKVYALLEVSDKPAPDGSLNGGNQVTNDVK FLTKGVEERSISSSFTVQQWEEWLEKCIE UREG_01465 MDMIENKLRNHEYSCLTLLEADLRRMVSNAKSYNEKRSTVFSHA ERIRKIVSSVMSQINPAYKDNKYVPFSTPLPEVEEGAQGGEEEDAPMERPSERVRSQT ANSEAPSRRSGQRRSHRTPTTDRDVEPNETSTRGPERDSFEQAQERIISEMIRMKDED GEEVFFPFLNKPDRTLYKEYYDIIKHPTSLRTILKLVRGTDRRKNATKGSPFKTWDAF AEENHFRRRLAEVRKVVPEPTSTGEGGPTRIKLRVGSTKTPEPNPQKLTLKFPGKSAE STSEKQHPGVTVDNESLKRQQALVRAGSGGVETPAPPPASTRILRDRAPSATKSQSST QPPAYTPPTPRPKAESHTSQSPKGVAASIAPHRPEPKQQPNGLSSATTAPIHAPNGPP LTAMGPTATPVNAQKPVTPIHPAVGPAAVWPPRKYVPRTRLITHVNLRTESGPKFNLD IPASSINPRQSVTLSVPFSHNVLYLQPTVVSSSLERQTQLTVTVGSQKIPSLGPPVRS ADFTIPRYELRLGPGVTKVDVEMYAAPGRGVANIATPNGPGVEYEAFTLYIHVMHR UREG_01466 MSTEVYEGAIGIDLGTTYSCVANYEGTNVEIIANEQGNYTTPSF VSFSDEERLIGEAAKNQAAMNPENTVFDIKRLIGRRFDDPIVKKDVESWPFKVVDQGG NPMVQVRYLGEDKTFSPQEISSMVLMKMKEVAETKLGKQVSKAVVTVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKSEKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLDHFKKEFQRKTKKDLSGDARALRRLRTACERAKR TLSNATQTTVEIDSLFDGEDFNTQITRARFEDLNAKAFSGTLDPVQQVLKDAALDKSK VDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGQATSAD TQDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVVDNQTTVQFPVFQG ERTNCDDNTSLGEFTLSPIPPMKAGEAALEVVFEVDVNGILKVTATEKSSGRSANITI SNAVGKLSSTEIENMISEAAKFKSSDEAFSKKFEARQQLESYITRVEEIVSDPSMSLK IKRGTKDKIESALSDAMAQLEIEESSPEDLKKKELALKRLITKAMATR UREG_01467 MSLNAPLRLLNTQVPIRTLRNTRLFSTTPAVSKKKKDKSSRAAE ESSAGSSAGAEDPLDFSSLTNGIQDAVSRLKEDISKLRPGGRLNPELIENVRVTVKSG STGKESVKLNELAQVIPKGGRTITILLGEEDYAKPVTSALQAMPSLSLNPQQDPHNKL QLNVPIPPPTRESRDQVLKEAKAAMEKASTTVKNARANLNKKFKAAGNKKVVRPDDLH KALEQMEKITAKGQKEVKDAFEAAKKALERD UREG_01468 MAAGLIPRCYTAPARQLRCRVVTSLGNARQFSSTSTNGDDASNG PPRRPPTAGFDQTARRQNTKSLLQNVFTKSSPSSSNRPAHRPFDPQSSSGPIDARSLA AQVPQSGQGQGIIRRAPLSFKNLPQRRRPGTNQNILAAANALSRRPRKQGGQKRVNRR SGRKTSGNRGEEALDESVKAYDFEKKENACRSPVQYNPEPYSMDMLKPTWPALPMSGG DSTVANAGSVAEKLNWMGARYLNSFEPAPELAQRILDGKRVLFRSSEEKAEVLELLKT MIAANAQEKTERKGKVIKPKEVSFKAVANEERQRIVAAVVQGKYDQPLVDKAKYGGSP IVANVVRNLTNNGTYHSVQAKKFMEKFMKGLPGRLTSPQKAA UREG_01469 MPGEEEAHKYFEVFFDKIHPYIPVIHRDDFYRQWEADKTKIPSL LLEAIFACAGMASHDQTKGMRWLTLANRHESTFLEAPRLSTIQALLLLLKAREAVPKN GYYYRSWQLVKTIVCMAKDLGLHEHHNYHKDGEPCGMEPVDCLVATRVWQTLVIVEVL IGAPQGLNDFAVDVETVDIGTTWNVSGLDAYEMERSRQYAYLVQNALNMRRFIDTHKQ SKRQSDGLKDPRFTANNNALDELIANLPADLQVVFPTEGSSPWLSSHFMGNLNVHFHL SVILQHRSQLTTASDGSRPTSWRTHMTRCYSSAKAIYHLQDAIISTSGLTSLSYMQRG IHFTVYCILTCLMVHSAVLSSSDVELYSDAAVYFSRHMRLLEQCTKKWSLPDIQAQLD IVRATLSVDTTKPFELKSLATQGIPEQSLASKPLQLHIDVPGNKSVLEHSASTVNGLC TSSPPMVFEMLSSCTDIDPQFVTYLDDGINWDPTRLVTQWDLELSSRSLSPASPESVV TPNRNAIDHIFPIQFDSTPGSPFQKEALYCTYDFEQSLMTTVDQKWDGEISGIYTSEG LKRKWEGTDTFLEEPLATQRV UREG_01470 MSPEHAQMWLIDEPTTKLHDAAAPGRERACQLRQKPSGRLFKTA RIQISVTSDVTQSAKRNPAAVDQSQPHMRRCSQRLGDLAKSTDGDSLVDALPIVAEIA GGLSLLLAQRPLFAALKHPNKEFLLFPGHQLKIC UREG_01471 MQSVQNVNPKIISAADLPKRQSPHKATSPSGKDDLFDGTIFASS NFVTPPWKDDPFGTYLEEWESETNSIETDDDIEEPIDEQEIYDLISTIMDPEHPISLG ELAVVSLPDISITPALPQNPSSPLRKVTVLVTPTITHCSLATVIGLGVRVRLEQSLPP RFRIEVKIKEGTHSTGEETNKQLADKERVAAAAENTALMSLIDKMLELCK UREG_01472 MDDLYDEFGNYIGGAEESEEEEQHGEVPARAYAYESESEEGEEA SAAPDQQLMEIDEQGPSNAVVLHEDKQYYPTAQQVYGTEVETLVEEEDAQPLSQPIIA PVQQKKFAVQEADLPPVFYSREFMTDMLNFPDQIRNIALVGHLHHGKTAFMDTLVMET HDIAAKLDRRTGKARDEQLRYTDVHLLERERGLSIKSSPMSLVLQGTKGKSYLLNILD TPGHVNFVDEVAASLRLVDGVVLVVDVVEGVQINTEQIIKYAVLEDLPLTLVVNKMDR LILELKLHPTDAYFKLKHVIEEVNTVIEKTLPGQGERRRLSPEKGNVAFACTAMNWCF TLQSFAKMYADTYSKIDISEFAIRLWGDIFYSPKSRKFTRKGVEESSKRSFVHFVLEP IYKIFSHTISESPEDLKETLATLGISLKPSQLKSDAKVLLNLVCEQFFGPVGGFVDMI VEHIPSPLEGAPKFLEKYYTGPLDTKVAAGMSSCDQNGQLVVHVTKLFNTVDAAGFHA FGRIMSGTARPGQQVRVLGQEYTVDDEEDMLVATIIDTFIAESRYNIPTSGVPAGNWV LLSGIDNSIVKTATLVPLRLEDDEDAYIFKPIHHMTESVFKVAVEPINPSELPKMLEG LRKVNKSYPLISTKVEESGEHIVLGTGELYMDCVLHDLRRLYAEMELKVSDPVTRFCE TVVETSAIMCYAMTPNKKNKITMVAEPLDDGIAEDIEAGHVRIRDPTRKVAKFFEEKY DWDKLAARSIWAFGPDDMGPNILQDDTLPSQVDKKQLATVRDSIRQGFSWGTREGPLC EEPIRNTKFRLTDISLADQAIFRGGGQIIPTARRAIYSSFLMASPRLMEPIYTCSMIG PADSVASIYTVLSRRRGHVLTDGPIAGTPLYSVRGLIPVIDSFGFETDLRIHTQGQAT VSLVFDKWSVVPGDPLDREVKLRPLEMASAMATARDFVLKTRRRKGLAEDVTVSKFLE PELWKGLKESGILGEG UREG_01473 MSSRPPVYIVSAARTPLGSFLGALSSLTAPQLGSHAIKAALARA PGINPEDVEEVFFGNVLSAKQCALGAGLSDSTVCTTVNKVCASGLKAVILGAQTIMTG NADIVVAGGAESMSNTPHYIPNMRNGAKYGHQTLVDGIMKDGLSDAYGKKELMGLQAE ECAEDYGFTREQQDEYAIRTYERAQAAQKSGGFDFEIAPIEIPGVRGKPATLVEKDEE PKNLHHDKLRAIKPAFIPNVGTVTAPNSSPLNDGAAAVVLVSESKLKELNLKPLAKIL GWGDAAKAPSKFTTAPSLAIPKALKHAGVEQSAIDAFEINEAFSVVALANLKLLNLSE DKVNIHGGAVALGHPLGASGARILTTLLGVLREKKGKLGCVGICNGGGGASAMVVEYL Q UREG_01474 MAEYVGPHISSLADVPVSLLRAELERRSLNTRAQGVAPGQPQPG TRPACGSTTKSGSYNTPIHVIALFLILVLSTLGGFYRAQFRPYPAERWLTWTWSLACS FPIIARRFPRLPIPRRFLFLSRHFGTGVLIATAFVHLLPTAFISLTNPCLPHFWNRGY PATAGLVAMVAVMFVVTIEMFFAMRGAGHMHGSEYDTLMDTASHDHHHEDLGSRGDDE DLGHNAHISRIRRGKRRTSRGSHPVSSASEENLINGVSPMSNKDLESRHQVNDESLPL EELDPFPDDSSDFDSIPSRASHPSRTHRHSHSHSHFNTSRSQHAQKQLIQCLLLEAGI LFHSVFIGMALSVATGANFIVLLVAISFHQTFEGFALGARIASLIPDLFPASSPKPWL MALAYGTTTPIGQAIGLGLHTLYDPASETGLLTVGMTNAFSSGLLLFAGLVELLAEDF LSDRSYETLRGRNRVEACLAVAGGAALMALVGAFA UREG_01475 MIQIQASTRISHFTRLIPRVHLHRRSHTAATAPDPHEDLFRYTT GRWLWGEAEQLRKRYRRFNVVELQNAATRALEGSPKCVSMSKVSEGNSNRVFRLQMDD GRVVVARIPMPNAGPERYTTASEAATMEFEPEGTQLGELWRDMKPFERKTLIESIVGL EQKLLSVGLNRSGSIYFAESGFEGCKTAEIITDAPSSLRDYVKDRFVIGPSVDEEYWE NQKANMAIDRGPWDTAEEYVKAIAHREMAWIPRYGSEYPRDARFTYDDGQQSPEAHID LLQRYLSVISMLLPKKRDLLRPTLWHYCIDEQNVFVRNGVVSGLVDWQSTLVAPLVLQ ARVPWLVRYRRKKVFKRPDNFKELDEADQEKVLTQIARTTQQDFYLTQAALENPLLSR ALDLPQSEFLKYLVSFAGWSWNNDNGFLNLRESLLKVIRRWELFNMEDPCPYRFSNEE IEQHRKDGEGFNEYQDFWDELEGVVDREGFTFPETFDAAVDFFSDLRDVMLKEAEGKN REELDLWTRWVLERKQEREAKK UREG_01476 MHIKLAVGAALAASISTVSAQACSQGAAEFLGGNWYCSSVKSIA YMNFESSGSYDEVTGMDGGHCTSQKKQFSGPMAPLDGEVSWHFRGPLHLKKFAYYTLG GNDKRDAKPSFHSRRHGHGHFHRRAEEKRAVGDVVTATINGEVVTWRNDYNGAAPTPA AGSGSGSGVLKNKTPSGGDTRKKTQPVKVGSGEWGRQGYYDAEKGVADGLTFLNHHGG QGSGVFDYQLGNSLSYASEDGQSGSASPVVLKDAVLPDNKEIIIMTDKECKGDSCGTV RPGTVAYHGFDGASKLFLAEFSMPMTGKTGFNMDMPAAWILNAAIPRTLQYGKPECSC WKSGCGEFDVLEILDSGNTRAKSTLHGHLNGGDSHFFERPTEKAIKVAVVFNAAGSSA HIKILDDDVEFSPVMSSDKVADFCKEAPSNSIFNLSG UREG_01477 MSCQLCGRSPGSSLPFYCLTCARNQMYPLRFEIAKTLLEKEAAG QQIEEAVSDATRTDEGENERPGLSRWPNEPSKIAIETMRTLTTQSEIRKEAIQVQIAR LRAEIEEGKEEIAKRRAALAKRRSDAESANYQLSERRAATLNSVQNEAKKIENAWNSL HAKTAESRMFLCREVASLYNLRQKSRRRNGEIISTYSIGGVNMVDPRDMNGASPAQIT TSLSHIAHLLVLVSHYLALRLPAEITLPHRGYPLPTIFPSGSSYLAKDVPFPGLSPSN SFSPATTRGSDSRPLPRPRPLFLDRSLPKLAKEDPAGYTLFLEGVSLLAWNVSWVCRS QGLQIGQDSWEDVCEMGRNLWQLLVAPPALAKALAGRDLQVKPSNSKETTRGTLQRTK SLPLLGHYSHGTAHSFLGNAEGNEFAKTWKLPSALKVADKLKSTLLGEIASAEWELLE QDEWDEASAAQAEDGVVNGKRASSGEDDRGDADTRSTITVKAVVEDEECDVVQKEPGE PATPTDTRPKGTKGWTKVKSR UREG_01478 MGETPSDADKDLLQRLNALKPSTVQLEFGNASWGDSPEHSDDEN EIYADKAYLLAAQPSQLDNNKSGGHYRYLYSVPEETEQEEEEDVEELLATLRSRETWK LEHELQTEGEIKSLVDEAKKFVLYCQSSFGSPLQSDWG UREG_01479 MALLQSTLIWIVYAIVIGILIVVASTFVYVYQTPRDRSAAVTTV CIFTLIALLATVLLLPVDVALVSSTTSSKTGQRKEWASQREVDKITSSLTVVYYFLYT LDAVLCLLIVPFTYFWYEEYDEIAHEEGWQTTGKQFWGAFKYTLVFILLTIILFLVGF FVPVAKDRKGAHFDLDYFKKLLTENHGERALTFALGLLIVVGIITYVIYSSTGLAFFP VSFIKSSPSISSPALSASLDSRLDENNERQRQLEGRCGGNPEHLSPKDRRELDSLVRE ERTLRRRKRLAEASRGQGKSRIIRMWYKLCAVFRPVKLLGGLLLLAFSIVIWVSMLLT CIDKAKNSVCKQKCGYILGRINIINPINWALVKSASVFPTDYIIFIVLALYLFISSVV GISAVGIRFLWVRIFRIRKGHTSPQALLLATVILALITLALNYSISMIVVPQYATYGP QTYCDPPSVMNTVALDCEKHKEYLKPCSELASSHAAKAVCTPSVASTFLNRITINFPF FGMVDFWAQFFFLGLSLVIFITSLFRAPRLDEQLIDEDAEEAEEEGLLAATGRRFGAT WQDITGRVYDQPERHSGSAGRRVRIDEE UREG_01480 MQCYTELLPPSGATHAVSLPFISATSNNLIVAKTSVLQVFSLVN VAYGASTSPSTDDKTRVERQQYTRLVLLAEYDLPGTVTGLGRVKTLDSKSGGEALLVA TRNAKLSLVEWDHERHGISTVSIHYYEREDLHNSPWTPDLKLCPSLLAVDPSSRCAIL NFGIHSVAILPFHQTGDDLVMDDFDEDLRGEKPEDMDNALVESTAANDVARHKTPYAS SFVLPLTALDPALVHPIHLAFLYEYREPTFGILYSHVATSFALLGERKDVVSYAVFTL DIQQRTSTTLVTVSRLPSDLWNVVPLPPPIGGSLLIGSNELIHVDQAGKTNAVGVNEF ARQASEFSMADQSDLELRLEGCVIEQLGTESGDIALVLASGRMAIVRFKVDGRSVSGI FVQLVSTQAGGSILKARPSCSASLGRGKIFLGSEETDSVLVGWTRPSQSIKRLKRDSS GPRAGETDTDDDEDDIYEDDLYSTPTNQTTVPKTVSQTNGLIKDEFVFRCHDRLWSLG PMKDITLGRTPGTRDQASKKTSKPSTDLELVVTHGQGDAGGLTILRKELDPYIIDSMK MDNVDGVWSVQIAPSNTSNPSTTSRNYDKYLVFSKSRGHAKEQSVVYTVGGNGIDEMK APEFNPNEDHTVDIGTLAGGTRVVQVLTSEVRSYDTDLALAQIYPVWDEDTSDELSVT GASFAEPYLLITRDDQSLLLLQPDSSGDLDEVNIDGLLTSNKWLCGCLYFDKHHTFIP NRGQENSSSENILLVLLRTDYTLFIFSLPNLSNPLCSLGGVDFLPPMLSCEPFRKRAT YRETLSEVLMADLGDSISRQPYMILRTTHDDLVIYQPYYTKPSLEQPELRFLKITDYF LPKVDPASNMDNTNRTSFARLRAIPDLCGYKTMFMPGSNPCFIMKSSTSSPHVLRLKG EPVSSLSSFHMPACEKGFAYVDAKNMVRMCRLPGNTRFDNAWAARKIHIGEQVDCVEY FARSETYVLGTSYHEDFKLPEDDEVHTEWRSEVISFMPQLDRGRVKLLSPRTWSIIDC YDLGATERILCLKTINMEVSEITHERQDMVVVGTAIVRGEDITPRGSIYVFEIIDVAP DPDRPETNQKFKLFAKEDVKGAVTAISGIGGQGFLIAAQGQKCLVRGLKEDGSLLPVA FMDMQCYVSVLKELQGTGLCIMGDALKGLWFTGYSVQLSSAVDVETCEEPYKLTLFGK DSEYLQVVAADFLPDDPSSSKGDRLLHRSSFHTGHFISTLTLIPQYTSSGTGASEDNM DVDYMPAGYQVVVTSQSGSVGVITPLTEETYRRLSALQSQLVMSMEHPCGLNPKAYRA VESDGFSGRGLVDGNLLLRWLDMGVQRKAEIAGRVGADLQSIRADLERINGGLDFL UREG_01481 MAFLRFISRSASARLYPTTNIRSFATNPSKWNTSQPGSDTETPR KIPFSAFKRPLAKVFLGSFLTYQIIYVIWLQLECEESKAMMQREFSRLEKEARDLVAA NDAKKS UREG_01482 MRRLTKRTVEDFVKSDSSDEDYDDRTARTRKATASKAKSKKHPA KKQKRRDDSDDELSEISDDISMDDEIEEDEEDPDVERTARGYVKRQAAKKATSFHISS GSEDDFEEDQDEENEEDEEHQPQQTVVKATAGQPTPRLLLKLHVSQPQPKPPVTRTTR STRVRSSSIQEPETQFATRFGNRRVTRQNRDESEDIVALSGSGRHVEMVRQGTRSPEV ATRTRKTVQTAVTTGAIAEEEEEEEEDNNEAEHFMADGQIATPEREQDVVKGSQLEVL ESDPQRGFEDELFAVVASDHIHSVPGTQKPEDQEMVDETTVPESEHGAEAGEEDDEDD DGPRSGRRTRQQRQRDEPVEEIEEEVETGAKETPRLRRSSRKNAGKIPRKQQDDGSDF EPAEDEAVDDDDLSDSQPSHASPRKQNQILDEVEESSNGRRSGLRKRGGNSQAGAESE ADELAEELAELKRSRPRRRLKPDIVYEKPRRTRKSVDYRIIRPELALPIEEAENEVTE SPSRRPRGGGGGWQRSLFSTYGPFGGGGGPPPLLGGPHPLGAIGGVESDSSDDDAAQR PKPGGLTGVPSGSGLGNTGNLGVPGQLHNNDAAQGPSGTPANLGKVKDRQALADSDPL GVDPNVNFDSVGGMQGHIDQLKEMVSLPLLYPEVFQKLRIVPPRGVLFHGPPGTGKTL LARALATSVSTEGKKVTFYMRKGADALSKWVGEAERQLRLLFEEARKNQPSIIFFDEI DGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRPDSIDPALRRPGRFD REFYFPLPNTEARRAIIDIHTKSWDPALSNEIKDELAQLTKGYGGADLRALCTEAALN AVQRIYPQIYLSKEKLLIDPAKIRVTPKDFMISLKKIVPSSERSASSGSSPLPPAVEP LLRHPLRELKEIISRILPQKKSLTALEEAQYEQPEDDAAFRHERVQQAFERSRVFRPR LLIRGRPGMGQQYLAAAILQHFEGVHVQSFDLPTLLSDPVKSPETAIVHLFAEVKRHK PSVIYIPNIETWYHTVERTVISTFLGLLRSLPPTDPILLLGVLESEEEADHEMMKSLF GFSQKSRYNLHAPKEAWRHQFFESTITYIATAPNDFPDPENRKKRKLETLQKAPPVPA AGPAPLSKEELKAQKKKDRQTLNLLKIRIQPIMDQIKRSYKRFRVGPVDEAQIRYLFD DDDPNIVTSDLPLEQRTTFRPFERDEDKAGVPGLREVVSGKFYYNMDTVIIEKRLSNG YYKRPKDFLLDIKRLAKDAKMLDDPDRLLKANELLSNVEVDIGLIEQTEPALVAECEN VYFRELERERRAIERAKQAESTHNTMGPPPSMNVAHGVESSNQSSGPVVLGETFGPSG NGYPARPVTPQTGSSSLTNGVPPHDGSDVHHSGPRPISNGSTSQFNQSGDGDGDIQMS NTEDTSGFSRETQNSSFGQSAQPRPPHSYTAPSQQLLQQSGLSNLSQKGTMTPMAPGS QPGDYVNDASTTQTTSDKKNSGPSETQLHTQTSLNFSMRHEGPDLNLYPYRVSGDEHL PDTQQGESAWGSQQTPLSGGEYSQISLLNGSQSQPKNSQPGSQQPPIPLFHATPRTNN QLQGILNPEDSPRSPELVVDHTLTKQLHLELTTKTDGFSVEHLEQINTSLMDCIWNLR GEWNRRHVAEAVKRAFAEVLHDIDLMQEIN UREG_01483 MVDWVSLVIPFAYLGVLIGSLATFSSLYRKRKAVKSASLKPWFP SHIQRDVYFSLLHMGSPSDIKEKKKPPVPETVLKAALLRRAAEDLKRLLQLRNQKPAL GSLLQKGSVGDELWQQFSRAEKELEDEFRDVVAEANAFVPGWGQTIFQSANEMTLNAI FRQRVEDIQMTAKQEREWWDRKKASIQEGFMKELEQEEQQGGTASVSAEKKPASSTSG SVAGDKLISDDDTVLVEGGGPAVNASGAGGAKKKKKGKK UREG_01484 MRRLTLQGARWHQHGISIRCINPASLLFAPTRRPFSSTFRPYDE DKKQGDVVRYYEQSTLGSTDRIEIDLDAEDLAEEKALKARIAELEQQLAEIKQEPFAP NSPLMQRFSEEERVKVLEALRKYDTEHKDEEVQLEEEEAKLDTKYDDIMGQKFKELKD QQEYLWDPTKFPDEPSPAPKKAFEVELTVPDTQQASIARFNQTLNALRSNPSFPQRQD AWRSYRRCKEALPFFLDMIPEEGLQMLWRSQVPHSHEESSRLLHWETLGDDILSSGRD LGATQWLEYLGLLRQNGKSEKALSLWEGRAQDIRQCSPEDIEKYLKLGIQIHVALNKP ERARDIAMAYFAADTSRDPRVLIPVITSWAQKSTQKAKEEAWTLYLQVKTMLGPDINM QDYDSISTGLLKAGQVELAMAVFKDMMLTGQQSSSESMCLFKANLGLYDHLHASSISA SDVNKVSLAALTILPRKFQNKFFYASWIKKLIGMNEVDAAASVVELMYERGVKPDSKH LNGIIGAWLRAGSPSARDKAEQLGWAMIQKRIDWICQNKSSEPSIHDMERHINIPKHM QRPVPAANIETFSILLLHYTRRDREDMVNHLTECLNKAQIKPNTFFMNHLLYRELRKQ NISGVWTRYNEMTSTIKPDLETFACLYDCGKIQYDRTRFNFDAKFPPARSLYKEMIQW FNNTTAHEQKKTKQAFSKELYDQIVRCFCLSLDPQGALVALRSMKQIFGFSPDLDTTR ILIFLLVRLAPAQPGISKPRRRRIASTPRAKENFEQISQLLAAVKDRKVAVMSRQGLE LDELGEEEKKTFQVELLCDLLRLVMGRIKGNAEKTEKSIQAAAEEMGVSEVDLGVLSD LELP UREG_01485 MITLGTNISAIYGLLDRLRSAMRADGTAQVAILEAGDATNLKTV LKSLIRGVLADGTVEEFGGDPTPRPLGPKLLPYDLELLHGYVQQNIDQKIVVAFKDSE AFDCTVLNDLISLLWSWHDRIPFVFLFGVATSLDLFEARLPRSTKIISKVPNGLALLL RHAQTLLDDKDSKIVRKLLDDDKFLAKEALKHVKMGQEAMARMFKCVRALKTTHGCVK VTKSPNLSTIIIKALGGELYDSKIVGETLSAIKKITSDSLKSLLVVLAEALPQTSDLS RQLQILLAGKKGMDPLRTQYDDSRVTHKTTIVAQRLKLTKGKVNLSQEEAEYTKIVDL LHAAFQAYLIDNLIQPTSLFMHEVFLYDFKNPVRDTFAPRPRFTAERALSNPSDYLAF GSDKTFENLSAYQPATTLLYQLYLESGALVNIYDLWWAFYTIIGGEDGENCNERTALG MFYRAASELKMMGMVKLSRRKTDHLAKLSWIGL UREG_01486 MLTYTLLYGSALRIVSERATKGPTRDLLLEDLSSGDPTRRHKAL NALHFLVSSRPQEHIEENSTTISPILPKTRPLGENKALATLCALLPDNVTVALEAGVI NRWLAKYPFPCKAEPEKNNVVVYMRIWSADDPLMSSIVGTLATHREGVRQLRRHGLMG SRMEEHKHDIFVPRNEHYEALFPINRNPERDEDSDSDIWMLNGEDTAGAQPWTWERPQ MGPTTDQALRRRRREAMVFSEGGGPLDRGNIIEPREYGNFGNRLADDDLDVSAAALMS YPMGPN UREG_01487 MAPSQLKQLKASLRDKGIISQQQSKKQRKQAAKSGAAAAGRIHR NAVLQELREQFNPFEARTPARPAKFAVTTNKGTSDPVRHRPGVTRGLGEQRRKETLLK EIHSRNKIGILVDRRFGENDPTMTPEERAAERFARESQRRLKKESMFNLEDDEDEEMV LTHGGQTLTFDETAGDDFEEDDLNGSEEDDESGKEKKRKRLVEEDDMEGLAEASDEEG QPERKKSKQEVMKEVIAKSKMYKYERQKAKEDDDDLRATLDKGLPTLFEVMRNTKLPE PPRQEQAPEPTMNPDRAALLNGKDRETADKEYDQRLKQMVFDKRSKPSERTKTEEEIA EDEATRLRQLEVERLRRMQGEDDEESADDRDGDLEEDADANDAMQFGLHQLTTRPDLD VEDEDDFILDEDLIETGSAVDLSFSDSDAHDSSEEDKGENDDDEFINGLTLPPGAERI GTDRGETSPEDPSLAYTYPCPETHDEFLAILKDVKMDDLPTVVQRIRALHHPRLSEGN KAKLGKFSKILVEHVTYLANQPEAPSFTVLESLLRHIHSLAKSHPEDVSIGFRAHLRE MAVDRPVSLLPGDLIILTGISTIFPTSDHFHMVATPAMLSMGRYLGQHNIRTLGDLVT GTYVTSLCLQYQTLSKRYIPELANYVLNALCILAPTSPKTGLGSFPVRMPSEPMRLGS VNEATEITKPQFWDILANSSLSEDQAESLKLSLIKTLTSILGTASALWATKSAFYEVF DPAQAVLKHLMASCAGKLPTVLSDHIQQVYSDVKLRLSEARHSRRPLLLHNHKPLAIK TAIPKFEESFNPDKHYDPDRERAELNKLKAEHKRERKGAMRELRKDANFIARESLREK RERDAEYERKYRRLVAEIQSEEGREANAYEREKKIRKGKR UREG_01488 MPPQVQNGAMYAYPDKAAIWLSKFRGETHWAVYMREFNSIFFPN DNSMEFPPLPQIHIDFDDSHGVVSNFNKILTNPKSYILSIIPDQNQIQVYVENYLCTV ERIYRILHVPSFRKEVDAFWANDQAPRSDWLAQFLMVIGIGCLTTPHANIKRVARILK AAETCLLQMHHILNPTFLTINALCMMVISKHIGAMSCHEYDSCGPLMGIVMRHAMSLG LHCDPTFSGNKVSPFEAEMRRRMWTTIVHLELQQSIVSGAPPLLKKGDYSTLPPSNLN DEDLDPSREEAFVPAPDFEFTDSSFQIILANSFTTAFEIVCAANSLSEIINYDRVTSL DALIREFLMETCLLRNSLPMWSVSVEKHWKALQISTLELTFRRLLLILHQRYARQREA NIEYPTSYWSALECSLAILVHQRQVHEDPVHNESAKWFAELFKSDFFMAIMMVGIQLC RRDNPVSDKREPTAKSSGCYNPIVSPRSTILQTLKWCQDIWSSKLARSFCQSKVNDII GRIIITVELET UREG_01489 MEDPYNSASPSSTPPSSRPLSPLLRSSDSKAAGLKPIRKRWKMT RKRLAICILALLVPAACAATGTAIALKKRCTPSCRAEGGCRDLGYAKYQGVRDPHGVT SWYGMRYAAPPIGELRFAAPQDPGKVDGIQLANVNRNRCLSTSTRTNSQVESEDCLFV DVFAPTNATENSKLPVYFFIQGGGFNQNANPKLNASGLIQASGGNMVVVGFNYRVGLY GFLASKEIEKHASLNNGLKDQIKALEWVKRHIKKFGGNPDHVVIGGHSAGGASCLFHL TAYGGKKEKCDEALFHGVIAGSPSMGNMFTVSESQFLYDSLVQRTGCDKTEKTLDCLR KMDSRTLQSHNSKQPFPGASKNPLFLYSPTIDGDLVPDYTYRLLQEGKFCKVPVVFGD DQNEGTLFASRRANTVQDTNEFLVAQFPALKKNQLERINRFFPPTQQEFPGSGKYWQQ LANAYGDMRYTCPKIATIESFTESNDPNQVWSYRYAVEDPIFVKSGLGTPHTAELEAI WGPDYNPGKSPGSYYTTNKGVVPVVQGYWSSFIRALNPNTHRANGAPEWKTWNVSSSD PQAEGFRELFIRTGDTKMRVVDSEQREICDYLISIGVDIKQ UREG_01490 MSLRPGYPKTEESAAKAMEDDEEEDYMSMAIIEPATSGAKETFA QKRLRKQRESEAKARVPSKAELAAADAARRDAALATSALDPSNKGYQMMARLGYKPGT ALGKDYTTQHPSGRDEWNRPIIEPLKIHMKEDRGGIGMDTEKKRKFMQEVSEEAKKVK TEQVDFRERVRLEREERRAEAQFRAAQKVAERLDTAAEEEDSGREPVPIAQTNEKATD ETTDAHDVKEKRKKNRPPKRMSQINVLYRGLVRAREEKVLEDQAQRRRYDSLSSRDDS FFSRNMSGLPTYNDTDLDVDDKLALSRNAAGEIVETECDLEEDEELEEFNALVPQERL NRIVVYLREKHNYCFWCKYQYETPEMSGCPGLTEEDHD UREG_01491 MGFSKISSKISHKFVNKRKNSSSKKGKKTAFRATLPDIQEQQEP GSTGSATITPSTTFKWIEEDERAKMPPLITDDNSEARSESMASSETSATVVSKCPAAA PIKRSHLLCFTAHSTFTRCRNTHHSLPCMTCKRGGFPHLYKCTFCSLRVCRECMGTLN GFPGHSLQMLLQYLGVEVNCH UREG_01492 MLGDPQSLEPPPPFAKQLAPYIRTRGEALKVRRALTEYLQAQVT FSHDNIGSHLSLCAPHNVACVKRIPPELGNGLRAQYLRALQANIAARKEYSELLKETQ TLTENLARRDNEETPVPDGEELRIYLSLLQSRQQQRKIQICQHYLDKLNAMEAAQSEY LDTSREMKDILSSSQKFALHHEGAGYTTSPGSRPDTLLHDLERTVLVSKGQAEDEKEL LGRLRARIQAKRAEQRDTCPQAKLKAILRIRDELVQWVEQTLATSGMEDASIIEEEQN YKTTGAHQAPSLLEDLKIESEAQYAAYISARRRLLEAVSAASNLPMKSPSRPMHRKSK QRETERSFADIDIIFPYVQEHLSPLSRSQKVSTVHRSFLAALLSKETSTAERAFERLQ SESHLLPEYPIFAKQPRSKHASSINSRSAPTQDSALVVHAQAWAFASNAAQDGTKEHI HRQLASGTQMAQRSETTLRNVYDILNQDYDDATSPQDQTGKSDEATWAPNARLSRGRM ITTRSEKRPKGPVVWGYTAKVGVTGD UREG_01493 MALTARCGQRAVSQLLRQRCLSSIERSAPSSLKAFCTQTPPKGL HPRPQLQAFASWRSTRSFSRSARNLASAQADPLSATSYSAKASVQGPDLVNVKKVLVI GSGGLSIGQAGEFDYSGSQALKALKEAGVKSVLINPNIATIQTDHKLADEVYYLPVTP EYVTHVIEKEKPDGIFLSFGGQTALNLGVQMNRLGIFEKYGVKVLGTSVRTLETSEDR DLFAKALNEINIPIAESIAVSTVDEALDAADKIGYPIIVRSAYALGGLGSGFANNAEE LKNLSSRSLTLAPQILVEKSLKGWKEVEYEVVRDASNNCITVCNMENFDPLGIHTGDS IVVAPSQTLSDEEYHMLRTAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSR SSALASKATGYPLAYTAAKIGLGHALPDLPNAVTKTTTANFEPSLDYIVTKIPRWDLS KFQHVKRDIGSSMKSVGEVMAIGRTFEESFQKAIRQVDPKFVGFQGDKFENLDEVLKN PTDRRWLAVGQAMLHENYSVDKVHELTKIDKWFLFKLQNIVDMQNALKDLGSLFGIKK EMMLRAKKMGFSDKQIALCVGSTEQEVRTRRKSFGIHPWVKKIDTLAAEFPADTNYLY TTYNATSHDVTFDDHGTIILGSGVYRIGSSVEFDWCAVNATLSLRNMGKKTVMINYNP ETYSTDFDTADKLYFEELSYERVMDIYELESASGVVVSVGGQLPQNIALKLQETGGAH VLGTDPKDIDRAEDRHKFSQTLDSIGVDQPAWKELTSVAEAEAFAESVGYPVLVRPSY VLSGAAMSVIRSQDELHDKLISASDVSPDHPVVITKFIEGAQEIDVDAVASGGKLLLH AVSEHVEAAGVHSGDATLVLPPVNLDESIMSRVKEIAEKVAKAFSITGPFNMQIIKAD SPDSSEPQLKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGRDVPEPDDIMAVKRD YLATKVPQFSWTRLAGADPYLGVEMASTGEIACFGKDLVEAYWASLQSTMNFRMPEPG EGLLFGGDTDVLTELPRIVDFVQPLGYKLFAASRKVKEYLEKSAKSKVDVQVIEFPKE DKRALREVFQKYDIRGVFNIAKERGKTLLDEDYVMRRNAVDFGVPLFMEPKTALLFAQ CMHEKLPRSEGIPSEVRSWSNFVGTKTL UREG_01494 MKSTNEGTLRAEPRETPDAGTGLFATTEITAGHPILELDTWLTV LDTTRLADTCSSCFGVKTLRDREVDGTPEACQVSNWAAVHKHECKIFKKLHPNILPTN SRVVLRIIIFKTYRQDDPGGNMQRFDALESHQIQTLKSKPEYFQKLALSARAVREYSG TELSLHKIIEYFCKLDINAFTLTTPFYDHVGAAIEPLAALCNHSCSPNAATDFDKGKI WVRALRDIGKGEQVFVSYIETTDPYAHRQSELLKRYYFNCKCNKCEIEKNAPDTHFLR AITAVDSKTIQNAQQEAMELFEMVKPGAPSTDSIKNLRSAMSALRRTTLWPLTRQPYV RLRGELIASLMGARQFQSAFVHCVIRHLRVNPVVYPNRWHPISSMHKWVFVKLMRYLT QAGDLGVAEGVDLSKYDLNLFIIIYSVLLDLEATVSNELPTVENIYRDSLADFANSGW TLEMMQSDIEEQWQKLETLADEALQVDEISV UREG_01495 MADTEYNAEEAAELKKKRTFRKFSYRGIDLDQLLDLSSEQLRDV VHARARRRFNRGLKRKPMGLIKKLRKAKQEAKPNEKPELVKTHLRDMIVVPEMIGSVV GIYSGKEFNQVEIKPEMVGHYLGEFSISYKPVKHGRPGIGATHSSRFIPLK UREG_01496 MKHLAAYLLLGLGGNTSPSASDIKDVLSSVGIDADDARLEKLLA ELDGKDLQELIAEGTTKLASVPSGGAGGAAPAAAGGAGGEAAPAAKEEEKKEEEEESD EDMGFGLFD UREG_01497 MSFLNSVLTSIGTGDASIIPPSTHRQSASAPIPPITTKAPTIRN NNALSLGQKRKADDNVLRPTKVTRITKPSSVSATPQSRPSTPQAPVRTSSASKPATSL TPKSTPPHKSLNTTSSKPPVKGSYADIMAQAKALQQQKPLNVGLIRHQTVAKERMSKA KRERLLKEAKQRELEASKGKKPNMSGGSPALASRFKADRLHLRKSSSEPDYKGTARPS TTPSYTGTSGLPSRRGTKIIEKGSQGKPPRPRIRDEYLGTDEEDEGEDYYDDYSDASS DMEAGVMDVEEEEQAALRLARVEDERELKAEMEAKKAKLERKKKLAALSKSRR UREG_01498 MSAPTRNLLEKVKRLVPPMLEKFHKGIETSIAILLKFPYSFCVR ETPSVEPRANCRDRATWPCGRDWGEHRSHVICEPSAATVIKSYSPNLMVHPILQSTKS LAKTSSTPDPRHHAEPIISFLPRIHVLVIGPGLGRDPMTQKIVVEVMKEARAKHIPMV LDADALMLVQSDPALVKGYDGCILTPNVVEFGRLAEALGVDVSMAEKGRRSDDDGDRK QGQSEACERLSRALDGVMIIQKGMHDVISNGVTSLISDVEGGRKRSGGQGDTLTGSLG TFLAWRKAYHEGLWDTGEEASSKHQEAESRDEVESELHDDAQKMKKKLSPKTTLLLAA WAGSAITRECSRRAYLAKGRSMQASDLTEEVHRAFLDIIGEPEASKL UREG_01499 MALTHAHDSPTADLLAPPSTNVYFANLGGSTGSFQQPAPSAPPW PNYSPSLDPPSVPYPPVTPQQFSAIPPSRRDVWDPVGVTGLPAGNNMSLSQQHPAKRQ RTVRDGETLSDIGAHMHHYLFSDSGYATRSVGTRSVAGPYLMENKFQTTQPLDDVASP SSYSQPDTYLPIVRPFPPSPYPPTIPTVAPSPAPPVAQGLPGDRKPPRPKRRPPKSVH CDLPDCNWTGKTQCDLNQFPTRRKHMDRHEKRFKCDIADCRRREGFATPNDLDRHRKS VHGILPKHGSVKVFKCFVRGCSKRDKIWPRPDNFRQHLTRVHKGCNVKEMVRLSDEWF ESGKDLILAEYLKNPDEGSTLTSQGDIDSQSHINGADLARDGNLSSAGYQFTQTASIH SGSLLHQSFRHTAPTSGPHSIHAPELSEGPQPDDRQAHGFLEQSAASKDGQISLEYSV AHDNSGTGAQFHSHSDQPGSPSTEVLAESAAGIFKALDNEMKKAQLRTRQTMQNSNGN QNKDTSQTPSFLDVLAASGEKEREFFYMQLASKNMSFEATVPEPPSLTQTSESRSTDN RTIKCPDCPTIVRRLCDLRKRHTRPYGCTFTDCDKRFGSKSDWKRHENSKHFQLESWR CHEPEIEQAFKNTGSLDTRECARQFFRSEQFANHLRKDHELGESRIRTCLRINKIGRN GQGQFWCGFCRKLVQLKSEGLDAFQDRFNHIDAEHFKKGQNIEDWLPPSGHLTKGEQK LKDSSSIMDRTSESSSDISLAIDEANTNVDERTVAIPENESRAPAPPAPRPRLQNAAQ VSASTVRPSRVSSQASGFAPHQGFGVRVPGAGGFHGQMYPTASFQPISSQARSSTQSS RSAQRQIIADSLMSFAPPVQQFDPREDHEQCVTCVSFSFHTDDRCTLLFSRADMLFAR ESSATAGSRVHIHWRLKAIVPCACILLVHSASSAN UREG_01500 MENTICLGRAVSLLLLRSAPASQASAALPLRHARSSASSAASYL TAPHLCCLSVSSISLSLSLVVRQSRLVALPSRPLLARYSDSFLSRDPSRRCQTTPRLL ILSQTGIDFIRLFIAIPAPIPRRSSHRPAAAVTRLLRIHEPFHRLLYRLSVWPSWHGY LRPVHDVVEYSEYPILEIHAILLICVSRNSFILPGSACARSPTSLKHVSAPENHNSPT DFSYLEQLYINPEAFDPPSPPHKPADNDNGCAKGYSGTTQLLSPVLTNTPSPSAISNT HPGEHPVRHDQHDANISPTSITHEGRKEVPTLRLPTLATTPYSNAVEVKTSNYPELPP SPVVKISSYSRGDSPSRDEVGLNKSKRKSISSVHLAPGADASDEPDDGDEHDDKIDDC EAKARAMPSSPTLRAADGSWVRNPATGLGGLDPSSRTEDLVPSLKEITAQQELEVKIA DVERWLSFSEANSEVEDNTLIGYQSQKKWRFPGRRRTKSTGDSPLSPRNPNANGQQAT HDTIPGPGVLVIEESEEDEDDSHSSDSSLPRPPDDVDSGSQLGFKEDDFPPTDTISLS SEVPLPRQFIRSRPWQDQPRNQRFGDMKEQPPTSSAAIYRFLRQADNTDTASRRATWG TREVTEADVESLRFESMRINDDGMKEKFSPRTLFGHASKLIPRRSHSGAKRKHSILTH QTQPSVESLETGKSGPSSSALPQRKPSFTRRSKTPSNTSGAFLEMGRQIASVGVTSPI EVQPTKPLAPWSSFMRRSRSRSEVPKGLQITNSKVTQPVPGTMLAAPLYDQNQTAVTS LAHSPLNGNDTDENDHNHDEDEEDLAGDRGVFMDFSIRGDLIVPTLEGFKSHVEQLNP RLQPALVQRIAQEQMRRYKKLVELKLKHAQAVHRRTCPSREHCFAQGGDATILLPKTG AKDVEAAAIGTSHIDPNGGSGENAVTDAMFPSGVPLPPTRRLPAKFECSLCFEVKKFQ KPSDWTKHVHEDIQPFTCTFPECPEPKSFKRKADWVRHENERHRHLEWWACNMLDCTH VCYRKDNFVQHLVREHKMPEPRVNRGKGRGGPIENASPNQMGDDTQGQEVEKTVWDLV ESCRQVTTKQPTEEACRFCGNVCNSWKKLTVHLAKHMEQIAMPVLQLVEERAVLAGNI PVEGGSLTPAPSSFPKPLTREQSTLSTRSKTKNSAQGLVYGTAALLPEAFAVKRPTVS AKKLQMHNALMGSQQYSMSSNQYAVQQPYSQGNSPVLQGPRHSPLAQRQYGGGNGATY PPPFNAPIRQPGAIETPNTGEIPYTLDVDLSAATDPLTGFGGGPLYASPVEHYSYTTS PTGMGYHMEASGSGQGYGYINIADEQRQYGNQ UREG_01501 MAPKKAKKQKDNKEKPDKGNQGKKNSKKQKKDGRQEEHQEQHQD DEQQQQKQEEELQSPQPLDQPQDQAQEQDSTPAEGEPAEVIHTSPLASPKVDPLESPA IETLPHLPEPIATTPLATTVNFAGEDSPSPETAPNQAQPENHFSENEDREEPANTTLN EVEPEAAIEPENQPEQPVEDDSEQKAPEHKIEVEEEFPPTPRVTTPTPNQVEAETESL SLIPRALSPTLNNTEAEPESLSPSPKVATPTLNEVEADIEPQPPAPRTPTPIVDKAPS LPEEADQDPVNVFDSFHKSRESVPTPTEIAPKTQRLPPAAEPRSASPALPSAKPKTSS PPVYGYPQSRSPAPVHSPHYAYSVPYTHPYAPTPVYPNGSFHDVHSGGAMAVSVAPHC SPAVRPSAMDGYHSRIASRGSFSRGHADYPYNGSYHQPKQRGSTPTQQRPAENGNSES LHVEGDTIKLLQRIQNVIPDINRLVTSYRDTQNQLSAHVAQSRQIEEQHERSLMEKEF YIDALQAQIQKTAKENAAEVAKLRNRISELRMELGGLQEQHRDVEDSLEELKKANDEL TLARADLEQEISNLQRTIQEQAATHAQELQRQEQLREVALATQKEELEGYFQEIKNED DRLAAEQLQAREQELYDERDKLNANWEQRLQDLEQSKTEMAADYDGKLQSKQGELDTK QGELESKQAELDAKQAELEAKQGELDAKQEELNTAKSDLEAKQAELKAKQGELEAKQA EVDAKQEEISGLKSELESKIAELEGKQHELEGKQAELDSKQTELQSIQAALEDVKTEL EEKKAELESKQAELEAKQNELTAKQAELDDVKEQHAAELATLRAALEEQTNAAKASEE KIAAMTTEQQQKEEEWQKDREDFEAQLRQKAGELEEVLKEKEALALDGKTREEQLQNI VEEMRQTHDNLNKDRERLKKTLHSLGEATDMKIKGDAFFIDCFGDLSRLIVELSKEYF TYIPIEPPGDILAKIPSDLPQFLDNTPASRELRAAYVQHVVSKTLTYRIFQPFLFTLG RRYDKADTFFQMLSIDIRRKSVRREAFWRQQTLRAAYTTSDAKQSINVVAAVIVDEIV DHIRHFTDPKHLDSLLTSVRKIVKLAAETWRLARVERELIVASMPSAQDDQTANELWD EFVYDPASPSPTRESTGARTPLLRMLPHIQREPAHEDFLQPEEAEKANLCVYLPGVIL YTDSPCVMARKEELAKKTVEAPTPADGPVETNGAHSQPNGTATCEDAPEEKAEA UREG_01502 MFLARRSAAPARAFLRRHQPRRYAHSEAHHAEPVNESFGRGFYI AIASIPAGLALYKYSTSDSKNAPWITRLIEEYTPSENLWAKRNALHTLAVEKAASDRH LFHSQNPLLTIDLKYPEMFNAGSPINMPAGNSSGDLRAVISHYQKRQKAQEEDRLARM ENGKCCTFAYNAFPYLSTAGFIALRFNDSGPDDLTQIVMQDRSLHILLGALGIAPKIM PLASSL UREG_01503 MSAAFAFGFGGDDIGIDEDGNESGLTDEHQRVAQTKRETPGQPD QEIQEAQKIGLDEIFSSLPSQISFNNLSISDPSLRPSTIRIPRRDVFDIRAQLMAEDD SEENANERLISGLETGDITPAVYEGGFKTWESAIDLSTTAITKYGSSLENGTHDLEFI ELGAGTAIPSLVFLRLFLRNQRSADGTTQPPKRKINFTFADYNAAVLKLVTFPNILLT WYTCCKNPTAEALSELEIDSSLLDSFRHDLSTRGITLSFISGGWSPCFVDLVASESSP TNQINTQETFILASETIYSPASIRPFAETVTALMRRKTGFGSDSAQDKATARALVAAK KVYFGVGGGVDEFLETLKGVAGENLQVRQLNDIGDQGVVRVVLEITV UREG_01504 MDDTISPSVLPVRGPAHHEPVETPPRAPSPVHRFGTLAVHAGSP IEPTTGAVISPISLSTTFAQTGVGKPMGEYEYTRSANPNRDQFEKAVAALEHARYALA FSSGSAATANILQSLASGSHVVSVSDVYGGTHRYFTKVAAAHGIEVTFSPCIELHIET LIRPDETRLIWIETPSNPTLGLVDIRKVATIAHQHGILVVVDNTFLSPYIQNPLDHGA DIVMHSVTKYINGHSDVLMGVAAFNSDDLKNRLTFLQNAIGAVPSPFDCWLAHRGLKT LHLRAREATKNATTVAHALESSPHVIAVNYPGIKSHPHHAIALKQHRDGMGGGMLSFR IKGGQEAAERFCQATQIFVLAESLGGVESLVEVPGSMTHAGIPKEQREVAGVFDDLVR LSCGVEDSEDLKADVLQALEKAIVLPKLNKLNGVANGVAS UREG_01505 MEVHVLLTSAISPEDFSKFSAIAIEDNINEKYANKVIQNVGLCM GFYDMLESSDGLIGHGTGLVNVNVCSIFEEPTDTLQVGLEFFSDILIPPSLLLDGARF DYTDQVWVWDNGEGSTFYFDIGETVRFRVEAEEWHDQIPNAPDTPDTSTVGERKAPYS IIGSMQIAGLGLVAWW UREG_01506 MEMKLVSADTLVQDGIERDVAAEPVAANGDEQGQHKIKVDETAE ALSGIDNLSTDTSSVDTSDLSDETSSSSDSSSDDSDGDSAPEEMTSKRLRPDRVPPPP RKHKNPCHQFVKTGRCRRGENCRYSHEIPDKTRRATKDAGSEPKRPSLFQMLVDQQKE DEARRVMQAIAWLGERGMLDERAAGEGVAGGEEAAKG UREG_01507 MRSAIVIALGAFAALVSAARENPFNVPRGGYQFTANQPTTLTWQ PTTDGTVTIKLQKGDEITPDSGIVIARKFLLLLLLSPCASLS UREG_01508 MGKKIKSKAKAKVATDNEASGTDAVPPSLFGNKDAVIDPMLASL FANSAGPIKSPELKQSLRSSGDKDNASKPAKKAERGDRNNSNLTGSEEPEPGSEDGDS VDNDDEMPDVSSEGENDTDKGAENDQELDASPEVSAKSRKRKRGEREEALEDSYMRKL VKEEEKDSKKRAAGKETKRQKATSDATNDPEDEDSASGEETDEGDGIDGPDSNPPPVH ESLSGSLDPEGLQKSARTVFLGNVSTEAIKSKAAKKTLLAHLSAFFPSLPESSTPHKI ESIRFRSTAFATAAVPKRAAFAKKELMDSTTQSTNAYVVYTTAAAARKAISLNGTIVL ERHLRVDSIAHPAPIDNKRCVFVGNLGFVDEETALADGDAEKKRKKYTPPADVEEGLW RTFNQHAGPVESVRVVRDPSTRVGKGFAYVQFRDQNGVEAALLLDGKKFPPMLPRKLR VVRAKRISNKRNDVGNSNTNRTRIGGADPGLKGRRAAKLLGRAGAAQLRSSAREEMRK EKRGSDATASPFVFEGHRAVEGKIGTGLKAKTKNRGRPKTRSARRAKTFRDSKKSSK UREG_01509 MSPKQERFGSEIDSEAETERIEDSPSRLRNRSSIVLSATAYGAS PSKLAQSTTYDDLDEDDAVETEASPSKPPRDTRVNGITNNSKRTAADPAGLSTASREL IGKKRKRGDSAVNGTEEIDNEEPLRKRRGSPSGDAAGLETEQEAAADEAEMESKEKEP LSTDEVSPLNEEQPDDTRPSALRGKKGKKGKRKGKKSRDIYEETGENGLGDDSQANGD EQLPEDEDNGEVIDGTDDPEAALKMEESMKKTTAMDVLLSLERQFASLRDKIYDDRIA SINEELAQLEKPNPTHPEFLRQLRIIEHHRDEKMAIDEKLFAYKLRSLCIKSQAERSQ VNSSYYQNVRDIRERYLQEISEHHYRVQQDRFQTSETSVGYGIPFPTRRAQQAAQQAA YSAEVGILAGTAKYVGFPSAPDMKGLQRNEIEEDFGKLGISLRPTNVNSLPHPPFSQQ HDYPSIPSAAVTRQAAEEEFIEQTPWANPQHPIHEQFRHQMQQGIISDHQRFSNPFST PAAQQRTVDLHAPNGSASTIPEHPSAPNSSAANTPYDYERTRRNLGTAMAVADPADSR GAEGDDLEKPSTFRSLSSSPLDVRRPQQKPPPKQEPTRRSPVPTSIEPMGPPSSIRDL PYSPSSSSTLRAGRFGTTPREDQLSSSSSSARQKPQNFSPIHQSAGITAGGSEKVRMN G UREG_01510 MALFKSSYYDKDYRAGAALLRARRPYLVKNGLTGVALFGFCIGV CACLSQHLFCLNRP UREG_01511 MAIPVSNLVEQMSQLDAARNLVLGDAALYPQIVHGIIPIIGSNS RLELRRWGADFLAETFASPTFPQPAKQKLATEILQTIHELLNTPEQDIAVVKNAIQAS ASIYPLVFRHIIDHPEDSAVWENMTAIKLTILKKWDSAPPGIKICCVKFAQRVVQAQT QGVISDPRRPEKNEISLALVPKSHPLIPIPNLEAEASGLLDRLLNAFHENSSDPLLVN ATLNSLSILIRTRPSISNKIVNAILSFNPLKHATTPITPTVKVNIKSMERTTRALLIN LMKRNPNNPLAGRIQQYFDRIAQSRNEIFEEATRKRTLPTEPTDLVDSAKRAKLGVNT PPQLKIPPLPPGPTSFAQLFTLTEDVGLASFDVKQLPIDLLVKITVPVLNRIGAESLD QAIGAVRTRYLTLCKKQVFEQRAQAQAQLRPQQNEEEDDDEYEPEYEPMDIPAAEQPL ASSDSIQVAEVPPDLMTLGPFVLPQPPPLSKTEAVEVGKEAVERVFTMASALDQPSKS KGSGKALGFGRLAASSFDRDSWLTLLTRLGTRASAGLESDVDEEATQIRNGQMHSAAP SQPPTLGGGIRQLLYRYILEDFRVRINVAISWMNEEWYNYNVQVRYAAQQHRDGIDAI SIPNHYSHWVMRLLESILPYLDSRDKILIRFLSELPELDRTLIRKVQTLANDPERVNF YLVLVRPPVKEMCLDALEDLYNTVEETRPLITKILLKFRPGALPDQAKQFTQNMKPQE QSRSTPTLSLGSTQNTTSPVAAYPVPENVDGNSTATNSQQNGQVLKSERTGTAEQSVT AAV UREG_01512 MAATAVDPFLKGLTSSSTRGLLRVIILAFIAAAAISSRLFSVIR FESIIHESFGIGLTTVSLLSRTWHPLGRVTGGTLYPGLMVTSGAIYHLLRFLSLPVDI RNICVLLAPGFSGLTALAMYLLTSEMSVSPSAGLLAAVFIGITPGYISRSVAGSYDNE AIAIFLLVFTFYLWIKALKNGSIMWGALAALFYGYMVSAWGGYVFITNLIPLHAFVLI CMGRYSSRLYIGYTTWYALGTLASMQIPFVGFLPIRNSDHMAALGIFGLLQIVAFVEF LRSQVPGKQFQALLTTLVLVTFGIAFSGLVLLTITGVIAPWSGRFYSLWDTGYAKIHI PIIASVSEHQPTAWPAFFFDLNMLIWLFPAGVYMCFYQLKDEHVFIIIYAVLASYFAG VMVRLMLTLTPVVCVAAALIVSHILDTYLRLDSPDPNAQPKATNEKPTQSSLRAARTR LVGIYSGLSKGLVSASLVVYLLLFVAHCTWVTSMAYSSPSVVLASKLPDGSQYIIDDY REAYYWLRQNTEKNAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTHIATVGKAMSSRE EVSYPILRQHDVDYVLVVYGGLIGYSGDDINKFLWMVRIAEGIWPDEVKERDFFTARG EYRVDDQATPTMRNSLMYKLCYHNLNSLFPKGQAHDRVRGVRLPAEGPQLTTLEEAFT SENWIIRIYKVKDLDNVGRDHNSAVAFEKGHKKKKGTRAKRRGPKILRTE UREG_01513 MLDAPRTLEELQAVLTSAPSATALLDALMDAEGEICLQSDDTAL LQSYYSAYLFALLLRDELTEARMLNRRIPRSLTSADTALETHFRDRTLEDLSLAYGAL RPETAASYLGFDIAPPSTDAMEDVSSPTTSEIIEILVQRGWEYDSATNLLKPIISTSV AGDPELDQVKIGQLAALLGTHGG UREG_01514 MSTMQQRKDEILAKKARLAELKRQRELRQREFSQTRMGIGESSE ILSPTPGRSENRAELDSLISRLVDRPSSAALREGGEASPRGRGSRPNSVLSGGQLSSD TIETYTPPIKPLSQSIATQTTLDLPVTSPRAHPPAPKPEILTYSKAVQTDTWSDPKTR SEDGSETEGEGSPSTPRASKRLSRRARERDEEIRERLRKEIEEELNAAKEPVEGGDLQ QAGQLRYPLRTLTHDELNAVTSSGEFLDFVERSSKVIERALDEEYDVLADYALGGIGA EDEEEDDYRVGKKRREIKQVAQFWDERWSKKRMITDLSFSPKFPELILASYTKNPTAP HDPDGLVKVWNQHLHSRPEYIFHSTSDILTAKFSPFHPNLIIGGSYSGQVLLWDTRSS RAGGGAPVQKTPLSGSGHTHPVYSIAIVGTQNAHNILTASTDGVVCGWTVDMLSQPQE YLELTTPPPSKTEDLAPTTLSFPQSDPTFFLVGTEEGTIYPCHRYDRAGAKAGTDHRL CYRGHAAPVMSTTFHPARGPVDLGDLMLSSSIDWSVKLWRIRPPAATSTASGTTSSTA AMQTVSPILDLTREDVVYDARWSPNRPGVFALVTGAGSLEVWDLCTETEVPTAWATPT SGRGGILTKSLNKVAWEEKEGRRVATGGLDGVVTVFDVGKGLGGGPEEVMAEEWTGMK RLVGRLEQGLDRP UREG_01515 MATESAKRSSGTRSIALSAAKATAAMEEDEGEEETLSIPKHEKA LERGLLRKVDLRLCTIAGILCSLNLIDSGIISSAAVTTMIDDLSLHGNRYSVSIFIFT VSSIAFQLPFTLAIRFVGPRLWFSMTTICFGLITLGTAFVKTWQQMIVLRVLLGMSMS GIYPGLTYLISTWYTRREQQLRYAFMQSSEVTILATGSILNYALNQLDGIAGLQGWRW MFLVQGLIAFGMGIITYWWMIDFPDNAHNSFWFLDEAEIKLATKRIELDRHDAILDKI SWSKIAVNFLDFKLYAFSCLFFLLNIVSTSLSYFLPIILQSGMGFSTNKSILLSSPPY YYAIIPVLLTSLLGDKFRLRGPLIIFNALSLIAGFLMLGLPSSTQVTVRYIGTFLATG AYVSNWAALNAYQANNIVGQWKRATIAAAVTASNGLGGIAGSFIVRSVEAPAYTTAVW VSIGSHILMIAIVGMFSTYFYFANRRQRTGNYVIENLCSSDADCVERASDHGG UREG_01516 MVEYIPAPDPRSLLPPLLACLPAGFASPRPPPPLIPLLSPILRQ RIQLLSSVSPSSSDSWLRLLCWNPERGQELERIVGETTFEPHPVSDVSILKRYGACFL LAEYNLAVVYVWCPGDREGGDPGWRVTEVLPLRSPQGEEAHTWASSITEANEVREPIL HEALADAEHEAGQSNGVDGPDDNDDDDYWAQYDDNLGRTPVRNASPHLAHSRVDVNKQ PQEASDASYYGRYDEVQPAMDHDDPSVDRSGIGSSSLDGEAVSRILRQQMEHIVRRDG RPRTPPPDVDACASSLNHPRPESASSRGSDAVLRLEQTAENQSLSEMGVRDYISSSIR NLHQLARTTGISGDEFGNLVRNELDLLE UREG_01517 MSSSPSSLRQRGGKKAGNLGTPERRSVSPAPPAVSQQPATKPSS EWDYKLALTVITILAFVTRFYKINYPDQVVFDEVHFGKFASYYLQRTYFFDVHPPFAK LLFAFVGWLVGYDGHFLFDNIGDSYVENKVPYVALRSLPATLGSLTVPLVFLIMWESG YSLPACVLSAGLVLFDNAHIGEDRLILLDATLVISMTLSIYCYIRFHKLRHASFSRKW WKWLLLTGISLSCVISTKYVGLFSFVTIGSAVLIDLWDLLNVNRRGGALTMVQFGQHF VSRAFGLIVIPFFFYLFWFQVHFAVLNKSGPGDNFMSPEFQETLSDNAMAAKSVGVQY YDFITIRHRQTKTYLHSHPEKYPLRYEDGRVSSQGQQVTGYPYNDTNNQWQILPSVSF PENDRLNHSVKNGDTIQLRHVGTDTILLTHDVASPFYPTNQEFTTVSHELADGKRHND TLFQIKIEHGKAKEEFRTMASLFKLIHVPTKVAMWTHTTPLPEWGFKQAEINGNKNAQ ESSNIWYAEDIPSLEPGNSRLIREPKQPKHMPFLKKYLELQAAMFHHNNALTASHPYA SEPFQWPFLLRGVSFWTKNDTREQIYFLGNPIGWWIASSLLAIFAGVIGADQLSLRRG VDALEEIWGPGTRSRLYNSTGFFYLCWAAHYFPFYLMGRQRFLHHYLPAHIASCLVTG ALVEFLFNIDPINVENVVGAGGQGRRLGMRRVQMSRQTMMATWAATVAILSAVIWGFW FFAPLTYGTPGLDVDGVKLRKWLSYDLHFAK UREG_01518 MAAVTFAHRTPCLRGLATLPRSWNPAGRAFAQWSPTASAIPSTR RPVQNRRPFHSSPHVSSRPRMTYRIAVSSSGKGRRFSPDKNIYSFDPATHNAIGLQRG RNYLERKLSRPDSGEDAFFVSKINSHPNAFAFGVADGVGGWTQSGVDPADFSHAFCSY MAECASNWDASAHELRARTLMQMGYEQTLVDRSIFAGSSTACIGVARDDGTVQLANLG DSGSVLFRLAAVHHYSTPQTHDFNTPYQLSVMPPLIRMQSAIFGGRQYEDLPQDANVT NYRLQHGDVLLLATDGVYDNLNNQDILKTRYSPG UREG_01519 MNPARRAYVEDATDDTEMGGVDLANIPMDRDYELPASAAGIAPE KASAILSQFSRKRRAAAIPVPTDDGRVRVRLRELGHPITLFGEDTTDRRDRLRGLLLE LEEQQEAVAAAGEAGVDVQMQEPEAQEEGEQEHEEEFYTEGTRDLLEARKRITRFSLP RAKARIELQKEESTIPLRTHIKHRKAIKEKLQSFDLFGSQLAGERPASITRFAPNGES LAVGNWGGGIKLLTVPNLEEKITLRGHTDRVGGISWLPGATLASSNISQDSVNLASGG GEGNVHLWSLNQDTPLSTLSGHSGRVCRVEFHPSGEYLASASFDTTWRLWDVRTSTEL LLQEGHSREVFSLAFNPDGSLLASGGLDSIGRIWDLRTGRTVMILEGHIREIYTLDWG IDSYRVLSGSGDGWVKCWDIRQVRGTGGVGAHKGVVSDLRWYKGTEATSSYLPTLSQG ELNGDVTMSTNPDQVSRHPAQPKKAGTFFVSGGFDKNVNVFSADDWSLVKSLSGHSGN VLSVDVSDDAKWIASCGHDRTVKLWGIEG UREG_01520 MKIALFSVQPHEESSLLEQNKEYQHELVYFKESLSEANVSLASG FRAVSAFVNDQLDSTVMRALAENGTQLVALRCSGYDRVDVKAATANGITVMRVPAYSP EAIAEYTIGMVISLDRRIPHAWQRVRAGNFDLTGFVGHGIHGKTVGVVGTGRIGAGVA QVFKHGFGCKVLAHDLYPNAILQQGGVSYVDLGELLRESDIVCLHCPLTTATRHLINA GTLALMKESAVVVNTSRGGLINTAELLDALEKGIIRGCALDVIEGEEHYFFHGPNENV DTADVFKQLVALPNVIVTGHQAFLTKNAVDTITKTTLKNIHDFESGRVKENVLHPRES LLLPTTNKLLLSCMRESNMDFDEQSFSSPVCSAPMQWFSVGSEAHEPATGWYFLYDLR DRETLAVILGLTSTDTVDLRPARLPGFKPTGSGRDLGLVRKTSEDDDTPVLGAALRVE EAVHARRLQSYQSRRFRVEKCCIWLDAGEQEERIEGFAFVRVEAGLDAQLLSGIRINR GLLHGSLHLASQPISLFPAVSCCDQGCSKPSKCCEAGTAPIPTSIANNALPRSGPSAL RHVPSASSLRARIPDALTDRNRVPDSRYHTSSHWDKLPVELQVSIFCQCRLQDIQCLR LVSRAFRDLIDVNEHAIARDYLRIRRHGSLPSPNTSTASHSRAPQDDVILLSDLFPPP NGSNDAYSFKYLASLRRRQETCSKLSYYLADRVLDKYMQNNPEVKASFASKRDRQACY ERGVAFLQFKLTPLMFYVLYFLETYSESKSRELDRLYCQRDRRGQRSRAYRMDYEYAS QWGIMLSPPFEDPDVLLSTHHVFRPSKRLPT UREG_01521 MHRPLTATGRVLRLSLRSSSPRSHSTATLDSRTSLCVFCQHRQS AHSRLPPASAQGIAAIRTVATSSPKSRPSAAPSAEDSAPLTELTPDISNHYTIFPKTL PQGPPPASPFDIPASDLRREFLALQGHIHPDKYPSGAAKQRAEALSARINDAYRTLLD PLNRAQYLLAFQHGIDVTSEDGAKKYPQDTETLMQVLEAQEAIEEAEGEATILELKSE NERRVNETIQVLGEAIDQGDVDRAVRECVRLRFWYSIRDVLREWEPAGHQTPPLPTLK LLSNTSGQRVEQVHRSTGCLGTRYRAQHDPLIELLYEAVFRVALVVRASMPLRDRARR RLADPTTCSRTDGNLKQFVTSMARYRRAPFPNANASARPASQKKPSRRCSDRVPRALG IEHGPKCASNRRCDSTLTGIIWTSHLQRALLPWTLHASGPREQIAGRLGNGFHRKFQS TARPRLARWLPIDRPKTLCVDPTANGNAAVIASAGDSWPLGLLTRQRIYWLPCSMQPW LSGHRAACARDDIYCLANTAVDAVQAKHPRVSFTAVEASSLFSPISLRLELYSSSHPA VGVSPTGALREMARPAPDFNSIIHSPPFTFLVGPDHIKLTIQSGLARHVSQPLDELMN NGHTRESRHHIAVLEEEDVETFVGFCEFAYTGDYTVPRRRTGAETKPHGVAFADPPMV GAIPPPAPSPPQTPGFGDEGAADESRFEDGGDVAVQDDATEALPDAGKKGKKAKNKKK KGGKSFDEALTPPSTPPPMAEGEKEEPKEEPPTEEGQGEAAGAEWFDQEAPKKSEETS RPKPLRPEPQNPFFFSRRSSVTLWDEFTALQYAQQQHKSAMGLPSPILRSVSSYASDS SSQDPYVLFHAKLYRFASRYLIPTLAQLCLIKLHHDLVNYPLTAPLDNTRPTNIPMIL ELLHFAYTNTKRDDPVFALTTASAARENQLRKLVTHFAACKVRDLAGYQPLAYSPTSY GTPEMGLAGKDAGLSSLTIPLNLGFRDLLDGIGEMASDLVYRMM UREG_01522 MGFAGIYTAIYDYKPQAENELEISEGDLLYILDKASDDGWWKAK KKATDEDDEEPIGLVPNNYVEEARPIRTARSLYDYTRQTDEEVSFPEDAELKVFDISD PDWTLVQMNSEYGFAPSNYIELAEETEPATTSSPPPMPLPEGTDNRETESEGILTPHS VSSPIESPAAALAGILNKQQASSSSAHTRAVPAPPVELSQSPPTALTPQASDEDESPP PALPQRPISRASPPREPISPPEEDPYPTRREVPAPRPQYTSLREETSPGIRPSPPYSR IDVRDREKLHSPSAVSPSGYHIYNISEMISIMGKRKKMPTTLGINIATGTIFISPENS EDGPNQEWTADRLTHYSIEGKHVFLDLVRPSKSVDFHAGAKDTAQEIVSALGEIAGGY RAEGLREVIAAGTTGGKKKGQILYDFMAQGDDEVTVAVGDEVIILDDTKSEEWWMVRR MKNGQEGVVPSSYIELTGVATPEESSYRGVNAGLPVVEQNRREEERLARESARKSRRN DSGSGEVGSGMKLPNRASSLFIHDDGNKRSQRHKRDSRSSKQKPDSSKTRKWTDRTGT FTVVAEFIGLTDGKIHLHKQNGVKIAVPVAKMSIEDLEYVERATGESLDEDKPLSDIR RRSQMPQEKSKPIGASIKKEPDYDWFDFFLKAGVGPHLCERYAHNFAKDSMDESVLPD ITADTLRTLGLKEGDTLRVMRYLDDKYNRKSKARNVSFGGEEVIGNGEEAGGLFSGPG GALRNNTRKGRPAPPVQTSDVVDPKAFSLNDPSKPASSAGKESSQVSSPAREKDEPKG FEDSAWEVKHPKVSASSTTPSSMTVTQTQAQPTLTGAMADLSLLQEPLQPTKTSQTPQ PSQPTVPQPQPQPFSFHKESHPAQCPQRTISHNLLPRYEAKGSSLATAARNNPHFLPP NNAGTLGPAPLQPQLTEFPQTAPHLAPPGHSLAELNQQRLQQSQLQPQPTGFAPPGFG IGQYGNQLAPQPTGFMLQQQTPFSNGPQRTGFQALAPQQTGYPPFSQPPAQPMPTGSI NSLLPPPLQPQQTGINGFGGTSTFTPPPAPPIPELPAAPLQPQKTGPAPPVRFGVHKD IKKLTPQPTGLKANLAQATPSNPFGF UREG_01523 MGRRTRASLAAFIAYAAVGAFGEKPFRVQDDVLGFPQYEIHFPD EYVLADDAYPKLQESLIARAQGASSSPPSHSPTSSSVAGQQGYTELSQQVLSRDGPKG ENTGEPVSLPETDGSMETYEEMVISGQRFLCGIPRTHTPANNTTSSEGRSDADQEKEL AKATDRGLELLRDMEGRCMYYAAGWWSYSFCYMNQVRQFHALLPGSGAPVYPPTEDPT TQSYVLGRFRKAKPDGKRESRKKSTTEIATRQADGDSRYLVQYLEDGTPCDLTGRNRK IEVQFHCHPQSTDHIGWIKEVTTCSYLMVIYTPRLCNDIAFQPPREDEPNAIKCLEII SPGLVPEWEDRKRARLQQALSESASDALPIIGDIEVGAMKLVGKEGRRIEKGRVVSIG EEKIEVVAISEKGEIQRLSKEELKKYNLDPEKIEALKKQLEEIAGGKDWKMEVVDANG QRGLRGIIEADDDEESDSTPKPGKDQKDSKRQGKQEKKQAKARADEDTDAAENGSDET YKEEL UREG_01524 MSIPRAIRQAFLAIEQSEGAGARVRRSIGTPKLRNLSPFLMLDH FTIGKGAGFPDHPHRGQETITYILSGGVDHEDFAGNKGTIGPGDLQFMTAGRGIMHAE MPRQNPDGSPNVGMQLWVDLPAKLKMCEPRYRDLSASEIPTVTEDDGKAIIKVISGQS HGVDSVRDLAYTPVWIFDITLKPGGKVTQALPKGWNAFAYTLLGEITFGNASDTTAKP SSASSLSKTVPQYHNVVFDQQGDFVHASTSPDAREDSRFLLVAGQPLDQQVIQYGPFV LNSQEEVYQAMRDFHSSSNGFERARGWESEIGKRMG UREG_01525 MGRMCLAQQLTSIGPGSFSLFEENKLREMIDLAHENSVYVSTGG WAEHLLTHPDNASVLEKYFAKCKDLGFDVIELSAGFLSFPDDDWLRLVDKVHSYGLKA KPELGIQFGAGGDTSAEELEAIGTSDPGKLINLGRKFLDAGVERLMIESEGITENVKS WRTDVASAIMKELPPERVMFEAADPSVFNWYVREFGVDVNLFVDNSQIVQLSCLRRGI WGTADTWGKVVSYRPE UREG_01526 MAVGAFLEPLVVVTLLFGGTWINRERDVARSYSRTSSLSNSPRE SPLSDEESGPPQPRLHTTDVKSPCLTGGQPRSQSPSLLAGHEQCWRQRTIGLFSWRKE VITPNTAVFRNRLLSRLLHNFPFLVECWYWALVYWIYQLGRAFTAVTLQEGTVDVARR HALQIIELEENLHIFWEIGIQKYFLERPLLLTWINWTYSFIHIPGTIAFLVWLYYYNI TSNRCQERRMCGPDSNTGGSPAGPGLYQARRRTMAVCNLLAFVVFTLWPCMPPRLLSD ESLDGPIGEIARSYGFVDTVHGAGGARSVWTQNKFCNQYVSKFLPEAKLHLPSWRRLA CLVVGFAYPFTILVAIVATANHFILDAVAGAIVCGVGWWGNSILLNLLPLEDYFLWAL RIHKPEHRTLDVKRALYGDVGHNAVTVGVVTQ UREG_01527 MAEEVNPATPKAGDSSKDDASQPAEVTTKSPEAAHADQGTENSP KADEDMTGNDSPTATGDKTQPPETTQESKPAESGSQEPEPTNDKAEGSEAGPVGEDEQ QKSAVNGTPASTKNSTKRRSTGIPEHRSKKLNKKKSMPRITHLDAQPGEYYFARLKSY APWPSIICDEEMLPERLLATRPMSAKLKDGTYNEHYQDGGKKVHERTFPVMFFHTNEF AWIPNTDLTPLNPEDCKNVPEKGKSKSLLAAYQVAAEDHGFQYFKDLLADHQRALQED EEAREEKAKAKREKKKRKSMDVADELEDEEMADESAAKPKATKKRKKDLESEGESDKV IRAGRLTGIDWVTQPLKTPKTATKLKLTTPKAPASGETGKKGTASARATKSKGASAKK GKQPAPTSSDEEEVEEAPKEPEPVVNPAEAKAKREKEVFYLRHRLQKGFLSRDQAPKE EEMEAMSNYITKLDSYDDLEISIIRKTKIGKKWKHWDETEQTAIEKKANGMHNEVNGK DANKAPAVNDTDVPMPDADAKEAGKESEKEPTPAVAEKANEAEPEAMAT UREG_01528 MASSDEAQVGDIVNVPGGMYGTVKFVGVVAGKPGRFAGVELAPE HAGRGKNNGDVEGRHYFNTSVPGSGIFVPMNGKYVTKRLSGTPQTPARPVTNFSRSVG PGVANPTASLNRPKFRRPSLPRPESPRAPVATSPPKLSLGGLRTPSGFSKLTPGNGGP RTPHRAMRPSSRPPSRISVESNTPSVVKRGELVRTPAFDDQELMERVKYLEHQLQERD RQLEDQATTLAEFQKSITELEGLDALQVRAQLREKNDRIAALTAEFDSHRADFRSTLD TLEVAASETERVYEKRIEELMQANRELQSRGEDVETVARQLKQLEELVSELEEGLEDA RRGEAEARGEVEFLRGEVERTRLELKQEKEKSAAVFKDAGGSPDGVHRPLSREIDQKD DEIRGLKAIIHSLSRGEPTHHALHQQNRMSQGSNKTTDHDDGLTSQLETRIQELETHS ERKSYRIEELERELERQRANGHQTSRNRSGTITTNPLKIQKNQKSGGNAVATSVPNHS HTLSDRTVVPNDWRDSPTTSNSPYFSPIHANDPHRLPTMQESDNHSSSTDEESAGWCE ICETSGHDILTCTSMFSSGNAGTKSEEAANDQPSQSADDHPISSDDATPGSSHKNSGS PSSQRTGRDVVLEGLKGIGGLPPSSMTPIAGKASGVIDETKWCALCGWTTSPSSYFTL RTAPQALHFCFSASAANSSTTALTWVPRSVQRKRFSQTISPQPLQYHRMQSVASSGLG ISSTMPTVSANRTGQWGLYISPSPSPGDNPESVVECRDALQLTGYLINHAKDKPQKLL LVLRLPSTFPPTAHSFTRTRQRELDKAMATSQPPVRFTTHKHLAHRLVLSTLTGRAVH ISQIRSSSPTNPGLAPYEISFLRLLEAVTNGSHMEISYTGSILVYKPGLITGSAPGSA PFNILFTGPGVITSSTPTGDMSVDSVRTAILPLYSQFGIFNNIELRVLRRSNPDRNGR GGGGEVQLVFGHQVRLPKTLHLLNPGRIKNIRGVAYSTGVSGSNNARMIEVARGILNP LVSDVYVFSDVSSAALVPTADKNNPNAKRKIGIGFGLSLVAQSSTGCLYSADVASPPS GGQPPEDIGKQCAYQLLETISNGGCVSLAGAPTVLTLMAMGSEDVGRLQVGRDIISHE STVQLARDLSKFGTAGWGVRDASDEGDSGDVVISVVGRGIGNSSSVTTQQTNPQDGDS HISFAFPRQPLDCLKRNNGGINGSKRRLRQRQGSTRHSVGQCTNIFVAQHLHAAGIEI TCICIQNAGQAVSHTPSLEAEAHLPIEAHISSMAFQNQ UREG_01529 MAQYFFDLLYTFTDCMCCFPSSPQLKINNRSFKLLRLLGEGGFS YVYLVQDKSTSELFALKKIRCPFGQESVSQALKEVEAYTLFTGNRHIIHSIDHCVATE SGSKFRSDGGDAGSKTVYILLPFYQHGNLQDAINANLVNHTQFPEKELMVMMLGVAKA LKAMHQYRINSGATPVKKAKMVRREGQEADASRRKMRTTSESDDDTEQEPLMDGEVTR SQEGMEEGDYRPYAHRDIKPGNIMLDNDGKTPILMDLGSMAPSPIAITSRSLAIAVQD TAAEHSTMPYRAPELFDVKTGSIIDTKVDIWSLGCTMYACLVGKSPFEARSEETGGSL SMCVLGGDWRFPDEKTGTVKGKGKPPSGEESDMLSREGGAISPEVKDVVRRCLRVEPS ERPDIDELIQILNQTVQSLPDGTNDELPPGV UREG_01530 MADPNNVSQYKYSAMSNLVLQADRRFVTRRTDEVTGDPESLAGR INIKDMGTRAAIPEATKPKKQTGLKDIERGSIREGQDVLQREQRKRKRGDPAQLRGVG ILSAADALVEGLKYRPRTAATRETYNFILTMTANSLGDVPHEVVRSAADAVLEILKDD NMKDFDKKKEIDDLLGSSMGPKQFNELVNLGKKITDYDAQDEEENKTGLDGAEAGEEL DERQGVAVVFDESEDEEEGLRGELEIRDDDDASEEDEEQASDLDNEPDAPVPRPVADG AGDELGSEQMILDGGAQLSTGTNTKAAARQVPVREIDAYWLQRQIGQVYADAHVQHQK AQEAFRIMSDVSDDGTGKPLREVENDLMDLFDYDYPDLVGKLVVNRDRIVWVTKWRRV AEDADARHLVENEMIEAGHRSILDLLRGKDEETERSAKKIKVDLMDIDVPSDKKPEEL KVKQDDSGLTGGLHPKRLINLEDLVFDQGNHLMTNPNVKLPQGSTKRTFKGYEEIHVP APKARKDTADEPNIPTSELPDWARIGFGSAKQLNRIQTKCFPTAFHGDGNMLVCAPTG SGKTNVAMLTMLREIGKNRNPNTGEIMLDDFKIVYVAPLKALVQEQVGNFGKRLEPYG IKVSELTGDRQLTKQQIADTQVIVTTPEKWDIITRKATDTSYTRLVRLIIIDEIHLLH DERGPVLESIVSRTIRRTEQTGDPVRLVGLSATLPNYRDVGSFLRVDPINGLFHFDGS YRPCPLKQEFIGVTEKKAIKQLKTMNDVCYTKVLEQVGTNKNQMLIFVHSRKDTAKTA RYIRDKAVEMETIGQILRSDAASRAILSEEAESVNDPSLKDLMPYGFGIHHAGMSKAD RTSVEDLFADGSLQVLVCTATLAWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQ MLGRAGRPQYDSFGEGIIITTQAELQYYLSLLNQQLPIESQLMSKLADNLNAEVVLGN IRNRDEGVEWLGYTYLFVRMIRSPGLYSVGADYENDEALEQRRVDLIHSAATVLGNAG LIKYDKQSGKLQSTELGRIASHYYITHSSMLTYNRHLQPMISAIDLFRIFSLSDEFKY IPVRQDEKLELAKLLGRVPIPVKEGIEEPHAKINVLLQAFISRLKLEGLALMADMVYV TQSAGRILRAIFEITLRKGWSSVAKTALDLCKMAEKRMWPTMSPLRQFPTCPREIIQK AEKKDVPWSSYFDLDPPRMGELLGVPKAGRTVCDLVAKFPRLDMQAQVQPMTRSMLRV ELTITPNFVWDDALHGNAESFWVVVEDCDGEEILFYDQFVLRREFATAEMNEHLVEFT VPITEPMPPNYFISLVSDRWMHSETKIAVAFQKLILPEKFPPHTPLLDMQRVPVKALK DPNYLDLYPKWEHFNKVQTQVFKSLFDSDDNVFIGAPTGSGKTVCAEFALLRHWSKNN HGKAVYIAPFQELVDQRLADWQGRFRKINGPKTISKLTGETTADLKILDQADLVLATP IQWDVLSRQWQRRKNVQAVELFIADELHMLGGQGGYVYEVVVSRMHYIALQTENNLRI VGLSVPLSNARDLGEWLGAKKHTIYNFSPHARPVPLELHLQSFTIPHFPSLMLAMARP AYLAILQLSPTKPALIFVPSRKQTRSTALDLVAACIANDAEDRFLHTEIDQIAPLLDR IDERALAESISHGIGYYHEALSKGDKRIVSHLFKIGAIQVMIASRDVCWEIEFTAHLV IVMNTQFFDGREHRYIDYPISEILQMFGKASRPLEDQSGKGVLMVPAVKRDYYKKFLN EALPMESHLQLYLHDAFVTEISTRTISSTQDAVDWMTYTYFYRRLLANPSYYGLSGLD HEALSTFLSEIVENTLKELAEANLVDLDEEDDTISPLNAAMIAAYYNISFITMQTFLL SLSARTKLKGILEIVTAATEFESIQVRRHEEHILRRIYDRVPVKMSQPAYDSPHFKAF VLLQAHFSRMQLPIDLGKDQEVILSRVLSLLSACVDVLSSEGHLNAMNAMEMSQMIVQ AMWDRDSPLKQIPHFNPDTIKAANDFKIRDVFEFMEAMDPAENKDYAGLVKRLGLGNK QLAEVAAFTNDKYPSIDLNFTLVDEDTITAGEPAYIKVKLEREADEDEEPDTTVSAPF YPGKKVESWWLVVGEEKTNSLLAIKRVAIGRKLEVKLEYIVPSPGEHELTLYLMSDSY VGVDQDPSFKINAAEGMDEDEADEDEESAE UREG_01531 MAGFPFYQLDRFLKILVQDLQKYVAISEEFANNLAGKARSNGLM FNRKVARIVTPGTLIDEKFMDHYEHNFLLALYINTGDQEAKSVDTTMLSSDELSSSSD QSVGLSWLDLSTGDFFTQHTTRSMLPSALVRIGAKEVMLDEGLGHSLRREIQNLVGQG HRLTYFPRPHSIRPLSAWSDSFESPISLDLAATFSTEETAACHVLLEYVQTQMQGMDV KLQAPRRKHLEDTMIIDRNSLKGLEILETARDGLGKGSLLHAVRRTSTKSGARLLRDR LTSPSASLSVIDQRLDLVSGFVEDPDLQENITLLLKRSYDSQRLVQKFSLGRGDADDL LCLSRAIDASSRIKSVLSEQVGDDRGEKAPDSISHRCETLRSMVCRLNMDGPEELSTR ILQTIDEEALLRKQRIEEQDAADAAALAHEVIATEGSLEDFDSLPKRVRSQKTDRSRD SKESETDESNTWIMRRHASFTLQQLHKSLESLYQEKTSLTEKLRSDAKTTNLSLKWTP GLGHIVHIKGSKAMSQCLDALGVTRTVASSKSTRSFHLPSWTNLGARIDEMKLQIRSE EQLIFKSLRQAVIRNLVKLRRNSAVLDELDVACSFAFLAREQGMVRPILNMGFSHKIV GGRHPTVKLGLEEKGRPFINNDCFIGGQERIWLITGPNMAGKSTFLRQNALITILAQV GSFVPAEYAELGIVDQIFSRIGAADDLFRDQSTFMVEMLETAAILKHASQRSFVIMDE VGRGTAPEDGIAIGFACLQHLHDVSRCRTLFATHFHSLADMTSDFGNLGRYCTGIIEE SNGSFSFIHKLEPGVNRKSHALKVARIAGVPQSVIEVARTVLHDMSPILSQPRMEESQ TSATVLARQ UREG_01532 MDGITAEKVGEPFRVASDLPVFKPGPDQILVKSIYTAINPVDHM MRTMGVLVRDWPLVPGVDAAGVVVEVGSNIAGKFKIGDHVCGCTRLGTPGHGTCQQYF LMDANVTIPKPKNLSLLEAATVGVGLETAALALFDCLDVKVPTLQEVLDEKEQKLEED EWAVVLGGSTSVGKFAIQLFRICGYKVLASCSAGSAELVKKLGAEVAFNYKEAISEQV KTVLGFTKGKIHRVFDAAATGDAFAKALFKELPQGPKLFSSTNTWSGISDFEGGKTRI VEAGALGNPDGERINKLIEGWIPVLVALFEKGKLQPSPYDLIGEGGFESVLQALDYQS KGAGGPNKVVVKVQNE UREG_01533 MALSMEFKIRMIFLFRSGSLREKARLVLKATRQHARNLATFAVV YKSSMLGLRYLGQGGKEGQYDSFFAGLLGGYVVFGRSRSSVTQQIVIYVFARVVLALA RLSIEPNPNPLAAFLSPSSRNKVKAHAWPAFASLSWAFVMYLFRWHPEAIQSSLRSSM TYIDIVAPQQIMLDIRAATFAAMFDSLTGNLGPFLILSLTLVFDYNRSL UREG_01534 MMLREVKARNPRTARILKAREPQLIEQPKRVLLLHGPKCPFPLR TALKTFHSLTQPHSILFHKKNENIHPFENTESLEFLATKNECGIVIWGSSNKKRPNCI TLVRVFDAKVLEMCELLLLGTQEQMEQDAAARSGFHTKLNVGLGMKPMILFAGSAWAD STVPTFAMLKSMLLDIFKIEETAKIDVEGLQYLLTVAADELSEGTTPVIHLRWYRIRT KKSGQKLPRVELDEIGPKFDFRLGRIREADQSMMKEAMKQGKRPQDAEKSKKNISMDS IGDKIGRVHLGRQDLSGLQTRKMKGLKRRNDMEDENADVEMMDVDLAEEETNKRPRTD UREG_01535 MIAQSYSIPEGTSFSANSGGQGNSDITVIVSFDGQPGFGGRPPT IGQGSNGIEGQLGSEKQQGGQRQKETGQQGTGEVPSIDEQQDFERQRPSMGANGPDGQ CPCTCSCPSDAFSQPQGPISSGGIGEASTSESILASSSGAETTTAIVPISPINSGLDI PPAITSPILATPTEPSIPLPDPPGVSETLELESPAPVNSAGPNLAAPMETLSPSMSPS TLSTAPTSTDETSIETSTPTLPVSEGEIDIASLQLQSTLVFSLGG UREG_01536 MLQGVDLEINQVTSVKDRTPSPCRPFRRERTAVSLPTVLAQGHP HDDLRSSQQCFDDYSSCKIRNMFYDLNIPYIPNDPNTLDILHFLAELGYTTVALSQSI STKVPPNQKPPALPTNIPKSITLLTRLNLTVSDPSQNPRLVALAQSYSLLAIRPTNEK SLTQACNSLDCDIISLDLSVRLPFHFKFKTLSAAISRGVRFEICYGPGVTGSGLEARR NLISNAIALQNKLLVPELLGM UREG_01537 MARVYADVNQRRGKYSEVFEGINVVNYQKCVIKVLKPVKKKKIK REIKILQNLAGGPNVVALLDVVRDSQSKTPSLVFEYVNNTEFRTLYPRFVDYDLRLID WGLAEFYHQGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASMIFRKEPFF HGSSNSDQLVKIAKVLGTDGLFEYLDKYDIELDPQYDEILSRYPRKPWQSFVNQENQR FVSNEAIDFLDKLLRYDHAERLTAQEAMAHPYFAPVRAAAAQPTQNSGAPFHS UREG_01538 MSSVPATQVSGPRDESNVPATDQGKTPEGLGSAGAGLHPTGRPK TEKELEKERKKAEKLKKFAEKNAKKPIATPKNAEKKPKVEKEKTTDAYDPLKIESGRY EWWEKNGFFQPEFGPDGKVKPNGAFVMTLPPPNVTGTLHVGHALTNALQDTMIRWQRM KGKTVLWLPGYDHAGISTQSVVEKILWKSEKKTRHDVGRPALTNMIWDWTHKYHKSIT TSMKNMGGSLDWTREAFTMDENLSAAVTETFVKLHEEGIIYRANRLVNWCVALNTSLS NLEVENKDLEGRTLLDVPGYDKKIEFGVLTHFLYEIDGTDEKIQVATTRPETMLGDTG IAVHPDDKRYQKYIGKYAKHPFVDRLLRIVPDEKVDPEFGTGVVKITPAHDFNDFVRG KEHDLEFISIMNDDGTFNDNAGPFTGMKRFDARYKVIDALKEKGLYVKWENNPMKVPR CTKSNDVIEPVLKPQWWMKMKELCEPAIKAVENGDIVIRPESAEKNYYRWMANITDWC LSRQLWWGHQAPAYFVQFEGEKGDDSDGNLWVTGRTEEEAMEKAKTKFPGMKFTLVRD PDVLDTWFSSGLWPFSTLGWPKKTHDLQNLYPTSVLETGWDILFFWVARMIILGIKMT GQIPFREVYCHSLIRDSEGRKMSKSLGNVVDPLDVMRGITLEALHQKLLEGNLAQKEV ATATKYQKKAFPKGIPECGADALRFSLVSYTTGGGDINFDIQVIYGYRRFCNKIYQAT KFVLGKLGSDFVPRPAAVKTGAESLSERWILHKFNIAAKIANDKLEQREFSDAAYVLY QYWYSQLCDVFIENSKYLLQQDSPTDVQQSAKQTLYTVLEGALTLIHPIMPFVSEELW QRLPRRPGDETVTIMKASYPEYNPTFDDPAAETAYDLILATSKAIRSILSEYEIKTKG DVKIQTYDESSHKTISEEVASIQSLSGKNIGEITVLAPDNSIPPPGCVVSSVGANAAV YLEVSNEVRLEQEEKAKANLAKALEIVNRQRAIMDSPAWREKAKPEAREMEEKKLRDA QSEAARFEEQIKDLEKLKI UREG_01539 MAGETRTLQAQLDGYAVGMQLDDGFGHQGNASNPHFILSGPGGQ PTPNGNRPLAPATDPVSSSEGNPSGRKRKRGNPNVDPAITGNPTFVTNDGNGGDHGSA NAGGIAIRDLPPQQAISNARAAGVHSAVALFRQPSATSKKYTRPPMSKLFASLELSPE NFLHLQAAAKAYMLDDNHPERRDCVGQRGKGDTEMVRLRLWNCVSEFLDKEGNGSRFF GENVTSEGMGPRQMIWPRDDQKIISLMMPLLRRMVTNERQRQYAIETRKGGGSEDKKR KQGEHGAPSTTPSAHPVQFEQSPDTGIGMLDLLASGYPSDWESITHSYNSYNQDYQLD NLGVISGLPQPDWLGLVAAVDCHYQIDHHGNGAECDRACQDDMVNHIVSADSVSHANW RIGGQGDNLAARTYFASGITRDVSDIIKGSLTNRSHHDPKLTPSATFSERTSEPFSQA QPGLTTLAPAAHSIQAPKQGEMKISSSVKLLINIVQNGDDKRVLPRLELPCNPNAGFS MLLKNVQQYYQQHQQSLAPQMLPNLHVKVWLKDGLTPVTNDEEWIASLMAAHMVDWMD GELRIIIDAGGGNV UREG_01540 MMRFAAYAGILTNLCLLIVGFLLFGLQRLLYGRLRPIETEQLYE KAWFAVTETCLAMTIFRGELGGWFVVMFVCLLVGKVWGWIGEGRVEILEQQPPSNPRL FHTRLAISLLLAVFFNTFMLEYAVKTVLRQARPDMMVTFGFEFAVLTILSTSTTARYA LSLAEIYIVRQQKRARRIERRAEIRASREEILRTCQATGAEPPVDLPNEDDLEEMELD VPGWEEKGRCIFYLDLVTDFFKLIVYLSFFAILFTFYGLPIHILRDVVLTMRSFTKRI LDFIRYRNATRDMNQRYPDATADEIAREDVCIICREEMQPWQPPGAANDHPPPSRTAG RVSERLRPKKLPCGHLLHFACLRSWLERQQNCPTCRRPVTIAGRGHGHESENAAARAN QRHGENGLDPGGRPRAWVVNLGPLRVGFGAGRGDQFQDMAQQLNAAQTPANRGTRPNL PETNRQPAAPNPGFAHPTPLSSGFTSATIQNQIFHLEQQINQEINSLFAASDQLQVVR LLQAELARLRSISSNRSTGLSVPHNPILSGPSNPNPLDFQQHLAPNTHPVNPSSVENP LPEGVRLPPGWTLLPLQTAHSQTQGLNSPFNNLSSPHQPTTSIPASSSSQLSSGLPQV IFDGGPLHPTGSSLTSHFGREGQTSFNNGIAKNTIRPPDQDLRSQSASPPLDWTEIKQ DRNIDNTTMLESQNTFPKSSPQRSPSDADDSLSSAPDPEAIKGKSRAATVEDLEE UREG_01541 MGTWIRIAQKLKTKLPSIADLRLAGFAILWVLQRALGPRHTFKR IGGASLLLRSYSVECLDGYRRCKQPRWETTRSDLNLDWGNNNQPKSPVYSPSISFNMW LNIQKHESGRPNPAETERQHPLGYTYISSEGNDGRKLVPMRLSGDNRSNANGESIQGQ LWQQVPDVSLPTTTAQDLWGAFAGSDLNHWNDPFMANARSVYFPQEVVRAPIQHQGGG NFIASSNETTMSGLTKADMGTFNGNQTDHSAVETKRRSQEGIDLTTHSAGPKCSGPML TGCLNQINHLDRQDMTNLRMYNWGCNATTNLQLQLVVFA UREG_01542 MATKPFLGLPDSLRSLVSARFAAARQSGALLFTETELATIRLSN IPFQLRFCRALAKKPTRSAAPSPKVDGAASRTDPFANPLSDLLIAEIPPSQPSHILVL NKFPIIPNHFILATKDFQPQDHLLDKEDLGIAFDCLRSWEDSPEQPARRRLFAFFNSG EHSGASQPHRHIQFIPVEDMSPLDGDLGWTPLVDRMAHAFKGTNIDRPVLLPLPFACY GLPLAPNPSADDLHRAYISLYTYATHAAQKSQSEPFNNEQPAVLQARGPSVISYNLAM TATAMVLCPRLSEHARIPLHPELEASVLEEGLVKPNGTILAGTLLVKTESEWNALRDD PALLHRILTTIAVPRNEVQFPAAM UREG_01543 MLDLEYPPQENPYFQEISMDPMFRCAPSSVRVDEQTPFGAYTTL LSETYPFFLSPPFTSGVQQQAHKEQLYPNASTSPSITASQPELLSSRSSTSAHSVSSA SSSGIGSPHQDPWPDMNPGSGFEETTAVNDGLYPQDYLSAGLDADPVIPHDKLSDCFS AQLPNNYQLPVISYPDDLQYCFAASAFPSISPVPSPTLRTQRSGQFRLGNHGQPDLQY QNSQKRKMEFSPPIGLQNPPQEARPFTRRSSVSSERSRPSVPSPQSSTIEVEDEGREK GRCPHPNCGKVFKDLKAHMLTHQSERPEKCPIVNCEYHLKGFARKYDKNRHTLTHYKG TMVCGFCPGSGSAAEKSFNRADVFKRHLTSVHGVDQSAPNGRKKTPPTPAGKSSGYCQ DATGKCSTCTATFSSAQEFYEHLDDCVLRVVQQEEPSEAINMRRLAEVASDEAVKQTM KRHMLVGSAPDSTNNPLGEHDAEDDERNRLPLESYSGKAAAKSNPSNRFLPSNFGGIS KPRPSVSRRRNNRNNYPPSWGCPANKMKMKRRLLCLYDGPRRLWKDEMMLDNEFEVRL NLPGGDGMGREAYVTDLDVETLKRAEGVLNATAEEKGPWDPASAAPGLIGTAAVPILG NSNEIEDEINIDELMS UREG_01544 MALGTSLATASASTNPSPSNNTQNMTAATSSLTSQPPTLPSRPT TLGSVVNQTASNYSPYGASRLGASPYGGYGYNSYSSPYSRLGGGMYGGYGSMYGGYGG MYGGMPNGDPNSLTNSFNQSTQATFQMIESIVGAFGGFAQMLESTYMATHSSFFAMVS VAEQFGNLRNTLGSVLGIFTILRWFRTLFAKITGRPLPANATSLTPSAFSAFLGKDGS STPARDGSQSPLRPSKKPFFFFLAAVFGLPYLMGKLIRALARSQEVELKRQQEMMLAG GHQTGPVDPSKLDFCRVLYDYTPDTQAATGIDLAVKKGDIVAWTINNWKFR UREG_01545 MCGNIACAVSTLDSEDDIPLIWRAEALSKLEGPKAGHPGKQQRR ERPVKKPLQGMLGDNVGESCVFEYDDECDERDYCVPEDEGATAKGDYVSLVDNPERFT GYSGRAAWQVWDAIYRENCFLKPAQQSFSPRNGLKPQGFQAAQDFRNVLEKHERGKVV SAALRNEGYPVDDECLEKRVFYRLISGMHASISTHLCWDYFNQTTGEWLPNLQCYKQR LHIHPERISNLYFNFALLSRAVAKLQYHLQNFSFCVGDPEQDHETKRRVSQLTSTLAS EPRIFDESIMFQDYGGIDLKEDFRNRFRNVSRLMDCIGCDKCRLWGKLQTAGYGAALK VLFEFDGSESKSDPLLRRTELVALINTLGRVSHSVSTIQNFEKALALGRDGLDKQSAV PIPPQRRETGHLFETTKSTGEKKEGDHSSADSSHSQRENPKTGKSISEEIRDEWHLVW DTYVYVLRSWASVPRAGYYLLTTELNRAWNYWLGKPVPPRSWKIIFPSRDEL UREG_01546 MDPLHTTELVRRLVRGQSSKLLSTRALFCAFGASQRRPASQKAL TRRKSDHRTLWRHRTDHFPKDISKALAEYPMVSAAELRLYNPHYGYFSKHATIFSPGE PFDFNNIEDGPAFNRLVDQRYAEFEDKLDAVSPNETRQLWHTPTELFRPYYGEAIARY LVTNYRLTLFPYHDLIIYEMGAGNGTLMLNILDYIREVDPEVYQRTKFKIIEISSQLA NTQQMTLNNSIYGDGHRGHVEVINRSIFEWNTYVHSPCFFLALEVFDNFAHDAIRYDM QTGLPRQGCVLIDTDGEFYEYYVTDLDRSASRFLRVRQAAARRPFPIPLHSRFWRNVQ LSFPFAANMTSPEYIPTKLMEFFFILHDYFPAHRLVASDFDNLPDTVPGYNAPVVQTR YRRRTVQVSTPYVHQGYFDIFFPTNFGVIEDMYRAITGKLTRLSTHEDFMKRWAFVED TRAKSGENLLLTWYKNASVMVTV UREG_01547 MVTLIGFLFIIGNLIVLEVFVPDLVGPAPSWVYYSFAFGLWMYS TMDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLEAAAMGQGSSKIGAFTALIP CLPMFFSTWETYHTHTLYLGYFNGPTEGLIIGTLIMVAAGFYGPEIYSSSLSDRFGYR EIFGDYTFLDLWVVVLLVSFSIAHLPACVYNVVRSRKRKDLPIFPVFLEWTPIVVSSV STIAWLYSPHSTLLKENHLVLFAVTLSFVFGRMTTKIILAHLTRQPFPFWTTLMTPLL GGAVLGNLPRLGLPMVNKNFELWYLRAYLVFAFVTYMQWAFFVINRITTFLGINCLTI KHNVAVPQTIYQQLSEEPSGVMDDQLDLESGRLKNH UREG_01548 MIRQVQPEQLNPRDLDASTFLRDVKEELQNIYAGPLQHVFTGSM TIRIHEADGTPYEHIVEIKEAVTKFDIPYNTKYKRLKRNKRQKERAAASSGFDPNTEI QDDVLLYCLGDVLQSEEEMRKWRLVEWTKEDEDRMGQESYEWIRMDADFEWICKMSLT MPGYMYLSQLQQDRDVVAQLESIQYMVAQREHPLISTIFVRTLMDKRYFYGIRSAAAH ALVKHAKEEVHWIGLFHLETAFQELFCLPNSPMSRSNDFSDRASYCLQKAIPEAMAKV RNRHGQSPMRVKKFLYEKLKFNDNSNNKVPLSLGSHTNDCELMLPQFSDCYYVAALIR SITDALINGKENSDGVDFDINRELGRQAENQLYLDCIAEIDRYKRMDEWTSSFQNLYS RTAIDCQRRLMKGKVLDVDVAQFLPYARVGTFDLLRLDAFRILLDLDIFQRPEILKWF VYTMSMDASTWMRRQLHRAFGIVLASVAFGEDDKFAETSPSGLLVVEQESTTDTRRVK LERKQTVPGAIEALRQELSDNMVLKEAVWAGCNSPCIGLVELNDFLYLCTILYKPVNQ MIVTLKYPKYWKAEHLGSGKVKFSKSDRYRTSIIAKSTSGKGFQVLEKRKREDRNTTP LSRKITLKVPKLAFLSSPSNRSPPPIAPKLKIKLRAPPDPTSS UREG_01549 MPQAQPELKKYMEKRLFIQINGNRKVIGVLRGYDVCHYPSSTCS ELYSMPLTPIQVFMNIVLDEAVEEKAGGEKVRLGMVVRLNLKRILYD UREG_01550 MTPTNFATGHSSAINPFSRNINVDEARKANDLRLKSCFESICEK YGKDFRNVGDEIDLETGQIVVDNGHLHQMEAEDDVGMLGCDKFHSAKHLGTDVKTPAT VTGSRTTLQTVHQRADLDLKDTFENRSCSIENAHFLQLLDFQPSNHYHPMLDYLPNQP CPKHYMLGAKVRKANAATQFDADGNSDSDDPLQDNYLQTSMTSRSFTPFLLAHSHHFA MIRKIRPYYLTKRIGDPSASSALY UREG_01551 MSNYPHFYIPAAAFLAGAILAIHLKAVYHHVSGCQDPVKDNKEH ESGKISGSSLTRQPPPIADGIEGCIGNTPLLRIKSLSEATGCEILAKAEFLNGAGGSP KDRVALNMIQMAERQGLLIPYSGDAIYEGTVGSTGISLATLARAKGYLAHICMPSDQS VEKSDLLSKLGAVVDRVPPAPIVETNHFVNRARNLATAFCPVREVAASSLMVPTGSNA FDYDGAALPDTSKRGIFTDQFENPANWQAHFQSTGPEIFAQCEGKLDAFVSGAGTGGT ISGVALYLKPRLPTMSVVLADPQGSGLFNRIHFGVMFNTKEREGTRRRRQVDTIVEGI GINRITANFEAGRELIDDAVKVTDAQAIAMAKWLVEKDGFAAVKTALKLGPGHRIVTI LCDSGTRHLSKFWEHAGSVGNAVDTKFTDVLTASSATNDHSTSNYGENN UREG_01552 MLHRSTQAAPPMYCQKCHLPVKWSDSLDALDPAAFDILIASSSK CHPTLPASPGGKRQQDLRNTARTLPSSSAAVYRREIVHAQRESTTRFSSRRSTKDVPN MSFVMLTQSSSDINPSSGTRSLPYTAVPSVADHDMKSLIDHIERSVSLHETISARTDI DHPICVECADRVDNALQKQLLDVAKERDAYTSFLRNVNASIPAVDEFATAQQSLNMML NQESDAAGRLMKLEAEKMVIDGEITSLGDKYEQLDKDEGCFWQERTDFTMTLGSFLSE RDALNIKYDHDSRQLERLQRTNVYNDTFCISHDGYFGTINGLRLGRLGNPPVEWAEIN AAWGQTLLLLSTVANKLGFQFAGYKLRPMGSVSKIEKIVYSQQGSNPPASGHDNPEVR LSPTVTSLDLFSSGDLPLNLPWLHRRFDAGMVAFLDCLRQLGVHVEQSVSNPPFSEPP NDVRPGTPFDLPNMPQSRAQPQASGGGLKLPYEIRKDRIGDASIKLGFNQNDESWTPN PNKKEKIRTPKPIPWLILFMGFPILPPVFGTPVVAAPSPKPVYTTSVAVLEATADDVV DIVAFVHQWCQVNDGKSRGKTPVAVYTNDLSNVRCRGQTSCGLGDGVWINGLRSNKRS RKSKKKNS UREG_01553 MEPNFISDKLSVQNLGAPTKNCDSPVPRLDDPPKFDLESYIGNY GGMLSRTFLSRLIRGNEIGNLTLGRTRFHRLHFIGTHSTYLSVEALKLAIAEARGGKD VTNYQKAVEALTKVAPSEAEASIDTEWIEQTLKDVQADTDRLEHELKGYKNNLIKESI RMGNEDLGQHYHETGDLNAASKAYSRMRDYCTTTSHIASMLFKNIHVAVDREDWLAVQ SNCHRLRNFQFKPDDETKNKAKMWASLGLSQLATGAYYDAATSFLSTDSSLADSYKEV ISPNDVAVYGGLCALASMSRTDLIKRVMENKSFRNFLELEPHIRRAISFFCGSKFRSC LDILESYRVDYSLDVHLHRHVRDLYAQIRTKAMRQYILPYRQITLTSMASMFSPNEMI ADANGTTNLSSSFLLELIGLVQNGTLDARVDLEKGVLVTRQTNKRRESHKRTMESIEE YLHISNLQLLQLNMWHASLKVPEIQQHDSDTLGQQVEPHEELSTKTWKTLTDKFQRNI KQ UREG_01554 MKLSKKSPFPTQESGPTSMTADDGVDSGVIIPKRPRAGVLGRTT PRGAPTSRQLLKVPDPRQLQPEEAPIYLDKHHLSGQTSTSSSPSALQTWSKMEKGKEQ LEGLGDDQEYISLEPGTGDTSLDLIAMSSKPSLVSDDVDFGEHLGEMSAMPLSRRGEH KEREMRRREIKALIDQAENMSWGDDSDQRDETSCNMKHMVARTEGIRTQGALGPHSTT PPKVIPIPSLRTTLGNIAQVVSLGEDSKQQLTNKLKELHDEELQISRRKEVIATLLKA AGDTYEHLARTCN UREG_01555 MKDEYLSTGSRIILANDITVFEPFLEPTIFRRPVHNPPRALQKA HSLGHGKEAPLLDVHQTKIIFDLALPASNGQLKDPLSNGVFFKAHRKNERKETQLRNI EKERAQHQQIQLDRLLVALQGADWVRVMGISGITESEKKLYEPKRAYFIMELTALLEK FKFWKEKEKRRKAEQQHLPLKKSDSCTHEASNSHRVYERGNWDEEDIEHQYSHLPSEP LDRLGDDETDDIDAWAAHQLHQEALSALPQKYSKPGPQAQVMHPTNAQAIGRNPPSRS EVKLMARLPDDRTDKLATTNWKFVPSMAAFGQIMPQIQRSVFLPPRCILTEEAIRDCL RRKRRRKRERLEDD UREG_01556 MTGRPGVCLVVGGPGVLHAMAGIGNATANAFPLLVLAGSVETAI VTKGGFQELDAISLLNPHTKFAVRPTSADGIGAALQNAYRTCWYGRPGTSFVDLPADL IRAKALQPPTAIPRLRLPAPPKSSANPVIIHKVAQLLKSATAPLIVIGKGAAYARAEF SIRQLVEGTRIPFLPTPMGKGVMPDSHYLNTSSARSVALRNADVVLLLGARLNWILHL EDTSLATLDSLSAPEDGNIVYVSEGANTMDISRSVFQLQHPRQKLDAGTSATMGVGLG YIVAAHAAYNISSIGRGPQNQKKIVAFEGDSAFGFSAMEIETLARQQIPALIFVMNNS GIYHGDTENEEEWRKLQKQTVMNETQDHGRSYCHRSKKGLRSTSLLYNTRYEYLATMC GGVGYFVRTEQELEDATRQGFLENERVVLVNVIVEPGVGQTIQFGWQSPSKKTGHDAR L UREG_01557 MSFLGGAECSSAGNPLTQFAKHVQNDKSLQRDRLVSRGTNGLQD GMRTRGIVGGPDYMMDEFMQQAELMAPAAAQPFTMGQMRRELENLHTSPQQRAGSPAW AAEFDPGESARMEAAFPGAKLQMIKGAGFSPSEFTHFSQQIEAQRAASSVTTAVPVTS AYQSHTGIGYRGLGGGYMNMMGPSYGPVGMQQQAPMQEDKGKGRMIELDDKNWEAQFA AIDAVGEKALDGEANAAMEAELDSLDRSVPNESDAFDDFESIWRGIQTETAGHRQMAH EELNMENMHMGDFGEWDNFDLGLNTHSFRDPQLGDYLFEEDNNYRLNSNPFEEGMRMM REGDNLSLAVLAFEAAVQRDPKHKVTDLFIRAAQLSPQGEHMDPDVQVGLGVLFYGAE EYDKAVDCFSAALASNESGTSNQEEQVHLLWNRLGATLANSGRSEEAIEAYEKALTVR PNFVRARYNLGVSCINIGCYPEAAQHLLGALAMHQAVDHLESPPFPATASEDADLHTT HNQSTNLYDTLRRVFNQMGRRDLSDMVVNGMDVEVFRKEFTF UREG_01558 MFRFVFGLGFGRLSTPYPVATVAVVYSQLSPVRRFVFEYIKSYF GTFNINKVGMGETPCLPGPSVNGDTDINNVIYVSEKFIKVAISHFK UREG_01559 MAPTPPSTTSSNASTQSPDGQYRVVRRRNRIPLSCGPCRSRKLV YYPSSSRCKKFELIFSVTRLKCNRVVPCENCVKRGDAVSCTYAQPSARKRNQTSQQQP PTPDDMQNRIDRLENLVLSLMTHESQSAGATPSKKLPSRNATTYTQWNTRSSPLKDAG HGNSREEESDTEQVTKSFGIMKVDAQNQKSYYVSEAHWTSILTDIAEVKNFWATHKKQ IEEQMERVQAANGDQDLSSSALIFGAMKPPNRAEIMASFPSKYTTDILIARYFNTFDP SIHLIHAPSFQKEYERHWQDPSITSIVWIGMTFAMMRLAMLSYHRDEDEPPEFRGKSL DVAKSYRSSMAQCLVLADYTKPHRYVLETLILHLQGEYSQTSDAQISLWVLVGIIVRL AMRMGYHRDSSMFSNISPFQGEMRRRLWSFIRCSDLLFSFQVGLPSMVRSGDCDTDSP RSLYDDDFNEDSTELPSERPLNEPTPVSYLVAKTRLAFVFGRVLEHCQKVKGSTYEEV MEIDTALRQASELVPEHLRVRPISECDLDPAYLIMSRFGIMGIYHKAQCVLHRPFLHR ARENPRYLYSRRTCIDSSMELLKFQFMLHNASRPNGRLRHRTWYSSSFSTQDFLMAST IIALDLYHNHQSRSSRPMYNGAYSWDVRRQEEMLAALQRSRDIWVELKDKSIDAWKAA AILGLLLEKLNQTPQNRERPDLEQVLDAQDEKQSAAMTLGLLSSGVTPPSLPTPAQGS DIMAKFDPNIQQQQLHNNTEAVDQSSLATSPFGMFGQMPDMQPFNLDWDAWDAYIQSA ALDPVTQAWAGIEPQQVQPSFSMTSQRMEPGLNSIPRRAYSHTLGMPEHVESGNSDGG IFMSPKTDARRYGHGQS UREG_01560 MSLKDLYKAFLADPTEDLLSPNASLHYITTTTTLNGAVEITQHL FKQRSDIKKNAEDILNAVEGTNSLCVEIETTLRFSTSGGAYLPGLEENLLVDRVVTFP LIHIVNLDPNRKISQIRVYWDQGSLLKQVGVIGSYQGNWPLCDGPDQARVVSSSIAVA PKSEKDFKQDGINSQTPLSLLGLQDDQLRPQSGASGVALPATIPAKVHRGMANDSTKR ENPVSTHNQTSGILEHFEFAEEPPRLTRPVKERTHFEFGEEPPRRTGPVKELSHFEFG EIPPSGMEPNNTVHPRSNKHISQWDFEDFSTPEKPRSKIRGQDVRHFAWGDEKSASPE TPVQKSRTIFPRRDTETHIEFEADAPNPRENCRLTESMGVLRKGLGLYENNLYDETEQ VGEEKGTKLAHSATNNTNRNKNFGSQWAISEEPQPNTGENANEPKHIAAGRMKAVKMM DASWDKYDETTNSLDNTAPFARPKRINRNANQPSWSLGDENP UREG_01561 MAILFTSTGPSGISESLLRRLLCIFMVSFLDGYSTFGTQMVEQM SLMVVEAHRDFHWMATRSQGSMSTASEFTQ UREG_01562 MYRAIVWKPFKGETVDAIVTSVKNQGIFAEVGPLTVFVSKHLIP PEIKWDPDATPPQYTDNADQVIEKGTNLRIKLIGLRNDVRNMFAIGSIKEDYLGITQF LAAIFRIKAAKSAPTRLMVLLIPTNNAPRIIRSETEDASKDARCESVEGGTVIWLMEG QWPEISTVLDKYEALGLNNPSLRIPTNQSGVALVIAYWR UREG_01563 MMLDLEKQFRFVNIVIHILCVPIIMLCMLLLGTLTKPLISIPNV ATIENLPPNLATIAGIVYATLYILMEPVAGALLAPLLLAGTAFVNHLSSTYGNTAVYW SLGIQAVAWIAQFVGHGIFEGRAPALLDNLVQAFFLAPFFVWLEVLFFLGYRPQLKAR IDKAVQSDVAKFNAAKGSINKEAQT UREG_01564 MLNQKRWNNSAEEAKKLYCVYVAVGQKRSTVAQLVKTLEENDAM KYCVIVAATASEAAPLQYIAPFTGCAMGEWFRDNGRHALIIYDDLSKQAVAYRQMSLL LRRPPGREAYPGDVFYLHSRLLERAAKLNDKHGGGSLTALPIIETQGGDVSAYIPTNV ISITDGQIFLESELFYKGIRPAINVGLSVSRVGSSAQLKAMKQVAGSLKLFLAQYREV AAFAQFGSDLDASTKQTLNRGERLTELLKQKQYSPMAVNEMVPLIYAGVNGLLDSIPV KKILQWEADFLAHLKANEAEVLEKIEKEGQLSKDLEAQLKDVIVAFNKSFS UREG_01565 MATNSESIPSSCKVVLSGNVAKGLLQEVTEGRAALESPPHLVGF LANSDPAARIGFRYSLREVHRDDIEDAILAANADPDVDGIIVYYPIFNNRQDQYVQQL VDISKDVEGLSHRYIFNMYQNIRFLDPHPGPQRQKSILPCTPLAVIKILEYLQIYNTI LPYGNRLFGHTICVVNRSEVVGRPLAALLANDGACVYSVDITGIQQFTRGEGLKKRRH EVVEMEGWTLKDAVPHCDVVVTGVPGDSFKFDTSLLRPGAVCINFSSQKNFGPDVKEK ASIYVPAIGKVTNVVLLRNLLVSATASLAVFKVLIRLYSVLPKTVGLMIYIQLLQLKN QGP UREG_01566 MAKIPVYSLNDLKSTTDDAVTPYLTSLPKPCNFVAINTKSNVRL ILGYAAVSIAAVSFYVDYTKGWEATKPWILPAVIAYFALNLGLTIWIWGVEAGQVFEG MNNEGMKLWLCSSTKKQSALYKLRILRVSSSGKVVKEKTAVAPFTRWFSADGVFHCGA FRQWLASEIGIPAPTTSEQ UREG_01567 MASHNAEQMILRDPALFYWILIPITVVMVLTGILRHYATVLMTT LPKPATSLAEYRERLALLRGMNLRTNASAVLTPRSLASRKSYLTSAYHSGAFLKDPNA RGQGAPNPMTDPAGMDAMMAMMKGNMAMMIPQTLIMGWINAFFSGFVILKLPFPLTIR FKSMLQSGVMTRDLDVRWVSSLSWYFLNLFGLQPVFGFILGSDNSAGQILQQMGPMNP TATVNPFGPGQDPDKMFLAEAENLEVMEYYCIFDGIEERLLQRLSSP UREG_01568 MGEQGGDQRPLIHSHERLAISDILSKTREINIFASLTREFEYLS DRFEDKTQSLIVLAPTNTAIEGLPHKPWESTSDYVDFGSNEAYAGRKGEERAANNLRR FVEAHVISSDSWAAGDNAQTLAGQEVRWEKDKNGKIRVYPGNIEVERIAARISNGEVW ILNGIIEFV UREG_01569 MVEWDISNHVQTLFDSVYKYFPITFRSHPDSLYKITAQDLKDRL QDCLASTQAFAPLAFPDLLNKLDSNSVNTKKDALNALGACVLSYEPFVLARYSVTIWD SLKFEILNAQDDVLADESLRVLQLLLKRLSPPTPGTEKQPYLAHYMKPVLQECHDQLR EPQQMRARPAQRILAFFSSSSSVAFDMISRAVIPPLFAEYRKTDDISKQRALLDTFIC LFDSAISNFGTWLTPGPAPIPDNPLLEFKDQLIEIFSQALTNSPKDEVGLRTVALQGA LKLSTLQGFLQNDEIGFYVQYFDDVLLNERFQEPQLRRQAVNALAEISKHKPALVISI TIPAFMAHLPDNIPDMESKSIIVLEDLALLSTEQAVFYTFVSQLLKKLDVILTPGNLS SPAYVRAMLMSVLYAMERRGLDNDPHLEVYYQEIVCNLCRRAALAAVCNTEAAALSDP TVLDMLGRLCNQIIRFLPQHRHQEVCNNVYSLYSTQEEFPPIPLQGKSTLSRRRTMIL STYLLAGLSKDSIYPESDMAGLLAEVSQLSALEEDPPIQSALVRQLALLVNKFLTSSD LGIASKLLSSFMPSSPDDRKFSPQRVFSIVAPLISEKVRTLNTEPLDNENVTSQRNER KSAYLTALSGILFTMPSTLILPELPTLLPLLLQSLDLTTSQSEQIKTSTLETLAVIIR ESGIHAIDEAGYVEDLL UREG_01570 MDECQKLTVASTWRFDPETLHRQLETQEQIFCKYEPLTDVLTFD SHGIIGVASTLGQLLASVIDDEKDNDLLEKPKISRIIVPSPAQELDREPEPADGEPNL IDTSVDMQEDLPASFQSKYWESKDGGIECFLMLPYDLLAEVADATGAHLSLEAENQRI RVSSNIPAWIENALDKLTNLERSISLLTSPHVENILHVPEGEKFRLRLRLYGSLNNLA LRRLLVDPSTKGANQLGKMYATSIEFLNPDTKSIETPKNIVAPPRPTPLRKGHSKLWN DYLCSELGDAANLLGLPQVDVTPTHERLCLSKETTSPIPLEEAETDNHPFLSREKVSR VDKWVTEGFEAGAVGPSSSEPNILDVPSPELEPPPATVAGIKRRVAMPIGTSIHKTSD APESKNAIPKPLPIATTSPPFEPHSMSTIPDKSEVRASCVKPSGSQNKTTDLIDMSSC PNMVPASIPKLSFYQSPLIPTRVAQDAFPVTSRLSSTTPHGNLVLSPAYPKSTVQSHA IDKDKEDQDLTGACRMNYDLDEPASTGKDQLQCIAVSGENTESPNLDPLGVSSRKQGC PPLRTLNSSTYGHFYSELPNRKDAAVSNPEQIKERLQGVSEIDTRIFRKTMRQQSAVT ESKRGSKASRKAKKQATIANAWGTPVLLAGNVSAKQTDASGPSKWKREQQAESKTSET NRIKDLYNTLDPILAAVQRFTGILSLEIQFGMVLIHSVPKMYADKAIDVKTWERLFRP QHGIRGPGTRFMNLLTASGADVDYILSLKDDARHRELFAGSPSTRHITYEFHCQTKNN QLIVVNVNDSGETIVTRPEAVLGSVNVHFPHQIWDMRVAIKGAQEYSPGIDKEIDIAV EGLISNLYICPNRAKVLLYTRLDNSDVLRITKVLMRRSTRHRCFEDVDVPKSVPEPPR GRDTFTPDKTSTAGSEHGLFLQITEVQNLLLGQMATNKALIRARALSPEEMVDNSRLW YEVSIVSPAIEKILESNRNLSVGARTWNWEPHDLLGVDASITTEHAAEAKRRVGADGL PNMYRIASRLTAKIDGVGWANPGPGIEVRASLPASSSVAAGSTLAVAAVPVVENKPIT NISASQDVFGNLDSVSTRNAEEAEEFW UREG_01571 MKEPQLPSEIDSIQIQRLKLNPSGIVISDRSLPATLPLLCAPLF YCNEPRKDKPPPQVSLATVEPQLNMHQYNGLFDTTTSTPERQASTVADSVPDATSRSP TMTNGSSTAAEWSSAVGHAMTGKSGRVIHNLQEDIARLTRECSLYRSRAEEAQRMNEA LKQQLQTVIDRLRHSEQAYEMNLAAVERKDRKIEDLKAEVLNEKQRRIQAEEDARKTT QLATEERNEHHRALAEAQETAQQAQSQYETLAQTRLRDKREYQSRFNRFRKELGELSA REIERRNQLNRIDVIVEQKNREIAAGRDRMERFSRLFEEYKLDRDENMRKLIDKGYQN DLAIDEAVAEALKVSEKMKWAMNVKKTVKGEE UREG_01572 MHFFKTLLAGAALVASTAAQARLAFTSFPSNVQVGKPVVVTWSG GIPTKPVTITLRKGPSDDLKDVAVLTSTATGGTFTWTPSSSLVDGPDYALQISQGSEI NYTNLFPITGGSGTALPSTTAETSSLAVSTTVRLTTAVTTSHASRGTTLSISRNSTIS TPTLTSTRAVTLTPTATPTEPEVIPTDAPNAAPAILSSPVALLLSALVAFAYLH UREG_01573 MKPVQYNPLSPKLYLSRIPLPLRPRVTTPDNTVKCLQYLPQDPP LDQEPEANIPDSAIKSVTFRSEPSEAVLSLRHYVAQDLAMCRKIIVTLELTRMRKARL GLQNWILFWRQIYDANLARTITLVVSTVYMELDQLFRATAQNMCHITYNIGKRMALLR TVHEARAVWHQMEQQIVRWRNIRRSRAQKIFHDLRLDLENIPVDVPEMLFDDLKRGIF ALDPFGDYHPGDAEAEEKDKQADGKACAEDYVNQQPDLPSRPGFSNEFHMALQAASAG FDDNYSDPEEPEDSDSDMSSILHIE UREG_01574 MLGSIPATHVSKKRKKTGKDSTQVSSKRRATGNGQDAEKQRIQQ LETQISESRKYYNNIVTLLSMLNAERPNLAVAVSLCRVFCRLIAGGHLQQAKGASEQD AILVAWLRERHREYKTALIMILRNSDSSYQATALPLCMRLIKEQSPHRIKGKSHAWDD EYFNDVISALVEANDGDRVRAEFSSKFLREYHDVAVYTVLGLMKYLSSKPATIHLSNV VYLLSQLGTPPPGDHNFETFYTDMSQVGEKLKGPLASVNSYKQRVQAAWLLVLSRDLP RPLRKKLLQTMAHEIAPWFLKPEFLMDFLTDSFDQGGSVSLLALSGLFYLIQHKNLDY PQFYLKLYSLLDADLLHSKHRSRFFRLLDTFLASTHLPATLVASFIKRLSRLALNAPP AAIVAIVPWIYNLLKSHPTCTFMIHRAVRDDSLKAAIDTEGMDDPFDALEPDPTLTNA IESSLWEIEMLQSHYHPNVAALAKIISEQFTKQAYNLEDFLDHSYQALLDAELGEVEK RFKKSPVVEFQIPKRIFTNRLLEENDGKDNEVGNLLRQLWAFK UREG_01575 MASRLAKSALGASRVRPVLSTRALPAFSPITSTRFASNVPAEDP KTKAQSIIDALPGNSLVSKTAILSGGAGLAIAAISNELYIVNEETVAAFCLLSVFTAV FKLAGPMHKEWAAGQIQKQKDILYAARADHTSAVTQRIENVKPLSEVVNITKQLFEVS KETARLEAQAFELEQRTAVAAEAKRVLDSWVQYEGQVKQREQRELAENVMAKIQKELE NPKLLQQILQQSVADVERIVSSKAQ UREG_01576 MTLKDLLKKKDKSRYDGHAQPVSPGLIVPPEFKFIRSDTHTQEA ILPPSFGDSPTTSAPEAKPFGRLHRSSNASNIAGNEWSPPREKGSISHRLHLGRRSRS GSTSSINLPTNLPAVEENGDAQEREAQWEKRATLLAQEPMNIRPSSPRSPSPRSRSSS MGRLSGPEDDVNIQEAIKLHEAGELTKSTMMFGRLADPNGQNNALSQVLYGLALRHGW GCKPNHELAITYLSAAAANSASIEAEALRAGIKKGGAAKGELVLAIFELANCLRHGWG VSKDPVAARQYYETAANLGDADAMNEAAWCYLEGFGGKKNKYIAAKYYRLAEENGNKT LGNTWIWKEKYNSK UREG_01577 MSQKQSPIPQNVDSLSTPSSSMAPFSRIPPQSIHASMALDHADP TPEPFDQPSAGGAPDIFEKRDSTDVGDGKDEQEDFLQTRDQICGDNFDDLPIEMMSLT DRFVESLSAKVYDTPPSIDKLASLFQDFYIRASSRVETHVSILASRLRRGNSPSPARP QTSSKYSFSSKRISSAGDKAGSDKTFSQQQMLTATEVAERRRTRKLFQIKRQVLEEAI ERRACESVYDKIWRHRSTLDEVRDEKLRSKTAALSVVGFGLKDLGVEVDASKQETTQS EEWLANARKSLMQMSDAKFPLGKLQHLTAAHKAIVDSLTKILPSSSSADEILPTLIYA LVTSPPEGMSVISNLLFIQRFRATARIDGETAYCLTNLEAAISFLENVDLTSTENIAG NSRHPNSLQDSIEPQQVHDLSPVSVPSSRITVTSTVGHGVAPGPPRDNSLKPASTGPP QHRLSSIFQPPAKALEAANDIVRNTADQGIKNISNTLDNSFKFLFGRLKEVQLKQGDG TQGAVGIVPKTLDDARRLVNSPAGIPDDLSGEDPPAKEEGPATSCTPTRSRLDDRLAS LVAGRKPVNNHIIKHTEGESGGNSKASSTSASSNPQMNNPPFGSMRGFGNTLNPLSHI PGMIRGLGRSSTEPSQGTAVAGDGPELSDNQPGLLKEKSSITGIAPPIKRFTELEDAT HLKIGDIPELLEDYKRLASIINNLSTT UREG_01578 MHTSWSQIRKKWKDVSGPFNQSLSFGWETDGLRGHIYTDQANST VVIALKGTSAALFDGEETTTNDKINDNLFFSCCCGQGGQYFWRQVCDCYSSTYTCNST CLVSALVNENRYYRASLDLYANVTEIYPNSTIWLAGHSLGGAVSSLLGLTYGLPVVTF EGVPEALPASRLGLPVPPGTDPATPQMRKYTGAYHFGHTADPVYMGSCNGATSFCTLA GYAMESACHTGQRCVYDTVEDLGWRVGIGTHRILNVINDVIEKYDTVPICAPDTACRD CSLWKFFKDNGSHPTSSSSSTTTPIRTRTSTCQTPGWWGCLDKTTTTTPTLTTDTSTT TTTTSTCKTPGWFGCKDPTTTSSNPSATPTPTATITSAPSTSASGTTTCQSPGWFGGC NDPPSTATTSTRSSNSSREATCTDPGFFWGCWHTITSSSTTAQASSASYSPLTKA UREG_01579 MVCQGGSQCKKRAAAASSVRKLFCPLPYEPRYHQAHSLSNKLLR FSSVPTVEPRAALVLNRFTRSSTIVFATSNATAVLGLAPDWLVSRSFYSLIDESYLAQ TVRCLENSKSNDTTAYLRVPLRGRFEEYDELGVIEKVDEFLNERDCQEEHKLAKYWPS KINDNRVLGPPNFPIDNFYGPLNTTLAKRVSASMEIEAIITCASDGIIVTIRPSKPGG YNPAHVNDAPGSLRGSAPPTQDENPTKEPP UREG_01580 MVRPPSLLATPPPNDCEVQSIVDVAARNYTGGAFNRHVNWLGRV FWRAWFDVDRVGLEPARRDYLEYRFGTIISSSQRNYFLGGIPYYIFLGCPKENPGNRE VLARLDAAFTESPYLSPANHRRQLSKAVAQSGSEIRSKAYEAAIVNLSSNLPLPSTFY QHHYWRIWYDELHPKHTQFNNEYIYAFTWEDPRVDHQLLSINNEDVILAISSAGDNIL DYLQYSPRKIHAVDLNPNQNHLLELKVASFTALSYLDVWKMFGEGKHPQFRELLLSKL SPHLSSQAFQFWLDHSDIFTSTSGKGLYETGGSRHALKMVRYLSKIFGLDTEIKRLCE AQTLDEQREIWPGIRKILLSKALHWAVVGTEWFAWKAAGVPPPQRNMIITDFMVRHGL SGGVKKPSDVSGEAIWQYVVDTLDPVVNDTLLSNDNYFYFLCLQGKYSRRCHPSYLTP KAHVKLSTPGAFDGLRIHTDEINEVIARITPGTLTIAVIMDSMDWFDPEGTAAATQAR AFNHVLKMDGRILLRSASIQPWYIKTFESHGFSARRVGARFPGCCIDRVNMYASTWIV TKITELSPISTESSMPLTRERTVSDSSSSVVDLQI UREG_01581 MASLPSSQYQLLPAEVSDAAVIHELDTLAFGAEELAQIVFDGHN PASNSLRLEQIRNSLQDPAVTYTKVMVDGKHVAQAQWVLNLDPDWHLKGEPEEKKKAR MENAANPEAYGEFFGWLYGVRKRRMGGQKHLLLASLVTHPEYQGRGIGSLLLKEGLAV ADKHNIPAWLEASAAGYPLYKKFGFEDVEIFDMDLTKYGGKTVARSVAMVRQPQGKSD UREG_01582 MAGSRDTTWDPSGRRDQASWQNQMQSGTQQDYNADEGIGHDPMA PPQRSSGAYGSEYGQQRASMLGGSGGAQGEGWGGRYQGEATEAYPQAGSTQGAYGQQG MSGQEPQAASNIGEYDEQEPQGVHSTQRLKGQQQQAEEERKPSGILGIVSSIGERAQR TAEGKLGRDK UREG_01583 MFSRRCARLVASRTSAPLTSYLANARFSSTASPASAAYEYILAS TPKPGVGLITLNRPKALNALCSPLFRELNDALTKYDEDKDIGAIIITGSEKAFAAGAD IKEMAPLTFAAAYSNNFIASWSHLANTIRTPVIAAVSGYALGGGCELALMCDMIYCTS KATFGQPEIKLGTIPGAGGSQRLTKIVGKSKAMELILTGNTFSGKEAGEWGVAAKVVD GGKDELLAAALDTASTIANYSRVAVVAAKEVVNKSQELSLAEGVDFERRLFHGLFGSK DQKIGMWPYNTFTTMVY UREG_01584 MDVPEPEQTPFTAVTAQTSKLTRQYQAYLDASTPFTLYRWLGTG AILILFFLRIVLAQGWYIANRGYDGTVAYTLGIYLLNLFLAFLQPKFDPSLTQDEGLE DGDTQGLPTKQDDEFRPFIRRLPEFKFWHSATRAITIAFLCSWSEIFNVPVFWPILVV YWLVLFSLTSKFYLGIPHGDDTRIGRFADKAYNSATTNSTHD UREG_01585 MARRVTNLLSWIALIDILVLQLSTVMILAYAPKPAMKLRIGVFI LALCLAMHIHWSFPYTDLTMNRLAPTAFWFQVLHVGNVLAITKVEYLQEMNLKIKLGE INEISFRPWSRIAWACGMIWNARWIGTRWRDDPKRRVPTRKRAKLHRATNGNHVECKK EETGRWEFVVKKLKTAIAMYLVMDLLGLPVMQTGVDPSFFSVDKQYIFRRTNHITLEE LGFRTGAVMGFGLTAFSMITLMSSLPAAVMVGLGLNEPEEWPTLFGHISDAWSIRQFW SVAWHQYFRRSFVCYSEFIAYKVLHIPKPNKILARYARVMTVFVVSGLLHIMTDNALG IPFRESGALSFFATQALGIMFEDVVLLVHRSKDEQFHHGPKSWTSRSLGYIWTAAFMV WAAPVWLYPMMRYAPPTKLRTVPFSVLSALFG UREG_01586 MGEDKTKPDTYRYDEVPVYTTSQGCPVMDPEAAQRVGKNGPLLL QDFHLIDLLAHFDRERIPERVVHAKGAGAYGEFEVTDDISDITTVDMLRGVGKKTKLM TRFSTVGGEKGSADSARDPRGFAVKFYTEEGNWDWVFNNTPVFFLRDPAKFPLFIHTQ KRNPQTNLKDATMFWDYLSTHQEAIHQVMHLFSDRGTPYSYRHMNGYSGHTYKWVKPD GTFNYVQIHMKTDQGSKTFNNEDAQKLTAENPDWHTEDLFNAIEKGECPSWTCYVQVL SPEQAEKFRWNVFDLTKVWPQGEVPLRRFGRLTLNKNPQNYFAEIEQAAFSPSHLVPG VEPSADPVLQSRLFSYPDTHRHRLGTNYQQIPVNCPLRAFSPYQRDGAMVVNGNYGAN PNYPSTFRRLQHSPVKASQEHEKWAGAVLAEQIPVTDEDYVQADGLWKVLGRQPGQQD NFVHNVSVHLCNAHETVRKRTYGMFSRVNPDLGARIEKATEPLVTRPKPQSHL UREG_01587 MGGSLSRLLSFGWWAKKEIRILILGLDNAGKTTLLYRLKIGEVV TTIPTIGFNVESVTYKNLNLNVWDLGGQTSIRPYWRCYYANTAAVIFVIDSTDIERLG TASDELAAMLNEEELRDAALLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACS AIDGKGIDEGMDWLVSENS UREG_01588 MVGKKSGKALLREEGLERTDNNMELTSWPQVAAINQKNYYTEYL KRDDQILAYRLQNEAARDRMAKNAKDRDRALATKNEVPLPESEADADGDTTMVDASGE KAQELVGSKTIVIHVGSQNLRIGLASDALPKTVPMVIARRAEENEAEQDGGEPRPKRL KLDDGQCMEPEKMFGPEFASRYLKMCTELRTHMRANKRRTLPNSKEMVANYNRRTSPE TISEHNDPMRVEWTVVEPKDAPEHVIGEAALRIPDYSNPRYKLFWPIRNGWCNEKDYD NKNMLFLDISLILEDAIKSHLKLTRKKDWGQYSCVFVIPDLYEKSYVTQILDMLMREF GFGRVCFVQESLAGTFGAGYTAACVVDIGAQKTSICCVDEGMCIENSRVNLKYGGAEV TETFIKMMLFDHFPYDEINLNRRYDFLLAEELKRNVCTLNETNVSVQVFDFHLRASGQ DTRKYTFKAYDEVILAPMGWFEPEIFDLSDKLKGRRKLIGRTCDLYDGQPNDPVSAAQ TAILTAIAPLSTNGSLVVNGNPSTLADVQSTPSRFQHSNPLNRLQDLEATPRSSAAAS PVPEITATPQGGRSTPLPPGQGTVETNSGRRPTVEERDDILPVFPLDDAIFTSITHAA RLDNRRFTDFLGGILVIGGGSLISGFHAFLEERLQTKYPDHSNSVLVGAPPRELDPQV VAWKGASVFGKLDATNDSWIGRLEYDRLGSRIMTYKCMWNW UREG_01589 MSKLKSGAPSGGYHQEYITSLRYRNDLPVPEMPPKFLEVPHEGL EHFLSPGFVANMVRREEPNIDVDAEGGMPIDLIGIPGLHLGDESAIMAPENPPPIDPA DLPLLMTPDQLRNPAPRNANVSFLRRTQYIATGGAGRSTDSKVAPGGLKPKIQKPKPS RDDPSHIKKYIVKGFDIAYPESKHTGEDTKEQIKGLPATDAELDAWANPVHPDNPNLK PVGLYPVVPDLEGFPDPGGFLQVKFDKAPVQALKGKRDDRMDVGILLPSEPEDRVCQE HASKTALHKANPSRYPDPGPIPWDYDLFLAEKASMVNDIKSSLNFSNPNRDDSKYYTH EGSDGSKCHRYDRIRTYATSTQVLNTDQKYKDIAVVLFDPAQASQGARLTRKAAYYYP ILGKARLKPERARTIAKAGLAPTRVKAKQDQLHQMQITLRDPNPDEVYKRATHRAQVD SKFAKRMPSPPVPDDEVLKDDIDADGDEELL UREG_01590 MTESQDLNGGLSGKGLREIIRLIQCKHCSRLLQSPVRLPCQETI CQGCLPSSHPRTHITYSMDKEREQGFYCTLKKADGAASDAAECGVEHALADCGLVVAL DQVMEVFAGRMNKLFLSNEAPTSEIPFTVETVDSTNLLLRLDDPTLPLTAAYGSALVA LYRLAEHGKLPFEAEVTYETKLAMLDKLDVEVFDDLRQAFNNELDCQICMALMVDPCT TPCGHSFCRLCLGRVLNHADLCPICRRTLSGHLPSSPENIRLGRLIAAFFPSRLAERR ATIKEDGSEEIDEVQVPLFVCTVSYPSVPTFLFVFEPQYELMIRRVMTRNRRRFGMVM PNRTPLDPEATGNRAQFSEYGTLLEIDRLHPLGGGKSIVRATGQYRFKVLESTMVDGY AVGKIERVEDISLTEEERREASELRRSVQAADVTSDEFDRLSTHRLFQIGVTYLAKCR ANNASWLDSQIYRLYGPPPPDPRTFSYWFATVLPRPVEDRYALLPITSTRERLKLVAR WIKKLESGEWVLESPFTIPRADLFLAAVRPVSSSVLKTLRAVLGILFLPLLMLSIYNF ATGGSDSEPSSTNLSLSILPFSFSFARTYHGSSSSASRAREQGNTLVRHRIDPTVGFV HPDYIERENVSAKIVLGGIFIVCIARVVRSVLEVVKFQTDRAERVRRREGELAA UREG_01591 MAATYNRASSATPTQNRALSDRRPSSSAGDGSTDGSWNDDTSGS QRRAFPRSVASTTSAQVSLAPSGLRPGSFSSELRVPSISRSTTPKPDSAYYSRSNGAN DDNEATTSEQRQAIIRSKLEKELKIRNGTENMLEALLTKNLKQTKEQRLRVESELSSS NRKIAQLQSELEEELQRAHTPEFLDFNRPSSLFGVPMGRSPSRATQTTGDTFDDDDDD FSETESPTYVLAETLQELEIEGMSPGYYVERANNLVDLFKRHPTLKYDLKWSVFGLRV QVMLLSDSRQVVAAGYRLTRYAIADRQSIRIIRSFNTDALVIYSLVKESKASMEREQA LKFIRAFLDVKDGVYEISRAVVRTLVSLAEHKEDRLRNICIMTLAEILVKDPGLLSSS GGIASAKAISVMLKSWPGLLTLAQNKFKPLQSLMEALEYPEPQSRGLILELLFDALRI KPPSWSSSFLAGRRLTTYGRGGIASTGGSDQDSNQFHTFYEPEDGVFDLTAHFASLVL AMFIEAGLIKSLTVLVEEEEEISLKRKGILLLAEVLKRAYRSLPQSICAHLQVLPDNV PSLLIERDVNIHRVSASTIYQMESINRTITRSTWMRSGPGKYNRDAEMSSSFLNAGQG KPKLSPTMDEAQFRTAIMDTHVLNSVNFMKWKWDLIHNIIEGPLTNPKRLDEAIKGSK FMKRLVGFYRPFKYRFSMIRNTKPNQRYVRTGCALMRTLIQTPEGSKYLAENKLLRQI AECLAQVDRTSGLTSSSPVFSRSQMTDTLSWGYFALLGTLSSDPNGLHMMERWHMLNM FYHIVELRDRSDLIQAILANMDFTVDSQLQLMLVKALTIGTKDIRTFATRVLRKYVVG GVALTPAVEWIIRLLVSQLYDPDVAVCQIAMKVLEEACNQRDLLEYVVQCRPSLDHLG EIGASLLLRFLSSSVGYHYLDGLDFVHQEMDDWFLGRNDAYVGLVEASLCRAYIDQPR RNSSVIEDLVDVHDSGRAPPHFYRELARTKEGCRLLADSGQFEEFVSTIQDYDLQEED PEVLQRVKGALWAVGHLGSMELSAQFFEGSPVFGLIVQIAERAAMLTMRGTAFFVLGL ISASRHGLEMLVEAGWDAPVDYKGQSLGSCMPVNLERIYKIPFNSVGPKAETNGVSDE RYRSAVTDSDPINQKILNLLADMGNTVLTKRAAADLQRIKLKHPDNFRQTQLFRNTLI ILESHHFRLPARRFALDLFDKSVMRRIVLDDDSEFDSESLASD UREG_01592 MATKLAAARYGKENVKVCKVHRDAKSGTHEVTELTVAVSLEGDI ESSYTKADNSVVVATDSMKNTIYLLAKQHSVTPPELFASIVGTHFIETYNHIHAAHVR VVVHRWTRMNADGKPHPHAFLRDGTDVRVAEAYVVDGKGVKIKSSISGLLVLKSTGSQ FHGFIRDEYTTLPETWDRILSTEIDASWIWAPFVNLNHVRSAGHKFDQAFTAAREISL NIFAQDDSASVQNTMYKMASEILSSEPLLQSVSYSLPNKHYLELGKSTIAEHGSHDDA VCTNNSPDLSWHKGLKNTGANAEVYIPSPAPNGLIQCTISRENNTRATNSKL UREG_01593 MAAVPSVQCFGKKKTATAVAHCKQGKGLIKVNGQPISLVQPEIL RFKVYEPLLIVGLDKFANVDIRVRVTGGGHTSQIYAIRQAIAKSLVAYYQKFVDEHSK NQLKQAFVQFDRTLLVADNRRAEPKKFGGPGARSRFQKSYR UREG_01594 MSGSPQPTPTLVISDLPPKTLVGIDLFSFTSTPNFHGVKDLPAG AHFLYTGTTESFSLRSGEWFYIEGQGNGPSNQVSRAGGIDIRLRKWDTALETLVPVDE SNDAGRQEAMGLRANLGAIWASGGLLAYTSRLNTIPENTTGASEEGRGLMSGKDERSP ARGDWAKLSDHITPSVLSRILGPSNGTLGSSTQRWTISSGGSAWRDTDHIPGLSTKDI ADAAGTAGEQEKDLQFLPVNLKKTWREGAIGRERTEAAQDRSWALGELIAHALPANQP RDAETAGEEQVLGELQFTFLMVLTLMNYSCMEQWKRLLGLIFTCQCAIKEREQFFVKV LQTLRIQLRHCDDVEGGLFEMDGEDGGTLLKNLLAKLKRTVDDMADASCVEFKKEFAL LEQFVKAEYNWELSRASIVRRGLLELEDGEQVELEVKGAEEEDETK UREG_01595 MPPKKKTERPATENVSLGPLSGDGKLVFGVARIFASFNDTFVHV TDLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGINALHIKIRATGG NGTKTPGPGAQSALRALARSGMRIGRIEDVTPTPSDSTRRKGGRRGRRL UREG_01596 MADHDTRRRSIGIIGMGDMGRMYTQRLTSSAAISPPVDVGSCIN ACDKPANYDALRQEFANDKNTNILPNGHLVSRISDYIIYSVEAEAISKIVAEYGPFQA TKVGAIVGGQTSCKAPELAAFEKYLPDDVEIISVHSLHGPNVNTKGQPLVLIQHRASK ESLKFVELVFSSFESKYVYLSGEKHDRITADTQAVTHAAFLSMGTAWHANNQFPWEIP RYVGGIENVKINITLRVYSNKWHVYAGLAILNPAAKKQIRQYAESVTELYKLMLGGHR EELRNRVKTARAAVFKSDAVKQNLLLEDEVLDRFSLSRGLTEKMPNNHLSLLAIVDCW WKLGIVPYDHMICSTPLFRLWLGVTEYLFRKEELLDEVLETAINDNTFRSDDLEFTFA ARAWSDCVSFGDFASYRDRFERIQSYFAPRFPEAVRVGNEMIKTILEKTEKPGVPLPS RP UREG_01597 MRIPNLGSASVALAFVSSALGKTVQYSPGSQAAGITYHVNIPSS TASSKSGPIFFQISAPDDIQWVALGQGTGMEGANVFMLYSASASNVTLSPRLAKGLYQ PEVNPKSKISLLDGTGIINGKMVANVRCDNCLSWEGGSMDPTDTMSSWLWAMKRGRSI KSSNVDENLQRHDDKGLFYFDLTQAVGGNSDNPFSDMPSDAPSPSSTGSPGSAPAPGE KSTVEIRRIAHGLIMSIAIVVLFPLFALTVRTIPSKRTVPFIHAPLQFITLCLAIAGA GIGISLAMDLKVISGYHPIIGLVTIGSLLLFQPALGLVQHIHFRRTGETSSFGIIHRW GGRLLLVLGVINGGLGFMFSGIGNPGVPKAGAIAYSVIAGVIGLIYAGIIAVKSMKSK INDSAQVKLDSFNGSPRLNTSVGQGNGERI UREG_01598 MSVDARTAYPASRPAPNLQDESDVEEEALVNNYKEQVHFDDGMS ELDRTTSLGSASQAQGIQAQLAAAATPLEFQATLETKFASYDNYCNLFHYILNSDGPV DLEVPSYYWAWDVIDEFIYQFESFCRYRNRVARTGSNEEEAQLLRENPNTWGCYSVLN VLYSLIQRSQISEQLAAIKLNEDPMAVAGEYGSRPLYRMLGYFSIIGLLRVHCLLGDF SLALKTLDDIEMNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADAIRTFSHILVYVSR TKNFQKGRESYDAIAKKNDQILALVAICVAFHPTRLDDTIHSALREKYGDQLTRLQRG GPEALPLFEELFRSACPKFISPTPPDFENPGLNVDPVDHHTAIFMDEVRNTLYNPTVK SYLKLYTTMDLKKLAGFLDVEPEQLRSWLLVNKLRSRQVRWSEGGLLEGEVVNSGDLD YAIEGDLIHISEAKAGRRLVDWYLRNLARTY UREG_01599 MSQRTLYSVGCRLTQCPSSPAASLSRQCARHISSTSSKQRFAWF RTRSGPHSASLTSSPQRISRASRGFRQTQAQSRFLLDRRLAFSTTAANQKTTVSLNPR ADDDGNPMTVEISARAAKLTTDDQRLRELTDPSSKSSKAEPYDHLRVTVTSGGCHGFQ YLMSLDPSSKIDREEDTVFEADSEDASQTNTPPAGEAKVVMDSASLELLKGSTIDYTV ELIGSQFKIVNNPRASSSCGCGTSFDVTE UREG_01600 MVRISDAIKQDQEEMRDHYQKTIEAPDAETMQRWGNQLGWELAR YAVAEELVVYPALETHMDHGKVIADKERNENQQIKTTLAQLQQMSASDPQYRVTLDQL MSKLNQHFDEEQDEDLPSLESVLDEPVSEQISRRFERTKILMPTRAHPNAPTQPFFET VSAFMLAPIDRIADIMWREFPDY UREG_01601 MASLVEIDSLDITVIVDNELDVMSPPPPNTVQSTGLMGNIALES PHALHDRGDASKELRMSSICCSAHGLSVMITATKGDTKHTVLFDTGPEEAVWERNANR LRADISTIELIQLSHWHRDHSGGMLRAIRMIREAQRANGRSGHDLVVDLHDSRPDYRG FTIGSETVSLEADPTFEEIEDAGARIEKSTTPHTVLDDMFLISGEIPRVTEYETGLKH AVRFDKATGTWDKDEAIRDERLLACNVKGKIEGGRP UREG_01602 MADGLKTELPYRGSSFGREYAESLDAADPLREYRNQFIIPSKAD LKRKTIAVAEQESPSPDCIYFCGNSLGLQPKSTRTYVDSLLQTWATKAVLGHFTRLED SPFPAYMDCDDASAKLMAGVVGALPSEVAVMSTLTGNLHLLMASFYQPTKEKYKIILE GKAFPSDHYAVESQIRLHGFDPKDAMVLIEPKDLKDPVLPTEKILKVIDEHADSTALI LLPGIQYYSGQYLDIPTITAHAHSKGLLIGWDCAHAAGNVELKLHEWDVDFAAWCTYK YLNSGPGSMGGLFVHEKHGKVDMQNKEDPYRHRLSGWWGGDKDLRFLMDNKFVPRPGA AGFQLSNPSVLDMSAVLASLDMFDKATMAAIRKKSLALTSYLEHLLLNSPSNVRPDGE LFTIITPSNPEARGAQLSVLLKPGLLESIFGHLADNGIILDQRKPDVIRVAPAPLYNT FADVWDFVHVFFEACRKAGQEKDQGN UREG_01603 MASAALRASDSTLENGSLAGVEPNCSPSLVESGEYMLDGPQEEP VYSVFSPGLRRYIVVCASWAGLFSLLSANIYFPALNAMGRDLKVSAWEMNLSITTYMV MQGLAPMFVGDFADTAGKRPAYMACFAIYIAANIGLALQNNFAALLVLRCLQSAGSGA TIALASGVVADIAPSAQRGSYIGYTMAGSSTGPSIGPVIGGLLAHYLSWRAIFWFLVI MASVFIIQYSLFCPETSRNIVGNGSIPPPRWNKSLVSYADRHESSQHADRPAMRPQWR IPNPVNAILIVFRKDTMLTLLSNAIIICGYYVIAASIPKIFDEKYGYSEFQIGLCFLP FGVGSAVASIITGYIVDWNYKRIAKEIGYPIQRNKERDLKNFPIEKARLQLTLPLLFV ACCSTLVFGWTLQFNVSVAFPLAALFFAGASLLGAFNTLGTLIVDLHPNNAATATAAN NLVRCLLGAGATAGILPMVDKLGRGWSFTVVAFGMLTTAPMMLATVYYNPGWRKDQEK UREG_01604 MSSSGGDAKLFARGKVNELRMELHGGGKKDKNYSSKKIALKRIV ANMTMSNNDMIALFPDVIECMTIPSLEIKKMCFLFLVNYSRTKPDVAMKALPYLLDDM NDTNPLIRALALRTISYLHVREFVEATVQPIKRLLNDGDPYVRKTACFAVAKLYDHDR RLAETSDLIDRLNGMLKDENPTVVSSALAALMDIWERSESITLTIDYASASKMISILP DCSEWGQTYILEALMSYVPRESSEALLLAERIAPRLSHSNSAVVLTCIRVILYLMNYI ADQKQITSLARKLSPPLVTLLSKGPEVQYLALRNAILILQKQPEVLRNDIRVFFCKYN DPIYVKVTKLELIFMLATKDNISVVLTELREYATEIDVHFVRKAVRAIGKLAIKIESA SNQCIETLLDLVNAKIPYIVQEATVVIRNIFRKYPNQYEGIIGAVMKNIDELDEPEAK AAIIWIIGQYADRIENSDAFLQDFLATFHDEPVEVQLALLTATVKLFIQRPTKGQQLV PQVLKWCTEETDDPDLRDRGFMYWRLLSTDPAAAKDIVMGEKPPITAESERLDPRTLE ELCLNVGTLATVYLKPVQQVFRNARPKRLGNSPALQKSPAGEATDTPFSIPISTLLAA RNDAIPSNINPTLGGDMSAAVTAADVYFAGVGSQQMAAMSLAGGDAADEGSNGLQSPQ YIVNQVGQQPYQPQAVGGAATGELLLI UREG_01605 MPALPFTVKAIFEYTSDHEDDLTFSIGQILTVTAEEDEEWFYGE YTDETGARKEGIFPRNFVERYDPPAPPRPSRPSRPKKESEAPSHVEAAAPQPPQPVSS PTPTNPELEAPLPIEKEFESKPSQILPPPAIESQPSGTHQQALPQPPVSTTSKAESKP PPPPVAEKPTGSSFRDRIAAFNKPAASPITPFNPAGVNTNAFIKKPFVAPPPSKNAYV PMPVEASPARIYKREEDPEIERNHERPAARSPPPVEAGDEEDQPKPTSLKERIALLQK QQLEQAARHAEATQKKEKPKKPPTKKRVEPQETADHGDPSQGAELERTVTSETARGSP PATDHHDEGTQHKSRNPVVPVISPPQPSRELTSDTNDADYSGTGDTEEAEETSTSKED VDEKQMNQGMDVAEGEQESRKDSKGSKAEAEGEAAEGEEEEGEDEDEDEEIDPEIKRR MELRDRMAKMSGGMGMMGMFGPPPGMPGIPSGGYKKPKPAPSADNEMKSPGEERSAPA THAPPVPVMVLPGMQARKQETIKSPTESRGEEADVHVTTAVQSPKIINEESEIPDTSA QPKIDRAPPPPPSEERSPPPLPPSHSRPAPPPVPGDRPIPPSSAPDSRAPLLPTRRTM SPSLGEESDDELSAVPETLQIESQSSDSCPTAVLPSRKEDVAPPLKSPTATEERRLSH PPPVPQIPIVPSAQSRPPPPPPPFPVSRKSTNDSRMSARTQTGLAGNDTEEEITEYEG DYDTDIASGAKHKDALKAHGRDSSVDEGTITDDFNSQPPRTSHDIRPPPPPLTGAPRG APPPPPAQPPRSVRQSVDMPRLPPPPIPPPKDYGAKDDDDEYDPYRYDGQSTVPLSES PPAVTAKSPSEEASEEDIYPTSPRRRSIHSPPLPATERITAQPPPPPVQAPPQPTSRS QPSRQSADLLRSQSNTRRSMDIPRMSMEGYIASDVDLGENTFWWTQPKIPPPFFQNRK DILYEIEEASSTKRGGKTTISKDIYVLFMDYSQTVITVQFDSKNPGDVVLEQRHEPPP PRLRQDQLENAHAQFGSRISEAVASKHNTTVGDGTPHALVQALLAPLSDALLPVGIRT YGAVVYSNLANASVQQYDEIRAGDIVTFRNARFQGHRGTMHQKYNIEVGKPDHVGIVV DWDGTKKKVRAWEQGRESKKVKIESFKLGDLKSGECRVWRVMPRSWVGWDGEKS UREG_01606 MDPSIDSSSTSSTRKTSIPAVHACSNTAPGSMSYTPPRGSSPNP RHLAIALHHARNIQARKDTEAAILSRIEHLMTLPAASDANPASPSEEDASTFKTALVP FQPSDYDNLILERNIDGRCGYTLCPRDHRREDTKAKFRIIWGPKGSGPGGRGKEMKVV PKEQLEKWCSDECAERALYVRVQLSEEPAWERVGKKNVDLVLLEEGRQGGQGKGKQHS PKMTLLEHQLRRLEVGPSAGQEQSLSSRFGKLAMNDEDSLKLLAMERGDSLAIAKNET GVQVNILEKDTAPAPAPTLQANNMQGGSIEGFDPQLHADLPSASTSNVDGRLENENML F UREG_01607 MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRICDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEISALDISQTETGQLE VDADTKDLLKSLGFDSLKVNVVAVGQAQQSERPRRFR UREG_01608 MTLRKFQELSLPISTVIETGVSSDAGGGRHASVFEENADNTHES KMPDTPDTKRFRFKGPWLAGQSPAEFNIYLKTIRKQRPQFLEKLRHILAERKVLEARK ELLDRGEPLQEQQVPQELGDEEFEAALRSLRANPESLGPEVHKFLDLATPPTCPNQYL KRRRWVAGPSNISSTEYANHGPPMTHPSAGLSYLRTKAYMDNHPVAGPQQQHKPVQAR VLGARKSHKLQTVVGVAGIVLEDAKSQGWRQNNIRVTAGIDPDVPGGSKYWVKAERAA VRSDGKLGLQVGRPSEASKSLLGIYERKREGSISLPNSILKQGVPRLDRK UREG_01609 MSQASSVADATQKLFLELKSKNEETRHRAALELYDNVVAVSREL PQDKFLEYYNTVSGRIAQLVVTGSDANEKIGGLLALDRLIDFDGVDAAQKTTRFSGYL RSALKSNDNTVLLYAATSLGRLAKPGGALTAELVESEIQSALEWLQSERQENRRFAAV LVIRELAKGSPTLLYGFIAQILELVWVALRDPKVLIRETSADAISQCFEIISARDSQV RHQWFAGIYDETLLGLRSTNVDWIHGSLLALRELLLKGAMFMNEHYRNACEIVLRLKD HRDPKIRIQVAATIPVLASYAPLDFTNTYLHRFMIYLQAQLKRDKERNAAFIAIGKIA SAVGNAIGQFLDGIIVYIREGLTLKARNRAAVNEAPMLECLSMLALAVGQTLSKYMES LLDPIFACGLSKALTQALVDIAHYIPPIRATIQEKLLDMLSLVLCGKPFQPLGCPDNR APPMPSFVKDSTQPPQEATDSEITLALLTLGSFDFSGHILNEFVRDVAIKYVDNDNAE IRKASALTCCQLFVHDPILNQTSSHSLQVVSQVIDKLLSVGVGDPEPEIRCTVLQSLD QKFDRHLAKPENVRCLFLAVNDEVFMVREAAISIIGRLSNVNPAYVFPPLRKLLVNLL TGLSFATTPRQKEESAQLISLFVSNATKLVRSYVDPMITTLLPKTTDFNPAVSATTIK AIGELATIGGDDMKQYLPQIMPIILDALQDLSSPSKREAALRTLGQLASNAGYVIEPY KEYPQLLAVLINIVKTEQAGSLRKETIKLIGTLGALDPYKYQQISQDTPDVHHINEVQ AVSDVSLIMQGLTPSNEEYYPTVVINTLLQNILSESSLAQYHSAVIDAIVTVFKTLGL KCVPFLGQIIPAFISVIKNTPTSRLETYFNQLAILVTIVRQHIRAFLPEIIVVVREYW DASYQVQATILSLVEAISKSLEGEFKKYLASLIPLMLDTIEKDNSPRRQPSERILHTF LVFGSSAEEYMHRIIPAIVRSFDKPQAPQSIRKSAIETLAKLSRQVNVSDFASLMIHP LARVIGGSDRTLRQSALDCICTLIFQLGQDFTNYIQLINKVLHLLPPLSDFFTNFWQV LKNNQIHHHNYQILISKLQKGEPLPQDLNPDEQYGSFGDDQSFGEVGQKKILVNQQHL KNAWDATQKSTREDWQEWMRRFSVELLKESPSHALRACASLAGIYQPLAKDLFNAAFV SCWTELYHTYQEELVHSIDLALRARNIPPEILQILLNLAEFMEHDDKALPIDIRTLGK YAGKCHAFAKALHYKELEFEQDQNSSAVEALISINNQLQQFDAAIGILRKAQAYRDVE LKETWFVKLQRWEEALAAYKRRELIDPDSFEVTMGKMRCLHALGEWKMLSDLAQEKWN QASNDHRISIAPLAAAAAWGRGQWGLMDSYIGVMKDQSPDRSFFGAILSLHRNQFKEA ADYIEKARNALDTELSALLGESYNRAYNVVVRVQMLAELEEIITYKQSAGDYEKQEAM RQTWNKRLLGCQQNVEVWQRMLKVRALVISPRENLDMWIKFANLCRKSNRMGLAERSL SSLETVESSEFGIPPEVTYARLKFDWAAGHQQEALQALKGFTHSLTEEYAKYNSLVNP PHAQQQQGVDGNHMSNGHAEQREAEIAAIRQHLGDLGKFRRLLAKSHLKQGEWQTALH RGDWRSDSVRDVLSAYSAATHYNRDSYKAWHAWALANFEVLNALSPQSNNDAVSIPHH VISEHVIPAIRGFFRSIALSSSSALQDTLRLLTLWFTHGGDAEVNSVVTEGFATVSID TWLEVTPQLIARINQPNPRVRDSVHRLLAELGKAHPQALVYPLTVATKSNVVRRSELA SYIMDSMRQHSPKLVEQAEIVSHELVRVAVLWHELWYDGLEEASRLYFANHNVEGMFA TLAPLHDMLDKGAETLREVSFAQAFGRDLAEARHFCNRYREKQEVGDLNQAWDLYYTV FKKIDRQVRHMRTLDLKYVSPKLKDVADLDLAIPGTYQSGKPVIRIMSLDPVLILVQT KKKPRKMIIKGSDGNSYMYNLKGHEDIRQDERVMQLFGLINTLLEKDNECFKRHLIIQ RFPAIPLSQNSGLIGWVTNTDTLHALIKEYRESRRILLNIEQRIMLQMAPDYESLTLM QKVEVFGYAMDNTTGKDLYRVLWLKSKSSESWLERRTNYTRSLGVMSMAGYILGLGDR HPSNLLLDRITGKIVHIDFGDCFEVAMHREKYPERVPFRLTRMLTFAMEVSNIEGSYH ITCGAVMRVLRENKESLMAVLEAFIHDPLINWRLGARESPAQPSFADRRQSTELNLDH PLQPGNFARRRPSILDGGILDAQQGVPNEAREVQNARALQVLGRVREKLTGRDFKSHE ELSVVDQVDKLLVQATSVENLCQHYIGWCSFW UREG_01610 MASHATPEPASSASSTELSPILSRALLICLSPREYKGIHDRLAK RLPSKLRDRPISPDTLSAIADSRDQFTTEALRSSLRAFLATEAIGNVVETAQKLFMKN HPTTPQRIIFRFAISHSLFILAHRLLYRFFTRLRANLRTEDARPFRKRNPRIAKILIS KYTPAIGASLACFALGLYPSSQPRITLAIFMAAKSLEFAYNALWEKGWFQNKPWWIGS WLLMPLSCAQLFHAFVFDRETIPKWLSNFIVKFSLGYMQIRPEGFPTELHWPDQYESV DALAKISELKWPAFISPILHPHNPNPLPAVLEGISPIASPAHPAMASLSCALLHPKTP SCLTAFLQQNLLSIPLLARTISKIAVILSLPGFASFLLRPVTCTNALCRTILSSTAVL SAALGAAWGSICLFNSLLPRSMLRTQRFYLSGALAGAPFMFFRAAGVRSHFIYFFRLA VDSAWKAGVKRGLWRGWTGGELWILAVSWAAIGAILESNPKAVTGSKTRKILAWIRGD GFMDPAESRLKKKGKKAAAE UREG_01611 MARPRPKLSLWTRIRRFFYLFSSPLKLRASIDRLRAHHRHPYLA LLRLFLPLPTWHFPIPPSLSIHELWGNPALMRARRGDIHNLWSIPLWSARDTPLRSLY RLYECMASGDYIPIGTETEYFWYHSRWTLENIPDPQDPDPMRYAILACLAEELVHAFN WRLGLGMRRDGRHLYRERDEDPYPPYDPETVAPWTKNVPPIDPHWTVDLPSDVVDAAG RLVLEDGGVNETFAKRNIVTNVGWLYTI UREG_01612 MRPVVFLPFAFCALIQAPVVLSSREVSDSGKGKVMWACPASKST KAAVEDSKQMMTAQAPGNAASDVKAIVSNGATPVHLPRKTAALFTRIASRKWYNAASA ATLSPMANITAKSLPKSVASSPAWARSGVCELPPPDWIIIVSTVGLKELFGSKEALIA VLETADGCMDFYLWLIKHKQLE UREG_01613 MEKVAQILHWNKNWINEDMALFVTRSSRVHLFRKAEEQNIVLWQ GVNLCVLAAPMEWALERKLRRIHHTDRGRKTSHDMHDAIAMLKHLRDKNGGPLDKNYI AGMNLNTFDVLPDDTTMSRVEAEYQQTFNEKIFQ UREG_01614 MSTITDIRFRLNTGAEIPALGLGTWQSPPGQVQTAVYHALRVGY RHIDAALCYQNEAEVGEGIGQALKEGIVRREEIFVTTKLWNTYHRKVKEGLEASLKNL GLDYVDLLLMHWPAPMNPNGRSFSRLFIFQLSSPVSSGNHPLFPTLPDGSRDIDWSRS HIDTYKDMEKLLGSGKVKAIGVSNYSLRYLRDLVSHVSVVPAVNQIENHPLLPQQEIV DFCKEKGIIVTGFSPLGSTGGPLMASEAVVEVAKRKGVSPSTVLLSWHNEADMATIAK FTEESVAKNGFTRYVYPPFGIDFGFPDKSVKKN UREG_01615 MTPGAIDSVSADKKAAPRYLEELCDEIDKVNAFKALARERSLYA PSEFDKDKDRSQFRQYEEACERVREFYHEQHTKQTVAYNLKARNDFRSKTRARLTVWE AIEKLNVLIDESDPDTELSQIEHLLQSAEAIRRDAKPRWMQLTGLIHDLGKLLYFFGA EGQWDVVGDTFPDQSTLPPEALAMIRYHSFYPWHSAGGYTELMDEHDQDMLEAVRAFN PYDLYSKSDDIPDVEELKPYYLELIDEYFPQKVLRW UREG_01616 MASPYRTMAQNTATRRKLSHGSPLVHDNNPRSRFRGSKNGLDRC FEVNEDPEMLVGENAAIVGGTDSLERDAPAAPSCWASDHPTQACSSWKVRVLNLRFCR NLAIKFVVDNRSNSFHVGVSGVDSQTLHNCWYSTMAHRIISQIVVTGSRVLGRAFAEA YKQASASSKYASHAQKNGSSVSNTFASSGLTLDEACKILNVKPPKGGEANLEQTMERF KKLFDLNDPKKGGSFYLQSKILRARERIEMEVREAERKAKMDKEVREGWNPKVYKDR UREG_01617 MDTGRGTSETASQHHKTLDEIVESLREFTMRCNVLLEPLIELTD FLSTQRTATSATTRPALTALLTRILLVTPVWIFLTLPPFYLITTRRVIISVGTVILTW HSKPARICRVILWRSLTVRRICSIITGLQFSTILSNNDNQDPKVSPAFSFLPFHPFRS KPNQHGAEICTKRRRADSPGVRFTFILYENQRRWLGIGWTTSLFAYERAAWTDEHLNP APSKDEFELPEVQGGNSRWRWVSGSEWRIDRVDQSSKKPIKNGRNEAGDDGGWIYYDN KYTNPNFDGSGTMAVVAKITGTGILDVASGAVMLNSWR UREG_01618 MDIFTAEELDSLYTEAEKLIGRSTTVLDDSIRQQLVLKILSLEL PDRLSVPLPIAAKLNEKNRNLLHEWLCKKLEIEDSKDGVVVNGAIVKDLEVDEYVKVK AKKYVICGGPILTPQLLYASGFGDSQNPHYLPALRAVETDRWKIPGWKEKVQEHHRKF PKDPLPFPFRDLDPQVTLVVDRDHKWHTQIHRDAFSYGAAPPAIDKRTVIDLRYFGKV EPIRDNYVTFDDKILDGYSMPQPTFRYKISEADKKISHEMMKDMETVAAKLGGYLPGS EPQFLKPGLALHLCGTTRAGKDKKESCCTKDSVVHGHRDIYVGGLNVIPNANCTNPTL TAMCFAIQGVNHIIKALKPRK UREG_01619 MPLPFRSFAGTQVERHFPVSHSVRHHRKQPDFLITKSVAAEEDS SQLKRQDNIQVLAIPSPE UREG_01620 MNRFRAKKKLKDDGDSETHALPSFTFRKKKNQIESKSEIDLSAA LPSADDFRTSLLMPNLSARFSMLREQDDPTTKIGKANDDSVLFPRRASRLNLFAHNPL TDIAEVSSLSGSARPSLNLCRTSYVSGEGSHTDDDSSQSVLSRPRPVEGNNLFGGRQK IYKIPVKNSSTSEFPPLEERRPSDGLGKASYEDDMPVSTFQQLKENERERQTGEASDL REVAQRIEDEILAKLPGDQPITATQGINSQAHTPEHGSASSTPVRHNFSNLERNPTKT RRLYGQGLEVQNQQNMTLDRIESLSRKPKIADPTQMTRSLSKSAVSLNEKYQKLGPVY ASSNFRPNSPPLSASSVSGNELSRKTAPANSTSQGYQIAAPLSPPLSESGEISPLAAA LQPEDRGKATATGLFNKPSSKYDENQFQQRQVQMYEGKNTLPYRRPAHGPETEKTGRP RGLSTTSYRSRAESAASAYSGSQDGHPPRLTQNLRRGSPPRAENGTFLANLSESEPGS DVEGDVASKSKVMPTAPKFDSTYASLRSSSESSDSGPYGQPSRSLYSTQAELKRSESR DLKTINEIETVDALSPVREGRSEKPKDSPTLGPSEAGGLSGLIRMHLRHDSDKSSIFP PPSPGLPPSSFDNNRAINPSIQATRESSHSASIHSNPWELDEWSKPSQTSERSNHSPP RPFQHPDQPPHQQQSGFSAMSLRAKQMLDQANALSGQGHTFDTREPSNRPLLQGPSAS WQEGVPQHRRGGSSETQMEREELAHELAERRRKVHEKLKSFAENESSRSASPTSGGFR DIGPGKAGNAFAMLKTKASRSNALHDVPQPKPLKILGMESTAHNASAPNLASNNEFWR DDEEKRLRDYAKQPRTSSPHVTVRHGRPHPQQTQSTPRGSHEEAPDTFRDGLSSSWRQ PRSARDRSTSDASGRSKSRPRHRDDLENLGRITDSPQHRPAGEENRHYRGAPSGPSST RPSAESERLQPESQSMGIGSSPRPSPITPYSANATPPLYETSPVNSSMPTPTFGGTPP PGQSAQWNQSLGSHKRFVDKSLISEPKFVSSTSNVPTVGLPPGASLSNGSSTPPVPPM NPRRRRPTATQTILGAFKGSDRLDTVTMPISSGKSQEEQSTFSDEDKRSRPRQRLRKI SSEGGNLNAKARQQAMMAKSPAIPQVPTPVGTPVRMEGGMF UREG_01621 MAETPAATNSQDAHEGLIIHPPMPSTESQASDEKSSNANISLTL SRSKARKLKRRIKACEDGSVEDVAKQDIQVYSQVKKMWLKSKSNRRNFSHCMPYGNEV NDDEGDAQPENTPAKQDDEVQDNDIVSGNASSNTKANEHGKQRKNKCRAKSQSNPISV LKHGDKKNSKEQVDLTEDKTKQSVDDDTTNEDATNEGKSNSSILSSERRRTLSQAPPS LNEDGTTATSCTLQALNTGDSQNEKEPCERTIFCKNGIVFGHYSDPSSGTVSSHPPRP SPHSEQEYHQQVRGPYDQAAPRLHFPGGWAQHSDPYQQSRVSQPHNPKYCPPFLVPEG YYGAQYPYPLHNTACAPYNDTERCAADGGQHSHQWPYQRPVSDMSHCPVETVSDLDGL DTIRINRQARQPLIQRDITPKDRFNMLANGTDRPLDFDTGRITAVPTRVQGNADANTE TRAIISYLLRAFKSGLHSDYKLSLQSKEEHIPPLHFNPHSLIMWRNPHLNTIMKNIEK GQFPREINIVAETSFTIPAAFDIALQNLYGAPLMTKEQMGKPEILAVNWGCQVGDVAR MNFALSYLSSGAFLANRNIIASAIRMIKSILDWNTLETLVHFGFATNQYLIGCSDEFA RDRESDSDSSNDESIDTVEDFDINGNHREPQPDNPFLSKKTLNLELVHKWGPKLLKAA LKFVAAEITPDFTFHPSARTAIMPDRLGWPQEASYSSIESAAADITWHKNRAVSATLI ALPYKHLRLVFDFMAAFGNQDIDLARAVVAQRESRRMRTLRKLQLNGGDEEYLLPTSD VPLGWEEVIVEQGQKMRLAREWKGASATVSNASPDPESLTHYPGYS UREG_01622 MGKPAVPLSADLPPLIMGTATFNSQYNPDPYALPTTALVHRALD AGIRAFDTSPYYGPAEELLGRALSTPFVRDNYPRHTYRLLTKVGRVAASSFDYSPSWI RRSLARSLSRLDTPYVDVVYCHDVEFVSAEEVLVAVRELRRIRDTQGTVKYVGISGYP VDVLCELAEKILMETGEPLDAVMSYANFTVQNTKLASEGLPRLVAAGVDVVPNASPLG MGLLRRDGVPVGSMGDFHPAPAGLRSAIRDASRWVEGQGEKIEEISIRYALEEWLRVG SAVGTAEEPLASLSNSNSDHVATHATGRKLGVSVMGVSTVEELDETIRVWRGIIDGLE KVVDIEVSEKALDKHTDSVSLKITSSHDDAAANSSHTALAVCDGASSHELSHIRRQQI LSLAADIRKILGSEWVDYTWSSPEKGFVNEPPPVGYDKDINHAL UREG_01623 MPTAYEFRGNRDHGTSRPQHEFTFRSRQPRTFARPLLTTQRDAT PEFVLMNEDSEKQPPKFMNLEELNDSDEESSLAEDGQPPRKRQATESAMPSTMPKWSN PDPYTVLPPPDESQGKKKDVVKLIRKARIAAAQVKPAENNAIESNEDFISLGADDLIM DRPPENAPRGPRVDRDGDPALGNRKRTRHDEIIAPSFKPMRFEKPDTRFNLDGSIIQI YRSLPGEDSSPWFVRSSQLPFHLGTRLHDEIIGFYHWVKPKPFEDVIRTDLITRLEMH MQRRFPGSQLHAFGSYASGLYLPVADVDLVLLSRSFLRQGKKFLCQKPKDIWSLSAYI KDTEIAVPGSIETIAHARVPIIKFVDRLTGLKVDLSFDNSSGLTANRTFQIWKTQFPA MPLIVSVIKQFLLLRGLNEVPTGGLGGFSIICLVTSLLQHLPHGMSEPNLGGVLMDFF DLYGNKFDFSTVGIDLNPPGYFYKHSRNIYQANSRDRLTIIDPNNRDNDMSVGTKEIR RIFKAFSEAFNILRHNMASIPFSKSQTSSLLALIIGANYESYHAQRQHLFELYANHPR YVQYHNAVPVFPTVSTPPPPPPLSPPPPPPELGTVGISANGPAGPKLGKKKQKFLDRA ARLRLLRPDLKAIPESLTHEEAIKIGGYSCETEMVRDLLAREKALEQQQAS UREG_01624 MWTTTAAQHVPSLLYALTGGYNIISIKIVSSKSDFIPPPLPQML VIVLSPARQALPSSSVSSLLLPEIGRNGELARGVSRDSPAILGIGLGMPDEQKKKKTA IRTALPRPTAWPDDPGPKGSALWPGCDSPSLMGHPSFFRCPVMSTPGLWNNPDIVRPL ERVSLALTVWTSDPFNVICQHRDIQKGQSCLSQKDIKGTSIPRQRSLSLPSSTAKQSN SNPNTSTNTFNLLTFLRFQTTQSTCLPAPATAAPATATPALAPTAATKLTRGL UREG_01625 MTRNHRALLLLSLAALYTGATAAGAAARPRGVGPEFAKFYKDSS TFTCISNPSITIAYSAVNDDYCDCPDGSDEPGTSACSFISNFSPSFISDPGDEKSNRT PALPGFYCKNKGHKPSYVPFQRVNDGVCDYDLCCDGSDEWAHPGGIKCENKCKEIGKE WRKKEESKQKSLNAAMKKRRDLVQAASKLKKETEDRVKDLEVEVKASKIKVRELEKEV EKVFARDRGKIVKGKKQGKVNVLAGLARDRVEELRTSLVDVRFQKEQLETRLTELEGL LSKFKDEYNPNFNDEGVKRAVRSWEDYVAKDHSDMAPPHQDLDELTKPDGPDSGINWE QWENEQDESGMSLIYKVAAYLPPSLVNYMEDKLAEFRSLLISNGILAEPDTDSNETQA VREARDRLSAAESSLSTLESSLAEHKEDLGKDFGKDSVFLALKGSCISKDSGEYNYEL CWMDRTTQKSKKGRGDTVMGNFDKVSSVTIDEATASGRIVPKEKVALEYINGQKCWNG PSRSTKIILECGENDEILKVAEDEKCVYSMYVTTPAVCESSNDKKASGGRDEL UREG_01626 MAMRRSKGGLPAPVTNKELGPSVKSVYLVPCGHAFSEEAIREMK SDKCLQCNESYSPENIIPILPTKESEKERLLSRKQKLSNEGLTHSLKKAPGSRKRKKT GAIPSVVTGEPTTDVAAEKSSSAQNDRLISRTSTPLPSTTGGIKNAATAMLTNRVLEE ENKRKRRRKDLASNETLQSLFTSSSKNQKTKDGDFMTRGFSIPSGARR UREG_01627 MKELNRPLEDVCNIWEYDEQVLALMNRVEVKSSNHLGMDALVFP SEVEKTALLEFFRDIDFDETTTVDETPIDESEASGVSPGPTETSALSQLDITDLEIRT PENLEFLAMPLTDPTFQFAFLKRASQLTGYRVPDPEISSVTEISSLLKLFTAASKPKP AKLADILIAEGKFAALPNVKIFDRRQTPIDQEKEVGRWKIIEEELTKRGLPVTGRVKT UREG_01628 MAASVPRGLKQILQKSPSDIVILSSLRTAVTRAKKGGFKDAYPE ELLSHVLRATLAANPNLDPALIEDVCIGSVLQELGGAKAGRMAQIHAGFPHTVPFHTI NRQCSSGLAAISTIAHSISVGAISVGVGGGMESMTRNYGSRAIPTVLWPELKDSESKD ARDCIMPMGLTSENVAARYGINRHDQDAFSANSHQKAAAAQKNGLFDKEIVPVTTRTL PADNPEGPAVEVTVTRDDGIRPTVSLEKLATLKPAFSETGSSTAGNSSQVSDGAAATL LMRRSTATELGLTSSIIGKWVSTQVAGCAPDEMGIGPAVAIPKLLQHTGLEVSDVGIW EINEAFASQALYCVRKLGISEDKVNPKGGAIAIGHPLGATGARQLATLLPELERQGEQ VGVVSMCIGTGMGMASVFVRE UREG_01629 MLNPSNKRTREDDVEEASGPHEPVIPHKKKPRPLPLRKSPVLKH IKPLPRNRSEPSFPTSSTLTPAESSDDDPMQEGDRDMSQNQQMDIHSHAFNSMRVPYE SDSDFEMVDSQPRSATMQPLWSAGSGPSRPESSLESPIPSFLLNQSLTVSGGRTATPI FSHFTSNMTTDSMMRDPVSLAEQQLSRTSQRMPADEAGWWRRRRLPSPISEDEAQADA MGFTESSERCPDEIVNFPGSYNPSGIRISITPAQANVLPAGPPQVPNLQTTQDTSAEN WQNMDLPPGNSPTTHDSSNASSSRPVSQLNGHLTGSERPKKLTIAMGYRADCDKCRQR VPGHYSHIIRS UREG_01630 MPLVKAAPEVNEDSRILGYDPLLSPQFLQSEVRAPTQALETVRS GRDQAIEVIEQRDDRLLVVVGPCSIHDPDTALEYARRLKALAAKLQQDLCIIMRAYLE KPRTTVGWKGLINDPDIDSSFNINKGLRVSRKLYADLNGMGIAIDAIGAAAHPHHFLG VTKQGLAAITKTAGNEHGFVILRGGNKGTNYDPESIAAARQELRKKGQREIVMVDCSH GNSNKNHLNQPKVAKCVADQLRNGEDAIIGVMIESNMYEGNQKVPPEGPSGLAKGVSI TDACINWEMTVDVLEDLAEAVRTRRKTRGAKANGINGSS UREG_01631 MNQDLASVLETLSSFIPPESSQPPASINRSHEASDQLHSKGQAD DDYDPLHFSPLGTFNGADISSSHPARRYHQSPIQPPSSQDRVDSLPDPATITTWPAAL KYVMKTVAQSEALQSKIRRLIRSQQDHEKKWWEAREALLAKQRNRAEKKQKLDAVLKS VGGAITTGPEMTTPEEDAAEIQIYDRKVYNAMSDMSKALDAELRGLGIPFFAVRHSLV RPSPGLVGEKAGHVESPKRGDVLSLEDLRDFQQRMFDLLEDLCKE UREG_01632 MSRSAADATRFTATAPHAYSKSGSTTASRWSGAKVGSTQGGSSQ PGSGGVPGETPKQKVERLRAEARAARIAKSSSPLDRFIGKGRVWADRLHRITVFSIIA ASATSLISHNRRQKALWIDIELQKLLDAKKAYVAGNATLEQIQLLEKEKAADEEKRRR DELKRDTMFYKAKDWLFGGLKRDDAGESVLSRQLETEAEQSKVLQAINAQAAEAGTNT SSTSQEGPRG UREG_01633 MSQKTEFLRATSQAGMDFDPFPRCAPRLRQVGFQSIEQTEKVVP IGTWPKDKKLKARGRYFMAQLLGNALETYSLSLFTRVAGWTPDEVYALLDDVAEEVAP LSLPAGRQSKRFVLFDIVLYFAFVLMRIRAFKLPSVEDVSSHYDYAL UREG_01634 MLSALKDAPPGHLALGAVACFILYQVYIYLTVGASRRKFAADHG CQPAPAYPHREPIFGIDLALKLARYGKSKTFLEQARNRFLAYGNTYSLKVMGKNAITT CEPENIKATLATKFQDFNLSNVRKAAFQPLFGKGVFTNDGPEWEHSRTMLRPNFVRSQ VADLDMIEKHVQNLIKEVPTDGSTIDLQDLFFDLTLDTATEFLFGESAHSLGSKSTEE NERFSDLFTYCTTMIGDWSRIGIFFGIPDQRYHRFAAGIHKFADRYVEKALAHHRAQK SGMITCENSPNRYIFLDELVKKTNDPIELRSEALNILLAGRDTTASLLACLWNVLSKR PDVWSKLRAEVDSLGGKKPSFEELKDMKYLRYCINEALRLYPVVPSNGRVAIRDTFLP VGGGKDRKSPIFLKKGTLVSYSVFSMHRREDIYGPDAAEYKPERWEKLRPGWEFLPFN GGPRICLGQQLALTEASYTTVRLMQHFRDVESRDSHPWTELLTVTCASNYGAKVALKP UREG_01635 MSSSKGYLPAKAWMIVDSAACLELEAWGQDGGLDSTYRPRAFGV ESAIIVIEACHQLRGTLCIKHSTSLRELSRLLCVKYKRSQEWYPELKRYAPKRACHTL ITGCCAGCLVCQFGPFAVYGQYSAFTAPRTASFQHHRCLAVSTSNVTLPRREHSNVVK KANDDGCCSALSSPTPKSPSARLRSKFTALDTTLFLALHFALGDSSHSFPRKDIVDSD VSLFTRIRQILNRNLATVVMGDSLVKAAHQLQKFFSHLVQIIHKLLNGSFRGEATGKR RRFNKRRRSLKDFAQEVEQLFIGHLSTGRLASMSKEIRSQLRSSAQSSHINMLPSFSH SLPSGNEKGTYLALDVGGSTLRVALVELLGKNGEMKILRIASSHINEDVKALMGKAFF DWMAGKIEEMLQNGTEDHGKDSSPLPMGLSWSFPIEQTSIRSGNVIAMGKGFRCSDGT VGDDLRELIMEACQKRNLNVTLEAIVNDGSATLLSRAYVDPTTRLSLILGTGTNMSVH FPVHAIGIEKYGKRSPEWFAQADHVITNTEISMFGGGVLQMTRWDDDLNRNHIKPDYQ PLEYMCTGRYLGEIVRLIAVEAVQTAGLFGGHLPASMQARYSLDTADIACIEEDTSPS FKQSLDLIQEHHQFRIPPTPADIAFLKTISHCVSQRATAYLAVAIHGLWCLRNESETP ILPATPESMNDDSNVKPHRKEYPKDPVRLQVNIACDGSVINKYPNFRTRCQNYLNQLT LEPDPPQSISPTDDVVVMKEPTSSVDQLPSITLDSAPESGIFGAAVAVAVAAPGNE UREG_01636 MLNLITTSAPQSMDNPSISQKQSPQVANHQMMPHSYPAAGNIPF SQSLQHLTPMMSPHIVNPNDTTDLLYEYFPLGLDDWQAPVDAVYRPHVVHHMNMPDDP KTIAARNRTDDDNTAKLVFEDRSALSRSKPLGSKVQQSSLVTPTCGVVSSESSSSGSP HILDQVECGDL UREG_01637 MDGRWRWGHVRRGAGSLLVSCTSSGDEPGIIITSSQASDRETVE QRAEDQARRATRPSRERQPADGNEQADEQTAARPAGREEKQIARASGEPGW UREG_01638 MPLSPCKFWFCPPHHGPPRCAGFLNHGASPNRLPVQNDINPGTL NPHPALATILLCKGAPGQMHVYLGPVVIWLGLSDSCITKRKEELSEDEDRHLWTSLPL PLLDQSRRGMTGPRQSCEGFSLSHTGSRTGSRPARSQDAVPGSGSPSTFWGFSADVLL GSQPELVLFSPQEHQLFEKAVSCPKMATSISGIPAMIAKGSFLGSDSNDRLRAGALTQ LDQSFFPIRSQPQSRFFFEPLSPPIRRCGSRIIIIRPSLIPSLPGAPLRSNRLINTGW LIIFHQDDSKDREAFAVILLEENEDYPSTPVISDPQEAREKEPRTKADARANKLTLLS QGGSASLV UREG_01639 MDGIGYRQMGQLFVMGFDGTSVNPQIRSLIEDYHLGSIILTAKN LKSAEETTKLVLELQTIARDAGHSAPLMIGLDQENGGVNSLFDEIYIRQFPSSMGIAA TKSKTLAREVAKATAQELSAVGVNWILGPVLDVLNNARSQPLGVRSIGDDAQEVSAYG VEFMKGYQEAGLVTCGKHFPSYGNLDFLGNQSDVPVIKESLEQLSMNALVPFRNAITQ GLDSMMVGGCAMSSAGMNVMHACLSEQVVDDLLRKDLEFKGVVVSECLEMEALSYNIG VGGGTVMAVKAGCDLILLCRSFSVQQEAIDGLRLGVENGMISKERIQQSLKRVFDMKS RCTSWEKALNPPGISLLSKMQPSHTSLSTRAYNNSITIVRDKKNLLPLTSTLESDEEL LLLTPLIKPLPASAVSLSMSKSTRETVLATDAVSWDPSASIMSGESVFREFGRSLARH RNGRVLHTSYTANGVRPVHENLINRASGVIVVTADSNRNLYQHGFTKHISMICDAQFA ENGERRPKPLVVVAVSSPYDFATDQSVGTYVCTYDFTETALQALVKILCGDLTASGSL PGTLSRNQKLQQSRQHWLAENWNEDRDANALDELLTAVREDGTNSQRSELAGVTSNTF LLRNPDVEEAHFVVRNSSTQALLGFCSTYFFKSTGTGVIGALIVDPGRRKLSIGNSLH NRAIRSLMQRQGVRRFQLGSRLPGIYLGIPTGNPVERKRLRQWFANLGWNTSLSRPVC TMVLRNLEKWTPPEGLTRSLTSAEVSYDLVYGPDYAEAILDHIKTNSRQGVMEIYKIA LSSGSTSSGIIRAKRNDDGAILGSVVVYNGESPLAEFVPAVKEARAVAGGISSAVISP SAGEYAILVQGLILLGIKQLRSQGASAVVLDCVDGDGNFDSLSAMGFSVLHSFDEVTC DAATWNMLTSS UREG_01640 MYIQMAEKHVVQDEYVGIPRDHPQSYHSFMYRHLFSHVDIQPGN INILNGNASDLAKECAEYEEKITRVGGIDLFLGGVGPDGHIAFNEPGSSLNSRTRVKT LAYDTILANSRFFDNDVNKVPRTALTVGIKTIMDANEVVIVVTGVHKAKALQKGLEGA VNHMWTLSTLQLHQHPLIVVDEDATLELKVKTVKYFESIEQSGTDARTQGPPLVHRTK PYQRPITPNTNASTPIRPGLDLKIDTGFHRPLDDPEELTPDSMSSRILDSAVAGLDET MLNGDVIFDRMRSRLSTNG UREG_01641 MATRKYLPFDSPRKVGWEMARLFERYWASKPDYFTFEWLQDSNE RIEFCCKNATEEEVDFTTSPALLEAIHSCQELSSGELPSDFGFTGFTKIAVHEVGSKV EVTEDQEFMGPLFWKLRVGLIVDHHRRIYGPCYFEHRPDHWSCIMRDQSPHDEVQSNS RNYSLKSEIVAVAAIMYRQMNEIIQFVGKEEAYARLRYESGPLTVTVVTFTPGKVRVV QAICSP UREG_01642 MDKTAFPPQGLYYDGAVQRATSGKTFQTVDPATASALASVDIAS SSDIDHAVVSAQRAFSSWSKTPIPARARILLKAVEILRLRNDEIARIETLDTGKAFSE TSTVDVITGADVLEYYAGLISGGGLNGETTQLREDAWVYTKKAPLGVCAGIGAWNYPI QIALWKSAPCLAAGNTMVYKPSEFTPLHGLVLAQIYTEAGLPPGVFNVVHGAGDVGAH LTTHPGIAKVSFTGQVATGKKVAGSAAGSMKYVTMELGGKSPLIVLPDADLESAVDGA MLANFFSTGQVCTNGTRVFVPATLKSDFESLLLSKMKYIRAGPTMDANTNYGPLSSAT HYEKVVNYIRHGIEQDRATLLYGGPDPPASLDSSVRNGYWVAPTVFTDCTDNMKIVQE EIFGPVMSILTYDTVDEAVRRANDTPLGLAAGVFTRDINLAHRIIDRLDAGITWINSW GESPAEMPVGGWKMSGLGVENGRRGIEAWVRNKSTLVDMGGKIATVFTKL UREG_01643 MAAKSTIELPVGDVDSYDYVIVGGGTAGCVIASRLAEYLPRMRI LLIEAGPSDFMDDRVLLLKDWLNLLGGELDYDYGTTEQPMGNSHIRHSRAKVLGGCSS HNTLISFRPFEYDCKVWESKGCKGWSFDTFTRVLDNLRNTVQPVHDRHRNQLCKDWVH ACSSTFDIPVIHDFNKEIRSTGKLTEGVGFFSVSYNPDDGRRSSASVAYIHPILRGEE HKPNLTVLTNAWVSRVNVSGDTVTGVNLTLQSGAQRTLRAKRETILCAGAVDTPRLLL HSGLGPKDQLSALSIPVVKDIPGVGENLIDHPESIIIWELNQPVPPNQTTMDSDAGIF LRRQPPNAAGFDGDAADLMMHCYQIPFCLNTTRLGYDTPIDAFCMTPNIPRPRSRGRL YLTSADPAVKPALDFRYFTDPEGYDAATIVYGLKMARKVAQQSPFKEWIKREVAPGPE LTTDEQLSEYGRRAAHTVYHPAGTSKMGDVARDPMAVVDPELKVRGLKKVRIADAGVF PDMPTVNPMLTVLAIGERAAELIAEEAGWKGVVSKL UREG_01644 MAFALRKYLQMMETMDPKKWKDDDKRKPRYAFKIVSQHIMTNAR IVALTNNNLAGEPIRQHFGTEAKAVVIFRDEDPKELEASGWVGITKMACSTKIQGNRC WR UREG_01645 MPSTVHAVHPPRVTKFTNCRLPINGALVEQDLWIDSASGKILHD QRAFYEDRICPDQVVDLRGRILALGFIEVQINGASGFDFSVPQPTREAYDAGLRDVNR ALVSMGVTAYLPTLTSQKKDVYAAVLPSLGPSGLLRRAEDGAESLGAHVEGPFLSPGK NGIHSPEVLISARNGLKDLMDCYGAENICVDEASPTPVPVKMITAAPEVGAMLSLIPQ IKSQGIIFSIGHSDATYEQALAAVDAGANMITHLFNAMRPFYHRNPGIFGLLGQSEKH RPFYGIIADGLHLHPTTIQIAYNAHPKGMILVTDAMKLCGMPDGVYDWTNGERIVKTG SLLTLEGSDRLAGSSATLIECVNNFRRWAGAKTVDALAAVTETPARMLGILGSKGTLA HGADADLVVLGETKGEDGELGTLTVDQVWKFGVKVFDSEKD UREG_01646 MSSADEKIPNSTANPPPEDAVLDDESRLPAAAHRGKPWMYRSPK IGPWTLPWYASPISQLLIVSFVCFLCPGMFNAVNGLGAGGQLDGHAVNKANTALYSTF AVVGFFAGSVANRLGLRTTLFFGGFGYFLYVASILSYNHNKNTGFLIFAGALLGVCAG CLWTAQGAVMMSYPDEQHKGKFISWFWIIFNLGGVIGSLVPLGQNIHSKANAVNDGTY IAFMVLMFLGFVLSFALVNPKFVRRVDGSHVIVMKNPSWQSELKGLVQVLRTDYYIVL FFPMFLASNWFTTYQFNGVNLAQFNIRTRALNNVLYWFFQMVGAFVFGYLLDIKSVRR TVRARAGLVLLFVLTMAVWGGGWAFQKNYDRADVLLEDDRTDWTASNYIGPMFLYLFY GFYDAAFQTCCYWFMGALTNNSRKLANFAGFYKGIQSAGAAITWRMDDLNVSYKAYFG STWGLLAGSLVVAAPVVFWKIKDSIDIEEDIKFSDETLEEVAGNQILEGDEKK UREG_01647 MNNFTQDIEPPHVGGIKGRWPKINPSKIAIMDQRWFFKYFEEKN RLISERKARGVKLEFNTLGIINGLIDLSIQAPQYIRYAEENTYGIHPLNETIINYMKM ANSMPNGCQDQLARCKRLTRTSLVPYSYCSEAHYQCRDNVGNSPGAPRALSEPSQSPK RHRRGLQLYENLES UREG_01648 MSHDQGNLIKYMWIDGAETLEHYVPGGYHPVMIGDVLHDRYHIV DKLGFGGYSTVWLARDFCYDHYVALKVGISHWGEDSLLRETRSLRALSALPPSASRQQ HLGHSSIPCLLDQFEIHGPNGTHVCYTTTPARCNLHEVSFSRLFPLDVARALSGGLIL ALAYTHSRGYVHGDIQLQNIFVKLPSSFNALSIDQLYEKYGKPATVDVRREDGEPLPP NVPPKVVLPLYLGKKAQEFTLADVRILLSDFGEAFAPGTDIRPGKVSNIPLAFQPPEV RFEPDAPLTSSSDIWSLATAIWEIIGMKAIFSTDWVTEDEMTAQHIDELGPMPLSWWD RWEKRGEFFDENMCPIEGRYVWPPLDQAFEDFVQVSRRKRGMGEFGKEETIALLHLMR RMLAYLPEDRPSVEEVLKSEWMVNSNHDPQQEPLHSPQQPKPGRRMLNGSANCSARA UREG_01649 MVSAEYTEVQPQNKRKAAAAGLTGASRPVKRRASKACCCCRARK VRCDVVENGSPCTNCRLDEVECVVTESKRRKKSRPDGEGNKLSPECSTETSEEPGNYL GRKGNSNGLAFSSIPETRLPQSPKKHCVDLETGHHVPHLIYQAQGRRLNDDGRRRRMS GTGNLVPGLLPCNLNSAKPDGFSGSTVLNILPSPRMIKRALPDYLRLLPPKLQDADID YLEAKGALTIPEHGLRNELLRSYIQWVHPYMPLLELDDFLRAIFENDSSQRISLLLFQ AVMFAGTAFIDIKHLRAEGFESRRAARKAFFQRARLLYDFDYEADRISLVQSLLLMTY WYEMPDDQKDTWHWMGVSLSLAHTIGLHRDPASSNMDPKRQKLWKRIWWGTYTRDRLI ALGMRRPTRIKDEDCDVPMLQVSDFDFKPMSPSVLQVLGDVELTHNVTHQRELAVMFI EKAKLCLCISHVLSAQYSVLGHKFGGTMETTMMLLPKKSAAETCEVRRCDDELELWLV NLAEEAQYRPPPSTLSPGDGVIYLHRALLSMVYHTTSSALHRPQVLPTTPIPTVEVEL QALSRTKVRHAAVEITNIAQVLHNLKLTCFLPTTGVTVLLPAVIIHLLDIKSNDISVR AASLNRFYRCMQILQRLQEIYASADFATSFLEAAIRKAGIHVPTQFSAETTVKPTTVA PSQTHFNALTPPPDVQHEKGSDNTNSHSSIAALPTFSRPEETGPPFASTPPHSVGSEN GSTQNVRNDDLFKMDLFASANTNPEPTLTEFMNMAHDADITQNDIDALINFEDVNGEL FNGEEDLGINFSMANTLSNEDNQISLDSLNWMREFANGNLPAESAMQDAEEYTGVMDG ADPSEKREPEKSGMVERADEMLMQEPDAASPAKREGAEAVEYTADVRLEV UREG_01650 MKLFTFLFAIAAASMAVVAAPAPAEAGAPIEKREPEPVPDGHGG RKLCSMIRSLLPNFDYMIKVNALVMVLYIFVTSTDLNWLLFAYSAPLSDWPPPPQLYG RILDQDYAAP UREG_01651 MKIAIVGAGISGCAIYLALKKRLPEPPAPGSQHEYIIFESYDTP RNSTSQQAPGETHSASLVVGGGIGLHPNGVRSLERLDENLARDVKHAGHSFNVQKFMS AYGCTLARLPCALDDTYSISLSRHALWNCLRNYVPDDIIVTRRVSSVIANPKGRSVIK FADGSPDYEADLIIGADGLKSPTRRALFPEAKEDPYPAEFGGRWRCHPVGRIQTLS UREG_01652 MASPLSVLSPLSSSRQNARSPLVDSFPPDAKPTEFSPRGSVRKG FAIFDENASPLGQDQHMASPAVSGAEDDELEDQELPSSPFREAVETENTCTTRDITPR QHDVDATEANTLDGQGDVDMDEEDFKPDTLAARTVDEAPYVDEMVLTNEQKQIESYTE LDSSVIHHHVERTQTRKVSGMTVNNENMSLVYHEDEEKRALFDDGTGSHAQPGYDTGD DTCLSTFSAVPNADMTLFAKLRGDSPLKRVRENTVSPRKTGSPGRFRDSILETPGTAR RDYRRNGWGDQGGDYCSSSPGRKYPRIDETPNLLDFTDQLGAFPQHKQYPSENNNGSP ARRNPRISPLKTAERFRSPSKLSLLDFDIPPAPTPRSIPTITPRELESLKSTFLSQIS SLKATLSGRDAEVASLKEAVADAERRVGEALEEVRNEEARRESEQREWERRGKEMETV LRGVKAEIVDGERERKRLAERVEESDKTKEHLEGKIVELQSQLSAARQATPQPATLSA PNTQFKTTDEVAKEVQDAVEKVARELHTLYKGKHETKVAALKKSYEARWEKRVREAEK KLRDAMEENERLNNDRDTAIPDFQGRASAGETTMLRENENLEAEKKVLEAKVKGLEQE MLSVKHDHGLLSEQLKNERAEKGELVALVDEWLAMQHQQQQQPPEQQPDETPPPVPPH GERELSTPRAEISQGEDPLPVTLPKPEFMEKPATAQSTNEQAHPASNIARFPGGSSGI RPRPSAGPTPKVPRFGMPGSHVRGNSRDEKGVSGIPSKTPVAPRSGIMSSIERMGRGG L UREG_01653 MRLTRQRSRIPSPSPTSTNDDFPTLQLFILAICRFAEPIALTSI FPYSWVMVRDFKIGDKSNASFYAGFLISAFSLAESLTGMFWGSLSDKVGRKPVLLFGC FGTMLSLLIVGFSTNFWVALFGRIFGGILNGNIGVVQTMVGELVKKPEYEPRAYAIMP FVWSIGTIIGPAIGGTFAKPAETFPSIFPPSGLFGSFPYLLPNLICSVLLLISIAAGY LFLDETHPDMQTGYVPVPTHGDNAEFGAPLAAVATAGSLACASADLRAKSYGTFNDVD MREDEEWHVRLDGKQLRSSESPKVFTYRVTMLVIALGIFTYHSMTYDHLFPIFLQDEK SDLVRGSLPPPLHIPGGLGLSTQTVGLIMSINGIIALVIQAVVFPIFTEWLGVWNVFV LVTVLHPIAYFIVPFLALLPSGILYPGIYTCITIRNLLSILAYPVLLILIKQASPSNS VLGKINGLSASAGAACRTVAPPVAGYLYSIGSRIGFTGIAWWGSALVAIVGALQLWCM HPKKHTFVSITAGAPCCYRHEEPPVPEVVHVTVQDEC UREG_01654 MIIRSRVASLFLLILSSFLPFILAETPTSFCKCTCFSNSTIIPL GPPKPRSSSNPSPERRAVFLPSLFGAREAVNDDVYGRAAFLGRRDAADAQLAKSEDDK SKSGGDKEGKKFRAVNCNDCNRKFCLDYQLPMCKGAKEEDVMTTCFQRESNKDKAVVF IFIIATVSLLVWAAVKPWAERWIQVRDVPQADTGVMSRGRRNIV UREG_01655 MEETKANGSQENTGFLWQQAVPMSTPEVKRLHITPLDSALLNAI LPPALSSLATDISLHTIQTFPENSYGFVTLPLAEADKLAKKLNGSILRGKKLQIQEAR LRKQFPPDEDQSREAACSTPARNTIMAKKRKAGDNAIEGYELDSERRIKRGWTEVPDK GKRSRKSDKTETKADVKHKKTQKSKYTNDPECLFRTIPPPNKVESTEPRKERKKKAGG NGAVVVHEFERLTAHPSFLRDNQGSSAVSLTREYVEGKGWVDRGGNVKETPSIRRNKE PTSRLKPQRKGDLVLSSGNAKGDSTSDDEDDVTSSSGSSSEEESSDGTSSSTDSELLS RKVANSPTSRPKTKTRIAADDDISSSSASSSSEESDDSSSVPSIAKGKPQSNPGARSL HVMSKTSSEDSSSEASSASSSSSSDHDDSEVDEEQSKTPTKSLQSVGPPKEPMSPPKE VHPLEALFKRPATETKKSTGKMQLEIDTGFSFFGNAGENSDIEDNDNNIGNQHSIDTQ PQTPFTQRDFQTRSLRSGAPTPDTAAVNKMKFWTDEDEETDEDEDDTDIEAEQELAVA KKLKSIDSRGTKHTGSVAVEESEFSKWFWENRGDNNRAWKKRRREAAKEKRQRENRRG RR UREG_01656 MGNTSTKECRPHYEHGARHSRGGSAVDYEHQRTSDNARTGSSSR TLLNNRPELAILGLTSDRDQPSVEHRRETRQEREARKREKENAARIKERERSMKEEHV DGAYLVTQGVYVGPEDYNKAIVRQLMIERRLAPFWKGLNDFSDSWTEHQLMAAARGMP IPAPDEVPPELEYKIPSKAPEERQISDRSLNTLMVPITSRSQSYNSDTSSINRTISPA RSPGALSPTSSFFRGRAKTLASLTTSSKTNVTEMAPREIQLPKDPFVNGQPLEAYLYK DASECPICFLYYPPYLNKTRCCDQPICSECFVQIKRPDPHPPEHHDPNNSENNQSNEP DGQLVSEPAACPFCVQPEFGVTYSPPPFRRGLVYAPNASAQALANSSAASSASSLVSG GANPTTRRRAVSISADSPSVITTDKVRPDWATKLAAARAQAARRSAAATALHTAAYLI NTPGASGEPRRRSMLRRTAGQDSPISRTGSPHINALAYLAERRAIADRENESPADGSG NRAPGRTSSRRSRIDELEEMMMMEAIRLSLAAEEERRKKEEKEAKKEAKRRGKEAKKA EKHARKSGLYSNNPSNIALNVPSSSATTQTATKANLELDKSSNKGKGVDRSEARLGAV TETNPSIEPSKLASKDTNCEQTSATEGCDRRTHLRHVSSASSSSSSLVESAFGESIGS RTPHNGSSSNLAPIHGFRSLAAMIDDTTPSESAENTNHPGSSSDQTISSAVAEQPDDP LTAESGRPTPLSPPPLDRKDTLGKEADMSSTEVLPQSKLGTAS UREG_01657 MTAAELHFEMEKEQEARVNRLSRELSQLHQQAASIMSGASSRSA SLNDPQDYAPLFNPRSRNPSSSSFGIPSFAVGTSLTSVSGITPPRDSVVLPRPALDHH RGKISREPSLTSPGLSGTSSPALMSSLQRPGEKPISSNHPSPNNHLGSSAFRPSPTLT DDSRCGRLPSSSIAARYEEATARKAELDAARRENEVLRRRVRELEMILGQYRRSSPTR QASGSTRISSLTVSLADASIDDNH UREG_01658 MSAVHVSNISSSTSEKEVRDFFSFCGKITSLSLTPSSGDKDAPQ SATGSSSVHVTSARSIDEIAGDKAADASEAKDENNNTLEQEDKPRSRIFAEYLAQGYV ISDNAIQKAIEIDKKHGVSSRFQAALANFDKRYHATDKAKGIDESYKISEKAERGWKG LSSYFEKALDTPTGRKIRDFYLNSDKQVRDIHNEARHLADMKREKSPTGEGGEHSTSA GGESATTASVPAATESKT UREG_01659 MDVAGLRDRIQATLDANGEIRRQAEIDLKYAESQPGFPNALCDI LQAEQDQAVRLSTVVYLKNRVIRGWSPEEDHSIHTPIPEEERGPLRNRLLPMLASSPP PIRSQLIPMLSKILNHDFPQKWPDFMDITLQLLNGSDVSSVFAGLQCLLAICRVYSYK ASEDDKRAEFDEIVNHSFPQLLNIGSRLVDEESEEAGEMLRAVMKAYKHTIYLTHWWK SKKWAYANLNRLFIRKPFGIGKTNQPDYSQYAKTFLTTFAPEILKGYLQQVDKWVSGG LWLSKPALSSTLVFLEECVKPKAVWDHLKQHIDNLVAHLIFPLLCQTDEDIEMFDSDP AEYLHRKLNYFEEVSAPDAAATNFLIALTKIRKKQTFSILTFVNSVVSKYESAPDDQK QPREKEGALRMIGSLASVILGKKSPIADQVEYFFVRHVFPEFRSPHGYLRARACDTLE KFEQLDFQDPNNLMTIYRNILDALADPALPVRVEAALALQPLIRHNVIRTSMQTNIPQ IMQQLLKLANEVDVDALANVMEDFVEVFSTELTPFAVALCEQLRDTYMRIIRDLLDRR STKGEDETYGDFLDDKSITALGVLQTIGTLILTLESTPDVLLHLETILMPIISITLEN KLYDLYNEIFEIIDSCTYAAKSISPTMWQAFVLVHKTFKTGAELYLEDMLPALDNYVT YGSAMLIQNPDYLAAIVSMVEDIFSDGKTGGVDRICACKLAEAIMLNLRGHVNQYIPV FINLAMPILANDEARTKSYRIHLMEMVINSIYYNPILALQVLESSGWTNKFFSSWFSN IDSFTRVHDKKLSIVAISALLTLRADDVPASVQPGWPRLLQGISRLFQTLPAAIKLRD QASKASDMQFDETGEDDESDNDWNGEVEWTDQDEGDGGDGDFGDEGSAYIEFLNREAG KLSALQDDDEDDLDEESLLESPLDKVEPYSVFKTSILNLQQSQPHLYENLTKILNAEE QQIIQGVVDEADKQALAAEAANAELAKANGGT UREG_01660 MASYLNANVKMQFEMQHDEWQVKENISQLKQLMSDQNLQLLPDY EQRIQVLKDLGFVDDAGRVQLKGKVACEIHSADELVLTELILENVLAEYEPEEIVALL SAFVFEEKTENTPTLTPRLEKGKETIIAISEKVNDIQIKHQVILSSEDAGDFSSKPRF NLVEVVYEWARGMSFNRITDLTDVMEGTIVRVISRLDETCREVKNAAKLVGDPSLYTK MQTAQEMIKRDVIFAASLYM UREG_01661 MANDLVAALHQVRLHAQTLDAEAGDLDAWMTSSHTQANRRTRPV QSAEELKRELEAEFLTPSPRFSAEWLNRLQQRWDTPVDYTDLFELAPTQTRTVVRFTR EGLEGRVTSYREVTVPAGSATAKNSTSLRRRPAGRAEFVRGAAGFYPFEPGGLEGVEA ISALESEVQAEELRVSEAAGKSSLDRIIKFGAEGGLLEVAPGLSRGLSFEKEKAVEAE RDASAVQDALQRDDSELDRGIGEVDEAEGPSREEEIEEGLTPDDEEDIDSLLPVEYPA LEPRGDLLAASTKKAGREWAHVVDVNKEITNFYDLVPDMAREYPFELDTFQKEAVYHL ENGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRNTFDDV GILTGDVQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWE EVIIMLPEHVTLILLSATVPNTYEFASWVGRTKKKDIYVISTPKRPVPLEHYLWAGKK AGLNVEAAVNKEEALSSEDEASRVHEALVTLREPGEGAGVPRQRRTGIYGCILCYISK KKACFPRVSLCFRRRDAKRMQIRSPTRTSVRRRRRAQLIC UREG_01662 MTLDAALLHHQLRHYISTADPDKIYVAVGRIIYTIHISSRKREI VTVVPFEPKCLTAALGWIGVGGSENGDCAFIKLGDSSGISSPRTRASALGSGIDSPLP LDFDPALRNLPPRAPVVEVIIQEFGGSIVNSMTLHRLPAQDDLFAHEDIAVLRYTQSP PLTQSGIYANAERDSNNDKSIRIFSLSRQEMLDTIRHPICMNYALMSPDSKVLAAVGD ANQVWFYRALPLRGDSVPAPGLEKWFYEWKWTLIKEFVLDSDPHYDDQCCFTIAFSPS SSLCAVGSQGGVITVFDVNSILDSDSESHKAGEEILCVFRSSRSFFDGGAVRCMTFSP RPWDLLVWVEDHGRVGVADIRQAFSRRQIIDLNMEEKGIELVRTQNLDKIDDGEGSGS ESDNDPSREPDRSPEDSRDPGRLSQGRIHDGHQDSQTMREELARDLTARERQIIDFLN AARWASSIEEGYPRGSYVSPMAIPHASPPRGSRHSPLSTSPPDHFHSRHEPPRDRNLE RTRTNEPRRRSSVVLSQENSASGQSTSSNSALIPHPTITLRWTASPSRLPLSDSPFET TPTNVPTTTTIHINGQAGSSSNAPEGTSEITAPRARVLFDRQLGNSTANGSGQRRSGL RARSIPRRSERLPISTAEAQDSRGVQDSELRTNVATERLRYQRRAAIEESQRLNQWEQ QYRRLMEFDQLRNNPRFRSLRGDFADRANREQIGVGTAGVGWGEDGRTLYIGTEEGIF EYKINIQDRKTFPGISCR UREG_01663 MLSSRLSRALPRATSSFARSNAFKIPSTAFRRWESTESSEKVKG AVIGIDLGTTNSAVAIMEGKTPKIIENSEGQRTTPSVVAFTKEGERLVGIAAKRQAVV NPENTLFATKRLIGRKFSDAECQRDLNEVPYKIVQHTNGDAWVEAHGQKYSPSQIGGF VLQKMKETAEAYLNKPVKNGVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAA LAYGLEKEQDRVVAVYDLGGGTFDISILEIQKGVFEVKSTNGDTHLGGEDFDITLVRN IVQQFKKDSGLDLSGDRMAIQRIREAAEKAKIELSSALQTDINLPFITADASGAKHIN TKMTRAQLESLVDPLISRTVEPVRKALKDANLQAKDIQEVILVGGMTRMPKVTESVKS IFGRDPAKSVNPDEAVAIGAAIQGAVLAGEVTDVLLLDVTPLSLGIETLGGVFTRLIN RNTTIPTKKSQTFSTAADFQTAVEIKVYQGERELVKDNKLLGNFQLVGIPPAHRGVPQ IEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDSEIQNMVEDAEKFRAQDSER KAAIEAANRADSVLNDTEKALKEFEDRLDKTEADQIKERIATLREFVAKSQSGEGTAT AEELKQKVDELQTASLTLFDKMHKARQEETNQQQQSQAGESSGEEKKP UREG_01664 MQFSHFLAAVGHEVDDAEEGNALLQASGRDYRGRPETVLWKITP LFAQWLCSGTNILWESSLLHQHSTAIELGCGVAGVLALSLAPSIGQYIATDQEYVRKL FHENIEQNQHAIHHKDRTTRHRQQKSRRKPAAETPSQSRSRSRHHARSAESSQSPAGA ERKIRFVPLDWETDALSGPISTVEDGFDVLLACDCVYNDALIAPFVQTCADIARQRPS LAAYMAASETGMRPTLCIVAQQLRAHEVFEGWLRESLAEFAVWRVKDEVLGQGLRTGS GYVVHVLVLRD UREG_01665 MKLNTLPLFFLGFFSPPALSAPVESGTTAASLSTRADACTVALT DQYMFKDPITTFQSHRNAKQPSCFDWSSDNCTSSPDKPVGFNFIPSCQRHDFGYRNTK KQGRFNETIRKRIDDMFKSDLYKECSQYSGWESWKGVKCREIANVYYEAVRRRPAQPM IGLGWPLTHPSFCQGRASSSGSQTSLFRSRDDGRAANLRSLEKQALIDHLSRPNIPSN HLEVGPGAQESQTKRVFAPTQSLRTAMFDTRSHWCESFEARSAQLHGLDC UREG_01666 MAGSQYGAIGMTFKVVRMLQAVCLIAIIGMTANFISQMVSSSTS PPEVLVGTLSVTCIAVLYCVITFILFIDNILPFLINTGLDGLHLIAVIVVAVTVGKPL SYVNCNVLGKVSGDTSSAYSFAAALGASLAKDGNKISYTHWIGASKPNCLEMKAIWGL SIALCFALLRFGGNPSSIFGLLIILPFRVCIAIWNLA UREG_01667 MSANTNNWTYHSIAGAYFLGIIPHGIYFVKMMRATNWKTSNLTP RENLNTLRGKIPEDTFNKLCRLRGAHLNALESLPLFATAMIVGNMRDLPSKELNILAA EYLGVRLLYTAAYVGGRSEFLSYVRTGLFGWSVMIPIYVLAKAGNSLLGSGSV UREG_01668 MAQAGTRLCYLVVNPAWLYAGIDQFPVSTDSLRLTTMLPANSKK AEAECVLCGALKSLCLACLMGRRNDVDGAASLPLSNIRNADSDLDARGGSRQSIEFEL AIIRQKNDITGHI UREG_01669 MKLTALLVLAGVCLAIDPPRKPFPPRGGGDKVLTYNETVTRRAI APRSISVDWIAGKEDGQYVYQGNDGALLIQNIVTNSNDTLVGADKVPDNAYTYFIKPD LSAVMWATNYKKQYRHSFFADYHILDVKSGELTPLDRDQKGDVQYAAWSPKGNVIAYV RNNNLFLWKDGERTQITEDGGPNTFNGVPDWVYEEEIFGDRYALWFSPDGEYLAYMRT DETGVPTFTIPYYMDNQKFAPPYPRELELRYPKVSQTNPTVQFRLLNVESAKGKTVAI DAFEADNMIIGEVSWLTDGHDDVAVRVFNRVQDREKVVLVNAESGRASTVRERDGTDG WIDNLVAINYVGRVRGGRANGRERYYVDISDMDGWAHLYLFPTGNGRPIQLTRGPWEV TAIHHIDTERQLVYFQATKHHSTERHVYSVSYSNMRMRALVNDSEAGYYSASFSAQGG YYILNYHGPDVPYQELFDVKKGKAIRTITSNIDVVNKIKEYKLPRIDYFTITLPSGEN LNVMQRLPVNFSPRKKYPVLFTPYGGPGAQEVNKAFKAFDFKAYIACDPELEYITWTV DNRGTGYKGRKFRASVAKKLGSLEAQDQVFAAKVLSKFPYVDKERIGIWGWSYGGYLT AKTLETDSDAFSFGISTAPVSDWRFYDSMYTERYMKTYELNEAGYNASAVRKPEGFKN LRGSLLIQHGSGDDNVHFQHAAAFTDLLMGAGISPAKMTATWFTDSDHSIRYNGNSAY QFKQLAMKVYEEKHRDFGQAKHQWSKKALEFGASLDES UREG_01670 MLLNASALSTRVIALSFAALILVLWLLFPGTPATVKNRITTPGN DANSHATADSLRERQATFWKALLPALESAAPDCPSPSRNGSTGAIGFVDGMPLERPAL IEMPDKDILMMRDAHARFLQKVRETPELRPAYVPKTRGVVYAAGGKYLPVFVIGLRML RRTGSKLPVELFLKDETEYEPAICNEVLPALNARCVVLSTILETTASNSTKVEVAKYQ LKVFAMLFSSFEEVVWIDADCFPLDQPEVLLNSEPYKSTGMVVWPDYWISTVSPQYYL ISQLDVPSINIRASSETGEFLISKKNHHSTLLLSTYYNYYGPSHYFSLLSQGAPGEGD KETFVQAASAANEPFYTVSEPVQPIGHRKPNGDIAGSAMAQFDPVEDYKLYHQRNSNS NTLGPVKPSSTRAFFIHAHFPKFNPATVFSNSSETKPTYKPDGSDGRAWLVDETTVKR FKPFGHM UREG_01671 MSAEQLNSTMDLESNNSEHSFLMNDTVHSFTWKGVTVNVKDRQT KQKKSILSNTSGHVNQAALGPQPLKETSYSISKKERLHRIKSLLNAFGIQNQAKTLIG TPIQKGISGGQKRRVSVASQLITRPKILFLDEPTSGLDSTASFEVMSFIKDLAVKNKV RGFESVPIGAKLMTFQLIVIASIHQPSTATFETFDKLLILSTGKTCYFGPGTQMKSYF DGIGHPMPLQTNPAEFVLDLVNTDFANDNAAAQTRLGQIHESWDNSVESSHVDAEIAS QVGASEKSERISAPKTRKSNFLMTILTLLHRSFIKSYRDIVAYGIRIVMYLGLAIMMG TVWLRLGSHQENIQPFINALFFSSAFMSFMAVAYVPSFLEDRSTFIKERANGLYGATS FVISNFIIGIPFLFLITLLFSIVAYWLVNFRGGAEAFFTFVMWLFLDLLAAESLVVLM SSLFPNFVIALALTAFANGLWMSVGGFLVSPTILNVFWKYVFHYIDYQAYVFQGMMVN EFAERTYDCGSGCHCMFPSELSDQCKIAGTGVLNEYGYKTGREGKWAGILIGIIAVYR LFGWALLMLRKK UREG_01672 MSNTVNRSTNPVRPAPLACLECRRKHLKCDGGTPVCGRCRKAQS DCQYTPSRRGYKPSSKPSAAPSTVSGTPRPERAIVSPISSAQSPFSIPSLTMPGIPPS QSLDRLGLTSTDRPSLNPNIFALPSNSSYPQGDDFLFDYYYAFFHDAHPILPPAHLLP RIAPIPPCLQAVVRFIGAHYTLDPSADLYRDSVVSELAAANEPSFYKVQALLLLSIVL HARNERSDAVDSFSTAVELALGMGMNRASFASTLGENDPVRIETLRRTWWELYMVDGM FSAFDQMPSRINNASRILKRTLDLGYVIDDYQLGDQVESIDASIGSWFHHLPISKRNI LGPDGTVDQLLFRAHMIINCALIYLHLPRSNLLATPTAVASIPCARRGLCIAAASSTN LTHAVKSIKAANDLAALAALRTSVIKHSPFFICGLVLSAIVQLCACSVRASNCLEPRR DRIALIVGELKSLNATWAISQLVMKQIKLVAREVLEIGVQQPIFPALEDQGPDISSII SSDMWLGDIPIEQ UREG_01673 MTSVPGKCHCGQIEWTVKLADQEKSHILCHCDACKIVNGGEFTL NQVIPEENFKLEKGEPSKYTYKGDSGNPVHCFFCPTCSTHIYHHQTALGPKYIIRTAA LAGSKEWPVGAEIYCKDNLKWFPKIAETTFPAAPPS UREG_01674 MTPASNVKAERYKFLLYEEGAFFLPHQDSPKSDRMFGTLVICLP SKHEGGELIVTHHDKTRVIRTSTTSAYGTSFAAWYADVTHEIKPVKLGHRAVITYNLI DTGAGSVPVAPVAGQPEPGLENAFKLWRSSIDSANAVQNNHNDPPKFLLYQLSYQYPS ISFDVNSLKGEDKQQIRRLEAVGGKLGFQILLGFVKRVVYGECEDEYRGDRYARVRSS RYHAIVDPIGDSAKLEKAVDLTGETVLDDLKIKAPNFIFDGALGVPQIMRTTAGSPGM KGCLQHTPIRERWAYLLDFQDDYQTDTATFQVAMILPHDFIIALKCKSLGSSGVSNWL DQLLPSLSDPDYVQSRNEFNGIVKFFYDRSARRAKKPPTRFASPTSDADDEVLSKITQ GFSVIGDMEACEKAITGLQMKPAPELFPCIVKLFKAKDIQEAIVLLDTLAGRSSSIGE SFDVTFELAKFCREKLGTSDDQVRKRITEWETSRIKSILRQPQTSYCSQDGLALARLA QRYPEADTQRLIKRVALKMAGKNDFIFPFLDHLCTSWAADPEQQWISILCQTVLEWKI RNLKLGDVAPYSLESTSSESDADGLSGEHVCSIIKQCHLLNLNLPVKELLRGIRKISA NSIEDENFATQFAFPFFKEVLQDLNACGLEETGECLTLVGAIIEDYVRHNVGACPPIE PFEPPRRAGCICYDCREFNRFLLSTETSQVFHTGSTKKHLEKLFAKNELCLITERVDR YRTRVTKDFPPHVLWEEIAKNANQLIQSIGTPAQVRAVLGAECFRALVDLRILESTWT FTDKRGTKRPASQYIKAERRPNKIIVID UREG_01675 MKFTSVVVAALFIGGSLSVDVPQCSKRCFDVAESNRGCNVPVQY SCLCVPSFISNDFYRCIHELCSSKDQELARGVVNRQCDRDRTTHERRSASDDKEGTAF LGTRKHTGLFALHSAPQGAPTKAHSPRAASTHPHAAKRDPTWKKVVIDAFAFTTYCLN ISFIIVLVLLLIRMWRVAVRQSDSVLPQVQTTPPVANPNRECQFYHRAIHAFRRKNPS SQWCEGNPLSP UREG_01676 MPLRRSMPMTPSIWSQTLRRHYTSRQHLDTPTIRKTSFPALSAA LVFFNPKHISIGNGYHGSHGVISIISRLTGLKKLDLDCPAEQLEKGDVVLLETPVNPY GTSFNIKAYADKAHARGAYLLVDSTFGPPGLQDPFLWGADMVMHSGTKYLGGHSDMLC GVLATKNKEWRERLLNDRAYLGNVMGSMETWLGVRSLRTLEVRVQRQSENATALVKFL HDALKTSGSGNGSTDIVQATVKEVVHSSLQEKDMEWLSKQMPGGFGPVFSIILKEERM ARVLPTKLKFFHHATSLGGVESLIEWRAMTDSTVDRKLLRVSVGLEHWVDLRDDLVAG LKTCLDG UREG_01677 MVLETPDHGISASAESKARKWRDKIFSKEKDASKAARDAQIDDF LGSSRRLPSQSQPRIPPRPGVPSPRIDVSISQRPRDANAPQSSTDAFFRPQNLQSPVK RRRRKGLTVKFCNDPPQIMGEGGDEADAPTKDMIAVYRTRSNSSASTFTDTSDFSPID DHSPSDTHPSRHAIPPTIAIQSQSPVEDPTWRPPLIQNSHDSDFLLSLGEAARGSRLS LRQSSDPNSFARRVQAKMRADEGRAFQNRRDDRGESPTELASPLQTTAPQLGSDPPRS EEKSSTPSFWSSVLNALPDETKSTLRASPPSGPSISPSPTPTSNPPATYQPSRPPPYL KSPESSTASTQFQSYNEDKESSSLPKNLRNVATAVGDTALADFSDYVGNFGQLFSLAA ESAKPSMETSLVEWVRACVWWFLKGRGELEAFMRSRPSSSGASSAQSDQSQQAVVNLA KAWWINQSIIPQHPELARFGRVSTDAMLSVAKNMGDLRVAHLITLHQNMIGHLRGLAL SMKRHSMLPSGNDAPSLLQSVDTSIWIKYPFFAPDISAILSGSFSKSMLVDTSTKQAD LGDVMPMGDSSRYFCYGRMFVDARISSGEDSSQEIAIPCMLSITRNRQDWNVIATITS QSELVNIAIQPDKNQGPTWADVDWQVRACSMRLRLPRGFELNVHFKQPDFNMLWKIVE YSRKVEASLQPEAGEKAIFEDILEIFQYVDPRPNKAFPPEPSPRCRIRLFKKAVKITE GTGTRQSHRGYRFVAVTSPKVKTLTSVSHHLGSGHPVVFGYLRGDNGAPALMLKAEDG DTMCSMILTFSDDEQRTKMHSLLLGIVPTDFEFQTSEIALKSFSIEQPVGSPGDLQIR LDVNMPTALAICRPPQNDLEIAVAENLVPKELPNELTSFLKTVNSESLIRKYNFATIQ GLAAALLS UREG_01678 MSSAGLTTNVPVDEPDGCSHEPPLDACRQCSTPTRLIPGRPFFR RRMDRQTSPLPAFRIPLTLLQLLLLCLALAPADAALVPFENCLPDAIIRSKPAQLQFV PLFVTATFERSSEQKNLTVTVYGNVTGLARPGRYPPPTDPGWSDPGVTVGKIVEFDQS TNVYTTLSSRIDVLSFTPYRANRRPFCDALVQGECPLGPVFNANASDLSQLRAFSFSH NLFSTYAFASVVPTFEVYAGDSDGTPIACVTVDVPPDLGPTLNDSLAYIPLVILILVG VATISAAIYSPWGTTDIFRWTSNYGRDEDLLRLVTPGFGDCLQYIQFIILTGSLTLNY PGFFQPVVSNVGWSTLMFNESLVSHGNGTQPLQDGVYTVNGTYGLDRMRQFIGMSAAR DVWPGMVVWLLSILAIVTLLTQLAFSFQWIHRKVARVPEEDLRAKNLPFTAGNVIRII FNYFLLPIVSLTMFQFMVAGSSPVYTVALAAILLVLLILFSGWLLILIAKTRPRSYLF DDLPTVLLYGPLYNTFSDSAAPFAWISVLLTFIRGIAVGAVQPSGIAQIVLLAICEVV LVLTIIAFRPFEYPTSMNAYYTVLAVLKCLTILLSVAFVPSLGVSDASRGWIGYIILL IHGVVLVFGFFLNAIQTLIEVIARLLGAGGEGGVEGGAARGGLSKVLGLRIFPLLKGT NSYVGIRAFGMGQSRGDGSVPYTPTTPGAISAFSGPGRQSGGSASPRGGLVKLKHTET ADHFYRPPRQRRATLDGLSPASRRHSSWGDWSKRDSANTNSGEEIDVAEGPSISGRGT PAPAYLGVSKDDPDADEEAGNPKTDYAVREADFYYRVRGPALSKAPARKLKTGPADPT GPVSSASGWLRNVFRGKTKEKGRGFEVVRSARAPPPVLMPAEEPDVFSEPYRDDPEPS KDKTRGAESNTAGAQAHAEDSENRTEIPAEPPSLPPIATTDSIELLSRTSTRKGAPEL RGLRIRPPTLPRKSSKRHSSADLDVGPEGTRRDASRSRPSTGQEFLAAETPRPKHLQL SNVQQPGRLPFASKSSSTRSDQISSNSAGSSINNPPEEDPATPRPAHRRMRSGPERFV STKRTDRPSSLGYVQQYRASDHIHNANSSSPPFSESAAEIVKDT UREG_01679 MPPPTTAPSNSKNNPRAVGQTARAAGDPASTGSLSTPIIPVVDL TGDSPEPQPSRTGRGIRVSSQESDPHGQTWPEFLREQSPGRQAANSSNNSGSCLRETS RKRRLGSAASETGAERVRSSGAGSSSRRRGNHGLLETRSDDAVSTTTLRTSSTAARQL NSLRHRERSFTDYQLPKWQPDSEVSQCPICGLTFSFWCRKHHCRKCGRVVCASCSPHR ITIPRQFIVRPPESRTSSSTNPRPTPPNAQVINLIDGEDDAIRTGTPSRAPGRQTQPS NPALGGGEEVRLCNPCVPDPNPEPPRRYSVVGSSGHSSPSGAASLRHPPPLFSSSMGS SELYNHLPSFHRPSASLGSTSLVDVDATREFRRHRSRGGASQAESPELHRAGQTGIRN DQLPLYGAFDYTLPPVASGVRSRQRLPQSMGQHPSIPTYPPLTANDPVSIHSRGSHPE LGYISVNSLFRNRPDRPLPNPPWPQQAHPEFEPDLCPICNRTLSGDEEAREAHVRVCI QSHTSSNGESSDSPSGNAQMVHFKATEKDCLSEDGAPQECTICMEEYEVGAELTRLLC FCKFHKSCITGWFRRKEECPLHKVLT UREG_01680 MEIDRHPRPATQSTSSSASAPQAPVHHSRPQSPHSHYSGQPGTD NGAVPRDAPGSIPTPQEADVTPPSDPLADLKRPRACEACRQLKVRCDPDPGHPDGSCK RCAKAMRRCVVTVPTRKRQKKADNRVAELERKIDALTASLHASRAQVSAGDLLASPLP QTPEQEVRSENWSGQFPSRENSSARRNGASQSSPRAFAGAKRHYSGELKRTFVNPIIT PLSARQHSPSEDGPTAPTGRLGRRGDEEWPQLCSSLEGITQTKSENEYRDVIDRGIID VETATKAFERYVNNMAPSAPFIVFPPGTPMSDVRRTKPVLFLSILSVSIGPFRPQLQV PFQNETHRIFADRVLVRGEKSLELIQALLVATIWYLPPDHFEELKFYQFVHTAAVMGV DIGMNRKTKANSKTLVMWKEVFGNKAPMVDPKSLEARRAWLGCYTMAVNASMSLRRPL FIRWQSYNAESIEMLESDPDALPSDQTIIQWVKLAHIGEDICFHFSMDDPTISVNITD PKIQYALKGFERQLEDWRREVPSDIYTPVMEHYENVLNVYMHEIGMHVDHNIDDFKPP FIGAIGEERQVDLGTAAHVDALTLIHHLRACADTDGGRLGAKFALMMAMLKSWHRRSK DKRQPLIVPSFFKAKDSGDADCDSVKEISVHGKPSTAAAPTPLDVLSEVATGGPQDAA SELERSSKIQAVPIPQPNQAITVHPSAGAAPVDQIPTTTQVAHDASTTAPPGALITPF INQQMGLSHIPPSQPPMTTEYQPAFSQHQAFGQVPDAMDGVVQQQDLWTQGFMPDADL NLALAFQGNVWDDDFFPFPFDFSDKSF UREG_01681 MPASASSAVQTKGIYHGLPVFPESIKGLTAIVTGANGISGDHMI RVLAESPERWTTIYAMSRRPPAVPRKWKTKVHHIPLDFLNSSPEELAETMKKHGVKAD YIFFFAYVQTEPKEGGGALLRRFLDALKQAAITPKRFMLQTGAKNYGIHLGPTINPQH ESDPRVTLEPNFYYPQEDMLFEYCRQTGAGWNVVRPSYILGAVKDAAMNLAYPLGVFA AVQSYLGKPLVYPGDITSFHAVVDLSTAMMNAYIEEWAVLDPKAANEAFNASDGSPFS FGKFWIQLAKWYGVGCELPDENVAYNTMQTAYEPPPRGFGPRGTHRYRYTLTEWAGQP EVQVAWKALMKEYNLESDPISNEQDRARIFGFADSALLGVTALQFNMDKAHKLGFFGT VDTVESMRKVLEEFADLKMLPPLPKPKSVSL UREG_01682 MLLMPSRSYSTVRELFQHAEETLAEDGYADGDASAHIQGFNPED VSPRYQRLISKIRSLVDDQNDKSNSDEPSSSAIKTDPTWGTMHTHSSFQQIRSPWFIL ISLFTVIQMLRMNYFISTIRPQYEYLLSSPEQARKLNHLFDFFLPLGGLISIPFIGII LDQTALSSILTLLVTGATLIGVLGCISHSLFAAYANIALFVLYRPYFYTTISDYAAKI FGFQTFGRVYGLVIFLAGLGNFFQSYLDILTMKSLGGNPVPVNVGLTIAGAVAGVVLV WFVWWRTRKSLGTGVCDISETERLLAGTADETRDGSYGTLRR UREG_01683 MGRGSSIACQLVVGYHGGRDGHAGIATGMDSRVGVKETMPGWNL GSGDSPHSVSAQHIQSIDADAEPALFIAPSIPCPDIVHPRLFALKASCSQNASSVRLL PASPSSVTSPLAFGHSQACPSQQEANTTIAHSDLKQRERAQRFANLPAAYHAGFTAFD RSIIDEPIEDLVEGVQSGAVSARAVLQTYGKVALNAQEKTNCVTELLLPEAEKWADEE VNLKGPLAGIPVSLKDSIQVKGFDTSVGYTRYTGKPATEDGGLVKLLKDAGTVDWNFS SRHCGAIPYAKTALPITLLSFESSNPLWGVCRNPHVHDYSPGGSSGGEGALIALGGRI GVGSDVAGSVRVPAAWSGIYSLRCSTGRWPKAGVSTSMAGQEGVPSVFSPMARTLGDL TYFTRSIIQMQPWKYDTTVHPISWRDDEENDAKTKKLRIGVMKSDGVVPPAPAIARAI DTTRAALAAAGHTLVDITPPPTATPLIGLNLASQLLNSDGCQTFNSHMRTGETSDPGA DKLTRYANMCRPFRYLYYLYVRYIKRDKVWAYLMKDFGLKTSTQLWKLVAQREAYRAT WHNWWNAKEQSYDFILCPVNATPALPHGAMRDGFSSCGYTFLFNMLDYSAGVVPVGHV DRTKDALVTSDGRPAKKNSYKRILKELGADNTVSRGAWKYYDADAMHGLPTAVQIVGG RWHEERVLGYMEVVERALGEFKTPNGDVYPSASERWTKCCLTRGTRGVVSLPWPDFEL ARS UREG_01684 MSIPIHTTRRVSLPCGDIIVTAGTEDHRAVLPPNVSSLKILGSV SDYYQMAAYQSNGRSSILDGKPFFTFGETIQKGHNGEFIGVIPNTSAPVVYREANPIE VTHMPEGVPAPLVELTDTERSFERESGYNIILQPEGGICEATPPGHGWTWYKKYIEQQ NIDGTMTRIYYGTGIAPVKIEQTTGQLVSRRVVHGQLLFDRQQPGFGTFCAVRDGTWY YLWGQLGEHIYLARVGIWYAIQRELYEFWNGYTFTHDMDAMVPVLAGYSSGSFFRTDL FGHRYSWASSERFPRKSLAFLSAAEAVIGANLQFDMLHQGGFWKDICLSELPAVLLGR VRSRTALIEAMAQLAEVHQMANDGGITIRLVGTTRESLDQATKNIRELIVNLYRELRK EEGFNARDMGFERRSLGFNFRRRARDAMRRVFRRSARRYDEEDY UREG_01685 MYHNPAFDPKNKMNPSQLQNHFDAFYEDLWYLIGNVYARFKYED SAQKACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGGFCNFIHRKEPSA ELERDLELSTKKWLKMRGRDERSASRSPSPEPGKRKH UREG_01686 MASKDIPAEMQWNYLINPDKSPTVQFEQLCLGIANFISNLAKSH TRDLTPDKLAAFYKKVGGDYDSIFLSTPPASLSFIYQKLGCFHSLQPTCDAFEPPSVP ALLPHGFVRWQTIQLLLCPEEHGSHLQEAIKKFDIINPATGEAFPKEISRSVFPTEPD PEIVQWHDSLSKKLEHDFWASKTGIFPGRSDSPDKQSHRHPNAKDTLGHNARHDYFSQ RRSGRDVPVRMTPNDSPPPFPHSRDHAAHDEPSPLAGSPEPRQQYFEKEPRNTVKESR SSQSFRRGRLSPPREDKRGRSCTPSRLRWSQRATDSSDSSDSSDSEDLPSPIPRMPCQ HHLHYTEVPSQRRHSHDVGASSQRRWSKSPNKPRGQNSKEDLQSHHSPATQHARQYYY AYDPTIDESDTEHGSFRPMPSTTKPPSARRSSHQDHHERYPMDPPPEFEYLSRTTSAP VRKPLYPNPNPTSSTMRPKPKEYHVIHPDYTRSEPTSPGPRPSGSARHNSHSRTPPGG MYTRYYVPRAEPEPYLQAVPPPQPSRSRRSSRTRTPARSRSRGNAERPFTQPGGMKYQ SMPGPGKRYRTEWE UREG_01687 MFPSYTHLCALVVLAVVVCTGPAAADVCVGVTCRSPYANYDLAL RHPNATGTFDVDDLSLGPRSVRRLAVRDDRDDNDRDDDDRDIDRDNDRDNDRDDDRDH DRDDDGYDDGDDDRDDPDDDNEWTWNTKVMDVKVDADKSVVHKLFELYGEIEIDHDRD RDGDDVPRNVCAVVMKPKQRDNANLRRGDGRCSGVYRDNEIRDMERELRNAVERVHAR GLQRSPCAEVTGLEVDVAGGQENSLF UREG_01688 MASKAIPSHLRAPNPDPRSAEEGNSFVRHHGKSQSHMAFEHAST SVAASQMRNALNALADTVKDPKEKKRFEAEMDNFFSLFRRFLNDKARGNVLSWDRIAP PQPSQVVEYSELSNSASVDYLKKLAVIKLNGGLGTSMGCVGPKSVIEVREGMSFLDLS VRQIEHLNRTYNVNVPLVLMNSFNTDDDTQSIIKKYEGHNIDIITFNQSRYPRVLKDS LLPAPKSYYSPITDWYPPGHGDVFESLYNSGTLDKLIERGVEIVFLSNADNLGAVVDM RILEHMVKKESEYIMELTDKTKADVKGGTIIDYEGKARLLEIAQVPKEHVNEFKSIKK FKYFNTNNIWLNLRAIKRVVEENALEMEIIPNEKSISADKKGEADISIVQLETAVGAA IRFFKNAHGVNVPRRRFLPVKTCSDLMLVKSDLYTLQHGQLVMDPNRFGGAPLIKLGT DFKKVSDFQKRISSIPRILELDHLTISGAVNLGRGVTLKGTVIIVATEGSTIDIPPGS ILENVVVQGSLRILEH UREG_01689 MALATEHIDSIRSELKQEGAIQAAQDPQSNVSPEAAEKVLVEES KKADVAAYQFDPNATTEQKNEQVKMTIPTNLHRDKKPPPVAVTTDIVNGSTDLKSPTT GKTVDGPVSPLPKAGAELSDEERWARDRTGWAPRFHTQEDEVDEGEILLDHQTLLESK LDDNLFGDWYHNAGIIVFACLASWFVALIGGGLGWVFIVMATCGTYYRTSIRRTRRNF RDDINRELAKNRLETDTESLEWINSFLVKFWPIYAPVIGDTIINSVDQVLSTATPSFL DSLRLKTFILGTKPPRLEHVKTYPKTEVDTVLMDWKFSFTPNDTMDLTARQLRNKINP KVVLEVRIGKGVVSKGLDVIVEDFAFSGLMRVKFKLQIPFPHIERVDVSFLGEPEIDY VCKPLGGDLLGFDINIIPGLESFIKDQIHSNLAPMMYDPNVFPVEIAKMLAGNPVDQA VGVVAVTIHGAHNLKNTDKFSGSPDPYAVVSINSRNALARTKTVHETSNPRWNETLYI IITSFTDSLTVQVYDYNEIRKDKELGTATFPMESLEAEPEHENISLDIMSSGRPRGNL QMDVRFFPVMAGGKNPETGVEEPPPELNTGIAKITIEQAKDLDGTKSLVGQLNPYGVL LLNGKEIHITKKLKRTNNPIFTNPSKEVLITDRKSARLGLIIKDDRDLVADPVMGTYQ IKLNDMLKMMEKGREWFNLNGAKTGRAKLKVEWKPVALRGVVGSGGYVTPIGALGISG MWKPWGKSDPYVKVLLSGVEKGRTVTWKNNLNPDWDEVVYVPMHSPREKIMLEVMDEE SIGKDRPLGSLELSAADYIHEGEDGQYEVDDEKQLLSTGLRLEGRGHPKGVLNYTVAF YPTLNVADPEEEEEEAKTKQAMEGQVALESRKSIESTRSANTDSLQANMEPKANGRTS TDTSLSKPLSNGETVVESPIEKTVPKIHITPEDVSQYESGLIVFKIIEGHLSHNDVQL EVLMDDYVFPCYTSAKARSKHTVFNDVGDAFVRELEFSKMTLRLVEKTDKKGDDDHDH AIAKLSGPTLTTLQQCLYKPTELTLRSSDGAVSKVKVSLKYIPVMMKLDPSESISNMG NLRVDVLDAADLPSADRNGYSDPYCKFKLNGKEVFKTKVQKKTLHPAWNEWFECAISS RIAADFKVEVYDWDFGEKADYLGGATIALDQLEAFRSQEVSIPLDGKSGAIRLKLLFK SDYIIRSRQGSSTFSGTFATPGKIVGAPVKTVGLIGGGVVKGASFLKHGLLRVRHSSK DDPDASSPEISAPSNGVPNVEVTPTPHQTPALVESSPPPSAAASPQVHSRSRSTASQV GERLGFGGSGVKGETGSATFTIVSATGYPPSTNVRVTVKQSTSRGWKEIHKSKAHKVS SSGSSTPSSGGCVVTFDQGHETFRVKDVAADVQFQIQVKDHATFGSDQVLGEAILCKP KALSPLIKTRLEREITTMAGAPFTVSASSLQGLRDKTILITGGSSGIGLSTAELFLSL SPNNNISILDLSPPPSTSPLSSPENAHRVHFAKCNITVWKEQRAAFAATISRFGYLDA VFVNAGIAEYRDQFFRDELDESGGLKEPDRRVYDVDLAAANDTVKLGVYWMRRTIGKS EEEGGKKKRAGSIVMTASLAGYLASAGAPLYSAAKHGIVGLMRALKHDVATLNIAVSV VAPAITVTPIIAGPELRNNADPAEWAAQMAKIGVPINKPESVALAVAHLVDLGMKANG MGLLVQKDQMVDVERGLAKSRSQWMSQEMLDLFRGGRAAPLFENKL UREG_01690 MADALKAEGNKAFAAKEFDLAVEKFSAAIELDPDNHVLYSNRSG AYASLKKFEQALEDANKTTELKADWPKGWGRKGTALHGLGDLVGAHDAFEEALKLDPA NAQAKSGLDAVNRAIDAEARADGVAGDPSGGLGQMFNDPQLIQKLANNPKTAQLLADP SFMAKLQKVAQNPNSIGEEMRDPRFLQVISVLLGVDLQFGNPPEGAQQHGEAEEDVAM PDVKPQKEPEPEPEPEPEDEETIAKRKAKEAADEEKKLGTENYKKRQFDAAIEHYTKA WELHKDITYLTNLSAAHFEKGDYQASIETCEKAITEGREMLADFKIIAKAFGRIGSSY EKMGDLPKAIINYQKSLTEHRTPDILTKLRNAEKAQIKAEKESYVNPEEAEKARELGN QKFKEADWPAAVDAYTEMTKRAPHDPRGYSNRAAALIKLMALPQAVQDCDEAIQRDPK FIRAYLRKAQALFAMKEYNRCLDVCAEAAEHDESGANAREIDQQQQKALEAQFSSRAG ETEAETAERIQRDPEIMAIVQDPVMQSILQQAKSDPAALQEHMKNATVRMKIQKLMAA GVIRLGR UREG_01691 MASSSSAGPKAKAAKDVNELASAIYDKCISDFSSDHLFYQHDLL GLGVIPNNDLALLMKCAQSLVDQSLFRMLHGKDDRLAWKVVEQSDAEKLQNLNAEERM VYNVIHSTGRQGVWTKTIKARTNLHQTIMNRCLKSLEAKNYIKSVRNVKYPQRKIYML AGLQPSEEVTGGAWFTDGILDADFIHSLGVWIERWISARSWHDTEKADRQKKKRKLDE ASKPTEPQYLPYLPTYTGYPTVTDITKAINASGLTPVTLGEGSIAQLLEMLCYDGRLV SLRDGAAYKSVKKPNQISLQRELGFQGPGADKGTSDQDQLALGSNGMTEVPCGRCPVF SLCHEGGPINAENCEYFQEWLKEALSF UREG_01692 MAHAEDCSTVLEQFVHDANSGIVANLPAEISHLMEEIQAKDKII QECRALINSRDGSLQKFIKLNGSHALNPKEESYSNAILQNMDRSQVLQDEKIALSEKA AVILDRHIKKLDIKIRDLQNDGILSNDPPIPSLFSTKDTYRDTKSIFFTEAAQADTYP SPLNPTSGNAALPANLLQRLNSATTAAAGMSGTAGSTGRNSAPATPGAAALHFQQRQR ESSAGATENKRRRLNASLTNLPAAPSGLRQSSLGPGTPKAGTPGATRAGSAGPRTTTA TKKATIKKVAPHQQVRKIKAGATASKTSKRSSSGNGRLKPVSGNTASRKRSPASAASM LEEEDESMLSSADLSESENNVSRRQAGRDSDEEMEDEEDEGGEDTKVYCTCRSVSHGD MVACDNDNCPYEWFHWKCVGLTREPLGTWYCEECRRESGQMS UREG_01693 MSRTTVVQEYGAPPVKNPTLSLDTKTADEAEYDIIKRPKGYRVS WHANPAVEPHHFGSNHPMKPWRLTLTKQLVLAYGMHHAMDLYLSRSATYEELAEFHSS DYLEFLTQVLPADMESTSQVDTLARFNFGDDCPVFDGLFNYCSLYAGGTLDAARKLCN NQSEIAINWSGGLHHAKKAEASGFCYVNDIVLGILQLLRIHPRVMYIDIDVHHGDGVE QAFWSTDRVLTVSFHKYDKENFFPGTGPLDSTGPSHPLNPGAHHSLNIPLNDGVEDSD YVALFKSIIGPCIRTYQPGAIVLQCGADSLGCDRLGCFNLNIRAHGACVAYTKTFGLP TLVVGGGGYTPRNVSRLWAYETAICLDAENDLNPVLPESLKFRNHFRPDCTLFPPLSE MRKVENKNSRAYLESLVQSTMEQLRYIKGAPSVQMSVIPPDILGLREEIERELEEEKL LGEEEQEDRDGAGVSTVGIGGSRSSRRKDQEKGLGVRGELYT UREG_01694 MLPKLALGHHGHQTPRRSSLNSARSDDSSQPTSPGAEDETVVEP DQGNVLSHIISQLRPGADLSRVVLPTFILEPRSMLERITKGVKKPLNPILGEVFTCYW EYPDNSHGYYISEQTSHHPPKSSYFFMVPEHHIRIDGTLKPRSRFLGNSAASMMEGIA ILQFLNRGKEKHGERYILTQPNMYARGILFGKMKYELGDHSYVRCPENNLVADVEFKT KGYFMGTYNAIGGTIKNEATGEVYYELSGYWNGEMYIKDVAELLFNATTAKHSPPIAR PLEEQQPRESQKLWHSTTQALLARNHELATEEKTKIEDRQREEAAQRADRGVEWRPRL FRRVKGGPGGPEEGEEDLDWILNAHIDSEDPKLATRQILAVAPILKGQEVDHQFDIPP HCPSSHRSDKHHQPVSQNSAHEQQTNNLIDFGNDGAAPGLETGKESTSEQLASSTGHP IQRRDSHSSGVDEFVDAQD UREG_01695 MAQIKVAYGSVLEFIIQERLCWDDLKPKGTSLNVVWRTDDYKIL YNDWPYGVEKDIIHLVVWTKFALEDDPVTDDLTPRARMEIDEFVGRIFRSRMAAERVV WFKNWRSLKSVHSIEHFHVMLKAPDLEFVHEITKGDVPLVEKI UREG_01696 MTSIDTDNGFQPALIVVDMQEDFCPPNPLGVQGGRDLVPLINEI IQYPGFVVRVATQDFHPATHISFASNHPAPNNNPFESHIQMTNPAVVGSDPTATIAQR LWPAHCVQNTPGAEILPAIRMDKIDAVVKKGMDERVEMYSAFADAFGNRDCKATGGAS ADLEAILREKGVTDVFVVGLAGDYCVKHTAIDAAERGFKTFVIEEGVKCVDAVSGWQE AKVELAARGVKVVGLDGLEVGRVKVGI UREG_01697 MALLSPDQVSALTAADVSFNQVLKEGEFSTVFQVLIRDQKYVMK VLVLGWTPPNRETNIFICESTAYRRLKAKRLYEKGLVPDFYGEMENLDPDLWRPHLDS FLRDELRPCAVVLEYIPKLQKMQLSNFSEARAAEFRAIFLEIQQAHVYHGDPYPRNMM VSYASKPERCLWIDFDRAQTYSEGELTPRQKELMGEEIALVDNFFEDAVR UREG_01698 MEPPVLPPVSSQSPPRYPPLIEDDPIFRRMVSLHLPEPIPARTR SGSNPVLPLGWRTLHPIGIKEGIVPRGYEQQYGGQAFGWRKWRDFAEARRRLISRDPN IAWTSGQWMTERKGGSDVRGTETVARKLSAAEKSESDGVDANSLPLGPWRIDGFKWFS SATDANMTILLAKTSQDGAVSAFYAPLRRRVKGGNGETELNGIRIQRLKNKLGTKPVP TAELELKGMRAYLIGKEGQGVKEISTLLNITRLQNAIAAAYAKVRMVRNTLLMDMPAH VRKLAQDYVKYAANMHLTFLLAALLGLSEGQDSGPTPAARANIVPQTQREAQALLRLL TPMVKAQTALRAIKGVRACMENLGGVGYLENEDPLFNIARIFRDSCVLSIWEGTTDIM ADDLIRVAKGREGSECLAVLGAWVSNVLGAADSKGFGNEARQLRRVWEHWLTGIKTKE KEQLKWEGRSYLRDLEHVVCGCLLILDASRDRDDVVREIARRWILGDSTQGPWKAQAE WDRRIVFGAALRNTRL UREG_01699 MSSDPRLAGDVSSRSVTPNPPPPPPPPPPEDHWLNSSQAPGSQT KTVLWKPITASPLPHLHTQSYHSARDPWSAFLGRQLPSRTYTIFNTVSYSQIYDELQT KDPRLYRNNGVLPMLDRNRSVKWGPERFQEWTPGLPRLDHVSRGDKGSLGTEGGIVDV IITCEEKCWDAVVDDLMNRGAPLNRPVHVFNVDIRDNHEEALVGSKAILDLADRLNEA ADQERKMNGVDGWDQGGGAARRGFDEKVPEILAKWQEKWPNLPALWTLSWF UREG_01700 MVTHWELQNSDAATQSSEEDEGLTAGISRRRSPLNGLGSARRNR KPRTLELSTVILSDTQSEEIVDDGGIFSDGETTYLQFKNSRKRKRAVGQKRKTKPPLK GSGVGRREKRGGTANEGPTQKKRRQMKNYGEVSSEDEMMEDGLPDYLQKRRSQFDKRR ERLLEAGLKLPPDYEDVNFSDDERLEYLKEKPAFSEIKPCRKYEDIPLPYSLGLIPAP IAQWLRDYQVEGTSFLHELFVYQKGGILGDDMGLGKTVQVIAFLTAAYGKTGDERDAK RMRKMRRRGGNPWYPRTLIICPGTLIQNWKSELDCWGWWYVEVYHGDSKDEALRSAAS GRVEILITTYTTYRMNKDALNMVEWDCVVADECHLMKERKSETAKSMHELNALCRIGL TGTAIQNKYEELWTLLNWSNPGRFGPVSTWKTTISDPLKIGQSHDATVYQLSKARKTA KKLVKNLLPAFFLRRMKALIADQLPKKSDLVVFCPLTDTQVDAYENLLDSDIVEYIKM SSDPCPCGSGKKSGWCCFTTVPNGGRWQNYVFPTISNLQKLCNHLATLIPQPTDPDEK QERDLRMLEVAVPDQWRELYRTRGSILNYSNPEFCGKWKVLKKLLKWWHSHGDKVLVF SHSVRLLKMLQLLFNHTSYNVSYLDGAMSYEDRAKVVNDFNADPRQFVFLISTKAGGV GLNITSANKVVVVDPNWNPSHDLQAQDRAYRIGQLRDVEVFRLVSAGTIEEIVYARQI YKQQQANIGYNASTERRYFKGVQEKKRSKGRDFWSLQLFEYRNNICVERHTRHDPIQA ILASAGVEYTHENSEIIGPSKIEEQLSRRAEMADDGGDFGREQVFQGSQGLTILSAET KGGKMVKFKYHPPNDVMRRQFCSMARDSGYENATEFALVVEGMTQAERRAWLEKWYKG RREILLRDEAHIVNSPKIEIKTKDGSDETKIKEESLAGAHHSLAAG UREG_01701 MWCRSCVIKVANTSSRSIENIAIEKRIFSRFKENGYHRNIVKCI RYDDRGIYLERAEPGCLDLYFQQGGDGTVAERIQWSLDIANALQYVHDLDIRHGDLAC KNVLLDSSRRALLCDFAGSGIDGQQPLVRPSEYFMHPDPNESEPCTIKAELHALGSLI HEIMTSKRPYHDEIDYKVAELFRNGTYPDVKHIVLRDIIAKCWAGEYESAREAAEGID RVRLALM UREG_01702 MVSNGQGYLIDFGASKLCPNRYLSRERLEKWKQDEVNSLEYYLF RLQSLKRFQGIQLTSATTAEEAYGGELNEWKEREFSK UREG_01703 MELSLWDTAGQEEFDRLRALSYDDTQVIMLCFSVRFYPVDIWSL GILIWKLVEGKSLFHEVQKSVPFRESMYLGRIISILGPAPVDVLGRGQRSNWYFDAGG EFKWPHYVKTEALEDILLYVYGDERNEFLDFMRSMLVWRPEHRSSARELLDHPWLKPA SRRRKKNP UREG_01704 MPLDTSTTYRLTRLRLDGRRWNELRLIDAQISTNPASSGSSYLA TGNTVVVCNVHGPAEGKRSETTGGSGGAVVSVVVNIAGFAGIDRRKKSMMASGGGGSD STISIHVSVLSSDGSIFAACVNACTLALVDAGIPMPGLLCACTVGMSGRASTPAAELA QSSSRVGGINESLDPLLDMSHPEEMELPHMTVANTNPVGGGSEADAEEAQMLSIVRME SGVHISYLETMFAVGIDGCKQMRQILNGVLKRAGKKVLEVEAGHSAGMDIEP UREG_01705 MPLLARCPTLLAATPPLFSSSTSAALSRSLPRSFSSSSSLRSIC RPSINLASKSNTQFLRSFHASSAAMTIKTFFDIKYTDPSGQEKTERVTFNLFDEDVPR TAENFRALCTGEKGFGYKGSSFHRIIPRFMLQGGDFTRGNGTGGKSIYGDKFADENFK RTHTGPGILSMANAGPNTNGSQFFITTEKTSWLDGKHVVFGEVADEASMKTVMAIEKT GSSSGAITTRTKPTIVDCGQL UREG_01706 MSTISMDGSEWSGINQYQSSGKSEPSLSPNPLSPGGLPTPPASG SLIYNALGSNPPDMNGLAPPQRRNGPSQNPSPPSSVVSATRSRGSVGTLADHNSQKYK QMEERLSQHYAFLKNFLQRGNEYDLNDARAARAREKLKRLSPIQFYDLSTDVYDELQR RQAAAAANRPGPPRPEVPPYLLPDPEIHAKRNQARRRLATLGPQRFGELVMDVFCDHE RRFPHLAAGDMSRHGSPAPSLRSRYGPSPVPGPNGMSRPRSSSRGRPPGPGGRGYPPG PPGGRFPPRQGSLSQNPPTGLGINGETIPENAPYQKSFQSNTIVPNKSTLVEDDESAT FDDDDGEDNRRSDAFTLDKVLDSRRGTTATIGGGFSEKDNKKLVEAEAQVTNLQSKVE ELESLLENKEKEIEGLQENAENKLKSEKRQWEDLENELTNKLSDAQNLNESLRNELDN ARREQQTMEREMQEHLEQLRLEQQQLEQQQSQRENEVSSAAVVDTEATEWKTRFQELD REHQALKAEFQQQQEITEQVRQQALNSLDEMRALASGSNWEQEENLTKDIHRLEAEVK HWKNRYAKLKTKQRHLRSSSLGLPGHIQDAVVFAREHDLTQPDGLVKDVHITKFQMAI DELLRIARSDDPTLVLDQMKAVVVAVRLISHDIETAQGKGDDYGQPRNRAKSRMSATA NNLITAAKNFTNSNGLSPVSLLDAAASHLTAAVVDLIRNVKIRPTSEDELAEDDELDD LAPMQSPGYFSTAPSQSRLSGNESVYSAISSPSSRARSQTFSRIAGIRNAHTSAQSLG SGLKPGFGTVRGPDRELEGLRIYLEDQTEGLVQSIQSLVASIRAQDDISVVQTHITSI SNVVKNVISCTEDTLAQPNANPALRERAGPVVEMLSGCCEKLIEAGQEGGGVSDPGQI REITSKLPPIAFQIAREIKELVQRVDQLEMDSQEDDDFR UREG_01707 MRGSSLLPQRFREVTSPDSADAGWVNRRITNLLQAVSRRACIHP IHTIVVIALLASTTYVGLLDGTLFDSVKQATYSPGHVDVDSLLEGGRNLRLGEKTGWR WQVDDSLQLGDSNTARHLALTTFVFPDSSNRSPQTAPLAENVPLPSNSSARSIPHTPN LLAPISQDSSLAFTVSYDEITPFLTAVREIPDSVNHKDALEQKKWIMKAARGPISGSR TALRLWLAEAWTSFVDLLKHAETIDIFIMVLGYLSMHLTFVSLFLSMRRLGSKSWLAA TVLISGGFAFLFGLLVTTKLGVPINMVLLSEGLPFLVVFIGFEKPIILTKAVLSASLE SSNQRPADTNRQTTAARKASPVRSIQDAIQHAVKAKGFEIVRDYCIEIGILVVGAASG VQGGLRQFCFLAAWILFFDCALLFTFYTTILCIKLEINRIKRHVELRKALEEDGITRR VAENVASSNDWPRMNGGGNGNSGNESTGIFGKKVRASSVPKFKFLMVGGFVLVNVANL CTIPFRNRQEDLPVLTKLSNVLSPAPIDPFKVAENGLDAIYVNSKSQGVETIVTVLPP IKYKLEYPSVHYGGPDNSVFDIEYTDVVGGRVIESLLKSLDDPIISKWIIAALTLSLI LNGYLFNAARWSIKEPQTDSEPQKRQVETLPEPVTVKRPIVKADGSARTREECEQMLK DKAAFLLDDEELIELSLRGKIPGYALEKTMECKEMTRTEAFTRAVKLRRAIVSRNTAT SATTGSLEYSKVPYEHYNYSLVHGACCENVIGYLPLPLGVAGPLTVDGQSYFIPMATT EGVLVASTSRGCKAINAGGGASTVVTGDGMTRGPCVGFPSLARAGAAKVWIDSEEGQT VMKNAFNSTSRFARLQHMKTALAGTYLYIRFKTTTGDAMGMNMISKGVEKALHVMKTE AGFDDMATISVSGNFCTDKKPAAINWIDGRGKSVVAEAIIPGDVVKNVLKSNVDALVE LNISKNLIGSAMAGSVGGFNAHASNIVTALFLATGQDPAQNVESSNCITIMKNVDGNL HISVSMPSIEVGTIGGGTILEAQSAMLDILGVRGPHPTNPGDNARHLARIVGAAVLAG ELSLCSALAAGHLVQAHMAHNRAAPSTRSMTPVSAAVATTATDRN UREG_01708 MFTAKALMAFLFSVSMTPLVAGHSWVEQLMNIAPNGTFVGDPGY PRGNIRRGTPEFNDPAMTYLLPPNTRPAGSGLQPGDNICKETQRKLEQTQGSPRLKAS PGAAIALRFQENGHVTLPDAQPGKPKNRGYVYVYGTTDPRPDDKILSIHKVWNSAGTG GDRRGMLLSRQNFDDGRCYQINDKPISKLRQAKFPHEANQLMGADMWCQQDIALPQNV PVGKPYTLYWVWDWPTAPSVDPGLPKGKLEIYTTCMDIDIIEKPLSNKLAIAQGGEGY VKGQPLNNAALPSQLAQLNNAMVIPTAASTGFRTAAVQLTKSAPNSSGVKPTASSTMA SAAVITIIPPFKNSTTPLNYEQNSKAPGGTTPVPTQTMAQFRFRRDGVELRRRA UREG_01709 MEALEFYSRGSRFMLNFVYTRICQKVQAVSHRWTYQEIPSPKNV VVLGGSYSGVHLAQRLTETLPTGYRAVLVERNSHFNHLFVFPRFGVVPGMEQGAFIPY GGIATTAPRGIFQHIQDSAVEITPTQVQLASGKSIDYEYLAVATGSWQPAPAKLTSTE KADACAEMRASQHRIQNSSRIAVIGGGPVGVQVATDIKSYFPQKDVTLIHSRHQLLPN FGPRLHEFALQALKKLQVNTVLGQRPKTVVDGVDDLVRSSTQETLAFQNGRREVFDLV IRCTGQRPNSGILAHLYPSAVCKSTGQILVRPTLQIDAGAGSPVNPHLFALGDVAKTG APRMERAARSQADVVTSNILSMINGQSPSAIYRPVDEEGVIKLTLGKYDWAMYFKEES GRELMVNGTSKSEDLDVRRAWINLGAKYDPST UREG_01710 MEATPRVPAVSRRRRRPTLACEPCRRKKTRSKTSPRCPRLCDRN IPCEQCSRSRSEVCTYLCDDDHLTGSGRVADRVKQARTNSNRTTGVSRNSPSTLSIAH PEAGFISSRDITAPSFDLPAPRSSFIQDLPHGKSPVKHTDALKSLYSDREFSRGTKAC DIAPASPPTTHDESSAVRGIFSKTRFFGQSHWMNSVYHFSEVYDILRKFDADGTSDIR STYNNCKNLARAIKAREIVNQPLWPNILDQVPSRKIVDMLVHAYLRTFESVFRILHVP SFLRELSNHWVNPSSSTHEFIIKLLLVMAAGISFLPDRNEMAPCRSTPTQWITTAETW LHSPFEKCRINTSGIQIYCLLLLARQTNGIGGDLIWISAGSLLRTAMHMGLHIGPSRL VGMSYYDQEIRRRLWATVLELLLQSSMDAGGLPLVQMQDIDCEPPSNLDDEQLDESNT TMTVPHPKPSEEYTRTSVQIALMKSFPLRLEIARLLNDFRSESTYEKTLQLASRLSSF CRANHLLIQSWRTGVAHPSTFQVKLLDLLMYRFLLSLHHPFAMKAKTDPTLYFSRKIS LEVSISLLSRRQLAADSPSLDDDYTRLTVIGTGLFRNVSVQAISTVCDELIHQLEEER TSFMPVSSSFPRHDLRKMVEEYVELLDLRIKNGETNVRGHLLYSCLLAHIDALQTKTS IEQSVANALKKSLNSCYCRLKARADEIGVSPADLGIDPITGGRLDDSTGVEGIQNWII SEAALHDPGMDFDTLISMLPSGAS UREG_01711 MATESTSPPSGPELSHLSLEDDHNEKSPITTDDQDQAPAGDFPD GGTRAWAVALGTAGIAFCTLGYVNSFGVYQAYYESSQLRGHSPSQISWIGSTQAFFVF GGGIVGGPLFDLYGAKVIRPAALLFVLSIMLTSVCTEYYQFILAQGILGGLSNGMSMF PAMAAAPQYFDKKRAAAMGIGIAGSSLGGIIWPIALSKMLTSTNLGFGWSVRITGFVA LALIAPSAFAVKARLPPRKSRFFLWESFKEPPFICLVIAGFLGFLGLFTPLFYLPVYA IQQGMSPYLAFYLAAIFNGASFLGRVIPGILADKLGRFNVFISATVSSGILTFCWPRV HSNAALIVFGSIFGFCSGAITSGMAVSFTSIPKDPRNIGTYMGVSF UREG_01712 MISSPSSAPPQLDTTSPPSQLPKRSSLAAPFASRRASGQSYGKN RTSTHSAGSMNRSRPASHVFPFFPSSLPYALVRDFAYPSTHPLHYGAPPKDSSATTPV SESRRLSDPPSSWDAMRSTWPAPHWNPETMYGQQQLPAIAFGDGPPYSEDEDLHSPIV TTTKHRKHKSDSRRARSPARDYLGPGSGGHECDKGAFVGVNGDGSESYYVKGEDPGED GPGDYVTYPANEGNHSYLSPGSYGHDMHTNSHFTTSLQGQPHGGDFELESDDDISDDG WRDPSRYSRDYQFTIVSPDEEMHGKAVALFDFTREHENELPLKEGQVILVSYRHGQGW LVAEDPRTGESGLVPEEFVRLVRDIEGGLNSLNGALNTPLDGPNPDAIDLDAAQDQPN APDSPSAADSPPTSNGATNAEGGDTMTANSSNLEGSPSSNTDKQKSKDKEKHPPVLST FSTSSRDLAPYPPHLLSGHQHSRSTPPQIENFNSNLDEPKKQSRRSKSISRQI UREG_01713 MDFNSLKDQISNLTMYDVKAGVRKVQNAMMNYTEMEAKVREATN NEPWGASSTLMQEIANGTHSYQLLNEIMPMIYRRFTEKTAEEWRQIYKALQLLEFLIK NGSERVIDDARSHISLLRMLRQFHYIDNNGKDQGINVRNRAQELAKLLSDVDAIRGER KKAKANRNKFGGIEGGMGGFSGGFSSSSRYGGFGSEDASYGGYSGGVYGDGGGFGGNT SGFNDSGRRSNRFEEYDENDDEGSYPASQRRTERSPPPASRQQAKKPEPPKAPEPDLF EFGDEPAPPAAPTSQIQSLVSAGKQPVGSSGLTSLAPQSIEDEDDFDDFQSAGPTTQT TASQAQFASIPPPSTASIAPPQTQFAAPKPVSGAQGANLNGLMGLTSPAPSIPGSVSH TPSISSPTMQPQPLKPTTYQAVQPNYFTSVPAPQPQASVKSPRYSFTSSHTDFNPPPA KPLVTSSSNPLVVS UREG_01714 MKTYNDYIEQAMITLQNKKGKKRFLMPFTKQWDHERELQRSGRI FKFGSYKYSARNLADRGVLVHWKGYTERQWDRVDLTISSNEVGVFMIDGSSGNMMVPG ANAQVPLDDLLQAQFNNTQFMDFFEGQLRVNVNLFLHLIMKKFYNE UREG_01715 MSYNLPLKRTQLDFSLSSSGPLRHDSNASTNSSTSSTYSNSFVP SRTSTLSSSGSGSYRPGTPDHKIGRDSRGLNGRGEFEGRSSPGEAYENIRRSLRPLPQ APNVTPPKLKNSSSYHARSQTLASPVAHRNIGCEPLKCNKSYGSEHKTLQDEGHELQL QSAVNRRSYHARTHSSPNPPVLTAALTAPELQSLGRSSTRHFRTLSRFAETDSDEFSI SNLAPSVVGLQGRRQLKRTDTVCGGRAVASSRNANANPWLQRDWMDKQRQFLQAYEYL CHIGEAKEWIEDVIHKPIPPIVQLEEALRDGITLAEVVQALYPNRILRIFRHPKLQFR HSDNIALFFQFLNEVELPEVFRFELIDLYEKKNIPKVIHCIHALSWLLFKKGIVDFRI GNLVGQLEFERHELEQTQRGLDKAGVPMPSFSGMGESFGAEPEPEPEPVETEEERTSR ELHENEAAITELQAQTRGALLRLRLGNLMEHLWDNEGLLIDLQSRIRGDWTRQIMDYR LSMQRFAVNLQASARGFLVRRRCETRQADCAAAETIVLGLQSLIRAQWARHDIRYIKL QASKAESGIKLFQAAVRGALARKQAYDQCEATRDAEPEVEYLQAAIRGMLKRRAFLQD TMDLQDAAQEARLLQAAARGMLKRRAFLQDTMDLQDAAQGARLLQAAARGMIKRRALS QDASDLRNSADQDTRLLQAAIRGMLTRHGILRDMSDLEQNVEAIISTQAVARGFAVRK TKLETENALESALDTWLALQSYTRGSALRRKLQEQRKQLQAQTPSVIDLQSAARAMAL RKAVIATASELKSEENAITLFQNSIRGFLTRRQLDQLRRDLLAQAPITCQLQALARAA MFRIDIGNLLTQLDENTEEIVQLQALSRAMLLRIEVGNALTELEGEEEVIIDFQSRIR GFLVRRQFAEKQKFYRENMEKVIKVQSFVRAKIQGEAYRSLTSGKNPPVGTIKGFVHL LNDSDFDFDEEIEFERLRKTVVQQVRQNELADQYITQLDIKIALLVKNKITLDEVVRH QKHFGGHVGTVLPNADLSSKDPFDLKALNKTSRRKLEHYQELFFLLQTQPHYLATIFR RLRELATPERENERIKHLVMGLFGYAQKRREEYYLIKLLVRCIKEEADMCTSVQDYVR CNSFWNKLFSAYTKSPRDRKYMKDLLGQVVKDNIIDNPELDLESDPIQIYRSAINNEE LRTGQRSRRPPDVPREQAIKDPETRATFIRNLQDLRDIADQVFNSLEETLHRMPYGVR FVAKQMYECLITKFEGEDRGYLLQVAGQWVWKNYLQPAMMEPEKSGVVNHALTQEQKR SLGEISRVMAQVASGRLFGSDNVYLQPLNTYIGESIQRLGAIWGNLITVQDAEAHFEI DEYNDLYAKTKPTLYIKMSDIFSIHQLVAGEISHICGTRRHFTGNYSGPLVV UREG_01716 MPISNGDAAGSAVQRLGGNVEIPDYAKALDVLKADYQRHDGLGI SDLLDSNKRGALTYNDFLVLPGYIGFPASDVTLEAPITKRISLKAPLVSSPMDTVTEH SMAIHMALLGGLGVIHHNCSADDQAEMVRKVKRFENGFILDPVVISPKTTVAEAKELK AQWNFGGFPVTENGTLRSKLVGIVTSRDIQFHTNLEEPVTAVMSTDLVTAPAGTTLAE ANEVLRRSKKGKLPIVDENGNLVSLLSRTDLMKNLHYPLSSKLPHSKQLICAAAIGTR PEDKDRLKKLVEAGLDIVVLDSSQGNSMYQIEMIKYIKQNFPEIDVIGGNVVTREQAA SLIAAGVDGLRIGMGSGSACITQEVMAVGRPQAAAVHSVTQFAARFGVPCIADGGIQN VGHIVKGLALGATTVMMGGLLAGTTESPGQYFVSREGQLVKAYRGMGSIDAMEEKKAG GGSKGQASNTAGTARYFSEGDRLLVAQGVSGSVLDRGSVTKFVPYLIAGIQHSFQDIG VKSLQELHDGVNNGTVRFEVRTASAQAEGNVHGLHSYDKKLYS UREG_01717 MEPKAKSKAKIDYKIPRPSSSVILISPKNEVLLLHRVKTSSSFA SAHVFPGGTISRQDGNFPPAGHPDSHDEGIHYRRAAIRELFEESGILLAINKETGKMI HVNPAEREKGRHAIHMNETTFDQWLKTQEPQAEPDTEVQAPTTDGGIEITEAQFLPAT EWLRRARVEDVIMFPPQVLLLDFVAEYLDRPSPDGTSPQAVSVEESNRRRQDLISFAH SGSPPWTHKFISPQPMGTVADGRQILDLSQPGPELKGTDKKGETDRVVLVRFSKEGPR EVEIRWREEVLPEKATKSAL UREG_01718 MENEKGEIVDLYVPRKCSATNRIIKAKDHASVQISVGKVDENGR YTGENQTYALCGFVRARGEGDDSLNRLAQRDGFLKNVWSASAQR UREG_01719 MASDLTPLVLDALASTNDPILSSDAFPSAPPTTVKSALDRLSSR DMVQYETIEREDAILSPEAEGIVANGSHEAKVFEAVRAALDGLKIADLPNVVGKDAAK VGAGNAFKKGWIKKDKDTLRVATDTIEDTAREQLLTIQRTKTYSDAKVLADLKKRKLI TMQKVISYRITKGPKYAREFVKEQTDLTVEMLADGSWKTANFKPYNFNAKGAVTPSGA FHPLNKVRHEFRQIFFEMGFEEMPTNRFVETGFWNFDALFVPQQHPARDLQDTFYISD PAVADKPREDPEDHPHLSKSLNPVSYTKTESRGKLDYDRYWNDIHAIHESGKYGSIGY RYPWSAAESLRLVLRTHTTAVSAYMLHKLAQNPRPARYFSIDRVFRNEAVDATHLAEF HQIEGVIADFGLSLGGLIGFMEVFFAKMGIHGLRFKPAYNPYTEPSLEIFGYHPTLKK WVEIGNSGMFRPEMLEPMGLPKDMRVYGWGLSLERPTMIKYGVNNIRELLGHKTDLNF IESNPAVRLEKE UREG_01720 MPFFVNPFKKHDAPEYPGVLIPLAEEQNTQSPVDDDKNLDAGSA EKGSIQTTRATTLTIEALRAEIENDVSVSGHESAYDRKSKVINRAIQDIGMGRYQWEL FALCGFGWTADNLWLQGVALTLPPLSAEFGISESHVRFTTCALFIGLCLGAVFWGTAS DIIGRRLAFNMTLFLCGAFGIAAGSGPTWVGVWWPIGQLIGSLVAWGFIPNFSCEGDG PCTREDNMGWRYLVFTLGAITFVMFLCRFFLFHLYESPKFLLSRGRQAEAVATIHAIA YKNKAKTWLTVDILNEIGGHPDEEPTSQKLSTTEVIKRQLVKFSGTRIAPLFANKRLG ITTVLLWFCWSTIGMGYPLFNAFLPQYLGNQEATPINIVYRNYAITSIVGVPGSIIAC YTVDIPYIGRKGTMAISTCISGIILFCFTISKNPNAQLTCTCLEAFFQNIMYGVLYAY TPEVFPAPNRGTGTGIASCLNRICGLLAPIVAIYAGGNNPDAPIYTSGALFLAAFIAM CLLPIETRGKQSL UREG_01721 MAESVRSGAVPDPAPCQAVRGTPAALKPCQFGEGQDAGSAGTAK TSGGGRAPLACDPPRHLTNCRAINFPPRSVALTLFPSSLTMPPEATSMLEAMERFTGF GFFSTLAPGFATFRLSLHGDKVSRRGTNAWKGSSLPYRVPPTSTRISGEP UREG_01722 MCTYCRTISTAVPYSDHHTVIIGATSEIRIIISKSRSAVVKGSR EILAASHRFLAAASLISAFKDWLPSENDRYHSRNPCNSITLVIRDRLVPELMEEVTIL KSNNVSYWEDERFGAEEASELTLKRQDRKHSDPNGIASSKPSRYHLREFRPDNRCRWL VPVRNRLLLPSPNLLPILACSRDGCVEMFDDQGDQVAPPKINPRSAGVKGQWASRGRN LGGPAPPSRCLRPPGGPKSGNMTNRRDISPVRPGSVDAGAQHEAQYMQGSQDNLPSTS YSSFSEKKQTDTPKAASTDLLEYLPITHADEEKDPFQAWISANQLKGYSQSSISWIFG VYAFLMFFGGLQIGPVFDAKGPKMLVIAGTITCVLSVALLGSCQQYWHFMLVYGILGG IGISLVFTPAVASPGHFFFRLRGRATGLAATGGSVGGIVYPLMLDNLYPKIGFAWATR AVALVSLVTMTVGCILIKSRLPKKRATKENILPDLRILMEPVFAFTTAGIFFIEWGLF IPITFMTSYALYHDMPRGLSFQLLAFLNVGSFFGRWIPGFTADYMGRFNTMIATVLLC LLTTACLWLPAGNSISMMIVYALLFGFASGSNISLTPVCVGQVCKTEHYGRYYATAYT VVSFGTLTGTPIAGSILTRNGGDYWGLITFTSCCYFAGLVCFIIAKVLRVGWNPLTID UREG_01723 MGFVPIRLLPLFGLVFFQTTVASNSSIENAKINDITADICIIGG GSTGTYAGIKLKDEGKKVAIVERDDHLGGHIGTLYVDGKPVDYGVQGFFNTETTLKYF KRLNVSHEPLLPASLENHYIDFRTGKKVKFDGNAINVTAALLLYSATVSKFRGIADGS FNLPDRVPDELLMPFGEFIDRYKLQGALPITWTFAHGSGNMLAAPTLYVLQLFGQPHI NALFRGYVRAKRGTAEVYKKAAEVLGSDVIYNSRIIKVTRSEQGVVVLLRNCSSPWFL LFATLKGFDIDNRERSLFPAMAVDKSIMSEFVKNSGLPETSNIINLDPTKPAQLPQMP FVWHLDFMGVPGYHTIKIVGDSELSESEAKQLILGGIDRMGAAGTFPIKRPELAAWGR HIPLTLAVSNKAIRGGFYRDLYALQGHKNTFYTGLSFCSDYSTLLWDFTNKVLERMNL UREG_01724 MRSLNPVLLGVFAGVALGLPGRHHYPNPPFPTGGVTSEPPLSTG GPGPGPGPEPTTTKTLPPHISTVTEIITKTTFVPCSTPVATGKGTTWYSTWLTTSVYT TTTCYPVTQTYTPPPPPPPPCEGEDCHQPPPPPPPPPSFTQCPAQVTVTVTVTETECG AGCIPPTSPPGVPPPPPGEPGNPPPHHCPRCSTYTITDIHGTTKTIVVPPETPPPVNP PPTKTPPPHSPPPHSPPPHSPPPGTGVNPPHTTKTHPPTSGTNLPHKRYAPYPEYF UREG_01725 MTPSDETLAAAAAIIQGLAMDLPEPMAMPYNGRKPNHPTNGIDT SKVVLPGEASEGKAALEKELVALMRRLNTMQTSVPSSRRPGRTSSLMSARSLNNGQAG EFPVERSGEVNGEDSDSEDNYEDNITYLRNRVQLQAEQIQIQKDIISQVRDELKHQEE RTQQALVKVEHEDVGVLERELRKHQQANEAFQKALREIGGIITQVANGDLSMKVQIHP MEMDPEITSFKRTINTMMDQLQIFGSEVSRVAREVGTEGILGGQVQITGVHGIWKELT DNVNFMASNLTNQVREIATVTTAVAHGDLSQKIKSWGQGEILELQQTINTMVDQLRTF ATEVTRVARDVGTEGVLGGQAQIDGVQGMWNELTVNVNAMAENLSTQVRDIATVTAAV AKGDLTQKVKANCKGEILAMKTIINSMVDQLKQFAQEVTKIAKEVGTDGVLGGQATVH DVEGTWKDLTENVNGMAMNLTTQVREIADVTTAVARGDLTKKVTSDVKGEILDLKNTI NSMVDRLNTFAFEVSKVAREVGTDGTLGGQAKVDNVEGKWKDLTDNVNTMAQNLTIQV RAISDVTQAIARGDLSRKIEVHAQGEILTLKDTINNMVDRLANFAHELKRVARDVGVD GKMGGQANVEGISGRWKEITEDVNTMADNLTAQVRAFGEITDAATDGDFTKLITVNAS GEMDELKRKINKMISNLRDSIQRNTQAREAAELANRTKSEFLANMSHEIRTPMNGIIG MTQLTLDTDDLKPYPREMLNVVHSLANSLLTIIDDILDISKIEANRMVIESIPFTMRG TVFNALKTLAVKANEKFLNLTYQVDSSVPDYVIGDPFRLRQIILNLVGNAIKFTEHGE VKLTISKSTREICQDEEYAFEFSVSDTGIGIEEDKLDMIFDTFQQADGSTTRKFGGTG LGLSISKRLVNLMGGDVWVTSEFGHGSTFHFTCKVNLADQSLDVILPQLLPYQNHHVL FIDKGETGEDALSITNMLRQLALEPLVVRSETHVPPPEIQDPSGKESGPAYDVIIVDS VETAQTLRTFGAFKYIPIVLLCPVVSVSLKSALDLGITSYMTTPCRPIDLGNGMLPAL EGRSTPLTIDNTRSFDILLAEDNDVNQRVAVKILEKCNHGVTVVSNGLAAVEAVKQHR YDVILMDVQMPIMGGFEATGKIREWEKETGLSRTPIIALTAHAMLGDREKCLQAQMDE YLAKPLKQNQMMQTILKCATLGGPLFEKGKDSRIGGHHSSSALNIGGQSPEAKQRPPL EPRALTAAGRSAIPEPVSSIPFSFDSGI UREG_01726 MSTIQNLKNFIRHGKQARAGTPHGEPTTNVTAVHVERQRQPQAP QQQQQHAGLSGLDHKAAPAKYEQKYQQQVPAVDAKNGRNHEQEIEQIVAEEKLSKSTM PSYPGLERWILLEKMGDGAFSNVYRGPRQYWKIRRGCNQGRPQVRDERQTGLALWVHY ASIMRQLDHPNIVKLIEFSESRQFYYIVLELCPGGELFHQIVRLTYFSENLSRHVIIQ VAKAIEYLHETSGVVHRDIKPENLLFYSIPHIPTKNPKPPAPGEEDKVDEGEFIPGVG AGGIGVIKVADFGLSKVIWDSETMTPCGTVGYTAPEIVKDEKYSKSVDMWALGCVLYT LLCGFPPFYDESIQILTEKVARGQYTFLSPWWDDISKSAQDLVSHLLTVDPDKRYTIG EFLNHPWIRQTDEETYAADDAPPLATPLATQSHLLETPFSLTDRRADFRSPGAINLRE VFDVGYAVHRQEEEAKRRKNFKQGYRGANVAGQYRGDLNPVAEDYDDDDYEEDEEMLY YDQENYAANTSSLPSKVRRTDAADVAGMESKLRATNLGSSQPSAAARARQTAAGAGQQ QPQQRRHHQQYQTGGYGQHSAAVAAAARENAARSANQPFELSLDNATLLERRGRRLEG QAAS UREG_01727 MDPLDKDMPTNGANLKTHKALPRKRDLIQDDEVSSPAESTRSRT MSPLSNGFTLPKPVQALPLTPPSLSLESKKQSSPISTIRDSQLQSPDPALQATPTRKA NLLTPDITPPRVVSQGHKRPPQFRNFASMSSKAESFKTAREVFSSDDDVEATKLFDSE RSCLLRGYDNSIDSDQSPNLSSLDSTPPVNGRKVSKESYHFGSLEGHWKENGIESSQL PKVRNKQRHRSGLKSQEASPKTYDAEVRRKRLGSQPNRERSLRERVKEAKKATNRLSI EEFGKEIGWFSIEDRDKHSCDLSGVSATSTIEAVIIDSPPRKKQTLRHTGKAESLRSV SSPVSRPPRDTSTSRASEQSHRLVRRNTRITNQDRLSVASDNLSLASTTTCSKPKPAE EIIPVVVIPQRRSSLRSSACGSREHSRTRPIPENRRPSTAPEGQTPSRPFDVSKRRRT MSESEPRWRKSIDAPRCGPIYQPRIPVRRSSLSASTSRNPSRTASLTSDNLQRYQQEQ EAQAFLNEKPTRGKQNDDQSIPPIAPKVEQPEPENIVARENSNGQIYLSVETHCNDSL LQTPSLPKTPFQPSIQSLSPGPIEISEARAVPFFAHNNKSLLVVEQYPQTDSQAVQRL RTSPTDLEVILVEPRTPVRDSDIETPCIDSPLRNPRAPPKPPVFKIIPPTPAESNNHQ HYHLPSSSTESSNGFARRWDSLRHSFSAKRHASVREANQPVYRFRNRKAGKEIDGELH PFWRPRGFWDDFKDSDLDGEPVQRKPAQRNITGGIGDVYIGNSLGIPQSRIFQGPLTL IRRVSNRSRRRKNASHTSILSATLSLSGGRGRDRALPHLLSVRELQNWLTRTRRRRER RKLEAKRNKLRHMIGEKVAVDPNLVIGRYNPPPRSAFDS UREG_01728 MSSESVRNPQRNLLPKQPLSKPPRQVPFLLNPSSTGAEIQFQLP SHLKPAGTAKLQAKRVPERELRVIEFDASRIPQPFLDGEKVLQSMISLRTGKKEDHGH QSMVTR UREG_01729 MRPTKSENENDHVSNALRGSVVRSGGSPGGVTGGIHIGKRRNVS NAGQSCSPGSTNGGEDVDGQEEKKKPPVKRACNECRQQKVNLPVQLWFHKRQKMY UREG_01730 MSVSNNDLPERLAEVLAQLWKMGSLPPPQHGGNKGTGQSEKDDT LRLKVRCRMTYLKNPTNPDSGPDSSSSSVSHLRTSTSTPGVLANSDPSLAPAPPPPST GIGNISSSTGGNLAGMGGLPSGLLEPTYEVFDPLNWILDGLVDFPYSYNSVGVEAQGV V UREG_01731 MSKNPAFSREESGPVRDLPPANTEPSNIPPQYSQYPQANLNGLQ GEGFLVQGIRDLNLEVPFGTSGKPSAQVTADECIAHLKLLTALADLRDIISKTDGLFD LHDAVYIDPEAPEDRKTKVAALVREKRWGVYVARAARRFEKWFFTLPQDGPGQCQGMT TLQDVEKNPGYENFPSWGSRILWTRDHLPPLDVLMVWHAFMLNPRDYLEDCLRLGKMS LWATGFPWKAVNASIDNQSFEYYPGGASKEKFEELLRALDFRLNPNASVSDVRTLIEE ALKSSRTISRANDSISGRVTREEKIPVRRMMSRYWDNSTPFALNLVGAVIRQGVFIEK MDNIDWIHSPALDSTMHRIIRKYEIFFKIISDNPRAVAVPTLDVDLAWHTHQLSSQRY FIYSIVAADGVFVNHDDKIEEAKLSDGFKRTAKAYQNITGGKVYSECTCWYCEAVRES NGSNGSFSIGREYAIELHDQQGIPSDPANSLHISAHNSIRAGEAHNRRTAQKQSVTAL KLRYNYEKSQRRWKKRNKKLKHKDDRPSSQTIDYSSTAMVYGVPIFIPFYVPYGPDPS INCEVYSCNPLCVAIEEGAPGNCVGGACGFMTAAGGACQADGQGGGCGGCGGGCGGCG GGGGGGGGGCGGGGGGCGGG UREG_01732 MEPDPVSPLARDGCHREQQGSSRPPTSERRASDPGPRKTRARVP AANDPKRRCAGVENVDSSLGAFSRLPEEVIERILYAVDANVFASLTLLNKKWRRISAS STLYYHHLLGCRTLGSAGHDPASGLSATDDLKILEARFIREARRNTFDVFLRPTQMLI NLVSASASSSSAFPQGEAFRFDFSSNGRQLLALSSSRIYVIDLTTHPVSVRQELKTLR RPLAAAIANNGTVLAMVSSEHQAHIYLLMDGHAELMQSIELSEVPRALAFSPDAVVLA VAYDGGLEMYAIGTDVLSTARRAVRCFGVDYLTFCCQGSMLLGTANDLHSSKLVTISP PLGTDPNAEFTMQELESRMWTTQILFPQVHDGYSHAVLIPTVHGGDGSPWFSGYHLES KAFRLAPIDNPNTAAIYFVGPGPDTDRDEPKPHFLPAASGNGELLAIGFQESELWVYG IPNIENHVATDSSAQPSTNSQEREPVWKSDSATTNFNRLKKTIEGPKTFVHGHPLPLP DGVSAIKWVCSSSSGDVSSQAFRKLVAVAPGGVSSWLGAAAGDMLPIDGGRIIVFDFQ LSVSNGQKTEITIELGEVEPIKLPEPGSDLDQQVELERRRTRLNRRRGLGGLQIPTSA STARRHLQPSLNGDGGLGNLTLLDNPYNNSSPRSRDTLNRAATAASNRLNPRYHNARQ VQSGVRAPRATVPHESDADNWIPPPPPYTPNAEAPLPEHLQRTLLPTIRGQSTSNNAD QSLRRSRTSRLESMAQAVIQRSSTRVNREPNDQAPALLYPSNTFPINRQNLPTLNTTN SSLGYPPRSPSATSSPQHSPNTHVDPNDGPSHPTTETSQQNTQHHSSMHAFTPQALPS ATSSQPPMQHANRSPNTNPARAPSPQTAPVTSRSSNTRSLPLAPTGTETRGWYNIRPQ SNTVDFSTTSNRPAPPVISGLRAHTQNNTSLSPNSALRGRNHGVQRSRSRSQDAPRIA PLSNTGYRDRRTGRSILGLQSDFRLNQRTRSDDWPNLTSTRSGRKESKCVMM UREG_01733 MSSAPAVSSAAPSKGAPAYTISTGSRPYRSHKVRACDLCRKRKS RCTVDLPGQSCLLCRIQGADCHYKEDTGSTTSSLHGSNTETKQWSPAPATQRKLKRKF EESPIERGPDRNLMNTPSVTAAAQPSSATHRDEPILDNRHNHNGLGGSMNESVHIIGP VAAEDAQVIERYMPSERSRKSPDVKTPYNVYSNDPRKPILYTTVARQRQGVRSSGVPG ENQKDIIEQILGPFKHDLVAIFLDKINAPFPIFDEHVFMEAYKLGDGSLPPPLMCQVY AMSLIYWNQSPVLAPHPKPDIRYAVNLAVAALHEEFSAPGLSTLGAALIDLTGRPIFS MTGNAINTGRTVALSHCLGLNRDPSNWKLSQAEKNNRIRLWWAVVIHDRWASYGHGVP SQISRNQYDVPLPTIDMLVPHASATQQRFRAAHGYIFLCRLTEILGDLLPLVYGLQPK NPKDTSKIVRRMRTELDRWEDSLPEWLRSPQIENAAPTSGSSSLQLAFLAVKMLVCRI ELQEINHSENSNPEARRYFQTECRKAAEDIVQFLLSLKRPHFQEFWMSYSAYHLTSTA TFLFRCALETSDPDIARSCLANVDTFLGVLRRARLEHEWDVADMCLDHCERIVSKHPG INSEEPHASVSQPCEISDTPVSMSMPMPETPCHNDIVGDMMSISGTFGTMDGFPFDMT GIWDVPGLQDQESHFMNIPTSM UREG_01734 MAPSINQLPTQGASGGKNETPSLKDHYSALPNLVAAQHKASHDF RSDVVTTPTANMMQAILEATFADDVIDEDGDPSVRALEQRLVELTGKEAALWVLSGTM GNQICLRTHLAQPPHSVLLDHRAHIYGWESGALPVMSQASVTPVQPSNGIHLTLEDVK QHMIPEENFHYPPTRLVALENTLNGTIMPLEHAKEISDYVRSYPVPPGQKPVAIHLDG ARIFDGVAGEGVDLKEYCACFDSISVCLAKGLGAPMGSIILGSKPFIARAKWFKKMFG GGTRQPGMMAAAALSALANSIPQLPRVHSLARATADRLSALGYKFSSPVQTNMVILDL EAAGIPVAAFVDYLTEVGVQVFPSPRLVFHYQTSEEAVEKLVDALGRLIQDKRAGKEL VGRKMVGGYANGDTK UREG_01735 MPPSAPSSSSHSNPAQKPRVIKPQNTSQPKEFSEEQTEFESKPE SQHNGAPQSTGAGAGVSHAQTGPPGGRAKSPGFSDSQTEFETANRTPRDAAESEPVQP QQPLPDLTQGIPSTIAAELAAKSKRRKSTGLNLTEDPEKEGAAGDEGSGGGGDIPKNA YVSSLERRRNRMANLTYAVLLGLGTAGTMYLGRNWDTEAEEKAHPNAPSGWGFGLFFN RIKARFDDLTSYYKDPAFEKLLPEEDPAIRQPYTLVLSLEDLLVHTDWTREQALFDLS YLNRDLSKVIIIDTHEPHTKRQPENAIILPKWNGDPKDMSLVALIPFLEYVAGMGIED VRTVLKGFEGTYIPAEFARREKAMREKFEKQLAEERAKRPKHSVGAIASLFGIKPMGN AIDGLDHSTTEGLEQGKMLWDQIRERGQKQYQLIEKGIQENGEQWLAELAAEEEKARE EQMKGFKSSFTSFFGNTEGEKK UREG_01736 MERLNRMLQAAQGMGMNNVSPSGDTPNLIDNSETVHISSLALLK MLRHGRAGVPMEVMGLMLGDFVDEYTVRVVDVFAMPQSGTGVSVEAVDPVFQTNMMDM LRQTGRPETVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVV IDAFRLIAPQTLVMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKTGLEE NMLMNLHKHVWTDALQMKNFREDREQNVERLQKLVGLAEGYEKRVKEETELTKDQLKT RYVGKVDPKKA UREG_01737 MAGLGPIDLSMTNGAAEKKRVAYFYDSDVGNYAYVAGHPMKPHR IRMTHSLVMNYGLYKKMEIYRAKPATRLEMTQFHTDEYIDFLSKVTPDNMEGFQKEQQ RYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRGKCDIAVNWAGGLHHAKKSEASGF CYVNDIVLGILELLRFKQRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGT GELRDIGVGAGRYYAVNVPLRDGIDDISYKGIFEPVIKNVMEWYRPEAVVLQCGGDSL SGDRLGCFNLSMRGHANCVKYVKGFGLPTMILGGGGYTMRNVARTWSFETGLLVGDQL PTELPYNDYYEYFSPDYELDVRPSNMDNNNSREYIDRIRTQVIENLKRSAHAPSVQMT DVPRDALVDGMDDEADAILDDLDEDENKDKRFTKRRFDQYIEKDGELSESEDEELAAA NGVRRQPNGIKRRNQVSYENLEPESGINSGVATPRDASSVADDDGILDEKMEDVADTD VQDKRSPTPTAEPSTRSESRMDTEDTSVVDLPTTATAELEEKGPVASPPASRQESLQP NGVGNTMMDTTMEDVTPTQEPSEPPTAAGEALVATPPKSPQPEDSNLSHATPPARTEE PSTNAE UREG_01738 MNCCDNSGARNLYIISVKAWGARLNRLPAAGVGDMVMATVKKGK PELRKKVMPAVVVRQSKPWRRPDGIYLYFEDNAGVIVNAKGEMKGSAITGPVGKEAAE LWPVSSNSLLNSWFAGFYLTRPSSSVSQVTPVW UREG_01739 MGCRTVQKSQHTEPLKRRNSRFGASKTTPAHCLCSVHAFSSMLL RYLLQRILSVLLPISIASLAYLYLYPIFHGCAFPVPNPRLSGSVPKSTALVNTLKEHL GYVYDDGGSSRDRHDDTAKRIIPPAPFRFLVLADPQIEGDSSLPRPNDGFTDRLGRHW ARVVNATTWDERVLAFTRGARNVVMTDGPRTLDAARKRLDLFGNDYYLAHIYRTLHWW TKPTHVTVLGDLIGSQWVSDAEFKARTGRFWGRVFKKGTRVADEITITGSDKYGAGPE VIERLEASQPNEEPIWSNRIINLAGNHDIGYAGDITEKRIERFEKAFGRHNWDMRFEY PLPTANHSSDNGQRPPSLHLIVLDSLLLDTPALTQSLQDKTYTFLNELISYRSRPVEE SNSFTLLLTHLPLHKKAGICTDAPHFSFFAKDDEKPIEGKLRFQKGGLREQNHISDHL SHAGILQGIFGMSGNSNAPANGKGRKGLILTGHDHTGCDVIHFVNRTLDKVESQADEG APSWSWGARRYQPEADGGQNLPSTPSIREVTLRSMMGEFGGNAGLLSIWFDSDPSVME WKYDLVNCQMGVQHVWWAVHILTFVSLSIFVVWLILCLVDALYPSSGTGVTAKNNPIL RPMTRKPTNVGGLSKDVQHKGR UREG_01740 MALSTEAMRGFRIDNFDARGADELTLRRGDKVELLELDEGFGDG WYLGRHVGESQTGLFPGVYTTIMPKIGVKNANNSNPPDAVSGGASGLQDHTEGEQESV SPISNDDTTPQQSRHASAADFEHPILTETESGTESHPVQTLSRHSSATSTSRAPEIQR SISETIATHLNGEDSPVLNETLSVIDEHITNFSTPRQSVVAHDHHRGTNDSSSEYSSH AGNRLSYIHGVETDEEEERRFTEADVRRWSHEQVAEHLVELGVDPRHCKIFKEQEITG DVLLEMDQEFIYMKDFDFGVMGRRLRTWHLIKAFQEEVKGIAHPRTSTSTYSGRPTSS AEYDRSQSRSGTASSFLPRIPSVSEAHGPVTRQSRGSTFSSYHGRAAPSPVPPPGFNR PRTRDSASRPSPDLIRRSNYHRRHSSIDEASRPSAHAMKPLSAVPSKMSTLPHEKKSS LDRGWTIKAVTDTRPGTALGTTTVQSQSHTNGNSHTAVSEGVSTDDSPDLDRGYFSGG EIDARRSRNVLRKRDSSGRSLSQSRQTGAADDVKARSSKRHSRFSSVDSFKEFAPYFS TFGKLNHSGATSAETKQRRELVDDDSHSPTVTNLEEANSSSGGFFTSLSSLHSKPHDE HLGRSSPSHGKNATPKFRRTIGLRAISDAVTGSEKAQLGSTSPSPIKDFSKSPDRTNS TTPSATSKSFDMEGTDTSSKLSEGGLTLALASKTPSQRTKSKRDTSAYVRGLEKKSPQ EQMVGCDYYGWMKKKSSNLMTTWKPRLFVLRGRRLSYYYSENDTEERGLIDISSHRVF RADHDTITSLHAAITGAKASPTSPTNSNGSQPMSPDSDQTPETSRPKTSSGDQPFIFK LVPPKAGLSRAVQFTKPAVHYFQVDNIQQGRSWMAAIMKATIERDLNLPVKTTNKQKT VSLRAGSPDESASAGIDEPTKQR UREG_01741 MVDAESLAIVLGFQSEQKAGLVAIKDSLFPANRYSTNFLRSCCE FPAGLPGINSQDRALYDARLASTLAGNPVPPEQAWQENTFIIKEYISASSLLVSPIRT DVASSQPAQIVPASPASSVVGLQNTASPIQRQFTPTSHLSPQFIPPPQASQYPASLEL LARQARQAQPLPMNYGQNTIRPQYSPNNTFQQFPGLVPQHVCQALPHITTQHVNPSQY RSQYPVNGEPQPSHGAGTQLHPHYQSPVTSHHGHPGGRQNTPTAIPQRIARTTSRPPL QSLPYASALIPSHFVVPSNSRVARPANIPIPAQTHHQQQIALSSPSFSPGRRGSDTLL LPHSGAIIPELARPNPILVGLHQMPLRVGVRELIDDSNDPSKRLLIYPHSFAVSPFYL GKQQINFSCSLTISPDEFKIFPAELPAEHGYPIQGHTSGTRTYQLKCVKLNSAMEDLS DAKWTTMDCSWPDAIYIHVNDTEHFVCRKFHNGRDLPLHITSSLRQGDNKITLTCLRK ADERNQEFYAVAIETLESMDCATVRKSIKSLSKTTSIDRIIKTIENPVGVDDEIAILG DYIAINLIDPFMARIFDIPTRGKFCSHWECFDLDTFLSTRMTVISNGHGMVENWKCPI CRKDARPSSLVIDEFLLDIRAQLARQNKLNEVRAILVLKDGSWIPKIEQAATTNQQGK VLQAEEIRKCEVQSPKVPQTSTKGVAQEVIEID UREG_01742 MTESKSRPPSILLEPPPKGVELEDPGAHESAAESDDEHFSDASE GRNHSGAGSPRIQIPSPREGESDHLNVPLSPGGSPIPRTVVEKVDLEDASYGEEPGTP AYEARKMDAVPDLVYKVGEWHPEFPESTSLNPSGSPTPISPPGTMLSRVDSLPERTTS PLSFTAHKRSPSDAQPDVVVDVSDPKGSPSLSGYSASPQELDQNVDPTNDPENNIAGD GNAPSFGDDFDDFEEGNESAEQDDFGDFDNEFQVASTDTVVDSGQGHNRYISMEEPFP TSASAPLDFGSFDSLSTFLDATSDHLDMLFPKSTNLPSAHPIDRIPDSSAIFNTERSL SLWSQLVAPPPLQPPNWTKSRIRRLFLVSLGVPVDLDEILPASKQKKLVLPSITTHDA SGQSRSRPKKSQEGSDQTARSSTSTDAARSRSRNLPSRRRRQQAPPELDLSAVRRLCA TTDAALDGLTDEEMQHHLKTLEEMTVRASEVLEYWLKKRDGQVGEKEAYNGVIENLVK HARQVRS UREG_01743 MDQSCMTLSSTQLLPNEMESNKPYRQTTSALGTTLLYCLDWEEQ EHLTLHVMTGDTTGWSGDVGAQQLFNTISHAILSAHQETHFTYENVDESVGPLVLTSL DQTPAIEAACPRLSYNSATKTFDVYVMPTFTHNCHQAWLNEEVPQMSDSRFKTPAERS LLNRSTGTSQYAASQKQPDMSIVPVGQDLPSVAIESGWSEKFAKLHRDKRLWLVGGAG QVQLVLLVKWTKMPGNRVKGVIESWDLDPAGNERLLQEEIIYPRSQAGSVNQVIRIAR GQLFGTRLPAGQDPNDNYGLFVSNLRNISSFSLQFDGFSPA UREG_01744 MAAREATGSLVESAAGIQADVYFHVVAAGQSESDGNIPESKMQD QFRVLKETYTQYGINFTLKGITRTINRDWATSARNQFHMKSALRKGGYDTLNVYFMKD LGGSAGLCYHPDENGKAPGSGIFIFDGCLVLSSTVPGGTHKDFNLGKVTVHEVGHWMG LSHTFVGGSCSGPGDMVDDTPPQYFPSTGCPIGRDSCPGDGPDPIHNYMDATNDYCKT EFTPGQRARMHSMFNTYRK UREG_01745 MSGHGAGDGSPQLKNSEVDASLDWLWAAEEVWSGGLTERTSKPK SPRPGAGLSTLDTMDGTVVRWVWQMGEVSAVNQIPRLVCSEAFKPVWPKVMPAFSSVG GCQMAVVPLAL UREG_01746 MAHPFQFGTSTGGGASTTPTSSIFGQNTSSAAGAGGLFGNIGSG QTPSASQQPSFGGGAGTPLFGQTPPAASSAFSLKPAEQNNPSIPSFGITPNKPAESGQ QKPGNLFGEVGKPGSMLFGSATPAPASGGMFGNPSTTPAGPPPAATSGQSGSLFTQTA QNAAGGPTLFGSKPATMSQPESTKPAITIGGGMFAGAGTSGTAPAFGSNALFGNLSGV GQAGAKPGKEEGKPTLLMNSPASTPTTTQTSSTTAEKPAQSTFSFPPTTATQHAPATT APATGGLFGGGGATKTSAPSDASTAATTTQAPAMSIFSLTKPPGTTSAAPSLGTPATT SAPAVTAPTTTTTTAPTTSAPSTTAPATSAAGTAALGASTVGPPPPAQSRLKNKTMDE IITRWATDLTKYQKEFQEQAEQVAEWDRMLVENGSKVQKLYGNTVDAERATQEVERQL AAVEGQQDELSSWLDRYEQEVESLLSKQVGAGDSLQGPDQERERTYKLAERLSERLND MGQDLTSMIEEVNSASTNLSKTSKADEPISQIVRILNSHLSQLQLIDQGTAALRAKIQ ANQRAGSSLSQSQYGAYRNGTPGSVSGGAAEDFYRAYMGRR UREG_01747 MGEGDTGAIRPGGVQSGDAWTKKEAAQENIFIKQKELEKLRSLK EKLKQQRQHLDDLDAHIDELTRGQGGEQH UREG_01748 MGIEDAVYLAKLAEQAERYEEMVQNMKVVASADKELSVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNEAQVHLIKEYRQKIESELAEICEDILEV LDKHLIPSAQSGESKVFYHKMKGDYHRYLAEFALGDRRKQSADNSLEAYKNATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEPAPESAAAPTAPAEQPKESAPAEEPKVAE UREG_01749 MPSPTLNTTKSANANHNDNPLHSSSSLSPSLSSSDGEHERKTRA DRPRLARKQSASILVPRDHPEIEIEKEEFPPDDARAMSPRRNSADLERLGREAREALK QQAKTLQSSLRALAERIDEVKSDHDRLESENRFLQDYIGGLTRTMSARAELTSTTGHT KGRKHHK UREG_01750 MDEGNVCKRSGGKRSSNSFRLRGSESYTWRRPVNEHSADPETTN PDLCWESEKRDKILFVALSS UREG_01751 MARLSILLPPWKGILSFLLWATVPLTIFFCGNTTLAKPLDNVFV GQKINVLMESQQLPLGGQPFTNPLSGLISNGGPQKPLPGDPSPVKGTLKWMLDAISVM QSNYFVIWQAKWPSANDWTAAVMGTQVSATLSALSSTLDLILRHSPPSTAAGGCDNPD SQPRDALAYDNLIDYYFQQTATFWYGEDFFGLRLQAYDDMLWVVLEWLESIKFQDLHS ELHYSSYSKTKDTLLKFWHGSQFGVPAAHRSRVFYELASKGWDTSLCAGGMVWSPWLS PYKNAITNELFISASIGMYLYFPGDIISDPMSTKTTSGDDWVSVPRNPVHLEAAITAY NWFKDSNMIGRNGLYADGFHIRGWSEHDPGTGNCDVLNTMLYTYNQGVILSGLRGLWL ATGSIAYLDDGHELIGKVMKSTGWPDRHDSEWQGLGRGGVLEDACDSSGTCSQDSQTF KGIFFLHFAEFCRPLRPQEERMLDDFLSRDPNKPKSAKDWESDFDLHQRKCAAYRDWI EHNANAAYMTKDDDGKFGSWWGRRYPDGNLNPTETSILPYDAVDHLNSNVTKSEFGFL QRQSSAAGAAGSPGVSTQHSLHKHKTRQANEPIMLVNAEKHDVNDRGRGRTVETQAGA MAVFRALYQWETSPTLSLRDSSA UREG_01752 MSSEMDIDQPASDDRGSSFTGKDIRTRAGAVAVRSIEGWIVLAT NIHEEATEEDVTDLFADYGEIKNFNLNLDRRTGYVKGYALIEYTTLPEAEAAIKALNG AKLLDQTLDVDFAFVRPPPANKGKWAGGNGNRGRGNRGRSRSRERTRSPEAGKD UREG_01753 MLLRAALLYLLGGDFVFAGNADDWKPRSVYQILTDRFARTDGST TAPCNAEDGITCGGTWKGITDHLDYIQGMGFDAIMISPITKNVNGTVRYGDAYHGYWP QNLYELNHNFGTRRDLLDLSKAVHDRGMYLMVDVVINNMAYITNGSNPAKDVDYSTFV PFNDQKYFHPYCKITNYDDYEMAQKCWTGDKLVPLPDLNTEDAKVTQMMAAWVRGLIS NYSVDGLRIDAAKHVDTDYLRNVVKASGVFAIGEVYEKNPKIICEYQNYLPSLLNYPV YYAMIEAFSKGNMSVLVEAVEKVTVVCKDTFVLAAFSENHDLPRFPSFKKELSLAKNV IAFTILSDGIPMYYQGQEQHFSGDGVPENREALWLSKYNTRSPLYNFTASVNQIRRQA IRVDRDYLEHPLYPLSNENGISAFRKGHDGRHIIVVYSRHGEDGASRSIKIPRCGHQH LEFTEITTCRNHTLDDWGALRVTVYNGLPKIFFPANQMGGSGLCGFGDWPISGSKKKG EGKSNIDKTHWTNEIPVNPKWGRRPGREGSRPVHRAKAKNSKKKKRPKISGSGKTWSG WPMPVLVSSLVTLHFEL UREG_01754 MAFVLRRPFAVSTAFRQISKPTNTGLRFIHNAPKQAPKTPSTPF ISSSFANARQNFRDTFRRTYMQQQYKAADRGNLTQKLLYGAAIVGGSIVATNLIFNRE TREDGGMAPYERSYLNDTFMHTGLGIGIIGIAARALHTSGFSYRIMAANPFLVVGLSL AVSIGTMYGTYYTKPENYIQKYGLWTAFNVAQAAVIAPLMFLHPAILARAGLYTVGMM GSIAFVGATAKQEKYLYLGGPLLAGVCVVALSGLAPLVLPATATRTLMWSERLWLYGG LAVFGGFTLYDIQKILYHARMAERGLVRRDIVNESISLELDFLNIFVRMVQILAMRGG NNRR UREG_01755 MSFLNSSRRRTRSPSPGQIFAPSATPIVIDSSPSVPSASSILDS LLGEFSEARDPYTVEAGPTGRSSDHLFSSPGVLTQSPGRENVPPRPSERTKDAHGKDR FLVSTERNGRSPFRGNPYRSAEIHSPKGRLKAPTKEGGTRKTKKFSSSNRTLTGRSTK FLAKTASKPTQSSKVPSEIPAAKLDSLQWEDGELRLELATTRRGSWTPIKDTSIDIVD PTRNLSPSNVSAAGSQKFSSMLSDYGFTKGSTLTMENELRREVPTTKRRLELLQGTAN DIFSEGDFSRPPEKSVVNPNGTHSRRSRKTTSTTITSLSTAQYGHQDSRQMSNLADFF PSGEAVERPSGAIKKLKTSKSGTKKKGVKKAKEAPLFKVASIEDALKSLEDQVCLFGT SSQLERVSSDEEPQMANFNLNAQFPRKNSRPQKTRSPCSNPKTSKSLWYASSRGYDDI EFVDMIDSSNPKTLESVEASTFVTPIDSSPMHSQVASQMVFENPCDVSHVLTKIPQTG PKDPIENPVCPEIQIRHSGNVKTQSTSGQSIPSFRGLTTAQLAQKVASFGFKPLRSRE KMISLLEKCWESQQQTHIPAMLPASHTALPDSVTRAEQMSKRDTIKSRDIRASKSRSN SNHIPGLVSSTSQNTGYAAKSPDCIRGSSKSNDIGTTQGSPLLTTQSVIVIPDSDDSD NDNNPTGGAYSYPSLASNTPSSSTRTMASESLLSVRTRYEANVGEEQGSNDINQQITK AIRAQPRLVAINGVKRPTWLEKILMYDPIVLDDLTVWLNTEGLDQIGEDSEVSGTTVR EWCESKGICCTWKKKRHVAP UREG_01756 MSSPRKREAQESSGKQQDREDWESYVNLDGSDSADDSDQMAAVE EQEKAQVSAPAPSPIQRRPTKKQRRGKNSPEPDYSAMVQGQMAGIHRTGQACDRCKPL SRPVY UREG_01757 MSTSEIHNLHGQFHPHPPKSEHLESPKHKPGAKASPNDNAPEYA AETFAPGTAPPDRTFQPNPIEQVPGQALNENVERAHGKESVKTTASSTITGATSADVN RGLGRPMQGESSVEHRHGDQKHGKHHGSGLEGVGANEVRVEDDLKRLEREDNVPKGSK AAERGVPAEERPPETASSVSADVNQHHHHHHQQPHHMKRFSADRRPLERRENAVEGES SFGRLVAMGIRACIPQMCLRRQRDELIPQLPARTVASLPSLPHPRSVCHSSSSGAAVL LLDHFVLLGCFILLRHKLWPTGHANRLAIRRCNGFGMPGGMRRMSRGASASPLAGKTA AVGADPMSLIRTFNSETNPSRPIHPSPLTASQIRGMPLDLIDRLRSFPLFQSTPESFL AEIGLRLRPQLNSPNDYILTEGDEAKAMYWLVRGAVAVTSRDGESVYAELKPGAFFGE IGILMDRPRTATIIARTRCLLVVLTKEDLQGILPRFPEVERAIREEAEERLQILEKKK TQAAPLPMRPERLAGERRGSKRLRDAFSGDMSLDDERRFDIISKKRKSPSPSIAETST SSALANGLVSVRMLLKELPLFSQLPPDILHFLGLNAQPRTFPPFTDIIRQDSYGREIY FIVRGEVEVINERPDPRATRRGADAESLRSVEVKARLRQGQYFGEVVSLSLAPRRTAT VRSVSAVECLMISGEVLSEFWERCPKNIKEQVENTAKKRLEAASGGDVVMEDAPPAGA FDPCEPAMPTTRRQSMPLLTFTESDLDSFHKPNHLEDAPVLRPSDPDPYLSVDLDNMR ARSRRGSLAPIVPDDAISADQSSQVPALNRSPRSLSPSKQVQAFPTSSVSSLKPVFRP SFGNSRGIFNDDILITILQHMELHHLLRLRSVSRHWSNLITKSPHLLHFLDLSIYNRK ITDEVITDFICPFIGGRPRVVDINNCFHITDEGFNALANSCGTNLRALRMKSVGMSRL RRSLIWQTRPRIYRRLISVIVERKLCLADCTYLTDNAIVYLTNAAKALQELDLSFCCA LSDTATEVIALGCPQLTYLNLSFCGSAVSDASLRSIGLHLPLLHELSVRGCVRVTGTG VESVVENCPMLGVFDVSQCKNLTPWLEFGCHRKYSDRIRFVTVAHTERLLR UREG_01758 MDPQQLSPEEAANKARGYKAAMHNPNVSEQAKKHSEQALHDIEG TGQPEQLQEAGEEGKDPGNVARGLKAATHNPRVSQRAKEEAEERLERMGGE UREG_01759 MPDEPSEQPPQYSEIAPPSNKGGGSSQSRVGQSSSQRRNTRTQP GNRRPQSDDSVDDPRTLGPEDNDRIRRGDLTNRDRTASDDSVEDLRIAFAGLGAGGRA HHRNSANRGRFPHLDDSIDDLRLTLIPVGAGDGTRHRDFASPHMPSCFNPCCHAGYPV VQHPDDIGGEGLIFCDLDRHCLSLSEMVSEQLPGYGLVIVGPRPPFLGFESVRPPRVH FLVGAVGKLRLYYPGPIMVSLLARCFQICPLEIEDWVTDFGFFEDSRGYLYINPSVVP WAVANDIADVFDPI UREG_01760 MPPTDQPTEEHGSISTAFNTEQPPQVGIKLSAQGVLAATVAFAT GVGLGFSHGSTKAAFRFRAENAHRFPTDSAGWYQYHKSKNYTSMLGGLKDGMKLGTKL SVGVLGFCFLEEIVNQARHGPRDFFSTVTAGLTFSGIYSLFARHDVYTAARTAKLGLK LSLAYGLAQDALAVAKGNKPRYITWVTSKFRGDDQ UREG_01761 MANPNANPLNWTLRFKNHKLTVVLLVFPLESFTNIKKMLLEALK ARGITEINGVQVPEDSSEIELGIPVDRNNLEKGWVPLEISPPSEGAKRDATGTKKTVY GDNPQDADLRDSQALAFRFRTKENNDVTDMDIDGLGWDVLIPAYDDDDKDER UREG_01762 MAASGGASSISVTVRVRPFTIREAAQLTKCDDGPLFLGDGSLAG VSTPKLQQKGIRSVIKVVDSKCLVFDPPEDNPVHRFSRSVVPNGKRVKDQTFMFDKVF DENTTQGEVYEATTRSLLDSVLDGYNATVFAYGATGCGKTHTITGTTQQPGIIFLTMQ ELFERIAERSGEKVTEVSLSYLEIYNETIRDLLVSGGPKGGLMLREDAKQSVSVAGLS SHHPQNVQEVMDMIMRGNECRTMSPTDANATSSRSHAVLQINIAQKDRNADINEPHTM ATLSIIDLAGSERASATKNRGERLIEGANINKSLLALGSCINALCDPRKRNHVPYRNS KLTRLLKFSLGGNCKTVMIVCVSPSSQHFDETQNTLRYANRAKNIQTKVTRNVYNVNR HVKDFLVKIDEQMALINELKQQQKDYENIAFAKFKKQTEKRDGIAREGVSRLRSAYEH AAPERQEKVNSMLRLRQISRRIALLSSWIAAFDGVCASREGRGGLGNLQAVRKTAQGI LLELEGSRQHYHQRLAKCNWERGLNSALENGIRQLKEVDTGDHMDMENLKREAELLKS QAERDALSQVSEQEKAGDMETMQMLLQANFETIVAIEEITQLSEDEAIEIGKSILGKL LQTCTVTATQVVKPDGSPIRLAATPTFKLGSPKKRKKFSLGGGVALPRPVRLSLIPAS QSPAKASPRKRKVAAPKKAVAFTPKKTTKPPKRSVRWKDDVEDGVLAEFEKTPQRQQP SPPQPSSCESTLPPVPSMVSAIPLPTAGGSRESSPIPAPPELNAINVKNSSRFKPGFL SKRANGSPIPPPPSLTKLSSSDSEGSPLRQIENGSSIKRQSIERSSIGQSSDNEENWK IDKFEAMRINSAIRRISGSHGGSINSGAAMSRIRRRSRSPTAASSSPINNETTMFTAS QARRMVKSEKDHDLRVNVLSPRTLPVMKNPGQRRISSAEVRPREIIAGREHVRYSATA IPAGGSLRGSPRGSGDGVYR UREG_01763 MPLLSRLLRPFSTTNVSLSVGPAESLGAQSFPENAQKATFAAGC FWGVESLFRKRFGNGKGLLETRVGYCGGDTQAPTYRGVCTGATGHAEALQMTFDPSII SYRQLLEFFYSMHDPTTQNRQGGDIGTQYRSAIFTHSDEQRRIAEAVTELVNQKWWAN KVATEITPAGQWWDAEEYHQLYLNKNPGGYECPAQMETLLSQTTPYGLQRQRMFALNL QVVDLSTLDTHYSLQPTWISAG UREG_01764 MARTVYAQFCAGETPTEVRHCIQGIKHLGYSGVILGYARELVID ESEISALGKGTGPANEIKLQEDILAWRDGTIATVKLAGEGDFVAIKFTGAGQGAVQQL LRKQRPSPDFEQAIVEICDTAADRGVRLLIDAEQQAVQPAIDAWTIEFSRRYNAGSNR RALIYGTYQAYLRSTPCTLAKHLAIAQSEGFVLGVKLVRGAYLGTEPRHLIWDTKEST DRTYNAIAESLIRQRYSEVLREPEKGPKSFPEVNLVLASHNRASVQRALEVQKEQDWQ NQHQIEMVYAQLYGMADDISCELVERGARARTMEGGPVEIPKAYKALIWGTVGECTKY LLRRGEENRDAALRTADTHKAMMKEFKRRIRLGR UREG_01765 MAERIDDAMVDTINKMNDITEHLRAHGFVESANRIARNVHEVIN VFTDAVVKFTDDQMFMVQAVKHPNKKPKPTKGPAQGPAQVEPSAANVNSQVSMLYSDV ARQAPVPMAAQKPPRAAHHGATKATNALGLTATIPSQINPVVPVGHQPILEEGEEKAA VLTISGVFGPHSLNFLTSKIREGPLVSVDINYPQGYAEITFQKSAHAIAFLGEERAVR ARTGASMFGNAYKVICASEFDWDDEIRKMETKPRERRRLTLARSGLLTCGLTVKKILN DMGQVVGSDAIEFIWAFNTGNVTAVFKSVATARAVRDHFLALAVHKSNPYHEIRVTFS TDPCEKQLNLDSQIGIPQSRRLRDRRYGKK UREG_01766 MSSAPMASSSSRRPYHGSCHCGKVRYIAFVALPPAVGLDINRQN TLRFYKCNCSTCHKTGFFHMRLPNPAQDFVLLSPTDPDALSNYTCFEEYLNWFFCSTC GVRCFTAATDGRSEEIDLEAKLGKPSEGKLTRIWRMGEEKWQPGKKGYLSINLHTIDQ GQDGLDLRELVDKKWVEYLDCKEETSPLRYDYPQNGGTW UREG_01767 MTRLGAFAALALAFSSQVFVAAAPQKCSPSKKCPSSAPCCSQYG DCGSGAYCLGGCDAKSSFAIDSCVPAPVCKSKTYRWDNLDGVVPNTKYLGDIEQGDWV SSGEPLTSNGNLLLTMAPDTVGTLMANNHYMWYGKTTAKLKTSRGKGVVTAFILLSDV KDEIDFEFIGADLDTAQTNYYFQGITDYTNGKNASAPSSYDTFHTYEIDWTPESITWS INGKPVRVTKRSDTFNETSNQYAYPQSPSRVQLSLWPAGLPSNGEGTINWAGGLVDWG HEDIKNHGYYYAMFDEVSIECYDPPKGAKIEGKKSYVLTDLKGTEDAFKITDDDTALK SFLGNGRDMDKDYPSPSGTRKPSQTSDIAVIPGLSGAGPGADGTRGDEPSDGGSPGGN GGNGGSKPNPSDFSQGGNGGDEQSGASPQGEQMLKGSLFAVLVALVVLVAM UREG_01768 MCLYHRIFYTCNHSNYTLAYNCYHVLFQLQRINNPWERSRFDIP FELNPNCNPSQRNVIAPRYSEHPCDVCVQRDQERMFFEGANCFFVGTGGRT UREG_01769 MSRNDPLLVQRPSSDHSPERSDAEEDALLTGERTRRSESRRGAT FWREVGLFSWALIATAAVIVLAVVYQHGQSTGRREGRWAPGEKPEGKRNLVFMVSDGM GPTSLSMTRSFRQFQKDLPIDDILVLDKHHIGQSRTRSSSSLITDSAAGATAFSCGKK SYNGAISVLPDHSPCGTVLEAAKAAGYMTGLVVTTRITDATPACFSSHVNMRSYEDLI AEHQVGEYPLGRQVDLILGGGRCHFLPNSTSGSCRGDDKDIVQLAKSKGFSYIDNRDG FDELKLGSAAQLPLLGLVAEKDIPFEIDRRFENDVYPSLEEMARTALKALSDATRNSD KGFFIMIEGSRIDHAGHGNDPAAQVHEVLAYDRAFAAVLEFLENDSTPGVLVSTSDHE TGGLAAARQLHESYPDYKWFPGVLANASHSSEHLEAKLHDYLDNEGNSASADKKRAYI RREFLEKGLGIFDAQDSEIDRLINPGDQVPAAYVFADIISRRAQIGWATHGHSAADVN IYASSPHDVLDLVGNHENIEVGQFLAKYLDLDLGEITRKLRDTETKPHAASERYAWMG EPLADEVVVDELDTYHGDFKKRSLESKPSHEDAEPRLRLERHSWLTFDSFSQMTDDEF GHHPT UREG_01770 MHSPSTQLLRVMRASISSSGRAISRHAPFRQCPISQNATARFSR TITSTAATHSSKNNNGETKPFRLPPPPPYGRSEFTTADLKPKSRYRGPPPPEDPRTDT GTRTDLGALDVLSNVATPSTAIDACLKDGFHLNNGVKITGGSGCLLVDGEAFTWRPWD AAGYGAKAMMVNKHGQWEVPEEAWGVLSLVWPKPGKSNTVMSKCACG UREG_01771 MHVILFRQEHWWAGQSVVIYIVGSFFTWTIVLVSLVDTSPSPTF AQLYPWVLAVPLEVVILAARLTLYTRPHHEPAIGDPRGGLYRKNITGWELTEVSIAIV RLLDLVGIVLLYTFCSVGWMANRNGEGDSTETTRLLSSGTGLNNGMNGNGYGSSHQNS KPHATKPPETEAAWVRPASVPSTSWWEYLSGYSIFFPYLWPSKSRRLQLTVIFCFTLV VSQRVVNVLVPHQIGIIINALSKSGDDSEAFYIPWGGICLYVFYRWLQGNQGLIGSLR SFLWIPVSQYSYMELSTAAFEHVHGLSLDFHLGKKTGEVISALNKGNSINTFLEQVTF QVLPMLVDLCVAVAYFGIAFDVYYALVVTLVTFIYLYVTVRMAQWRAEMRRQMVNANR QEDAVKNDSMVSYETVKYFNAEQYEFNRYRGAVTDFQRAEYEVLFSLTLLNTTQNTVF MLGLMVTCFIAAFQVASGEREVGQFVALLTYMAQLQGPLNFFGTFYRSIQSALINSER MLELFREQPTVVDGPSASKVASCSGDIKFDNVKFAYDSRKPALTGLTFHCQPGTTTAL VGESGGGKSTVFRLLFRFYNATSGNILIDDRPVDTITIDSLRSHIGVVPQDTVLFNET LMYNLKYANPLATDEDVYAACRAASIHDRILTFPDGYETKVGERGLRLSGGEKQRVAI ARTILKDPRIILLDEATAALDTDTEEHIQGALSTLSKGRTMLVIAHRLSTITTADQIL VLHNGQVIEKGTHEQLLSMRGRYASMWRKQIRAQRAAAEAQVLQVRAERLRTASTAAG GDDSSSQSDEDHPNGDETTDPKPIRPSLGQRSSKISDAKVQSQ UREG_01772 MPEMRISLGTSASEGDQELQVERCKETDPGVEKREEMLERKGEG VRLSGSGPGSVQKQEVKFSMSRIHGKREEKGGEVVTQRHRGDNSCGMVVQQESTKVKS TADRRDRRRRRG UREG_01773 MADSTRNQAPPPAPPLPPAPPPLPPQRYATKATSAFVRFAHPFF YGSRPPSPQQSSPRVAIPITPDVKHASSTSVFINHALSWIGLLLWAGASPIISHKTGI PIAALDVSPQRTHAILAGREILKTIRVTPDHCSEEVNIRSAIIGYCATHNASPAALSS KYKDQLAAKDVKWSNGEYDQIIATAATNGRISVFDLNRASVELGRFQEHTRQVHRLAF NPHRGAWLLSGSQDATIRMWDLRALSGGRGVMNFGSKHRFNGHSEAVRDVRWSPADGV EFATATDSGAIQRWDVRKENAPLMKINAHEKACSVIDWHPDGKHLVSGSADRLIKVWD FSSTDRRQKPCFQLRTPQSISNVRWRPASWAGNDTDTGDWQSTQIITAYDQEDPRIHL WDFRRPHLPFKEFDHYTRSATDMLWLSSDILWSVNSDGIFTQADMSHAPQVIQRRRAC AVTWNPNGGIAFARQRPRRRQPSTSFSLAEFLGHDTISGANEKNASFTDTAADDPPLT SNRKRSNRISYSRLSKSLSGTSSISEEELPQIVPLEKAVAKSGLYQPSETGVIGQISG ATIDQDIFAHLAKNYSSLITNHLKQGVKPARVKLFLDTFDHNATQAERVGLHKLAQTW RVAKYAVSMELISRAREQKDGCNVDSNKPLSQTDGQIGEKRRITKETLAGKPASRLFE KVAEAKAQALVTPEIETNSNITTPLAQPIPDVPAGELGGDKIKNSPGDESPRFKPLPP SILGSGKWSNHAPTPDDNSNRAKGKEPRSAKDSRTSPRSLSPDGLRERELAQKDLFVN GHRSAPRAIARRADWRLEDDGGFERRDEVKGPDYDQPVDEKNAVLLDYKPISKGALSL EALSQGGSRPPQPVNYVRHDSAESFPMFSASTDSSQRAKSVADDATSPQKKTDISNLG DSPWSAVGDSVLHSIPEREDTSSPCKGRERNGSDASLSGMSFEIVHSDPDNIHLERPP IPLPFFAESSPIRASDEESLMGDPSDSFTGQENHETHSDPYEGLFNGIVDFPLAVKPA PAPWSPQSMLREAVRYYTSGSIVDLASAVHFLHKLHILFRSLDGIIPYEERELILKSY NEQLLRRKMFVEAAEVRRHCAPTYPAVYDYALKDVFINVYCFQCNKPYDNPVRDNKRC HRCNVAQSPCTLCMSLKPPEEWIIQASALLNSEPEPKSSYPDAQPPPDNIPISAHSST PPMPHNQEIGPRSRNTTGTQGLTLWYWCQGCGHGAHLACQIIWLSDISFSEGGCATPG CGHDCGPGPIRDRNNASRKPARDMQSLRSPSAPFAKRDSWNAGESKAVERVRGMLGVG AAAAAGGSTASSPGPGISSNSASAVLSPKKVRLVTPGEQERKQSNSTTPSTNARRGRG NILRGGKRGKVG UREG_01774 MAVTWKRLPLEGHESCPFLYVSYTFGSRGYEIFLTDLAHVWSES LSRKQILAYALKQDTSIDPSQDDDQYSVLLQKISDALSGKAGTTLSLRGHRNRDDLKL STETKLPTPLEPLVWIINLTRSPQNVFTKQVFLPVIRGAANCEARTQLLIEKLKEKDW ALGKLFDKLESSGINLNTVFPSLTGTRHGRKGSAFSQAAKLIKGIAPFDENTWHLQSQ EQNLNAPIDETIIREIAAADSVLKLDALENSSGDWWTKTWDSDHAITSPITSRTEAAN KQLTKSTEQNQNESDDEFETRETPPRLKKPSRPADAAYNNKQRAQSVGKGRINQSTGS SENEAISPIQSPPPREQVKRPKIVGTINPKASKKGSRADSTESDTPLSPKRSRTKGKG LGAIGGRKIKTPDTKKPPSGMSDASTESDIPARKDVDKPSIQEESTESEADQDLTLRP NKRQKLSPTDDEESDKSLPKPMDKAAKSRPKSGLGQIGGKKKQKKEDPKTEKGQTSQA NVSDEERTHDRVEASQAPTPKPAKRQNKLGMIGGGKPSRERTATRGQDKPPITSQGDV PTADETSDGEQTKASVQRSRAKSPKRSKSPTPGIKTAPPAPKKEESITKTETPDERAN RKREELRRQLESKAGPTKKKRRF UREG_01775 MKVICSDRRQRSESSAPEDRAVSSVAADINRLLSPKSYEELAVL ETQIRKKLNSNEPIDTDYWEHLLKSLTVWKARAKLRRVYQAVIKGRVEELQKQQRQEA ESVQYKLSPLAPYEGTDTATTAPEEKGAFRSLDPEPLLQLQSQDKTLEILDEQSFLSQ VATDRKKILKMGFVPLRHRSTDKPMQAASHIQETPAVAAIPPRFLSTPNEDFSQATKA LYEREVARGISENEEIFAGEEVVSTTSKPAWANKYRPRKPRYFNRVQMGYEWNKYNQT HYDYDDPPPKVVQGYKFNIFYPDLIDKTKAPTYRIEREHGRKRGQSFAPAGEEDTCII RFISGPPYEDLAFRIVDKEWDYSAKRERGFKSTFEKGILQLHFQFKKIYYRK UREG_01776 MEEAPMSHSFQNVQAHTSYTPLDASHLNGKPSPQQRSYPLEAVS APETCLEELLFPYFPINMLDLTNGSKSQLSQSMSDTLPETYAWGFDQNEFYSDFGIFD HDIQEVADTIIPSPAQFKSTFSENCDQFDLINPFPALQDLEHLAGFKEFHAARKPYGP NSPASFPDSEVLHPQYDISGSAGFRPSFKSSTPRPGSAMYSSFTADNSMASSPLGDSQ PLLQPRSVPDIGLGLFEDDSDTDSITSDEPYARLIWKALMSAPGHKMVLKEIYEWFEK HTNKAKNPDSKGWQNSIRHNLSMNAAFEAVREASSPGGSPKRSGNVWVLTKHAVKHGV QSTTRYRKMPGIHKKTTKSEHPAPQRQRSGAKGGRAARKAARFRRALQEAQKAGENHC YPENLNDITTQASYTTKERPLSPPNPEMNFAVEGYDLSYLSGCTDFPPESPIFYHTIG TGEQALIPDYSILDGQAISPDFNPFM UREG_01777 MAFSAELEAESLRGVGSGSGRYQEPRIENDAWDGESQVDELARL GACISVRTARPASFALALKVRKQMMSFARFRSWAFSKSSRLSRFIVCIPYAIHFQSLR LEQSDSVVRRWAEHTSRRNRFFKQPLSPRAGLGLWRKGTAQTCEELDATEIPKSTQKA HVRPSTTQPKKRTSGHEHRDLPFVWFCGPARRRQPPFLACIDGSGQNHGFVPGLYGCT QSVFGVFSNASKGIYSCRCAAGPSSNEHPMGFARLQCLHAVDSDGPTSNGDCAVLSVA LVGASRSSLQISKTC UREG_01778 MLTNILKPFSDEETRTCTFGSYSIKFVIRNFEPLLTKTVFAIRY KTRSNKVRVIKTPGGELRYLHIKKKGTAPKCGDCGIKLPGIPALRPREYSQISRPKKT VTRAYGGSRCGNCVKDRIVRAFLIEEQKIVKKVLKESQEKAAASAKKR UREG_01779 MASLPPPPPPGWGAGAPPSMPFAPPPPGYQPPADPRFAKFEHKK KEWLRTQRNRFGEKRKAGFVETQKADMPPEHLRKIVKDIGDVSQKKFSTDKRSYLGAL KFMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNETPRVIEPVFHAQWATMWVC MRREKSDRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDEAEDGPVYEWFY DHRPLLDTPNVNGPSYKEWNLTLPQMATLYRLSRQLLSDVVDKNFMHMFDMNSFLTAK ALNVAIPGGPRFEPLYKDIDPNDEDFGEFNAIDRIIFRAPIRTEYRVAFPYLYNSLPR SVKLSWYSYPQVVYVRTDPNLPAFYFDPVINPISSRSVAPKNITVTHEDEVFGPGNDE DDFELPGDVEPFLADEELYTSETASAISLWWAPYPFDRRSGKMVRAQDVPLVKQWYLE HCPQGQPVKVRVSYQKLLKTYVLNELHKKKPKAQNKQNLLKALKGTKFFQQTTIDWVE AGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLMRE ILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKLMHQIRSCKD LKHLIYYRFNSGSVGKGPGCGFWAPAWRVWLFFLRGIIPLLERWLGNLLSRQFEGRHS KGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNTVLQHLSEAWRCWKSN IPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGATVDKTVAKKNLGRLTR LWLKAEQERQHNYLKDGPYVSSEEAVAIYTTTVHWLESRKFSPIPFPSVSYKHDTKIL ILALERLREAYSVKGRLNQSQREELALIEQAYDSPGTTLARIKRFLLTQRAFKEVGID MNDNYSHINPVYDIEPIEKITDAYLDQYLWYQADQRHLFPSWIKPSDSEVPPLLVYKW AQGINNLSNVWETADGECNVMVETELSKVYEKIDLTLLNRLLRLIMDHNLADYITSKN NVQLNYKDMNHTNSYGLIRGLQFSGFVFQYYGLVIDLLLLGLQRASELAGPPQSPNDF LQFRDRETETRHPIRLYTRYIDKIWVFFRFTADQSRDLIQRFLTEQPDPNFENVIGYK NKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSITTIEWDDTFASVYSRDNPNLLF SMCGFEVRILPKSRNQNEEFSERTAYAFLQVTEEDIQKFNNRIRQILMSSGSTTFTKI ANKWNTSLIALFTYYREAAVSTVNLLDTIVKCETKIQTRVKIGLNSKMPSRFPPAVFY TPKELGGLGMISGSHILIPASDKRWSKQTDTGVTHYRAGMSHDEETLIPNIFRYIIPW EAEFIDSQRVWMEYSQKRQEANQQNRRLTLEDLEDSWDRGLPRINTLFQKDRSTLSFD KGFRTRTEFKTYQLMKSNPFWWTSQRHDGKLWNLNAYRTDVIQALGGVETILEHTLFK ATAFPSWEGLFWERACLAKGTRLLRYDRTEINVEDGQEGDQLLGPDGHPRRAFNIVSG QDRLYRIKIGEGVEDLVVTPNHILVFHREKRAENMPSGQQAGVTEERPPEASEAARYD TVEMTAAEFAACDPKERVLYKVFKSPAFTMPEKSESVNAEAHSFVVNDISLESEETEW AGFRVDQDQLYLRHDYLVLHNSGFEESMKFKKLTNAQRSGLNQIPKPSFHAVVVSNYQ PYILTWQDLHFENLFDSNFSEHICGQKIHESVVMDLCQVFDQELEQLGVETVQKETIH PRKSYKMNSSCADILMFATHKWNVTRPSLLFDTKDVIEATTTNKFWLDVQLRYGDYDS HDIERYVRAKYLDYTTDSMSIYPSATGLMIGIDLAYNLYSAYGQYFPGLKALVQQAMA KIMKANPALYVLRERIRKGLQLYASESNQEFLNSQNYSELFSNDIQLFIDDTNVYRVT IHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAA LIRSLPVEEQPKQLIVTRKGLLDPLEVHLLDFPNISIRASELQLPFQAAMKIEKLADM ILRATEPQMVLFNLYDEWLKTISSYTAFSRLVLILRALHVNIDKTKILLRPDKTVITQ EHHIWPTLSDEDWIKLEVQLRDLILNDYGKKNNVNVQSLTSSEVRDIILGMEISAPSL QRQQAAEMEKQQQEQKQLTAVTTKTQNVRGEEIIVTTTSQYEQQSFASKTEWRTRAIA TSNLRTRANNIYISSEDIQEDGHFTYIMPKNILRRFIMIADLRVQVAGYLYGRSPPDN PQVKEIQTIVMVPQVGNTRDIQLPHQLPKHEYLNDLEPLGIIHTMSGNEPSYMTAMDV TQHSRLMNAHASWDKKTVTMTVSFTPGSVSLSAWALTPQGYKWGAENKDTSSDQPQGF STSFGEKCQLLLSDRIRGYFLVPENNVWNFSFMGSSFSSVEKRPVYVKVDTPLRFYDD QHRPLHFQNFAELEDIWVDLSDNFA UREG_01780 MVLLFIGSCIARIPDATINRFKSANPTASTLKIQRDERKIVAKC GEFRLVKGLL UREG_01781 MPEKQRLDMKTFCGALFSPDGLPRMLLVGETMSQSFSVPKTDFS SQNTSTQNLGTGLFVIVPELPENPATEARIEWHRSGLKEYKLKSIDYDPTRLNRMIPD VNVLWRELDGKNLKFRNDYRSQPGPGVIIHHVAPKSNQTSILEQTSISDSRVPATWPI FYASSTNQKPDLRMLVHATSNRLHFFES UREG_01782 MSSADQARENLVLLGRHYRGETPLPQELSTESVRLAIEFPLPRG LPTQSGDAEQYHEAKNTLASICDPDARGLTAPIDG UREG_01783 MGDAMRLRISRVASMLNSSPSSHIISIHTTIITSPRRTSIRNSS RNLRRSSSNNNRMGSDDGPSGENDDTAAGLGLSMLSETQHADDSQSADILLPGPTEHS SHHHHPSVQHEYQSPPIHQRHHHHRLPSQATISGAQSGDTDLTSPGGVAGSQSVVGQP GMPDPAPRPRGPKLKFTPEEDSLLVELKENKNLTWKQIADFFPGRTSGTLQVRYCTKL KAKATVWTDEAV UREG_01784 MANGKMECKRGTKIDKILARVNWIDDLDSVTVAKLRGTLAGRFS IEKLPVAMWDWQEWSKKHPKIGGIEYDAQSSRIQIKAMPSPLQEDLSISIHDCLIDYE SKEHLIKL UREG_01785 MFVQRTAFALARRAPVKALSRRSFTSSIVRSSKYDVKPDQPQGK VIPFEEVKSETDLFGPGAAPGTIPTDIEQATGLERLELLGKMKGIDIFNMQPLDASRL GTLDNPIMVKSGGPEQYVGCTGYPADSHTVIWLTVSRDRPVERCSECGNCVKMDYIGP KEDDHHHHSEVYEPKTMADYVKPEYWYK UREG_01786 MPMRVNQGRYPCVSERRKAKEPSTRYLEDTLYPALVRWAVQVSE PCCFGILTAFKALARKPQDLDPVSK UREG_01787 MSSPPTSKRIKTTVPANPPPTHPLSQAGPPFPGPGQFEAPPMVP APPPTQAPPPPPAAALQQPGTLPTVNPRKRRASFQQAAPMSTMAPPQLTAPVEGEGNP PTAATAPEAGGKKKGRTNTPWTAEEEQRLKAMRDAGNTWSEIAKTFPTRTEGSVKKHW YKDMHYAEFAEDEVSHVAHMPMELMALTIAQSAALREAIKEYESNKWKVIGQKIGKPA KVPSPSKHMSKLLDPST UREG_01788 MHPISAADILKTSRFRSPKPSGDTGRSSHGVQKHQRSASAGAGR AWTEEEEVYLVRSRMHKMPYKHIALHLRKTELACRLHYHQMLYGSNRRRRSESISSIV SSCTTSYGVEEQPQDKEALAPSPPRTPPSENCSAPSSAANSPQCSRLHVPILPKPVSQ LHSAQPANYGFDKQLRLETSFPDHNQYNHYQIDTLRLRSLYDAYRHSFWSLIASEYSK DPELSGPKLEEAFFHSALASSPERVLPMPTPRCSPKSLTPTIHEELPDGPQLPLPAPA AFRAINEHDRGRRDSNASANASHRIEKCAVASLLTVEKEVWAPKEIASK UREG_01789 MLSQCYIQHLPPFCPFDGGMEDPLSMRKRAGKGRRDMSPVQKPS DPAVDHSTAVPFNAENSEQSAALRIYPGPWSKKRRGSVGAYNWLIADQSGFLEVSKLD SPLPRHIVHRQSMKNLERFEDIRCQPKQQPRESLAENQSSKAPDSPAVQGRNSLNEQA MMRDGRALSNAA UREG_01790 MPSAKLEGSYDNSVGRRKLQDGDFCSIPLLFPHKDRHDGCSAFG SAYEPQPIPVRDLVVPPFHAYVVISILLWNQTQVSSMGHEPPPGETGHVSVLRMWLLS ACAGSPILSTCNTAIIVPVIFPAKKSLAASD UREG_01791 MASEELPTSWAESPYQGQMQTLMDHQWGSPSTYESVTHPYVAST HANPALLTPISASNSVYLDPRQSPTHPSSHELQYHNMNSASVSHGLGICNLNYMQPGM PLYNQSEGHNPYDPHGQLRRHAERAASERTYRAGSRPLSARSTPIAIAPNPLGIRQME QERRLGQELETQRQPRKPRRPRIRSSILDQETNLTLQLREQNVPWNEVVKRVNATYGG NHNASRLQMRITRLKQRMREWSEDDIQALRNAHLYWETEKFEIMAHKMQEYKTTRGWT ASQCQQKWQELQLEPEESLRRSQSPDDEDEPPDYPNKRPR UREG_01792 MAAKARIATLECGPRPNWVTSPTSGRLRPSAPPPRRSPERHLTG LGKRPNCPKYRVSRIYWEPLRNRRLTSDTDCEAPCLANGGFQCRNWKAKLFTAPAVAK TGNQLQVT UREG_01793 MRLIKRNIEQDGSGSVTLFPEEPEDMWHAYNLIRPNDLLKASAI RRVTTTATTGTTSSSRVHLTLQIRVKSLDFDPQSSQLHVSGQIAAETPYTKIGQYHTL DLELQRNFTLEKRSESSGDVGGWDSVAIEMLKDAVDEGYKRRAEAIAIVMQEGLANIC FIGQFQTVVKQKVEMTIPRKRQGGSDHDKALAKFFQVTLESLLRVLETSSGSLTSTTT SNGTSTRPILLASPGFTAAGFQKHIQSTLSGTPELRSLLENIIVVHSTSGHVHSLAEV LKSPSVQARLSNTKYARETAIMDTFFTHLRMDTNKATYGAKEVESAVDQGAVGRGGGI LLISNRLFRAQDVHERRRWVSLVDRVRDVEGGEVRVLSSDHESGKRLDGLGGVAALLT FPVIDDDDDEHDGDHPDNNING UREG_01794 MPRVPPPSMLKMLMPAVAGCSTATSNASVVAASIEPSASIERLV IPVARDVAVRDYCKWVESKEDPKPGLAGKESISEPSERWSCRS UREG_01795 MAQARRLLDDLRRPRPRGEEAPNINGVIAGSIVNGSDEPTPQAH STEGRQDLVSENELAGDGPSGGIDSESERFASELGTPEQGRHLSTSDEAHNHVHPSEI SDDESLGGIYSGSETFASQIDTTEDRQGLSSTDRWSQEQGLSNGIVENEQAEEIDTES EEFASQIDTSEYRQRFITSNEGRHNQVLQNGIAESGQNGEISSNNARDYGYVSSIGAI EEGRSFGMGFTDTAIGRSDRGGGANHPVLNEEDEGSGGDSGFGDTESNGDSENDTEAL SDGSNDGTFTGYRGQYRNRANQASEPGDQTQNDGASSRSRILRQLDIEVRGGNLDSPP TELPRSTSGNREWNDLEYDSEESAPVIRQNSFLRRLITENNRLPARFDPEMMFDENWG TSGTNRGPFSAPGQIWEDSPYDELGSSLIMQLRMSFQERHLGFPPPLADGSDENKENI PPQHQVQLSNTDDLEGGTSNSIHGSRGRFNLISGMREQSYRALGSLMSLSSRAID UREG_01796 MASKLTMQTAYKMNSGYEIPAVGFGVSESPPAPAVTEKVTLKAL EAGYRHIDSARAYKNEAECAEAIRKSKLKREDIFFTTKVPWRALGYDTTKQSIEESLK TAKMDYYDLILLHAPYGGREAREGSWRALVEAQKAGKVRSIGVSNYGVHHLDELEDYN KSIGGKIDVGQYEIHPWLPRRDIVEWLRKRNVVVEAYSPLVQATKMDDPILQELAKKH NKSPAQVLVRWSLQKGYVPLPKSATESRIVENADVFDFELSEQDMQSLETDEYQNVCW DPTTHKDGEPIGM UREG_01797 MWCQNDADIRFHLGAKVHQCVLRFLEETRKAPNSRFYVRAASVA RHIYLRKTVGVGRLRKVHGGTKNRGSAPSHHVNASGAVDRKIVQSLEKIGVVEVDEEK GGRRITQSGQRDLDRIARTTLEEEEEDDE UREG_01798 MAWGTLPVYDSSGRPTSGLPGEAIWKGVCVPLLFIAGESDQVTK ATEVSKLLEYFGVPSSFYKPEIGGEGDMPSRRSPLLKGPADGIPGSSILASSYIPAVS HREPYSKEKANVKAFIFPSPASHALPYDRATYRALSGLIQDFLCNYTDSRLSIGWQLK HLTTSGKWDVKNLAKWKAVAPVSNPVGNTFFALKTLRELDEEHSPLPFVQKWKSRIFA IIDISHESPIYNPTQLDQGGIQYHKLPTVSKIPPTIDEVRDFVALVDRLEGEISARIR DEGINGRPRPLIGVHCHYGFNRTGFFLTSYLIERKGFTIEEALEEFKRCRPPGIRHPH FIDTLFVRYCAGLRGDLGL UREG_01799 MTSTAPTVQEGPKEEPRYIEFPCAPDGVTQEGKPALNKYSQFIT KGHDFPGAQAMLYAAGVPDRQSMKTSPHVGIASVWWEGNPCNMHLLDLGKTVKKAITD QGMLGWQYNTIGVSDAITMGHEGMRFSLQSREIIADSIETVTCAQYHDGCIAIPGCDK NMPGCIMAMGRHNRPSLMIYGGTIQVGYSKLLRRPINISTCYEAAGAYAYDTLVQPDD GGDRTKTKDEIMEDIEAHACSGAGSCAGMYTANTMATAIESMGLTLPGSSSTPATSPA KMRECVKAADAIRLCMEKNIRPRDLLTKRSFENALVMTMALGGSTNGVVHFIAMARSA GVHLTLDDIQRVSDKIPFIANLAPSGKYYMADLYEVGGIPSVQKLLVAAGLLDGGIPT VTGKTLAENIASFPSLPQDQIIIHSLDNPIKSTGHLQVLRGNLAPGGSIAKITGKEGT KFTGKARVFDKEHELDAALSRGEIPRGENLVLVVRYEGPKGGPGMPEQLKASAALMGA KLTNVALITDGRYSGASHGFIVGHIVPEAAVGGPIAVVQDGDTITINAETNSLVMDVS DEEIAQRLKSWKPPKPRVTRGVLAKYARLVGDASNGAMTDQF UREG_01800 MSDNSTLIRTSQVLGITASGILAGGILNFSTVLVPTLLLPATTS RRTFDSSFQPGTPVSHITSQWHHAYSLGKSFVPLASLITASAYSYLSYHFRQGTLTRQ GNVVAANYYLFAALLTVLPVPFTLLIMKPTNSKLITKAESAEREGIVAHKEGDVQSEL KASREEAEVQSWLKTWASLNTVRGLFPFAATICAAIATIS UREG_01801 MTSANFAAAQARVLERRQQREAEARNARIDPSSRLPPAIRNLPY PLNRATDSGFALWDTVKGREGTRPAFRVGQVDAELLDEELLGLLKGQVGEGLKYLGRH MREDWSHEIDFALRAILFKLSIWDHNASYGAALQNLKYTDSRSKGPVYRQPAKWQKVL YGILTVGGRYAWDKWDAWIIDQEGGYDEPSPSVKSLAKLTNFASTVHSMAAFVSFLVF LVNGKYRTLVDRILRMRLTPPSMQVSREVSFEYLNRQLVWHAFTEFLLFLLPLVGIGR WRRWLSRAWKKTVSSLRAKDEGDEEARNQGPLGFLPERTCAICYQEQNPTASSESDVM AIGGASGGIIGSAQTDITNPYEAMPCGCIYCFVCITQKLEAEEGEGWMCLRCGGVAKQ CKAWNGDVLDEARPQLSGGKNVGFVTEDQAASDTHHTDDSTTEDSMHESNLWATVGKD ETDDTSIDLTEHEK UREG_01802 MHPVIEVPGEANPLSYQTVLNALISASSNTQIQVQTGTQQLQNW EKEPGFYHILQDIFTNYSVPEEARYLSILQLKNGVDRYWRKTSPNALKPDVKQQIKNR ALEAGVVEPVPQLALHNALMVAKILRLEFPTEWPDAIPKITQLVRSSVGPVSHSLQLS RSLLILLQVVKELSTGKMQRTRKPFQLVAPELFHTLANIYVQKVESVFCLLKGEGVDD AGALESARQSLVALKIIRRLIISGYPNPNRDTDVQQFWSLTLPHFANFVNVSKQESSP INTSVAALVSRHAVQLSKLHLAMVKDHPAAFVLLPQSIDMAKYYWDLVVELGKSYGAT DLQQLKIGTDGDAADEEKTLLEKLGLKGLLLLRACAKLAFQPVQTFKYQYPEDKEERA AAVKLVKTQLLGEEFAVQVMELLVTRFFVFRASDLREWEEEPEEWEKREEEITDAWEF SIRSCSEKLFLDLIIHFKESLVPKLLNVFYSYATPHNQNVLLKDSLYAAVGLAAACLE KTLDFNAFLSSTLIPEVQIQQPGYNILRRRIAILLGQWMPVKPGELDRNSVYRVFQHL LDKNDPINDQVVRVTAGRQLKAVLDPYEFTAEGFLPYATPILQSLMNLIQEAALPETK MALLETVRVAVIKLEDHISPFADQIVTLLPPLWDQSGEEHLMKQAILTLLSSIIHSMK QESVRYHPLVVPLIQKSTEPGSDSLVYLLDESLDLWYAVLAQTPAPPSSQILSLFPAL FPVFEIGTENMRQALEITESYILLAPQEFLNEQVRFRLLTVLEPFVRVDVRPRIGLAP YLASALIRIGETAPNADEQTYAAIAESFVSSSFLQTLLSGLHEAHEASFSTGPKKKYS SIDGVAETDYYSVLARLALASPAVFVSAIRAATHSIPEEQTLKWLLEEWFFHFDSIGD IATKKLHTLALTHLLSLGGPSYPPPACFLNSLQSYLNIWTDVITELADDTEGTSDGRG GDYLVNLNNSGTYNPEGKYQNNEPPETTRRRAWTVADPIHQINIREFVTEHLRALVGA CGGLDRFREEWLVNVDREVVNEFAKLGVF UREG_01803 MTVSTIPLILDNKDVVTPTTFAVNSPGTGTLVHQCSSASVDHVQ RAADSAHAAFKHWSKTKPAVRRDIMLRAAEIYLRRKEELLGYCAEETGSSGPFPELTF GLSHQLLKDVAGRAADIEGVVPQLVEDGQSAIVYKVPYGVILSMVPWNAPFPLGMRAI VLPLMAGNTVVLKASELSPKTFWGIVDVFREAGLPDGCLNLVYIRPSDAAEVTTALIA HPAVRKVNFTGSTLVGSIIASTAGKYIKPVLLELGGKASSLVLDDADLKNAATQCVLG AFLNAGQICMSTERIIVQRAVAEKFRSILVETMENMYHHEVPSPVLINAAPVAKNKKL VSDALSRGAGIVHGDPHREESSDTRMKPVILENVTPDMDIHATESFGPTVALFVVDTE DEAIELANDTEYGLTSAVFTTSLGRGLRVAKQIESGAVHINSLTVHDEPGLPHGGLKK SGFGRFGGTSGLNEFLTTKSVTWMDI UREG_01804 MKFTAAVFTALVAVVAAVRQPDYSKPPHGNPIALPGLAEQVPAG QEYTITWTPTSPGPVSIQLLRGPSENVVPIQVLTPSTPNTGSFKWTPSLSLEADVSRY GLLIVDETTGEYQWSTQFGIKNDAPQQPTLSVTRTVIEPPQSTNTDVVTITTTICEET TAPYPTGTAPGTISSVWPTAPTSYRASPTPTIPPPFEGAAGRNAISLGGAFVALAAVF AF UREG_01805 MDSSILSDPDSPLSRSSTSSPRDKQRRSPSSQRSSSFLTPNRSP CTSPTLRSFDSPFSRQNRRLQLRNAGFRGGLLPAHRPISPQFAVQKSLAGLRERDEDK ENCSVENGRLVIGSDEIEADVSQRKSTRVLREIQISNQRRKPQPARPFVSTLFQELDK DDTIAADASHLRFDNSRPKTPLLEPFSPEATMRPNNLSPFTDRLPSTGFDRQKRPTST RIVSRGTSRYIEHLETQLAASLSRTDSGDSPTANNHASKYKALSTEHKLLKQELSEWE DRFEDRLKEEMAVMIDRESQLRSKLRLLEREVETKDNKIKELQWEAEMDHQRLRSLEA VNSTNRSLEKRVDVLTGLLAQSPAKSEQGCRTNIGSEFISPDDDPIRRTPRPRSMFSR IPLSPIRRPLFQPLMMPESDPQDTDGGPDAPSEIPDLDINYIETATYRRPMSELGSLD SGLGDSCSMASTRLYNSQRSSTISQLSSPSLWGTAFPLPLDLPRRHRRMRRFPSGSCT LKPFILPATSSLLSPSTPSYPADNNDYATHSRTYSANEWHSPSNFVQFHEDTLNALEG NNNQYQSFEEAISGHELSDPAEVPYGGGPFDEEYEDGCFPNGHLHPSGFFETPTRHSR PDPEPSKRLSYHGVTNDSYSPAAMTVVVHGGSYRKNKRDLVPGGIQSSETSPLESRQF SSAKSQAGPSPENTFGDSHWFRDIMRGSIVLAKRILFNSWHSNWKKIGKLPWWVLGLI LGQQRRNEWFRRSLGEQAAWSPQYHWSTESTGPGNLGHAGPNGTVRNYARTRLSIQEL RKRSKSSSSDSRDCEQPANISSTSLPHSFRLWAKFSLAIALAIGLAVKDGPASIMHRC AIEETPDRNDFLPAIGPELDTGRGAGCTHVYCETE UREG_01806 MAQGAVKKSKSASSTAKRPSALGPKKGARAIAPKKAALVKQKKL TKVCNIILGLFAINLKLSAGLTAKMERNLAEKAGHLELLRGGKKDGTGKKK UREG_01807 MRDLDLVDQWNPSTSGQYPNIKRHHTQKPDAQMSNQVLQHLKAA YGLEEDAPAMVHAWPRSLNHPEHKVSGMQDFFTFEQLTKMPEYWPFDYHTWEQEPRIP EWESSMASSEHPLPTAPCYFSTVTPLGEIDTLSRSLMQTPDAHCTGGSTLPRNLKEDI SQGISNSWPVNTGAMSFCIPERPQHSLEVHDRNYKLKGPKSTGSLLFGAHEGLVHRVS TATNNIAQDIQSLQLNPSCFSELTRASDRHELKSSSDPSASGSDSPPSPVGSLNKPSN DGEQFCASGSSSDNRTKHSSLQGCQNSREQASRVTVSKGSPHSGKRKSFLPGIQQKTS DIRSRASERERKKSNGAHTRAIAIPKASFQIVQEDGKGGSITNSPQIFPIIRARRQGP LSADGRRDAALRRKDKSVCLWCRLSKKKVKPVIAEQPCVRADFFQIVESGTCNYISQR AVNHLTLDGRSRRRMELPSTLDFEQFISSLEERQGKFNIRVRQAWGTLCILDLKESYD FLKNCPASQDQRLFDLRAFIDNHVLKFNNWQKCIKGCNPTGDVISLLSKWNNMPSRAS YDFIPQSEGILDRPMNIEDPNDRIEILLAAQLSQIFCRKLEVDGYRVLQHALNKNKWD DIPYESFLKFVSQLGHILVSLRWRVSWWELLGDGGTKPDIHKERYEDRVKGLCKVLYF YYVSVKLKLPSWMTPGELDGVWSTYADADQVWDDFPSVATIEGFEMWMQRGKALLKQA GVRDRISNI UREG_01808 MSKKPVPTDAELLAQFDDLNVDNAGVDNASATEPQDSADQDVLA ELQNLASQRPMSRPSTPQSKSVSTTTPPADRSSEEKTLSRKSGDSTRPYHKSMTPADT TSEEAGSTPITPDPQPKSAGGGWWGGIFATASAAMKQAEAAVKEIQKNEEAQRWADQV RGNVGVLRGLGGELKTLALPTFTSFIHTLAPPISSHERLQIHITHDLRGYPSLDSLIY NVFARVMAQVEGGDLLVVQRGQESGPKRGLESSASQRSTAGWNDGPWWRYVSSDQPRS ISAIKGAVAATKLARASAEAYANDHYAARGGVEEAARQASEILSESNPVRNSDIFLSI QALTQPASSEYFQAGPSGEAENTSGVVEPSADVEEEISFAFYLHDPVHGIAFHTISQS IPQKWIDWLDAPAPESNFNAPETTQYFVPETIAEIIESGGVDPREWVAEWVEDALTLG VGVIAQRYVARRMGVGEGGVGKGKMKAEQATVVESGAGEAARAI UREG_01809 MKIPYTANPPPTTTDEEASILQKVQDRRGASGLLPLDLALLHSF PVADGWNAFLGAIRTRTSLPVDIREIAICRVATLNEAWFEWKHHAPILAESGFSDAMM EMVKSATTPTDAELTALFDRKQAAVFRYTEAMTKTVKVPDAIFAEMKELFSEKEIVEI TATAAAYNCVSRFLVALDVGEMNR UREG_01810 MVSSSISQMTPGEPIDIHFGGCLSCKIISSPGFLAGPLGDLPAN RITKIFQYPVQVSAMVKDHLEVFREVFGCALPWVLCDGCLNFESHDHKCCRGALSPIN VGSHLKRAAQTASLPPCTQGPPDFSQPCHIGWADASVYDGPAMYNPPRNGREHVDSGY KYVPLHLNLRSRKPESKFPPNRVSGWLVSSGRSLWPTTTTSTTNLCWSGQMTWHDHHC KADWQASNCKGA UREG_01811 MSHQAQEDGEVGGSSADIPATPSSNYQQPDSDESSRPPSSSSQF SPLNTHPGIKCVWCSKEFNSTFEMLPAEALKLHMKSAHPNVTADSRHIASEGTSVAEK LLQETKQARQPAQTGGRQIATGVEERIALGWKFHDVRDFTKDYSGEKDELESMWKRLF DGFDRPKPYEAEEAEHGSFLSITDPEIYVDLLKNPDSHSTEELYAITANAAKALEVWQ DEYMAVDELSRWATRRVLKKTVDPRKTESFQVFEDKKEAKLYGYKYDSRPSKVGNQNP FLQGGFKPTTDQMKKMTAAATDPYNVDGWTPIVKDGVEYVPRIRPPPPPEAKRKVETT TTSGEATNGRHGGKRVTRYGGSRYPTTREGSQALTERSSPTPSIGTRTQSPEASATPN SLYAGRSKRRTRSGKISNSAGPTIAKTTRSRVTKPSALGPNRSRAAPTSVPPTGATTT VTTPTSTPGPAPTYEDPLLDPKNLEKIRQSKHPKRTEAMIRHWAKFNSEGRTRNPKRT KAQIEADKAAQAAADAAQKASSGRKRKLETESEPANTTASTPIPKKTKRAVAKPRAVS EANSPVPMPAMQAPSTMVPPTSVTPATGTLGNPTYPASMQNGQ UREG_01812 MGKKKRGHPDLEEVLSRPWCYYCERDFDDLKILISHQKAKHFKC DRCGRRLNTAGGLSVHMSQVHKEQLSAVDNALPNRSSLDIEIFGMEGVPEDVLQAHNQ RVITQYHQAEAERRAVTGNPAPGMPSGAQTKKPKLESASDLKKRLAEHKAKLAEKAAG TSSGGATPVSTDQGLHAGSSYATPQYPTPTNGSAQQYPYPQQFGQPAAYQQPPQTYQK QPEYPSPGYQQLPIIGQQYPAQYSSPAMSPSQYQPALAPQNPVVSTTPPLPFQQQPPH MGAHTPPQAAISMPPRPPSLPAAPGLPQRPSFSPPQVNSWQLQQMHHGQMPIPPPHAP QVPGTEAGVENAATAADRLISEESKRADELAQKPTPTPAPEEAPEGKPAKKEKAKASR LVYSDSAVSPEEKMAKLPRYAFVPERREETVLRDATTGAVARPVDASDEIVNPPE UREG_01813 MPSSETTPLLFVQVSRPPPRYPHSRLRRTCTFCLGSILVVGVVL FLLPFALLPREHGSLWDYLPGAHPLPHKDWPGSQGLSYKALQEILQTVPNEKKIREWA QYYTSGPHLAGKNFSQALWTKERWEELGVPKTSLVSYDVYLNYPIDHRLALIEKHGED VKVKFEATLKEDVLDEDATSGLENRIPTFHGYSASGNVTAQYVYVNFGTFSDFENLVQ ANVSLEGKIALAKYGRGFRGLKVKRAQELGMVGVVIYTDPQEDGEVTELNGYKAYPDG PARNPSSVQRGSVQFLSQAPGDPTTPGYPSKPGCPRMDPHDYIPSIPSLPLSYAEAIP LLKALNGHGPNAKDFNEYWQGGGLSHKGVDYNIGPSPEHLVLNLNNEQEYVTTPLWNV IGVIEGSIPDEVIIMGNHRDAWVAGGAGDPNGGSAALNEVIRSFGEALKAGWKPLRTI VFASWDGEEYGLIGSTEWVEENLPWLSRANVVYLNLDVAATGPHFKSSASPLLHKTIL EATGLVLSPNQTIKGQTVRDLWDKNITPMGSGSDFTAFQDFAGVASSDMAFDGRGQDP VYHYHSNYDSFDWMDRFGDPGWHYHVAMTKVWSLMAASLSETPVLALNATDYALNLHK YLDSVKQKAAKSTTPPFNFRPLEDAMAHFRQAAIKFDAYAASLAAKLEEDVPWWQYWK KVILYFKIRGANDKYKLIERKFLHADGLDGRSWFKHVVFAPGRWTGYSGATFPGLVES FEDNDVNNAEVRCFLCPPSYLAHKIYFTNRMFLCGLEMERYHRWQD UREG_01814 MTFSIIFGLSKSLAMVILARACIGLGNGNVGITRTIVAELVPEK ELQPLAFSLMPLVWTVGSIFGPAFGGALANPAKKHPDLFGRSEFFKKYPFALPNMVAS IFFIVGIVTGFLFLRETLESKKHKRDYGLLLGDALVSACRGRRKNTKQSLATDDERTP LLNGDTAFPSGRKKNKKHEIQSRDLSWAEILSPQSQLILLSNALLGLHSLAFDSVFPV FLNYPVEESGGNMNLPFKFSSGFGIGE UREG_01815 MRSSLTNSGLQAISTPVSSDPSQPSTISDAPKDRSPVATGGTAM SDPRSNPRSCVTCRRRKIRCNKVQPCSNCVKARVECVFPKPGRAPRKSKKGTEAELLA RLKTLEAAVKSIGKPEAVEGNFADEAKPSSGLNANGCAERSSNNAELDSVNDEMGRLV VSDDQSRYISNRFWTRFGDEIEELKNMIDCPTSDEDDYPSPGDSASASSPWTGNDGFL FGFRSAAHSLREFHLPPEKFGIVWETYLENVAPIVPIFHRPSLKNMLWNAVANLDSVN KNTEALLFTVYYTVITSMTPEQCLSQLGEDRDAALNRYRFAVEQALAKANILSTHSLV LLQSLVLFLICVRQSSDSRYILSMTAIAIQIGRGIGLHRDGATFGLAPLETELRRRLW LQICLLDFCCSLDHGCDPMVHEHSYDTRPPLNINDDDISPDSKEPPEERVGYTDMTFL LFRSDIVVVSRRFTNVPPSASCKRFVASISQKEREEMVENLSRQLEHKYVQHCDMSIP IQWVCGALSRLVVAKLLLVIHHPMTREDVENSVPEETENRLFFSAIEMIEFGLLLETN ANTSQWRWLFRTDTQWHALAYVLSNLCVRQPCPVVERAWNAVNAAYKGLELKGQQKGD MLWRGIRKLMARALQFREMQSQRSSAGFGGHEPYTNGFQPSNDTSLSQPLASITYLHQ PQQAPVTAINLAPGPVTGFSPGVESYNPSPIDLSTLSPWPPLPLDSMYDTGVSNINAS ITSAPPSWDEWNRVVREFQLDIGNDGMVTSGQAPIWFE UREG_01816 MLSIALFLPIAQFFCGLEAAVTSANGFKLAERLPVIPDGWTKGP SADPATIVYLKVSVRAEDPNWLYRTLLEISTPGHPRYGQHMKRDEVQAMVAPDPDASD SILAWLREGGVKPEQIQNRGDWIDFSTTVDKAERLLNTSFYCFEDEAGKTRKIRALEY SLPSNISRHVRTVQPITYFGSPRPHVSHILKAIPPMRFQLNDAAGRANTPASLRQLYN MQHFQVEKNSSNLVGVSGYLNQYARYSDLNLFIKRYAPQAVGATFSVELINGGKNDQD SKLESLEASLDIQYAISLTYNTSVTYYSTGGLGPLVPDIEQPDAAHNQNEPYSEQLKY FAGLADDKIPTVLSTSYGENEQSVPKEFAKSVCDEFAKLGARGVSVIFSSGDSGVGNG CQTNDGQKRPRFNPIFPASCPFVTSVGGTENENPERAVGFSSGGFSDIFDRPSYQKQA ISTFLTRLGDKFSQYFNKNGRGFPDVAAQAVNYSVYDHGQIIPVAGTSASAPTIAAVI SNLNELRISQGKTVLGFLNPWLYSQGYRGFTDIVDGAGTGCFGKNGGPRIPGAAWDAV KGWDPVTGFGTPDFGKLIDLLP UREG_01817 MADIGAETWIMHGTLLGWWWNQKIMPWDTDIDVQVSETTMYFLA KYYNMTEHRFNVPGNPAGRTFLLEINPHFVNRTPEDKLNVIDARWIDTSSGLFIDITS VRKDYDARKRGQQGALMCKDRHRYNVGLNTKLTS UREG_01818 MRAFILALLALATSPLAFAQEDPEPLSSFIQSSTVDVHSGIAKA LRFLPQSHPAIMTSMKIQRWPRLSQALPILSAVIPMAITAHVPSRHCEGPATPTTAAP VPPAISSQHDDDGHATVTPTVPSPTESIGCEPHGDHWHCEGPHETGTTPATEPTSSAS GSPTPSPPAEFDGAAGTVRPEGLAFLAVAVVAAYYV UREG_01819 MGSMPSPHYDAVIVGAGFSGVYVLHGLRKIGLKCRVYEAASDLG GVWYWNAYPGSDGLSISPRITMSVGARLDSEVPVYEYSEPEVWTDWTWTEKYPTREEI RKYFDHVDRVWDIKKDVEFNVRVVGGQFDTNENVWKVETEDGRTTTCQFFIPAAGFAA KDYVPDFKGADSFKGAIYHSSRWPQGGIYTEGKRVGVIGTGATGVQIAQECAKDAASV IVFQRTPNLALPMRQRKLTPEEQDGRKATYPGFFQNRLATFGGMKYTFLAKNTAEATP SEREAFFEQLWENGGFGFWLGGYSDLFFDQKANRHAYDFWAKKTRERIKDPRKRDILA PLEPFHPFGTKRPSLEQNYYEIFNESHVDVIDLRERSIEKVTPNGILISDGSFYALDI IAFATGYDALTGGMVKMGLLDIRGKPLAEDWENGVVSYLGMCHHGYPNMFYMYGPQAP TAFANGPSCVEVQGRWIVDVIQKMREQGLKYIEPEPEAEAEWKAKINTLSDMTLLPLA DSWYVGANIPGKKREQLNWTGGLPAYREECADVLKSFKGFITA UREG_01820 MTSGITDQNELKLSTRISRDGLTPTELSAPQPVADIYNGRGEEY PVIGDLPHQGQRKSPEKLDESPQKPEVELDDFGLPLPKSRPSLKSAKSASPTLGKLTE SVDCLALQNTAETDDGAPGRNLTRSVSAPIRDLIIPDKRLSIGLAVKEAPSQKQDTPG LPVTDATGLTEQPQPNGEPLETDKLGHQNSTLPTAPAVSEWSHQRLTTKDHSTDGEDD SGWQDMPALDSFDIYDDNGRLVARGSKEEDEEAVYQGLGGAGKGYTRVQIDEDAQSAT SLDEETKYLFPEPQSNALGVDDEIRDPISQLQATKTLLTEGQRIAYVGVTRLTIFQMV KDLEAIKLTKSTRKYLAGAVDSMTKWGQQMMIRLYGHMEIDAAEQVMIEQLAEHGVQP VDLVRPLMQNSRVRNPMMEESFPVKDEQDPRTSYSSDAPETPSSDSPPPPYEKYQNDD LPEVRTPSQLPQSEKLDIDLRWTVLCDLFLVLIADSTYDARSRRLLERVAEVMEISWM QICRFEKRVIDSLEMQEEKNKETWDEADHMEKRRKDALKRRYMIMGLATVGGGLVIGL SAGLLAPVIGAGLAAGFTTIGVSGTGAFLGGVGGTALITSGATITGSTIGIRASNRRT GAVKTFEYRPLHNNKRLNLIVTAAGWMTGKVDDVRLPYSTVDPIMGDIYSILWEPEML QSMGATINILATEALTQGLQQVLGNTILTALMASLQLPIVLTKLSYLIDNPWNVSLAR ATAAGLILADSLMDRNLGNRPVTLLGFSLGSRLIFSCLGELAKKGAHGIVQNVYLFGS PVVANKDEYLKARSVVSGRFVNGYSSNDWILGYLFRATSGGIMRVAGLAPVEEIAGVE NVDVTKFVNGHMAYRTAMPRLLREVGWEVESDEFTEIEDPDPDNHQERQRDLIREIQE ARKQAEEKPDKKKFGFFKRGKLAEKKGWETYEADQNERSHTHTPDGNVDPGGTVLFDI DAIRAELASEQIEVKQLESTLPPIKVDLQSPSSAPYPSSPNGQKESPIMTPNPEEAAT KHPAASESPKQQTAVGIRDFKPLPDIPSGAGALHDPWPHENDVQMTFDTAYHSTASVT SHEADSMTVPSFPPQSMTLPATQAHDDCPRLDTSNLSHNAWADPSDADDSNITLTFA UREG_01821 MTFLRKSNFRGSTLLSQVEGERTKEHVSRTVDQDDSSDDPLSTS EVTSPKKRKRPEPATDDEPISSSDESEQLERSSVTPGPKRRPRAVWSPIDLVADSTEA TPKPRKRAMSTPKTGERRSKRISTTQETDTSKDLSNRSATSRLLSETSMFFQRTPKRS ITYTHKHPKSVPRPVRAEKKPEFIVPRGEPYGSSPGQPQKHADHHEFKVPRALPNDIF SGSSIPTDSSREIVESVLFDDGSGSSSPLSSVAPSNMDLTTDEKRWLDASPEDFVRCP ACNALLDPEYLAEFELIQGLSIKKQMQVCRQHKRWTAERDWRLREYPTINWESLENRL HKYFADLEKILTRKRPSFFRNYLETSNPGNSKRDNYRLTANSQFEMMSSGYYGPRGSR MMMDSIIMKFATKIRHLAPSDPLMQATGVSGFVQAVLVPELTVMLVRDDMGVDDENAR QIMRDSSMIGNLLNEQPDDIVIVDECGNSQN UREG_01822 MEGIEPVRAYPINPPREPALSFTHGLLLILLGIKDLPAMAPKRP ANGSATVSSSSSSSKPTTTSVPPSTTAKPSGPIAFTAKSPPQEIILGVWQRYLVQTSQ RTKLLDAFMAFLVLVGAVQFAYCVLAGNYPFNAFLSGFCAAVGQFVLTASLRMQTSDQ DVKSISRSKSSTPAVTKAEASSDRVSPER UREG_01823 MARGDSPKRRKLDSDRYESSSPQPGAPPRHVFQRRRKDDGGVSM PKGIHNGSATPRQHEFDGPEPLVDDEDVIALDRDWYAGDELGHTFGDETHNPFGGADS SWADMQREAALSEKKNNRRFNARAVQKQKDVDAWETNRMLTSGVAQRRDYDADFEDDE DSTRVHLLVHDLRPPFLDGRTIFTKQLEPVPAVRDPQSDMAVFSRKGSKVVKERRQLR ERQKQAQDATNVAGTALGNIMGIKEDEGDSAAAIPGEEDHKGGSKFAKHLKKNDGASA FSKSKTLRDTNGNIFLHSHSETAIKYMTDGVLLRESLVQPDLDKYSCIIMDEAHERAL NTDVLMGLIKKVLARRRDLKLIVTSATMNAERFSKFYGGAPEFFIPGRTFPVDIQYSR SPCEDYVDSAVKQVLAIHVSQGAGDILVFMTGQEDIEATCDLIHERLALLNDPPKISV LPIYSQMPADLQAKIFDKAPPGVRKVIVATNIAETSLTVDGIMYVVDCGFSKLKVYNP RMGMDTLQITPISQANASQRAGRAGRTGPGKAYHLYTELAFKNEFYIQTIPEIQRTNL ANTVLMLKSLGVKDLLDFDFMDPPPQDTITTSLFDLWALGAIDNLGDLTAMGRRMSPF PMDPSLAKLLITASEEYECSEEMLTIVSMLSVPSVFYRPKERQEESDAAREKFFVPES DHLTLLHVYSQWKANGYSDGWCVRHFLHPKALRRAKEIREQLHDIMTVQKMTLTSCGT DWDIIRKCICSGYYHQAARVKGIGEYINLRTSVTVQLHPTSALYGLGFLPDYVVYHEL ILTSKEYMSCVTSVDPRWLADLGGVFYSIKEKGYSARERRVTEREFNRRMEIETQMAA DRERAAEIANHEAEKEKLKRRQEVAVGSASVGARTAVRRPASAAATKAGGSVVRRPPV KRIGRGF UREG_01824 MASASPSNPKEPEVEPGTQSGDDAEHMEREHQDSQPQTQGEFEV KEQDRWLPIANGSECLPCINPDDSHATTSVKIKFIYSEAFGTDIRVLLVARIMKTALP ENAKIAKEAKECMQECVSEFISFITSEASEKCQGEKRKTVNGEDILFAMTSLGFENYA EALKIYLSKYRETQSSRAENQNRPPSSEYPQGGPVGGAGPPGAGGIGGPAAAPGFAVP PENANNILNASLDPNEQDSATYGYPPMVGATHNGAGGETY UREG_01825 MSSPEQNARVSADGGVVKRKRPAACVHCHQRKVRCDARTVGLPC TNCRTAGKHDCRIHEKKKRAAVRSLQHPVPIRCAPVPERTALQNHQELPTPPFKPPTT TPPFVDGQKHKPEPTETSRLTSRSEGTDVSLDEEDNRESDRHLVKLIDEDLRQCSIKK GVRVIYVGQDVSNLNFLLRQHTDKDDEVYHFAQNQISRRYLQNGFEHVPREAFVLPEP ALADELVEAYFTHVNPGCPILDEEGFMAQYKRRDPADAPSLLILQAVLLAGAHVTRPR PARDTLKAAFFRRAKMLFEARVERNRDILVQAALLLTWYSDPVDDDVAANAHFWVGVA ARIATGLGMHRNSGPSMFVPHDKRMWRRAWWILVQFDVLVSLQYGRPQAINLEDCDVQ PLTPSDFEGCGERVQIDYVIQYTELCCMISFIMRERFGLRVTPERRKAALLEADKALA DWSLKLPDNVRMSTADVDSWPALLHLTYNNFLILLHRPHPKASAYSDDYGPNDAEICS AAASVIVSIFEELREKDRVKYLWVSAVNSLFTAMIQIRVELRFSNPVLAINALRRFDS TLCSLRTLADYWLGAETILRLFESSKRLKHDMEMVKMKQPNMPKDGEPVIKGSTQSTP QMDIPTPQGQQLQSWYSKPHLNERLNYFAKQTPAPTVDNPAQAAQLGEPGDWRQLFSI VDSEPCGPFIPENLTDMEDEWRELYLHEPGMADYFQDTAWLPS UREG_01826 MSPHAAQDAAARDVADITGEMPEPLTVAGVPARRAKTMLPTGIA ASCHSDMFKSLACYSRPKAHRWDKYISVESKSRKASSLKQAAHYLDNPGLISLGGGLP SAEYFPFDEISIKVPVAPNFSEQETRESGQVLTAGKYDIREGKSEYGVFQGLTMTMIG RPANSILRSVEIAFFSTIVHNPPYSDWQCSLTAGSTASWDMVLRLFCNRGDYILTEEY SFASAMETALPQGLRLAPVKMDEQGLLPSSLDEVLSNWDVSARGARKPFVLYTVPSGQ NPTGATQDASRRKEVYKVAQKHDIIIVEDEPYYFLQMQPYKGANAPQDPPPADYNEFL KALIPSFLSLDVDGRVVRLESFSKVLSPGSRTGWLVASEQIVERFLRNAETSTQNPSG MSQIILFKLLDEAWGHEKYLQWLIHIRMGYTSRRNVMLEACEKFLPTSVASWHPPAAG MFHWIKVDWRKHPLARAGKSYDCVEETIFKAAVDEGVLICRGTWFAADTSKEPTDMFF RATFAAAPADKIQQAIKRFGDTLRAQFELK UREG_01827 MDAHHRAFRRNTVSRPQDHSSRRDEPSNYRAVKELPPPHPDYSY EEYNPFSSGSDLEEYSAENTAPQQRHYHCTTRPVQGPNSNLRQAYHDANREQGHIAQD FRFHAQERGLPSTTPEVEPYPQETDAVVDGQRHLLADAELGWSNSLEDITSQFDESGS SSPQGLGQDRNQYTPSLYSDDSEVQSQQINNFARNEQSCENTRYPRSHKTHSNSPSFS YLSRPIPSQEPPELRVGKLRSFNPASSKPRRSTQHSPEFPHPHHFQTLSSRERFDPLN FFPGATDSHGSLELPNRIPVIRRATEPAGELKPGNPQIIIEPSTSNRREVPQPCVAAD TDRRESSSPFPTVPYTSTTSLERVPTSLGASRPRKKSIHRFAESFKKLFQSRNSPDSH DNSPKQPRKKSGSSKRRPSLPSRFFRRSPSHSQPPDVGFSSTLESPPVPKMDRSRFLD PSSAMMALTKQKSEAMRLAREQAGAVAEMCRRARTDIPPYTFEELIGKGSRQLSTQKL VAIKVMDIDKLDYKTVRDMKDESIKDFIHETKVLQQVKDAGAKNINMFIEAVSIHSQL WLICEYCPGGSVKTLMRATGDKLEEKFIIPIARELAEGLKAIHDAGIIHRDVKGEQTS VFLFNV UREG_01828 MKDILEHDYIAETRETHPTETLSELVRMYYQWSQRGGQRISLFN PGGAVAAEMPDPTSTLETEDWNFSTTAGFEKRHSILDLDLLSASLAALNEDFTSAPNV LGQEPNMTPDEKANFDERVKRGAAAMEGLFDEAKPDYKYETKNDFVPVQEKQRRASDL PLRTDTDRSSVTSTFIDINLGDYESAHYAAGSASNNPPFQLADPDTIRANRSSARLFR NSSSSSSTSQEFQPRGPRPPTMEWTFESATQMTDEPDTIIQRDVNEQSSSEDETFKTD KRETMTWTFPVMTPDEGAPGDDPLIEDGPGESETEEQNGSEPVYPWSSESEGTIRGAN RSFPVLQPPPLGRRGSARTESFESRPGTAVSAQSDTDRNPFRFDGTSSPSSPSTPREE RQGSTDQFPTMPASSFFEDYETSTLVSSFNDYPGSNWSHDATLRNGSSTDQRRQSVVP SLYSTDARPGDPVPEADKPTSLYFPEPMPPSSECLAEGASEDMVSAELDRLLGDFIQG LASTREALAAADSLRAANEG UREG_01829 MSLSPYRPTGMAYRLTKPSEQWKLGLQEIKILLLKRRYKQCAAL SHDLMRDLDDNLHAIHKAYLQYYSAASYETLGRTAHNYSSNKLPLLNLARDGYVACKF SLADALKDFEELNENGHLDVEHDFCKEEKEEAEEKLEEEGYKEPGQESIFEYGPRATT GRVYSVFNLTEPWRTYARHKRQTAGENNNGSGNATGKDESDVDLKAPDCDDIEAVEVN AESGSELMPPPLRIQKTRKKRPVPSHISTADRSLIPPPLFSPPPFIPRETSRYQHFQP ERSYFADY UREG_01830 METSSLTNKRALYKFGRTLGAGTYGIVREADGPNGKVAVKIILK KNVKGNEQMVYDELDMLQRLHHPHIVRFHDWFESRDKYYIVTQLATGGELFDRICDYG KFTEKDASQTLLQVLDAVNYLHERNVVHRDLKPENLLYLTRAQDSELVLADFGIAKML DNPTDVLTTMAGSFGYAAPEVMLKQGHGKAVDMWSLGVITYTLLCGYSPFRSENLQDL IDECRSGSIVFHERYWRDVSKDAKDFILTLLQPDPTKRATSKEALQHRWLKGETATDH NLLPELKAYMAKARLKRGIEIVKLANRIEALKMQGDDDEDIPSAVPEDTAVAPKSGPA DLASPKVPETAPPPTTKKRSLSKAAKSAIFREVVLAKVRQMRQDEQKEKVEKEVLERV EKEKQK UREG_01831 MFANKRLRKMQTSLPPGISIVHADNFNEWQMDICVLDSNPLYQN QTYRLRFLFSKSYPIGLSPDSESQDNERLLTMCDIPEAPEVVFISLPPSSPTPRTIPI HPHIYSNGLICLDLLGSAGWTPVQTVESVCMSIQSMLTGNTKNERPPDDASFPPGRPP RPRELNFHYHDNDV UREG_01832 MSSTLTILARHAPKRLCLASSIPSTAARVGVPRFISNSSALRQY DESAYQATRLIPTGPSFRPQQTAVGEASGLESDAGHNRKIRHYTVNFGPQHPAAHGVL RLILELNGEEIVRADPHVGLLHRGTEKLIEYKTYMQALPYFDRLDYVSMMTNEQCFSL AVEKLLNIEIPERAKWIRTLFGEITRVLNHLMSVLSHAMDVGALTPFLWGFEEREKLM EFYERVSGARLHAAYVRPGGVHQDLPLGLLDDIYQWATQFGDRIDETEELLTDNRIWK ARTQGVGVVSAADALNFGFTGVMLRGSGIPWDIRKSQPYDAYDQVEFDVPVGVNGDCY DRYLCRMEEFRQSLRIIHQCLNKMPAGPVRVEDYKINPPPRAAMKENMEALIHHFLLF TKGYAVPPGETYSAIEAPKGEMGVFVVSDGSERPYRCKIRAPGFAHLACFDQISRGHL LADAVAIIGTMDLVFGEVDR UREG_01833 MAPRIASPEEIRKRKACLVLPFLDREVDFPLPFVPVSHLLNIPP HQNLQVIFHKNDPLEASFSLIGVDAAIANAFRRILIAEIPSLAIEFVFIHNNTSVIHD EVLSHRLGLVPLKGSLDGINWMTWFRMPQEGEEDSASVASDHNTIVLKLNAECTKNPN ASPDEEDPTVLYNNAHVYARDIVFEPVGRQTTFFSGPDGEIQPSNPDILLAKLRPGQK IDIEMHCIKGIGQDHAKFSPVATASYRLLPDIQITRPILGDDAVKFANCFPPGVIGIE HVTADEAEQKGTGYEGHKGEKKAVVVDPFKDTVSRECLRHEEFKDKVKLGRIRDHFIF NIESVGQFNSDLLFIESVKVLRLKCARLKRNVAALADMTDINPV UREG_01834 MTSQEDPQANSDQGRWKHLYGILTRPGPFSDEDWIPGPETISAL ASSKIFGAGGLGCEILKNLALSGFKDIHVIDMGKPLALIKLEKVTNVQVDTIDISNLN RQFLFRQADVGKPKAEVAANFVEKRVKGVKITPYVGKIQDKDEDYYMQFKIVVCGLDS IEARRWINSTLVGMVDPENPESLKPLIDGGTEGFKGQARVILPTLTSCIECQLDMHAP RPAVPLCTIATIPRQPQHCIEWAHQIAWGEKRKGEEFDGDDLEHVTWIYQTALERAEQ FSILGVTFSMTQGVVKNIIPAIASTNAVIAAACTSEALKIATSCNPFLDNYMMYAGEE GVYTYTFASEQKPDCPVCGNLAKKVDVSPEATLQEFVDSLGERAEAQLKTPSLRTEEK TLYQRFPPQLEEQTRPNLKRKLKELVVDGEEVAVSDPAFSIDFRFRLAFR UREG_01835 MRKGRLLSHILSLRHSHNLRAVKVLLVSVFLWLIAFGYCQQRFW REPHSAFFRDSHVYDLKYSLYREREARHFISRYNAPTDPPEAVKSASDPTFCVAIVTV RRPSDDYFDPSIGSLLAGLDPRERRSLRLNILFTDTEPTRHPGWGQKWVERLADEVST YNVSSDQLQSLRQWEKERDFHIKGVFGLSDYIYALKSCEASNAPYIAIFEDDIIVADG WLAKTLKGLNDIDRVFPAKQPWLYLRLFYTETALSWSSSDFAYRNMPLIFGMVILFVF TGLTLARRVGPCHSHLDNATIGVLSAVCAPAFLALIYMTGKYSLAPLRGVVDIGRSGC CTQGLVFPRQQVPGVVEYLRQEKRGQTDTMIENYAEKTGLKRYALAPQQLQHVGLQSS RDNTEVNTQSNWAFYFEENDPNVLKKEHSDLLNDQEIKSLLDKYS UREG_01836 MESLLTAVKTVNIRTHDEKESLSKAVPPDTTHSTLREQPVIEGN SIVDTLQGALRTLSSHPSDSQFLQALNFLDPAHSTQSGYDVTDLETSTLPILNSLVSV AINDRWANLENEHRSSPKSRRDKTPLAIVLRCLTSVGGIGALIVNLRTNIDRFETQQS GESLGRQVVLRDTLAALSYVLKTPDFLLRIYQRIAKFSSSPKKRLAWSQLISFLASGR VLSIAAESLGLVKEVEVPDSIRWIGEGKSYASWLGKCIVSMALNLDDNDSEGWGSLAK FSQRALSLGYQSE UREG_01837 MALSKLTDPPDRAMRFQLEEMQGESALWYMGLTEIRDQVGALSD LQALKRVSTRKPKAANSANLQASQSQTRLQSNSAVNQTRVISIEEISDHSEGEDDFQT YEKPDSDASDSEEDPTLIQRSKPLAPVYIRDLVSGLRDSENAERYSLAISTAPGLIRR KAAFGTEILENANELALNLVSLQDKYDISNFHERRMESLIALIVVLPSQMGRWMAHSL FNVDLSLGHRSSILVALGLAARELAGFGDEDAKALGLSPQSNLTFPSKKLPQHLESIY GSPQSSIETISNRISQNILEPLALNAADTLTGPNIMKLQPLSSNATEDRRQRELKSKR KQTTIPKEMYTTLTDSFLMPLLGEFGVMMYTMR UREG_01838 MTPHPGLEADEIRKKSQKDLLNLLEAVRGKKNLVISKDLAGPIG VFVKFSVLQEYGVDRVFLLENENVDSSQRNIIFLVHAEKPSHVQSVAAQIRKLQHNGA AELEFSIFWVPRRTLVSNQILEEEGIIGDVNIAELPFYFFPLENDLLSLELQESFKDL YLELDTEESSNLGDGLRRGLMVSSTIENLIILDREVDFATVLMTQLTYEGLVDELFGI SHNQTEIDSTIIGAGTPGQASQASSAGSSSTPKQSLKRKIQVDSSDPLFNQLRDANFA IVGGILNKVARRLENDYQSRHGAKTTSELREFVNKLPAYQAEHTSLKVHTNLAEEIMH QTQSDVFRRILEVQQNVAAGADAATQHDLIEELIARDIPIKSILRLLCIESCVGGGLR PRDLDNFKRQILQAYGYQHLLTLDALEKMELLQSRSSATAMILPTGGSGGAGLKTNYN YLRKALRLIVDEVDEQNPDDIAYVYSGYAPLSIRLIQCILQKSHILTLTKNLPAPAAA ASSGTPSPGWLGFEDIVKSARGSTLNIVQKAEETASRAKQTLAGVGGIKTVFIFFLGG ITFTEIAALRFIARQEAGRRQIIICTTGIINGNRMMEAAMERSTVEPSS UREG_01839 MRAAEEHCSALGSKAKSPAPQSNPYAQAPAYSDPYTEAKSKLYG PPQPQPNDGRPSGGLPSGPKQGYGGMNHPPNSMGNAAKNSYGDPNGGGYGPAKPGVQG GYGRDRYGGDDRSGGGSRPGGYGGLGNSDPNAADDNRNALFGDARQRLQERQQQHDGL PPPYSAHGGQGESGGTGTTSGGYGAYQDRQLTAEEEEEEDVQAMKQEIRFMKQQDVSS TRNALRVAAEAEETGRNTLARLGAQGERIHNTEKNLDLAANQNRIADEKSRELKKLNK SMFAMHVSNPFTSGERRKARDEAIINRHQEERLQREETRLAAFRTEQRLGQTFKEMEK QSRPGAPKSKANLAERSKYQFEQDSEDDEMENEIESNLDALHGAATRLNGLARATGRE LEEQNARLDVIMGKSDSVDDQIHMNRSRLDRIR UREG_01840 MSLFVFQAMLFASCALLYDLNAEHNILSKAQGSVLLTYQSSSVN LHAGSLWLTSAIQNALLLEAHNYHKLDHNDPLRNTKKRLWWSILLRDRILPLGLRRHL QISRPNFDLSLDYMNEDDMQEEIYCSEVYNVETKRHLTRVLNLQCQLAMVLTGVVTTV YSANGFTQPRPMAKTDLEKSMADIVKFREDLAEWANDAKVAFNPFSGSNNVHESVILY SELTYMYYHSARVALCHYETLVVEANPELISGSYEKRLETIKDELESAALGITKCVKR LLAHGVARHLPISAIAYTALPLVLIALDVKLSGSVSQSATRKRRLRYYAEIMQLYRSR YDGTDDVAVYIREILQFAETQNLSISIRPMKSDDCAPVQETRGWAEVFVRQPRFYLRM SLTLDYALARGRYPQDSDLPVLVRELPVKGVLSSTISNIARVQEVTTEASKQDLEQPS TATESSSPKVNISRNADGASMVDVLPATEALSSPTNSSPNEVSPTWPGQRQHQRSTSV QSHSARQRSLSPPRQNFQDINLDFLDLGCAQSMENVQYPEETGVSGDIDWANNMLDEI LSAIPA UREG_01841 MVMSDCMCSWKPILAFKTSKSRSRNLELDNLATDLSRARERKLF ALLMDIENKINHMAVATSVYRQTHLSPVSTLQSTPFGCLQGLASRLSVFRTSGNINSR GMKLRLRAKSNL UREG_01842 MVMESGSRQYGALSYDSVYHNHSRANPPHFGEAWPTHSASHPQS SVYQPTVAGNPVGIKREDVSRPPTIPVSYSNGQVPAPAMVPNGNYNSVGYGTSDLVVL PPELPRTTFEQTQPCTSAPQMTSFTPANYSSLEYAQSLHLHQQQQQQQQHHHQHQPPH HASHLEVRTVQGTEASPHATQPPQVTFSDALDASRGMVALSQDLTPRAIYGTRSSRGS VESYGFPSTHSSASSISSAGNYPYYSASVSVDSSVTDYSSTTSDSYDGLSRTLPRPSG LLAGALPPQSMMGQFSSKVPSNTQKKHRCKVCDKRFTRPSSLQTHMYSHTGEKPYACE VEGCGRHFSVVSNLRRHKKVHKNDKDSGSPEEDA UREG_01843 MSPPKSAAGALTTRYPNRHVGQQKVKSVEKLAPTRRGTELPQMV GAESPISAAHSPKPWFGDGAGSAKRTPDFQCDGMGAISVEIVHILRGRKGALAEGTIK ASLPIDI UREG_01844 MFRLASRLALVMKSGKVTLGTKSTLKTLRSGKAKLILIAANCPP LRKSELEYMAMLAKCPVHHFGGNNIELGTACGKLYRCSVLTILEAGDSDILSREA UREG_01845 MADSQDTHENSLPAPAENQPVVQLSLLSGGYLHLPGNLFVEGAS AEETLICPSMSWLITHAPTNSKIIFDLGLRRDIQNYPPGVYERLQSVVPVVVKEDVSD SLEKAGVDPAADVDVVIFSHLHYDHIGDPSHFGPKTKFVVGPGAGKLLHGPTTYPDDP HSHFDSRLLPRDRVVELPTPSSVADGADRYWGPLGPFSAARDYFGDGSVFIVDAPGHL VGHINLLVRVQPSKWMYLAGDTAHDVRLLNGTRRFAVYPDPHTGRPKCAHADKAAAEA HIRRVRKLQEMGDVEVVLAHDAAWFDENKHRFSITGPQS UREG_01846 MADHLLKRLPYTFKDPSLIDTRAFIGGKWVEAASGKTFSVFDPE DNQQVCRVADLGVDDVRTAINAASEAFKTFKNSPHRERRWLMRRWADLIKENKDDLAA ICTLELGKPFTESLVTVKYGTDFLDWFEGEIERVYGETIPAARGNNRIFTVKQPQGVV AAITPWNSPIAMVTRKVGAAIAAGNTVVCKPAPETPLCAIAVAKLFERAGGPPGVLNI ITNSSETTPAVGAELCANRLIRHLSFTGSTAVGRYLHTECSKTFKKTSMELGGNAPFI VFEDANIDKAVDGLISSKFRSSGQTCVCANRIFVHSSIISQFASRLQTRLGETFTYGS VWDRKVNFGPLYSPKALEKLSRQTKDALERGGKLVGDDAAKLQAQYGPNFYPPTIVTN ANKDMLFMKEETFGPLAFLVPFETEEEVIALANDVDAGLASYFYTEDISRMYRVSEAL ESGMVGCRVGLISACEQPFGGVKDSGMGREGGKGALDEYLNIKSVTVGV UREG_01847 MALPLECDVLVAGSGNAGFSAAISAAECGARHVVLIEKSPEEWA GGNTFFTAGAFRTVHGGVSDLLPIVNNVDRETAQMIDMEPYAPKDFTHDLLRMTANRT DPELSKILVQDSNATVKWLARHGVRFQLSYNRQAYKVDGRYKFWGGMSLKTEDGGKGL IQDHLTAARRLGVTVLYSTPLKGLIKDPSTGAVIGARVEYKGQERIVKTKAVIMAAGG FEANPRMRAQYLGPGWDMALVRGTPFNVGDCLETAIRDVSAKQAGNWSGCHSVAWDAN APANTGDREISNQFTKSGYPLGLMINSEGHRFVDEGVDLRNYTYAKFGRAILAQPDHV AFQIWDQQTIPWLRSEEYRPEIVEHIAGSTIEELAENCARRGLHSKEKFIKTIRDYNE AVYRSRKQNPGGKWNPAIKDGLSTQSQAGGLVLAKTNWALPLDQGPFLAVKVSCGITF TFGGLQVNPQTAAVISSASNEEVPGLYCVGEMLGGLFYGNYPGGSGLTAGAVFGRRAG AAAASASVAEESMATRARL UREG_01848 MVGRLAGKVAIVTGAGSGFGEAIAHAFVDEGAHVLVADIAVDNG NRVVKEIEAKSGAGRGSAVFVDFNCTSRKAWEDALELARQKWGKLDIVVNNAGTTYRK KPSIEVTEDEFDKIIAVNVKSIYQSVAVTVPYFVERKSGVFLNTSSVAGTRVRPGQVF YGGTKGFLNTVTTLLIPPIAYLLTGCPKTQVTQGLAAEYGPQGLRFNSICPLRGKTGL LEMFSGVPDTPEERERFAQSVPLRRMSEPADIANAAVYLASDEASFITGVNLPVDGGR LAV UREG_01849 MVDGNISLQQISPTPTPSEEPKKTIRSDKRQHSDDDGAWISSDE DLDVLPFLSVDEGGKVDTFGPSSALQGPTKPVMPTESPVAEHVRNQLIANAILQRQRE HDLCYRPDVFGVPMELAKHLLDLHWNRQHHTFLLTYRPAVMRDLVQNGPYCSEFLVNA IFACSSKYSQRIEVRDNPVDSSSTGRRFFARCDQLLAEQSLLNSSSIATLVGLLLLGS TYNARGDTSKGWLYTGYALRMVYDLGLHLDYKATTANAEDIEIRRRVFWGAFICDKLQ SLYLGRPMTIHLRDVHVSRNFMDTMEEKELWTPYVDPMLPTESMSPMSPAPTPIHSVS TFQQLCLLSRIMTKIINRFYVVGATAENARASLQAIDDALISWKDNLPVDLKFEPWSD SLMTSQVRPAPNVMILNALYYSLVILLHRPFISDGHLRSAVPPASSWKRCSTAARNIT SIVLGYQSTYTLRGAPYLLSYAVYVACTIHVRNAAATERQHPGENSSLLSASLHSLDE LSLPNVGVSKPASIIRKIMAANGLQLVSGNYPNQWP UREG_01850 MGMSAEASIEKASSLGEATEKRDEVASSEYQEYTDLLAKFDDTR VKSLLRRVDIRLMPPLAILYLIAFVDRSNIGNARLQGLERDLQLSTQQFAWCLTIFFF PYALFEVPSNVMLKLLKPSVWLTLIVTSWGVVMTVMGLCQNYSALLACRFFLGVFEAG LFPGCAFITTAWYKRFEVQYRVALFYTAASLSGAFSGLLAFAIGKMDGVRGYSGWRWL FILEGLATVVISTICYFLIPDSPGSAKWLSPDEARFLELRLQFDGNDKGSKEGGFQWK YVIQGFTDSKVYLGTIMFGAICTCTYSLSYSLPTMINLLGYSAANAQLLTIPVYAFGC IICVANSVLSDRYRHRAAFIIGPMFMTMVGLIIGMAVDPNKLPGVIYFALFLVAGGIF SGIPTTVAWISNNLAVHDCLCGAVFVYYLLLLEWMGVVVYGSQVECAKGRAS UREG_01851 MSDAEFEAIKRLQSERNALAAAKKGSKTFDPSSQRTDFSTKASL TESFDTTLYERNGTDKYAGYNTSIAVDGDDEDMPDADADQGHRLVGQYTASKDQMSEF ASGKGVEEEDILLGREKAARISERESDYQKRRFNRGPLTPTRADPFAENINANVGAEG QTYREIMALRELEKEEERVKKLIADQKVKGEGDFVEHEASLKIDEGDKENQDAGSAMA VSTGRKRKQRWDVASEAPTAIESTETADAKPKRSRWDQTPAPAAPTEATKRRSRWDQA PSLTAATPVGHQGLATPIHPSQTAVPMVPVTFGSDISGRNAPLSDEELDMMLPSEGYK ILEPPPGYAPIRTPARKMMATPAPVANASGIGGFMMQEPENARLMSKQLPTDIPGVGD LQFFKAEDMQYFGKLVDGADENTMSVEELKERKIMRLLLKVKNGTPPMRKTALRQLTD NARQFGAGALFNQILPLLMEKTLEDQERHLLVKVIDRVLYKLDDLVRPYVHKILVVIE PLLIDQDYYARVEGREIISNLSKAAGLAHMISTMRPDIDHVDEYVRNTTARAFAVVAS ALGIPALLPFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAVLPHLQGLVDCIGPNLS DEQAKVRTVTSLAIAALAEAANPYGIESFQDILGPLWMGARKQRGKGLAGFLKAVGYI IPLMDEEGANYFTSQIMEIILREFSSPDEEMKKVVLKVVSQCAGTDGVTAAYLKEHVL QDFFKCFWVRRMALDRRNFRQVVETTVDLGQKVGVSEILEKIVNNLKDESEPYRKMTI ETIEKLIASLGAADIGERLEERLIDGVLFAFQEQSVEDIIILNGFGTVVNALGTRCKP YLPQIVSTILWRLNNKSATVRQQAADLISRIAMVMKQCGEDALMGKLGTFLYEYLGEE YPEVLGSILGALRSIVTVVGINQMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRIA DRGPESVNAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNL RVQERQSRVCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKAMSFLFEYIG EMAKDYVYAVTPLLEDALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLLYP NLFETSPHVIDRIIEAIEAIRMAVGSGIVMNYVWAGLFHPARKVRTPYWRLYNDAYVQ GADSMIPYYPYLPDEGLARSELAIVL UREG_01852 MHLLSLLSSSLLILGAACAPIEHEASMSMSGLGNLLKNIRPNHG GGSCGTSKVSLPETGNGAELPSPASELALKYITLGVGTQNYTCANLEKSAKPALVGAV ATLYDVSCLASQSSWFLDMFARMVVRLPVRMVNRLVPKYLGSEMQGHHYFEGRVPLFD LRTNGHNDYAYVSVAAKVPAPQNRDVDWLRLDRVDGSGIEAVYRVKTTAGKAPTSCKN MPDQFEVKYIAQYWMYG UREG_01853 MSSRKPSRVGTPSSAETAPAAPVSRSSRIPTASSMRSATPKSQA SFKYTPSLPKGSRYPGAPEPETRQRDNIGTNVTKSKGLSQHLGLPRALAPGASSLPHV EPLSSSKNTSMTSRTSAKSPRNVLRRKAPSIEGEPLSDSTKRFPPPPPARTPVTPVET PQPDMNKTIAPELLGLSAGGTLNLPPPTPNFTSSPSTRYSESPGLWSSRTSTPTSLSS YSPGLTHSSKVGSRLRQPSPSLFRSHPPRYHVSGASYPGATKDVPVFPKGPQRSQTEP VRVPGSKLPTKTQLDLESAIKSETQPRLHLQTPHSDPKSPRQEMTFQQNAAANEKEGL NVDRSTKLPQKFQRIEAFQYSKTVTPPKYPARPSRTGTETLELQASPVIHSNLPSSRL PAHRRQSSAESGHVNKSAENPILRNVSTDSLQSKSSSRIPHPSPSPSKFEKIDSHPSF TASTGQVLSRKKSVLVKESKDQKEDSGARRFGFFSKRSRASPELSRSEPVDKTRRGPA AGTGHEGYSRYAQRGRRTSIGSNASRARSTSTNGSTGNVSRGHSDLDDFLLDRLEPVI ITGGAGDGSKLSRTCSEQSVSGLSVTSSLSSQIPSRTAKFYNQSSESLISSAGRFVHS PEPMSGTSTVSLSKSKGELNPAPTIAKRRSFRKPNLFTKSSGNGALSINTDVPRLAPP VDSSTTYRTSISQSDASTMAREDEPKDIQESKKKKSQKLGKWNFFQRSHQLRRKEHFT ESDLASITKVPVSVSKVPTSRTVAHYALVDCDPIESDSLEDILNRIEESPPTEADIQE PSSVIDLKRQQSVLLPAPPVGLAEYTFERRPSSPKVFFGKDTSPSTPELKPRPSGSTR LKSVGRIPVVVPRENRQHKPPPQSFSRPFSRADMPSITALCDGGVSSPDYPDCSAAFS SSNNISSRPLMTDMLEARPVAPVPGAADSHYFPTDDPEFLVISPRKGSDVSGSSSTES RKSLGAITAVVPAPGSKLTEDEIWYEYDDFIDKVLTPPTQEIGLSNRNSFQLAARASR VLQAGLNAMGDNTRLSCQSECSQVTTPMSSLPTNSVHLSRSMILSALHSSSAALSSPV SLSELYSYYSERSQTIPTSATLDTMSSLEVPQNRQATHYDGSQSKEPDRQKNATLLDI AERERLGALAQANLRSGSLMTSRWLSFGRVLFSPAQNHVRSEDQSRILVIDGLGNDDW SFYCALTYPTATIYNLSIFPSGSTSSNPAAWEPPANHRSVHHAIFESPFPFPKGFFTA AILRFPAACSEIGLRNAVSECKRVLRTGGYLEMTILDLDMVNMGSRTRKAVRKLKERI FATDPSISLKPASDNIQKLLGKRGFQNLNRCMVVVPVAGTIMKSSDTSSSSHTTAPTN STTPIDSFPPPSASTIPGSHSHTRPPSDVNVSLGDLLSDPSPSESNDECIARMVAKVG RWWYTRCYEAPVLPEGNIDNSIWADRRLLRECQRRGTGFKLLIAYAQKPSEVTRRTVS V UREG_01854 MAATYSKKTNSDLMEMLKARGLPHTGKKADMVARLVEADKAASD DTSATVASPPSPAPKADAADDVIDWDDDTTAIEPVASTKETAAKSTDAKDAKEAAAGG KGVVPNPTAVPNQKVDTDPSTTHDLTVKRPGDKEDGEKKEAGAEPVGEKEEAGADKTE TAEKKPEEKSYSMGLATTDLDSELEKRRKRAEKFGIVDDTASKEAQKAVERAKRFGTA NNDNTSSGVKGLDEALPERSRKRGHGEDGDHYQRGGKRRDFSGRGRGRGRGGHDYRRR NGGGSNNNRADNWREADRSAMERRKARFG UREG_01855 MQPGEHSTLSSPTESFENIYLDLSKQPGKCKLAESGLGWKPSGG GDTFTLDKSNVGAAQWSRAAKGYELKILPRTGGVIQLDGFQQEDFERSSKAFKLWYGV NLENREHALRGWNWGKADFGKAELSFNVQNRPAFEIPYSEISNTNLAGRNEVAVEFSL PADGTPNGPNGQPGSNKNRGKKAGAGKDELVEMRFYIPGTAVKKDQADADEKSVDGEE IEEQNAANLFYETLMDKAEIGEVAGDTFATFPDILHLTPRGRFDIDMYENSLRLRGKT YDYKIQYQSIKKFFLLPKNDDTHTLITVGLDPPLRQGQTRYPFLVMQLKLDDEYNLDL NMTDELLQTRYKDKLQAHYEEPIHLVMTKVFRGLSGKKIIMPSNDFTSNHNHNGIKCS IKANEGLLYCLDKSFMFVPKPATYVQIDNISVITMSRVGGAVSASRTFDITMSLKGGG EHQFSNINREEQKPLEAFFKAKNIRFKNEMAEDTSTLLAAALDNDELMESSDEEVSGA HRGSADEDEESVDEDFQAESESDVAEEYDSEHESSGSDATMDDADDDEEKERPKKKSK LDK UREG_01856 MRFSSLFPPGDASILPLPQLISLLSLPLARATLFTPVPSPQLDL GPLGRVALTGNFDAISLFTYQQQRDEVLAVYNGSQSQSLIAPLPNGGLAPISSADADI LALCSLTSEDGSLTSIVVGGNFTSLGGIESQALALFDPNSGKVSAIQGLSGQVRALLC DSDKVYVGGDFKESNSSNAISWSRRSGFSNLPFGGFNGPVSSIVKAENDHIVFGGSFD GLGNATTPSLKDQQMVNLETAEISSGGSSIRPGFDNPRNAVCQATKRDGPGSTWLLAD QQAGYWHAQMRFGYQPTKLRLWNTHFEGRGTRTFRFIALPDTGILNLTYTDPATGNEM ACDARCPLSDDPAEEFRDFHFVNLVGMNGFRLEILEFYGQGGGLNGVELLQDDIYVHA VNDFNEPACANIPFASKSTNTGTWTVIPSVQSSSDYLRAQVDSSTRLSTSVTFFPDIK QSGNYSVTIFTPGCIQDGTCATRGIVNVTGKFSMQDENPVQSSIHQTNNFDKYDQIYL GRVDAANANFRPEVTVSAQSGQGAINIVASRVRFQLISSTGGLNGLFDYDPRQNDFNT NFSASAINRAGTELDRGAIVNDLTYKDDTLFVGGNFSNATIHNIMSIRDGRASGLSGD GLNAAVASMVTLDDLVYVGGNFTNLANGSQDKLKHVAAYSIPDDTWLSLGSGVNGYVR SLVRFPVNVSSSRIESAIAVSGDFSQIEESDGRRAIPARGFAVWVPSEKKWLQELEIN QMAYVGQLTTSIVAKDDTILAGTLASGGISSHGAVALSDDNGLALQPLPVKIQQTPDS NQRKRALLDKNGAQAVTTGLFDMGSGRNLTVLVGHFTATASDDSTIQNALLIDGSDGD KVTGLGPGITSNSTFLSTAVLRDSLFLGGKVMGQISSSNIAGLVVYDLARREYPASQP GLLEGNNVVVNTIAPRPGSNEVFVGGRFDSAGSLPCPAVCALQTDASQWVRPGSGLQG EVSALTWVSKEKLIAAGNMTVGGNRTTLASYDAKAQSWSSISGRSADLITGPVTALGL ARADGSRFWVAGKSPSGSTFLIYNDGTEFHSAGSLFGEQTTIRGVQILSLREEHGDAE LIERNQALLLTGQIQLPKFGIVSGAIYNGSTVEPFILSSTSDGKPGSINGLFSENAIK LSGSRQPRSRGIVVLVSFCIALGCIFLIVLIGIILGRIRRRNQGYVRAPQGMDRKPDL RRLPPEYLLDSLRQRSPGVPAI UREG_01857 MDPYAEELEASLTLPETVHANQSASWDSGGIYPGRSTDPQTHGL EALSAAATTDKYAVQQHQPVPAMVTTAPVSVPLAIHTPFPQAETEHTLGSVPSPNQIR PSMAPPASPSLSIASNNNINFLLNPSTSVSPPIDPNLHSQHGRGSPFRTRTIIPQTRP ETDHEVAFLLRHFSESPGQWMDLFDLGSYFASYVPVKAVTNPLLKYAACAYAAKQLGR VKGAKGNVGGVCSRLASMEVWPEADRVDWYFHGAKYYDKAIQLLMEALQHDGQTSPTS MVEGLEQWQATELCNDAGHPVKRRRTFSNNRLSSANSDEVLAATAILSVYEFLDATGQ AWDRHLSGVKSLLDIADMMPFERGVSPGGTPLPPLRKPGFSKARRAIFWNFARQDYLS AFINRCKCRLDTDDLLLWTEAGLHLEDMGFVQPSNTSGTGYPEGDDVMVEDMISNAMV WLSSKIVNYLAADDTTARRTEHPSMAQWQRLQTEVDVWYDGLPDTFKPCARVEYPLQS LKTNDELYPFQEIWYSIAMCASAMQHYHMARILLLVNKPPQASSLNGAVSSREIQHQS IDSEIRYHCHEICGISLSRPDASVRINSVQPLFLSGQYLSDDRERMIVLQLLRGIEND LGWATEYRVEQLLEQWGWGHNIVT UREG_01858 MSGPPSIRHATKEDVPTILGFIRAGAAATSCADRVKATESSLEA TLIFGEPGSSQTPQSGFARTLLLIAPEGETAGMAVYYYNYSTWLAQPGVFLEELYVSP DYRRRGYAKLLIRELAKQARKVSGGKLEWVCLRDNATALKLYESLGAKRMEDWVTLRV DGEALDNLLDEK UREG_01859 MMFLKSIFAAAVAFATVQASPIQEQQSAWQARHGLTSSAYESVA SDLRSQGYRLNYVSGYTDDGAARYAAVWERRRGAEWTSTHNQDSNEFLQSVNRLRNQG YHPLVVNGYSVNGRTRYDSIWDKSQVSAWEARFDLDSSALQRTLNEMRQKGLRIVHLS GYAVGREARYSAIFERRRDTWDVRWGLNSAQYQDKTAELKGRGYRPTHVSTYNIGGNI YYAAIFVKEDGPAWASRHGLTSEGYQREFDELNKQGYMPKVVSGVSSGNSVRYAAIWE KV UREG_01860 MDRDKWTSLLTPKSFGQRLRENFGIQSTQCHRVTEFWRENWHKY VKTAYGQAIFNFPAADETVGTKLEEPWKVVFDQHSSETFITRCPGFLVSLSDDEYVLV NPELPKLRTGLRG UREG_01861 MSHLTAPVWRLVPFTRPPCHRMFRRLAHQTADHQAIEEQLLPRY HQRQYYPVKIGDTLKDQYRVIAKLGYGAYSTVWLAWDEKASRYASLKVCVQDDGEPNS PILNEISMLQRLKKCAAAEDHPGLLFTRLADDIFEINGPSGRHYCVASKPQAGSVRVL QETFPEAKVPKLLVRSLIHRLFFSVNWLHGNCNTIHTDISPQNVLSEIEDNTPLRDIE EQESQDPSIPIIPSNGAAPVYRSRSTAPELGGIPILTDFSQMRLAQEGGNKEWCMPDI YRAPEVLLKLPFNYPVDMWSIGVMSPDFLTYFNKNGQFKFEAEIPKTSLEGFITTIPR GEEKEQFLSFIRKLLTWDPEARATSSEIILDEWLMRPNETMV UREG_01862 MAASPKPKPMDTIEVPLNEFCVIKPTPAKGYGVFATRNIPQGTR VLSESRILNFPGTAMEPWGLTKDARDALVKSIGHQLSSLAKEQQREFFALANAHKEKL GAFLGIAVTNAIIMDYETKEHGVFLQAARFNHACRPNAMRTFHPILDQVVIHVAKDVS EGEEITVSYIEPAHVFSLRVQLLKAKFGFTCVCELCVLPVREREISDLRISTIILLFN SIRNETVIMNEPLASLHNTHTAMLLQKEEGILEILASTFYYDAATILSQWERKRW UREG_01863 MHGRILSLRQDANHLHYRSLFAKAAPGTAPQDSLVDDDTEALIR HYFNLEPNLSDLYEQWAKGDANFKKKALHFTGIRILRQDAWEALVSFICSSNNNIARI SQMVEKLCVNYGSLIGHIDEQPYYDFPPPSALTGREVETRLRQLGFGYRAKYIYQTAK MVANEREQGWLDSLRNPERPAYGGVPAHAGKMEGQGRLGYRDAHRNLLELQGVGPKVA DCVCLMGLGWGEAVPVDTHVWQIAQRDYKFGKGKQKSLTKATYDGVADHFRKLWGKEA GWAHSVLFTADLKTFSDRMIAKVEVKETAAKSFNGLIKEESDGEQKTKLKLTTRAAVK RELTADMSDPTSSSKEEVGREATTKRKRTRRG UREG_01864 MNGEAYGSRDTGRSRDYYGSRSERDDRRDRGDRGDRRERERRRS RSPHHGSRGSRREHELDSYSSSRDYRAREREDRYSRRDDRDWDRDRGERRREPRREEE ERPPRRERELFDDRPRRDRGDRGGRDRRRSQSPAQRKKEPTPDLTDIVPIMERKRRLT QWDIKPPGYENVTAEQAKLSGMFPLPGAPRQQTVDPSRLQAFMNQPAGNANSTLLKPS NSRQAKRLFVHNLPPSVSEDTLAQFFNLQLNGLNVISGVDPCISAQVSSDGKFALLEF KTASDATVALALDGISLEHDDANGTSSAPGQGLSLKRPKDYIVPSEADDSNRQDGVVS NEVPDSPNKICVTNIPPFIQEEQVTMLLVSFGELKSFVLVKDSGTDESRGIAFCEYVD PSSTNIAVEGLNGMELGDKRLKVTRASIGATQAAGLDMGVNAMSMFAKTTSQDLETGR VLQLLNMVTAEELMDSDEYEEICDDVREECSKYGQVLDLKIPRPTGGSRQAAGVGKIY VKFDSYDSASKAMKALAGRKFQDRTVVTTFFSEIQSKSPPGPSQRGPVPRIRLFHIMQ GLMQFEKCLHAHWKSMYTGLSDSMTCADALHFRLQGACPRNRHQPTGLPGKVENP UREG_01865 MASNNHGACPIPFLQESLFPLEGGFVEGRACEPLELPQGNVSCC LPCPQVEWRYKEGFMEKTHVANWVALACFVANALMLITYAVLPVKYSHRHYLSICLII GLMLMELSFIIPLGARPDQCHNAITPNDMRSEITCAFTSALILGGGWATVLWSFARTL SLHLQICWDVVPGRKFFLSAMVFGWGIPVAGVAVALAVTGSSYRFGDVCHINHDYGLY NFWGPLMAFAAATLIIHLATLGYCIHVYVRSMLTNSPTTDNSSNLPSYSGSVRTLSAR QTYRRVKRLLLLQWRGVAVVLTILGNVIFFTIIFLALDSSARKTPENIKKSQPWLVCL ALSQGDKNKCAEKATDLGPTEPAVMAVLVLLALCGFWVVIFLGHVSMITGWVEWIKER RSLKKEFVSIDARKMGTQSRAYEMLSADRQHSIKSPEPLLSPIRHSDNSVYTTPEYAY LADASPDSFTRDAKYQSPLLSFSSPRPPSAMRGLSSPREWDPRATFAPSGTEGSNRYD NNRDWAS UREG_01866 MDPKRGVPGLDAPGMGWRLETVASKVEHPNLPTIIGGLRCWWNS GNWPTTSQGLPGRLQSRTPCAHLDLLSDSLSTSASHGAGE UREG_01867 MSFITSFPAKWEGICMRYPPGWIEGVGSALVQVFGFIIPGLVFL AIDMLKPVAFDKRKIQQESKQPSKRQMISCLKLVFGNQAYLIGSHFLLLHFLKYKFSI FRMDPQLPSILEVVVQCTVGALLREVLFYYVHRLMHTKMLYRKVHRVHHEFRAPVALA AIYSHTLDHILVNAMPIYLPMAIQRAHFLTLMLFAGVAVFDAAVSHSGYHLFRVPDVQ SHDVHHEKGNVNFGVLGLMDWLHGTNA UREG_01868 MKFSTAFALSALVSCSQVAAHGTITLVKGANGVNMPGLSVVDGT PRGCASAACGAQKDTAIIRNSEMGGKASALGRTAYGPVDPSKVMDAYMGRSKRHARDL LGARQLINEAASAFTGPIGAVLNGVQDLVQPTPLKPLMDSITNAGNGLGGAMPGMATG AVVGADIKEGGVNQYYGKGKSAGLPTASSDGTVTMIYHQVNQDGAGPLLAEIDPSSGG QDPGAFQKAQILTNIPGVAGLSTSSNSDFEIKVKMPEGMKCTGTVGSAKNVCIARVRN AALSGPFGGSAAFTQ UREG_01869 MPFAALVNAFRNLDRGPQAAYEANNDKLLLRFYDVLTRTWHLGF TSFGGPPVHFRIFYQRFVEGLGGKTPWVDEQTV UREG_01870 MVIGGCSTALWDTYGRNTFAKLKRNLRGRKRPSDVVAEESVIPM TIPLQQPSSTTSLQRRTVTSRGDDTQGHTPGTDGVSATESIGSTIHRVDTHSHSLPLK WGILIIVAFFASFIAIMVARGTLDNPPLELNLFTNMYLAGTIIFGGGPVVIPLLREYV VEPGWVTSRDFLIGLALIQAFPGPNFNFAVFLGALAMTATKSPTVVGSILGYVGIFLP GLMLAVGFQSCWRVMRKYQVTTSILRGMNSTAVGLVFTAVYRLWEIGYLSSDASNGQS LGKEPFWLVVAALAYAENAWFSVPPAIAIIFGGILDPPSTSDDHLTARALIACSANDQ YTPPTEFSSRNTHQHQSKMGRLHSKGKGISSSAIPYSRNPPAWLKTTPDQVVDQICKL AKKGATPSQIGVVLRDSHGIAQVKVVTGNKILRILKSNEDLYMLIKKAVSVRKHLERN RKDKDSKFRLILIESRIHRLSRYYKTVGVLPPTWRYESATASTLVA UREG_01871 MEDWIRSPARKRARRSENPPTSYSPNEESDSKSEVDSNEPSLLS TEVIEDTRDENENSHQSSQPTELETCLPPIKTYQQAIDEYEASKASDLPESKNFGLQE RYENRSWIKGRSSIYVDAFNLALQCVLDEEVHLFDSKEMALFDHWKALPYESQYLICG FEIYSPIAYPGSGEIVIIDWFQTSAWHRVNRLGYYHDIGDMQSAIVDLREVRPLPTCQ EDANAMGIEPQSALLGDVFQFAEGIGEITCLEEASSLLLLDELKVLAKEARVQGKNKK ELLKALREAGSSQTGLAWLRSSDLKNGNDIGGAELSPSKQTTTDAFLTKKILNHTGDC IRLSSAPLKLFERVHLVFYRSTEWTEKSLTSIILAKISRRNYPEYIVSRTNGIFPTRS ALLEFETAIRIQFDLDNAMESSNLATSEKLQMIKDLSESVYPRWKTLLEEEKLKQGQE YDPGEIAYLRRFSPAWVYTRIVHKGLYALGRFKDYNKEHEHLTELLEQRFFHPSRRGG WYQRKALLEEHYMWSLTPSEGRSEDAQKKKWKRVALRTCEQGLEDPECHLVYHYDLQK RIIKLERSLNIAKREQHDFSHVMLSKPEERTIEGIRVEKAPLIKPASKTNGNPGASAR GRPTVWIDEREYNVECRVESMCLSWYRDHGWKGYHCEGGILRTLFGLLCYDMIFTYVP CVFQTPFQTCPLDLHTDSFYSPRISEINHRLAQISNGEAESILRRVYERESERQTCAI GIDWSFELDDLVEIVGCFRGEALATICRVMAQEYQQRGGGVPDLFLWSTEKSEVMFVE VKSPNDRLSDSQRLWIHVLTGAGIKVELCNAVAKEVRYLQ UREG_01872 MARHYNTTMSEFMNARGPGFKQSGAKFVYLETLTLLSLWSSKME SISESQTKESKS UREG_01873 MTTTARRKPSGSRAKPRVGTQLKNPRTTDQDGNIEPPPKRRKYI PGGPGGGGRYVEIDGTETPVRPLNSSSQNKAASRSRPPREKPRTAPPKPPQPPPPPPP PPPPATPPASARPKREKNQARRYSSAAAAVLAVAQGDGYKPREERGWEEFHPDLDIDA KIAVFSSEEVDGVVQNGSIHDTSSGDGAVEGENSSLSSSALRRGPGRPRRGDMPFSNV ATPQPPKFVPPPGPNPREKLTLPKPSFVLKDPFLPFEQKGVGQQNYVDRTMASVGFQE SDIFLRHERRLIRMTEDVVEEDLDLDPPAAADGDIINAAVGNNGVGRVEYDMDEQDAK WLETYNIQRRNDQFEPIKPAIFEITMTKIEKEWHALEKRIPKPNPKPPQTQRPRSSSA AAVNGEHAGPGEEQDSKCAICDDGDCENSNAIVFCDGCDLAVHQECYGVPYIPEGQWL CRKCQLIGRGSPSCIFCPNTEGAFKQTNTSKWSHLLCAVWIPEVSIGNPSLMEPVLDV EKVPRSRWKLNCYICRQKMGACIQCSNKNCFAAFHVTCGRRARLYLKMKLTPGVPAIM DSNGLKAFCDRHVPPDWRREFNTEGATADAIEYYRTTMQGRRWGDSQAAALALEPESP GGEGIEDDQRPVTPRITLTVGGNKRKRAGPPKTIWKLPSGAPVVPQVILNNVIASLQR FTVRQRKQYAEDACKYWTLKREARRGAALLKRLQLQLETFSSMEMTRRNFAGMGPTGS VRLQRRMEFADRLYLDVDKVRMLCDEVKRREYEKLKDAETLRNLVDLVYFPIPPLLRP IFEKVQALDGKGVFRVGLLFDPFESRKPGLYIRFDFFSRPSPCLTSEIETQTRETNHQ GPSQPALEDALRKESELSGKPFEKELKDLDLMLENSVLSRRGSLYDSIEAAANESEPC VDVSMVNDLASSGEGGNVRDNEGEDIEMVDATTVEPAKDLLSNVTTEVDAMRQKNDGE KMTNLESTDETHANSDDRHAVFTPPTVHSAVLSEMDNNPQSGDSNPPGFPEAQAAPPT PPASFKDSHQPPLAQGGIQWYMEPFDPVGTTIYEERWTGREVLRGMSEELSELDEDEL RHLVGDEFVNGAPREQLNGTDDMKDVDPAGSIEVSKPKAPRPKRRWRGFR UREG_01874 MGGHQQDRHGYRGQGNVMNAGNAWSGPLGGPAQEHHTPVRGFNA TELKDALRKDSQPVAYKSNGKDANSIRSSGPWASKRMCFFNVENKSLC UREG_01875 MNPGLFAFDLAESCSGGKWKGDLALGLPSMGFAGQRHKRWVKHI LKKRLTDAPLSCLVVEASGERGSPPSGGDPGL UREG_01876 MPKQTPSRGPRRSAPYPSSKPKTGTSATSKEMNPLRTSAPAAPH TLNPWHAPSSQPRHIPPHIATYPSRLSGAERSSTALPTSPMTPSNTSGPWSPRDDEIL LAARAQTQGWNQIQKEHFPSKSSNACRKRYERLIAKRRGSDWNDERIDRLANQYMQMR EQTWRPLADAVGENWEDVEKLCLERGARTLLPTLGQHELRRELPEREGRGGSHDSHDE ERLSIHNLLQ UREG_01877 MLRVGPEVVSMTKTSLGYAVPKQILGAAPGALTLKSIPKAMVYR HNRVGNQGN UREG_01878 MTMGVSIDDSSVSIVEKHGSSATVHFLENVTADSREYKGIHPIV ALDSHQENLAKLVHKSLRHLPPAPKQEGNDKSVYLLPVGDQPSVVRRRKPDFISVTRG PGMLSSLSTGLNTAKGLSVAWQVPLVGVHHMQAHLLTPRLAVALEAQKHAASARGVEF PFLSILVSGGHTLLVHSKGLVDHQILGSTADIAIGDALDKIARVVLPPSYLEKSKTTM YGKALEAFVFPNGKADYTNYKPPSTRGEEIEKYEDPKWNWTFTMPYSETTTVAFSFAG LLSTAHKQVEISKVKWGCAEDDFPHDARVALGREFMRVCFEHLASRTVIALRNLKQPA GSENWSKKERRKNQKMLAQLDNPVKTLVISGGVAANQFLRHVLRSFLDVRGFRDVEIV APPMYLCTDNAAMIGWTGIEMYEAGWTTDLKCRATRKWSLDPNADDGGILGPNDWVGP T UREG_01879 MAVHSFRSSSAHQARPHDLEIPAHGHSTAPTYRFLVGAIPLGTD FPLTPICACIPAPEASLILNYKLLPVAAVDAGECGFADEGFAVQHLHHFEEPDVLHII LTEGEHMDNVDYHELPQTGTAGVELQQGDEDAPPVRF UREG_01880 MYATRVAKPKLSLKITTPTSGLSSLSLPSPAAMAMPRTPHSPSP QSPTALNTARNRRISQGHLSPLPQQQNFTYSNSSTAKSILKKSKAASNETRKIQFNGE PTVHCITPIENPDEYYGSSARMSREEKRWSRLS UREG_01881 MAQVKEAIKASFVGTPEPESPLSTQVKSHFMQHARKDSETGDLY MMEEDFINAIAPKHENYHKIKREQYGILFQVADRRRVGRVNLSDWAAFESLLAKPDAE YEIAFRLFDTDGTGAVKCETIQKLYNETNKGGDAIPFDWNSEWASLYTGRKKTRHDMT YPQFAQMLRGLQGERIRQAFHIFDKDGDGYIEPEDFQRIILHTAKHKLSDHLLENLPT LCNISTGSKISYANVRAFQNIIREMDMIEYIIRSAIGKSKDGKITRADFLNEAARVTR FSLFTPMEADILFHFAGLDTPSGKLSWGDFTKVIDSSWHTTTALGAEAIASVSQATDK AVTKSKQLLHGLLESAHHFALGSIAGAFGAFMVYPIDLVKTRMQNQRSARVGEKLYTN SIDCARKVIRNEGVLGLYSGVVPQLIGVAPEKAIKLTVNDLVRGSFTNKETGGIWWPH EVLAGGTAGACQVVFTNPLEIVKIRLQVQGEIAKSGQAAPRRSAMWIVKNLGLMGLYK GASACLLRDVPFSAIYFPTYAHLKTELFGESATKKLGVIQLLTAGAIAGMPAAYLTTP CDVIKTRLQVEARKGETKYTSLRHCATTIMKEEGFTAFFKGGPARILRSSPQFGFTLA AYEVLQKFFPMPGTAHEEVTPTGSIEPGIGLQPATAPLPYLRSRNALKLILDLDENFG RIRVPQGKTWPGLPGSSKQ UREG_01882 MARIGAVQNAACAPQSLLALFLFTMVVKTSSLSLLFAFLPVLAV RTVSFLGGNNLCTQDDSPEYVNALCAVNPWRNCSNICLYGKNSYSLSIVVRHILTIGL LGAGWNGLLPRVTRCPDGKYCCDSDKNCCEKGEGIELDGKGNIVGSTKSQSTPSPTQT ISSPTSGLPANVTSFPTDTSKPISSVSSTALPLAAKIGIGVAIAFSVLSCLILSAIYL QRRRRSRRKSIEVAEPALKPEVPPPDYTKSFSPQEMSNSPIPQQFEMPDYSMRVELAG DVPPYPELYGSRRASTMSSIRRGSRI UREG_01883 MSSQNKGFHAIVLGASGISGWAFTNQLLQDYPRPGIWDRITGLT RKPMSEEELSYWPRDERFTLASGFDLHNDEEDVLRQKLEDRVKDVESLTHVYYLIQDP PVDFNCSDPFAVSINALRRTLSAIESLAPNLRFVHLQYGTFIYGVCFTNDFYHPVPLV EDLPPLKKPLCDMLHYQTCTNFMGEFSKGKSWRWCETRPDEIIGFVPRMNAYNAAYPI AMYLSLFAHINGQGAECPFPGSFGAWKALSNIAGADIIAKAAIHLSLLDEPSLNGEGY NVASSASPANWEMTWPAICSWFGLVGKPPIDNETDKTGSPGPDEYISMHDTEYKEMVD VFRLKGWPVSSPSMDGSPNWELTKLNFDRHLSLQKLKSTGFKDDEEPAESWIRTFERM RKAKVIP UREG_01884 MSSRLVLNRSTAYVVTRVIYGASIRPITRFQCFTTRPNIPRIAE PAVWTAMIPKFLRRDRSQQGSSKKRGWNPATYFIVMFILVGSQALRMVQIKNDYANYA RSTEAKIRVLRDVIERLQKGEDVDVRKVLGTGNEVAEREWEEGRKWDPAR UREG_01885 MSKLPSGSLVDTVKSSVLNPANCTNETVSLLRKLLAAADDELKP TPRKYTKAVKATSNRRPTRAGTSRATEKVTVFQGRAEPPVPSLSRQEQIALATEVFNI SSKTLSEHIKLQPPRPLIREQTKNAATKAPLSPKKPLQPTSPNKTTRPTAEIKKASQR LDKRNTVASGISTIAESAVLALSSLRHLKQSESQKRELNLQLEQGLCILVGKLFAAGL KDMAIGELRILKSRINSYFGEESSRRTSTNGNGPEKLEDLVLIPQIPEDGMVLQLLIS FQNLVIRAIIAEGQALTIQKTLDYLILSQPCSPPNLILAAHKAGTITADKAAQQLQAL SSTVLSLAALTTPPAEGNTSATKTRVRPAVTVGLQILSMEIRCLWWKIAGHKYDSDKE LWSPLARYFTGLARRCPNIKKADFENIKQAFLRLKSSLKLNGHEVRVQNLKSVSLSIV LRILGQLAYTAGCPSEAIEFCKAAAACLTASQPMQLAICCCKIAFFQIEISKGSFSGN IQTIQAAIAEAAKNLSAPLKGNLVDLDELFMEAAKLKKLIMKMTGMVVESVSSDIDGL WLSVVEYMVSFARFLNRYLGPLPLDADADSRNLFMQRLDACRNIAIAAIDSSVALGKI SLGTERPSWRSLEPLLTDCFALLNRLNDPCIGDEQTSKSASSSLLRLSNLYWSRYATQ KEAGKSPFELVPLLERSINALSHCAPAEQASGFIPIKLERLATIYSEVGHEGKAELNY SSSIRAHIKAGALTFVSGHSAQKPNRDIWKAPESPAFALGRVLSSYIKARLKHVHRTV EFVYDDETLDAECRAALLEHQTTILAEIFAVNPSDTLSQGLVSVISQLLSICPLDGLP YQRSRVVLFTLRLILESTIDPHIGFCQLLAKEAQECLSKLPRLAAGQTSYPYLEDLTT SLRLTLGFYRENLTIDDMQTVVQSWTKMSQTWTTWESVEARIFDTQIWISQLRSLVDY LEVKGHWTLQITVLSIFENVLELQEKRNHSLLVTSLSMIGLQFSRLGLAEKADDALTK AKALIKHQAICPSVVVFWHVVYAEYLIETGDSENSLEILQGAREIFDTSFANIAKNSM RIRNFLDRIGIDAAYLLSRITFAEGKMDQAIFYAKNAVKLSIRLWGRLEKYAGLNKKG DSVKEGVDTIADKLSTLNLSTGSVKLVKGYRTGAIYWPYFSSHCTALRQLSRISAYNG LYQEAVYYGQLALDAAKALGASFIAAFIKAELGSYNIRCNELEKGQQLLEEANSDSAT ADRSIHKIAVTYHLSALHKYTGELEEEYQMLDECNKALMECFQHENNPLACQLDGRVA EVQNNLEKLTIETKMVSMPQSKAKRNNQPRKPNKRPEKTLATKTTRGQEILRPSLVSQ FRCEVLHRQVAAMISSQRFQDASDLLNEIEKLPISKNGLVAQCLHRASLHLNEAVHKL LSHAVYCVLPESCIALLSIQTTGSMSNQPPLTSTAHVSKTPKTPKQSALGSKKPSRLV REDFTDILSTANQSLHSTFPLAVTHDSTMNVHLLSYLTSRVSALSYATSKGGIAVDPS CTVQYKEAGQNSAFLREHCFISADKQLSATPKLSTWPLTPDTSSNSDSLQFSTFTEEY IDILPDKWNVISISLGVERNEFLISKLRAHEAPFMLCLPLRRSDSEDDDETGFSFEDG KQELQEIIRLANKSAHDTRARVDRKAKKEWWANREVLDTRLKDLLHNMENIWFGGFRG IFSQKPKNEVLLSRFVESFEKTLDKHLPSRRTTRGKAKHPRFTLDQNIMELFVNIGKL SDEDDPEESVMDLLYFVVDALQFQGERNAEKREHWLGFKLIDKMERISSIPAATSNRR KISFRLPSLDWMVGRALSRRIQARKSSNKR UREG_01886 MSVATKATIASFGGKLLKLSHNASTTGCEMSFNLYLPPQAVNQT TSKVPVLIYLSGLTCTAENCSEKGFFQHGASKKGIAVLYPDTSPRGLNIQGEDDAYDF GSGAGFYVDATQAPWDKGYKMYSYITEELPNTVFAAFPQLDSNRVSITGHSMGGHGAL TLFLKNPGKYKSVSAFAPICNPINAPWGQKAFRGYFGDENTQKWNEHDATELIKQWKG GPLDILIDVGTGDNFLKQGQLLPENFAEAAKQAGLESSVNIRYQPDYDHSYYTMASFS DDHVEHAAKYLFA UREG_01887 MGVIFYLRVVNSIHDEIGDVLVSRSRAEQDRANALKDLIRERDV QKLVTSWQDILSQWQDRNDLITEMCLRAIGSWVSWINISLVVNQTMLDLLFRQLAKAK DVDLRQGGEKVRDAAIDVFTEIVGKKMKASDKVDMIVYLNLESIVAQLTTSPPLHEHR FTYKYDTDLAETVAKLVNITVIDIVKTLDSEDSDNATKEKAEVLLQAFLPHILRYFSD EYDEICSTAIPGVNDLLSYFRKVARKNPAVIPQQSSMLLPILKAIIQKMRYDETSSWG TEDDQTDEAEFQDLRKRLNVLQLLIAATNEQLYMDAVTEVVRTTFQNLQQSGGQLDWR DLDLALHEMFLFGELAVRSGGLYTKNKPNNQASERLIEMMLMMVESDIRSFNHPATQL QFMENMRQVPVEGPDEDMSSEDHEGSADVVFNNQLYLFEAVGTICATSSIPVDKQVFY AQSIMNPIFMDMERNLGAAKSRDERALLQIHHDILALAGLAKGFSDWIPGTSHHVMPP AREVADAFGQVAEATLVALEALNFSFSIRTAARSAFSRLVSVRGAQNLPQLPRWIDGL LTQTSSKDEMALFLRLLDQVIYGFKTEIYGVLDTLLTPFLQRVFAGISEPTTGTDDEI QLAELKREYLNFLLMILNNDLGTVIISTANQPIFETVITTIEHFSKDVDDFPTAKMAF LVLSRMSNLWGGPDIIQAPNPANGAAPSQPALPGFTQYMMTRFSPLCWALPMNASFNP KDAQAKQVLGEAATLQKKIYCKTGPEYVRWLRETELPGLGMGPDLVNEYVGSLEMLDT KGFRNFFQVCAEACRFAPISESAIF UREG_01888 MASQGPLYIGFDLSTQQLKGLVVASDLKVVNIAKFDFDVDSKGF DVKKGVLTNEAEHEVFAPVAMWLQALDNVLQQLKDQGLDFSLVQGVSGAGQQHGSVYW NGQAEDLLKGLDKGKSLEEQLTAALSYPYSPNWQDASTQKECDEFDALLGDEEKLAQV TGSKAHHRFTGPQILRFQRKHPEEYQKTSRISLVSSFLASVLLGQVAPFDISDVCGMN LWDMQENCWNEDLVKFCAGKYGADELKRKLGDVPHDGGLHLGKIHNYFVERYGFHPKC TILPSTGDNPSTILALPLRPLDAMVSLGTSTTFLMSTPEYKPDPSTHFFNHPTTPGLY MFMLCYKNGGLAREQVRDAINDKIGSLKGSNSWDNFDRVMLETAVAGQKTDTDPMKMG LYFPRPEIVPNLRNGEWHFNYCPKKKELQETVDGWDRPLDDARAIVESQMLSLRLRSK DLVHSSKDGVPAQPRRVYLVGGGSRNRAIAKVAGEVLGGVEGVYSLDVGENACALGAA YKAVWAIERSPGQTFEDLIGKRWKEDEFIEKIADGYQPAAFDKYGVAVEGFEMMEKQV LQQESAR UREG_01889 MSCQHVRNHGSHGHHHHHHHDTAYLVSKNKRDPGVRITRIGLLA NLCMAVGKGVGGYVFHSQALVADAYHALTDLVSDFMTLATVTWSLKPPSSKFPTGYGK VETLGALGVSSLLLCGGFLMGLNAAEVLLVQFFPDIADMAAHLGLLGHGHSHGHSHSH DVAHGPNINAAWLAAGSIVIKEWLYRATMKIAIERKSSVLASNAVHHRVDSLTSIVAL LTIGGAHVFTDASWLDPVGGLLISLMVIRAGWGNTKTSLLELADVGVEQEMIDSVRKS AMKALATTPNGSEVEIRDIQGVKSGPNYLMDIEMAVPETWSVGHTRHIEELVRGRVGS RVRGIKRLKMRFTPNTEREITFAEEFIAADISPRSSPEPEMEDNVAEAATGTKLEVDG VRKRG UREG_01890 MTKRLRIPFTAPFSPPIIFPSSANTLTGAIEAITTFLSAPPSPF LRGIDVGSNAQTVLLTGAGISVASGLSDYRGERGTYRRNASYRPIYFHEFVAIHEARK RYWARSFVGYPTLRDAGPNSTHSCIAALGRKGYISSVITQNVDSFHNIAHPDIPVVEL HGYLRSVICVNCRHTMPRDEFQQSLLTLNPSWAEFLWRMIEVGALKTDAPDDRREKGL RVNPDGDVDIPNAHYSNFRYPPCPRCLITPPIRPDGTKLIVEAEPDGAWSPRSNAGIL KPAVVMFGESVDETTKLAAEEAIDEAGKLLVMGTSLATFSAWRLVERAQRRGMAIGIL NMGGVRNEAALFEGANEHSSPVTRVRCSEKTELVLPEVVSRLEQLGLH UREG_01891 MDKRQQPSSFQQLEKLGEGTYATVFKGRNRQTGEMVALKEIHLD SEEGTPSTAIREISLMKELKHENIVSLYDVIHTENKLMLVFEYMDRDLKKYMDLRGDR GQLDYPTIVSFMQQLLRGIAFCHDNRVLHRDLKPQNLLINNKGQLKLADFGLARAFGI PVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQL QKIFRLMGTPSERSWPGISQFPEYKPNFHVYATQDLRLILQQIDQLGLDLLSRMLQLR PEMRISATEALRHPWFHELNQMQAQEAHHQAAIHQQQQQHLAAGYGAPGLVSQGY UREG_01892 MVDGTKLPWEDVMPDVYRAPEIILRMPWDQNIDIWSIGMVCWDL VARKTLFRARNEELLLDDALHLAEMIAIMGPPPKNS UREG_01893 MVPNDTSRYILDQLSQHPQCTVEETLHSIKNDWFALGRKLTREN PISPEVEAALLERDNRRCCITGLDTNLKYTYIIPPSIASDSDLQKGVSVPTIFT UREG_01894 MQFARKVLNHVFHDDVRCFYLVESNVCPASREGFKTKLQEDEYF ESDFINASEEQCKSWALEKEFQVNFIEQDLIAIVDARSARDETILMCHYNYLRDPGDG LEFGDFGVLPREPNVWYDFRIDYRGAHMVCVALHYVPPDLSYPAYFGCKEKFTDEHGV FDVQKALRYGLDPDGSETQVEP UREG_01895 MSSGAPPVNNLQARAQDHSPSPPPPAPVPLTPGPRAARLQQIFN QALLHTLRANSYSNFSSCFPTPATHVPHSLESVWRQLNAKLEESARAEFDDVIKERDV VRGLNELDRLVGEARRRRDRGEGVGSVAYVFEASDSFSLVLCLTR UREG_01896 MPKPKTLLKATKSKKNTKQAEPETADGYLAAGVEFEEAGEKWRG GDAVKSMRFFMRAIDAYDTGLRKHPDSFDLAYNKARVQYEITQHAKLATQLPAPMVEI LQIALKSHRDALSKDQDNADVLFNTAQVLTSLAEAIAEGKRLSSHGAQQAVKYLQEAL ELFQRCLAIQELQFTEHQEQSNAMEVAMSDQPKSEQSSDPESSLQSMPEEQWAVVVEP VTKNTLVDTAIAQLEALATLCGLLIYDSGCSLAWLEEYSSDLLREKINAYAEGTDRQH DVALARAKFISMFTEVVYRNGQINLETYKNELNSAFTVGLDVSNDPAGLCSQAEALVG FNSAIADTFSPGGPEDLKNSLDLRWKSLSSALDSLTSASKLSDAGENLPRIHIARGDV EMYRWRLGMNPWKYGPAADNSMTLLKNAETYYRGGAAIAKRDGLTGEQKEGTFKEALV KTLCGDSSQMETLLVNSKEELVQVAQDMVEDNMVASEDLEKILYRLIPYFEAQPASND GRWYRRQASHRCSKLALSSSKASLESYWG UREG_01897 MEKRKFRGLAGMELGPVVRAATVIKAQKEMVSLHPELLPESEGG MLLSMNRSSIFSGERHVGKQGLEFWRYLLAPTFWDPNNVVEELRVTLVGLKDKLLGAM PAMHTTTDLVPIALVARSASVISAEKLINAIHQPFGLDLENMGRRDLSHHNHTWVEGC LLVFDWKSAGAIPWPAFQATTRQALGIERCAMQLRMTRRQSFESQRPIMAPSLTSQRN SLRCSTISDRTVASVGTSDSRLNEIRELGEGLERLENKRLNQQRFVPSPEKTDNLSKL ALGAKLERALRRRMTGQDAVMRKPIAIDEKEALKIAGAPN UREG_01898 MATEAATHLNGTYAHHQSGPYHTDPYHNVNASAHHQPSNASTPA PAQQESKSNVPKDEVAWFFVEQYYTTLSRNPDKLHLFYSRKSQFVSGDEAEKVSVAVG QHAIQDRIKSLDYHDTKVRVLNVDSQATFDSILVSVIGELSNKSEPPRKFVQTFVLAE QRNGYYVLNDIIRFLVDDDEEIITDEQVPDETPSAKAPVEQVEVQEEATPEQPDNGRQ ADTEAAVQEVDEKLVATAKEPEPKTEEAEAAVEPKQVTEPSTAPVPTTAEALEQEKPK EPEPTPIVSPSKAATPVVEKENIPPVKPASMSWASIASSNTNKAAAVATPVAVPHPVP VAQPRAAPVPAPSQPAQPTVPANGETAPSQTSSSSGSEWQTAGRGRDENVLAYIKNVN EKVDAALLKQTLQRFGKLKYFDVSRQRSCAFVEFADAAGYKAAVAANPHQIGTERITV EERRPRPNAYGGNGSYGPGRGGAGRGRGDRTASQGRGGFQKDSGRFTPRGGRGGTITP KGRPQSQAA UREG_01899 MNQDPSLASWSDHVPEDSLISGSGEDFSNILDFEFDLADLESAV DQHGRAITTSASQTPASMVHDTQLTGMEGIETTQPHQYPTSFVEQMRSIDMQGVNCMQ SQVNQTSVYFQKQQQQPQQGIMPQSFGQPHQFVPPTPNSTELHGGVGRYPPQLDSATQ RHYEPYTRATDDPSAFTPLISPAMTPLEQQLRFPEYATPGEYLTPLTSPALEAHGSNG NGLVFGQTSGVDMGFITSHAEMTHPLPTNTTPSSPAIIRRNRRRSSIASRPNARLVRQ SPSMRPASSRQKSRPGSAVLSGTDNRLWNKDISQDPSLALRKAGSQQGSSTESSGQDS VSPEPLNEPLMPPPALPRVFKSPFMGAQESSSEIRAREAATPATLMKLQRQPPQATSG NRFSRPGSVVVCDVPEESMEDISLPEPATNVDHAAVSTSSTLAEVNEPTPKLAAKQAP VLKSLNETSRSGTTSVTPSPQIGAMGSPMGPVGLKRADSKPGGRTSKKRQSGSTSQMS PALRPKISPSIQPLIRGEGISSETSALYLASKSNYQHILEGTLLPGVTYPETLAENLS SKRTNHKLAEQGRRNRINTALKEIEGLLPPSLTNDKSREKDKAEAGTSRSPDKPASHQ PISKASTVELAIVYIKELQKELAETKEKLKQAETRTENIASPSIKPQNLKGEKQVIEH ERPVPKAPVTTGLSGDPTESKLANNDLHVSE UREG_01900 MRLGVPDQPSSPPRKPSASNLRGSSQLLESHAESESYFLSRRDN MQRPSSRSVGGRARESTYGVQSLEDTLQLLGEESQCQPALDNYTCAPEDDSDLPHPVL RRMSTVKPASSVDPGSSDRAFGHGRSAEVAPSLPLTPLLVGSPAVGSISGSPKSTSTR SLRRSDEISFLDGASSRAVESSDEEHPHGSSEPQFHAPQLVMPSIKMPSRRPFTERGK SLGRLKVMIAGSPNSGKTSLLRSIVQKCEDIVHADPLPQNTVRPGNSPKMSQRDGTKL RRVRAYPSVVEVCASTKPYPTWWSDLEDSRVLRRRKSLGDVVLERNLCFVDTVNPCSD RTEQTSLEIQYMVQQFHRATAAINSTNADLQGLLSGNGGSQVDAILYLICEDTITADI QCIKRLSSFSNVIPLIAKADTLSSTRIHSLKASFLEKAQEHGIRSFFGDSWSDTDVTS APFAPFSVSSVTTNDDEMMDASVLMSPDYMQPLAPSELGFLLDKMFDQDNFAWFRHSA AKKLIQSHNLRKRDPEQRAPTLVSSESDCAISQFTSPFASISHSQVLDSRQSLGLSEY ALARVADHTRREENLAQVQLAKWATDLQRSLQNERRQYEHLARTERAAWLTKRLDECV ADGTLIPLGQVSAYEKETGVLTVQGHDGRRLQYRMGDMSSHDPLGLIQWNQDMKRRGW IILQILGGVGFVGGLALWLARTFGISSQDLSTWVPLH UREG_01901 MASETFEFQAEISQLLSLIINTVYSNKEIFLRELISNCSDALDK IRYEALSDPSKLDSNKDLRIDIIPNKENKTLTIRDTGIGMTKADLVNNLGTIARSGTK QFMEALTAGADISMIGQFGVGFYSAYLVADKVTVISKHNDDEQYIWESSAGGTFTLTQ DTEGEPLGRGSKIILHLKDEQTDYLNESRIKEVVKKHSEFISYPIYLHVLKETETEVP DEDEGETKAEDDDTKEAKIEEVDDEEEEKKAKKTKKVKESKIEEEELNKTKPIWTRNP ADITQEEYGSFYKTLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKN NIKLYVRRVFITDDATDLVPEWLSFIKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIV KKSLELFNEIAEDRENFDKFYSAFSKNIKLGIHEDSQNRQNLAKLLRYHSTKSGDETT SLTDYVTRMQEHQKQMYYITGESLKAVQKSPFLDSLKEKDFEVLFLVDPIDEYAMTQL KEFDGKKLVDITKDFELEETEEEKKAREAEEKEYEGLAKTLKNVLGDKVEKVVVSHKL IGSPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMASKKTFEISPRSAIIKELKKKV EQDGENDRTVKSITQLLYETSLLVSGFTIDEPAGFAERIHKLVSLGLNVDEEAETTEE KAEEAAAATEATGESAMEEVD UREG_01902 MVLKQKADPARRPHKKGDEDPGYGEWLPGGNFKIVFTQDSVDCS TGNAQTHKDHFHDESGVLQGSGSSHCHYQLSSSSPSLSADLATPAMAISIPAQMPLDA GSLGLLRSAPRFPPITKATLSELDLDRIMRNINLRVDVNFYRDLHFRPVEGEKGEERD RLARVYWEAIAIEIAIYAYHAATNNSECGEYGYRANNFQGFFEPRLPLMLETLKDVLW TLVPERDHQTIAENLDVPFIMQQIEKGVLNLVSLLEWLSALVKSHCAPMRDSLADQMV DEIREGNELRDPRRTVNGLTIMFGILESMKLDVANHQIRSFRLPLIDDTVRFLQSYFF HRLDENAMYVEEAKKWFHNALREQACLRRWTRGWTECNNVAAVCRGLTPLLSQSDSPA GFPATFKFTHCRLWMMRSEVQDSIAFELCKHVFDKTASNHIPHIPRSREVYSTLRSRL WSIVEGDRNGAIIDAQKWRNNISSIALEITRLISNLEASIKPGVTLDANVLSYVEGML RSCFGRRSPLFEHYREVVSEQLEKATMAVIERYVGMSCLDMCDDQHLPQPEDYVQLGP NDIESIGKRLAHLCVLHWRVWGPILYFANSGQSGLDS UREG_01903 MSNCPHPAELSLNKRMRVSSPCLSRLNWLSSLKAAQLQRIAFLT GISSSGTKPVLVERLTKALLSPAGVQDGSAREKREDVAGGGRRNEEDGRLSILSIDMG IRNLAYAHMLVDPEKSKENNNYQFTSLRSPVLNAWNRLVISEFSSPSASHVDVSAPVA LLNGGTTCRSTTAKSKRRSQRSEEEEEAAVGEGQRFTGEKESFAPDLYASHAYTLVTS LLGTYRPTHVLIERQRFRTGGGSAVQEWTIRVGVFEGMLYAVLHTLRMESKMAGGLGV MVQGIEPQRVARYWMETDPVMELKVAGKKKKATSKDGKKAKIDLVGRWISSLGMDHAG GIPAKIAIAADSQAKETGTAYLSKWAKTKGGSKVVAGLNGMSSSTFTGRSSRSDKDAP NGDDPDHKSDTAVMAPSPVDIGKLDDLADCLLQGLAWLEWQRTKERIAVEGIDAVSGP RKEDKVPCSSPSQGRTRRCSEPEESSSRETSPEKRVRVYGGKVKGFKDSVL UREG_01904 MQLKTSIVLVAALAAVGEASPFRFPLRRNAEESKSDVKYVTVHP VPLFPPGTGAPTAVPTATPTDPDTTPAPTTDIPVPTFPGTGAPNPQPSQTRPVSMETL TVTYTLGGGPSKTVVTRTVTRPCPETPQATAPEGGNGGGEPTTSATTTISTTSTTTKT VTLYPTDNAPGGEQGNGGNGGNGGATCVPVTVTVKETVTAKETVTVTAPSEPQPTDGE EPELPNLPPLPTLNEPPVITTIPTIPSPPYQNGTIPSTTVLPGTGSVTRTPLPTGY UREG_01905 MSKATPSPNPLHVLRQRYQTALHEFTTSPKSFEILDTIGRPHII PSTRSTLYVLDSSFNPPTRAHLHIAKSALLTDHHNDLSTVRLLLLLATQNADKPSKPA LFEDRLVMMNLFARDLQDALVSEASTTSTADETVPPIDIGVTNLPYFIDKAVAISSSR TYPADLEQVHLTGYDTLVRIFDPKYYPPDHTLQPLAPFLGQHRLRVTLRPDDEWGGRD EQRKFLVDLAGGTMEEIGGRREWAERIEIVEGRKEGDEVVSSTKARQEAGKGNVEALR CLVTERVKDWVVGEGLYRA UREG_01906 MSSASASGADAKNGASARSREHKQGNQERKYTPDQKAAVIRVRK CSATAFYEILAIERTATESEIKKAYRKLSLLTHPDKNGYDGADEAFKMVSRAFQILSD PDKKSKYDKFGGDPENRFSGASASGSSPFSGFGGFPQGRPMYDDEISPEELFNRFFGG GGFGGGFGGGGFGPFGGGMFDAGPSFVFNMGGGPGIRVHQFGGARPRRRPRDANQQSE GASPGGFSLSQFLPLILLFLFPLISSLFSSSTPSGPSFRFDTASPPHTLHRTTPKLKI NYYLNPTEVEDYSSRKLRQLDQKVEVNYVSNLRYECENEVRTRDRMVQDAQGWFFPDV EKMKKARQLELRSCKKLESLNF UREG_01907 MGPSGDGTPQIRVNRNTAQRPEETSEAFEDRTLSAIFKVTKKPL EYLLSCWKRVMRLYKGFKKTKPNDPKYEVVKEARRLCLSYCIFAATMPEMFGLDTPHS SPLKPHLLQEPDCNLGLCHDFLSEALQRAEEDDTIIPAFVSAVEEMSRDASTMTLNDD YKPHMMALRRLVRFPALAVAITESPTFNLDVGADKFETATLLGPWFKMSPLQKEITMS YFSSPKTRDQGSIISAQRAMRMTQQLHSSDLLDIINHLVRASKAARERVLDWFAASVN INHKRRALQTNPEEVSTDGFMFNITTCLDQLCEPFMDAAFTKIDRIDLNYLRRNPRVQ IKDETKINADQKASDEFYSETLEGESNFISEIFFLTVAAHHYGSESLTTLMEQLEKDL RHMQAQIDKFESERPKWAGNPAQARLFEEALKKYKDRLDFGLAFKYSLQGLLLDELWQ TRSMQFMRYVIVWMLRVVSGRDFPKEPLELPLPATEPDAFKCLPEYFLDDVVSNFKFI MWNMPQIVTSTQGDELIMLCITFLQSSEYIKNPYLKAGLITILFCGTWRRPNGARGAL VDLLNSMPFANKHLLHALLKFYIEAEFTGTHTQFFDKFNIRLEIFQIIKCIWPNAIYR DQLSNEAQKNSEFFVRFVNLLLNDVTFVLDESFTAFLAIHDTQVSLRQGGDEMDENQR QEKEEQLAAAQGRAKSYMQLTNETVTMLKLFTEALADSFTMPEIVQRLADMLNYNLDA MVGPKSANLRVDNLESYHFRPRALLSEIVDVYLNLMGKDNFILAVARDGRSYKPANFD KAAEILNKWALKPQDDMIKWDKLKAQVKKAKEADDQAEEDLGEIPDEFLGSLLHRPIQ ATKRLLMCFLDPLMFTLMEDPVVLPSSKVSIDRSTIRSHLLSDPNDPFNRAPLKIEDV LPDTDLKAKIEAFKTERKAAKLAVTKPTTGNPDAMDTTPG UREG_01908 MDTKPISQPHRAVETSRASYSEALESAYIPTSVSSVPVTRERKP FRLSRNEKQLPDPGTARVNIAASRESPRGTTANDWAARHSNQTVLQQHCNFFDFDGDG VIYPSDTFRGFWKLGFGFLLSLLAVFIVHGNFSYPTVNSWLPDPFFRIYIANIHKCKH GSDTGVYDTEGRFIPQKFEDIFSKYADGNDSMSLWQLAYALKGQRLIADPIGWFGAFF EWLATYILLWPEDGKLKKEDIRGVYDGSVFHAIASRRAAK UREG_01909 MSSKEDAAKIISEISSQNIDELVRGSTFTNEVEESIRGHIHSEL DAWFLFRKLAGDCARANISLHGFAMLWERCAAESFIEAHWLEKYLIQRGGRSRPTAIA APKCEWPDSPVEPVRPVKEALETHKSLLEDLERLCSLADNMP UREG_01910 MLVSTSFPSNDFAFYDQVLDHIQLFGVIPERYSKYGLHQLDEYF AMGRGLQRPAKDGEPAVDVPSLEMVKWFDSNYHYVKPTLQDNQEFKLSSTPKPVIEFK EAKEAGLNTRPVILGPVSFLVLAKADRGQSVEPISLLEKLLPLYEQLLIQLKEAGAET VQIDEPVLVFDLAAKAKAAFKPAYEKLGGLGDKAPRLVLATYFGDIVHNIDVLSHLKN LYAIHVDLVRNPEQLETVIGALAPNQTLSAGVVDGRNIWKTNFKRAIEIVELAIQKLG KDRVIVASSSSLLHTPHTLESEKKLDPEVRDWFSFAVEKATELVVIAKAVTEGPAAVR EQLEANAKSIQARASSKRTNDPKVKERQGAVTEEMHNRKSAFPERISQQQKHLGLPLF PTTTIGSFPQTSEIRVQRNKFTKGDISAQEYEQFIEKEIQDVVKIQEELDLDVFVHGE PERNDMVQYFGERLTGYVFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAAS ISKKPMKGMLTGPITCLRWSFPRDDIHQSIQAQQLALALRDEVVDLEAAGIYVIQVDE PALREGLPLRTGKERDDYLKWAVKAFRLATSGVEDSTQIHSHFCYSEFQDFFHAIAAL DADVLSIENSKSDAKLLKVFVDEKYPRHIGPGVYDIHSPRVPSEQEIRDRIEEMLQYL RPDQLWIDPDCGLKTRQWAETKAALINMVNAAKYFRQKYSK UREG_01911 MTPPATAPPVSGALTDVKNRKESKISLSRLSHRLREKFSRESRL TKRPLSSISTIEVENGEEVGSSGDVVSASTSILANIAASDGGYDSDARNILTPQIVAQ LAAGPHGTSSNRPHRILDPVEDEWEASQASHQLGYDGTAQGSSDLRLSVSSFEDAATP RYSEQIFPPLGDTIERDIGSGEPDVHSREAEIPEFSTPTRTSNFAGEDNDHASLKVVD RTTILAWPFETLKENESPCSGKLSTSMRGALPNGQHTKRSISFLNGEPQNIYASLSNQ EDPLVEGVKGRPEYKNPRLGMDFNLTELEESGSKYSTDNGDSCEGGQIPKASSSPELH IPRVRPRQSTIQLEQEELPNQTKTSANLIQSRFIENLEDVFVDNCNDTRDTNNDPLRV ETRKTSQGWLSGGKRVGYNYDFVLGHNSPPQIQGDSTNSYGPPDIKASEAREEDLKKE TKLDTASIGFQEPADTGLQRSDRKSHGLFPRFSDFMKSRRRHLSSSESALTDAMLCEA RGSNLHMPPDENDSTTCNGKNRQFLGKWKRRSISDNTGRQSSMRKSRHDKKPVHPEEN GSRMVTHQTDTGTSEHSQADSDIGK UREG_01912 MAAADIRDMLDLPQESQPRPAKKQKIAEPKRPEGYNRELYALLG DKAPPIALTENKYKGRRKWASKLKVRPCANRAYFISQLREIAAFTNAARSDNLVLRHW QRKAPPKNLTPTGEPPDTDINGANKETLQADTKAEEYSFAKYNVKPQIPRRYTDEEYD KYLQSDFWRREETDYLMDLVEEFDLRWVLIADRYDYQPKIPESESNSTALVAASKPRT MEEMKSRYYTVAGKMLAIEHPLSEMSQSEFNLYETMMKFNPERERQRKELAIVQFDRS KEEVQEEALLLEELKRIVAQEQSFIEERKELYARLDAPMSTSNTTMYQSSHGLAQLLQ SLLQADKNKKRRSLLGPEQGAPSPVGQAAAQSGTQSARESRPETPAATSAQSTKKGGA AAAAQQPSIRILTPAEEAKYGVSHHDRLTSGVQFRNDKAQKLTQAKSNIQSQKIAAAL TELDIPPRLFMPTEKVCKEFEKLIQSVNVLLDARKFAEKVEAEIRILEAAKEERERKE REKDGIQHVEDEPSNTEQAQVTNAVESDNPNGAQQLDDSKTTDMEDAGAATKNPNGVS HKRSASVLSAMSERSTKKQKK UREG_01913 MALSRSQPSWLSTLFTLTAILMLATPSHALYFYIFGREPKCFYE ELPRGTLVVGTYKAESYDDKSTTYISNPNLGITITVEETFDNDHRVVSQRGTDQGRFT FSAADSGQHRICYTPEHGSNGGWFSTSGAVKLTVDITTGESSKLETEDKGKMEDLAAR VKTLNARMQDIRREQVFQREREALFRDQSEATNSRVVRWALIQLAVLSVTCVWQLSHL RSFFIKQKLT UREG_01914 MSPLRKRVVSQPTLQPISPLVSPQTSQPVSQPDSLPPPSQSSSS AAALNHATSAKSAAALQTRIEEAFQRMEQANKALSEPLTQSSCDKLCRKIKRLFRNFF DPSARRSADLASNIHNDEQHLAIVLRRRTSEGINLTYPKVQTLTGDGKIPRKPSPFQL ELTAELIMEKNNRRRSAIGSRDYGCFLSTGELDETPDETDELIYNNSQSERDQAHIEG LLSELSSELPQSITSPFPIETDIQGNWVSHGGPSTEPQPRRLSNFFENFRPSSPMSQA SSRPRTPASLKGKQRAEGSPVPSISESPPSLERQRPASPYRLGRIRQEGRADFNKFA UREG_01915 MPYSLTGRNVLITGGSRGLGAAVAERFAAEGSNVAINYVSSEDR ATALATQLKQDHNIKAITLQGDVSSRVNCENLVKQTIEQLGGLDIIISNAGWTRVSNF ADLDALTDDEWDRCWTTNVKANLHLFRAAKPTLDANPDGGAFIITSSTAGIVPGGSSM AYSVSKAAGEYRNRERLLSRNAHTVQGLHLMKALATTQGSKIRVNAVLPGLILTEWGQ RFPKEVIQGYEQKVPLKHVPGIDDCADMFISISKNNSMTGQQIQVDSGYAI UREG_01916 MARNTGFYPLTRLTPALNSHPNHIRPLSFGLFIPPRILGAAKYG GLNIHPSLLPDFRGPAPIHHTLLAGEKSTGITLQTLHESRFDHGMILDQTRFDVPRPG SYDVQSLIKVAAEKGAEMLVNGIRNRLFVPPLAPLVQSVVVPESALRHAAKIRPEDRH IDWSSWPWDRIYRHHKVLGSLWNYATISETSQSVDPPLQKRIILTDLENIEPDSILAP LSSLEPGIPFAIPGDSSMTNKDRPLYVLTSDSKLVRINQIKVEGDKCRGAYAGALKAK VMGPLAQGENSHIQPISCFYAPLK UREG_01917 MPPNDFPLVTPAHPAFLAIYNPSLGATDETLHDQIVYCYSKSSR SRVSRRARAASDNGDDEKIQEDENERLRQIGLAQGMRIDLTRLASEPSIPTEQPSNVE STSAPPVEYSSREVSPPYLLRQQLQKAHSIFLLHHASSLDSLYNSLPREGFYKILDRF WTKFVLNWDVLLHGNPAVEILNGIKLAGCGELGIGVGEEEWGSGEREVLEGFVSRTDG LVDMILSRFGDPEPNEGEKEVKKEAGNALSESLGLGGGTHPAPSDGVVFLGTGKIAAP SLLSVSQWMEWIYRYGESAYGVKDDPRSARRRNRRREFSSVSVTRGSRPRPSSHSRSK SASMDLSPNRRFSPGIPPPLVVSPGPPQARDGPWPLGSEGAKPPEARPNSPALSTETF MKMITLGYGSAWGGSSRGLLAHPRVNILRFGMGDSSNRDTSPLDTSSTERGGLLAQLD GSQGKFIIGLRVNLENEDSDCDNNEAPGESREDQAAEVKSKSIKITSRTLNVNVIEGG SIAPKTLRVVVYLFQPFMFTFLFDPESPTLSSPTFYRSLHHQLGPLQKPLLSSTCPSK AAQRLSHHSLYHGFKGNEVSQQHIYDVVSDPSNHTVRASLPNIPEPGSLANEPDSWSR VEALNVHTQILNTFIETRFRTSEIERTCKTSRGWWVLWMRLNDSCARPNSRDTQGSSE EATASTPPKEAFLICRTSDSSASGQAANKGLFRNIAGSLGDTQKSWIAPGKLAEGIGL DAKRYIETLLSLNR UREG_01918 MSGNKGEIATVRGNDGDAGDWAEKRSSHLQLNKQAFAVLQLAKE RRPLIPDAVRCLQGTRWWTRRGGDGGRRSRIWGMAGMITNSSILMSQAI UREG_01919 MEPLQSNYASNSVPESPLSTVSSYPASPVASLFSAKHNRFPSSV SSLASSPGIGVPADQCPPTILEGVQEEEPLDPDYSIVDEDNYFPDFNDIHADDQVDGS GRASFEQNYDLSDELAGVTRSPKKRRPDSNSLRGISRISSRISSISSRWKQRQVSDTA AALEKYDESLRSRANSATSALATPLASSFSARQSQISNSPARTILEESLQEAGIAPLD IEKANREATIEREPQATTPLLPPVMIDLPNAENEELIHSPLQSPTVAETTNSIIADSP IEIQRANGLLSPPLSTQPSLTSISRQIASSRPYGAEISPITMLNTEDEWSCKLGHANF TIHPKPYIPEFCTLDAFEEHRSNWNLARCNYAKHLVRTGEHYGVTSNIYRFTEEKWDF TDSQWRVNHNIVLANLTDHNGNPLSLSKSNAHPGESVKMPPFLDKSKFPDLGDEDIVG PMSVAPAQPQLSTQSKSHRKRSFFKFLQDIFSSRDST UREG_01920 MAREKRTRPTFTSILGQKEISPPPATRRKITSTTTSEAVTNFFT PRSQKPVSSTIQRISWRVVDNSCLVATYSKGPSKQPDAKTTTPARLQRIAAFDLENYI SRYQIVMVTNQKKVALKKAGKNTLGEPKSLSIFKAKVTSVMNALGVPLSVYAATEYDN FRKPQTGMWKMFLDDYDLDFEDALDLEGSIFVGDAAGRSGDHSCVDRNFASNIGLQFK TPEEFFRGEPPQPVEVFDPKTYIRDNTTTSLPFVKSDGQELVIFCASPGAGESTFYWR YLQPLEYERVNQDVLKTRSKCLKVADEYLKGGKSVAVDNTNADPETRAHWIELAKKYN VPIRCIRLSTPQSICKHNNAVRAANPKIESLNPENRTLLPGIAFGDFTRRFQEPQISE GFKDIIHVDFQFEGDPTAREIWARHWI UREG_01921 MQPNRIHERHLIFKPYRKPGFLRPRPGGSQDVQAPEVQRLNKLL NGGLYFVKAVGTVNATDFAAPATSNDDVAMGGTASERQRQNTYDASNQSWRIEFRDIP DAGTGSAVTSRLMSTSKIPYGELTPVMDAWGYDYVSEYVLEGDIFILDGTVIQLHRVL NFPAHEQPSRTPFSHLPPLEAMVPLDPSGGYILQASITVQDSGNPDLMKAASQRLLGL KEHLKSAVKLEPADRLSLDTRVK UREG_01922 MRVTGVLRQAAAARTPLIRFVGKRATPESTDNAPRVHPASPTNE LPDSFMKYRSRAQQHGPLNSPSRSPSYGFIGGFSGASLGPVQPKQGEYFDRNDLPARF RRVPWTQAEIEAVETGGASLFA UREG_01923 MSPSPISIREVDDIGALKATYLISSPEASAGTPPVTIVDSLQAF SSSIAGLLSSRAVLQGVGVGDATASPTAALLLNVLQESMGRIATILFAHRLECKMYRL AADIFNDSAIVMDCLSPMFPKPMRVGVLSLSSVLRAMCGVAAGSSKASLSAHFARWGN LAELNAKDSSQETVISLMGMLVRPILGFLESISGLILTQQVGSLVVSRVTSTLATWAA LILLLTIHITTNYFAVRAVNMTTLNRQRANIVFSTIFDENRTLTPSQAAHQERIFERD GVLRWKASPASLGSCRIGVSFQYMVQLIASSESCTSDGQSIVAALLHLFEREEYILWF NPTRKRGAIVLKTNATAASQLKAWSHALIVAQILRLGEWKSKTGIDQFILQPATRAHG LVLLILRETLTEHSENFDARLERLRNAGWDVDIPSLQTKPGRRFDIRKQE UREG_01924 MQQRARVQKTDRRPNKFIPENAQQCYDRRGPWSMFLGTEPIEYA IQMPTQTTLGHIRIRASKARSPSTHSQKTSHESTHGFGFAGEAASPTAPDSATSTFEP TPLICRDSFLCGWFMEVHHTLPTLATRAQTHALSTTSVESSSSASSLSFQFLI UREG_01925 MRPPPTTQYCNIIGPAQGKTDVIGLAQNSPLDFGWGAGLALESN YQLSKFKVGGQSLGLFDPLAVIVETLFSPFAKSGLREYMGLPAALDEAAYSSFVRRPA SIPALPAATCLPLYHPACDSASSGPNRNPRKSNKQAAAQQTWGSLDRRSPSGTPFLSV LPPSESTFVSSTSPTVESSYGIVRAHGVEGTRRVWREIRARSRDAGSKRESLRGMISG RPRRSWDHTPESSPHHSPLPSDSDSDHEERMESWGPSRPLSIAVPGTQLARPTLEDVL ADRSPPPYTLSAFTAYLSQNHCLETLEFIKAADLYRTYYHRPERNDTPEGHAQRLRFH WNRIINTYIVPGAAREINISSTVRDALLSHSQSSRPPHPDVLQAAVRRMRDLMDESIF LVFLNSRSPTQQPPPRVSYQYPQNIAMEETRINRHASIRRQISPEASYAVPRSSGFSS QPTSASNTSSLSSSAYRSSPHGYTSGDSVSGSLTDDSSSVPSSPGTGAPMTPPTTPPG SNMHSPRSRSDKGWKKMGMKLGWKK UREG_01926 MTSSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSIKTVVMVAKQMVLLPPSNRIHLSRVQTIHEKNLIYRDIKPDNFLIGRPASKAANV IHVVDFGMAKQYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHV FMYFLRGGLPWQGLKAATNKQKYEKIGEKKQTTAVKDLCEGYPEEFNKYLTYVRNLGF EDTPDYDYLRDLFTQALKNTGDVEDGEYDWMKLNGGRGWEASKSYPPQHHLHTGNAMP NSSAREIHGASAARGPHPQRPGITADRLNAAQPPPPSPAKPGAGKQARERPNALGGPQ VKRPNGAVGGLDATAAASTQAQFQNSNAHLPSRITNPVNSTTNSPQVQQQARRTPEPE PTFVQKVMKVLCCGESYLCRPLSATAYKFLG UREG_01927 MASQKLITRPDASLGNAPGLRPLFEDIAKYTSDLQDASQSTASA QPTPVRSQTVVAEGPAPKKRKIANGNDSARSSPAMAGVSEDADLQIYVQDMSFAVPQR KKLQLEITRSPGNVEYLRARNQALNMIEFGVPFSKIQHVLCLPVPEKSQRQFNFCVIP QGNDGISAPKAGEPVYETIVWTVPDGPPRTAFLGSGTPAMEGASLAETYSSYLQSALN EKLKHTKVICPSEKLFASAIPEPHRKGEKAFHVKAFRGSKEGYLFFLSTGIFFGFKKP LIFFAFDNIESVSYTSVLQRTFNLNILTRSSTNPDHTQEFELSMIDQADYPGIDSYIK NHSLQDASMAEARRAKNLNINGEKGETQEGHGGEEESELQKAQRELEDQEDEEEEDYD PGSDGDSDGSGSSSDEEDDNYGNEDQGGGRNLVQEELGSEAEDVQA UREG_01928 MQKVIRRSVLATNQAKRKARIEASKNRHEELQSILREKTALQRS LLDEALEERRNRRDDWMRGPLAPKRDVGKRSGLYGTISTTRLRMPKILPEARTKYITI AAGDRVCLVRGADKGKIGKVLNVDSETETVAVEGINIYDVEFPRFALSGDTDKRPFRP YPVPVPISDVRLVVPLEDPSTGIVKDVVVKNAYGGGPFLDRPYGSTTPRHTRYISGLD VEIPWPESEAPNHTDGPNDTLRIEVEAKTYVPSLQSFPMPETLIDELRNKFSAFRTRH DPDFIAKKQEEDAYQAWLKSRKLLTPKAEYIHKQLEEKAKQREEAKDEDGNYVLSGDT ASFIEKFMAAKLQGTATNASPSSA UREG_01929 MANGQAIEERTLPFYHKKHYYPVEIGQVFNNRYRSIAKLGAKEY TSLKICIQVDNAETSPVLNEINMLRRLSKFAVKDHPGLDFTRLAQDIFKIDGSSGCHY CIASKPKGNSLRTLQETFPNAILPKLLVKSLIHRLFFSVNWLHATCGVAHTDLSPQNI LMEIDDDTSLRDVEDQESQSPSIPVVTGDGTTPIYRSRPTMLELSGHPILTDFGQMRL VEGQTNKDWWMSDLYRAPEVLLQLPWGYAVDIWSIGVMTLELLEGKNLFDPIDRAHGQ YVLPLALAQYIGYLGPPPLEIIRQSPLFSTYFDSEGKPDYLSFTRNWNNIPHPK UREG_01930 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAIDSPDSLDNVQEKWISE VLHFCSGHPIILVGCKKDLRHDPRTIEELHKTSQKPVTPEQGEEIRKKIGAYKYLECS ARTGDGVREVFECATRAALLAKTEKKKPRCRIL UREG_01931 MLASASAATAILVVVGLAIFFTLSLIHLVRLQNASTKHAKSRRA IHLLVVLGSGGHTEEMLSMLRYARLDPEIYSKRTYLVSSGDLFSARKASEFEHKLSMF DPSTGARFSKGSMIAPRTKKRNGHLNLQTDHEIVTVPKARNVHQSFLTAPFSTLHCLW ACFQVLRGKHADQIRLNPAQSRPTTCPDLILTNGPGIAVCVIVAARILRFLSWLLVLS PPPFEKPDKLRRSKGSRRRYLRTIFVESWARVTTLSLSGKLVLPIADRFLVQWEPLEG YSSWNGKKAEFIWLLFDTLRTSQANLLARNVSRRERIFDAPKTCTSSKRVFYPVSAFD KTIMSFMAA UREG_01932 MAPFFKKRLQCFYCGSRSAQLQKSAIRQWQCRECQAVNYLDETL ASYLPPQSHPEYEVYEASYPAYRQSLEDRYPQVCENCEPRVISRIKRAGYEAKADHLR RMMERSRGGRTVRNKRKWRWRSLLVSTGAVAFWASVAGQLAWDIIGFMADTTVATENQ QRIQLSKSLLRSCLKEGQKHGVMPIQCALALQPYAGLALILGVLSLWWNPKLRHKVEG RSGRISGAREYYRIQIVVLVVRFVAWTVLQDASITGLNPKLVPAVHSFMAILIIVTTI ASRAAIRFSIKPLVSWNDNIGSLISKRNGDAELSSQSFSNPQSSSISLSQSLPRFPVA NLAPAPAHVNALGPESYIPPTPPPDMVVDSDAMDWTPSQQTLQPTFHISRNQPEPDAP PIHPSPFRGQLPAAPKPLSWQLRNPERQPIVKSIENKPNPFHTAPILHPSSNIPQQNQ IATKSTDMVMAPPRFFPPNDLKAETGLESLFDKAFSIAESPKTERRSMSKMPDRGPII NKPLHIIKSIFLVVCLGLWVGSQSLALPKNTTETVVLGLSFLVTGFSLLELLMRPMMH WKMIDIFLSLAELVGCVYLALVRAGQFGDPTAFDKAGPYLVAFLTGQEMFGLRSMFSA SRPVVAAKMPIKQEIKRPTSPPLLSCSLSTSSGERTPTRSSFISAPALANKSRSSPSK RQPQSFKAPSIPQPLDPSSSFLNRQNTFTPLAKPEYPLSLPNSFSGLAQSQLLSSSFG STERFMSPASTASVTSTEYDASTISEPPSPILSTRHRTPGPSITSLSLDDSPIPMKNI PPTPRYSLRSRRR UREG_01933 MADLFNALDTRWFFSRNRDDDEDGPGIMTKNGCPEGSDEVQCCV FRDCTVPEGRGLCKGIKHTKCSGGQFFSGTDQSRPCPGSDDNQCCVKDKDISSPAPSP AETSEDRQTTVTETSSLSLTTPSPPPPSSDPPTLISSSSSDFSISPTITTTSSLTTSL STTPTSVNSPSPSPSSSASSSISSSASPPASPSSRPQTISLTSSPPSESTVDSAPSNS NAELSRGQIGGIAAGSVTATIAIVFLLLYLLMYRARSRYNEKLARENAFYRNMMPPEL PDSSGGTSRFVSNPYAIGSATAFGAKLRPADVGMGIQTIPENDTEFPGGAVISAPITT SRNSRRYELESGPAYRTYRSRPAVLAAELDGSPIEIVEEKQFV UREG_01934 MSTFGEYFRVTTYGESHCRSVGCIVDGCPPGMELTEEDIQPQMT RRRPGQSALTTPRNEKDKVEIQSGTEFGITLGTPIGMVVRNEDQRPKDYGNKTMDMYP RPSHADFTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLRLSHNVEIVSFVSSV GNEHLFPPTPEYPSASTNPEFLKLIETIDRKTVDSFVPIRCPNTEAAQRMTKLIEHFR DRQDSIGGTVTCVIRNVPVGLGEPCFDKLEGKLAHAMLSIPATKGFEIGSGFAGCEVP GSIHNDPFVVATKEATGGNGTTQHLTTKTNNSGGIQGGISNGASIYFRVAFKPPATIG QAQTTATYAFEEGTLEAKGRHDPCVVPRAVPIVEAMAALVIVDALMAQNARETAKNLL PRLARTVPTHPTGMPPQSQQ UREG_01935 MSQLHSSLSQTGLAPAVRFGGSRSSAEKKYLRPPEFHPRLPPFL QPRPGHLRANSPRQQRLHLGPSFQSAVQSAEHQIYRSVSTDPHVNLAIENYLYSHSPD NSKVLFLYVNRPSVIIGRNQIPWLEMDLHLLNRNRPVKNATDKERPPLALLRRRSGGG TVFHDEGNINYSVISPKSEFHRDRHAEMVVRALHKIGAANTSVNTRHDIVMSRDSPVP PPKQVVPTRTDDIESPPVETTPNALKISGSAYKLSRFRALHHGTCLIDSPNINSIGAI LSSPARPYLYAKGVDSVRSPVGNISSTLPGLPGKDIMQALALRIMEEFTLLYNSDRNC LMKVQEQHDEPYLFSHDQIEADIHEYEVRCIFLQPTAPLVNGLDIQTIPSIHIFYPSH KGRPAAKAGPANPSASFGTYTPTS UREG_01936 MVKAIRIGVLIQLSVGLASSTIANLPYNPSYVWAPSRDNDSLAY ILSPKASGSSELRLRALDLSARFDVSAPHFVDLIGASSFLPEPGVRNIVPIPNNAGLP VVYAGDCRSGLAEVWRFVPGADGFGANGTWSKSFVKQGGDNEEMDLSGPNYLAAGFAF PDSERETVSEVYIFGGMCPTVDVNEQSWISSADYSRTMISLRPGQSLDNNYYEYSISS NLSPPVEEAGFSMTPLLPAYSNSSSGKQLPQQSFALLGGHTQNAFLNMSRIALFSLPE RSWSYISIQPPPTPLPAQIDAQYVQGIEPRSGHTAVLSPNGDKLVVFGGWVGDISVPA QPQLAILQLGEAYGGSGEWTWSIPEDAVRNLPTAGIFGHGAAMLPGGIMAVVGGYEIS GSTKRSNLEPQDNSRLYLFNVTSEAWVTTYIPLEKIDSSKDSSGPLSSPGQKAGLGVG LGVGVSAAVTGVIVLFCARTRHQRAHRRVREQELRNLALGAERPHVSVDETPGGLYQP MVQVNRKQTGFNAHDDGCGADAENKNDDENGGSMAERTGLLVDVPMRGSKRGMHSRTY QPATLSDDLRRTSTFCNIHPIEEGEEYEESSKAQIQSLRSREAKRHSQSSIMSDPFKD PPSPVKACLPPPMIPSRLGDDAKLEWRHSLASNNMSINDIHRRRRQLADKTDRTLSNL SESSNSSISASSYGTASMGLNLVTTQTPAFRSRQNLPLSDPLCEAKDTKHYIEYPPPH LLANDLMFIPQALQPTPTTEVCGQRETGSPFGVGASSLLPSERRDPPIQNKTKAREWV GSVRRALSLAKKPENARTNSLDSNLAPSLERSISSSPTKSFHSAQEDSFGTPDSGAKL PRRAVSTNSSVLRRKKGAKDWDAKPSPAERSTVLRRKTLDGTLSFESGTGSGRSTSSP DTIDYHDEDEDEDWDVEAAAQGRVVQVTFTVPKEKLRVVNAGDGDGLDDDDDDDDGRR SNDGSLGAKSSSPDVD UREG_01937 MDQRSSSSKKTGDEWDGRGRSRRQQSSRHSRSESENKALDASQG SQSKWQSSIMSPISRQISNTLTRQRSLQAESRPEERRGLPQKFNLFPYRPHSSNTSRP PSTSTSSSSLRSLMDPNSGDTRSDSRPGSTVGPSPNPSTPRAAEGSHPAPLSPLSEVP PSSRHDMSTNLPVYPDQSYAVLQRQQYPTPYPPPLLRSRSSYPSQHTWSSQSSGHTWA PDNNDRSQSSRTAGNTPISSPGLFSAQFSTSMGSEDGRAHRSLLHPTHLQEPKETHTA EVDRDVLTGNKLINEYEILDELGRGEHGKVKLGRHMKTGQRVAIKIVQRYSKRRRLGK LGNPEDKVKKEVAILKKARHPNVVSLLEVIDDPNQQKVYIVLEYVENGEIIWRKKGLR EIVTADKRRLSREKQGIAESASFLEDSLQYVKTMQRRRQRREIIHKKKQSASTRMAGI PAWSLEHGGESDDEYGPEYTMPCLPVSADQERLTTAISPSHSVSSTSEFEKRMRESAL AAVEGSMYGAYASDPSLERRFSIASNILSCQSSESDWPSDDDDMAYVPCLTISEARSA FRDAVLGLEYLHYQGIIHRDIKPANLLVTSDHVVKISDFGVSYLGRPIRDDEEEQIAE TDAAELDDARELSKTVGTPAFYAPELCYTGTEFEDKIGKVPRITGAIDVWSLGVTLYG MIFGRLPFLADDEYGLFHNIVKADVFIPRQRLKPIEAEPYSTQMPTVMNSNKRTEEEL VYESIDDELRDLFKRLLEKDPTKRITLKEIKHHPWVLRDIQDPKRLD UREG_01938 MNLRVNTGLVFKQNNDREQMYASRETDSDQAAKEQVDAYKQPPS RPMTSPPSAVTVSSSSADDFTSGMSYCASHPSIPSVVSGASSLSNDGSHLYSGVHDKD PDKVPPLLRTGETVTNAKSTYERPHEDELRYDCDDEDDGESSDEGITFGRKRSKSAGD KSKNDYMRP UREG_01939 MDLPQPGESTWRKAMLFRADSWLQQGSKWAKCTLARRQSGFYTC VAWYPKCQMPNAPWTKQSRVMTNPLRCSKSK UREG_01940 MEVPQQNRGRSPSIAADNNPNINPSNPVNPAGHKYQDQTATFAL DPALSASHLPPSPSLFPSSNPATGAADAYAINNSFMHSAATLDSNLLHPNLAPDPLYT QNQNYSQSFEASFIQQLEHSSNLKADPGNSNHISNLLPSDELNFDEFTMYQNSTSAPD FSSSLMLDPQLAQSSPHLNQSINPADLSRVSSPHNPSPPHLAPPESHSPRPTSPVSTP GTYYTPQHSRHTSLDPASAAYITGHGHSDWQGMLGNPSFQGHRRAPSEHSDVSSVTHS PYLPQDNSFDPADNSHSPLLMAQPDPLLYENALGIGSFTISDQDQAFSPAHSPYNMSP RLIPQQPGGDVGPDNSFLTTQTLNNQFAPSPLDTYTLKTDTSGLPPLQSQNSPSEMGQ AAQMKPPVISVEFAPPSRTSTFETGNKLEGDKDTLSPPISRRGRSQSDPFAQSMPRSL STSAVNAPFPSMPHDPRSLSPFPRSTGTLSTPSSRETSPAAKNRRQSTSSIDSRNYIL DLADPQRPGSNAGDSKRVQKHPATFQCTLCPKRFTRAYNLRSHLRTHTDERPFVCTVC GKAFARQHDRKRHEGLHSGEKKFVCRGDLTLGGQWGCGRRFARADALGRHFRSEAGRV CIKPLLDEEAAEHARKLREQQHQHQQQLTGHLQPVHQSITIQGMDPQHQAFTLPAALL AQYPALQNLQWDQISSQPDDNTDFGGSGGRRSFDASSGGEFGFGDDEETGLSSGYVSG QGTGPGPASQDQMLGINQGGGAGGGGWHPHPGGWTGDYDGSK UREG_01941 MLMFIPSSITTLLSILTLAFFFPTVAGYPIRLALRGLGCRIRKR TKGKRELIRARVRVEEEDYRSRKDRQSKAEDDDWEKVERYASDAPGGSIEENGWDGFV GFFHPFCNAGGGGERVLWAAVRATQKRWPKAICVIYTGDQDVDKTAMLKNIERRFNIQ LYPPTVIFCYLSTRRYVLSTTYPHFTLLGQSLGSLILAYDAFTLLVPDIFVDTMGYAF ALALSNFLFPSVPTGAYVHYPTISTDMLDSLDDKTGHKGLNAGAGTGWKGLAKRQYWH AFARLYGWVGSTIDVVMCNSSWTSGHINALWLPARKTRKQNREPVVIFPPVAVSDLEG IKIDLLSERQSREPSILYVAQFRPEKNHALILRAFARFLKQFRIRNSASSDSTPNPSS PSTSNSEPKLILIGSVRHSSPDETHIYNLRLLAHELKIRDNTTFICDASWPTVLDNLR HASVGTNAMWNEHFGIGIVEYQAAGLISVVHDSGGPKMDIVVDLDDGATGFRAATEVE FAAAFEAALALPEAERVAMRARARKSAQRFTEEEFVRKWLTQMEKLVDLRRQRAGRCC LHLQTFGVFGV UREG_01942 MAEVQSQLLLRKKMKELYKRWNIRPWTRYAPWVQLPVWLTMMES IRRMVGMSGGLLNIIQSWVEKHPEAPKVPIVQSLSTEGALWFPDLLVADPNGVLPAIL AATVFTNVTWGWKTQSPEEISKMVLRKERIKARGLGILKRTFQASALLLWPVMTMSEV PAGMLIYWISSTLFATAQTRILPKIIAAKPTPTPCKEKVVPVNFKLEPEPGPQNPETL RKPSKIRKLTG UREG_01943 MFSRNFSLAFISTLVASGAWYAYKGNPNQQELSPASLNATTSLF QQRTSDIPPEVIGTPASTVPGENPENQSGRSPIVEDQLYVSTSVSEQPVSKQTSEPGN RIVGMLTPEQATEKLRRSEESYLVGRGRGVVRYDVVQLPSNDPIEDDHAEKIVVASTA DGSSSDWMFWGVFDGHSGWTTSAKLRQALISFVARELNSTYKTASANPGIPYPSPEAI EAAMKKGFVNLDNEIVHKSVDRVLKANSKRVAAELLAPALSGSCALLAFYDSRSKMLR VACTGDSRAVLGRRSSNGKWTATPLSEDQTGSTASEAQRLRREHPGEDNVVRNGRVLG NLEPTRAFGDAFYKWKRETQDKIKRHFFGRAPHQYLKTPPYVTAEPVVTSTEVDPRKG DFLVLATDGLWEMLSNEEVVGLVGQWIEQQRAGAQGKDGVKGWLQSWWGSGSQLPIER PSRDDASGQRAPFRQTQYNIPQDESRFVVEDKNAATHLVRNALGGKDKDMVCALLTLP SPYSRRYRDDLTVEVIFFGESENTGAVTVNSDASAPGHEIPAKL UREG_01944 MSTRALRRLQKQRELESQEERESDADSPELNAPKSNFNAFDLLD AQDANDEETDAEEAPLEAVSDSKHTHNTKTSKSRKKKQKQNRAKKGRTTTDQRPSEPT TPGDADLDDIDRALKELSAKNHGEVKDGHANRQSRYASDEAVASLCSLFAIDSKKLNA MTEMKRLFGSVAVESRYADGGEQSPSRRRVRNRQALDLGRALAGRFNPASRGQDLSGM ALRKNILMQGKDEWPRATSGGLGMEIVNKEPSGVTEYKLVHNAAYKDAQIQFEICVRS MQAERMIEHLQFNPYHLSTLLQVSDIAKHQGDHAVSGDLLERALFNIGRSVQSSFGSC LAEGKARLSFDVKENRELWLAGWRYIINLGMKGTWKTAYEWAKLLLSLDAGDPYCICL TIDQLAIKAREPEHFIQLCQHPTLKKRWDLLPNIQCSLALAYFQKKDAKASREQLRHA IARYPWVFCRLAQELNINPVPKSIWGAQVPNQAFELLTELYINRAKDIWNTPEVITLF MEVADSIGAPEPAIEAPEISLNVARHVILSDIPAVLTHLPRQFTTRHISASDPLPPNE LQEERPASSHWFFDAVRGAIGLDNAEELLGRFVPGGDEGFETDEWPEVNNDDTEPALP IELDEGLDEIVAFMEDHGFDPGNWLDSDDTPFADWITTLKAQVRPEEWDAMIDAAGAL CDSSLLSDLLRDELQRQSEGA UREG_01945 MEESILNSVPLTLREAASENYRCGSADAISLTFLLVWFLGDLTN LIGALWARLVPVIIAIAVYFCIADGVLISQCLYYNVQNARRAAKHRRRSSSTVSDTPD PTTPLLSRRMSENMGIPGSRRRSSASLRRMSSHRSAVQRGDSLAKILEETEPKNIWLK NTLSVFGICAAGAAGWVIAWQTGVWRPVAVTGPEDAQEVALGATILGYISAICYLGLD LISFD UREG_01946 MRREASQSLSDGGATHDAISAGARATFRHGLSEAKFAHNPRDSE NQTALEDRPVDNLFPTTFFRLNPQTTTSKDTFEHQSPLVALEGKDVKDLLTNVGSAGA AAAAPAGGAPGGGAAATEATPAAEEKKEEEEESDEDMGFGLFD UREG_01947 MELSSALVFPPANAPRRQGKIDERFKDQYDKLVEIRNQLDRLAM TQAWSLRETDLYMFQRKLNHIDECRVNGNFLDSSGQPADLHAQRLQTLRKCLMEVKES GGVSNTRELYPYSMKLNSIDNMRVDGKFYIGSDLPEGQGSVNELLAQCYDLCYELRAA ADEGSPTKQDDL UREG_01948 MAVRNSVRLRNWPVQRSPTQSLVAPRAIADLPKMMWRHGAASAR AVAQRSPLRRYRTFATEATSPARPQNTNRSPPYPKILSRLSEVRRVLGSDRPLTLAEK VVYSHLDNAEESLLTGTENGKNIRGQANLKIKPDRVAMQDASAQMALLQFMSCGLPST AVPASIHCDHMIVGQKGADVDLPASIEGNKEVFDFLESAAKRYGIEFWPPGAGIIHQT VLENYAAPGLLMLGTDSHTPNGGGLGAIAIGVGGADAVDALVDSPWELKAPKILGVKL EGALSGWASPKDIILSLAGKFTVRGGTGYIIEYYGPGVETLSCTGMATICNMGAEVGA TTSIFPFSPSMVPYLQATNRGHIAEAASKIASTGPNNLLRADPDAQYDQHITIDLSSL EPHINGPFTPDLSVPLSSFAETVRKNDWPETFGAGLIGSCTNSSYEDMTRAEDLVKQA SEAGLRPRADFFITPGSEQIRATLDRDQTLNTFSSAGGTVLANACGPCIGQWKRTDGV KKGETNAIFTSYNRNFRGRNDGNPGTMNFLASPEIVTALSYAGNTTFNPMVDSIDTPN GPFRFRAPQGSDLPKAGFVPGNPDFQPSVAVPDPTCDVVVSPTSDRLAILEPFAPFPK KDLRSLRVLYKVKGQCTTDTISAAGPWLKYKGHLPNISANTLIGAVNATTGETNVAYD VDGKTYSIPDLAGKWKDQGIEWLVIAEDNYGEGSAREHAALQPRYLGGRIIVAKSFAR IHETNLKKQGVVPLTFADKTDYDKIDACDSVDSVGLFDLLQNGGQGEVKLRVTKKNGE TLNIPVKHTLSKDQCGFILAGVH UREG_01949 MTQESSSPDLKARKVLVFGATGLIGSRIVNSLAAAKSNFEAIIV FTSASTLEKKPHLVESLKNRGIGVITGDVNNEDDVRAAYQGVDTVISALGRDVLASQI PLIQLAASSPSVKWFFPSEYGTDIGYSPASANEKPHQQKLKVRAALQAVKDKLVHTYV VTGPFADLYLGPGLPDARGGAFRVKERLADLLGDGNGRISLTTMDDVGKLVVSALLHP TASKNRALKANSFTTTPVEILQMGKNHIYAARRAT UREG_01950 MSILPQEIHTALSQLLLGLSTADNLVRAQAEEQLNNEWVQGRPD VLLMGLAEQLQAAEDSTTRSFAAVIFRRIAGRSVKDPNSTDSRRLFFTLTPEQRLAIR QILLQALNGESVLNVRNKVGDAVAAVAEQYSESGEPWPELLGVLFQASQSPDTGLRES AFRIFSTTPQIIEKQHEETVVGVFSKGFKDEHISVRISAMEAFASFFASLQKRSQSKY FGLVPDLLNILPPLKEPNESEELSKAFVALIDIAELCPRMFKPLFNNLVKFSVSVIGD KELTDQVRQNALELMATFADYAPTMCKKDPNYAQDMVTQCLSLMTDVGIDDDDASEWG ASEDLDLEESDKNHVAGEQCMDRLANKLGGHAILPPTFNWVPRMISSSAWRDRHAALM AISAISEGCSDLMVNELDQVLALVVPTLQDPHPRVKFAGCNALGQMSTDFAGTMQEKY HSVVLGCLIPSLSSEHPRVQAHAAAALVNFCEEAEPVTLEPYLEQLLGHLVQLLQNPK KFVQGQALCTIATIADSAESTFANYYDRLMPMLFNVLKEEQSKEYREIRAKAMECATL IALAVGKEKMGQDALALAQLLAHIQQNITDADDPQSSYLLHCWGRMCRVLGTDFVPYL PGVMPPLLQVAAAKADVQILDDETQIQEVEQDGKWDLLPLKDKVIGIKTSVLEDKNVA IELITIYAQVLEAAFEPYVVEPLEKIAIPGLAFFFHDPVRVSCAKLIPHLLNSYKKAH GDRSPEFYQLWSRTAERIIECLSAEPAVDTLSEMFQCFYESVEVAGKNCLTQEHMRAF IEATRSTLEDYQVRVKRRMEDKAEVEEGDDEALDYDYEAEEDQNLLSDMNKAFHTVFK NHGPSFLPAWEGILPFYDAFVVTSEPTQRQWALCVIDDVLEFCGEQSWNYKDHILQPL INGMRDDYASNRQAASYGVGVAAQKGGAPWSEFVAASIPTLFQVTQRAEARSDDHVFA TENACASIAKILHFNASKVQNPQEVAENWINTLPILNDEEAAPYGYSFLAQLIDQRNP AVFANADKAFSFVVQALDFESIQGAVASRIVASVKQLVASTNVNVEQALATVRPEKQM IVRRYFQ UREG_01951 MSGTIRNRTMGRGQLPFDGAPSGIPRKVDHQSSQSMPSDVGSST LSVSRQKQTKRDEAIRKKMEADLNKKRYNPARARSTRKAPPGTVLSLKPSQALQIKPS TTVAEAAQLMAAKREDCVLVTDDDERIAGIFTAKDLAFRVVGAGIRARDITIAEIMTK NPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGILDITKCFYDAMEKLERAYSS SRKLYDALEGVQSELGASQPQQIIQYVEALRQKMSGPTLESVLNGLPPITVSVRTSVK EAAALMKEHHTTALLVQDQGSITGIFTSKDVVLRVIAPGLDPATCSVVRVMTPHPDFA PTDMSIQAALRKMHDGHYLNLPVMNESGEIVGMVDVLKLTYATLEQINTIQTNENEGP AWNKFWLSMDHESDSMVSGGGHSQNPRRSILSPDPRERGDSVLPNESASHHGEDAQSE LMDTRQLANDDAPFPFKFKAPGGRVHRLQVIPSAGVADLVANVSSKLGSEVEAVGGEA VVENGKLSNSGYALSYLDNEGDTVSITTDQDLLDAIVQARKVGRDKVDLFVHDPEKPP LVVQDPQPAVTKPPTPPEPVLRSPVSEEDQQSPADRASPQKGLAQILTQPSTDQQLIT GVPNELILPGAIVTLAAVILGVFVLSRAGGKR UREG_01952 MVKKRASNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAVRDISEASVFEEYAVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRLR FQKDAKKLNPQQAAKTAKP UREG_01953 METFPPSYEHATARDVWVIVALKTLKRSRCWVRELTHTLRIPPA LSEVFDGPKKTWLLELLEFLPRLQSLIVSGLPCFDHSSLVCLDHAGTPAPKYDLRLLL ADWGPNTTSRGLINALWRFPQLVYLDLSYTAAARDGRVLAAFSQLRSLQVLKLRGIGF GDSGLQVLADAIGMRVRFLDIRNNSLNDSALEFLIQDHLLTPEEHVNWSESVERYQFE WRLLTTPFGNVLTSNSFKSNRLDEHFARQLAMPLDGRSSLDDIPRIGITHLYIGENNL TLEGLAKILQSGRLCVFDGGTVAPARKEERKGDIKPASLPGVEKLIATLSQPIARNLT YLRIHHAIVTGSAQARDDAPNAPEAMPANPLLSSAQQRNNLIKCLIARRPKITALPGH NDSDINIISFHPSSCPNLETLVLTGLPSTVFASSPILESLKRFISACADEALLSSLQA RSDYSLPPAWNRTEAENQRSKSIFALKLLVLEIAPLSKKEKLGSWIPSSYHSPAHLKS STGDRDSENLWTAAMHDFSFFGREDDELVDIERDSEDDSHPVTGTLPKSQTADSLSII SKGHAKTDTPHISTNPPEKQIDLVAALASFRKEKRMEYNELFCSIQRKEELMDKTPGQ PSSSTGSAIPIYVEGHWDGEVRIVRN UREG_01954 MSYYPPPSGYPGGPPTYPPPQQQQQQYPSYGAPPPQYPPQQTYG APSYPPPGPYGHHPQPAYPPHGSPYGHTPSPQPPYGHPPPHHPPPSPGYGHLPPPTPN SGPVFHGQPGIATVSNNDYVHGNHSAPPPPPSGAVAFGHGAPQGYSYQYSNCTGKRKA LLIGINYFGQRGQLRGCINDVKNMSTYLNQRFNYAREDMVILTDDQQNPMSQPTKANI LRAMHWLVKDARPNDSLFFHYSGHGGQTPDLDGDEDDGYDEVIYPVDFRNAGHIVDDE MHRIMVRPLPPGVRLTAIFDSCHSGSALDLPYIYSTQGVLKEPNLAKEAGQGLLSVVS AYARGDMGSMVSTAMGFIKKATRGDESYQRAKQTKTSPADVIMWSGSKDVQTSADATI NGQATGAMSWAFITALKKNPQQSYVQLLNSIRDELSSKYSQKPQLSCSHPLDTNILYV M UREG_01955 MAFSGTDSSQGKGQILSNINACLAVQSTIKGTLGPYGGDVLLVD SNGKQTITNDGATVMKLLDIVHPAARVLTDIARSQDAEVGDGTTSVVVLAGEILKEVR EFVEQGVSSQTIIKGLRLASAIAVNRIKEIAVDMRDTGEGNTMQVETLRRLAGTAMNS KLIKRNAEFFTKMVVDAVLSLDQDDLNEKLIGIKKVTGGALQDSLFVDGVAFKKTFSY AGFEQQPKSFKDPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDAEWQIIFNKLEA LYKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVSAEDMERVNQATGASTQSTCSDIQ DRHLGTCGSFEERQVGGERFNIFSDCPAAKTCTLILRGGAEQFIAEAERSLHDAIMIV KRALRNTSVVAGGGATEMDVSSYLHSYANKSKAVAHKQQGIVKAFAKALEVIPRQLCD NAGFDATDILTRLRVQHQAGNVWAGVDFDNEDVRDNMKAFVWEPSLVKVNAIQAAIEA SCLILSVDETIKNQESAQPQASGKPLPAGAAQRVLRGRGRGMPRR UREG_01956 MPAVNDDSRTPGIYPEPGQPTFFDVAKPQLPSTVAPRQVTLRDR VTIATLVPFSNASDLPHSLLVYLCDQLNKEIEKGDTYPMDRPLTLEEFGQDWFSHFGA VMLLGEVKGVQDAQSMGLAGEDWRKTCLGSFNIKPNYPGRSSHVCNGAFLVTDASRNR GVGRLMGECYLEWAPLLGYTYSVFDLVYETNVASCRIWDALGFKRIGRVPGCGNLKSS PGQLIDAIIYGRDLGPEGEDFVSEERFDKIRYYLKHSKYPTGADRAEKSRLRSAATHY KLVGGQNGEPEKLMLKDKEVVSDPQQQYEIARKIHEQQHGGINKTTANIALKYHWVRI KETVSMVIKNCEKCKESPKAPISNSDGSSSKREKSSTPRERKQDVSPSGFGTPPEQLS NVHEYIKEDPFGGPSPPVLHGAMDDIKFQPDPEEIPDYADIPLDPQIMDDIQHHLPSF QRHNEVDLYEPNSLHQAHELGHGFDHAHLEHHGSPNDYRMVEDDNGIIASQAAAALRD QAMHLVDTSRLHNETQLHPLQQLLTTGKSRHSLTVDFDDNSEAYL UREG_01957 MVRHKKDNLAKRSKRAFPDGHRRLPPRDPDNENDDTPRVPFHAA CWDLGHCDPKKCSGKKLMHFGMMRELPIGHKFPGVVISPNAKHTLSPADKPLLEQYGA AVVECSWVRVKEVPWSRIGGKCERLLPYLVAANPVNYGRPWRLNCVEALAACFYICGH EDWATQILKHFRYGGPFLEINSQLLKRYAACETEEDIKAAEEKWLEKIEREYSESRAD RVEGDIWTTGNTNRTGFADSEEEDDDDDDDEGDEELKDNEENTFEERDPYAMPEDSDD EEEMAEIRRKVLNSKPFQSLKQPDAKPPATVQNSITSGTERGGDMEDEEDEDEDDDDD VAFDKVINATTTTDRTGILARQRQREQQERSLAFSRDSNAGSTVR UREG_01958 MAARDRFGTYAEPARSTLQRYIHNACDPQNFEPNLALNLEVVDL INSKKGNAPREACFTIVQLINSRNANVALLALALLDICVKNCGYPFHLQISTKEFLNE LVRRFPERPPIRPSRVQQRILECIEEWRQTICQTSRHKEDLGYIRDMHRLLLYKGYMF PEVRREDAAVLNPSDNLQSAEEMEEEEKEAQSAKLQELIRRGGPEDLREANRLMKIMA GYDTKHKTDYRAKAAEEVAKVQQKAKILEEMLQNHKQGEKIAEGDVFEDLANALRSAH PKIQKMCEEESEDAEAVAKLLEINDSIHRTIERYKLVKAGDVEGASKIPKGTLGTSTG VKKTAQNELSLIDFDEPTSNGNADTAQSASSLENDLLGLSFQDSSQPSGSISLPLGHA TSVSNQTALSSIGMSSGLSSTPQPQLAVPSNTPAKSTATPQNDPFASIVSASSRTSSP FHQLQSHQMPSPSPSSLLDLDQSSSPPTTRAPAIKTSGDDEWTFASALPEDSLPTSSQ IQVVNSAIKVEFISQRVPGEKRIQVIAQFSNNTTQTISELHFQVAIEKAYKLQLFPQS GRQIGPLQQNGVCQELQLHGIEQGNGNSVRLRYRISYKLGRESKQEDGHVPPLGIV UREG_01959 MSKSPDVDINITGQDESPSPSRERVVGPWKSINLWAEGKSTGAM AIEPSSLLPAATRILLSSGKSPAVKLTSQLPMFLDREVMGMLAIPSPQIWAYYRLHNP NCPSCNSVVEWLGGKLSPQSRSTRLGPKIFNLWRSGGSLVPHALNSYCHINNVYCTLD I UREG_01960 MPKVQRTKAKRKTERSTGENQERAFIAASRRLDRSLEARFESAQ KASTCHKIRTGRGLRITREAVKNEEMYEEEEDNFHRQRMRNLETQNDKLDMQLNMHPS YFTSGHRFMGSPYYSGPSPYLSRGYENGAPSTPQWYQAPRYMNPYGEASRSVPPYAPT DSWIQPQSNTRSMSYPSVALPPNAHGANLPGMSQPIESSFPAQRSLSASSANVEVTPG ATWPQTPDPYSRSISRRMFYATLPNTPHAKPKRRRADRAVQRMGYQYGSFNEEAMHNV PAAQSQIRRYSAPQVHPMEQMVSGSASAAAGTMSRPAFDTSLSNSYFYGQTVMWNPYS EWHNSFRPDTREITAQPGAMVAPHGNHINSVAPEALAHQQGGEASRCSTTGSVSSELT VQPSTFVSQPSRIDHTSGSTSIASHSESPGLRNTDVEPIAQNSADSGPLQEPTANIDT NAQSNSVEPAAETESSNNFSTEFLSEAATPLNENSQESDIGLPELVAPDLIEDSAAES ALDVSADLAPELDSSEVRFRCRGSLDPITGTEVSDDIWQECFNNGFWKPDSFDNSAFM PANSADE UREG_01961 MPSVVLPEMRDLRSRTRHEKVSLLLIDTSQAARYNVGATFKGPL SAQQNHWGLGVVIVTNSGVPGDTATPLYLPLVR UREG_01962 MYEAFLEEVPLLSSLKPYERSKIADALDTIKYPAEHTIIAEGDP GDAFYLLESGEAAAYKRGIDGPTKHYKRGDYFGELALLDDKPRQASVIAKTDVKVAQL GRDGFKRLLGPVEDIMRRTEYGVPEGQTKLEASEE UREG_01963 MVKVDRPPANAFVAKARKLYNPIGFSKGYNFILFFIFAGALMGF TLARFQYLDYYGIFCGSPGIGECYFFTKGVEEIGLIIHLGTILPASFLVCFQFVPAIR HKAIMLHRINGYVILALSLVSTIGAFMAARHAFGGSLETQLGIGFVGILFIICMVLGY INIKRLQLEQHRAWMLRGWFYASSIITLRIIMVIMANIISAGDEYYSPRMCAQIDYTL GQNRTLRNYPDCDVFYSGENLSKQVLVQGKFGSGGNAMTAGTALGLSFGPSLWLAFAL HAIGIEIYLRLTPFEAERLRSISYQRQQEAGMRNPGRAGLTADRLGDATTWKPAYDAC PSHDDPHELSQYNGNERM UREG_01964 MVGVPGRSKSCGTCRIRKKGGCDQKRPACGQCLRLGLKCEGYQR ETRFINFSVEPCKPRRSVQPSAQVILPAALARSAYAENYFGTFWSAYLPKGKQFPAHI MQYASGGWTNTLPQLYHTSPAIKKIMLAVCLSTAGQAKNSRWEIEEGIKYYMGSLAEM SSALANRTKENITTLCVISRLYSLYEVPKSQTLVFIR UREG_01965 MLKSTQDEHGQLLEPLLDYGCSPWRMSSQRAADLYDSGVKLWRK EDLADIEQQLAQSRSLERFTVRRIDGSLVHIKNPMFGVEKPLWTSYVKFQEFWRLVKA TPEGPPEIYHCTYLVDWANQARRNYDGPVENARLLFEISQKRWSESETCKAFTAQLYK LLEGAGNAKRVTKVVCFGLGDLNVKPPDWWRQENSSRPEGEREPETSVIEGALIHHAI ALTLADITRSCANTGGMSIRLLTQDPKYSSETKGMLQEIGFEVVGAHGAGGFAELDDK SIVFSPFPSAPVSQIIADLARPAVIICPRVVEIFGRPG UREG_01966 MAGNDKPTVPDPSRDSAISSPRSSLDSRSPSASHFRLNSLSGVS PHRQSLSESLRVMPPSPRARRPSVTQAALQSLIDNPPAHNGADPAFSGRDWTQISVGE LVDPEDCHFVEADMTIEDATNRLIECGASLLLIRESPEHTSAVGTFDYADLNAYLLLV IGITKPDDEHAASLEELGRKAREGQKILLREVKNLRPKDPFTTLPPNASLTKAVEVFG GGVHSVVVVKENTSEVLGIFSQWRLVRFLWENARSFPVIEQLYPQYLRELGLGSQHVI SIK UREG_01967 MVKERIYRFKPGSKRMQMREYFGLINSDCLHWQTTMFVSTEPMA LSELVEISNVSSLVFLGVTTPHPGRPRNFDDRPISTVTDRVIKSWSERARAGKGFRYL RDMVLDRQSELTHNIFSYLDAFPALSVLVLSGCPHIDEEGILKAGAQYGWQASSLDPQ YYSFESLKAGHIGKRGPKSLLDRFGSETTHDRLPTLYFHLGNTSLNSLSDQPDIYLFY RKPGSPSGKQEFTEKAANVLGADPPSRTGKSIRTLKVKASKEQDIVGLLAGFN UREG_01968 MAAHPTNSAYEMYEPSMCGTGIGTPFSLAAAVQRFDPSLAAVTI RFSLLHSFSAIFPGGVASFLYPVDQRTKAGSFQKWILKSS UREG_01969 MGESLGHPAEMSLGRHLSETPGERRGRARGNFHRVESQLSVHIL HSRKSFLISWAEYLASLLGWGQPRTAPADPKRHIVWLFNNTAFQPATQHEPNPHDPWR VEVVAAIFETHSREDLGLLIAVIADLIGLDGQVGQDPVLRKRIATRLQPFVSEVAPAR FISVDVPLAPTDVHKYKLSPSNQHGIISHAIRMESDRPVRDGTVVSPSLRHWPSLVAM NTTFATPEGWLVVSDIDDTIKYTMTPDAIGILRTTFAEDPKPICGMPQLYVHIQRQLL PTWFYLSASPYNLYPFLRSFLEQFYPPGTMILRDSSWQSLAGLLQSFTQGPKRTKLTA SKRSKAGSRNEGSYVSEIRLRVIQRHMQRCLKSTVCGSKLFS UREG_01970 MQPTGASEELIPPTMPAVYLPAPPSGKNRKPKPSNLIYKADFPT PHCTETQYLVKVSATALCPGELEWPHLLHRRENGAVIGHDICGTVLSTPVADEHLPDG PRFKVGDRVFGLIELARDGGAADCVAAEEEELALKPQNISAVEAASIPLSALTAYQIL CEHILFKDSMKEYGRHKDLPFRILILNGTGDVGIQAIQILRSKSIWRGYHIWVCATTT TTEHERFLRDELHADEVLNSVENPDLAQVWKHRNWPQVHLILDCMGGGALSRACIQGI LDKAGATTSALEAICDPDKHQVEGGYFGVKPNGVQLGMISKLVEDGEIKPYVDKVFEL HEAKEAMEYVESRKARGKVVVHVNYD UREG_01971 MATTSGLTRRRGAGRGVGDGSDGSRVASPSPHNGSDNHAPETSY IGGENGHKIAFDPRDISESAEKVKQPKLTLMEEVLLLGIKDKQGYYSFWNENISYALR GCIVIELAFRGRISMQKDSSRRRFPLADRVIEVIDDSLTGEVLLDEALKMMKSSEKMS VSSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGVLRTEKRNFLLFDMATHPVAD PAAKEDLNKRVRNICSNRTVILPPTQYLPNGVEFRYLRTIAMVCASYAANVLENCLVT MNHDARERAFSQVDELLADYSQWPFSLRTGGTQGIGANLAQVVREEIDKAKDRELQLE VVAACLNVFTRLDSLL UREG_01972 MASSRAVTRLVAYRRPSPLPFSFSSSPSINRSAVIPAFSFSTSS SQSATPHGPPQPGFRLPPPKRWDQSDESTLDKAGKFFLMTELFRGMYVVLEQFFRPPY TIYYPFEKGPISPRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEEREDGSR RTTRYDIDMTKCIYCGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLANGDKWE PELAAVARADAPYR UREG_01973 MADSLEDQWQRKKQTKEQARNAKRAKLDPDAAKTAKDVMDENAR KRKREDGEPESEDAEIGSERPLEGLKRADHKPKKQKQANQLDDGQPVEATSSNNTTTG DEEDERLKQRQERKTAKIEKQKKKASEKAEKRKSKQKTREQKITEPNTRSTNQDPTTP AVDEASDSDDVAEEMVPIEGFPREKDVEAESTAPTSPAADSSVLDTSKPPSGTSSISS IAPPTGNEKGDSINEADSKPKPLKSTPEELKKRFQKRLDELRAARHADGFNGKPAKNR QELIEARRQREEQRRAHKKELRQKAKEEEHRLRDEAIVKRFSPKGSLLASPGSPAESI ASIPNNFSFGRVVFADGQATDPNLTTIREQKKQKGPQDPTTALKAAEAKKAKLASLDE EKRANIEEKDMWLTAKKRAHGEKVKDDVSLLKKALNRKEGIKKKSEKQWQERIEGVAK SKEARLMKREENIRKRREEKGKKGKKGKSKPRPGFEGSFKTKVGGKKK UREG_01974 MQLLRTSLLLLLPFVAASPVPSDDKDIIPGKYIVTLKDGITQAD MDSHKAWVANVHQSNLAAAASAGRTGGESGGIRKIFQINSMNAYSGAFDEQTAEDIKR HPNVKSVYPDRKAYLAETVTQNNAQWNLGHMSSKGRRSFTYRYDSKAGEGVWAYVLDT GIMTDHVEFEGRAILGYNAVPDVPHVDNVGHGTYVGGIIGAKTWGVAKKATVVSAKAF HGTSGSYEHIFDAFNWIVKNITESGRQKQSVINMSITSAKYQPFDDAVEEAFKAGVSV VVASGNNGRDGTNNTPASAPNAITVGSVRFDNTRSYFSNYGRVVDIYAPGERITSCWM GGRNATRKSDGTSVASPHVAGLVAYLMSIEDLPTPGAVTKRVLELSIPDLVKDPGANT ANRLAYNGIRERS UREG_01975 MSGPYDQYNQQGYNQGYNQGGYGQGQQGGYPQQPYDQSYPQQGY PQGQQPGYDQQQYGGYPQGQQQQQQQPGQYGQYPQDQGHQQGYPQQYGQQPDQQGGAP GGAQDGERGLGGAITGGIAGGYAGSKANHGFIGTIAGAVIGSLAEDKLKKHKHSGSGH GHGHGHGSSGGSQFSGAASSLGSLFGKKAEELMARQSWCSVTPEAIGCTVGQIGIVRR KQMPSRKSTALLSIGLACYFDLARLGVKEKISKPIQVGPNDFRCPSPNLSGAQFTELG SNVKSEYDPQHLLRNPPRPSEITLELLLASQSHLGHATALWNPRNSSYIFGVRDGIHI ISLEVTAAYLRRAARVVEEVARRAGLILFVGTRPGQRGAVVRAAELAKGYHIFDRWIP GSITNGQRILDECALKVVDEFDNELPEYTTALGKHPVLRPDLVVCLNPLENTAMLSEC AAYNIPTIGIIDTDADVTTVTYPIPANDDSLRCVAVIAGALGRAGEAGQKKRMEDARQ GILPYKRVSKKWFTKPSDSPEEGKYEE UREG_01976 MEAEIKGHEGQGALRTIKDLSAGAAGGIAQVLLGQPFGKEARID ARQISSKSDCRPQPSIQALLIAPPKILSKEGPLAFYKGTLTPLIGIGACVSVQFGAFH EARRRIEEFNAKRGHPTNLSYPQYYLAGAFAGVTNSVISGPIEHVRIRLQTQPHGADR LYSGPLDCIKKLSAHEGVLRGLYRGEAVTILREAQAYGVWFLSFEYMMNWEARRNQVK RDEISSIKVATYGGLAGEALWLSSYPFDVIKSKMQSDGFGAQQKYQGMIDCFKKTFAA EGLGGFWKGIGPTLLRAMPVSAGTFAV UREG_01977 MRRSHVLKKALQSDCRQLRSQVRSAARIPNNRCFRQQARQFGST ISLRNGDNGDAAAASTSATSGPPKPKFGGGWAKPTFSSGPALTADEINLRDKLLTQRA QETLPADPKPIDASTTQEAQAAQDHSTPAVPNAERGPPGMRDGWGRPPFRSEPKPAGF VTQKPQAPQQSSPAKPNVKQQLPGTGDRLERPTFRPAQNPAGTTIQQPRIRFEGVLPR TSKTGSPPDNSVSRDRPSLNDGFISFRPGQKHTKAERNQLEKAFGSAQGPKSSSVAER EAKFRESRNDALRFTGFRKVTLDIPAAYSADETKLQFSNREEASTDNLESPFNPRTSK SSKSTPESDVEKTAYKNAANDVPYTHRKQRKEHRKKAIQHFDEPEDRFGLSRKSKYDL EDDDSRESRARRKQARKAEKDAKRKEYNAPTPIYLPEFISVSNLANALGVRPSAFLKS LHELGFEEATFDHILDAETAGLIAAEHNFEPIIETADNDLVAQPLPEDSSNLPPRPPV VTIMGHVDHGKTTLLDWLRKSSVAASEHGGITQHIGAFSVTMPSGKQITFLDTPGHSA FLEMRRRGADVTDIVILVVAADDSVKPQTIEAIKHANQAKVPIIVAINKIDKEDTSVQ RVKQDLARHDVTVEDFGGDVQAVCVSGKTGQGMLELEEAAVTLSEMLDLRADQEGNAE GWVIEATTKRAGKAATVLVRRVAGTAWSRIRTLKNEAGVEVEEAPPGIAVEVDGWRHQ PLAGSEVLQAPTEQIAKEVVALREGKEESKQLSSDIEAINELRQQRRQRALAAELAER YGEPVDEQADNATPSSNGIPFIVKADVSGSAEAIVNSMTAVGNNEVYAKVLRLYTAYL EKQKVGQIFEITIKGRKKTLIAGCKVRNGTITRSHKVRVIRGKDKTIVYEGSLTSLKN IKKDVTEMRKGTECGMGFEDWTDFRLGDQVQTYEVIYEKRYL UREG_01978 MTNFFHQIYLGHANRVVTDYITKGRRHKISRAQIEMQILEEIEK SALPAPHRIAWYALILQTNTFEIYWLGQRDQHHLSKESIARLKPDMTLVNECAFESPE SSPACSDSEMSDTPQLESTFSGVPRYLVRDDASSDDDSSDTSTSSPSPTTISEMHEQT NLIHETGSPTDEVEDEVGHPQVSGKTIPETGTVPGIARKTTPKPAQARKGRKPASGSS KPKATRMKATPRKKRQVAMKQPRVNPIVPVEDAEEDFEPRGAESNTSIEPDLQAVDEA ANADEITLSKNKERGKKAWETRKRKAAEKAVAAAVALAEEQGTAAQGASLQATEGTPK GRGRKKKAVRFNDPKNNTDDEQ UREG_01979 MARFAIITCAVSFFLGTLFVLQLFSAPGASPQRRWFRFPSNQKP TGASCDDDSCGYLLGVGKADVTGPVVEIPFMGYANTEQVGTGLRQRLYSRAFIVGSPK NPDNRFVYIVSDIAAGDTAIRDGVLQGLSALGGDYARYGQHNVALTGTHSHAGPGAWL NSLLPQISTKGFNKESYQAIVDGTVLSIKRAHESLAPGRLSFAMGEIDNANINRSPFS YLANPAAERARYDGDTEKKFSLLRFDRLEDEKTIGVLTFYSVHGTSLYRNNTLVAGDN KGVASYLFERSARKNERFAKDFVAGFSQSSVGDVSPNIEGAFCEDTGLPCRFNDSTCN GKAELCHGRGPFFREKDEGTKSCFEIGRRQYSEAIRLYNQMDQEWTSIRGSSAVSSFH SFQDFSTYKFTSPFNRSRELTTCSAALGFGFASGTTDGPGYFDFTQNGTHSPSTKNPV WHFARDLLHPPTKQQKECHKPKPILLDVGELDTPLPSGRLILLTSQVLRVGQVLIVVS SGEVTTMAGRRWKEAVAETAKRTLDIKDPIVVLGGPANTYVHYITTEEEYGVQRYEGA STLHGPHTLAAHVNLTLTYLPHLTGGSAPSPPVPHGPDPEINTNRSVSFILPVVLDTP GIGKSFGHVLSSPLSDKTFRPGDTVATKFVAANPRNNFRLEGTFGAVEREVSPGKWAV VRDDSDWNFVYRWGRKSPGLSTSEVTLEWLIEDEYYSVGTSKVESGTYRMVYYGDAKG WDGKVRSFSGAGPSFKVAA UREG_01980 MSLINEFHDSLPYIDGEITPQVRAEVDKLINAELPANHQSTLHP LIPTFSEPTFSDLVQKELERKANNEPITGGIDLSRYEAPEAPSTEGKEREEILSDWRE TLRKAYTASSHLSARHENLSLLDAHGKNAWLIGNAQLEEILRQIEKELQETKDATDEV NKERKLRQETAKGEIGGLEDAWRRGVSEVINVEIAAEALRMQILERRRQHARS UREG_01981 MVLWLMSVLSMRQLLILTLAMSSFGRKWESWNKIGILGVDGKTL AKREDSPTDVCKRWSQQAAIVNGTLYIYGGRSTSQSSQSDNTWNNDFLSLDLGNDWDI SSPKLKGLKKPSGPPPVSNGYLWNSHTSLFLYGGEFSDNPPADPVEFVLWEYDILSGE WKEHTNPTTSRGRNSDGGGKPVQRSAEGAGIGVAQLGRGWYFGGHLDGYTTKGWSQSI ARVYLKSMIEYTFPGYSNDQVEIGGDSRVAGADGIWRNITEGGLQDSAGFTERADGVF VYVPGYGKEGIILGLAGGTNETFTSMNVIDIYDIANSTWYKQATSGTTPDIRVNPCAV AASAADGSSTQVYLYGGQNLIPFGRQKQYDDMWILTVPSFTWVKVDTDGQSVPPARAG HTCNIWNSQIVVVGGYVGQDLSCDSPGIYVFDASELRWQTKYTALEGGNFLNQQHSQK DGKNGLSGSYGYRVPKAVQSVIGGDDLGKATVTTPAVHVTEGPIATGTPITYTVPNSS PTGGPGNSSQHPNGESNGLNVAAIAAGVVAGCLAILAAYLGFCTYVYRKQLALYKHHV AAAQRASDGSRYGDKLSHLWPKENLSVHDRSIAGSSSGATMTPAAAAAHAFAASEYSR GARPGSANSSTDDLLAGQEPTFLGVMLNPRRSLRVINKD UREG_01982 MYSTSYTAGLDEIAKDFNTSVTIVTLGLTLYLFGLAVGSVVLAP LSEMYGRKPVGVISLVVFILLIIPCGIGNSIEALLVTRFFGAIAGSAMISSAPGSVAD VVDDDRRALAFSIWSIGPLNGPVFGPIIGGFVTQYLGWRWTNWILLILSGVAFVFSCI MKETYAPVLLQKKAAKMRMETDDPRWWSRYDHKESLSGVLKMNLSRPFVMAVTEPICI FWNIYISLVYAILYLCFVAYPIVFREIRGWSTGMSGLAFIGIGIGGLIVVFVEPLLRK LINSHKIDPETGKVSPEAMVSVVCIAAILAPVGQMWFAWTCSPTSVHWVLPILAGIPF GAGNTTVFIYASNYLTYSYGVYAASAMAGNAVMRSVIGGVFPLVGSYMYGRLGANWAG TLLALLEVLIIPIPFVFYRYGYKIRMKSALIRAMQVDKKKLDGKRKALDKSGKEPLLV APDIEKKEEV UREG_01983 MAQDAPGPLPTQLVFLSEIPNLSSGTKIRFLGCVSKYAVAKGVL TLESPPTADQHRSYGLARPAIDVNINLLLGSLSHSEVDIGSWINIVGYVRQLVPAPNT TRQYQLSRAGSKDKAPQSVYVEALMIIPAGGIRIGEYERVVSESRELYRRLKSSS UREG_01984 MWSFFGGGSAQSKKDAPKNAILSLRQQLDMLQKREKYLETQMME QDAIARKNVTTNKNAAKAALRRKKVHERSLEQTQAQLIQIEQQIFSIEAANINQETLN AMKTAGAAMKQIHSGLTIEKVDEMMDQVREQHALTEEISYAITNTSIGEPVDDSELDA ELEGMEQAAIDERMLKTGTVPVGDRLNTLPSAANGELKGEPEAQPEEDDEEAELEKLR AEMAM UREG_01985 MAASLMGQAPHDAAPERSTFELQADRCEPPPARDVTPKLEASDT ATVDQFKAVSKTAFLALSGMAYDDYKKQTEREKQTAASALLAQLLAGKPSEESPEGEQ GSRENQQSVDMQLDARVPEASNSSPGPSLQSQQHLESSALDPAALPTSTAPSTPWDAK EVQPSVDDGMVPEASADDSVEREHNLILDAIQSNAKANADLNSLYSEFTPLPAPRTAK EALLQNEMFTDSFFSATGGPTPRIVSYPDLPTQKEAKGVENDSESVSGSEPRIQAYAK LEFDDGHFYVNTYSFILGRDVRAARAAFQREYQLKQQKVQHGNGVRTPKRMKSEGSHA IMGSVISDTGGIMGFDPDVPQHCPPQMSWKSSNSSNGHHNHPVLHMSQTEPDMTTPVE PPKVMKDYNALAMESLQNGNEPKRVDTLSLLPTPDSCPIIPIHPPSSANGVPSSHRGI SRKHVKISYNFNRNIFEMEVMGRNGAFMGADWLAPGQVRPLHSGDFIQIGGVRVRFLL PDVPIGDTGSEMVDSPIPDAYDEVSPQPEEDDSQARSDAEVADNSGEDEQETKDAETA KVKKLKVTLSEPKLSHEESQTSSQPTRRRGPGRPPKDGIMSKRERAEIAREQKLAARR EANGGITPPPSSKIKVSKSGKEGEAEDPVAVKPEKRKYTKRKKPDSGPGDSVVQSIEG ADGSVAPVQEELVKPTPVKKRKPSRSVSPNYPPESFYSPKELGKPPYNYAVLIYDGLS ESPTPMTLKQIYRALKLKYPYFRFKCETEGWTSSVRHNLNGNSHLFMHAERDGKGWSW KLIPGASVDKEKKRRPSPPPAPPPQDIPNLNNQHFVPGGLRRV UREG_01986 MEAFDDPEFPEDEKSALRDERKATFWQQTRSLRGAVLVVGALSG VCQGWTQNVPLGKAFNLNLDPQNGSTRDQFVLGALSASVTLASGILGLFISDPIQNHW LGRRGAVAVSAVVSLGATIGVVCSRTEAQIIGCRLLIGAALGAKASVIAPFLAELAPV HMRGALLSTWQISDALGIFLGDVSWKVLDSFKLDPDTEWRFLSATTLIPTIPLMVASY MIPESWMFLMKTREYPQAAEAACLYRRHPIQGYRDIISSHYQMEAEAELMEIRKSTKK EHSVVRQQDEENTPSHPSHAVVDETKPLSERLAHNLPGLCRQFHGPGTDENCPHGYHM NETHFYQRIGQILRDPRCRHALVSGGTVMLTQSLCGINAFAFFSSSLLHAGLSPNFSV ILALCFGAVSFGFGLLTPFLSDRLGRTNLVLLGLPVMSVFMFILASLFELDNSAKTPV IMVFTMLFTAVYAFTLGPAAFSLSAESFPSTVREAGMAMCVFINMTSLGIQLLIYPFI TGPIGFTASLCIYVRYPANTLL UREG_01987 MARWSGDKSRLPRVTHCTHRGYLGRRGFDLGAASQPWTSSALGP DWILSDQPSRVIILSYFDALSGEVGNTVIKLWDSVNSLAAEKGLWQASLRDRPVRLS UREG_01988 MDIAGLAFALAAAATELVIKMRAFKKRMNDRPTLVDGLLDYCRM VKDDSEMIAMQADRIQAITDPSFHGRGSPLYILCTRLDGLTRLLGEFDEELGDLTRAS ASTWLGRAILQIGTDDALPRIKQVQDRIEQHFHSLLRSMQCIQLSFQDPSAHSRRPST VSFMAPNFPTPPPSPGGADFRVASRGRKLSSISSLSQSSDIEKATRNLDLCLVERLLN EDVTTTLVHTVDSHGRTLIDIAICQGKSTHPSQVPLVKLLKERGVKFTLKDERHRRMY REIMDAIKFQSKKKR UREG_01989 MPPKTKPKASNQKSKFISETDKQQPPPNWPPLKPLIPPSDLWLE TVLPDQIYVIRNFLTSTLCKNYVSFLSTLPLVTTPGRPKKGEAVRVNDRFQIDDSAFA ERLWKETALDQVLLGAVGAEKEDLWGGNVVGLNPNIRVYRYTKGQFFGQHCGYLCVLL VFLGGIRMLKSRFNADDDSVTVRLPSSEGQAMQGKTTWTLLIYLTTCTGGETVFYPEP VISSKTNGPKSKASKDNNAHMDPIVAELEVVQVFNESSSNLNSDLIPCYRALDGHFFS AQANGNGKGDIQRGEELASSCQAHGEEHAFADKLVGDGHRVGRYEFRITSLTQSPPAC UREG_01990 MGRYTFQWLHPAHEVYVTGTFDNWSRSVKLEKSAEGHFRKDVEL PETNERVLYKFIVDGNWTIDPSAPQEDDGSHNINNVLQPEIVKPLHPSTSASTNAIAG TGGTTAMSGITPESTTAGIAGNIPKETNNATESAPRKVSQSEASGPVPFMSTLGPEST TAQLAKNVPLEPKRDTPGGFPETPGGQTPGMDEQVSINPLPATDGIGNPIHLQPGEKV PNPDKSINSGVTTDKAGYEKDASDPSMAALASARGSIGTQPVQVNPPVTGGAPIIQSA APTSTTAALAANVPLEKSKSTAPGNPHEPAPEVPEVVKRSLSDAHRDAEAAGYEEAIK EKKEVEQELLQDVKRDDSAGEPAPVVTAATSSKAPAATQPLAKGGDVSPRTQEPAEGY TAAAPPQPTVTTGPTETTVPKTTGPTQAQPQPSTTQAQQPSTTKQTETPKDKKKKNRV SGFFSKLKEKLK UREG_01991 MSLMSSLVPLAMLFIVLAILGLAAIAIYNIVMDVKKATKKKMEK NNVTWSRDGVTVEVKELNDEEYKDRTQSVLVDVWNHGAPPDMNRRR UREG_01992 MHGAVIIIVQASALRSFGGKFGDLLLPPRDAPQKALLRVLILLA RGEDAEEFSGLFPYTRRSSHSARSSADMRAADGSQLNVNVGRWAFKYSRPSGARLRRR DAHNS UREG_01993 MSGTRPAAPIAKGTLATAKQCWGDLFRWEMRVEVVNELGEKHTE WQAPEPLQNPISMAAQLSARDWLYFLVGLFAWLADAFDFHALSIQTVKLSKYYHQSKT SISTAITLTLLLRSVGAAVFGMAGDRWGRKWPMVFNMLVLGLLQIATIYSATFSQFLA VRSLFGLFMGGVYGNAIAMALENCPVQARGLMSGILQQGYSMGYIFAACANLGVGGRV ESWKIVFWIGAGLSFLAGVIRVFLPESKQFLEARKAGKKGLTASAFWADTRQMLKDEW RIVVYCIILMTWFNYYSHTSQDSYTTFMLTQKALNNSAASRASILMKTGACVGGTIIG YVSQWFGRRRSIVVAALMSGVLIPAWILPHGERSLSVTGFFLQFFVQGAWGIIPIHLN ELSPPAFRSSFPGITYQLGNMISSPSAQIVNAIAESISIKGDNGRPAPAYGPTMAVAT AIIALGIAVTTAFGPEKRGRKFELARAAGAVEFPEPKDIEQGSEKGQEKGDVGPVKVE EK UREG_01994 MAGVINSAMPSWKDNSQKYLGKVTVMIPWRSLQLLFPHRVRRRL RSKLRSRLSPSSSISSLKTSFSPLDTLKSLQSHRWTVYDGQYLLLAIIGIFVLSITES PGPLAKTLISLVILISLILPITSQILLPALPTIAWLVFWYAMKFIPSNWRPPIWVRVL PALENIFYGANLSNILSTHKNVVLDVLAWLPYGIIHFGAPAVCTLIFFVFGPPGLAPI FGRSFGYMNVIGSMIQFLFPCSPPWYENMYGLAPAHYGMHGSPAGLARIDKLLGLDLY TSGFTASPVPFGAFPSLHAANATIEALFMSHLFPRFRALFIVYTLWVWWATMYLSHHY AVDLVGGSLLAAVTFYYAKARFIPRMQHDKPLRWDYDYVEVGDQTDTYNYDMATLHGE FQTDSDEWTVGSSSSISSGSLSPVDEAQSIWDGDTVGGNSDLEAGR UREG_01995 MRFPLALTSRRRASHAKHPIIMIPGVISTGLESWGTEEKSRQYF RKRLWGSWSMMRALVLDKSGWKQHIMLDKETGMDPPGVKLRAAQGFDATDFFITGYWI WNKILENLATIGYDPTNAFSAAYDWRLSYLNLENLVHYFTKLKSHMKQPFSFRARKWV WASHSMGSQVAMFFFKWVEHEKYGGGGPQWVEKHIDSWINVSGCMLGATKGLTAVLSG EMKDTAQLNAFAVYGLEKFLSKEERAEIFRAMPGISSMLPKGGNAVWGNNTWAPDDRP GQNFTYGNFLKFRESNSSWTRQNLTVEGSLQYLFNSTEPWFRNQVHRSYSHGVARTRN EVETNEADPRKWLNPLEARLPLAPNLKIYCFYGVGKPTERSYFYREDNDPLTKLRVSI DTSVTNGDVDHGVVMSEGDGTVNLLSLGYMCAKGWRIKRYNPAGAKVKVYEMPHEPER FSPRGGPNTGIHPNHFTTAFIKGRNHANICTIFRRPC UREG_01996 MGLSYNTYINSGKVFGCKHCKTHLADYHDIVSRNFRGQHGKAYL FNNVVNVNQAEAVERNMTTGRHIVRDIICRQCRETVGWKYDKAFENSEKYKEGKFILE AELLCVIY UREG_01997 MSADLFAEFGNPSSLNNPVRGSSDWSTKPSNSAGHSQPRNPRVD TNGDSTLIDFSSDPLPPIPCEQGPDRSAYGIGSSEVLFDATVESAPGEPDEDDWGEFE SARPESTGEAALGLSEIRGTEGIVCSENQDKPGAPVPKSILPPLLDLLSVENSNPPPK SPVRIPLALDCNVQLKSPVIEPQGGSDPVTAWPDFEEEDDWGEFTDGIDEGNKPVEPA SSLSSKPRVSNVEASAVSRAVNPPAKAVRPTNIPPPSILLRLFPPVLEKLRVNATSFV SRAKRKPVSSIDTGLAEEITYNLKVLVHIVAGRSFRWKRDSILSQSTKIGPASGRSGG MKLSSVDKSENVREEKEAVEVLDLWKECNGLLNSAITAGGKPPVQLVTGNVRVRSAAT HEGALKAAHACALCGLRREERVPQIDESVDDIFEEWWIEHWGHTGCKRFWDSNSANLD HR UREG_01998 MSPLLEKRSTQRSKPLQSPWQTLRQDETIRAEIYQDVERCLQEN YFFREPTTKRMMLDILFIFVKLNPDLGYRQGMHELLAPVLWVIWQDAVAKDALTNHSP SSVDDQLLLQTLDSDYIEHDAFAIFCAIMQTAMLFYEHNEMKSGSDQQTVSSIIVRSQ HIHQIMLGSVDPELAAHLQSIEILPQIYLTAGLCFDAAKNQMAIYPSPEPQKPADFLR DALFLEENMTLDGGELLISKYSGKSPDFKGHRLQFQGAQTASQKRNSQSRDISSRASG RTSPSRSPLRINQKRLDSLFQDVSDGIYRRTEGWGVARVVRGAMVEARRNIQGIHSSP TTPTLRPEGGSTPRLSIPSRVEAVASRELSLKIISLENRNKALASMLGDALKDLQVHE PEPKGAESNTSTGNMDQIREKIERVRELLQDSSIPIPEKVKDMTINRNDGRVMKSRPA EKRNQTLTSKTEPLGGAESSSSSSSSLPLNAKNSQTDNLLNPMPIRPAPRASLAESSF SWMLGEKENRSAFAPCASAPPEHLRKSESRTRAAPLFRDQRSDDKRRRTDEADNDVLV LERLPGISKE UREG_01999 MTSTSSLASTTITPTQSNTSSGASGPSDITSPELEDLHRFPSES LHSFSFARQSEEMLHTRYNVLKRTIDFMRDRFGWAASSTGIAHAQAKLSGDPEVQSMV DLVARANLREASKGQHRLPGLLMGPATGPPDLNGDNIFDKAFASPSLGPIEDEGETGS EYLERAAESGRFLAASEGHRIPRARGLKSAPASRRVSLKRTYTDVGSASLQNKLMEAL STQPYSATDLISPGSTTSFAIGTTTPAVHSHSSKWSPASQAVFRTDATPRWTILAAND LACLVFGITRREFRKLSILDLVQEERREWLVSKLKATSIYPSSESPGVKSSQSNGHNS RPINFGNGVTAQLLSKAPARLTRSRNRNDSHSSHGSARRGRSPNHTPTKSRGVLICGD VVPIQKRNGLTGSASLWVMEKKGGLIWVVEEIPEHLVNIRCDENGRVVDARGDANNIW DRKNIEPGTPVRELLPYIPTITPNSEALNFSKLNELKFFTASASPGASIPTTVTGLHE TSTLRISSFPHIAGMLVLSPDTLNIISANPIFASSLFGHTCVKGVHITDLIPHFDSFL DTLTEYDNIPLVDGMVIPEHSFRRARALSILRDGKVDAASLFLRPTGLPARHRDGAEI MVDVQMRVVKSQSTLYSDQYDVIHEHQGVDQEIGSPYVITELVYALWITYSRQYHSTN GMELPPVNPLLQPSGSPPPQTPPGQVTPALTPMLETPKANKPQISLLAQQLNEAASQP LTDQPPQPVPEMILSSAKVEPPKKKTISDFVITEDLGSGAYGQVKLARCKWNMAKKMV LKYVTKKRILVDTWTRDRRLGTVPLEIHVLEFLRRDAMRHPNIVEMEGFFEDDVNYYI EMTPHGCPGMDLFDYVEIRTHMDESECRGIFSQVVSAVHHLHTKALVVHRDIKDENVV MDSERQVKLIDFGSAAYIKNGPFDVFVGTIDYAAPEVLQGRSYRGKEQDVWALGILLY TVIYKENPFYNVNEIMDHPLRIPFLPFTDDCIDLIRGMLNRNVDERLTITQVMEHPWM SATTNNPGHG UREG_02000 MASFPPDEIIPAQGTSSDSMSGAIRTEDGPKLKGHQRLLNRLQR MTSTPSLEQIGRSRSSSTGLRRVGKGTMSCISLSSTLSHGQCWESSSSPQIYGKSPHD RHDEDTGPIRIVETELLPPNGSKQATIALPAEMRPCSRGSLLKSTDILVKADAALDES VLSEALPENQNKNYWDTLPVEVQLRILSCLMPKELARAARVSKTWHTLCFDGQLWTKF DTSTFYSSISREALVGLIFSAGPFIKYLNMRGCIQMEKAWLEHGEQLADACRNLASIN LEDCHIDNMTLTFLLVRNPGLVRISMGAHSTISNSELNVISKSCPLLEYLDLSWCRNL ISAKGLKRVVRSCHQLKELRIGEFRAVDNEFMQALFETNTLETLILSHCSALTDDSLK ILSHGSDPKIDILTGRPIVPARTLKHLDLSRCRGISDVGIGHLAGFTPELESLQLSFC SSLGNDSITNLIRTTPRLARLDIEELEELTNNVLIALSKAPCASRLEHLNISYCEKLG DTGMMQVLKNCPNLRSLDLDNTRVSDITLMEMCTQMRKRGFGVKLPKCGLRVAVFDCG NVTWGGVREVLSNNTFVPRFAEAEALATKPEEESESGLSSPSSSTSSVTILPPPPPPP ETTQFTADLYPNEIIQLKCFYGWQQTVDRHTKRVLDGNLGAAMRLERKWANCMIANEE AEAGGAGARRRRRRARDAEMLYNLDDDDDAEYGYGPAGLASLGNRRRRARSGGCVVM UREG_02001 MSQHNEAQFSPRKRRRDSLSAADPKRIKRQQLDPSQPSHHRDAG PSINELKTRIRNIKRLLAKRTDDLPADVRVAKERELAECHRDLERAEERKNRSKMIQK YHFVRFLERKRALKELRKLCAQRSKLDKDTNLDPSSKAAALEKLNKSIKIAETDLNYT LFSPLTEKYISLYPTGRRQQQQGQQEEPPEPEESNIIRTTTGEKPPLWYTVQQSQADG TLEQLRDGKLGIGLSGEKKDPGEREVASRNTALNLVVHRKQKKLVPDSQIEGGVDGQK RPTKVSTRSKGAQGKKGKKLVTREGDEEESGSDGGFFE UREG_02002 MDSSPSLASVAPQLTYQEQSSPPTPTFSPPPWPLTEIDYDLQEL SKMETAVRWAPASTAEDQRFLYVDSKGQTFSHCRVTSRPDKSTLSYEVLSSASNGSEF RSFDWSPIEEKLVAVGHAMGEVVVVHLEDGTRTPLTLPSKVQRVCHGLAWNTRGLLAA ELDKFRGDHCVYIWDPNQRPVRGTSRGGSDRQVPDPLRKYANGEPVTSLTFLRDQPNV LIAGTKGQSNYFASCAPHDDATVCIWDRRSGSRYSAATGGSSGTIDGGQVLEIKPDID TGSTIWNLRFSQTHRGRLGMSTDTGIFRAYHVEKEYSAEEHRVTLEKTVGGESGKNYP ELLYTKNVREFQTGSPPQARSEDPSKRVGAFDFLNFCSSGDLEAITILNDKTVAMYRL PPIPGPVSLSFQSLFVRGGFANGQDFKTIAPETGVKVGQALDDAQGRAFEMKLEAEME EKEVEDEEDLTEIWNLSSRARRELFVLPEKAANFKDALTMMAVPRLRLQEGHLLDPRR IFNIVTEDPGLLSLWDWISFARTRAASKSQIVRGVDMNYLGVQSVWTGNLGLSLENRL ASSDLDDDVDVSKLIEELVKQLELPDYKMCDTDFKTRRQLCLDICGGIGTVKQLEKEM AAFIKADEITKAALFAMFQEEDDLAYKALKDSPAEMHKFLAMAVAGGSTAKENKDWDE ACAELAIRSIDPFTKAILARVRKGSWDVVLEETTSLPLKYRVQVALRWLPDDKLTTYI RDATSEAVRQGDIEGWVLTGLDHAAMDLFDSYIDKFNDFQTAVSVMSYAVPRFISDPR NISRFYGWRNAYRYQMNRWKMYLWRARFDINSRDLAVTWDGRRLTKPPPQQVSLVCTY CTQLLSQKGEQPEHEVSGAETIHHTQGNPLGSSQTGGIICPKCGRHMPRCGVCGGWLG TPRPMPKSSVAEDATKGIAALRLEEGLRKSVAFCIRCSHGNHADHAKTWFETHRKCPV TDCNCMCRE UREG_02003 MAQLGQRAGRREPPSRKVAISKALSYILRHAAEREASSDKQRFG LLYIPSDSRSQPNAASSPDSALQAVEDIASKDAATSENATAQALAASVDDTDPYHYLI RARQGHSIKSVDASSLLRQLSPADSDLPETAVHGTYHTAWPEILESGGLKCMGRNQIH FATGPALSTVLPDGLDGAVVDPPKLKGRADGREDGVISGMRSNSQILIYIDLKKALAA GCPFWMSENGVILSEGMEVEGGHGSKILGTEFFDVVVGLRHGLGVLWANGSLAQKTPD WMLASAKDPHRRGGKKRQGAQMARKSNLPRITVERDRPDSDLRSEADQITMRRH UREG_02004 MPGGFHPPPAVMATWPTPNYINPQEKGPGVVIAAAVLGFVMLVI VGLRLWVRFGLQREPGLDDYIIAVALLPAIGLAIVMGIISPLHGWYRHIWDTPPTSFV GIIKLNWIAQVFFLFANILTKISILVLYLRVIKGTANKIFLNVVRVAIGILVAYATSG IVLLCLQCQPLDSYWEQFNFFNPPKNFTCWDEGILPLYAVIFNVFTDLMVTCLPMFLF VQLKMPFREKMSLAAVFGVGFIVCISGAIRIYYYYSVFYSTYDITWIAYDVWMWTVVE CFLGIICASIPPLRPLFKRFLKGTRYGSGYPNNSYSARSKNITDTGDPENQPHSYRLH HNPRRARSTEWDKDSNSSSIPLERNPRDGIMRTDNFEITYNQGLKPHETRAAV UREG_02005 MSLSNTPNELVGLIVSFTFSDWWMFIDAERQTVSSMRLVNKSLS EIVTREAYVRLRCETCYPELAAKINREALAILIGEKLRVPGPPGVLRDLVSSVKSTLS AIISSEAEHDAVPFGIDDISPYASAFIEAAIAFLGKQDVLDHFFAAVMPESVIFFGSM LGSHDLLLLAAVWQRDTSLVSYLLESRELNRRSKVLSVSLYLAAYRGYLDILRLLNAQ WLVDLNCTFGALGYPLKAGVWSGDLGVVSQLIDWGADVNLNGGIYGRPLHVAAFRGHL DVVRLLIDAGAEASAVDRDGYAPLCIAAYASTEEAKRKSIPIIKTLLEHMTADTDDTH SKIVALRAAAFYGNEEAVELLLQQGVDPAGRLPWPCKKLTFYIARGTGNVNIARLLLQ YGAALTCDDWNYIITTAADSGHRDLVEFCLNRMTQEQINGDDAIFGLTTAAQKGHADV VDLMIARGVPIRSQGTLFFEPLGLLFYEPWRANNWTKYDAKIVQKFVDHGIDLDVALH AAVTSGSTSLVIDLIEAGADINKTVDRHQPILTAVKTGQRHIAQLLLNIGATLDEELV DISELLEEATMRGYVNVVKAVFLQEHTGIALGIETKIRENGPTYTASIVLSICEAART AGRQEEARTLLRRAGPNMPEIARLIHQQWDAGNIIFTLTDDEQIVMARELGNEEVSDF LLRCYGDSNIGEENLLAALEHSNLPSARRFYKSMKKRWSLKLLSPIINGILNELTTDC LYPPIYQCLCFGGRPDVCSGFWIWTKQNDYANPQIPTCEGSDLPQLKPLSETIFRLFA ATEIFPTLYSSPLDALAFLESAISADSVDTVRLLLDSFLAAGFPSVDSPFNTGYNLLA RAVKYGSYTIARMLLDRGAHLKPCLEHHKRLTALRNLRPKAGTTLRHRKSRLVPELIE REALVDGGREAQEFLMN UREG_02006 MLFSSRFLALAALLGQALALPIDDFSQSDAGLKVKLTSMGNTRV KAVVTNEGEQEISFLKFNTFFDSAPTQKVQIMKAGSLIPFSGVDFYFNMANLPAEAFK TLAPGASAEAEFDIAATADLSPGGSYTVSSAGFLRIAGGNGTAITGRMRYRSNQMKLN VDGDMAAKVQSAVPTIEKRTRIDGNSCRGNYGQLLSRALQGCSSYAGRAAQAASNGDA QKFQEYFKTNSPQVRQSVAARFQAIVQECSSASNGKTTYLCEDRFRFCQPGLIAYTIP TQSVVANCPSYWKLPPVVNRGLEPDHGYVVVHEFTHATSIFSPGTEDHGYGYEECRRL NAQQSLSNADNYSLFAAAVSRGA UREG_02007 MAPFQAAQSSIATALAGKTPSVEIPKPCNVRYSGRAGVDHQPGQ PAMLPTPPSSISPALHPHGFKHRVIKSPDSLPFVPQHIDSDIDLHDAVDLHKPRDKLV LEALNSLDSTEGITPTMLAKHHLPDILLNHGPLAIRHIIGHLTTSVPGFSSIPPAKAR RLVVGALEGKGGNGESGGVHGDVEFDKVGWGRWDARRRGQGLTKNRPAGAPSSCAKYI SHSRGKSDGSAGLGIKEEHDRYGSSFAGNSAVFSHSEWEHGDHPEHSMLDETGTTEAT AHRRRPQTKTPLWTATGDEADATDEEDWAQIGAAALRARFIPGGFGGNHHAHNYLAMR SKGGLGGGPAWSTLTKSAPGPIHIHNNNSPSPDGIDGSFEERAAVEALLQMGSM UREG_02008 MEIEQSKMQATGTSIETDRTSNISEAIQSKAESAKHRLSVEEPT VTADSTEDIGSSNNQPDIAHPNPETREEQKQHTRPKGSDIVAPPYPELQLNPTDRYLP NPQPPPSDASIRQSKLSKPAPHSLEHPPGYMQNAAAISQPPPPPPPPPPTTTTIPSTA GHWTWSDSPNLSGGRYVYSSSPPSPTASPYPGTNAATTAASQAAHRYDISANDQWGDG GDDWGVGWVWEGAKNWGMAVGNRLVEAEEGVWRESMIERTHEVAGHLVQYNQDDQGRQ NIYICLTLPQSQSLPGFASKPIMGVTGRPSRNNTDARGNMQLKEISTASAKVPMNKMA SKAVESAPRRGQMIHGWISREKIIKIEVCPVAGVESGWGT UREG_02009 MAANQKDKSFMGMPGFVVDFMMGGVSAAVSKTAAAPIERIKLLI QNQALNFAFRDTYKSMFAFKKERDGYAKWMMGNLASGGAAGATSLLFVYSLDYARTRL ANDAKSAKKGGERQFNGLVDVYKKTLASDGIAGLYRGFGPSVLGIVVYRGLYFGMYDS IKPVLLVGPLEGSFLASFLLGWTVTTGAGIASYPLDTIRRRMMMTSGEAVKYASSFDA ARQIAAKEGVRSFFKGAGANILRGVAGAGVLSIYDQVQLLLFGKAFKGGSG UREG_02010 MAIDFEVEEKLGKSKFSTNKQRSSKDDGQDDEPEDSSESETEDD EAELVTEAVDLEILATIQAIRNKDPRVYDTDAVFYTKLDEENVPTGRKEQKPMFLRDY HRENLLRGANGDDNEEDTPKTYVQEQEELKRTIVKEMHAAVDGEAAPSHEDDGVDDDD GFLIRKSKPETAAVERKQITEEDVADADKDPESFLSNFMASRAWVASERANFQPFESD DDEEEAKAEAFEEAYNLRFEDPNKLNEALVTHARDTTSKFSVRREEPSGRKRKRDAER LKKEEEKRQRDEERARLRKLKIEELEEKVAKIKKSAGVRADSFKDEDWARFLDDKWDD KQWEEEMAKRFGDSYYAAEEAGDSGSDGAEGSTKRRLKKPTWDDDIDIKDIVPDFEDE DDGVDISAAADDTDEAGEADHKGAKRKRLQDKKQKQKESKLERRKIEQVVDRNLDLDA TLLPGSSKKFSGTFRYRETSPSSFGLTPQDILMADDSQLNQFAGLKKLATFRAPDKKK RDQKKLGKKARLRQWRKETFGDENGPQMPIMAEAEPEASTDRVVKASSGDTEMKVDIR EGKKKRKRSKKH UREG_02011 MSPTPQFSVPKVGGIVNVIPVGLKEAALDSPSFRSSAVHFCDQL DYVEKWLEGYVKATGKLTSEVSSLEAITSSFISYANSPLNVSEAVLDHDYAMLAMKRY GETSRGLTNVKWLQETRRILDQTQKQYDHLQSRYSSQTKSKEPSSLREDAFQLHEARK AYLKASMDFCIQYPQLKVTLEKLLVQVSFGQWREFKVARDNSMLLFAKHGKEMDRIKG WTHEMEISEKSSRREIIAARKQIEDSAEYAARPSRELEDYSVSTVPFLGSQGAASLSK MAKDHKFSPEKQGWLSLRILTGKPTRTVWARRWAFLKNGIFGCLVQGSRTGGVEESER IGVLLCSIRPAFQEERRFCFEVKTKSNTIMLQAETQKELTEWIGSFEAAKRKALENPS QEFLPSAKVPAQDPAFAISQPPAPEFTADISESLTPNANEEHTGSERSATLPVDRDGL GVRNSGDFTHSRRSTAFDREGDGGRDHTPRIIQKLDIRKGNSASHTGSASPQSGSGIS SLISASHHLLPSMSTSNVETESSKSKSMGPTPFRDIPTTTLAPPTLVSPPNPTNMSRA AVSVSVERGIGVGLADSTGNVPSGMMANLWGSSNWALVNKLEREASQKLANGIDEYKS YNGSSNDPSTPTGHSPVSRHRQTVSLGGADALQQKPQPRHHEYPNYYPSLLKPQDAQF RLLFPEVAGDEPLVLVFRATFSPNDQHDFPGRVYATTKSLYFYSSYFGLVLTSSANLS SITEVTAASGRDCDFLYLHIIPEKGSDIPGRLTIKTFLEPLRLLQRRLNFLVNNATSE EPATLETVLKTLIRMEQEGPTRNPSMDSWEDMSLSNSAEGSGVGKAEKLLKPGIYVDK TLEVDQGDSKQYVDAPRFRLPAQPVEYVPQGRLYPSAEKYFDISPKALFHVLFGDKSP VWQFLQLQRRAQNIEQSVWSNAESAHMRRHFEYQIEVADFFGRPHLTRVSDYQIVDVL NDHLCYVITDKRTPWHLPFKGQFQLVGKVVITHVAKSRCKLAIFTKVDWLSKPYLMQS IIEREAMKDLEQDALDLIDLASDQVKKLGHHHITKKAVSMFGNIGQETQAFKLTSDSL ALNPRSQVRRPLRQSGLLPLLLETTGSFLQSAISSLMIWFWALLRWIWKTSNANRVIL SLLICSVVFNGFYSYRDTFDWWHERNASNFMARLGVRPNNILTKAVYVKDIDNAIVND GGVQSNTSSCISREQPTYSERWRPCPYGHTQESKQPSISFSAYKAKTGLVPPRSSCSV EGCQ UREG_02012 MAAPTSSPSLSALTSLISETAARPSSPTSALSLQVLHNLQHQHL WTSLQIHEPYALSSQQHTPLISGLPPQTVYTHPDEQAYILEHDIRPETIPVEKEWVIP CAQGQSWSLRKLSGLFDSLPNKTEDMPEDVATEEHSASEKVVEFVRLKKEKPWGGKRA LLAMVNRGMGGDGTVVYYVMLEGNVKPRQN UREG_02013 MAREKQKVPLQRVPSSKIMQIPPDLPEANPRSINSPPVDPTTGA ALANSASTEHAGLLQLVICVAGIYASFLSWGVLQETITTTNWPIRPATAQDSNPPTER FTFSVFLNTIQSFFAAITGFLYLSFSTPRGQRRLPIFPTRRILIPLILVSISTSLASP FGYASLAHIDYLTFILAKSCKLLPVMFLHLTIFRKRYPLYKYGVILLVTLGVATFTLH HPTSSKKKSGSTNGNGSSAYGLFLLSINLLLDGLTNTTQDHIFSSPNIYSKFTGPQMM VAQNFLSTLMTSCYLILIPHISSSILPVLPLPVPPSQTNELASALSFLSRHPHATKDV VAFAACGAIGQLFIFYTLAHFSSLLLVTRAVVRSSTHQWTVARRRTSIWRNRR UREG_02014 MAPKNKGRGKAKDTSEGGDAGGKTKGLKAANSINVRHILCEKHS KKEEALGKLRDGAKFDEVAREYSEDKARQGGSLGWKVRGSLNGEFEKVAYELEPSTTA NPKYAEVKTGFGYHIIMVEGRK UREG_02015 MKCSSAIVLAVAQVSTVLSIAVADSNIEDVAVCGKLGVMKWDGP LPEGVNRNNIRKCADHPLGIQQLKSKNSRSAVPSIAKAQACWYGSPSGSTMENGAGLR WKMGLVRGSDVIRLETATPVKHAAEAIAGPVAATVISTHQALLHRTFAPMLASFSCCL LF UREG_02016 MSTHYKEGSRAVAGSLEQYCIKSLPEAAYYIPDFITRDDEERLL NKITSVPLPRWTHLSRRRLQTWPSALTNSNTLLDSPLPDWLRSPVVSRFTELGIFFDS PHRAPNHVLINEYQPGQGIMPHEDGAAYYPMVATVSIAAPIVLDIYEKRNDEERKAFT TGDFSGIHANDKPRYRILQEPRSLLITTGKLYTDYMHGIAETTSDSDLGPDTICNWDQ VGDTTPFEHGSYERQTRISLTYRDVLRVSKLGNTMKFLNKR UREG_02017 MPPQKRQRDQNGRTRLARACAAQEVEATKSRLAAHPEELDVPDN AGNTPLQIAALEGNADIVKFLLEAGCDINTRNIDKDTPLIDAVENGHLEVVKLLLDAG VNPRVGNAEGDKPYDLIPSDSENFEALRKVLAEAKARGMREQKLVELSSNTPIPVPTR DAPSRGVSAASPRESPPVYGIRNSPPAGLGRRRTVRSEVTRNDLLWTQPTPENLRDFA AKGDMAGVANILNVLQKADTESIIAAAKGSHDEVLGILLGMGDPDPNPEPINSGNNKP GYNTPILAAIGRGNNSVIKLLLGQRGFDPTRLDYRGRTYYEISEERKGENWKAEQKLL KEAYDNYLKPPSTDKSDSRVSRKSRDPKSSKGGSVSPASSLRTLARSPESRSQGAQKI YKARKDVGKDESLDRASAKSHRKAHPRDDTNSETQTASDYDSTRSRHTKPRNSSDFKN QSDTTSTINPTEEPIKRRRLIAGRPPDRRRGSFMSTDSHSARDEASKDRIEKFGSEAR RFKEVSPSKRSRESVSPKPTLPRRRQDKDIEDSDTQNKKRKIQEDSRETQQSKDLANK IVRERLLSPKPTVQCDTGSPSNAKSEKAAASHTMHQEMEIQNARVDDVVVASPGKPEE PTRSAMINSTTEIGVDHLGAKEFETRTESQVIERTLGDRKQVDSREGIIATKTESKET TDAKEAEAAKEAEAAKEAEAAKEAEAAKEAARVVLDRAEEEERMSKEAEQRRLRQAEE DRQKQIDQEHQRQAKLRRELEEREQERRDALPHRLRAAANLIGANDPTAKSTAWLKKL VPVFTVRTKQIDSACDAEIEDERWLINYQVAPLLSSNDLQLMQYPGWERRKATFDHKY SLWRLTRNMLSYIDELSVLNTSWQDVASRVAETKPKFYAMEHVFWVKLSDFMGLVPHI PHLHDFDFRLTAMAIQPIPSEDVGQHTEIPRPIDSTPPSANTMANGAESVDVSRN UREG_02018 MSDGEETPVVAAEEVEVSATATGGGSMSVLDALKGVLKIALIHD GLARGLREAAKALDRRQAHMCVLNESCEEEAYKKLVIALCQEHKIPLIKVPDGKLLGE WVGLCVLDREGNPRKVVNCSCVVLRDWGEESQERSVLLNYFQTEQ UREG_02019 MTLSGTMEAYNIPDKTASNQSAHIITFLEGEIIDFNTHTLETKN FHASPEVDSCYWRELEPFKDQSHDEIVKNLVSKKWLSEKLAKGWILMRWKERCFVSPS HSRQGLTISGFYYISIRRDNGHIAGMYYDPGSSPYQQLTLDPIMKGKMVFPAYSFR UREG_02020 MTFETLQEPPKIPVWLDCDPVQHAKSKRMPGHDDAFAILLAAHH PQLDLLGITTVHGNASLENTTINAGSILESIGRSDIPVYPGSKKPFCRLPVHAPDIHG VTGIDGTDLLPTPKVPPVTNVNPILAMRNALLAQPSNTAWVVATGALTNVGLLFAVFP EVAEHIRGLSIMGGAIGEGFTNVPISKKDGETNRIGNTTLYSEFNIYTDPESAKSILM SPILGPKTTLITLDLTHQVLATRTIQSLILATESSNESGPSVLRQILHALLMFFGGTY DTVFGIDSGPPLHDPVAVAVLLSNLNGPQMAGRGDILQFNDNNGARYMVDVITDGSHG RDIELTKEVGRTVAQKTTNSLGGVTIPVSMDVDKFWVIVLDCLRRAEKCIGMLPGAN UREG_02021 MKEFVHAWYSKITSDHTFTDEVIQVIAHCTRALEQRLRHVDVQG LVLDEIPSLIEAHITAYRMAYQSATTSKSKSQLRTAYHALNPHPALSHVPEELVSAFP LEQQNEESIYRQMLAQGLLAILLPTEELENICLRTLVADILADLLLGEIVGERVAEGW CIWEIITKLAEELRPGTDENVKVEQTRKSQLENFGLLSSPTEADGLKTTENQSSLSIL LWALLQYAYLAYAMVRFVTLEVAQVASSSSLMPVRGSCVKWQLRKPLPLKPPPLSDDS AVRLPILKYRIFGMASQLVEVPRRMPWLGGSLALAQHVLLNGPGRIGETDGFIDR UREG_02022 MAKMANAMRLGTSRTMLRAHARLIEFILAPSDRWSDGGRRRELG VGGGGPVVRGEASSWIFPGMTPMSAAKVTSVEAGRAFDGKTVVRIARSRDGNSLSVKR YFWSAWERSLFRQRTFSQSDENSCQEIKRIENVNTAGMPR UREG_02023 MDTETQHPQDHDLGNPHWVEMGAFNSPHHPLGDFQGFTFGSSPI MPLEPAYSMSVPQSYPPHQHLAPLTIPAQWPGMLSTQPSYAPVPLAPMPMQTVTHLQN VHTTHVTSSPAPRRTLTDADRRRMCMYHEENPHVKQTEIGAMFGVERRCQIYPIQSFT VSKVLRQKEKYLYPEDGRRSPVKKTKGKFPDIERALSNWARNHLRQGGELSDSMIREK ALFFANSVGSPEGHQKLLSSSWLEKFKRKNYLSNSQSRKGSVDATIASESTGTANSGI HTPNDNSSVSPSGALSPSPISPKQRLHDLGKESIDCITTRTNEEFSQTFSPLASPLES NIPGTIFTSEHPFVPPVQAIPEHIPKRQRSQTLPILATEHNFIAHEATEPGLPQGLEP SAIEDHANALDENPRTRRNRSQPQIKTDPVHLLSKSNTISPISSPGSPTQDEARRALE LVMSYFQNQPSGLGAQESVTIGKLMEKLDLVQSQGSKLARIDEHVDFPRVTKKRSIHT L UREG_02024 MGKSKQQGTLKKQSPEGHGGLMPPMEDSLPRSGLKAARTGPSRF FLQSCLPTRDVVIQRKITILLLDAMRADLLVGQIHDP UREG_02025 MATTVDKIKEIESEMARTQKNKATSFHLAGFDVARTGVASVGFI GFPSVGKSTLMSKLTGQHSEAAAYEFTTLTTVPGQVIYNGAKIQILDLPGIIQGAKDG KGRGRQVIAVAKTCHLIFIVLDVNKPLTDKRVIEAELEGFGIRINKSPPNIVFKKKDK GGISITSTVPLTHIDHDEIKAVMGEYRISSADIAIRCDATIDDLIDILEAKSRSYIPV IYALNKIDSISIEELDLLYRIPNACPISAEHGWNVDELLEQMWEKLNLKRIYTKPKGK SPDYTAPVVLRSNARTVEDFCNAIHKSIVEQFKHAIVYGRSVKHQPQRVGLSHELEDE DIITIIKR UREG_02026 MPFLDNETVQATPVVNKLPSDPFHKLVRDLSDLLGPSSGIDSDD VDPMDIQRLMEGYTSNPNEWLHYALADASRAYTRNLVDEGNGKSNLLILVWSPGKGSP IHDHANAHCVMKILKGSLQETLYDWPDQERTETGEASPMKVTRQRVYGENQVTYMSDK LGLHKITNPDPNDFAISLHRAEALRRFLNAPPHRERSRKEFADEL UREG_02027 MRFQRVLVLLGLASLVAVTLFFLRTRYAPIIPVSQYPNKHNSPS PPVSHPSPAGADAHPIAQLLSKSKSSWDDVRARQSKTLEEAVREYQRRYSLPPPPNFD VWFKFAKARGVELIDEYDTIYESLLPFWGLQPKMIRDRAKEALGFDNSLIGVLIRNGA VSLVSGGGDSQEWKRKALEGMMKQFVQYLPNMDLAFNIHDEPRVVIPSGDLQQLVSHA LNVAIPQTANRSAHNAWTSPADLNGGDRIDEFKTTRFNRFAHQPTWTTSRSSCPMDTP ARDLNEVAPDKIDAYLFGELGFVYNTTAFSDICLSPSLRYTFGMFERPNAMSIVRDLF PIFAESKVSSFQDILYPSPWYWSGKVTYEQGPNWDIKKDQMYWRGSTTGGFSRAGGWR RQHRQLFVKNINAVDDVKVLEKGETNWITKTVKRSDFKQLFNVSFSHVGQCDPEDCEA QKSYFDVVKPSPQDEAWEFKYLADVDGNAFSGRFYALLRSRSLVYKLAVFREWHSEWL RPWVHYIPWSLKGDEHTESVRYFSMEEEGRQHAARVAQESSGWAKKALKNDALEAWFF RLLLEYGRVVDDNRDTIGFSLDSP UREG_02028 MAPFNWGSWGLFLAFGYGILTFFAYGIIAIYRGTFFRRPTEKEK LELFLGVAARDRFWDLSKSWAGLSHRFLTLRNGFKFHYVTSNGFENLAHQKSHKQLVI FLHGFPDSWAIWRHILSSSSIREASIVVAVDLPGYGGSDSLKKYGATEVLEALTEFII KIRAECGMDSPEDEAHSRKVIIVGHDWGGMLAFRLAAEAPQLADRYIIVNGPLVSLMR SNIHLLTESSAKLFKTFLREPWRSRSLLLKSIQTLKPVIRQFRRSAYIFTFQLPMPLV RYLGSGGNYSFLKEIHRLSVGQADKFTLRDAQESMASTLGPSAEECESSTENGEKYPR SVREREKSGNFGDIASYYRDGAAGGVWHKSLETISALFNIWPEEPRRTSSGTGMFDMT PGALKANATIIWGKSDLALDSHLALEGIADYLVHGSQLIVLPRTGHFPQIEAESRSAL EKAVEWAVHGEKGDVGSMVQSEYPDAKVVVRK UREG_02029 MDAREAIYCTLLMSDSYLPGAMVLARSLRDHGTQAKIVALITPE SLQAQTIEELKCVYDEVIPVSRVINVSPANLYLMDRPDLISTFTKIELWRQVQYKQIV YIDADVVALRAPDELLTLDTHFAAAPDIGWPDCFNSGVMVLRPSLQEYYSLLAFAQRG ISFDGADQGLLNMHFTTWQRLSFAYNCTPSGHYQYIPAFRHFQSTISLVHYIGQNKPW NLPRQTFPIEGPYNQLLARWWSVYDRHYRPVAPVAPVTQPVPAKLDHVSAKQERSLPA TTEYAGSPGQPVSIPSTSSLGPPVARDVSRSAVPSAIPLSEGAMGLAGLQSDYKAQAP AVDTHDVLLTNREITLSAVPQHVRGEEDVSIPRCSFPLASQPAAEDLGGGSGTIHQPT AHRFTTLSPVVEPPTQSQQPLLQATQGFATIKDSVSEPVDTKGKKPASYGTPQAKRAV SPPLMTWDAARAPPPVDSKPEAANFPTQTYTMSKSRNLFQPPKSYPEAPKDMYYELPP KAPIPGAATQIFPWETQAPEPSRVFFDEMEDTTEANYLGTTGHLKANERFSMDTELDA SQMSTNYWDTYVRSNAWDEVPEIEQYIRSVQKPRQGSVQVLSGGIPGGRKSSLRLTDF PTEIERPSLPVTPAPIRRQSYGHDEDQGDLLGAEGVPKQEEWNPVEQLERLHQHHCEF LDRALRDIPKEEAG UREG_02030 MSISRGPYRFLSLSAAQVQARRELLDLRGTIAQISALLLLLAAS LYWRAVSAHSPAAGGKKTGKNWFDSPPVKGWRETRRQYLLTLAWGVWLVGLSAWRTDD DYLHLTKSLAHTAMATIPIQVLFAPKLASRSNFVLRLLGVRQAIFTPYHRLYGRLVLF PLLTLHAILYITFFMRQSLLAKRSSDADVQWGMAGIILAWAIWSMSSGGKTLTKRQFY LGHVAMVMGLLVVAYFHVVHVRRLPESSTGFNGWVNGS UREG_02031 MEGQGENDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALGP ERTREELIPFLDESIEDEDEVLTALSEELGNFVEYVGGPEYAHVLLSPLENLAAIEEP LVREKLSPRQIEEHFIPLTVRLSKVDWFTSKISATGLYCAPYKNASPGLQQAMRQQFG QLVHDETPMVRRQAANNLAKFVKEMPAPVIIDEMIPLFQYLANDDQDSVRLLTVEILI SIAEEIPKEQQSSHGVLLTSLRGLFEDKSWRVRYMVADRFEKIAKAVDDQVVNRDLVP AFVKLLKDTEAEVRTAIAGQIPGFCSLLDRETLLNEIMTSIEDLVSDQSQHMLKDDFP DVRLHIISKLELVNNVIGIELLSQSLLPAISQLAEDKQWRVRLAIIEYIPLLASQLGA KFFDEILNNLCLSWLGDSVFSIREAATRNLKKLTEVFGVEWANESIIPKVVAMGQHPN YLYRMTTCFAISTLAPVINLKMIESSVLPVLNRLASDDIPNIRFNVAKSYAVLIDIIQ RLPEEGTISELEKSGQAGTPSPRSQVIIQQQIMNNLEKLKKDDDVDVRYFATTAAGNY GEAMQTSP UREG_02032 MPTISVDKAALFKALGQEYTTEEFDELCFEFGLELDEDVPDAAA YCALHLPDAKYLPRPNHFAQLPTGDSTKRRAPNTGRKGRMLRNIHFDKARYDSFIALQ DKLHQNLARQRTLVSIGTHDLDMLQGPFSYEALPPKEINFVPLNQTQSMNGEQLMDFY EKDKHLGKYLHIIRDSPVYPVIYDSKRVVCSLPPIINGDHSKITLNTKNVFMEITATD KTKVEVVNNMMMAMFSQYTSEPFTIEPIKIISDHNGQTRLAPNITPRSTQASISYINQ CCGLELSAQEICDLLKKMAYIARPSKSSTDLIDVEIPMTRADVLHEADIMEDVAIAYG FNELPRSFPSKSGTIAQPLPVNKLTDIIRTEAAMAGWSEVMPLILCSHDENFAWLNRK DDGNTAVKLANPKTVEFQVVRTSLLPGLLKTIRENKSHSVPIKIFEVSDVAFKDLSLE RKSRNERHFAAAWYGRTSGFEVVHGLLDRVMAMLKSAFIVGEEGLDNPEVSGSRYWIE ELEGHAASIHVCIGGKEHIIGTFGILHPTVLEKFELKYPVSTLEMNIEVFL UREG_02033 MAAVQGTISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKDFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKSGPQKSLPDSVTIIEPKE EQPVLQPMSQDYGAKAAAAQQAAEQQRLAEEGQEASAEGAPAPEE UREG_02034 MDNYKFATKVYLGIDNIHVMRDSLNRVVDALKDSDVTPLGPNRD QLARSGWLKHITGLLDGTALIARQVGLQHSHVLIHCSDGWDRTSQLSALSQLCLDPYY RTFEGFMVLVEKDWLSFGHMFRHRSGHLNSEKWFQIENDRSGGDVRSGFGDAGAAGKA IENAFLSAKGFFNRDNASRDSLADSDGEIVPLEVDVDSRRNIPRSKSPANDVEVTKVK ETSPVFHQFLDATYQLLYQHPTRFQI UREG_02035 MVIPRVEDSTEGLIKEPHGVLMTPIFRKATAILQERNVDFLLPE TALDVRFTRTLYYDLLEGREFSSFTDENSPLQSSLAKCVANIQYSQPISGHQPPMPSF CNLAIPKKLIKTPRYKRDNAPQYAEGETGTTEGEYIYPPLRSFTAASIARFNYKDLEL NFSNPRMGPVFAEQNVNVSLAIGRYDDELRPLAIHRDVHKPASAGKAGDNSMKALFQP LYSRACQLAFELGAGTPQVHTNDLR UREG_02036 MFQRSAGGAALCLRCRIRPRYHLRPLASIPSTSPRRFLPYTTRS ETDNAESHVTQDESPKPSSRSNGFQCRVEPLDIDTLGDRSHVLVLQDPKPKPEPLVEE QAGNQQLSTPSEILEEVDKELYKLVGSKEVSANFDHLRSLHQPGDRLLPIDWNKFRDV IQHGFTLPQLKQYYNNNCLDEVDSDTSEPRWRPGTSLYLELSRKVSGKAQRQRSIRIK RLNRIEGKPLFAERIMRDCWRLSLRNEVGQLDMRIPWAHISTFLVSRAEPFKTLAETY NVKIDVTPNLDLVRITGNESDSLQASAAIERLASTIHSKEIKIAKRQILLIKEKDKSL ERKYRMGS UREG_02037 MDPLGVVAFAASELTNIIDIINVPLGVGTAYVKWQLPSSASPEH AGETAKVQLQAHRATWGYEKGLTVRLMVDRNQMLQDCAIHFDIFQEFSPNNRSDRSLL GNIDLNLAEYVDEGDTEEGVVRRYLMQNSKINATVKIGIMMHQIEGESNFTAPPLKPA TVFSGIAGFMNATKGESEDARRVPSFHQRTAEYTELRDLYFRNLAASWASRAGELPPD QVIEDIFAGGDGWASGRPSLKRDDDEDETGSLSDAESRRTVRSKSPARSTRSGDSLGN HLRSRSKHSDFSFSSDVGDKEHKGKGKRRKNREVSEFEVREDLRSWEISWAK UREG_02038 MNQLPPSPPPEPRSEPPQPVPLTAVSRTTPIHELLPDIRIPASP LPPHRYDPVTCTPLDIIQLRSELQQLRKEYTTSVAALKAQTEAAKEPGNDDDMHHITQ FQNRFLKEIPELPLRICGAPLAPYMQSRLALGEGYGRITYDSLSIATRFLNEFAGTQY LIQPIIFEQPSIVEWY UREG_02039 MHKIPSFFRLAKPADGRREAAWDLVENGPNTRAPCSVMENYETE IYMQPTSQQGGSWKMITPEGFLYPLTNPAVQIRTRKRRHHNSEMNIGSPRDAVEDILP VLSGSMFPQPGGDY UREG_02040 MPTSLLPASAAAFAPRSSPNVVLHRVEAWLTATLKRVNRVKRPL NNVGQHTRCLTETLSSTNALWNLCSIMLPKAPESELPKDDNPLVEALFNYQLSHMEAY VVHVDMVSQNEVAFKLTPETIETLVDYHKDVFSADTAASTWDWAEKEIQLKKLHEEFV QAANRFVFRTGVHALEGMEEEGAGELIGGRSDDAKAAIMGLFVPLLPPPPRIVDVVQA TPLLPVPLSLKIGGIVRSTNPPAPVESWQVVPSSPSAASTCDSHQNLWASITMNDMHI PSPTPSLSPPLPSTEYTTAEYYSAPMTTAAMAPLLLPSMFLQQQCSTTAGMGGFGWND RIVDFALPYGTTM UREG_02041 MAVSTPGEWETAVVERQLEDLSLGDGIKFKSASKIEQDQYLFLR VLWPNRRPIEHFNPTALGLPTTATADLAKNKDWVSLIDHAAKGFYEIIGERYQENIVA GGKSNEGGDETEDGKDETTGFQPPPATSESPEEEEIRLANLIQHGADSDNEESWEATP APQGPWGSPLVREPDESGSYEEYEKIDDENIVNIALILLLQAVCLRAPDMGATTWTPQ RKAFDFKVPGNGKAKATDLFSACVDGHLSLGTSDDAPSLAIIEVQARDRKTADPRMQE SAQMAAWINSQPDNKTKSGKYQRLMISQDNRDCFLIIAEYDQDYVDYILQNEVGKKKI KKPFMSMQEYGPFQPGAPGHIKHLCYAILAFTQQLNKYAEKGHPCRWTLS UREG_02042 MSEQAWLLCGVCCCLCSVRVEFRDKLQKLLDDGGVDTETAQVLF RHYDIVECVDMLYLRIRNPEKARYTPEEAKAVNVQVTSWNEQKPIFFQQMSERDFESY DLISPFEVRSLFNRDSEIEYVSYVHSMLGSSILGSKHRQDQDDLLDHTGWHSERNTWR RKSILDTAHPRRGESAYGWLAAEMLGRKDVPHTIAYSLHECHDSDHILRSELMILLRI IQTKRRRPEWYPHDLTPVLLVSIRAFAFRIMEGYFDGSKLVINMSDAIPVKVGEEQTS QQKKVNGVLRWIGSKPVGDTLRFKAPFKDY UREG_02043 MVRTRFVCVSDTHGYSTADAGFRLPKGDVLIHAGDITNRGSAEE LDRSLKWISAADFEVKIVIAGNHDILLDPNYPENNGLPSTDRPRELPTMYFEGDMSSQ FVYLNHEAKEICLAKPNGPKSVFKVFGSPYSPLLEGWGFGYRPDQANSLWDDIPGDTD VLITHTPPEDHLDIRKGKSVGCEALRRRLGEVRPRLAVCGHVHESRGYHRVHWANESN EERDTVVGSLPGQQSRKQSTIDLCRGSRLDNDGWQRNETCIVNAAILATSWPHKGGKK FNSPIVVDLDLPQS UREG_02044 MSTFEPVVVIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKITRYNPTRGGPFHFRAPSRIFYKAVRGMIPHKTPRGAAAME RLKVFEGVPPPYDKKKRVVVPQALRILRLKPGRKYCTVGRLSHEVGWKYQDVVARLEE RRKAKSSAYYERKKATRKQLAQAQRSAAGQQAKEQLASFGY UREG_02045 MSGPASRLRSLTAQLLHTPPERQQNLNRHTLSPTFFLPRAAAIE PDAEAIYHVTANNKVLRRSYIETADRARGLAYYIKKHEYKRVGILCPNTPAFLESIYA IAAAGAVNVAVNYRLKPEDITYIFTHSEVDFIIVDEEYLHLLDGFREGRPGFPVIVDT DTDATEGELSGPFDAAILEGLKHDTEAGGHGWNGLETHAEDEESLIALAYTSGTTARP KGVEYIHRGCYLAAVANVIESGLNYHQGRCRYLWTLPMFHAMGWTFPWAITAVRGTHY CLRKIDYPQIWRLLKEEKITHFNAAPTVNTVLCSSKEAERLPSPVRVTVAASPPTPHL FEQMMGLNLHPVHVYGMTETYGPITKGYHMPEWDALPVKEKYERMARQGHGFVTSLPV RVIKTEVPEGTVIDVERNGKEIGEIVFTGNICARGYYKDPEATSKLFAGGVLHSGDLA VCHPDGSIKILDRAKDIIISGGENISSVALEAMLATHPDILEVGVVAVADSHWGERPK AFITVQPGRTLRGEDVIEWAKHTSGISRFMVPREVEVLSELPKTSTGKVKKNVLREWA KGADRNLVK UREG_02046 MFRSRGPLRHSVGAGSESSSSTSPERPVDDDTDFFIQANDSQSS VGVGTLRDFQVDAEQGATLPPIYRLPPELLIAIFAKLNSPTDMLNCMKVSQRWAAHCV AILWHRPSCNTWENLKRVAGAISTPESYFPYYELVKRLNLSSLSSKVNDGTIISFAQC KRIERLTLTNCSALTDAGVSDLVNGNGHLQALDVTELRNLTDHTLHIVARSCPRLQGL NITGCTKITDDSLVALAENCRQIKRLKLNGAIQVTDRAIQSFAINCPSMLEIDLHGCR LITNSAVTNLLSTLRYLRELRLAHCADITEQAFLDLPDGIIFDSLRILDLTACEHAIC KLGRNIHYVHLGHCSNITDNAMTQLVKSCSRIRYIDLACCNRLTDISVQQLATLPKLR RIGLVKCQAITDRGILALAKPRIPQHPLVSSLERVHLSYCVNLSTYGIHQLLNHCPRL THLSLTGVHAFLREELTAFCRDAPPEFTPQQREVFCVFSGGGVNRLRDFLNQAAIAYH RELEEGTMFDDAEEPDEEEGQVTGLMNATGLNDDQDRTLDDAATPIQG UREG_02047 MSCDSKPIDGRLKCSWPGLAPKVVPNTPSDKWLSFNISHSEHQN RKSDKATRHARFHITGKNTRACKIKFNHPISDYSIPGSALDERMPHTVPQGISEIRLW SRTWENAWAVDVQWNEEGMDELHGRVVCLWSDANELGAIPALDELRLYAPPWVAISKW QDGLVEASRGF UREG_02048 MASSTRRRFNPLSFTPGPVTVISSLVYIALLVPLIVVHHVVPSA PKSNPAGVNLSEAWADLQHLTGGFHPYNSHRNDEVHDWLLERIDEILAASRKAHDTDV TSSGAPEVLVFDDKTNLTFSGSGVGKKPTAGIYFEGTNIVVYVRGIEDDREHWWESPN GKPKCKGGVLVNAHYDSVSTGFGATDDGMGVVSVLQLIKYFTSPGHRPRKGLVLLLNN GEEDYLNGARAFSQHPLSKFTHTFLNLEGAGAGGRAALFRTSDTEVTRFYKSSQHPFG SVLAADGFKMGLIRSETDYVIFNGVLGLRGLDVAFIEPRARYHTDQDDVRHTSIDSLW HMLSSAIATTEGLVSYTGDDFDGEAPGEGKVNSGVGTYGVWFDLFGSSFAVFRLHTLF AISVTLLVLCPIILFATGIILSKMDKMYLFSLHKTIPETQESISIQGLRGLFRYPVIF IVSSGILVGLAYLLTKVNPFIVHSSSHIFIDTPVLFGTFCLAKENSICSRAQPVSQST G UREG_02049 MASPHIVDAMKTATATGQSRSPHLEYAMSQVPAGSPCRNVSSPI LTDDGGYQVHFAMWAD UREG_02050 MAPVTLSQVDEDLKDVIQNFFEIQSAIHGYLGPETQQELVKKLK SLTISLQTLSAHSAPDPSYVPPAPSRTALSSPDPPLQSIQLPPEIIDYVDAARNPDIY TREFVELVQKSNQDLKGKMEAFASFRDVLAKEMASAMPECKKEVEKVVKATGGKLEGP UREG_02051 MASAAAAPAGTFMPGTKVQVGSHRVVIEKYLSEGGFAHVYVVRL PQPVDDSETAVLKRVAVPDKAALANMRTEVETMKKLKGHRHIVTYIDSHASQLQGGGY EVFLLMEFCAGGGLIDFMNTRLQNRLTETEVLKIFTDVAEGVACMHYLKPPLLHRDLK VENVLISLNGSSCFYKLCDFGSAAPPRPPATSAAEGRLIEDDVQRHTTLQYRSPEMID VYRKHPIDEKSDIWALGVLLYKLCYYTTPFEEVGQMAILNARFKFPAYPQFSDRLKLL IASMLKEHPQNRPNIYQVLREACHMRGKEVPVRDIYSGRSQSEARLNQKLPTPAETPK IGAVFSPPMQETQIIPEIAPMRRGRPSKPTSAQHSAKPSPSPLRAAAAHDPFAALDGG AKSTHPDELSSRFPTLDQFTLIHEKSGKFDFEPAVAADQNAPTEDLSKRITNALADDA FVKPATVPPSRSPALAAQPAKPPRPLTEEKTTQANIRPSQQAPIYQPVPQKPAMVSTG TMTSPSPPLRPSDHTPLPSRPTNRLLEGSHIKRQSWDHGLNILNKSRELLGRRSSKNL SSEDLPRSPVSSRPSLEGSRPSALDINESLTTRSKSANAKSRPSSAYIGSKVEYLRDR DGSSSNSYDSGSPREPNDDSELLRPVRSETDRNISSDVGYLRAKEEEELSRKQEKRLS SGSKHIKRSSLSSLSLSGTKTLLAGRFGDAFRRFENNASQELKSPSLEDRNAELSPII GSEVADMSDDGRGFNEEDLSPETRRELERRRLSEEEKRVADAAAEYRQRVSEKGGLGG AAEGPRSSTIQNKVQSLFKDTSKPSVRTASGYVALPSPSWPPPGCTSKAKEFTKPR UREG_02052 MTGTLHDGLTRSTVRKIDRILLPFLSLLFLVNSLDRSNIGNAET ANFTRDAGLQKEDLNDAVAWFFVFFVALQPVGAAAGRKFGMSIWVPSVMTLWGICTLL HIWVSKRWQLILLRVVLGSLEAGFYPTAVSYLSLFYTRYEFGRRLGLFYGSYGVAGAL GGFIAFVVFSRFPSGRAPSTVQLESDDSWKPWQVLFAVEGSLTIVTALIGFLWLPKSG GTAWFLSREERKCAEARVNADREIASCHGSGMTRVTDSLSGQEGEQSRRLLEDDRTLR GNWHIRDSNNFTADAGISKSEILSVVLFLPMVLPILLLNIASAIPSTGFSIFLPIVLS SSKVASPLHANILTVPPFLLASVTLYIFMHWSDKSRKRFVPIFASLCVIMAGLALTLL FSPPSTSIGSVILYLCMCLLLSGCFIPSPLTVAWYAGNIPDPGKRAIVLGINGYGNLA GIFAAQIFAPKYQEDSFRTPFLMTFGTTLLSLIGFVGLRIMLRMINDARKQYLHRWSE GDLADEHDSGLGGKQHWGEGAPPLVVVGGGLWDKYVRLLVGVKLLGMDIDSALARRGD EKMTFTYGY UREG_02053 MDGPPPPPPPHGANPRTTADGAAEDGQYRKASDLPDGPYDIFII PPHSSGSGFLYLPSLQCHRNSFLAGVAATLLGVVLYVNVLPVLKNWFATVVQSGGMGV FMLVIGVGVICWTVGRTQTEGSSPKPRNRERPTSPNGGRGPRGPPPRPPPASGPPPSG GPNPSAGGYQNFGGQYPGAGFGGPPPNAGPQYPGGQYPGGQFPGGHQYYAGAQYPGAN AAPPPRPPPPRSSAPPPPPPPPPPPQPEPPRDNPKPQRAPSPAPPPPKPAPKPAPEPE AKPEPEPEPEPAPEPKPKPQPRAEPKPQPEVRPEPASKPESKPEPKPDHKPEPEPRPE QKPQPPPEPKPEPKQDTPAPPQPSNTEAKPAQDRQKSDWERAREEMRRKEEIRRKMEE FRKKRAEDERRKQEEQERIAREEQQQRDKEAREKNFREWKEKRAKERAEKEAAEKEAA EKAAKEKARQEAAARFAAAREAAAAKRAAEKAAAEKLAAEKEAQQAAKAAAAEKEAAA RAASRPQSVQTPKAEPKAPSTPRAPHASAKTTTDDDAYSFRPYDRPRPGVNKQSSASS VFSESTYASQTTARTTPPPSRRGPYSTKNPDKVVIHGVYSFNNAFMRTPIAQLVSGQG VVTDGLILRITTEGMFVDDDVRGVAQREWDIKAWTMKLVEVWCPLLGAIPPPPARPNG SKFSPFRLGTSHASKSPSSGDSDAYLAALLKACKNQCRLEASGDGGGARSSGHSVDAK LVEEQTAQAPRGLHVVRASLRDQEGKRYVFVVPETEAWKVAVGLQRLRKGSQARALGI CGLPLNETHSIISNLGY UREG_02054 MKFLSSFRLFLAVDFLALVCGLEMSPYGCSPEETNVLDAAYGAV NYALMRAIDRTERLIYILEDDYGPEDEILGFDRSTLMVFEAIFGPVYFGTDQPAERER GIAIVHHIQDTLRRYDRGFEAPSFVELRCSEDWVLAEAPEEMNIDLIEPEAWDDRPAH LGGQVIFETNANQRHRCEDSDLYAYKLWVPDSTYGGHDLLVFCPSTFARIWEDPSYSI SLRELRSRQLPGHTPLDDICDGNLACSMIHELTHSDVIMEGEPLYDEVGRDNRPAYGW VRITDLGWHYPEKAGSNADSYTFYILGGFALLSSS UREG_02055 MTLVPESDIDLGLFKAFTGLKKRKPSLKTFISVGGWDAGGRIFT TMAHRASYRKAFIKSAVKLMDKYGFDGIDIDWEYPVAWERGYLKGFDIQRLERYVDWF NFMSYDIHEIEAGLDLLWRNGVDPGVVSLGLGFYGRSFTLKDPGCNQPGCAFIRRGRA SGGAKAGECTGQSGVLSNYEINRILKSKSLTPASDQEAAVKWISWDSDQWVSYDDAET LRRKGEFANARCLGGTFAWALDLGGPGTLGRPETLNGSDLEGANPDGSDSGSGDVFIS PNIFTESEPNIACVAPCTFILPPIPLGSSTTISFPPYSTSLEVAWPTTITITLPGGAV STSTGLGRTILNTTLTIPPVTTTVIEVWNWILTDIEVTSTSHKITSSILPPPFVITDN QTVITVTRPVESTSGTTSTTDVVPPVTVITRPVTTRTITPPPFPWWSVNPVESPLPTL TYTQGPPSPLCEGDDCGKKCKKFCKEPCRYDCKDGDDFLDQNDRDRDRDVERRRCEGP DCSNGECAGIFCVTFGCIGFDCVDGICLGPLCKITTCTGSDCRKGRCIGPKCRTGSGC AGDDCSSNGRCIGPKCISFGCIGADCKPCSGSSCSGGWECTGPRCKIVTCKGSSCRNG LCSGSGCEPGNDGCDEAQTARRCTEMVSKVRPPKETTYSTTTSTICSTITACDAQPTT VTTTYSRDGIKTQRREVITYKKYKENPAQAACMKKALQIRRDNLSKLMFEPQNTPTTT RKRPEPTGPVEQKYSCKGSIRCKSAIKLGSDCQMAKAALVENTLYGNTPRCVSGGTCY AAPERSFMGCGVMVQGPEGKCELTGNQIAA UREG_02056 MVRTASPDVPDDGHGDNIPVSPGISESATSASETLYTPSVSESQ RSTQKDLDECDSASETDVLLVSPPPEYEDVVPGTGNATVDVKSDRGSFPQPGDGHRDA EAGGRRARRRGWCGKRRDRDRQRCQMTKWKLFVGIVKFCLLIGLYPRNRAEENDSPPR EIEIHKKSGAIWGEYPLYDLLALTTSSGSIAVTIIPQPADPRAPSKPARVFLRSRSGS ISVKFQLPAPGSRDADTHAHDKPPALHPRPYEVEIATHSGSIAASIAFSTSVKLSSTS GAIDAHLTPLVFPGAAFLDTHSDNISITTVTRSGSANVVLNDPLIYPRLAPSGRQTRA QHRGPGAIAVHEARGSGSLRVRYPPSWAGRVHAASIRANRVSLGGKGVRVVGRGSRAV DGVKEPDAELPRQKQWWGSRGDMHVDLRARTGAVMFRVGDD UREG_02057 MHRLARIYTALGRPAEALSVYARIQPPASAKDKAPAESMLHHVT QAEQTLKQVNGGGSMVLYSLDQAVRGLGAAMTQPRAWLLMRIEAYLKMGNVNALGDAQ NIAMSLLRENSQDPDALFLRGRLFYLQGDADQAIKHFKRVLSLDPDSTQAVKYLRMVQ KLLRIKDEGNTAFKARRYREAIAKYTAGLEVDPTNKDINSKILQNRAQARYNINEYDK AIEDCTKALELDPTYVKAKRVRAKTYGTKGDWEKAVNELKAIGESHPGEKGLQEELRN AEWELKKSQRKDYYKILGVEKTATEQEIKKAYRKLAIQHHPDKNRDGDQSDELFKEIG EAYEILSDPQKRASYDNGDDLMDPNDMFTQGFGMGGMGGMGGMGGMGGQNIHIDPNII FNMMNGGTGGFAHATSNPFEGRHHGGW UREG_02058 MDSVPRRRNPGFDAVANGQLISSKQMPQEDLWPSTLSPIRFLPP CWGRKTGACTDDDDAVDASAATADGLDPQRGALAPGRGASQDAERPRPVYQNVYSLPI ADGDAKDPTWSPQSYSVPPDALVPPTLQHPDDTDQEASVRKKPRLAEQRPQQQQQQLT LNTNVPPPFSFSPYSFSSSTPSLDFNFSAYSDAPSSCSSFTPQSTLYFASTPLSPVPS PRRHSDIARFGNRPRTTSSPRPRPQSSPYSLDVGRRRLSNGSAGSFASYAPPFAGRKP VNASAFTSPQIHGSHVFPPPLVPAGGHPAGSLFLHSAFTTPDPFGQRFMVPDPIASQR IPRTLRSDLDPDQTYFEDYSGFAEPPDLLGPLKDRPLSPPREDMVPADPTMVPHEQDL RFEHDLYTPKWVRGHGNKREGWCGICKPGRWLVLKNSAFWYDKSFTHGISAVTGQAFA APKEIRRTEGSANIWEGLCGNCGQWVGLVTSKKKGTTWFRHAYKCHNHYKPDESLKRP RDGDERPEAYHKSEAAGSKENSRSIIP UREG_02059 MASRQRRQRRGVSNPSPGRPAAGLSLFSPQSTLPPPPGFTNPSV LAGIKSGDNNAEGVGQLSSPHLHWSPSTHPHYVHGNKTMANLEIGVKEGLYYLRKLKA VLAKYPTVEANNWLEDIQKIEKKAKLNQRHVIGIVGETGAGKSSLINALLDEERIVPI NGMRASTATVVEISYNHDAHRYRAEVEFITADDWAKYLEVLFGDIEDGTSTAAAGKRG DDTYAIACAMIKAVYGIEPADIPNYSVDQLVRHPNVGDVLGQTIRFSHDNSLCFYHLL QKYVDSKDKRAQGAGDIEYWPLIRVVRVYVKAPMLETGAVIADLPGVKDANPARAEVA KRYLKECSCIWILAPIVRAVDNKTARDLLGESFKRQLRMDGTYDYITFICTKADDISF TEAAQTAELASQRDEIHLVKEQTAAMIASLREEKDAIAEEKDELDETIEAIDFEIDDL ARTIALVRTNTQTSGDRPNLQEGPVGSATHPYAQQVFQADEEEGEDDATKLQNANAMR KQLNGQKKALDQEIKDINAQIKAKEQSLRDLIAEFKCRCVVARNDQVKLTIKQDFAEG LRDMDLADIPETGGPAPIRDYSGLANSLPVFCVSARSYQRLFAPNGTRPLLQDYETET KTMLSKLEKTIQASIYNKFDVAASRAEAQVTDVLEGWNGGEIAWNTYRAICRRKGVYD GRAGSYVCRFLNCAANSDAVCANETGREQDWNGQLAKPMLVNLTVHWERTFSNEVPAV LGAFIDACRELTTKFSKDVEKHLVSISENEPLVRKLRSQLTSYQNSLGHMHASLRRLM KQEQKNVSRNIEPNIRESLEGAYTQAGKSGGHGVFQQMKGIMTNQVNSKKDTLFVDAT HDIRSLMRKAVQDTRVKAGKGLEDVHRCITRDYKAIVNRARTLEQSAVEKELEGIMEE LSMFKRAAPATAMKPMMNEAKKAAAKAMHGRRQSDLL UREG_02060 MSETTSPSATAATDFENAHRGFIGALDPCIIKASDGRTVWNNEE YKFLQEECPPTANRSLWRQGRLCSIQGLFEVTPGIYQVRGFDISNLTIVEGKDGIIVI DPLVSVECAAAALDLYRTHRGNRSVTGLIYSHPHMDHLGGARGVLPTMPDPSIPIIGP EGFMEELTSEHVYANDAMRRRAAYMFGSHLPKGPAGQIGCGLGMTVSSGTNSLIPPNL LIRKTGEEHTIDGVRIIFQMVPGSEAPAEINFFFPDHRALCIAECATHTMHNIITLRG AQVRDARAWSRYLDESLAIFGPGCDVLFASHHWPTWGQENIANALVEQRDLYAYLHDQ TLRMANAGKTGIEIAEELALPPTLQEAWHVRGYYGSVSHNVKGIYQRYMTWFDGNPAH LWQHPPAEEGKRYVECMGGTQSVIAKAQEYAAEGDLRFAATILDHAVAADRTHREAKL ALAGVYETLGFGAENGTWRNFYLTEAQNLRQEEGNKAAPMAGFGLPAAVEELLGVLSI RLDGLKAANERFAIEIHIPRNKERWRVNLSNGALTYRCLSEESKFNAPSGLTLTRTRA CVSLPKARDSALWELPLLFSPDIVALSCADCAFILPSLSFVLEFDVTWSNKWNMLGRE CPQLEERENAGSEKGISQRRAIAVASKGLSILVPGGPGDMVLGLRKSRCRFIHEPESG ALGPHPPTASFSIFWSRYEAGNFLAALRSLPNDTEQSSRIRQRSTWDWPGRWPPSCLG AASSPVTPRPAVCVLFQRTRWQTVSNTGRAERANSCAPLSHGCPSPNRAVPFDSALAC VIVTGGQNTPPGRGPDIEHLELFWLKKQLSQRAKMNHTRQPRIQAWEGGGYSTPRSVT QHLVPHDPSRVIFDSAKFDGSSSLDHDVNDAESRSTRRRGFWARLKRSKSDGARRDEG VSTVPNKAAPRPARTGGTLVWDAEQEIWLFARNDGTLSNSPWNERRLSVPMSTSSSES AMTYAEEDLLFAQLPGHYPLSNIYNCINHDQALPEYDPIRHADDVGRRTSSDGQWMLV ARRIGQSTSTD UREG_02061 MAATSQEKDAESVQHMETSIPQKLEAQEEDPNYDPVYSYKQQRR IIRKIDLRLLPALGLMFGISIVDRVNIGNAMIAGMREDLQLNVGTRYSVALLVFFGSY VLCMWPSAMIFPKLGPRVYLSGITISWGIVTIVSLLPVTHETLLLTSLQVIRIHEMYK RYSVFYLIHNLVGAFAGLLAFGFMQMGGLQGHAPWRWIFIMEGLLTCLIGVFGHIALV GHRKDAHKAWKFLSPSEIDFVNRHISKDAGAQQDEPFSFGAFFKTALDPIVWAYSLMF CCTTTVSYAIAFFLPIILRDYLKFSLGAAQALVTPPYILASITMYVQGWLSDKYRLRS PIVVVNCLAAILGFCLLAWVPSPGVQYLGTFFILSGSQSTMATLMAWQTNNVRGQWKR SFCSASLVSFGGIGGVMGALVFRSQDAPEYYPGIYTALVCQGVNLVIVTVLVLYFKRA NRQADANGRIIEGLASFRYTL UREG_02062 MNSGLSGGISVATASGLVHSVCPLASESGAGRAATPSEAPERWA LGDCPGSIPAPTGTGIPQEPAPTEYSTRIITVTDCPPEVTDCPSHSTRTETTIDIIPT RSDDSPAIPTENPPYPTNGLPSTSVITYTTCVPTVTTSVVTLWPSQPPSGPTGGNPVP TGGIPTGGLPSGVPSGTGVPPQPSTTGPPPFEGAASTFGGSIVAAGLAAMVAVFFA UREG_02063 MTATERPPIQHIDEASFKDVASRVAYLKAFLKFTDEDGAALNAA KPVIAPAIPAVLDTIYTNLISFDVTAKAFVPRQPEQDEHDPAAATVEDLTLTHPNILH RKDFLKLYLVKLVSNTDWSDNSKFWDYLDKVGLMHTGEPGFKHRSKRPQLRVELMHCS ALLGFVEDIVLNAVMGAQDVDLPTKTKIIRAFNKLLWIQNDLFLKQYTPEPWSEKKET NGH UREG_02064 MDAIFDWYQFHATGHEISTEQPSWKRPASPQTSPNTEKRKQLNI EQAWPRDAHLAVKYGQATLPDALSSQCLRDPGLRKREPSTREAPKATARGRSGRVLMV ASPLRFLPPSSVGHPSTSIVKKLPHCRSLECLLAAKDSRIEFLTERCHVSKPDLIVID RFTPLNSVSRFGCIRDLVFKLGGFNPCLHLANIVQTPQQGICQIPKRSVPALASRPLF CQTQCHSFADCEPRGLHNSQSAQTTRSNCGVLVGSSCEEYQLLWKPEWSIFLENRKLP ACSGLGLDHCPLSTIVSGELSLLDGASSRKLWGLQRYYIGGSSRIRYSSSSSLQAPAA TRRLILGLRAGTGGHQAMRSRQVPRAPGEFGTRPMSQRTLPSCQHFPSRSATNAQHER RPSR UREG_02065 MSSPAPLLKIPTPGNRKPPKLTVGIPPSPNARPVNQVGTASYDD PHAQQFPARQLPPELRIATPMGSRGTIPQENTSYYNGRPMQQQPQPYNASSHDLSAHS RSGSFTMHDGKTSGPASATSSSFSTLSFAMGMRDPLGATRDPSSAISSVYSDREGGMP MERENSMNGILPDLDKLSHELGRPLDVEDLDDEKWTAASEQGKIIELDSLGEGAGGAV TRCILIGGKTVFALKVITTDPNPDVKKQIFRELNFNKGCASEHICRYYGAFMDRSSST ISIAMEFCEGGSLDSVYKEVKKLGGRTGEKVLGKVAEGVLNGLTYLHGRKIIHRDIKP SNILLCRTGQVKLCDFGVSGEFGTKGDANTFIGTSYYMAPERITGKSYTITSDVWSLG VTLLEVAQHRFPFPADGTVMQPRAGLIDLLTYIVRQPIPKLKDEPGNGIYWSDNFKYF IECCDAKISANSNHRSLEKEPPRRATPWRMLEHPWMLEMKNKKVNMAHFLKQVWDWND UREG_02066 MTALSGLSDAHLVLLAVELCTHGDISQLPDLLRHFRNPPSLDVV LRIILTFLPESVEPDRYTTVINGLYLESSAAATELDIDTSTIAELSPAEARKQVRKLR LLPLRYPGLSNDPTITPLTHFLIHRAYRIDNEFGVQTFVLALIKPFVHTSDYLRNWVI ATILPLIRFNYEYHPDMEGALSLELLESLNSKSAVNTLLSRADRRENGGNVDRDIRGL IGPWIYGQGKSKRRKLNGDTVAFRAPDKGCCAGWQDVNEWLLSTAVRDFELAVEAVIR WAGPRDVDLGGYEDTEMAVSTDTEQKLAFAYGQAAIAAVYTVSECNKNTFEGSCRILA RIAALLGIEGHSTLLVHGDELLPIAPNTICSNACRRNLLHNLLLDTPNCLTYPSRESI TFLDGVLVSIRTLNDFGHFITPRHVAEISLFGDENAQLYELHEVLGILKGNSKQSRNW EYEAAISTYVTPSSPLSSEEVENAVTNAIFMAYDNASNGNRTRGGIKHATEILESFIP HFPSSIAFQQIQSLISATHALSFYSLTLQHGVPFQPVSIRVHQDPLSLIERVLEQNAK AYTELDNLLRIGRDLISAGLPNGLQEDDLPNTDISALSFEQIQLVSAHRVTSLAISCA LTANDFDTAYSYITTRLAHNTQFLGSVDSGPIVEDSLSWRAAYNAGRHRPTTSGAENA SLQSRISRLSQQMELLSLALVLSPSPDNLPEILAVWRRSDEEMNTLQTKEQEEAEAWD AQGDQTSMSSAPGAFGPSDAELDAIDTQRERARRLRTSRNLQRRHRRDYEEAPMGLFD VARGAARAISKNAFPLASASTPATSSLPRPASATSSRPRQSIEVPGDEWGWHDGSNTP SEAGDGSRVRKRDVVSNMVTGGLVSGLGWVLGAQPVNQNTK UREG_02067 MGSRLDRLVTLLETGSTPVIRNTAAQQLADVQKQHPEELFNLLG RIVPYLRSRSWETRTAAAKAIGGIVAHAPKFDPNADDSLPPKDEEIERTKLKKERDNA GGCLDLESLDLVSIIKHGQKLLGSAGRDYELSLAGLDATARLEQQKKTLGWRLGLEGE YAEDDSANDYDVNDSGFSKSRGAGCAPPLDTSVSSLVRQHSLTALSPQPVTSPNDLQT PMNGEEHGLSKRQLNQLKRKHKQSARMGGHKIRVVDLSSRKNSDIPGTPASTTPHPIK KENGEENEDEKIDYFSLKREGPDDDSKVVTEFKGAVVPEKSFIQTDSEEQNLEWPYER VCDFLMVDLFDHSWEIRHGAAMGLREIIRVQGAGAGRLWGRSRAENDELNHRWLNDLA CRLLCVFMLDRFGDYISDNVVAPIRETVGQTLGALLSHLPSQSVVLVYQILHRIVMQK DLDTLKPIWEVCHGGMIGLKYLVAVRNDILFEDPTVLDGVIEAVMKGLVITTMTFVQL APLHDLSASTGSVMDVLVKLCTFPPVLEAMKTNAAHDPESSFGNLVPRLFPFLRHTIS SVRSAVLRALLTFLKLEEEGQDSWVDGKALRLIFQNLLVERHESVLKLSLQVWTQLLR VLNARQAFGTDQLVAPIQPLITATMNPFGVPRYPIPMDLCLFIRPSGSPFTFAPTVLR KQSVPAEPAPRGRRRKTEKKESHVVMTHNVDGHMLQGDIDLVGMDTMIRSKIYAAIAL GEFLSTWDSANGPSIWDRVLPALNCPGSTSQLVAAMVIEEYTKRQGPSSRYASLLSQW LNPSIETERPSWYSDIACYLHIARAQCHSLLNTFREHAHVSQSRLPTLAVVVQGDPEA GPNAFSIHDAEKVVGPDFDRLKKDLSPTQRVTALQVLNDSRASAQVAVDEAKEVKEQR DMRVRAAIAGSLVALQDIPKKPSHIIKAMMDSVKKEENVELQQRSASAIASLVEYYTT ATKRGPVDKVIGNLVKFCCVDTSETPEFHHNAHLEKAILSLRKEEDRKDPIDAAKFEK ESREARIMRRGAKEALEQLATKFGAQLLEKVPNLAFLIRNALKQALMGELPNDIKDPT NELGQETVDGLSTLRALAPKFHPGIYPWVIELMPLVVKALQCELSVIRYAAAKCFATL CSVMSVEGMTMLVEKVLPSINNALDVNCRQGAIECIYHLIHVMEEKILPYVVFLIVPV LGRMSDSDNDVRLLATTAFATLVKLVPLEAGIPDPPGLSDELLKGRDRERKFMAQMLD VRKVEPFEIPVGIKAELRSYQQEGVNWLAFLNRYNLHGILCDDMGLGKTLQTLCIVAS DHHLRAEEFARTQAPEVRRLPSLIICPPSVSGHWQQEIQQYAPFLSCVSYMGPPAERS KHRSRLSEVDIVITSYDICRNDNDILLPMSWNYCVLDEGHLIKNPRAKITQAVKRIQS NHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKVFLDRFAKPIAASRFSKSSSKEQE AGALAIEALHKQVLPFLLRRLKEEVLNDLPPKILQNYYCDPSELQRKLFEDFTKKEQK ELAKKIGSTEKEAKEHIFQALQYMRRLCNSPALVVKEGHRQYPEVQKYLSDKRSNIRD ISHAPKLTALRDLLIDCGIGVDPSTEGELTTAASYVSPHRALIFCQMKEMLDIVQNDV LKKLLPSVQYLRLDGSVEATKRQNIVNQFNTDPSYDVLLLTTSVGGLGLNLTGADTVI FVEHDWNPQKDIQAMDRAHRIGQKKVVNVYRLITRGTLEEKILNLQRFKIDVASTVVN QQNAGLSTMDTDQLLDLFNLGETADTAEKPGQDSGLTGNEVDMVDIDGEVKEKGKRGW LDDLGELWDDRQYEEEYNLDSFLASMKG UREG_02068 MSSRLVDIIKSAAKPLPPLTDESFGSYFDHLKNYKVVLLGDGSH GTSEFYHARAEITKRLIEHHGFDTVALEADWPDAEELDRYVRQRPGLKGKLEQGKDEP FQRFPTWMWRNKEMQDVVHWMRDHNAKLPPQKRAGIYGLDLYSMGRSIEGIIKYLDGV DPKMAKLARQRYGCLQPWVEDPTSYGFANFTTTGMKTCEDKVIGMLRDLLQKRLEYSA HRHDGEEFHSMEQNAYLVADAESYYRAMYSSRADSWSLRDTHMFETLNRLLKYKPSSK AIVWAHNSHIGDARYTSMGRHRDELNIGQLCRENLGRENVALIGCGTHTGTVTAAHEW DDDAEIMKVRPSRSDSWEYLAHQTGIPSFYLELRQGLSDPETRQEILKASPRLERFIG VIYRPATERMSHYSAADLADQLDGYIWFDESQGVRPLEMTQPRTPLGVDETYPFGLPP LTPASLYRCCINMPVTRRSARLRGQTPTLEPSQVDKSHSRPAAKLPSLVEHDDEPTAP SVKSGNNTRPTTPIQKPLSTPSKAKSSSRASVKTPCTNGPVKPSHGEMHPSKVHQSTS KQLDSGLILGFQPIQKDPNAPSNPLLTLSNTPSKGRLSHPDQLEALSYDFKFSCEDSG LSVEARDIMKSIREDAAKIKAEMKIKGPESKDKEEKPKQMGERKIAKVKSKIGRFSEI HMNQFRKMDSIANHPSAFRARPDRVQPPVSKGLKRTISKARLDEPERPSPAKTNVRVP ATTSTNLPAKRQKNNGNEDISTRRPLFKNDQATNRPGTSTNSSLPENIGTPYKPKITH STSVQHSKTTNIPSLLPRSPSKSNLAPRTPQTDLKTKTNNTTPGLGTLKSILRRRQPL FSNDPLKVAAGTHQAFPDGDLSTKLLFATDPTNPSPTIPSAKKRVDFSDSTNTRDANE PLDGPRTPDLGPLEDAESGDIVYPTLPPLSTPRNAEQLAFFYEPSPVSTRKSSVTTNT RRQLAFSTPGAIPHGITNKKRQREEHGAEEPDHAAKFTTDQRSAKRVKVLPMPGSKTQ TPSPVKQRPIQTATPRSAIRATAGSAPTLNLRLRLLAASPL UREG_02069 MSLQHRHVLHRCFVLAQSDATLRLLVGVSQTVQTSERQPIISTS EIPLMTSPTSQRPLYTYTVTPVAPAPTLMARKPGISLIRSFASMSSMMSRIHRFESSM ESVYGNFSNIADPSTWVPPPKSGGHRGRYLWTDAFGVLNFLTLHKENQSSDGKYLMFA KRLVLTVHDVLGRTRDGQSRLPGATDEEPLGGGLRIGKESETGSDGDGQYHHYLTVWM FALNRLAMAAGEPAFNRQAVSLAKAIHPHFFLNRTSSHPRMVWKVAMDLSRPLVASEG NLDPIDGYVVFRLLQSSALNYGGGPVLEEEIDDYKRVMARKGKHYVSSDTLDLGMTLW TAHWLSGREDWATDLGNRCILQIRDLFDREGYLDTPVRFRLAFREFGTCLGIGCMFDP GSKSEVALDLKSRSEEILSQWEKYTSSALTPEDLKPITRVMNSTALIPGAFKAGFFGR EPIRDLEEGIPIMHLHEGAVLGRMYIAYTVANAISSPEAWGKAVTVSSDTDEVVESTD AVNTAYLFEKRMEPDPCHE UREG_02070 MPCNATKNYGQISLISRKCIACRKKSTLTVVPRNGFDLQDDPNS TLESCSPENRPWPGPKCDRCENYGYECSENMMARRSSQKDIDPARAAGRLLESMPHGK FYYQPTVAQALAMQQRPQAPITLSKQTYSPSPWVGLFEYLRNIDWLNTKCFRIGSDPF TAMFRSPSCLVPDISDTPLGRRQMYLEIFSQHQRLMQNASLSTDSIPGLRWAYSFEEL SGICASHIRYGSYWQVLRTSLQTDEILLIDPNYSFDTEFPQNHIRQCKTKSGFPQDLG LRQFCQKLSGLSQMISDLARTDPNSEERAFLAVKIPDRLEEVLGPRLSLYSGLGRVCA SSIFPMDILASSPMATTDADVYSQSDSAGADSDDGCPLGDRAYQAILGSDYFSENAIW GP UREG_02071 MVTTRQHPQEFSPPVTSTFASKQAISTREHSPAPRGHWAHIPSK LVILWLLGSIPFVIWDTGYVLLRPYSMPGGALHAIWSPYALYGTVDYIYGWPAYHARN GFTSAQSSLNVLETICYLFYLWTVWAHGKAIGPRGKPKAPERGIRLLLFDRKYVDGRT GAFSLLVVFSASVMTLSKTILYGLNEAYSGFKSVGHNSLAALIFLWIIPNGFWIVFPS LATYFLGKEIVDALETASGVPGNTAKNAMRAKAS UREG_02072 MTKARIWKQSLPSRIWCKLIEFHSPGRPRQSRTIRWRCQPGIFL RLQQTTAHFQAIPRLLRNLQLNRNASVYGLGLNKYHAEKHVILQICLPMPDASLGQRD EVADSLLEELAVLARPVQRAIEALGLEVPHRMTSRKAPPPIAINWAKVWSLGC UREG_02073 MSNSNGLKSLWSNTDQWQQIEESTDQVLAGANGTTISHSTMREL ARECTCDIAHEAEGFMWALFYFPVGFKKNANVTGQGPERRTFMRLRFWAFLMWVSTFA HFCISFASSADDGGNITNRFSFFCGVLPSPH UREG_02074 MVALSLRAIGACLLSLPLIANGAPASTISGAFEVVEHLNNVPET WQQGAAPSPNTVLRLRLAMQHDKLEEFEQRVMDISTPGHETYGQHMSRDEVKAFLQPP AHASDAVLAWLREGGVSTKSVQLDSDWVHFNIPVEQAEKLLNTKFHYYRNTVNQAQIL RTLEYSVPKSVAPYVHMIQPTTKFSEPRPQFNGVFEEHNLPAFRAAEVDCNKTITPDC LRDLYKMGDFLAGPDPRNKLGISGYLEQFARKGDFAKFLDLYAPKLKGTEFDVVSIKG GRNDQNSTLNSVEASLDVDYAIGLSNAKSVYYTTAGRGPLIPDLDQPDADDSSNEPYL DQLHYLLSLPDRELPSVLSTSYGENEQSVPKKYTDSACNLFARLGARGVSVIFSSGDT GVASACQTNDGKNTTRFLPIFPAACPFVTSVGATTNINPERAIYFSSGGFSDRYRRPF YQEHAVSHYLHRELGDRWKGLYNPRGRGFPDVAAQGYKFAVVDHDKILGVSGTSASAP AFAAIVANLNAVRLAHGKRVLGFLNPFLYSVGRVGFTDIVHGGSTGCTGKDMYSGLPT PIVPFASWNATRGWDPVTGLGTPNFQVLKKLVTIV UREG_02075 MWLGWLSVAVVLAARATATEQPSWAYSPPYYPSPWASGQGEWED AYDKARTFVSQLTLTEKVNLTTGVGWMEEACVGQVGAIPRMGFRSLCMQDGPLGIRFA DYVTAFPAGINVGATWSKDLAYLRGRAMGAEQRDKGVDVQLGPAIGPLGRSPDGGRNW EGFGPDPVLSGNLVSQTIKGIQDVGVIACVKHFIANEQERFRQAPEARGYGFNISESS SSNVDDVTMHELYLWPFADAVRAGVGAVMCSYNQINNSYGCSNSYTQNKLLKGELGFQ GFIMSDWQAHHSGVGDALAGLDMSMPGDTLFLTGRSYWGPNLTIAVTNGTIPQWRVDD MAVRIMAAYYKVHRDRSQVPINFNSWTRNEFGYLHAGVQEGYGRVNEKINVRGRHAVV ARKVASASTVLLKNNGVLPLNGKEDFTAIVGEDAGPNLWGPNSCPDRGCANGTLAMGW GSGTADFPYLVTPAQAIESEIALKGVGNVMSVFDNYATSQIKSVVSQSTVSLVFVNAG SGEGYISVDGNEGDRKNLTLWKNGDELVETVASLCNNTIVVMHTAGPVLVDKWYDHPN VTAILWAGLPGQESGNALADVIYGRVNPGAKSPFTWGKTAEDYGVSILKAPNAGTKAP QVDFKEGIFIDYRRFDKMNTEPIYEFGFGLSYTTFAFSDIRIESLQAEPYAPTEGYTT PAPNFGNSSDELQFPSGFSQVRLYIYPWLNSTNLKEASMDPEYGLPTEEYVPPGATDG RSQPLLAAGGGPGGNPGLYEELFKVSVTITNTGKKTGDEVPQLYVSLGGPNDAKVVLR GFDRITLRPGQSTVWRTSLTRRDISNWDPVAQNWVVTAHPKTVYVGNSSRNLLLSAPL TSSSARL UREG_02076 MTSTRPEPRHADIQHLDGAPDERISLSPGSARDQPQQSHSIDES AALEQNAAAASYADDGAAELRGDEPAGMQDGGDKDDVSRPWSELKTKAGKERKRLPLA CIVCRRKKIRCSGEKPACKHCFRSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEERV IKIIPKDVARDMATIGRAAVKPPTAGQMARVPKAMNKKRSADEAFRAELNGWIREGNP SGLRDGPAGSWQPRVVDENKLLIEGAEFLPSMDIQEHLAEVFFDCVYGQSYLLLHKPS FIRRLKAKAVPPVLTLAICAVAARFSTHPLISTEPAFLRGENWADAAATIALSRHDQP NITILTVFLILGLHEFGACHGGRSWSFGGQALRMAYALQLHQELDHDPLGNNAGHSQL SFTDREIRRRTMWACVLMDRYNSSGSQRPPIGNEKYLQIQLPIKESHFQMEIPGPTEN LDGSVPNPVPEGIGQLFNPKENMGVSAYIIRGVMLWGRIVDYLNLGGKLKDPHPLWSP ESGYAGLKRQIEEFSASLPNSLGFTLENLRNHGAEKIGNQFLFLHIIIHQNILFLNRF AIPLSPGGRPPKDIPKPFLSDAGRAAVEAAGHISTLISQASGYMLTVPFAGYCAYAAS TVHIWGIFSKNEQLEKTSKENLRHTYRYLNRMKQYWGMFHYMVESAKDRYRQFADAAI KGPTIKFFASLSPQSQVDQPRKEMKRRGTSAAEKSRRTTAGKDSRARFETTGTPAQAQ LSPQRDAQPPALDTNPQPPLPHDASQFPSSVVFTPTEPPDFNHSTFKYPLSSDLPHLD RQLVYGAYSGIAPNHTTGPFSSMTTASGHHNSTLRSTSTVAGLDQTEDNGNSFWNMPL DIPGDGTGWPTASVQPSAWFLPFNLEPIGAGEFDISEATAAVSGPQQNSRPGGMSVNN PSYFRDPGVFHGYGLNLGTGDPRDPGGGPAGSGGGPR UREG_02077 MDGKTFSIGQQASDLIFRGRKLVLNYTDGSPCPTTPDQNHHKRK LLSREAREHDQDDDDDKDHEDDDDEGKDKKPDTKNLRRKSTLISFLCDRDLVNPAAAV SFVGSPDSCTYVFEVRSAAACGGVAASTDGGVGPAGVFGIIVGIAIAAYMIGGCAYQR TVMHQRGWRQCPNFAMWNNMLGFIKGIIQLRLSWQPVYT UREG_02078 MVGNDEEMVSWPPFKFKDNVKIWMYCTCAPCGDASMELCMAAQE DPTPWQVPAADATSAIENNLVVKLLDGRAHFSVLGAVRRKPSRADAQPTLSKSCSDKL AARQVTSVLSFPASLLIAPSPNAYLANVVLPANEISQTALKRAFGSGEQGRLHRLNGR KWQDEVHSMHSDSGYAFTPFDIRALPMDLLNNLWKFSKIKDASSKHRKISNFSAVWTA TPTLGTNPAFTIFGQDAGLPYGQGIEKTVKAYPTNVSEIILNGVRQGYHISSSDVRKA SVLSRARMWDLLCEVVQLLPDAKKDTCTSSEDESSKNARGDDANGGHQFLKLRECVFH SPTYSMLKQNVAAAKLLHLRNKASADVRQVLGNWIENRGDGHWGLRAPRSF UREG_02079 MADKLRTLQNLEALQARYVGTGHADTTKYEWNSNIIRDSYASYV GHPPLLQYMAIGMGEPKEKVKAMMIEKMVRGAGNPPETNAKSPPAHQPPIVTSIR UREG_02080 MAALHAALRSLEPVSIDDIPTENADLYTYLRDLLVQARLIVESV PEPPSLAPTGSTTADNPSNQSSRAASQNGSKISASRSTPALDSGDIHALQKEWGRPFN KVDNVKENPMRIPVYKLRSKDGKGAWFARRSVHAGTLSYSRFKDKLQNELAETMKLRE ETGEGVSIRGVGSERRLEQLAIPLHSARADTDSGHGDELAVVEVHHLSAHFPGPSTSR DFVTLLVSSDKTMDVSSTAEDGKTAETPDLPRNFVIISKPCKHPDAPIRKGFIRGQYE SVEMIRELPASAVGNSIGGSENEENANPVEWIMITRSDPGGNIPRWMVEKGTVPSIIA DAKKFIDWATRDEQEINEGEEGSSAPQSRHDSGNSEEKPQPLESVGRNEVEKLVPEPA TIETRRDSGYKDSRDETNAGQFNRAGLAAGIMETVSSTLESVAPKGLFDYLDLPTNPK QSADEISENGNENETEDRSIDAAREGAAAQRDSPVSSIASFTSADSCPRSVQQEVGEQ SQLYDENNSLKSAGFRNDQGLEVPGVKGASSASSSVRSLKQDLLPSSFCDKDLAKLAI RKAKVVNELEINNEQRNKLMNQLSHPLASDVSASDADQETPPPASAAKKATASHKKDL AALKRKEAKLTSRLQKIESQQQKVQAKAESKRRKESEKAEMGKLKAELESLKEEKEQM RVEIDGLRQERSHWLSIVGRLQQENTKLATQLPQDRSQ UREG_02081 MSDSISDNYEGNRGQSQSWVQGKPGGCVGIRGKGRWVVVVVDVI LLGLGVEESGKASLAEMSKSRDDQRFWMRTEYDGQPNESSDFVADIAGSYLMQPWKEG GEGEREGKTAGATRTDAGQRDRTQVTEREASNRHDKGPTADGPTGSCLVALFGRRAAG VVGWWLGADDQQLSEYRYSGRPLQRQQMQGTDTRPPEPTVAPTRTLVAPRSPMSKIK UREG_02082 MAASLPPDPYAALGVAKDAGTAEIRSAYRKLVLKCHPDKIKDES LRGKAQDEFQKVQEAYELLSDEVKRARYDQKARLVELRKEAMEKGGPVPSFGHRTTGS YDIRNGKVYEERVPQFFEDDPAYAEELWASSKKYEGPEKRSTTKDAEEKKKKSKHPES KREPPKERTRDGQSRERRREFSDKYSRTADYDSDSDASCSYRYDFPEPKVKRESHSKS PGPDTSPRGYPDPWESSKHDYLQDTARQYIERSRNNLAPEPERRPSASSWPFQMHHEP VHVDTPRRSSARPRPRERRPSIEIIDPSSLRSHAARKIPNLTSATSAPPTIKIPSAIR ISPAPPTRSSTYHAREKRDHPPIRRADTLPSIIPRQADPIMPKSSKLKDLYDSGYSSS SPATPKIFQSSPPKSSKYMVVEENDDYTRHRAVLIDPTVPTHRRSHSTSPALRDPIPI IRPPPKQSSRSKSRDFHSRRESGRGIPTLRTSRDGLLSELSDDYYPRIPEEPVRYAPP RIRQEDVSWGHSRDPFPRSHKPDARPSIIRKESSCAY UREG_02083 MAVIQISIISDVICPWRAIEIFQKTYPGGSRDTFEINWKPYFID QEAPTESELIQDRMLRRMQDPKTVAAAQTRLARAGREVGLHLKFGGAVGSSRLAHQVL QVVGAEKGSAMQCRVAESFFHCQFERELDTSGVGVVLEACAMAGLDGAEVRGWLEAGM GKEEVEREEREERRAGVQGVPRFVVGEREVDGAVDFAELFEAFVVAREGVESAHG UREG_02084 MDLLLEVTLNIEEENANAISRLSDEEAQEQLEAALILFFAAGPV KPRESIAVNAANCLAHCKGRDIPIEARSDTISLSSSSSATVSGRGTSVGTV UREG_02085 MSGERPVRPPPTSIPLIVAKEEQCSQIALSLHARRADAFRPDRV LEASRLAALCGQTDLNHSNQYVVGLALCTLGNIASVEMSRDLFPEIESLISTANPYIR RKAALCAMRICRKVPDLQEHFIEKAKVLLSDRNHGVLLCALTLAIDFCEQDEAEGGQE IIDQFRPLAPGLVRTLKGLTTSGYTPEHDVYGITDPFLQIKILRLLRVLGRGDAAISE LINDILAQVATNTDSSKNVGNSILYEAVLTILDIEADSGLRVLGVNILGKFLANKDNN IRYVALNTLIKVVAVEPNAVQRHRNTILECLRDADISIRRRALDLSFTLINEGNVRVL VRELLAFLEVADTEFKPVMTTQIGIAADRFAPNKRWHVDTMLRVLKLAGNYVKEQILS SFVRLIATAPDLQTYSVQKLYAALKEDISQEGLTLAASWVIGEYGDALLRGGQYEEEE LVTEVKESDVVDLFMNVLNSTYASQIVTEYIVTSAMKLSTRMTDPAQIERIRRFLSSR SADLNVEIQQRAVEYTNLFGYDQLRRGVFEKMPPPEIREEQRVLGPSTNKRQSRVLKD KSKKPAKPSEQDMLLDLMGGSDVPAADTTKNLNGSQNTADLLADILGGGPSTAQSPPP PSNQPSNVNAIMDLFGSQATPPAMQPPQTSASPGLFAPTKSQPSQTPPPASGAPMHIA FNKNDLTLALQVQRSNPSAAQILAKFRNTSNLDRFTGVGLQAAVPKSQKLQLSAINKS ELDGGQEGTQVLKVATATNNPLPAKVRLRLRVTYSRNGSEQVTEQVDWTEP UREG_02086 MRSALANGNDHAVLRSRAFRAAEQLDQYLPSQLNQERQSSGPSS GPSTPIPEDAPPSVHSISSARKQVRAQAKTRLFYNIDYQPRLSHFDPNSDNQNFRRFF VLFWISLAIMVITTVLRNVKDTGYPLRMQVWLLFSANVFQLGLCDLAMVVSTGLTLPL HKAIRSSKGWLRWSKYGIAVQSVFQFAWLTVWVALPFMLDWTWTAQVYLTLHTLTLLM KVHSYAFYNGHLSETERRLSSLDRPESDTLSAVVRYPKSPVRAVKENNEIGVSSGRRQ STHSISQVRSDLATELTSPLGQVTYPQNLTWRNYIDFLLCPTLCYELEYPRTKKTQWT RVLVKALAVFGCIFLLTLTSEEFIVPVLNDSAFRLHRVDNWSEKGLILAETISMLLFP FMVTFLLVFLVIFEYILGAFAEITRFADRRFYSDWWNSCDWLEFSREWNIPVHHFLRR HVYFSSLTLFSNTGAMFITFLVSSIGHELVMGCITKKLRGYGFLAMMLQLPIVAAQRS RFVKGRRTFNVGLL UREG_02087 MGKTLSPEGQAAIRAAIDEACKDQTQGLPNVAFVVVNKDGQEIL AHAAGTRGIGQDEPATPDSVYWIASFTKMITGVACMHFYRDNLRDQNYDEFCARFDDF MQPLVNQPGETWEYGINIDWAGIMVERVTGVPLSEYFQKSIFEPLGLNDIGFFPSEAM KKRLISMTQRAQDGTLSQGHHPNHMPLDEFNEDEKKFIFNSGGGGCFSTPRDYAQLLA ALLNNGVSPTTGNQILSKSTVDSMFENQIPHMPDFGRAGLPAAKPDLTNPVQELYPLP DRTPQGWGLTWMITPSPTGRTATSAFWAGIANCFWWCDREKGIAGVITTQVLPFGDPK LVPLWVNIEAAVYNSLI UREG_02088 MAPRSMIPLLVTMMLVTGVCNTLLTKFQDMQCVRNCDDPDPKRR VVFEQPVLQTLQMFIGEMGCWLVLFGMNMWNRYVSPRLGSSSAPLFAGGYEPVGGESS EPPSYSDADGTLPSRADTAKSIDFNDSRIKLRGTKILLLAAPACCDISGTTLMNVGLL FVVASIYQMTRGALVLFVGLFSVLFLRRRLYMYQWMALVCVVFGVGLVGLAGAIFNDH HEPIEASPKDVIQLISRAAQEVHATAKSPEAVKVIVGVLLIAGAQIFTATQFVLEEWI LERYAMDPLKVVGWEGIFGTILTFVGMVLLHLTIGRTEHGRYGYFDAAEGWRNMTTNR TVALSSFLIMISIGGFNYFGLSVTRTVSATSRSTIDTSRTLFIWLVSLGLGWESFKWL QVVGFMMLVYGTFLFNDIINPPLKACLPKRMPDEAAESLLPEEPIEHM UREG_02089 MRAIQVSEYVKGPLDLRVTTLPTPTPAPDQYLIQVHSAGTNFFD LLQIQGKYQHQPPLPWISGMEFAGIILSTPSGIKSPKYKIGDRVFGASQGAYATHVLA KEQSLYPVPEGWSFEDAAGLFVTVPTSYGGLVHRANVQKGDWVLVHAAAGGVGLAAVQ IAKAKGATVIATASTDRKREVAKSFGADYTVDYRDPKWPDAVKKLCAQHRTGNGKAGV DIVYDPVGMIDQSLKCVAWNARLLVIGFAAGNIEKVAMNRVLLKNVSLVGLHWGQYAN FEKETVGVVWERIFELIRQGKFKGISFKDETFVGLESVPKALQALGGRETWGKVVVQI YDNDNSEAKSKL UREG_02090 MSPSTSPLEGKMKTTISSDVWESKKAQIANLYKVEEWPLKQVMK RIRSVDFNPTETQLRSKLKKWGVRKPSRQERKKASNGAATQKVVVKAEQSDKPTGDST PSLDCGVSDYPSPEGDERWKFPPTPSQNYQLGNPALFDEVRRDLAIRTNSAAIPRNNS PLHMPQPTYSCNSPGHPFEQTYPIPRSICDAHVGARTVTESSPPQAFAPSAQYSAPIS PHISQHNRDPPKSSPSLLSHNSWSYAPPEQAQLQFPHEGVRKSRVDGKAMPQHWDTRP IYPQLQNPGNVAMPPSIHPPLVQSSVHGDVQFDGSNGEYTMSTSTLGIN UREG_02091 MGFVSGDSPPDPALFPGRIGRWLEREDVIDQTTFPMIRFTYHPQ GLKLFQIIETASKGSEGNMSRHSSSALLSRRLGCKPPSTFDKNDWRRCHCRAPKRRLL NGWTHYGACLFGDREREAQTALEEQDGGARPRGRATRALP UREG_02092 MQNGTHHGALETSKSNAIPEVQGKRPPTVVSIICLFVTNVNSAA DGDVSTDQLPGPPPKRRKLADSSSRSTPRPPSPPWKKAGIEGPTSFFDGGKRRSTRTN ALPLNTQPQPAKPRTRSVQREPIVKAKRTRTGSHELPSPLSTPSKSGTNGKRGAGRHN SVNGFEGSLDRRDSSRALGVKTTQAKQPATWADSRKNSRTRLRGTNNSLSVNGHHDCD PSQDDNMAVSQIRLSGEDSQSVHNGEGQNFAMSPVKKPPRIRFKVKMPSLAPQNPSHL VPPRKYNSFREWVENETNVEDERQASTPASALQEYQLWDRLWNEADNGGLLSKDKCSI YLEDPEEEPQDQYSHHDHFLAHALYFKKLLDKERRHHRHLAKLFAHWCADAWKKRNKR PEDILKEQQEELNQKRRALIKDLQRQFDQARAEVESAKIARWEENQKLEEQQALNKAL KQSTMLWEKRIGDKGDHSGLSDIDQSGDSEDLDESEDEDNMSSANSESEDEEHKMDED SDEEETDEEDGDVDDDLESDPGLLGFFSAKDLGFKLETDETDENHAFIDGDTAPDDDN EDLTENEEDDETDAVHLVPLGPLASNKSPDLPASIPAQPPTPSTTILPVDDKPDHESQ SQQILSEDDVIATPGTNLLVPDNDGALDERPDAVSGDTHRTPASPTSLRLEDGDPILK DLDQQTAVDDHPQSPQERQEDRLQSPVEEPSSPKTPTIKTQIPHLLRGTLREYQHFGL DWLAGLYASNINGILADEMGLGKTIQTIALLAHLAVEHEVWGPHLVVVPTSVMLNWEM EFKKWCPGFKILTYYGTQEERRQKRRGWMDDDRWHVCITSYQLVLQDQQTFKRRNWHY MVLDEAHNIKNFRSQRWQTLLTFKTRARLLLTGTPLQNNLTELWSLLFFLMPNDDSES GVEGFADLRNFSEWFRRPVEQILEHGRETMDEKAKEVVTKLHTVLRPYILRRLKIDVE KQMPAKYEHVVTCRLSKRQRYLYDGFMSRAQTKETLASGNYLSIINCLMQLRKVCNHP DLFETRPITTSFAMSRSAISDFEVKELLLRRRLLYESPLEKLDLDFLNLVPISREYTS KRLVEDATRIMAYNPLRMLRERQYNRTNWEMKFDGSSLQNVLYSMENAARKTRMAELE RCLYFESKRHGRRPLYGESLINFLTVDKNLKPSLQRRPLRRMLSDWLSQQCSVLESMV CSLEERSRQVEPLVQKFACLTPAVVAPAVTSAALTPIKSHYFSHSQLIPKYDPFHEAQ TRLSIAFPDKRLLQYDCGKLQQLDKLLRQLQAGGHRALIFTQMTKMLDILEQFLNVHG HRYLRLDGSTKIEQRQMLTERFNNDPRILVFILSSRSGGLGINLTGADTVIFYDLDWN PAMDKQCQDRCHRIGQTRDVHIYRLVSEYTIESNILRKANQKRMLDDVVIQEGDFTTD YFQKFDVRDVIGDDILDGQDEASAAMDRVLDTKVRDRPKVFDEAEDKEDIEAAKTAEK ELEHVDEGDFAEGSLPQTPGQTGQSQVGTPGTVDFADDEPPSVPQTKDASAPATPAAP TEPTSTSVAVADSAIDRDIGHIDDFFIRLMEWSLKDEPLVLPPDKNKKKSKRGKEHRV KRRR UREG_02093 MLSRRILAARLPTQACTRIPTTARPAFTQVRHASQAEVEDPELV GSEQYAPVNGGYPNPPAQKRQFRDPYGGWWDQQDKRNFGEPVHEDNDILTTFSTESYT HFKAPKAFFLLGCAITTVFAFSGVVSFFYPDKPSAPRTFDDGLEKELGGVNAVRARKP GEDSW UREG_02094 MMPYTHGCADRKASLPKPHVNRSSTTFHDLMKDFSLVRDSKSTR FPHGFIAELEKRLTGVLIGRERRKEYQDGVVKRTFAVFLNALKEQSFKKRMEKDRRVE DLVLIFFSNATKELSKGKSPEDNSWKLMVDRHVALFVRLITLILKDHDWLREKPELAA RLSTLETKLLAHDQDLAEAAQPDGAGVPTELVPLSYDVKDMPLVQVVAKIFDLRESQV QSDINKNKDTWTAKEALKDLKTYQTHLTLHTGKTLSKADFEHDEAYDIWKKAEAPDLS QMMLAIIQSNPELAKSTPGGGLPQFNDPHSEITNTSDSSSYVLNQPVDIAALSISNDE QQLDTGDLYTFIPPDPRSYYRFILAQAITHDLHDRALEPSEATSEIPAMKLLSKRSTE LLNELGLRWRIPTFSRAALFLDVFGSKYVEQEVDLDTLDSAFIFVKEPPPEKNKRTSF VAPVFYDRNKWTRADICTMQRVLSSIHNALLRQLYETMMHCYDSKIPPLAPVLYVLEN HVESDPNFSPNSEDQEQFQSYAYEGLLGKAKEVYQDNLNKEIPPDQEIWEFYHVIQLS KAIMKLCQRIQKRYKKNPEIMGVNLLTVLVNCVLPMFAEDAQDMIQRIMQQAKDKNEE IEVQDGFDLYKELSEMRGIYMQALPGEPFPIPLEQLLADFVWRWIQLTDEKMLGWVEQ AVKQDNFMVRTESPDDVPTEEQRHSVSVIDIFRSFNQVVNQIVELKWDDDVGYAKFMT AISKSIGNGLSRYCEILEQAFIKEMDRPTPEQEAAARQTKQEKWMQMAKEAWSSKEKV EPFHFFPEYLRFMVQSFVKLNNIEYALHQLDKLEKEINVDGCAEVLAKHAPPITKKQR KTTNYVFTVKIVEAEDLKGCDMDGLSDPYVVLTDEYQKRIYKTRIIYDNLNPRWDDSV DIMTKTPLNIIATLWDWDAVGDHDYVGRTSLKLDPAHFSDFAPREYWLDLDTQGRLLL RVSMEGERDDIQFYFGKAFRTLKRTEREMTRKTTEKLSAYINHCLSRRALKALLSRGL SMSSVSNYFNRNRAPTSQAPTTADIEGALHPLFTYFDDNFYIMAQTLAPDAMRPVMAR LWKEVLGTVEGLLVPPLSDKPSNQRPLTQQEVDIVSRWLTLLLNFFNAVDEETGEANG VPLDILKSPKYHEIQTLFFFYFEPTEHLIRTSERMASATAARQQANRNRLSVTSASFS PFAGVPSTRRGKSIMFKRNLGTMKKAKEEKWREAQAEPNDDMILRILRMRPEAAGYLR DRSRQKERLATAAAADLIVKQSLMASGGGRMSGMLPRG UREG_02095 MADMTKVFTKDACPPIKANGQIYVSGQIPADSNGDLIDGSVAQK TEQCCKNVVAVLAAAGSSIEKVVKVNVFLIDMGDFAEMNGVFEKYFAHKPARSCVAVH QLPKGVPVEIECIALA UREG_02096 MAFLLLFLSLLQLATAHFHVDYPYWRGNSFKTQWERPCGGVNVT TNRTEWPLDGGSLLFAPSHPWAITYVNLGLGSDDTVIFNISLVEAFNQTGNGTLCFPK ITIPEGTPISAGTNASIQVIQLSELGSALYNV UREG_02097 MNVGPHYLRGPLRSSLSRLKGKSLSGVAFSSTGFLATSPRCRMP IRIQPRLYTTSSPKSGSSQNGNRAMLAFALTLSGSAAVAILWYGRRPDTVRMDWGPKK KTGAVNPSPAPTSGKPVPPNDSAEKPFPVESPGPTSTGRSLSFVPAGDTETDHAVPKP YEVIGKAPVDISQFPVEQAILTTAPFVPPPITRDYPVLLQVPLKTTTKLSQLTSVYKY ETWTFNDSVPGPFIRARAGDVLELTITNKDVSGNPHNIDCHAFIGPGGGSALTTVEEN QTKTARFRLLYPGLYVYHCAAAPVPVHIANGMYGMIYVQPAEGDLPPVDKEYFVLQSE FYHEPPEVDDDGRPSPIVEFSYPNALREEPNVVVFNGSESALTRDKPLKANTNETVRI FFGNAGPNLTSSFHIIGSNFEKVYREGDVISPPGNCIQTVSVPPGSATIVDMEMVVPG TYALVDHAIFRMDKGAVGYLNVSGEPRPDIYGSALPPEPCVGCKLHP UREG_02098 MAPTLEESQPGQESRQFLALAKGFNALLEAAKQLTRQEQLLRSR LQSAHDEYLRFSSQLAETSTTQQAEAQELPSEQDAMGRQGENGGADTTEWVQGIEGAG YLSRLDAQAVMEASEIAKAVAERHCQQDESHKSSRCPFAKLDSGGPMEKDFTTVGTKG NLHCPFAKSNEHASAGGLATVNEESEKCGNDLDPIKAEFHPDAPPCVTGSTRSATSRC PIRYLNAHTPEEIAEFFQKHKHEIPRSHSICIQRYQKDPQNLRQLDEKYGDVVNMVKG LGVYHQPYLPSSPLPEEEQKHETEAAERVERWAEDVSNKSPNAGVPPSNIIEDDIEIR GNHFERSLRDVRVGESPSRPWGIHVPIAQETAQSVVASLPVPAAMSNWSEVKESVEPS HPIPTPLGNEGESPSGNGRCPFGHHAAKESESSQPVQPDQPFNEARPNITDTSHAGIP DSSPRSPQPRIVFNGPVIFGYSGESVALFLEKLGQLGKL UREG_02099 MGSLIGASNTSRPSSLRATSRAVDSFSANKQLGHGYNQGLDWCA YTVGTMRNSTPEQGVITSRKATRPSNMTTSSRNERMSEQL UREG_02100 MSNPSSAPAQTSHLIRGLGTPPSATYEIRKPDVIVGLDARGFLF GPSLALRLGASFVPVRKQGKLPGPVETQAYEKEYGTDYFQIQADAIKPGQKVIVVDDI IATGGSASAAGSLVQKLGGDLLGFVFMLELDFLKGREKLPAPVYTLLSSQPTASN UREG_02101 MSRDAFPDKVVHRNGNAVKIRIRASTNQSKGQVATSLVRFTGYG WDQVGTICSMASGVRSTPKQLDRPSMLHELFTIPSSLPVMHSRCRRIQMFNPIVIIVW TVRALLLLSWLLGVQGIRESRLQELRAETEHMFYHGFENYMKYAFPEDELRPLTCGSL TRDRENPAHIELNDVLGNYSLTLVDSLSTLAILSDAGSKTRNGWKAWTSFQDGVRDLV DLYGDGSNGPKGRGSRGRGFEVDSKVQVFETVIRGVGGLLSAHLFAVGDLPIRGYNPP VNEASYAKLWDKTHTTPSHPGIRWANGFVYDGQLLRLATDLATRLLPAFYTPTGLPYP RVNLRYGVPFYPNSPVNGNSTRDTSHSESAFPQEITETCSAGAGSLVLEFTVLSRLTG NGRFEELAKRAFWSVWVRRSDIGLIGAGIDAESGKWVNSYTGIGAGIDSFFEYALKSH ILLSEGQPPPFNTSGPFHELDNHYTPLPEEAHSPDAFLRTWQQARNSIQHHLYRGSSY QHPHYIQGDIITGATRAFWMDSLSAYFPGLLTLAGDLDKAAEAHLLTAALWTRYSALP ERWSVATGEIEGGLTWWGGRPEFVESTYYLYQATEDPWYLYVGEMAMRDIKRRCWTKC GWAGLQDVRTGQLSDRMESFFLGETANAPMATRPTLDLSFPPMPNSVLSSASLERVND GIFVKSISGLRLGMIQDVPLFMEGGSAAVDGYRIQVINNIPLGKDEKVYVSRETTKVL EPTDPNFTQIEDLTMLDIIVDFKQPRISRNGSSTATRPPVNTGKLAEDVRAPVEDSSN MKLAFSSFMSQVTSLLRDEVMSSSPGSAIFGQSISRASIPALTATGKGAVPLPDTEEA TIPTPWATSTSNKKSAHSLPWSSIYLAGELCDAPLPSSVPKMHQVIVIKRGNCSFSQK LRNIPGFRPSRSSLQLVIVVSYPQHGDPDFSPDAKSKSAAKETVSPFSEPIIELDESW LIRPLLDEIQVVADSIPRPHPISMVMALELESDCDAATYHISESHTGLSKSQTAPDSP LVNPSSHDGFLAQTILGPLWAFLLEFGFIGTAPMILPCSRTGMAARATWRISF UREG_02102 MYRIRRDLPQPKQYYQPAHVRTARKLNEWKIHRTIHRLTMWVRQ HGRMGGVDIPWGGYDLPVSRGGDEGGLRFRLGPGFKTREAKRKMVNCAISGSILAIVL AVYMTLALTTSIRGREFHIFLILILMILTVYFCHSFVRLFMIASKPTADQESYDGRRV RFGETDHPIRVTFARDEEVVESDMETNRNASLAPPPPAYGLWRGSMRINPNMIYWQRV NGTDSGSGTSSTSTDEPRPATANRPPSYVSDDGVRYVLDVQPRSTQMPPHGQVPEIHV SDRSYYN UREG_02103 MSLCLAVGSPALITYSLTITMLNRYWVRRVFRELLDNLHPQTRA RYKRQVNGVQYFLAEAQQVPIQVPAELSEIRRLLAPGMEVWWRGLNGRLRRSRRGVTA SLVAQVLSAVIAYTFTVIASFIAAIDSLDPVMQISAGTLWVWLIPVICGWVIVGTQSS HDAVEDALRVRHLNPSSGVQSSPNIPEPENDGITVRHYGNTRQSLWFGLNFAGDELQK GPVYNYARVLTWWQTVNAFIETTRAIDRSHAAETEPTWQPDNKPQTPTLQPVINEVSE SEITVLPISQDEVFIETYPRLASIPPEMWWRIILASLMALSVQWGTTGASVIIAILTP VKGLGCRSGSYALYGVLGTFSWLVLLVSMLLSHAAMSRPARSTAHRWYGRLAIVSRCL GKTIAVINAAWLASSTIFENIGFYDNCWCHSVVLQYGVDAWVILFRTEEEFRREAKNS WPGGIAMTMIVAALSVLVFALGSRNDSTSGDDEYD UREG_02104 MSAEKRPVQASFGSTQLVKRPRSDADLRNQNSLAISNSTTQHGA LMKAAIPGKFSRRGLIQRANKLLPVEWIGRYVRRPAIDVQGHFGVGQKLICLLLLVLW RTYGQCENYGVMTGHKGAVLDLQWSRDSRIIFSASADMTLASWDLESGQRIRRHVGHE EIVNCVDLSKRGQDLLISGSDDGCIGIWDPRQKDAVDFFETEMPITAIALAEAGNEIY SGGIDNDIHVWDVRKRSVVYSMIGHADTVTSLQISPDSQTLLSNSHDSTVRTWDIRPF APADRHIKTYDGAPTGLEKNLIRSSWSPNGERIAAGSGDRSVVIWDTQSAKILYKLPG HKGTVNDVRFAPNDEPIIVSGSSDRCLFLGEIGK UREG_02105 MEVSRISFGLGSPASIPLLQNRTRTIVAGTSKRDLEIANRLFGE CRTKVDKVNAELVTLTYGTIVAQLCNDYDNDYIEVNRQLDKMGYNIGMRLIEDFLAKS SVGRCSNFKETADMISKVGFKIFLNITPTVTNWTSDNKQFSLVFEENPLADFVELPDD GRAQDELWYSNILCGVLRGSLEMVQMQVEAHFVSDVLRGNDTTEMRVSLVRYLEDEMP PDDE UREG_02106 MNQMNMQGMHPAAGGPVGGIPMMNTGSSAPRSDPNNPNVSPDHN MVVQLNTYIYDYFLKRGYIDCARALVKDSNVPMNTAAPSKFNHRDGEVNGVDLDAMAT DSNDDMKAKVPDDLPRPNLNGDMQQTSFLFDWFNLFWDVFWAQRRKGKSPEAMQYLQH TQCRVGWDLADSVARTTQHMAQLQQISSGMPNGLMNPGVMPNQGDVMPLHDGQGMLPM QDYYAPNGQMAQMRPGMQTPGGQAGGGNHALQDYQMQLMLLEQQNKRRLMIARQEQEI MAHADGQPGMVQPNLAPGTSPQGSRTGASPNPNEQMKRGTPKLPQTGLPGSPNVGDAM GQGRGSPASMNFAGGQIPPDMGGAAFFNVKPEGLVGPNGMRPPSSNPAFSGPQMTQPM DAMTRPQPPGTANRMPSGNWQQNPQGQPMIPQPGQAQTAGTPQERNAMPPPQAPAAGG ATGRVQPPSPQPGAVAPPTPQQANKPAPKTKKDTKDTRVAKSKVPANAGNTAATPSSE AEPPPTPTPSTPITPVHPNSFNKAGPGAATNGAQPTSAPAPQAIGQQPPQPSQLPQTQ PQQQPQPPQPQQDPNLTFGDINIPDNNTYGLDFAGLENPDLLESFDFDTFLNNEDPNG FTFESNLTYNPDGVEAGAGDTL UREG_02107 MAEQSQVEAFSIRRLIGLTSLNGDQDTLRFPPFHEEILRHTHWD AGEMYGRLRIVIAEGLARPNRSPPFERVRDIIIFSFQHAPLNILEHSNIAWPNPGMWL QGPRPFLQYSFGHEKGYIKDDEAHSHSPTRHEGRPIIGNIGSSQAMLPYRLQPTAAMP WQHNPWPEGDPGWVVPTPPILDPFVDPYRNRANNRSTIDDISMPDYVGSTTNSSRVIS SNISFGRSQEPSVPAAIDDEQYNQLIEALSPKKTASGTCAPANTPVSTAPKKTQPSTA SEPRVKASRPGPLKEISQPASRVSSGSSVVSEGSSAEKIPTTLLSPSPNTISKETSVS PASSGDGPVSSRTHLKPTLPSTVTSDSKRKRSPKSDKRGIDSTTSLSPSPSKKLTRRK EQQKMKKENSPDLSAARAARMSLSSVE UREG_02108 MSFQNFDTFQNQEAPTNATGPAPPADQTMGGQTEPSAPGFQGPA PGEGSAAAGGQPGGEGKTTLWMGELEPWIDENFIRNIWYQMGEQVNVKMIRDKFSGSN AGYCFVDFATPAAAAKALSVNGTPMPNTNRPFKLNWATGGGLSDRNREDRTPEYSIFV GDLGPEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSDETDQQRALTEM QGVYCGNRPMRISTATPKNKGPAGGPGQMGMPGAPPAGMYPPAMGGPPMGYYGTPQPM NQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQRHAAE MAINQMQGYPIGNSRVRLSWGRSQNNSGPAGTPYRPAPPPPIYPSMGMPPSHQYGGGF APMKVRD UREG_02109 MSTPPAAQGGFSLPSPAPSSALSTATVSYPLPQQRLHPLKPGSN KELAVISYVDSHILKINRRHAKKFSGSFAGEKDEDKGYERFREVAKDIEAAIDVLWVC GTRELSRD UREG_02110 MGRDYPLNISGYTVLPLELPPLPSLPKPATHFLYLQPHQPKIPD PAAARSLFIVNVPITSTEIHFRHLFGIQLSAGRVESVRFHEASGKESGAVAPAPNPDH TRGKKRKRETAEELEKQLETIQLPHTWDREIHTPGAHAVVVFVDKPSMETSLKAAKKA AKSASKIVWGEGIETRLPPLGLQRYENHIRLKYPPKEDLLRMVNEYMTIYDRLEQARA REATAGGQVPDADGFITVVKGPKRNPDREEELKALAEKQKDRNKGLEDFYRFQMRERR KEKQNQLVKQFEADKKKVEEMKKRRGKIRPE UREG_02111 MSVVAQLPKEMGGAEGKVAYIDTEGTFRPERISQIAERFGVDPD STLENIAYARALNSEHQLELLNTLSKEFAGGEYRLLIIDSIMNCFRVDYCGRGELADR QQKLNQFLMKLAHMAEEFNVCVLMVNVQKHEAFEGCKLI UREG_02112 MSLHSKEPSAEELKQLRQKYEQAGQGQVFAFFDELNSAEKAQLF HQLSTFDPDRINILASRATQSQEASADTGSGKLDPLPDNATASILDSDPTDIQRWYDE GLQLIAENKVAVVLMAGGQGTRLGSSAPKGCYDIGLPSGKSLFQIQAERIAKLQSLAE ASSGKKGVVIPWYVMTSGPTRNPTEEFFQKHSYFGLKKEDVFIFEQGVLPCISNEGKI LLESKAKVAVAPDGNGGIYQALLTSGVRDDMKKRGVEHIHAYCVDNCLVRVADPVFIG FAASKKVDIATKVVRKRNATESVGLILLKNGKPDVVEYSEIDKETAEAKDTKNPEILK FRAANIVNHYYSFNFFETIENWVSDLPHHVARKKIPCVDTNTGATIKPERPNGIKLEQ FVFDVFPLTPLAKFAAIEVRREDEFSPLKNARGTGEDDPDTSKHDIMKQGQRWIEAAG ATVTSEPNSAPGVEVSPSISYGGEGLNFLKGRTIKAPALIESEDVNA UREG_02113 MSVLLETSLGDIVIDLFADSVPKACENFLKLCKIKYYNFSPVHS VQKAFSFQTGDPIGPDSPESDGGSSIWGILNGPSQKTFPVDFAPKFKHTNRGTVSMAT VPSPKDPDTRLAGSQFIITLGENLDYLDGKAAIFGSVVEGFDVLEKINDAFIDEKGRP LKDIRIKHTVILDDPFEDPPGLVVPSESPVPSKAQLATVMIADDEELDDNIDEESLEK LRREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTQDEDLNLIFSRFGPILS CEVIRDKRTGDSLQYAFIEFENQKDCEQAYFKMQGVLIDDHRIHVDFSQSVSKLSDTW RSDTNAKRASQHGGFGGISSLEKKRHYRAGEAPRRQDRYGMVFDKEDIRGENAAKKSY KPLPRHRSRSRSPSQRYRHRSPRRHDDERRYRRSSPDSRYDRTYGRERRRR UREG_02114 MVQSMKGENRQNIPSSNESQGRSMTESDGKGNGRRRTQVAVNSS VVHARGPYVTLPCPVLSSNGALSAGGMHPGSYDQHVLSRPSPIALHSAPGSCSPYSML HSSFDFAPGNGSNFAHRSSYISGYSMNYDDDTSYQVQQPHSNLLAHGNIGDGNTFSST AGTKSWYETCQTKSLHGVFAENDSNNPMAGSGYPFMLQQPHTPVPNDNVSVFPLVNSF PTGLTSSDRTLPNPVGYRNSSFPAATSISEIATNVSMGSGQMPSYKSSWGVDKTFSHD IHISRASSSATSSTTSSDRSRPSPSSPSDIGFGYISITSNPPVPTMPTSTCTVPEIMN LSERYHTAGESRHLNGSQGGGFSESYTPDMYTYGRGRGSRPTLISGHVYVRNPADSEP ITVSRPEQLLRPAPPPPPALVNAEVI UREG_02115 MADTPVTLRTRKFLRNPLLSRKQMVVDILHPNRANISKDDLRAK LAELYKSNKDQVSVFGLRTQYGGGKTTGFALIYDSGEALKKFEPRYRLVRIGAAEKIE KASRQQRKQRKNRSKKFRGTAKTKGPKKDKK UREG_02116 MFNEAAIWPIPPVDYRSRVLKSVLSRIEDAFTDPEEDEILDDLM NTWSDLITRPRRSPLEEAQELSYIKYSPPGRLDSTPSQSVITSENRGLILSSGTTGFR TWEAALHLGTYLSTLTGRARIAGKNVVELGAGTGFLSMYCLKYLDANHVVATDRELAL LSNIQDCVSRNNLDRLKFRTCIWEWGRPFEPTGRVDADCPTSFDVALGSDLIYDTDLV PVLLSTLHDLFDNYGLKEFIISATLRNPKTFTTFLKSCGANPRQILPMSAVVVHTSRS LISMSLEESYFHTQPIDFSAPPLESQDGFFHNTNVPIRTYRITTRETQS UREG_02117 MDQPEVKCCCGRDDCAYLQHNRTALENLEKDVDTAARLGHALLG RHESYMVEAEADRAKMVAEIESLEKQKREVQAENAKIIQENKDLLDQLEGLNGVISES DAHIKVLSTSLETAQLEVRRLTAATSRAALLETQLSAMENDQARLEETLAVTQENERS AIHRWRKAEITLRDLHEQVDKMEKESKEEREKHVELLGRMERRRAVERELDSAAGRLK GAAAATEISRNKNGTNVVSHFVRDILQDNANLQLNISELKDLLQNSDEEVRNLREQLL LHQPLSGTDPEDRSGHVGRAGIPLSEELQNVQSAPQEFHIHHHYHTPVTVAAQRKERN QPTMHRRQRRRKAIFPPTLLESPPKESVESPLSAHRTRDSASSISTTLFPTTPSSIRT HRWVDQTPGPAFSSAPSSPRSAYKPSSVFDRSDIGFDSSRPTSPESGFTSPLMKAAHR KGPSDTSIRSVLDERWLDDIPTSSSSVQHLEYSRIQPSTRSDLFADNGGSAGGSYSCP FRGSPILEDNDDQKNSKGCHLSDDQVVMPLHSPQLHRSTSRESLLSISGMDIHSTSDY STKSRATYVLKPPRRIASAGTIFSSTSPVISRTNVTISKANSGDQSPLSLLSSVVSAT NNAANSTSIREPSSESNNTSKPQPMSIKSRMGGWVLGKWISTAPKPTPPKLDTPACPT SDSTSFSTSTTNKDTVRPLPAPLFRPPGVNQKGPILGLRPPDKPPTVIHPQNVDAMLL QESLAE UREG_02118 MNSAGIQTLLDAEREAQKIVQSDRTKRIKDAKSEAQKEIEEYRR QKEEEFKRFEAEHSSGNKKAEDDADKDAEVKLQDIHAASKAKGERVVEDLIHAVLNVQ PEVPENLAVGGQA UREG_02119 MSVRVFLNKPHAHFTNLDYITGKAVLTLPSDTPITLIVVKLEGE SRTRLAGPKYPHSERSDKKRTEIESHKLLYKVETLFPTPELHPHATPSTYYTLSAGTY EYPFRFKFPFNNDCVNNNLASNLNMAGIRLEVARDVNRHVKKTLPPSLSGFPGEAEIR YYVKVTVVRPQFYKENYRGFADFKFLPIEPPRKKELDKETFARRQHQFANIRPVPEAK SFFRKNTSASPIDTGPPPKFSVDARLPSPAILTCSEPLPLRVLIKKLGEFPETLYLQL FQIELIGYTSIRAHDLSRRESSSWVILSRSNMNIPLGNFNDPVGKEWKVDSRMWNQIP LPNSVAPSFDTCNLSRTYELEVRVGISRGSHGTMKPDLIVLPLRISVNVYSGVAPPTA LLEAMGISGVTTAVSSNIPPPPQPPRPAAPAAAVAAPPYTAPPPRVEEYEDAPPSYED AMAEALGPVDGPRREYNPLDAAVSGNFSQTGTDAQGSVVDSKDNDRLFPNSGPLNVST DSFDYYQLGPADGFGSASYSRPPPPGSLQEHSAFPPPTSPLSGNQILPVAPLSPSTED RPEWAQRRVTPLGMPSRKPVPGPNDSAPHTPTSPR UREG_02120 MGVPPPGIRWTALPLRLLAVASLTLDIIFAIIIDVRYGTSAGFY YAIFILWLIHNGADLHRLIRNKSRLPFGVSIFLDLIGIGAMFGALLLLQLRGYGGRTV TELGLDIAEGIHYCATRGKRKREKAAKRAARQARLAAQNQEAVKTS UREG_02121 MRIHLFIQRHELPATRILWTIPTRMPAGGYTIAQLLADVDEIVP LESQPSNSEDGKGEEYWGLEDYVVELMGSECLHFMEVENLLRDGDELVIRPLHYEELE IRQITGRHQISTNGTHLIDGVPFGKRYLKRSTSSRPSIAIPPRNKRRRIDETPWRSDR SARGGLPVTNSPPRSEGEEVLIDKDVGTGPVVLQQGEAVGDQKSQETVEVHGGKCDAQ KGELCSSPQTVTTIMKVAEESGDHASAINRGLNRASLNQHDHDNNPVEEAQRSILSSS SSESSVSESEISNSAEDSSEEEISSSESSSSISSTSITSDDDSEGMGSRRTKHSNLRT KLRRRLAKMKESGVLPQNANFDDLRAWDRNGMKALKTPMETVGIELSELEKRRIQLLQ DIERGGIDVTPVRHDDQASQGKPSTDTAADTIEEEVPQKRMKLDMASTRRLVLGSLGL RARNIEKAKVEGKLKASEPEEHAKEPTESATKTQALMPVENWQDFINLKATECIYQDV TIPPPPFPFVQRWDAESQKQIREQRNLHNPRGRKRKRKSRAYEDEEIEDHSRYNYSNV DITLNYSDNDESSEKVISPIEKVSAEYQDELQGNYVQSAQSQENIVKSTPAETEEDLP IVEDVSSLPGATENDLKPGAIIVFKQLEVSKSTGWQPVVSCYRTAIIEEVLDNSTMQL RLAKRDRKERPQSQDSGPRSPDRIPESVLQEPDEAEIFQVSSQTRREISQLIDDAGFR SGIDSDLNIPEEAFPAASVAQVMEEESDDDDDDAPIQSPAFSGFEKSPAAEPCQQTSR DIPTDTGETEIHAEIKGLSSVQTSFTGNSAQQVNSALTLPSMPSDDGLHYITDLDTTV VGAAVGDASQFSGHKSRTHTPDTQLQQLKEPEAASQAESLLSTVPPTIQENENDTHHD RPDSSSSMVTNPFYEIDRVLFQETSDALSLEKMISSTAPARTTELPTPKKRAESKRRA MSTPRQRFPEPKFVYKDDDKDGDYVPGLSSPPKVKKRSKSTQQMKGEPMGSQPRNREF QIPEGSQVIDLCD UREG_02122 MSSNYKLTGLTSLDLQDGEKREVEVEGLDDTKVLLVKLDGQVHA MTANCTHYGAPLVKGVLTPDGRITCPWHGACFNITTGDIEDAPAPNALNKFEVFEKDS AVYVKADSEALSRHGRQPINQCSIVGDDKVVIVGGGSGTFGAVEALREQGFRGKITII SREPNPPLDRTKLSKALIPDASKIVLRPAQWYKSVGIDLVSDNATAVDFEKKSVSTES GKSFPYTKLILATGGVPRRLPMPGIKDLGNIFTLRFVTDVQQILNAVGDKNKNIVVIG SSFIGMEVGNCLSKENKVTIIGMESAPLERVMGQKVGQIFQKLLEKQGVKFHMSASVE KATPSEKDPSKVGAVHLKDGTVLPADLVILGVGVAPATEFLKNNPAVSLEQDGSLKTD ESFAVNGLKDVLARW UREG_02123 MADIVAIASRGGFRPQKELGRQATRETLVSKRIVDQKKKICGQS SFHEGSWRSGTSMNATMETTSLSSPVKKRKNKDDDHKSSKKRKHLDDGPPSSPEQTKK DKHHRKNKSTDEPSRPSGSRKSHGKASASQLDSPDSSPFHLVTTTLYLPLSPISISPT HALPCLISEHVAPLLLTYYPPVKGIILAFSNPSISSTPPQSTSAAPQNNTNPQSLTLA TTAGEYGVLYVYLTITLLVFRPERGQTLQGWINVQSEGFLGAVVLNLFSVGIERKRLP PDWKWIAPGQQPTAANQDEDEHGEGTFDPGSALDEDDAGRLLNEHDDEVSAAMGYFQT GSGKRIRGTIEFRVRDVDVIPGSERDKGFISLEGTMLSPEAEANLVSEEKRRIMGHQA GVIDVQANGDDVNMMSGGLVDEERGNEHTAGSTEKKKKKKKRSKE UREG_02124 MDKNQVYQDVLNHYSSAAKATDADYSKKVAEAFGYSEAELTSIP KDANLGLSCGNPLALAKLKEGETVIDFGSGAGFDVFLAAKSIGSTGKAIGVDMNQVGY PLDLDMLERANKNKEAAKAENVSFVESRITDVKLPDATADCIISNCVVNLVPEAEKQL VFNEMYRLLKPGGRVAISDILARKELPPEIRNDMALYVGCVAGASQISAYQQYFRNAG FADAVMVDTNSDLNIYTTARTDGEGIPCCGGTDSCNTPKPKAKNVDYNEWAGSFKIYA VKA UREG_02125 MDPLSIAASIGGLTTLVLQISSSLSRLRSLCKSLPGRLHAVNNE VSDLEVVLHQVALLVKDRAHFLPQKQLAAIPQLLKQATIKLIELKTIVDRILDTVGKA KFAVSGAFAWRKEQDTLAALQEDIRSIKCNLNILLGASNSHDMIQISLSIQAISAVTL KSTAAQMAMKDKFLDTIASVDERITRVEQMLQRQTNQLQVNQAQQVGALYNVHTANRR RARSRQSSPPATPTNSEGLTVRVTPYVVTCRRGCPCACHSKQKASTPALLNRIFGQLF IGYAGLPLVGPKCDTARCLGSQASQVSVEYWFPLGFFSSTILRMQLGHQPNMGSLFHL DTLRKVPDSAQCVNLAVKGDIEGLKHLFSRGLASPRDISTTRGYSLLRWALYAKQYQA CEFLVYAGADPDYRPLASSDNSPRIKACHFLLEGGLPESGSTALKTITKGSYFEDFIE ESNFTQIHKIVLGLSLLSLEEEIAFYLDDIDTTDSMGRTPLAWAAARGDSRAVVTLLS HGADPNITDIQLSGPVSNAAARGHTVCVRLLLEAGADPEPPLPNGVRKGSPLTVATRN TTDPVLLKSLLDFGADVDSRGSDGQTPLIHAAQTDNSSFAMLLLEYGADINAATDTGS TALTTAITYNSHNVLRLILDRWQEYSSCPRLKGPNLLQLTALYHQPRS UREG_02126 MSRSKECFKLLVIDTEKKGLSSPDYLNRFIVSLGHRAMSTQCDF KDQKPRGVTPKSDADFCAVMFDLFHSGQIEDGILRSIDYRGRSPLHYAAAYGVYDVCK FILDRLVRATNAPNGMSPVEEALLWKDVEGNTPLQLAVVHGHATITETFLVALNSNGR MGQGDHLLQELLFIAVKYQHDDIVRLIFSASPFVKPHPRSGETPLHVAAQIGREDYVK LMLENMTSFEASIDVAETLRGWTPLTTASALGHVEIVELLLQAGASQAVLDHRGWTAK EHATFRGHFSIAKLLETPQALDPLGGPGGAKSGTVAVNAFQSAQEAYVIMNLGAMQSN KQTTTTALNCLSSDFDSMTRQESFSLVISTVNEGARILQLPVLDDGSSPLVFPIKNLS DARMDFKLYQHTNMAGRIRDPIGYGTALLENTLSSCMPNRELLTRTHSVSILSNKTAE FMGTLSFTYVIANPFVGISNAPMHPFQKESRSVQLVGHRVMSFSKNTWIFIRRDAQVT KDLVPVIYHDFSLSESGTDVPIHDVNLKQVGSCFTALASVRSQPQFLYAGKYVLPDKP AENSFQRKSRSRSLTREDSGVAEANARLQHTVDFISKGFKPNSRGTFIQSPFATLEDL LVKLPKDLGFVIELKYPRLHEAADAGVAPVAIEVNAFVDAILDRVYRFGQGRTIVFGS FTPEICILLSRKAREYPIMFITNAGKLPISDREKRGASLQVAVQFAKQWELTGIVLAA DALLLCPRLIKYVQNSGLLCASYGLVNNIPENAKVPHLNGMWEKWVSTSYKEKIVLTG NKG UREG_02127 MATVAATDAARRITHVSMQIRETTSLCCSEGSTCGIPTETVIST VSLTEIVTVTPAPMTATNSESGIRSNTGIPQATSTDANDEDTPIGTIVGGVIGGAALL LLVVLVLLLGYRRDWFKKAPLNAIPTTTGNVASNEYNPIPTSGPISPIFIGSHSSMGT PSNSWARVMYPQPAPSSRPASEVQQSNDYYEMPLDRQD UREG_02128 MAMTNELFDRMDNEVEDLGKYKPGGYHPVVLGEVLPKPSTSKSR KPRYWILQKLGHGAFATVWLAKDLLGSLGYVALKINISRITGENNEIQILQWLQNSDH EDRLGYRNVIHILDDFTIQGPNGTHECIVTEVVAPMKCFCDIPDFKPRVKELSLQLMM GLSYLHSQGVTHGDLHYGNIAVSIPRLKEHSVESIMDLFDDPELIPVVAQNPWNQTES VPAYVLQAGSLVRFLEEEAEKNATFEPLCLKIMDFGNSFRKTDKRPPSNTPIQIRAPE VTFYELSKGKVASDWNKPIDIWAAACTIYHLNYDQSLLYGYGKGDGIIHRTLVLAGPL PPAWRPYWNLDKYCEKSGEKGGLDTEGFWAKQRIHGCGGPSQQDTDQLINLLRSMLKV NPDDRPQASTLLSHAWFSQQKQNRT UREG_02129 MTEFRRIGAGFCGTVWTSTSEQSVHFGYAFKREDGGPGRSLLND SRMHQDILKAHNVTAVEVSVPYFGRFINSEDEWWTANLRYFPSGYTPCNTIMAERIPA IPQDARELLIDKYCPEKLAEEIKCSVTNQDCLIRPYLGRRRHNTRPSRFAAFSLRNYP LHLDQMEELGLSSYLSRYAEIMAETLAMMHWAAAIDANDVEFVLAPPREKGCSSVISN CLGNHVMWILDFDCCRKMSFDDDGIDQAVTAFFKNDPFFPRPGQDLWESFRRRYILTS IRILQDQFDGSSPGLPLKFVQRVEEWSRTESARLPPSWCATDPEDEKKYFVTVGQLPD IRRTETPSSPVSSSGNTATGGQQDQRQRLLSQESTITTTSSFAERQQAAIGANASFRE IGRSSVGKTFEKTGTIWAYKILLLNRTDKLWNNYLMPKQL UREG_02130 MEPGHAGDVDVIVVGAGLAGLQAAHDIQNAGFSCLVLEARDRVG GKSWTRETKNGKLVDVGAAWINDTNQSKIYALAKRFDLDLIEQNTNGYCVMQEEDGKT ILFEYGSVPKFSAQETANMVMIRDLVESICTAGEIHPQYDEITFEEWINRNGGGRTAL ATATIWTRAMLGCEPSQASALYILDYYKRLGGLLQARSDRKHGGQYLRIRQGRSIRPV KR UREG_02131 MASPASTPSSTAGRSSKVSKKSKTVTLKLSSALLKRFPGIAVAS VDHEANAKVSASPSASSSSSTSTPVLPPTASGDNASEPRSTPPAGDATPADASKKKVG KTVAQRATEELLALEPLAATNSGRKPTKELSTAGLRALDRTGKPCRKWERKSFQLKSF TGVIWQLPSWRAPLRSNQADTTSDAKKSLALAEDNSTKNKGNQASSAMASEQSNSGDH NTPSFPGNTESSPAPVTAAA UREG_02132 MTNLPFSPSSGGAPTSSFPSGPSIISHPRRSSYASVVSGAAATH APAWTGSPANLGTATPSSSYPPQYNRLHRQGYGLDEEIQMNGGGNWRRPGFLPDHSRQ FMKAVAHGGGGPGFIQQANQFFTPSYLKSSKYITQLAAANKSKNVVHKETSSAHSSNA PSLSTSSSNVNLHRMAPSHRGMTYDIIEHSPLSDDETLSPLPSKWNDSDKYSGLDVLG DGLEVRYVGAANKHEHEAAAVRANHPMPPQCGIYYFEVTVTAKPTDGMIGVGFSNKKA SLERLPGWEHESWAYHGDDGKTFFGDNQGQGKPYGPTFGVEDTIGCGLNFATGAAFFT KNGIFLGNAFRDLKPGKVYPSIGMKKYPGCHVKANFGQFPFVFDIDGMMAQERRNIQA EIGSSNVSTLCPPLNETELLQALVAQFLAHDGYVETARSFTQEVREETEALNNSRATP MRDYIVEDDADAVKRQQIRTAILEGEIDKALELTNTHYNKVLENNPGICFRLRCRKFI ELVRSYSEPESSQQPRRAKSANDQIGDNFEDVFTQDTEMYDQMQDIQNPEAMDTETEP ATQDLYRAKDALEYGQQLKADYMNDEKKVYENTLNDIFSLMAYSDPKSSPHGHLLDPS GRVSVAEELNAAILVSLGKSSSAALERLYQQTEVLVNEISENGGVGAFINVRNDYLSG UREG_02133 MSATGLLASPRLLNRALLPVVLFAIVVNAACECGFRMNDTRHYF THIIYSNFSQYSPAKRLTSNPEFKRNWAIQQWSMPSISWATPLPVQNLQENVYLEDGT MILRQIGYPKEGVLAGRNVSIASVAGQSGDLFHGSFRTEFKLEGADGGSVAAFFWYHD DQNEIDMEVLTREIKPREILIHYTTHPAVDESGNLVKNATAVIPIKGNKPGSWYQRHR FDWSQKELKFYFNDTMVHANDIRMPNVPGRALLNLWADGGIWSGAPSITDVFMKVKYV VIYHNTTASDHGMDMEFNARCTRAGGPSSETICLDTFIEGGAVDPSSTGAILMPLSFW VLSLLWLVLGIVFTTL UREG_02134 MAKFPHVLDDPTTLPRSLDPFTITTSTGFMPLIIPPVELPEVFA PLTSLLSRLPVEKADGTPGLLATYELGPAVLELPDLTSEVDKLITEDGKPDMFTITAV FRDYSFLASSYLLEPCWKNWKSNPDGGYGLGRDTLPHSVAGPMYRCAEILDIPPFLSY AAAYSLYNYTLADPSKGHEYSNLRLVRAFERGLDPKSSEAGFILTHIYMVKETYALIS GVVRILNSLDTIKDRKEINDAYRQILAAMNKIEECMEDMWKNSKPTEYLAFRVFIFGI TSQSMFPNGVVYEGINDNKPLYFRGESGANDSIIPLLDHLLEIPMPNTPLTKILHEFR AYRPRPHREFLAHVRVRSEELGVRNYAVQDPETVFLYLKALDHVRSFRWRHWLFAREY IIKRTPHPTATGGSPIVTWLPNQLSAVMDLMIATYDDYVVPVIKNGANGAAASGNDVD LGSSKHYRDQVEEMMENVRDQRTKLAREVERWCAERGVQG UREG_02135 MPNLEELYLEGSFPPRPIRPVSIANHRNLKSFTYASAFLNSFPD LPRTLEHLRFESSIIIATPQGNPLSRFTRLRSATFAEFMFLRAEMLEGLLSNTKGILT RFTVRWCSEIEQNHLEPLMRKGLFKSITHLDIAGTVGVTDAITPTIIKTMPNLKVLDI SRTKVTGLTIKQFADAQIPKLEKIIVHPVSIDAVEYAIRLGIQIVRSYTPPAAETRNQ Y UREG_02136 METHNLEAASDYINNLLLARGLLRNGKRIEFAEPARAPDGIGGT MTTVINLVHDLIMRRDREAEQHENLAGTIRSLRNTEAKQTLEVERLETRMEELSRALA LTEGQERAFKVNIREAEGTIRILKEQVQRMKSTVQQIRAQYTTDIRKRDLEMQKLKAR LTERTRGKRDGPGVTTITITPPPKATNQKSTEGGQGLDTPGYSLRQETTEFLTQLCQS LSDENDGLIRLAQDSITTLKELQGLTEPGVNEVSMAADAAELETDPLSGPVPPYETLS AEMSYVLEQLRSLLTNPSFVSLEEVEIRDSEISRLRDGWEKMEARWKEAVAMMDNWHR RMAGGGDGVNIDELKLGMTLGSSIDRDIVSQDASMPVSNNENVQLPSVGEEGEGESED KQREERAAPRRRSSLRASPRRRTVRFAKRNQNILEECSGNKQPTILPQGTPAEAISEN MPDTDKDASCAVEKPSTISSPRRPTRKQADPKVQLRVSSAVFRYGLCFNLQLTLAEQS NYDASSHWGETVGYKTGRSTLLQTSRQETEQYLQ UREG_02137 MENQPPTSFEGWVSHSATSPLTLATFTPKPFTPFDVDIKITHCG ICGSDIHTLRSGWGPTNYPCVVGHEIVGHIVRVGPGVSSLNSPSKHLAVGDRVGVGAQ CNSCLKPDCEECSSSLEHYCRRRTGTYNGKFPDGSKSYGGYAKYWRGPAYFVFKIPDA LPSNLAAPLLCGGITVFSPLLRNGAGPGKSVGVIGIGGLGHMGLLFAKAMGCDRVVAI SRSNSKRSDALNGLGADDFIATDEDKNWAKKHANSLHFIISTVSSGNVPLAQYLRLLK RNGQFIQVGAPEEPFPSFNIGPMITKGTVIAGSQLGSPDEIRQMLELAAQKRVLPWIQ ERNMNEVNTALADMDAGKARYRYVLVNSPEDLAKL UREG_02138 MSSPAPATDLPLTNTSQIFNLYLTTSCTNWTVTLGDKTPLYYVR KLLFTFGKPDLTFHIGKDRNGTVAAVSNFVTFSSKSKLGLGDPAAVTDMLWEDLTRES RDHSRYRFEMNISSHHGPERRGFLWKRTHSVGVDGSKPTKFSSMNFKFLDEQTGEILG AYTNNGIKSFKKQGKFQLNKTYGKDFETMFLLSGLTILERAIRRESSRGGGGGGGGGG G UREG_02139 MAFRRAYGDSSPPSTPAKPPDFGSSAFSLTPAGHPPSSAGSFTP AGNPPSSYYFGSSVDSQASEDLLSFSTNSTNFSASQSRNAPFPSFRSRQTREPSTNLL RGVGGGRSIPRSKLSQSFTFSNDGEEEDNLEEMDTESPRRMDLEEDDAPLPSTFPRIG GGPAAHMRKSMIYSNPKSAKRAKLDENWAQSFPPSPPQIRWKKQPSSFPRIAVDIASR ENLAALDEPSGLLLSMEDTISEMYDQVRDREADDDTVDIALSSACEDLPNLWKQYSEE PGSVNYENGCIGPGEQSSGIMKAGFLSSLLLRLHHPPILRRHPADRRSQSSRSPFHTL AVSQPSESKSSIPKVLFDWLNQHHSPQSAQFNALKRQQPNPTASHTFWSIVLAALLRA DFSFVIQLLEAADFSYARTAMEEGSKEPGYRGRQLQIIQQCVNKALQLLRTSPGVKND DWDIKGMDWAMYRKQVMSAVSELEDLAEGPDRDSAGGNWDFQASHFGLSSIRSGVSSF SQSARMAESKIPWMIYQYIKDLYGIILGAVPTILKYSEDWVEATVGLAAWWNGDDDSE ITLDNRHSNQLAVKRSQGPRSVDINTEEAYLRRLDYAFTCVTDTLGKDGLQINSMNPS EVGLACVFEGNVEGVLRLMQTWSLSIAAATAEVASFGGWLDSSVGSEPMPGLNENDLM VLNYGQPDQRLRKDDVLVNFSSGLFDRGRLDGPSSVREGWELSLEVLSRLDDQGLMKT KVNEFLDRVTIDSSEKMDRLVLLCTELGYNEEGRKISERYGDKIAQNSEEYGAALFCY ARAHCIQKIKNVVDLLISLCLVQSTAYPPKSELDTQLRSLLYEPTAALSAIASVDNEG AAMLQFHFSGYATLRNYYDIRDEEVNLEPGQKPKHRPLARKRAAAQALTAVISSAADS IYGGLYDQDRKTAVQPDGLLVLLGESLALIEHPNQYFIVDQLQTILAAIEDLQTVTSR VYDQCEECLQSAVLHHRNYRGTGTAQTSSPDDSRSHSPTNLLRKSVSSMSGSFSGFSL IGSEILEPQNRSRGTIDSGVLVPRLAGGDANVKRGWDWREMVRSDVKGEEILKALRLR LARGLCFGELRDWI UREG_02140 MRAERGTRCRVQRSNAGRSHGPDEVQILPWASGLKPPRRRWGDL AEKPRRERETSAHRAANQSPNRSRRRPSGDLGQDARNAVQYDSMLGLNGPELDNNRQL GGEKLCFRDENSINSGETSGMLILRDTRIVMIPTVAATVVEDMLLPLKPMPVASSIHP L UREG_02141 MAALQLNFTLDTPAKVKTVHLLGSWDGYHGQLPLSRLSSGKYSS WGGKFRFQPSMIKPGQRYWYYYILDGYRVYHDPDCESTVERTTKRTLNILDVPANVAK RPAPQATPQSPAIPQGRGLSPSRILHPKPSKPYESQRFCEKAYASQRTMDALNARFRA TDLSDSDSDISSSPSSNFSSSVRGGSTSPSSISSLSDTGSPCSCQRYGVTASGERVRI HCGGKRCGGSSPCESSSSDFDSCSADSDEEYQHVRAQLRRQHIRVRR UREG_02142 MTIAHLIECQLSKVSSLRGYEGDATPFTDVTVDSVSGLLREHGY QSRGFEVMYNGHTGRKLVAQIFLGPTYYQRLRHMVDDKIHARARGPTQILTRQPVEGR ARDGGLRFGEMERDCMIAHGASAFLKERLFDVSDPFRVHICDICGLMTPIA UREG_02143 MEEYEDEYGEDGYYEAEEGITSEDCWTVISSFFESKGLVSQQLD SFDEFISTTMQELVEEQGQVTLDQTIAPEEDDPDPVLVRRYELKFGTVMLARPSMTEG DGATSIMLPQEARLRNLTYASPLYLGITKKVAEGRERSLAERDEDEEPEEKGDEERRA RGTYLQWAPRALPEDEEGEENIFIGKMPIMLKSKYCILKDLGEHALYNWNECPYDSGG YFIINGSEKVLIAQERSAGNIVQVFKKAPPSPTPFVAEIRSAVEKGSRILSQLSIKLF AKGDSSKGGFGPTIRSTLPYIKTDIPIVVVFRALGVVSDEDILNHICYDRNDTPMLEM LKPCIEEGFVIQDREVALDFISKRGSSPTSMNHEKRVRYARDIIQKEFLPHISQSEGS ETRKAFFLGYMVHKLLQCALGRRDVDDRDHFGKKRLDLAGPLLANLFRVLFMRLTKDL YKYVQRCVETNRQLYLKIGVKASTLTGGLKYALATGNWGEQKKAASSKAGVSQVLNRY TYASTLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQACGLVKNLALMCY ITVGTPSEPIIDFMIQRNMEVLEEFEPQVSPNSTKIFVNGVWVGVHRDASHLVSTVQS LRRRNLISHEVSLLEADKEIPADLDAEERRERYYGWDGLVKSGVVEYVDAEEEETIMI VMTPEDLEASKQYRAGYIPEEDTSDPNRRVKSKLSQKAHTWTHCEIHPSMILGICASI IPFPDHNQSPRNTYQSAMGKQAMGVFLTNFDQRMETMANILYYPQKPLATTRSMEFLK FRELPAGQNAIVAIACYSGYNQEDSVIMNQSSIDRGLFRSLFYRTYTDSEKMIGLTVV ERFEKPMRSDTLRMKHGTGTWPENKTTY UREG_02144 MASIDDFFKKPLLPSGQKRKSEPLRDPNEIYKSAKLDANGDARG SKNAAVEDAEDEDIAGPELPPDLAEEDIPDDEEGRFFGSGVTRDTSSALDFIEQQEKE GVQSGKIDATWLRRTALNFEKRISKNAELRAKFEDDPQKFMASEADLDVDIKGLSILS EHPALYPEFAELGCAGSLVSLLAHENTDIAIDVVQILAELTDEDVEAEEEQWEALVNA MNLCSKSSIAEKIGQESDIIPWLHSRILKSEKSVSQNKQYAAEILAILLQSSTKTCER FIGLDGVDALLRLLSLYRKRDPEKDSDEEEYVENLFDSMTCIVDGAAGKAKFVEAEGV ELLQIMLREGKMSKLRALRVLSHAVGGKDGIQVCERLVEAGLLRTVFGMFMKKQDNQT IEHLLGIFASLLRSLPGESAGRIRTLAKFVEKDYEKVTRLVQLRRGYASRLVPIDQSI AQEQESLAKDEQDTMAAEWLSRRLDAGLVPLQV UREG_02145 MANTPFHAGATALITGASSGIGFAVAQLCRSHDMNLILVDIHAD NLAKAHTLLGDTAKAKTLTHVMDVSDEPSWEYLRAKVGFNFPSGVDLLMLNAGSVFKP KQPENLWEDLDYFQKTFATNLNGVLNGICTFLPQLRDNAGKGPKAIVITGSKQGITNP PGGKNPAYNASKSALKSLAEHLAHDLRSNSATKSIHVHLLVPGWTFTGLTGSEGPVHD DQAREAKPKGAWLPSQVATYMYEKMKKGKFYIICPDEDVSESLDKARMAWAIGDITEE RPALSRWDEDWKEKATEWINKEAERR UREG_02146 MLHSASWLQSTCTFQESYFCSRCAVLLKRHGAAVIRNSKLRNGI LSSRREFHASQKNTFPSPSALLDEKSRSLFQSELARKDDIFKYLKGWKPLAPDLDIDP VRVPAQTPHHTGSKWVGNMLRDEPMVNGESPREWDLDIYQDFPEEDGHFPYLWPGDLV LLEQETGFSSGQLAVYVRTISDQQQFYTDRGKWRVAHPNEMNFALKARAAPEMLAPLL PYFPTSTVEKSWLPQLGLEGGVPRPVGRRLLEWMASFEQGAQEFYSEHASRLDDIYNL LADNKEVKLLTLDEIGQTLFGRDPGTLNEAQRYAIHDALKRESFYIIPNKTHSTSESY TIRPKKEADVIKTVINWVRQYQNNRARQALGWESGVQQTSPLGSFIKKARKMVYLSRQ SREPTLSFSVGPTIQQPTTGRQSGAVNSEISAAEEFSDSDKMIITFLRLWVTPPSLMS SSILKTTGSMIIRNIEMYDGYPMTSQTGYLFLQEIGVVAPWENLHLLSELVALPGHGV SPVSDQIAKECNEFCETMTADSLKDSMKDLRTDWGGLPVFCVDSPGAAEIDDGFSVEP VNGSENEYWIHIHVANPSAFIPPDHILAKGAEHFKRSFYSPERIYPMLPPSITHTHFS LGPGKPALTISAKVNMQGEILDKKIVSSYVKNVIYITPDRLRKLFGIDYDNVPRMTLA VGGELGEPSRPELQDHITEEHGQSLRTLQKLLKARWEVRLKKGAIDSASIQRSQPLVS GINGQIESYSVDDAPVSRLREDPIISISGLMLDPLETTESTKQDLVSHAMLLGGEIAA QWCKERGIPLIFNGATQRPEDTVLSEILGSKKSSSSIRRSKGYLSPVPIRHTALGMDQ YTKCTSPLRRYSDLLGHWQIEAALRHEAETKKPISQQNKAVLPFSKQEVDALIARSNW QNRVKDRAQSTSRDFWALQLLFRAHNYNQAELPETFQCLIVTQMLEGTVSNVKNSKTQ YMGSLLPFRLRCCISVDKGMPPLQAGDVVDVKLQQANLYNVFLDVDFVKLVKRLPEGS ANTFAGFFI UREG_02147 MDSREKSWFSSVRVRVCNFRAERDSTLTSFHEKPPSLPSTKSVF LHLDGTKVVERVTGVGGTGIVIDQRPYALKIPRISRPIEIDGVPVATGRLTPEGGDYN ERPDLIHSIQDEKAVYQRLGDHPGMARFCDFGESAIMPLDSDLNDTEV UREG_02148 MYKEYKTMYMYSGNGCKIGECDGIKYTAAPCPKKPTSGIRHYLD YKMIPAGDQTKVDTVMATKTQAPTPA UREG_02149 MGKNAVLYPRISKKPPFSVEASGYEPVKGETIPRRHPSAKDELI TTVDPEIATVYDNLRWSVKKYGNKKAVGSRTLIRTHVETKKVKKLVDGVEKEVDKEWT YFEFSGYEYMTFNEYEALALQLGAGLRKIGHEKGSRIHLFSATSAHWLALSHGAASQS ITIVTAYDSLGEEGVKHSLVQTQSSTIFLDPGLLPVLMTVLKDATNLKNVVYDTSAEV KQNDLDKLRTEFSHINVMSFEDLRKLGIENPVDPVPPSPEDLCCIMYTSGSTGPPKGV SLKHKNVIAGMAGANAVVGEHISPSDVLLTYLPQAHILEFLFENICLFWGGTMGYGSP KTLSDASMRNCKGDIKELKPTILVGVPMVWESVKKGILANVNKSSAIIKGLFWGGLAT KSFLMSTGLPGAGILDAVVFKKLKEATGGRLRIMLSGGGPISKETQRFLSMAICPMIN GYGLTETSAMGGLNDPMAWNPDAIGEIPAAIEIKLVDFAEAGYFTDRTPPQGEIWIRG GSVAEGYYDNEQETKAAFTDDGWFMTGDIGELDKYGHIKVIDRKKNLVKSLNGEYIAL EKLESIYRSAHIVANICIYAAPDQSKPVAIIVPAEPALHQMAKQNGIEGDSIGTLVHN PKLNSIILKEMQQAGRAGGLKPFEIIEGVVLSDEEWTPQNGFTTAAQKLQRKKIINKF QKEVDAAYGKA UREG_02150 MSLWKSYRNLSPRTRLVFGFGLMAYAAFGLWAEPRVEKTLGMLP TEAEKAELDKNISVRIRAVEK UREG_02151 MVDYRYPRVPPLIISRGDNYSMPYAMPRTSLSVAQSTNSFGDAT RYHQYPARSNDMPMNDSPPWLDTEIYYTVVANGQVVKPEIQARIHKGFFVAGGYWTCY RRNYFSVSLSFALRPWSRPGRAPEKIQSFAMKISAFVNGQEKETRELIQHTPKRDKQS ESKPRRAILQPQPPPSLLLNHAANNNHLNFGLPPAQTTSMQMDYSSSYGSAQQSPQIP TSHTWERIQFQKATANNGKRRAQQQFYNLVAELWAEVSDPLGNGNEWVMLSRKLSNPV VVRGRSPGHYKDARRDSNGRMTTCEADSGNSTMGHLGMHAGLNPPPPPQSRSHPSSLT YDTTPRNGSHYDYRRMPSTDQSPLTASSLVSSSSSSPGYDYALLNDPLNSMQPMTTAT SIDGYGQPSFASAPGASRRVEQMNVRCALPSFEDNTNKSQEQNDVSLGEAFDPMIPML NNDHGGTNQYIRGQASGNYPNHEVSRPSSGGRLNSYSTRAEDHSYGRYDAIHNSHAM UREG_02152 MPSAGIVDDPGSTYQIAAGCSADFEKSLLSAFAACLSKEQYKGW LRLSQLPHANDELENMGRLRYRVPLEHHVVNQSDGLMDRIESATVLPSESRQANTWRP IAINATMRDMG UREG_02153 MPPETRTLSSKAARMQNECKISIKDVVDRRLESGDISSYNSSVK INPQSWGRKSNLGKAQLQMEGHDKVFEVSRHRVKRCAMIDIGIQSRKPGRNMHLHITA EAKEAPSFQLTITTGLKRWRRWEMDGRRARAVMGVVGAKRSASPCTDHFAASEVSWKR HLSRLGALRGTSNFICQEHIPFTCLCPVD UREG_02154 MSRLANRAGDWADDEEFDDAASLPAQQVISNKDGTKTVISYRFN DDGKKVKTTRRIRTTVVKEHVNPRVAERKGWAKFGLEKGHPPGPSLDTTSVGENIIFR PSVNWKSQAKEAEKAGGEKGGLKDQLKDKKVKCRICSGEHFTARCPFKDTMAPVDEPT GAAGPDAEDEEKPAGGLGTGGSAYVPPALRKGGAGTGDKMASKYERDELATLRVTNVS ELAEEGELRDMFGRFGHVTRVFLAKDKETNMAKGFAFISFADRADAARACEKMDGFGY RHLILRVEFAKKTT UREG_02155 MASPQQIRTPLTDLLRINHPVLLAGMNVAAGPKLAAAVTNAGGM GVIGGVGYTPQMLREQVQELKSYLNDKNAPFGVDLLLPQVGGSARKTNYDYTKGKLDE LISIIIESGAKLFVSAVGVPPKHVVERLHKAGILYMNMIGHPKHVQKCLDVGVDIICA QGGEGGGHTGDTPTSILIPTVAKLVQGHKSPMNGLPVQVVAAGGIFNGQTVASALMLG ASGVWVGTRFVLSEEAGAPHAHQEAVRTAGFDDNIRTIIFTGRPMRVRKNAYIENWEE NRAQEMKDLTSKGVIPVEHDFENLGDDVDDDTLDNARPHLMGKAAAVVNEKKSAKAIV DELVGDAVAWIRKGNTMIAKL UREG_02156 MAWIEEIFKGFNSLNFKSRALLLALVAIPPLVTSCLGPVTAEAV TYLLARHYARKYKQPPQATAPVECSAERENREAREDLRALIAIIRGYIATYPGTVLHH SALRNSALAGSSVQSASRTAAPSEPPQPSPVVMRRRLA UREG_02157 MVGSSQHRAFIALGSNMGDRIAVLEQACREMEAKGIKIIRTSSL FETAPMYVTNQDPFVNGVCEVETSMRPMELLDTLQSIETALGRKKTVDKGPRIIDLDI LLFDDEIVANERLNIPHKLMLEREFVLRPLCQLIPGEMPPATKGSSCYQTHLNSLPPS DPAPLAITPLRTQAAPLSPSNPKRRTHIMAILNVTPDSFSDGGIHTAYNAATFTQTIR NFITNGATIIDIGGESTRPNSDPATEGDELSRVIPAIQLIRSLPESSQISISIDTYRA RVAEAAVAAGADIINDISAGLMDPAMLPTMARLGKTVILSHMRGTPKTMTKLTDYPSG VIHGVGTELAERIAAAESAGVRRWRIIADPGVGFAKNQEQNLTLLRNMDHLREFPRCS YLPWLVGASRKGFVGRITGVKEASERAWGTGAAVTAAIGGGADIVRVHDVKEMSQVAK MADAIYRQGFDA UREG_02158 MSATKAQFTENLRETEDEARQQEWQWDQLRVMKVGGNESATRYF QSHGGTAALASKDPKVKYTSNAAVKYKEELKRRAALDAQEYPDEVVITDIVAVGTPDG SSTPAGEPDDDFFSSWDKPAIKRPSNPPSRTGTPGGNRVGSPFLTPGANGNGNNRPKS PLSASDKSGTSSPPAAVRTGPTVRKGPSAGAKKGSILGAKKGPKFGAKKITSTEAIDF DEAERKAKEEAERIEKLGYDPEAEQEEAKNKIASPTPVNPSRPSFGASRGHDSNSSEV DRLGMGVGRLGFGQVVKPKAPPPKKLGFGSVGHVKSPVNDEELEYTQAKFGSQKGISS DEFFGRNQYDAAAQAEAKARLSGFEGATSISSNAYFGRPEDEHADDYGNYGDLESAAR DFVRRFGITAGDDLENLSHVVGEGAAKLQDAIRNYLNS UREG_02159 MTPPRLPLFTQKTVPCVSSGAASVLRPFLTYSRRYATYSSQGGA STGSKRKQITVASDDGRIRWGELSRTEKAARATQQSVNLLVILAGAVMTGGVFTFLYM DVFAPDSKTRQFNRAVDRIKADSACIEVLGDPKKIRAYGEASWNKWTRNRPIATTLER DRQGNEHMKMHFNITGPLNEGVVRVHLIKTPDQGEYKYHILALDVQGTKSRRQGINWD IVLTNRQGHKRIFLENAAAEMNAAKKTASKIFGIQWR UREG_02160 MYNPYQHSGTFGRPPEYAGFPGAPPGTGSSLAVSPYNPPLAFTD SELAPPGMAAPGTGPPPGMQQANGPQPGHPAFPPNFQPPANMPNINFSAPVIRLGTSG PPKSSTPADAGRERGADMRRGGASGSLESQRQNIRDAMLQLQPPTRDEIVRTIFVGGI TEGISSDDDIERILRTAGNLRRWIRATDADEKPCKFGFAEYEDPDSLSTAVAVLRDVE VPVKKQHPPKEEDGDQAKEIERSKLLVVFDESSLKYIEQYKANNDQDPTAAESRIESA RAALATVLSELGRPPTSQKEGAPATDRDGDVSMTDAENRNATNAAEVVTIPITVEDEL SDIPPDMRETVAKEIAAFRDRSNRRDLERLKREEEIESLEKARHAGSSRINRLASPPP TAPTGPAGGPNGIPLGPRDRGVPNAPSGPKGFGQQIPKDYQQGVSFVNGLGVNEVEED TDASDSELERRRQAKKAAEDEKQFLDQERRWLNRERTRAAAVERERNRDKEEEQRLED EKEAMAKRLREWNDDVEASRKVEEYYADRGLWIRNRAAFRNREIALDEADRASEEREK ARAMQQRERARGMADDFLARQAEEMESRAQAPKEPQRFKLSLGAAAQKAQASTKRRTV AEVEGLLEDEEETGTTAKRPLTSIKFDTAAEVAGLSDEERAQAARQLAAEIPSDKEGL WKWDVKWEFVDESVLGEQLKPFVEKKIMEYLGVQEQMLVDVVEEHVRKRGSPGELVEQ LEGALDEEAEVLVKKLWRMIIFFSESEKRGLSG UREG_02161 MAEVLLELSKCRFPAIGALVESESGEGWHIGSRPITFNMNEIVA RGNFPPKLLASQGTFGTANEYFSSLADDHLRHLETQRNGAVIDESDCRKKYIARCLFR KIAGQFSTTFNHEPFMLLCEDLRPANLLVDQDFNVAGVVDWEFSYSAPAEFFHCTPWW LLLGRPETWEAGFDDFLAHYIPRQQVFLDILQNCEEELFKNGAALDSPRLSEHMAQSL KNGQFWFFLAATYGWTFDDVYWKFLHPKYYETESLHELELLLSPEEQQNIGTFVVEKM NTSNDGKFILHEPIEAMMKW UREG_02162 MARVLSPSRVVYVLIFQLFVSCVRGAVLLPRADPDDWQYLGCYT DSPGARTLSNVGYTLGGPGNMTVQNCQNGCLSEGYAFAGIEYGGECWCDNELRNGGGP APDGEAQCSMPCNGNSQQRCGGPDRLSMYQNTAVPVPTSATGGAESPTSAPSSTRASA APLPTDWNYAGCYVDNTNGRIMQRQLPDDPRLSIESCVSRCAQMGYTVAGTQFAKQCF CDNYVRKEARKADDSDCSMNCSGDSSEKCGGPDRMSVYSEGDLVVLPNPAQQTGGLPG SWEYQGCLQDNVNRKRTFPYQIVDKRNNSATNCLNRCAMFGFGAGGMSYGEECFCGDV VDIGRAGAELVPESRCNMVCSGNQTALCGAGDLITYYKWTGSPLQTWSFPSGNNAGRY EFLIGGVVVSLMTTIGINGKITFQEKFGTGDPNTTGAYEFDPAFEHDFEKAWRPMHVK TDIFCSGGLVLPDKVGRQLTVGGWSGTSTHGVRLYWPDGSPGEPSVNDWQENPDKLAL QDGRWYPSTMIMSNGSILVVGGEEGSNGAPVPTLEILPRVGPALYMDWLERTDPNNLY PYLTPLPSGHIFVAYFNEARILNENNFDTVRTLPNMPGAVNNNDGGRTYPLEGTMVLL PQHAPYTDPLGVLLCGGSTPFGGDALDNCVSIQPEVEDSDWVIERMPSKRVLTCMAGL PDGTFLILNGAKKGVAGFGLADDPNLNAVLYDPSKPVNHRMSVMANTTIARMYHSEAI LIPDGRVLVSGSDPQDDDYPQEYRVEVFIPPYLLSGAPRPTFTIENTDWAYGQQYQIK ITSGNMSQIKVSLLGLVSSTHGNSFGSRTIFPAMSCSGTTCTITAPPNSHTCPPGWFM LFVLDGPTPSVASFVRIGGDPGRLGDWPAFDDFDLPGVD UREG_02163 MEKVGTSSQNPFSDSAAASVRTASSYSQPSSGIRQPARRQFKSY RLNGEYEKPWLTDKRLQKTRVGNYIIWGFVAVGFALSGYINFSVTQKVSKHEVVQYCL ILDDQFSSFNKEIWNQEVQIGGFGNGAFDWTTTDDKNSFVDGEGLHIVPTLTTETTSI TEAQLLNGYTLNLTKAGGDGTCTGNTNAACAIRSNQTLGTIIPPVRSARLNTRGTRSI RYGRVEVVAKMPQGDWLWPAIWMLPVNETYGPWPASGEIDIAESRGNDVDYSLGGRDI VSSSIHWGPTPSANAFWRSTRGKAIRRTDYSKGFHTFGIEWSQDYLFTYIDSPLQQVM YWKFKEDETMWQQGHFEGVTVNSSLLVDPWSQTGNPSTPFDQPFYLILNVAVGSTNGW FPDGLGKKPWTDAGLAASDFYQSRDTFYPTWPEDSSRGMTVKSVRMWQQGPCR UREG_02164 MSTETTRIILSLLQPQQTELVLPNGFQVQILDSLSEIACSPSSS VKKFQYAAFIREESILLVWHDELDRILVHAENVEGKLLSFICGTSGSVFAPSVNAHPL QRSSLTSPAESTHHVISTWNKEATTPANQTFGSSDEEAAAPIESLDRPLALTSSIFVG LAMCLIIVLLLGFGVSNLLLQVFIDGNYIRLALAATIPIFMLFSIFFVIVIFTDIFQA IGPIKTLKTNSRYYSALRPDLSHAYSLGFKPPRLTIQMPVYTESLNGVIIPTVTSLKA AISHYESHGGSASIFINDDGLAYLSEEQREERINFYHDNNIGWVARPKNNDNGYIRKG KFKKASNMNFALNVSNKVEDRLLEMLSDTLETTDMIEASQEEHLYQLALNEVLASDSR VWAAGDIRIGESILIVDSDTRVPVDCLLYGAAEMFLSPEVAIVQHSTGVMQVSEDYFE NGITFFTNLIYSAIRFAVGSGETAPFVGHNAFLRWKAVQSVGKPDDGYVAYWSESHVS EDFDIALRLQIGGNIVRLASYHGDEFKEGVSLTIYDELARWEKYAYGCNELVFNPIHT WLFRGPFTRLFMTFLWCKLPLSSKITILGYISSLFLGLIVVFPLFGNVSLAIIRYRLG EKSLWGALVENFKWVPMMAIFFGGISFHLSLAILSQMFRINMEWGATAKEKDDSNFFK EMPKIFKGFKWMYAVIIPLIGGMVYLGCFAPRGWEITEIAAVVPMAVTLSSHALLPLL LNPSLMIFNY UREG_02165 MLIAVTLAILGATSSLPKGWALPTWPSAIDELEDLMFLHTGYRA RAFSAGVTPCSFSQQGPSRIASAEWLRTAFHDMATGNVFTGIGGLDASLVYELGGNGG ENIGSAFNTTLETFSPFFSSRSSMADLIALGVYTAIRSCGGPVVKVRGGRIDATSRGP VGVPQPENSQRTFINQFARVGFNVSDMIAVTACGHSMGGVHANNFPQIVRPGTAPNDF QLLDSTPEFDEKIASNFISSDDPNPLAGPLAKANSRDSDTKTFTADGNVTITELADPA TFQATCARVLQKMIEVVPSRVQLTEPIEPYEVKPGNIQLSLAGNGTTLTFSGEIRVRT TVRPASAIAKVDLLFKDRLGGSDCGSCVINTEHKGNADGFDDHFAFYGFSTSLSSATS ISGFNVQVTLTTGEVEMYDNNETGYPVQDAILFQPRQSCFRQSNGAGNLTVVAAVRNP VEAPSVTLSLTTKTPRNCCVVPALTKESVAMIEQGSIGPYTFYSISHIVDPAHKPTAK FDVSVGDGEAVKSDSFKSTADLGSTCAPIGSDDPPLPGFSYEGCFTDTVESRALTGAA FVSDELTVGDCANLCGQYQFFGVEYGRECYCGNMKNSESVLVDDAECSMACSGDSSEL CGAPYRLSLYKNTEWVPTINPEIPGYNHLGCYNDSASSRALSGTFMYNEAMTVDLCAS FCDGANYFGVEYFSECYCGDSLSPTSTEQPDTGCSFFCSGNSTQFCGGSNRMNLYMKE TDPARKS UREG_02166 MAAVNRDGQNHNQEQNRYCPRRSGESTSNTSGNHDVNGAADQLE DVLSTLPADTPVYQYRGTTEMKRTIDCEWQCLQDSLAECRNVHQQSLSHVKSELIVYS GVSSDIFSREIDPESAPDSPIGKARIDFWVDLELLILTMLTRPHEAPRALFGVIIFDK LKHMGLNPRRTLQIAGASRVATESRRKEPDCSYLPKSLPDGRSDKWPSLIVEVGFTES ARKLEMDAKWWLQASNGDVGLAITITVNARDRSIVLKTWENGPGDTGPQSALPRATQT VSVLGSIDPENPVVRNGPFLIPFQKLLLRDSVDSEGDIALNEEDLTNLSVQLWA UREG_02167 MRIQLFILSFAVIDCVIANNLVKLEPLRIKPIAARQNEGGSFTP GTSPGAGSNCADAFGAGYAECAPSGELSIQECAAKLSVTLSFTSDLETSKQPSATIES PSPTDSRLATPPAVTSTVCTNASLDPPYPTGSPNNTLPSTVGPGSPADLPEFTGAASS QNILQGGVAIVALLGFLENVL UREG_02168 MAGFDQASFLPSWKALEEHHASTGKSIILKEEFKNNPQRFNQLS HTFKNSADNSEILFDFSKNLVTDETLKLLVRLAKETGVEKLRDEMFNGDPINFTENRA VYHVALRNVSNSPMKVNGNSVVEGVNSVLEHMKEFSEQVRSGEWKGYTGKKIKTIINI GIGGSDLGPVMVTEALKPYADRSLTLHFVSNIDGTHVAEALKSSDPETTLFLIASKTF TTAETITNAQTAKAWFLQTAKNEAHIAKHFVALSTNEAEVTRFGIDKKNMFGFESWVG GRYSVWSAIGLSVALYIGFDNFHQFLAGAHAMDQHFKNTPLEQNIPVLGGLLSVWYSD FFGAQTHLVSPFDQYLHRFPAYLQQLSMESNGKAITRTDDYVRYTTGAILFGEPATNA QHSFFQLLHQGTKLIPADFILAAESHNPVDGGKHQTMLASNFFAQAEALMVGKSPDQV RGEGTPRNLVPHKTFTGSRPTTSILAQKITPATLGALIAYYEHVTFTEGAIWNINSFD QWGVELGKVLAKKIQTELETEGAGGGHDASTSGLIAAYKQKAGRL UREG_02169 MVKTCRDAGEHVCASPGLKPPELPGSVTDSSGKLQYIPFPTCNE TSEPLSFRYGISETVTCTIDSLSDTLYHLFEYYVHSDAPLTCRIPTVPLLQPSKHFDP TATGEGSHEAFLEGGAPAPFTPLTIALQGTLQKSHLHIWSDMNVLMHRSVDGHKKKAR KGKRASGQIVAGTAYSNPSIGGASRKEDRKSVKAKKEIVGVPVPWDPWKAGEGVKVLR GEPLTFKFHVGWVQESDISGLVLLQDSDMTLSGVLYNCLMFVMAGCLGGILALGWERY KRNRGSLWNGDGLLGHSTGGHGGLFGRKSRGVSINLAPGGTTNGYGGYGFNGKNGT UREG_02170 MEVRHCVNGLIKAHRIQRQCLFTSPYRSIAPSSTRAVTTSAPSK APTGAAAASNSRSDSSNYRSFTLNPNRRSTPEANRSLPELSEVDSILRSIKTNTAARP QAAPRELSSGGDLFTREMSGMLNMFDKNFQAGADGARKPRMSSQVELRLTPSLGRTVS VDHIRGFDVAKAFVHMEARCSANKVRQDEKAQRFHVRRGQRRKELRMERWRKTFKFAF QHTVNRCLALTRQGW UREG_02171 MTSITIATLPRMTREVLSNILLSSNASKVAIIDVRDSDHVGGHI FSSTWIPSTTLEAHIPELIRTLKDKEQVVFHCALSQQRGPSAALKYARERASVLGFEE GAKQKVYVLDGGFLRWQEKYGTDERLTKAYEKDIWLEY UREG_02172 MSNGATQITTRLARASRHSRLATPSAASRCLSTAPQRQWSTPLA KTIAEAINTTGPISIAAYMRQCLTSPEGGYYTSRGSPGAEVFGRRGDFITSPEISQMF GELLGVWTVTEWMAQGRRSRGVQLIEVGPGRGTLMADMLRSVRNFKSFASSIEAIYLV EASPTLRAIQKQMLCGDAPMEEIEAGYKSTSKHLGVPVIWAEHIRSLPQGDTDVPFII AHEFFDALPIHAFQSVASPPSDTIVTPTGPTKLRQPLASSPTQWRELVVSVNPAAEAH AENRLEFRLSLAKSTTPAAMVMPEMSERYKALKSTRGSTIEISPESHAYVQEFARRIG GKADGRSPGRKPAGAALILDYGPSHSIPVNSLRGIKDHQLVSPFTSPGQVDLSADVDF VGLAEAAIKASPGVEVHGPTEQGSFLQSLGIMERAAQLMKRAEDESKRKSIETGWKRL VERGGGGMGKIYKAMAIVPEAGGTRRPVGFGGQVPA UREG_02173 MGRLKPSVVLQPQAFRKDLRAKQAKAVINKSIPALLASDARARK GVAGAELIADPPPNERPLEEGQCMDRQQESPPFEVSIHAEDTITAALRLYAGTPSSGT GRVGILNMASPLRPGGGVLNGATSQEEFLCVRTTLYPSLQESFYRLPEIGGVWTPDVL IFRDGGPEGNNLAKGDRVYVGVVSAAMLRFPELEEGGRAYSNSGETEMVEKKMRAVMR VFQARNLESVVLGAWGCGAYGNPVEEIAKAWRKVLRPDKDAKEKRITERPKESWSGIR RVVFAINDINTAREFAKHFGEELIVENVAPEDVDQRTLDQNDRAIEELKSRIAGLEAQ IPEARTELLKHRLQEILTGLKLQVAGRMAASEHSGHSGAAHTVEAGSSEY UREG_02174 MAARKKSAKDAPSFQAIVQAARERRRKQDSTDEFFNKRAAPGGI RKAEPTRTTVTARRATREARLLSSALPEPDLNIKGRAMGPTAVLGSNFAPGTTAEDIQ SAFEPVGGRMLRCRLVSTYPAVMAEMMFADRRGADDVVAKFNNQKVN UREG_02175 MSPPKKRANHHRSVSQFFSSPVKKRSKRESQSDPGFTTQCQPIP RISCQERIHYPIYNPNDPRHNPELKNRLQSAMPPESSDRKPSARPQKIAVAVSPKKSS PSKSLRQARSSLKALAGSIRSKSLFNRKEIPSFSEAEHCQPLPETSPPKSQEDLGLRR SLPVDIPNHSPTSKSASIGQPPELPSADTLESPDAAISLYGTKPPGIKNRIFGSPFLS KLVNRRSRQSRVSNELETHDGASDRASSSSDGPPEIEIRDRNFGNEDIFGLFYEANTS LDVLSNTEQPSSAHNTPSAAQTSTTLTQSATCPPFETVDGTPMESTSYGSTLANPCDA SANSVSGRATFQLPSHRASSRISDSQLSLEPGMWMASKNFRASQTSVRAVSECNTEQD STFGTDLCRTDCQADGDSDSLGNNPLLLPWLVSMGAFQNDREIKPILDCSIFSKCSEI LADSAHLAQHRDSPVGGNVGKHIRMTSASDVLTPSTTEETKDAAIGYQLHPITPGSQS LDEGRKPDEWGVGQVSQTPMEKDYPTRPERKAECSQTVEQLPSSLLFLVEAIDRTSGL ELDHRFNDNEEFQSPAELLPIGLSESFREEQLRSKTKLRKTDQREYASLLSEGLNAGT KSPGETGKCPIMSVSASTAMRVRLSLSDTSRHKVNSEPDPNSGIRDIGGRLNRKEGSS ADSNDSSQSEPMSSTTHATTFSSISSIRDFESEKKRLDIFPSLKEMNPNESNNH UREG_02176 MTSIPQTSVLQSKSNTAGSQGQTPSGKSSPLASVPAPTAPRSYA SATKKSFSQAAATGDSANTPLSTTSQGAQHGKSPSISQVNGKSNMQNPPAPGAGGPTI VNGNTAANDHSRKPSVTISAAGASGFISNGGPVSGRPSNIRFGFGVDSQSSPSMGAAV LANQQQSSLGVSPVNNRITSPDSSPSPIPQTLSGGRQPPSHIQGPGSMHFGNFPGEPN DMNRNIRPGSQGPPNNPASAHARRESAQSTHGDMGHGTPSGPTRGGYHGQGGRGRSYN PSQVPYNSPGPTYRSNHNQRGGPGMNHFHSQRQPLGSFPASPHQTARSPALSNANPAT PPMAHVSMANSQMQGQHYGYPQHMGPQQVKQHFPFQFSNRRNSLSKNKIGTRPNASAP RQRSAKFQIPPLPLTLSPESGNFEQFLTMIKSQGYIPPGNYDASGYYYPAQYQYPIHM QHMGPPPNRPPYMATQPPFIPGQYGNQGTPPAQGAPLQRSTSQVSASERPGSSLGHGQ QPTVPLTQAPSHTPNRSTSSPAPNPQFVLPTKRTSALVIKNPDSGNVVAFDKQSISPA RATPSPAKLGAGTTPSATPPPRTASRGEPDQAEPKVQTQEQKIQSFHEKVRLAAEQAA EEQKALELKKTTKDKDDSEPLKAAPEISETPAAQPEEAEKPAPEPQEKEEPKPAEPEE EEIDFDAIERELAAKEAEELAREKAYQEKKQREKEEAARKAKQEAEEYDVKMKQAERE AEAAEEERLKKLAASESDAIKKEKADAFAALRNKGATPLGSPAVQTPAESGAATPMSD TSMGPPKSLPSGKREKPAALKLETNKTVEPPQPSAAMKSLQTARFLEDPSKIAYPQSI VSPNPALNVNAPSDRKFKYNKEFLLQFQNVFKEKPSLDWDTKVRETVGDGSESRSNTR TPNPKNPSRQGTSGGFDAMGNFRGTGRTQTMPSGGQDRFPIPGMRGPALGGSFGQFGR GPGGLSMGASISRTNSSSAQQLPGSPRSGSHRGGRSASKAHKSGKKEEETNKSMPLTA GLKIEPLAPSNSGWKPRSIGRAQNLVGPDGHLPPDVVQGKVKANLNKMTPEKFDKISD QILAIVAQSKDESDGRTLRQVIQLTFEKATDEAHWAPLYAKFCMRMLESMSPEIKDEN IRDKHDNVVCGGSLFRKYLLNRCQEEFERGWKINLPPKPEGVTDEAAMLSDEYYIAAA AKRRGLGLVKFIGELFKLSMLSDRIMHECVKKLVDYEGVPEEAEIESLTGLLRTIGAT LDQGTDKGHMMMNAYFERIAKMVQTPGLPSRLRFMLLDIIDLRKANWVSKDADKGPKT IQEIREAAARAQQEQEMERKRQQASRGSGGIGLGRGDARNFSGGYGGQPPLDYASSKV GSDDLRRLKTSRTTNQPMSFGPSSLLGSRSNSGRRTIGPGGNLVRGGEDSTASSRTGT PPGRKKDDKESASSTNVFR UREG_02177 MSMVLLVESWTFFIVGWFPFYSWIRLFALSYLVLPQTQGAKKLY LEYVDPFLLQYERQIEEFIGEAHERAKAAGLNYIYQAIDLIREKILGLPPVRNAEAAP PPPSAASGPAAYAQSLFSRFNLPAGPGTNLAGPASDLYSLLSSAVTAVTSTGKRDVQA EELSAAGLLPRDLASASKSERVDYIVSQKEKLRILFSALDREHRNLGSDGREDDDLAY GTSYDGGLRKNRSENSFENIDHEDLGASSAFDREGYGRRRSGRYE UREG_02178 MECTLSAPNFSQPRRPTTSLAGADDPLKSRANPDLQKIFRAALS RVHRATMRCSIQEEQTVPDIAPSTIIDRVNFIHRPIRRGVPRHSRDAATRADFHPGPS GVWRPRKPGAADDLGSRHVLHIFVIFLVVSSSQVLGCKLCSHTLCLSLKNMANSRTFR LPYSLEVEVAMGHGFRFNLPHYDD UREG_02179 MSATQDEKGLPLGNAQGKEPRTTQAPPVDERSVSIFGKKSPGVQ RVEVISEHFHLLDRVVFFFGIFVLAYAYGLDGTIRYTYQPYATASYQSHSLLATVNVL RSVIAAAAQPTAAKIADVFGRVEVIFVTVIFYVVGTIVEACSTNVQSFAAGAVLYQIG YTGILLLVEVLIADVTSLRARLLFSYIPATPFIINTWVSGDITSAVLGKTTWNWACTI PLLLSLYNVHRRAKKAGSLIAYKSPYELLGFRQLMVSLFWQLDVIGIILLVGVFALIL VPFTLAGGVQLQWKTAKIIAPLVIGVLLIPVWILWEMTCKHPMVPFRLLKDRAVWGAL GIAISLNTAWYMQGDFLYTVLIVAFNESIKSATRITSLYSFTSVITGCIAGLIVYRVR RLKPFIVAGTLLFMVAFGLLIHYRGGVGPSSHSGIIGAQVLLGIAGGLFPYPAQASIQ AATKHEHVAVITGLYLACYNIGSALGNSISGALWNQVLPKTLEARLGNATKAAEVYAN PLTWAPKNPVGTPDRDAVILAYQHVQRLLCITGICLSVLLIAFALVLRDPVLTGEQSL ANAEKYDEESSGLED UREG_02180 MDTGKEVIGKVPNPNAGIEHYTTASEVATMDFMRNVLQTPAPQV YAWNSRVDRNNPVGAEYIVMERMPGIPLARIWDSLQLQDWMKICLQVFKYQKKWTAAK FSQFGSIYYSKDIESTTPGSRLYFDEAGHPVDSSRFIVGPAVGREWVDNGRQNLPCDR GPWLSILDLRSAIGLREAAAVKTFQQTPKQLVMLYGPGVYQPTAAKKLAALQSYFQIA SVLLPKEPGLTTGHLWHNDLHLENIYINPANPREILGIIDWQSVQVMPLVDHCLDPDF LGYEGPDVGEDLEPPIIPKEVDSLDPPDRAIAIKQFYTKCLMVAWRMVVKAKNPAQYS AIQFRRSKAGHLGHLVQNIAVLGEAHARALLLDLRDEWSETSSGPKFPIEFSEDEVAE IENDVQAADLSIEVMGTIKGRLGDLWPEKGLSEHENYEVVMSELREIKSELMDALVKD EKDREIFLTYWPFDC UREG_02181 MLYTDQHISAPSGRRQPPTNQPSAAPKPTPSPAPATAPAPKNPL AVQNIAKTGLDDKPAEIELDNNGNTPSNGHIDWTRSYHGLSAEPFSKEAADILLQPLD PGDVEIKPDGIVYLPEIKYRRILNKAFGPGGWGLVPRSESIVTAKTVTREYALVAHGR LVSIARGEQDYFNADGIPTATEGCKSNAMMRCCKDLGVASELWDPRWIRQFKKQNAKD VFVEHAVTKRKSKIWIRKDDEIPYPWKATR UREG_02182 MGEKRGFLLQVSFVWVRWSFPLRFQHSRNASICIKITLQLKGSH LPVQSTFRGASPGTQLAPGLDACPKPAAGARRQRESFGSKTYFLAALSPIPLFIVTVV HLRLFGCGIAWTVLALSSALDILIPPLSSLFSRGLRQVRSKRDFRSQTLCWRSTQTPN AQMAATTAAASTKRLNVLVYSGGQSYSDWRRNVLTDCSGNGATVDSVRQCLFSLRRLL APNYAVIPVTGEMIINEPWTSSCALFVMPGGADLPYCRTLNGTGNRRISQFVSRGGTY LGFCAGGYYGSKRCEFEVGNKKLEVVGDRELAFYPGICRGSSFKSYYNGGGVFVDAPK FADQGVEVLASYTEPLKVDSGEGLAAVVYCRVGDGAAILTGPHPEFAASNLDRNADGP EFCHVIDTLAENDEARTEFLRGCLKKLGLQVNDETAAVPALSRMHLSALEPDAATDLV VVLREIVTVEDGEDYIKDDSDTFRLERPSPLSMHKVADALPGAVEEEAEQSSSDDRIV DYSKIIKNIVLHEDYPSPVETPRFNHQTFYAMIKEYRSQSRESLSEFGSHVLYGEVVT STNSLLEKNTQILRRLPNGFVATANVQVAGRGRGSNVWVSPPGQAMFSVCIRHPVEKF VAAPVVFIQYLVAMAIVKGIKSYDRGYENMPVKLKWPNDICIYPPALFHLTSER UREG_02183 MCIALISTAHPDYPLILIDNRDEFLRRPTAAAAWWPAPHSHVLG SRDMARSAHGTWLGVTRQGRIAVLTNYKEPSSDQAIGQLSRGQIINSFLELSPDDTTS TAQFVQRLVAGGEARAAGGFSLACGGIRGPLAVVSNRVRGEEGVRWIATGRGETVGLS NTAFGDGSWAKVRDGERMMREALRESWEGGEDEGMLVQRLLGLLSTDTLPRVDGGGGG GDLETYLDLLSESIFIPVIGEEGESAPSREEAEVCASNIHEKAEVLADGDDGAGHLQY MSGLYGTQKQTVVLVHHSGRVKFFERTLYDDDAKPIPIGKGDRVFEFQAEE UREG_02184 MGPKRFSRDRFQQLSDMPNPHDASIDIPLAPVPSQPAESSPYEK KHLFHGRRVVAAPSKHLQAPEDGNLTQMGRFYNRILNFSVVTRYFIYVLPLALLIAIP IIIGATAAQNARIGGVKIVWFFTWVEVVWLSLWVSKIVAHYVPFLFQFLCGIVSSGTR KYALILRNLEIPFSLVGWAVTSLATFIPLMTRNPDNLRRGDTGIKKWQDVVKNILFAA FIASLILAAEKVLIQLISISYHRKQFDLRIQDSKRNVHLVGLLYEASRKMFPEYCKEF ETEDYIINDSIVGGLGKKGKAHKRTGSASPMRLIQNVGRVGDKITAAFGQVAHEITGK QVFNPTSAHSIVTLALEKRKSSEALAKRLWMSFVLSGREALFIDDLYEVFGPDHHTEA EECFAILDRDDNGDVSLEEMILTITEFGRDRQAIAKSMHDVDQAIHVLDNLLCTVVFI LVILVFVAFLNKGFGTTLAAGATALLSLSFVFAVTAQEVLGSCIFLFVKHPYDVGDRV DINGSQLIVERISLLFTVFKNISDYKVTQVPNIVLNTCWVENISRSKAMKEQLTLTVD FGTTFEDVQLLKQEMQQFVLEKENCRDFQREVDIEVTGVGEMNKMELRIEIRHKSNWS NETVRAARRSKFMCALVLAVRRVPIYGPGGGDAALGDFAKPSYSVSISHEQAQASKEQ YAADKESKRMVPAGAIKSPGSSDRAKATGTDLTSPTGLSYRSPPAGSSEATFAEALNQ RPVALDRTRTDDLPSVDLSENKPLIREPSTGVRSANPLASAVSTNTPAQDSTAAASTT RPGMNYFDFESAYNPQSPPGSQNPYRPPYAQTPSSQTLHSSQTPGFQTQSSSPGQTAD AARRPVPPQGAYSSGQNPYQQGPSSQRYPPQ UREG_02185 MPSPALLGCICLSVLLSPVAAQDARPSDGLVQTPPLGAPQFFLR TRQFDMQPVYLVPLNQSMSDRPPEGFNATGTLVDVSPENASQLDESQIALVSCDDSAY PGILKVNDTLEMLIARSPTAAATILYSLESKYCAYDPDPSLPPYPNIFSITRPPLAGE VLRKLDSSPRGTSSILAEAPSPSADEGSIATSYPDSSMERNRQNNNTPTTSVAMIILY AVTGVITLLFLGVIVTGAVRAHLHPERYGPRNVAGRPRQSRAKGIARAMLETLPIVKF DDLDEPATQPSTARKSADVEMGAQQQQRGQQEHLADDKELAGRDARPSLDSRATNRDG QIGSAASSPPRAGTLDEPPIETAGTLGCPICTDDFEKRAGCPPAALRPQVPPRVHRPV AGERVWDLSSLPNRPPPSILRRRRSHGRRRHLRHPHGARLRPTAAAAPAGQQRARQRT RGQPPSAPPQPGDVHPVDHQRDAAQRRVDRAATRGGAAAAVRHGGNGRDWAVCERGTE EPALLDVAVGFVQDSHATPRR UREG_02186 MPARPPLSPASRSSPSSSSSSSHQLPRSPSSSLSTARSRLSTIS NHIMASSSIFTANVVPQAAEDPLFGLMRAYRADPSDKKVDLGIGAYRDDTAKPWILPV VRKADEAIRNDPAVNHEYLPIAGLPELAPAAQKLILGADSAAIREKRVTTFQTISGTG AVHLGGLFLSKFYPANPKPAIYLSSPTWANHQQIFTNVNLSIAHYPYYSPKIKGLDID GMLAAIRAAPHGSVILLHACAHNPTGVDPTPDQWKQIAAAMRERNHFPFFDTAYQGFA SGDLARDSWSIRYFVEQGFELCIAQSFAKNFGLYGERAGAFHFVSAPGPHASVATAHI ASQLAILQRSEISNPPAYGARIASLILNDAALFAQWEEDLRTMSGRIIEMRKGLRERL EKRGTPGTWEHITNQIGMFSFTGLTEEQVKVLREKWHVYMTKNGRISMAGLNTHNIDY FAEAVDSVVRETSS UREG_02187 MAPPKKRDDNWIDGLRGLASFIVVTGHLCVAFAPILHSPAAAVD RGPILFQLPIFRLCVGGRAAVALFFLVTGFVNAMNPIKHFNNGNLGVALPSLARAAFT RFGRLILPTNAAALVAWVVCQFGGFNLARIVESDWIRSVSKAPGPTPWEAIKALMHNM VFFWHDGSGTYDPTHWAVLYFLRGSMKVYLTLLATSLVKTRWRVAIIILLHSFSWWTK DYITGINIYSGMLFAQLHATLGARSTSLLPKPVPTILILTGLIICSYPQNNPDWMLWS SFLKSVMTAVIPPSATGFINRYWVNIGTSTLVLGVFTSRNARRILSLPLFNFLGRVSF AVYLLHDTLIRSMLTWMIYGANVGKTDLSVVNEKGRPINRVPPAGAGVFLVAIPVFYV VLYAVAYLWTMHVDVWCANAVVRVRDIMFKKDDGGGVVNGRGGGSVAEKEMELQQQQR QPLMQGDAPVLPVTNHHVSS UREG_02188 MSLKQEIETWVQALGHYDNNEFEDALKSFSNIADTSKILFNCGV IYATLGEHAKAVDFYQRAIALDKYFAIAYFQEGVSNFLLGDFEEALVNFNDTLLYLRG NTSIDYEQLGLKFQLFSCEVLFNRGLSYIYLNQIDQGMQDLDYASKEKFKPDHDVIDE AIKESAEGYTVFSIPVGVVYRPSEAKVKNLKTKEYLGKARLVAASDRGNAFIGFQGSE IKRTRTLDTGKDDRPTDAISYAATNLVQKNLVGRGRQQSEPPINRNMFPPTPPPDNDK PQSFFGGSSSANSTKPTRSTSVREPPFPVVQRSMDNGDVPQVERLRIGATRSASESRG PGVRSQFPAKPRNQNQPLYRETTGDRRQKGSTFIPLSEEEDASAIYNMYSGTEPPRSV YAPRYRNTRQPVYPDEDGYESDAYEDDSIGDTQFEMIGAPPPARRRTTGSRRSDIKKI RVKVHADQDTRFIMIGPSIEFGALEGKIRGKFGFKSKLKIKMRDDGDMVTLGDQDDLD MLLQTAKQVARRENNEMAPSTAWPVLHRFNCFAQLRFCRVTLQDDHESVIMADITFVV NGLLKSHGVSGIPNREGRRAKYQTVDEFLKEAYRISIRQAYLSTAPRPPVSRRQTHQS STSIASLQSGNTSLHLEQHLTDEERDSIDSSTAILLRDLSSSIENLASAETLRQETQS SLFRKKFGYKLNSRIWKWAGGGADGAEQASRSAEQEVAEDSEKTMKTVRENVLWLLRR RLEAAVEVQRAMVEKRIERAKEKEKSILYKSGRASVSGGAGAGAAAQGRASEYQAMLD DDVAKTKAPVRVHDPFVDEKMMADIESQLSPEQLQLFAQENDTMLKHYEDTLSKVQNA EKSLLEIGSLQQTLVAHLSTQEDYISQLVTDASTTHTNIGRGNQELKRANERKSTARA VFWGTVGLCTGLIIWDAIF UREG_02189 MAEIVCLGIQKVEASLQDQLVLVEGTAAPSAIVAAIQNTGRDAI LRGSGTSNNASVCILETHAKVSNSIRGLARMVQVSNQRTLVDLTINGLSPGQYWATVR DTGDISRGATSTGGIWEALKQKIQGSEEPRGIFGRVDVDEKGKGNVFLDRPVAVWELI GRSMIVSKNKDGPFKEDDPNTIVGVIARSAGVWDNEKMVCSCSGKNVWEERKEQVAKG MRDQVKLFSSMVEFAP UREG_02190 MSSAAKSRWAEDDAETQAYLDQKKREKEEKKRAKAEKQRQFEEA QKQRQSASLAIEAANANGSAGAGTDGGGTQAERPTKRRRLSMDQAADGRVAGEKPTAI LRFPGPEWGPCRHVDNYERLNAIEEGSYGWVSRAKDVNTSEIVAIKKLKMEHNYDGFP ITGLREIQTLQEARHPHIVRLRQVVMGDTMDEVTLTFSFATTSSFRSVFLVMDFLEHD LKTLLDDMREPFLPSETKTLLLQLISAVEFLHSHWIMHRDLKTSNLLMNNRGEIKLAD FGMARYYGDPPPKLTQLVVTLWYRSPELLLGAEKYGPEIDMWSIGCIFGELLTKEPLL QGKNEVEQLSEIFKLTGPPNSQIWPGFRSLPNAKSLRLPPSTTSSKRPTTVPLLPRAK FPYLTTAGLTLLSNLLALNPASRPTAKECLSHAYFREDPKPKPKEMFPTFPSKAGMEK RRKRDTPEAPKRGEEAPSLDFAAVFGGKGGTAESGTEVGAGFTLRLG UREG_02191 MAILQQTDGEEACLGDGAVPAEPTGKVSSSSKATKTVLQQVLES DESRSGIVRKIDILSRAFEAPEDKLGPTHAVRQLRHEQLEQELFLAQKNAALKSGARG LQARKELKAVEHKVVESSNTLDQPLETTDASVIHEETQAAVEMLDDLQARFEAINAVD REQEARKILLGLGFRESSFDQPVQSLSGGWRMRCMLAGVLIQKADIMILDEPTNFLDL LGVIWLETYLTRMRGESDKTVVVVSHDRDFLNAVCEEIIILKDQTLTYFKGNISAYEK DIEAQKLYWGRMKEAQDRQTAHMKATIRDNIKLGKKTGDDNKLRMAKSRQKKLEERMG VEVSATGGRFKLSRDRVGWHDSLRAEIEVPADEKGASLEIPDAPDLRFPGALLSLEAA TFEYKSKGALVLDGIDLVIHMGDRVGIMGLNGSGKTTLIGVLVGALEPTQGKLSRHPR LKLAYYSQHAVEDLQGLGGSRPGLTALGLISQEAEGDLSEGDARAILSSVGLVGRVAS DVQIGQLSGGQLVRVALARILWKRPHLLILDEITTHLDFHTVIALSCALSEFNGAILL VSHDRFLVRAVVEGKRDADHEVENSVIGESEDENEMGRRHVFVLKAGKLHLQENGVEQ FEQSLEKRVRKMMAAG UREG_02192 MSPALDEVTPIEGWLLEQYAKQACDDPWSIERYSACRLLKESGI SCYLWAEDALVYYGVPTGVFDIHIIVDDVKKAADALIERGWHPPPPDFKARYIDIEEA TCYLVELQCKSVRNFDPVVALTAASDWDIKLPDIAMQKSWQPQRIARWPFIPPLHQLL DSLIRKWLDTSDHLFFCRHISNFLGYLYDYVPILNLREFADYLKVEHQQYHFDVIAGV SYTREPFRIHSKKVRDSILRGDYEICECSVSRDDDRFFTAGVEAQLLAMLPPANHK UREG_02193 MPPPNNSQPQVAVRDATHAVGAALRQTKYAVIGGAACMLLGSPR ITQVDFVVPTGRMGAARQELRNAGVFTIQSGTLHTYYQGVAIEILEPPALFKERYDAE TPTMEVEHVRILKPALILNAKCRSILGRASEGKKGTDAEDILFLLQWFVDNPQHPKPT AAEVPNATKEFHDWFTAIYCPSPKDKALWPQAGFKISTGK UREG_02194 MPNSQPSFSIPPPQKKQKKMSITQTYYLAHTARAKLSREASRPD HNLRLLVGHANMLDLLMLDLAEAEREQEKWFNLSMHGANTTSEHTRKHIQWASTPVIA EEPEEEDDDDWNGEAVSDSDSDDSDYGEDDAFFVDVAPKVTSTKASTAAAHSHALSYA DMEHEQNNDDLSEPEDDDEDDLADLALTRTHSHSRQPPELLADSGDDESEEESGPPSP PQLTFDHFSEAEPREGVILASRLYSPTAKQPTPRASRSSSPKMPLSKSDQSTFLEEGY YIPSQEQRRMIEAF UREG_02195 MHSTDSTSSEVPKSDSGLTSSHKRSSSYNRGVSFDTFDNRDATD FSLTLNYKHKDYHSTRRSRTFLCGTDQNDYSDFALEWLIDELVDDGDEIVCLRVVEKD SKIASDASVEGGRYRKEAEKLFEQVIAKNAHDEKAISLVMELAVGKVQEIIQRMIQIY EPAALIVGTRGRSLGGMQGLLPGSVSKYCLQQSPIPVVVVRPSQKREKKKKKRLADPS RRSYNQIMRLSQAKGDHLFDTTSANSSTSRLPEHEEAAAVAAAIGLPSSSVTDLHEER TSKRSSRDFTSSQTSDAGETESIGQRSTSTAPNPPSPSLDFSSVVLKSPGLPDADSRS VSEGGEDEDFMDDSSRITPISTTASVHSYSDDPMDASTPTPRANVPNSSETEKEHGLE GLMSKPAIEDDVRMHTGSPAETKDTG UREG_02196 MDGADRKTDPTAQIWVSEKSLNITIIFLTVLGSVILIPTAYLRW KHKRLKEIEVIFIVAGYVFFLGYEILLLQIQKKVYRLSYVGLGQMPPYPTILQDRNAV VVMTISSTIVFFTGLWCIKLSLLFFIRRLMKGLPDELRWWLVVLVYTIATWLFCFLVT LMGCGGPAELRKLKQLMILPLRLLWNLRISLARKIAACALFSVGIFCMITSIIRLVQI GSKTGITNPNVQWVSLWSTVELTTGMVDTHFDPVNFPAADRMPSFALPAIIVGCLPTF RLLRKTSKHTDQCSPNTGLERSKGPLDVPSSKAPSSQTIPMKKFSPPRNRHLSHYDDI SSSMESLAPQGGIGVTSSPSREASTHSAA UREG_02197 MSWALVFQFHLSSCVPSMFVVSLRRMQLGSQITSDPPTFKTQSS GATEIRQAERALAGRLEKVASSYEPTSAKPKRWNPISCLDPQRLNDRAVLWK UREG_02198 MAAPLDTKSSVPQNIAAGSPEDIKKFDPDMASDGCDSERRLVSA LAQLQELDAKARRKGDDRFSGPKSPQELFDQLSQTTREGHQEIEAFKAAWRSPETQAA FDRAKEKLKESDGNYPQTTGTWERDYGAILTRFDTEKRLKDEEQRNEQEEEEKKLLAS TNTSQRFDWPTSGRMERYTGVTITCNEARAWYI UREG_02199 MPRQKGFIWPKDPLPGKPLKWPFGQRFKDVLRGKGPDIFVGNID GPNASPKTPRWARWQDVYGVPDDDPTLPPLFKCCDRGLQRYDFRTRTYKVPDAATWSY VLGWYGSHVSELPTSKDAAFWGFRITGS UREG_02200 MDQMGPHIHVVSPDVALKSLETYELIGFDTDTATKMWKSFVHAP EDDDAPDTEFLDYARFQIEHTGVRDIDSLSDDWEEAMKRIGINASLRSSIMMPEFEDL RATLPASTGSLKLSKFARQHIKKISGHQPSYKEESASAPAPASTAQGAPLALDRCTMI WRACSRSSAEEFTNTDPSYLNLNPMSSAPGDFSGYTRLASWTPQKETADRYATWLRYK VPIAEIAIIQVAIPETLIKSLSVAYLWSNGAPSEADRWRKIVWTSRRAQTIPRDLFDL ERVDLWIGHIASGRYCNFVTMKDPSEIKDSDVLNINIDNTATKAIQWVFYTSHARDVF CKGCAGKVWVHGLGRLLGGKME UREG_02201 MRTFSFILIICSLLVLASSVAASYPPERRRLNNGFVKRQDSETI TDNTESSRPTRTTNTADPSDTETADSTETGTDDASKTGTNTKSTGTRTTKSRVTSVDP RSPPGGIKMITPAPTADSTYVKIGDFATFAWNYTSVKVTPSAIDVVAYCTKNKHYYTI AANQSMQETGIVTWDTSKYKTEDVPLLTEIYTLLVYDVEVGPSDLPGPGRLGGQGLKF GVYSPQPYTPLSKSECVTCLDNGALSGTQQQALFFMFGMIAITICSFGWFASGFGLFA UREG_02202 MAGNEPQVTIESDISGTPLITERENGGLEENGQEPLPMPDESSR LRALDAAVRDQNDLERDIGRQADRLLTEQANERDQRRLDRTKNEKAKVEAHILRLHQR LSQPIGTTARVRITAELQNLESKISALELDLEQIQQRIDERWQGVEGAAEASETGRLP NESRRDYLIRTGKITPFSKFGDDRRGPSTALQDALVDAEEEKDEELALEDLNSQKFTS HRHLLRPGFDYGEPSDTDSHDSTESRPQKRRRLVNRVPADRSDFRIERSGPQTAKQPA PVSDDEYVDPGEAVVESSEEEGEESSDMSTTKPKSKRKSHKSRSTGMVDFQGVDDGNE QVYQSRLHDWVSRRSEARNRAGIGHEGTAQTTDEDNAAPEEWFLPHPKVADTMLDNGY RIPGDIYPYLFDYQKTGVQWLWELHQQRVGGIIGDEMGLGKTIQVIAFLAGLHYSKKL TGPVIVVCPPTVMKQWVNEFHRWWPPLRVSILHTSGSGMVNIKKESYAEDRLMSEIWE PDRPTRLPGGQKGARRILKRVLEDGHVLITTYAGLQTYASLLIPVDWSCAILDEGHKI RNPDTAITIHCKELRTAHRLILSGTPMQNNLTELWSLFDFVFPMRLGTLVNFRNQFEF PIRTGGYANASNLQVQTAAKCAETLKDAISPYLLQRFKIDVAADLPKKTEQVLFCKLT RVQRAAYEAFLGSNEMASIMRGRRDVLYGVDILRKICNHPDLPEHRTLSQKSDYNYGS GVKSGKMQVVKSLIELWKETGHKTLLFAQHRIMLDILEKFMKSLPGFNYRRMDGNTPI KIRQSIVDEFNTDPDIHVFLLTTKVGGLGVNLTGADRVIIYDPDWNPSTDLQARERAW RLGQKREVTIYRLMTAGTIEEKIYHRQIFKQFLTNKILKDPKQRQTFQMSDLHDLFTL GNDGPTETSRLFQDADVTFNEGNDSAKAAEKPQPVQTEQEEDKISRVAGVTSLEKYES ETSTPAGTDAATKAAPASSSDSRLMETIFARSGVHSAIEHEQIIGGRKRGVKADPKII EAEAKRVAAQAAEELLKAQKVARTVPVGTPTWTGQFGTAGRPEERNAPLGTVPVYAGG NGPRRTVGGPSSASIIANLTNRAVGHQSSSGRNSPVRSGANSPRGKDFMAMIRDYIIA QGGAVYTQMLVDHFNRFCDSPRATAEFKEMLRTIAVLEKTGSQARGKWVLKPEYSQK UREG_02203 MDPQWPAYTDSTGNRAANQFGNGHPSQMAPKYPAPGPGGQVQQP QAPLGYSYETYQSPTATNPPPLPSNAKSISMTSSPSATPLTREFVDLDTPMEDADPYN RSKYPVRPSPTRTNTYTGPSQTYQSPPSGAPSRPQAPRLPPIQSTDMSLEQFYPQNAT LQLHTAFSQNQKTQPTHLNQSTSVPETPVRRGPVPRFQKIKSTQELKPKIAAQPALRR ANPEGGFISPLQALTTHLPATYRICNPTFKYESSRNPRRVLTKPSKGTKNDGYDNDDS DYILYVNDILGSEEGNHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVVKNRTAY FNQSMMEVSVLDLINSKLDKNDDHHLLRLKDTFIHRQHLCLVFELLSVNLYELIKQNQ FRGLSTTLVRVFAQQLLNGLALLNKARLIHCDLKPENILLKNLESPIIKIIDFGSACD ERQTVYTYIQSRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVS RIVEMLGMPPTWMIEMGKQAGDFFEKTQDEFGRRSYRLKSLEQYSREHNTKEQPSKRY FQSNNLSEIIRNYAMPRKNMKQAEIDRELNNRVAFTDFVRGLLTINPLERWSPQQAKL HPFITQQKFTQPFVPPMNLKSSVVNKSVAPGVQQQQQAEAASKQRAVQEAQAQAQAQV QAQSAAQSAYAMQMGQYNPATHSQPPPVYNNIYSGHQQGAPLPYPAQQSGYGHQMGMM QTPTHIPQQSYGASQSLYAQATTRAGRQRASTMDQQQSGIPPAIQRVASHLDPNAPIR LQPSPAYYPPPADGYPEGTTSGNRRRGSRVGGSQGRNRDFIRNLEDGALGDGFMAQNQ WR UREG_02204 MSRFFHGGSDSESSSSDEEELYSDREEEEVSDEEESSSEEESSE EESSSDDEGQKTGAEKFLRDVSDSEESEEEDKVTIVKSAKDKRLEELEGTMKLIDNAE KINDWAVISTEFDKLNRQVAKIAQSGPTPKLYIKAIADLEDFLNETIAKQKTSTKKMN ASNAKGLNAVKQRIKKNNKDYTTEIDKYRENKDEYLEEDEMQETVVVEKKPRAVRVED TLAVSDEGFSTVGRGGRTLQYTPESILKHLRVIVESRGKKNTDRVEQIKIMEKLLEVA STPYHTIRILLTLVSTRFDLTTSSISNYMPTEQWKLAEQEILRLISTLEEHPQFVVVE GAEEWEDDEKIPQLAPGETLKVPGSIVSSIERLDDELIRSLQHIDPHTAEYIERLGDE QLLYNNIVRALLYVENLNQTEKSESRQESVNRILMRRLEHLYFKPSQVVTILEENTWK GLPETLNSSITSRDMKSNVSNLVQTICNYLFQYSDGIIRARAMLCQIYFLALHDNYHR ARDLMLMSHLTENIANFDVSTQILFNRTLVQIGLCAFRAGLIYEAQNTLSDVCGSGRQ KELLAQGIILQRYSSVSPEQEKLERQRQLPFHMHINLELLECIYLTSSMFLEVPLMAQ TSSSPEMKRRIISKTFRRMLDYNERQVFTGPPENTRDGVIMSAKFLAAGDWKKASETL NSIKIWDLMAQPDKIKEMLAQQIQEEGLRTYLFTYAPFYDTLSIATLSNMFDLPEKKI SAIISRMISHEELAAALDQVNDAIVFRKGVELSRLQSQIVTLADKSMSLLEANEKTLE QRTQGMANAFQRDQGQGGRGGRGQGRGGRGGGGGRPPIGGQQRRPGGQQFSGGALGGA IKA UREG_02205 MSARRGVGLGAFAHRSQTTQSYANHGANLRSTHLTSLQTQLSVF QSLLHTFALEHGETIKSNSTFRAEFARMCHAIGVDPLAASNVKGKGKRGLVSGWAEGG GSFWTQVLGGDVNDFYFEVAGRVVELCRETRAENGGLISVEECRSRVARGKAIGGGLQ VSEDDVLRAVKSLEPLGSGFSIVKVGSKQFVRSVPKELNTDQATVLEVIQLLGFVTVS MLQLNLKWEKARAQTVIDDLLTDGLVWLDAQCPEEKEYWSPQNLLDDAS UREG_02206 MAQVQYPVKRRKWDDYPGWTTSLADGPESEMLREYEEGGLCPIV IDDVLSGDDLFSPQSYSFKIIGKLGWGSYSTVWLGKELQSGRFLALKVLRREHITRDN VEMRILKTLGKLQLAFFYTHQPTQDQFLCLGLLPLGATFPENGEEYLVRLSDLPSITT VIRPLLTKVLNFHKHGICHGDLSHSNIAFGVHPDAFTSEALQETFQEDSKSYIKLVGV PGAPPPRPANLPEYIVFHRNPLKTNSTDLSLVDIIEFGKAFDTPKKDGIAYALNLEHL FGDESIIEQYCGDDNAQVAIIENFIRWNTFLKDSAKHRALACQLIHALLQVDPERRDP EKAMQLLCQLEADTK UREG_02207 MDTGRTVVARIPTSVAGPSRLTTNSEVATISYLKSKLSLPIPKI LAWSDDPNNPAGIEYIIQEHVDGAQLHGQWPQMTSSEHMHCTKDLSFKLRDMATLEFP AYGNIYFADAPIDATSKIPLEDGFCIGPYCNPLFWNCGAGDMEMYDERIQKAGLPTLI HADYNKRNIYVSPKDTTQITGLIDWQLTCIEPAFIYAHSTPDFAALPDINPAEENDQP NSADEQWLLKDLAICHQTYDVIMTYKTPKLRPARELDSSLFRLFHYCFTTWRDGIPAI RQELMDLKGIWADLQLPGDFPYSPDEKEIAEHAQQYEDFETKQKLKAWLKIQMQTTSD GWVPNEVWEAAKEANKAAYDEWIESACSEGMTVEEADKLWPFDSR UREG_02208 MTLKRNISQGKKVRFAKPPAKATDTPATSRHETKNEPRVYFAFG SNLSLQQMAERCPWSTFLGRGRLQGYRWQINERGYANVVPSPGNVVEGLCYNLDELDE PRLDKNEGVPIAYEKHMLEIDLYLPDNGSRKQHGNGNGEKKRRVKALVYLSPRYTLDS NPREEYVERLRRGATDALARGVSSQYINDTIFPVLKGKSSRKSRNSPCNSPRNDQTKT GTSASSKARAKAEPTKNQQSGRECRPKRRTSSSSINRTRKLSSKFCEPHLLCNPHQVL MSEMIDSAKAQIRDMKRMHHDAMSRLCYCSICHSKITLP UREG_02209 MSFSLSGSTVVVSGLNRKVSKLISVPTKDQILVPETLLKKRKSQ EQARAARAAELEKRKKANKEKRGVIFKRAESYVKEYRDAEREKIRLARLSKQQGSFYV PDEPKLVFVVRIKGINKIAPKPRKILQLLRLLQINNGVFVRLTKATAEMLTIVNPYIA YGYPNLKTVRELIYKRGYGKVDKQRVALTDNQIIEDNLGKYGIVCMEDLIHEIYTVGP NFKQASNFLWPFKLSNPTGGFRARKFKHFIEGGDTGNREENINALIKQMN UREG_02210 MAAARAKLAELRALRASGKKRLATYEVEEEGDIYEEVDEEGYKK VVRQRLDRDDFVVDDNGEGYADDGREEWDTRQADSGSESDEPALRGKAAKRKREEDKH RKEKINNGINKYFSNAFAAPNATKPKRNATAEDEAFMAGLLEEMDTNVASSRLPVKKP VKSETRRKVRIISPPRSQESTPIKPKEQKTVIPAKIDTEPKSDIDFDDDAGYLPMGGD DDGPMSDPLPSSPVAKAVERRKASSVKQEDESMDDMDDEEFMTVAEATGHNEANAARV NMTGKRPPPQPKVKDTAYPSPTSSSPVRANSDAIDPSSWTDVTKRLNVLSSPPQETHA FGKLRAEDAVEADGSLRLFWFDYTEVNGALCLFGKVKNKRTGKYVSAFVKVDNILRKL YFLPRKHDGQNTDEEADIENVYQEVDRLMTKLRVGTHKIKPCERKYAFELPDIPKEAK YLKLMYSYAKPALPIDVQGETFSHVFGTNTSLFEQFVLWKNIMGPCWLKIEDADFTAI NGASWCKLECQVSDPELISSISDSENLDHPTFTLMSLALRTQLNVKENKQEILVASAR VYENVSLTDTTPPEKLPCKTFTVMRPSGSTYPIGFEAETRKHRGTFMLEKSEQFLLSK FLALFEKMDPDVVMGHQLQEVDLTILINRLKEKKTPGWHRIGRMKRSEWPKNFRGAGF FGERQLVAGRLICDVGNDMGKSLMTKCQSWSLTEMCQLYLGDDNLRREIDNESALKTW AVTKEGLMNYVSHCEADTYFIAALVLKLQMLPLTKVLTNLAGNSWARTLTGTRAERNE YILLHEFYRNKYICPDKYSGKSQPKMEEHEGDDDGADKKKKDKYKGGLVFEPEKGLYD KYVLVMDFNSLYPSIIQEYNICFTTVNRELVSENENEEKVPRVPTDQAQGILPRLIST LVSRRREVKKLMKNKRATPEELALWDTKQLALKLTANSMYGCLGYTQSRFYARPLAML TTFKGREILLNTKELAEKNQLRVIYGDTDSVMINTNADNVEEALKVGRDFKRLVNDSY KLLEIDIDNVFRRLLLHAKKKYAAINMTEVDGKYVDHLEVKGLDMKRREYCALSKEVS SKLLTEILSGEDTEVVLGKVYDYLRDLAQKMKEFTIPVQKYVIFTKLSKRPDEYPNKE TMPPAQVALRELARGKTIRPNDVISYIVTSGDTETASLPPAKRSYTLQDVMKQDSGLK PDIEFYLLKQIFPPIERLCAPIPGTDAVQLAECLGLDVKKYQINTSSTHNQQSLELCP LESQIPDALRFEKAARFTLRCRTCRERTIFEGLSVSSNACTPNGLVCPNTNCKKPFSV ISIVAQLENQIRAQTTKYYEGWLVCDDPACHNRTRQMSVYGHRCLGPRGRAEGCLGRM SYEYTEKQLYNQMLYFSSLWDVDKVKAIAEKEAAGETKDKLLALAEWNRARFGTIRGV VDGYLKKCGRQWVEMDVIFGFAMK UREG_02211 MVLAARRCRAGSIRLLALKGADIDDAPSGQTALFIAAENGNCSG ACALIQAGANVRVGGTNGWTPLHAVAHSSAKRKKSLHVIAKMLIEKGAEVDALTDSAE TPLYLAIAQQHEQVVKTLLDAGANPNFRQPDGQTAMHILASKPNEEIRKMLVKRRAEF SLADANGITPLHIASHGMKPYVKINYCNRAVYCEDKPEDCEIEAARQDLIYWLLDNGA DADAQDSYGRTPVHLAVLKEDRRMLAVLIRSGTNCNILDNDGNAPLHVAVKERLPHIL DQLLENGADGEVRDSSGNTPLHVADWSQEIDTLLQWGVDINAKDSHGRTRLHLALSSG AVNEDIVKMLLESGALTDIEDNEGQTPEGLALLTGNRALIKRFRGDMSDTSDESSLCP VTASQAVEDTATTALTAQNTLGVQDIHVVPTEFVRKQINAGLEDVGADVVKIVIANIG ESGSQLLPSEAVSALRTKLLPITTILTPNILEGALLLRNSGVDIKDPGSLDDAIQLAK QLHELGPKYVLLKGGHLPLTAARQKSENIEDASLVVDVLYNGNSTTLVETEFSRSKNT HGTGCSLASAIAANLANGMETILYGFLRLTKIRGHFLDYVLERPDVQPLWNAFTKHEF VARLADATLPIEKFKYYLIQDYLYLSSKIVLHIEREMALHLDYCASFGLPKAEIECYR ESQGQSEDWLALQVALSPCLIGYGAIAKRLHCDEKSVRTSNKYWKWIENYVAEDYSEA VRLGSQLLETHMRHVSRTRMEELSPSLLFIEIIPVALLNTPQKFKKMSYGGGYSSSRE QYGHHSGGSSYRGGGRGGHGGGSNGYSNGHSNSYGSYGNNSYGNGGGSFGGGHAGGHG DKMTNLGSGLKQQEWDLSSLPKFEKHFYKENPIVTNRSTQEVDAFRKTHEMTVYGKNV PRPVESFDEAGFPQYVISEVKAQGFAKPTPIQSQGWPMALSGRDVVGIAETGSGKTLT YCLPAIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQTEITKFGKSSRIRNTCVYGGV PRGPQIRDLARGVEVCIATPGRLIDMLEAGKTNLRRVTYLVLDEADRMLDMGFEPQIR KIIGQIRPDRQTCMWSATWPKEVRQLAHDFLHDYIQVYIGSQDLSANHRITQIVEIVS DFEKRDRMIQHMERIMDDKKSKILIFTGTKRVADEITRFLRQDGWPALSIHGDKQQNE RDWVLNEFKTGKSPIMVATDVASRGIDVRDITHVLNYDYPNNSEDYVHRIGRTGRAGA NGTAITLFTTDNAKQARDLVNILTESKQQIDPRLAEMARFGGGGGGGGRWGGRGRGRG GFTASNAAPLGHNRRW UREG_02212 MPNDTRQFYTVWKIKDHLTMPDPEEKKTRYHTYLFVQKEEDLTD NNNDPQHVVGWTHEVTGDISTSTGMVYVHKPVHRSPAYGEAFYAKELLGRVPADEYPE NVDGVCQSIKPPWCQKKFNAQTMRYEGVVFEEGEGEVRGGMKWRFYAPGEERKPYFKC TEWVEEKVVPKLVADRIIVD UREG_02213 MACSKITNTAMALCKATPTSTFFWRPIIIPAVIGVRYKHAYLSK NNAFMSKEALKKELKWVGNDRVALMGRIEKMLTGDEFEKAVALVMAAEKEGVESIASW NALLSEELKKNGPEMGFKLFNDLKKRGVKPNAHTYSMLFRELADHPSKKAVQIGMSLY DTLRRDSTVPPSIQHTNAMLQVCSNHNNMGALWEIVGELPTKGPGAPDNVTYSTILGA IHKNAKAVTDKLHPIRDDKLIKQKKIPVLREGRLLWADIVARWREGERNSGSRRSAES QEDEYGPTAAEEISSEVLFKPVNLDDIPVQATPGFGARKPPKATYPLPTNPELTIILR ACRHFAEGPAIGKQYWTMLTAPDGPFKINPDRTSYHEYLRTLRATRSSALSLQVIEKE MIPKGIAFTNTFIIALSTCFRDKNNPNVLETAGALIDLMPTTWAGSHPKPLSAYIDLV RNAVLPRKIYADTRIDSPFPERDPNVLYKNRLLDGINHLTPHIKKLQQFIRSRNRRDA VDAKTYGDREETLEHGASSPAALADESHLILKRIAGMVKDLLDPSIAPSLSDDETKQL SVQASRLQRILNPGPPLPP UREG_02214 MDRPAPDYAQSGLNSEPPNLAEQDSEQSAADQTPAAAAVASPQY NTSQPENRAPAQYTAPAESRTAGNLSTSNTPQPDYTLNQTAQPPPPPAARPPPYPDYL ARQPQYHHAPNTQAGGAAGMAQATSPSMNLQDGPQNDHRNPTQIKSDTDVPIDPSIAA ASPTYPPPYSPYQPQGHDMAQYQGHPPPQMYARPDWPHQYGQHQGLPGPYSSPATTVG TASPVTTAGPRPGQVYSFVPIPGSQQHKRPRRRYEEIERMYKFSWRKANSRRSLPQLM TSSLASIDERLIHSFSIPPEFKEIRKEWKARKKEEENQRKAAEERERAAAQGADSNAP PDPNNVTAAQQPPQPAPYPAGVRPQLPPIGYQPADGQVPNQYGNSTGSGLVYPSGNGQ IPATYPTNYPHSPYGQGSQVYQQPPPPPRPDGQFSSYQ UREG_02215 MVDSQIPPKATHSTHHFEMEILEAPILDGARADTIRWSFLIRPS LLELQEPQLTNLAATPQHSFLQALKPEPRAHPRTQDITAALVSYTPWFRFCSIRRRRS RLRHRTMQRENMWFDLRPNVCGPWPSPQVPFKRDTKITKHPRLWPRHGFCAWTKVGAR ASSKPGTLCRTDLDISSRRSMWPRKNRKTIVDRTRNMLHCSSPCTPRKP UREG_02216 MDFRDKDTAVEQCELQIFDGPDEAECRVVIQMVCRHGVVKTYKL IYEPVEVQHAVFDKSKAQNQWVIDSKFLRGIVEYFGPTAEQLDMFTDNGKAVFTSFTT KVANGKGRVITCLYANALVCPLLITYPKKS UREG_02217 MPLYAFGSNGSGQLGLGHKEDVSRPTRCLFKPDSPLPNEVAQSS DQEDLVQLAAGGNHTLALFKSGAVYAAGSNGNGRCGYPAEAVEELLEFGRVAFYDGGR RVDQFAAVAATWESSCFVEAGTGYVYVVGVGLNGELGLGENVTEARSPTRMPNFPPGG REIICIAGALAHTVVVLSSGEVFGWGKGRKGQLGEAGRNKMLWSPQKIEAPFTAVKVA CGKEFTILVGNVEDGELAVLGTDRWGQRSKAPANLKGYKTVGASWHGIYSHGSNGSLT AWGRSDRGQMPPADFPRITSMGIGSEHVVAAVDCKRIIAFGWGEHGNCGPETDEQGNV NGKYVEIPFEGGVTPIITAVGAGCATSFVIAR UREG_02218 MGKKAIHFGGGNIGRGFVGEFLHESGYEVVFVDVMDSVIEALQN ASSYKVTEVSNEGEQTKTITNYRAINSKHNLDQVISEISTADVVTCAVGPNILKFIAP PIAKGINARTIEKPLAVVACENAIGATDTLHGFIKENTDASRVESLPSRARFANSAID RIVPTQAPNSGLDVKIEKFYEWVVDKTPFGEWGHPEIKAIHWVDNLDPYIERKLYTVN TGHATAAYYGYNMGKKTIYEAMSDEKIRGYVNDALSETSALIVDKHGIAAEEQRKYVD AIITRISNPHLEDVVQRVGRAPLRKLGRKERFIGPASQLAERGKKVDALLGALEQALR FQNVPDDDESFELAKILKAESAEAATTKLTGLEKDHPLFARVVERVSKHLQHSTSGER CYGKDMILLERHHAICKKTAKKGQNDDMKSQSDMTRLRVFRVHTQLLAVDPLEDNEYD DEKHLASITALTGPPHSITEEKDTAVLWSLVTGRIEQGGLCGGALERWLPEGITQRLA ATGWSARKRHELGGSGCWGQDAVRVPYALIRPCIASIPLHWSPSPGKPELLERSSFQQ SRNKALPYFIVIGSGIPLVVSGKDPVLAPVLVISSTGFNESETASIHSNAPSYVSAAP SYHSSAPPHHVRASDIAAGRGNDWHGRNNSLPQSSTLNLSQQSGLPSAQRYAPGFETR GGDLSNANIRSLYNIAEWVPVTGGLQARHYHNVAKRRVTDTSRFFPSLFSGQASGSRY DNAGMPEAFQRRFTAPSLSTGGISQAGESHNLSSSSLVNSSIQDPAVRADSPHTAAGS SSSLVNSLHNSAGDLPFSPLEDPDLVGEAAAARFRSQRIYRVLQQEENFYQQSQSSSS NLAQDPRPSAHVYQYSSLISEADNPRLPQRPSTAPGLSSEQSPEEAGPSDQRITATSR QRSNTTADYDEILRAQESKTWDSMLAQMADWEERQRSWKRFKEDVDRRLNSSRKVGMG WPVWSSGNGKRKLKKEQFGSHPGLKKWKSKVGLAN UREG_02219 MGVIRKKNAARGTDGGTKYHCDVCSVDVTNTVRISCAHSACHEY DLCVPCFAAGQHSKNHDPRTHPYSVIEQNSVPIYDPDWGADEELLLLEGAEIYGLGSW ADIADHIGGFRTKEEVRDHYIETYINSPNFPLPELADPKDKSLQEQIPKEEFQIRKKR RIEERKEAAKAAPPATPKQKPTASVPACHEVQGYMPGRLEFETEFANDAEEAVQHMQF EPGNGLNANGEMDAEMELKMTVQDIYNSRLTARTERKKILFEHNLLEYRKNAALDKKR TKEERDLMNKAKPFARMMNHEDFEEFTKGLEYEHNLRIAIAQLQEWRTMGIGDLKSGE KYEQEKTQRAQRAVPQGAFDRFASTRPKPPQFEGPSAAAQLTMPELPLRLQRKLVPAE PPPVLNDFDKLFASNNLNGTTASQPTKSKFVIPPINGLVPWRLENDKAPDIHLLSKEE VELCNILHLQPKPYLVIKEHLLKEAMKQGGTLKKKDARTMCKIDVAKSGRIFDFMVHS GWISKA UREG_02220 MALRSDKMSWKIAKKLKDTHLAPLANSFGRSSSTSTIKPDSADD NASLTPTVSATANPTTPNNGIVASEALVSPPATPVNPGILIVTLHEGRGLSLSNHYQN LFNQHFQNNYSGPIRPNSSSSHTGHTPVASSYAQTGRPQSTSGGINAAPTIHGRYSTK YLPYVLLDFDKLQVFVDAVSGTPENPLWAGDNTSFKFDVSRVTELNVQVYLRNPAARP GAGRSEDIFLGICRIRPRFEEAPKFVEDPKASKKDREKAAAAFAQQERQRGQVGAEWI DLQFGTGAIKVGVSFVENRQRSLKMDDFDLLKVVGKGSFGKVMQVMKRDTGRIYAMKT IRKAHIISRSEVEHTLAERSVLSQINNPFIVPLKFSFQSPEKLYLVLAFVNGGELFHH LQKEQRFDINRARFYTAELLCALECLHGFKVIYRDLKPENILLDYTGHIALCDFGLCK LGMKDEDRTNTFCGTPEYLAPELLLANGYTKSVDWWTLGVLLYEMLTGLPPFYDEETN EMYRKILQEPLTFPSSDIVPPAARDLLTRLLDRDPHRRLGANGAAEIKAHHFFANIDW RKLLQRKYEPSFRPNVVDALDTANFDSEFTSEAPTDSYVEGPLLSQTLQKQFEGWSYN RPVPGLGDAGGSVRDPAFGSITE UREG_02221 MRATLRLLANVKPGRYLEPFAPTGLTGLTTHPSPRPTLIYLYQT TLEKLKAFPESSVYRQSTEALTRQRLNIVESVKPAGFDSWLERVKAAVAENPEAYKTA LKPDGSYAAYEEKGKPSEEKDWGGESFNPQMEGAYLNEQEMEARVKEAQEEANKQLEP KLEWEPEPVLEAAQISDIEQQIGSGLIEEVIQTAQSELNLVEELAKHKVWEHQSKSSA QACECGQVALLRQLFARSDRVKGIDFHPTEPWSIIKTFELTDVPVRAGRFIARKNWIV CGSDDFQLRVYNYNTSEKIISFEAHPDYIRSIVVHPTHPFVLTASDDMTVKLWDWDKS WKCVQVFEGHSHYVMGLAINPKDSNTFASACLDRTVKIWSLGSSHPNLTLEAHEAKGV NHVDYYPHADKPYLLTTSDDRTVKVWDYTTKALIATLEGHTSNVSFACYHPELPVIIS GSEDGTIKIWNANTYRLEQSLSYGLERAWCVSYQKGKQGVAMGFDDGAVVVKMGREEP AASMDGSGKIIWARHNEVVSTVIKGGDATLKDGAPLTLPIKELGSCEVYPQSLMHSPN GRFVSVCGDGEYIIYTALAWRNKAFGQALDFAWGSKDNSNDYAIRESQTSVKIFRNFK EKSGGLDVGFQAEGLCSGVLLGVKGQGGIGMFDWETGNLVRRIEVDPKEVYWSESGEL VTLACEDTFYVLRYSRENYIAGVNAGEADEDGVEAAFEVVTDVTATVRTGEWVGDCFI YTTSTNRLNYLVGDQTYTISHFDQPMYLLGYLPRDGRIYLADKDLTVVSFSLSLSVVE YQTLVLRGDMESASELLPDIPKDQMNKIARFLEGQGYKDLALEVATDQEHRFELSLSL GKLDIALEIAREADIEHRWKTVGDAAMNAWDLALAEECFTHAKDLGSLLLLHSSSCNV DGLRNLAERAKELGAHNVVFSALWQLRDIDGCIDLLVQTNRVAEAPGTRTRAHLERES UREG_02222 MKTGLWAVSLLAAVLRPVFAGSNNLEKRADDKPSDDGSDKSTVF DGVRVPPLKEFNAADFEEGIKDGYWFVKYYSPYCHFCEAVRPTFQTLYEFYYTSNPLK SSTSKQTSSPESSLNSFQGYYDFRFASMNCVVNGDKCMELDVGEWPTFALYRKGELVE KFKGNNDMKGLSGFIEKWLESIKPGSRPRTEMKLPEPGAKSAPEQPKENDKVLAGDDG APASSKQPGRGADNQLPTPNPQGISVPLTAESFQKLVTTTRDPWFVKFYAPWCSHCQA LAPIWSQMAKDLKGKLNIGEVNCEVEKRLCKDARVNLYPTMYFFRGGERVEYEGLRGL GDLVNYAKKAVDVVGRGVQYVDAAAFKKMEETEEVIFLYFFDHATTSEDFAALDRLTL SLVGRARLVKTNSSVLAERFKISTWPRLLVSRDGRPTYYTALAPKDMRDFRQVLTWMQ KVWLPIVPELTASNAKEIMERKYVVLGILNRQRSDEFIQDKRELKNAAMEWMEKQTKL FQLERQELRDAKELRIEEADDRDDQRALRAAKNTRITIKEDDKKQVAFAWVDGIFWDR WIRTTYGIDVRNGERVIINDEENRRYWDTTPNGGFIVPSRTSILETITHVVSSPSKLS SKSTVGTFESIFFSIRTFITSHPIITLLLIAASLIVASSVARGKLRRGRSGGGLLGNV GGSSGGFFHLDGKEGLLGSGGGSGKVD UREG_02223 MSYPPIAPSTQAPTPPPSITATSDEKFKPKNKKGRKPCTKAIEK TKSQDSSSPIKKGERLHKRSRSGCFTCRLRRKKCDESKPVCKACRNLKLKCEYKRPMW WANNDQRKSHKELIKELIKNTKLNERGVPSSAARSVAYNYTPPGLSHSVHTPDTFVDG MIETRDPSLEPQYPLEHKFGQCHGQNPFDSLDSLDSLDPHTPLFETAPLWTAAAPYEI DIKTESEIYVNDIPTRRESTISTFSMFQPPLPHSMLPPLSDDRWSQEEFLQGHQESFF TEGSDYSPFQFPHAPVHISTIHVEDRDRPLLDHFFEKVVRLIFPILEANRPGAVRSEV ILPAIESNKCYLHCCLSSTGVHLKATQQLNSESIDNDILRHRYQTVSELCKALNDDTN HSDILEATLAMIFFQCSVGRPDDSLPDIPWHQHFQAATSLIHKLDLSRQLIEVDQVNI HPPFNMTLAAWIDILGSTMLGQMPQFAHTYRTKLFNGSSSGLSDLMGCEDRIMYLIAE ISCLDALKTEGRIDHLGLCGHITTLAKQLDQAEPRPESLIDCCADGTFQPRQLSKNMT ALFCIAARIYLCSLVPGFQRSQPSTLNLVSRAGELLDLIPGGPDGFDRCLVWPLLICG SYSVPNSSFRAVLARRMEQLCEQAEFGSFGRMVRLLQEVWREADSFAMAESEQARSMS PHGGVVIKTEDGAQHEMMAIATPMNDSGAPAVHWRDVMQKRGWDFLLI UREG_02224 MSNKKTVLQARIRGRSYPWLLGTGRRAAGTEARFFNCDMGPTLL LLISVGYHSSAGWSGTCRRLNHSAFEYAPKITNTISKLPVDCMSFSSSALNKISLKER ETPLNPDSRVGAQRLSSAQGLDGLVSLAACR UREG_02225 MEITYQLPQPQMGVRMLQMNTNSITLLPRRSSFNSRPLSEATEI LDTDDETEYFEFGSPRRSVESLENDSATTLSTPDDLPTPSALDTAFKIGLDVNKPVQG PTGPHLFRSSLDASIAGKSDESEWQFPSSPNPLDGARNSTILFNEQIFDSRPQVEEIR PGSALSIARASPHQDVNDVRAWTPAQVAEWMYEAGLEDMVVERFIENDISGTVLLDLQ IEDLKELDIQSFGKRHCLMNMIQNLRSGACTRPNELPSVRMSRSASMRRTGSKETPVQ SPISDRDTSRRRRNRVISEKDIISPGESVSIVAIEQLIPKPHKCSKGENCPKYQKRQR KIARIAKEFPNEFAQVGEDHVSVKLSSPVKPDDGPRPKSDATPSVVASSDVLGPSQLS DLKLNAENLNGVQPRDPQENVRQFLTFQHMHSPSNAEYNQPALEMFPPLSPPASSSLP LHMTNQLRSLPKLVIPRSSSADNAFSPDRTITPSLGLQPMGSATATQEMNPFQFGQDV FRQVTPFSEMDVPVTAMPIEPLDRDGSQSVPPNMRYGTLQPPAIDSIPRPPSVRPDHH RRRPSFAAMAPLTEGRVLPPINNPSDLRTIPLAKSQAQQVSSPQSAVEPGQTATTSSK HPDAAYSGWMKKRKTRLLRHEWHDAHFTLKGTVLAMQKDDRDSRALETIDVDDYAVAC SSLATNSKLTAAFKRSALRKAASLSQNGSSYRGIDETAFAFSLIPASGEKKSLFLGSG KSHHFAVKSRDERINWMRELMLAKALKKGKEGGNEICVNGNVI UREG_02226 MAEPSQVYYVTKAHGRSGRVYNLLAPDGTTQLYTIVSSDKKPHI TVWRHTPSPQPYPPPQIPSYQQHPQFFTDLPTPPPYDSSHHGTPQYPPSQESCIGTAS YSSFSSKIHVSLDTPHQGREKGPSTTTNITMKRPDILSSGRSFVSPMGTTFHWRKDAN VLVKTLTGSTDLKLVDHRGRVIARYEKHEQEKEGGGGFNLKGLMALVGGGGGRGKLVV ATREEPGIDLDMIVITGFAVIEDGKRSDEEWEEVAEFI UREG_02227 MPLPSKYQQLADHEACWTRSPRETVISHNINHNDTCLFTKSVGG EGASELHHFIYKPLNSKQQDQYEATTAYSPTIYETSVQVGQPEPTEWPSNKATESSSN RATDGTSLSGFELVYSTTLKPEARKPLHLPCFLLNTHSINEDFCGREDILERLAVELL PSENTVAASDTTLRQFALCGLGGAGKTEIAREFARRHKASFDAVFWVVADEIAKLDDH YGQISLALGLEDLSECKNQVVSREIVKGWLSNPRRYRSGFDEFGQLGQVRSEATWLLI FDNADDPMILADYWPQGSGSILITSRDPLAKTMFTRTPSGLDLGPLSKQDSLSLFNHL TSTVSEPESDVARRIFDAFGGVPLAICQMAGIIRRQDLTLPEFFELYTDHEEHASLYE TNFDTNLTTYRHSLSTVWAFEKLKPQARQLLELISFLDPDAIGEELLTEASVKLFSEV TKFEKCHYIEARTDLLQSSLVQRDKKKQQISVHRIVQDVVLATMNGARIKLMFDQAVR ILWANWPSALPKPSKEPALPQPKSAGGRLHVGRWPACAAIYPHVLRLHQLWSSISDLS KASGILFAKLLNEAAWYQKERGRTNQFDGFFETARSICESSTHADRDSLLADIHFCLG SVAMDTNDFHTSRIHKERSLDLVSNICKELGTADERLYLAYAERGISRIQDRRYKEGE ADLKEALRIRKALGNYIPRSGEANLSWALLAQGKLEECNTLLLDSLAGREKALGKNDR ESARTGLILYALGNLRAEQNLWNESFLYHQRAWRHMRDTVGERDFYTANAAHKFAEHL FRLKLHEEAIAIINGVLDVWSVDPNAHKNEIARTTFLKGKLFEAMGKAQKASIAFRVA CRLRKEITKEDRNAKTLTMKDFDEIVAFWAR UREG_02228 MDAMGASKPEPHDLVVVYSSRWGKWDVQQYSQDQHEASSEAASV PAGGCGQIVFIRGYITLPWASAIGSKYNIDPEFFRQHMDFFSPSVHSHAYSFPSLASS SNHVFRVCVSTLLHRDLNFREPDLQSQRSDQPTGLREYKLRQLRNNATVCCGDSIVRE FSTLCSSFSVVEQWISIHIARKDGGWAVIAWMDHGRPLEKSPPGPWTRHIDLRAVALP IIQYHPRMAFRTPKNRLDTEANFSAKVAQSTTVLPLQYDSLIALVELARLAPQDPLSM CIPLFAHAAFSEVQFLNVVESRIQTQINTLTEGVSTDAFETLQHFSNILSRHARQLKD STFAFRKLVERYSPGRTGIPKSVLTPGLGMGRQQTSAPEMASSDGTQSPGNAFKPNDL LEDYEQLYTRCIELSNTCTHAISVAMHKATIMESSKVIQQSERLKKLTLLATLFIPLS LTSSLMGMNIDVLGQSSVGVWWFLVLLSYSSMAPPGVNCRKLTKIAASFPAIRACIFD MDGLLINSEDMITQSINHLLEKYGRPAFTRSIRAQLMGIPNSTNSDVFHDWAKLPISR EKFAQESAEQMRLNFPNCTPRPGAEKLLLNLNRAHSASGETIKLALASSTKSHTYELK VSKPETKRLLDFFPSDRRVLGDDPRVRQGRGKPAPDIFLVALRSLNSAAHVGEKPILP SECLVFEDSVIGVEAARRAGMRVVWVPHPDIAIEYQATVKDEWLKSETTGS UREG_02229 MFSGGADASVHLWDLETRGSELGHLHKATASVSRSSNASAHTHA LTSISIYPFDPTPATILTTSHDTTLKLSSLGESDITPVHTFSLHSTPYSHSLSSLPSS HLLIGVGTSDKTVRLLDLRSGLATHSLPGHTGAVLSVQWAPHNPHLIASASKDNRVLI FDVRRGGNNSIIASLDMDDAVGVLPPPSAPPVFRPRKPFVRESRAHNGAVTGIRWTPR GDFIITSGQDSRLRVWDVTSGANTLVHFGPRIRNSASLHLAERAPLIIPDPMLSTHEG PFFLWANYSDPDDRGDIFIFSARNEGKLITHLKVPGVPSRERMRVSGKPSALSAARIN ALAWRGNGAAGEGMELFSAHGDGTIRCWASRTEEDVDEIEAAQEEQEQQERKRKRDVL EEVYRGLTQPGIRFT UREG_02230 MDETALIALGILLEETAVEALGTTGDMVFVEGAEIGDDEETGYE SGATVASARRSVSTAREFPGAIRARTVGVGRNDDDLTRVWSTRKKRRLTGLAKRSGSF NFEPIPKREVLSLMDRCPSTRRLNHSQYFFSTEYLQNKSLTCVDYYKQRMGPSKSLPP AAKQALILTHLRSTRTCHTLKDLEKMLPSIASINGMQVKDYIQALADDGKIHIEKIGS GNWYWAWAGEEKKARDKILSGLVKDLERIDKAVAELQSKVDMAKAEIGHSEGVEEEAE RREMLAKNENMEAEVLKLKSELDQYTTGKTGGSVDMMGADITRWKGEAEMWTDNIYIL EEYLKKLTGGDREILESLRREYYGEEYVEGEGLREL UREG_02231 MATASLPPLRLAIACDDAGVSYKDTLKAHLSNNPLVSSITDVGV ASSTDKTAYPHVAIQAAQLIKEGKVDRALMICGTGLGVAISANKVPGIRAVTAHDPFS VERAVLSNDAQVLCFGQRVIGIELAKKLASEWLTYRFDQKSASARKVETISEYEKKFG EVY UREG_02232 MSSTSTGPQFPSLPTTLLIISLKMYFTPSRTLEYLQELLNSKNE IVRPQNRSKLLLALIPDFLTLYPCSEAIRRFESSKSSPKEAKLPSSLLLGAQDCFWEA SGAYTGEVSPRNLRDINVSIVELGHAERRAIFGETDEQVARKAAAAAREGLVPLVCIG EVSPPEEHLAEASTRAAKECEAQIKPVLEALPDEAPVIFAYEPVWAIGQPKPAGVDHV GAVALGIKDIIKGIGGERRGEVRVLYGGSAGPGLWGAGGLGKVVDGMFLGRFAHEIGG VKSVVREVEESLE UREG_02233 MSYLKRVIIKAQRSFILKREHLTISIFFALHLLTTISSRIQKTP GDPVLFNNRALVRIKLESWAGAEHDARIAIGLYAPKNPAALKPQFYLSQALLGLSRPT EAYEVALAAYKTSIETKNPNSEPLSKTILRAKQAIWAAKESARLRETNETLRQVEELL ESDLERELEQLRQRFEAAEIGEVGYAEDQRILRDEARKKVEDVREVFAAAKGGDMKER VVPDYLIDSISFEIMHDPVVTPSGHSFDRVSILKHLQQNPFDPITRSPMTAKDVRPNY ALKAACEEFLEKNGWAVDW UREG_02234 MAVEKTPEFLAAIESSRKLLAKPTDDELLMLYALFKQGMQDPPF ESAPAPGTFDFKGKYKYNKWKSIVDDNISPEEAQTRYVDLIEKLKAKYGYDESKEPEQ VGK UREG_02235 MSNCPRFQEQPQDFGVSRGRGIENSTGAPLARKYSILLPSPSIR LSGRMEEGEDFGDGRSTKRLKTSHVNEWVLQSNSRGDSSNNRALQSLSRSITPPLSYR RRTSGALSPIKGLTEATKSSEPVRIESKLNVISSPVQLTHIRDLSDQSGHNGDTIKLR DILGDPLIKECWQFNFLFDIDFLMDQFDPDVKNLVKVKVVHGSWKKDAPNRIRVDEQC SRYQNVEPIIAYMPEPFGTHHSKMMVLIRHDDCAQVVIHTANMIPGDWANMCQAVWKS PLLPLLSPNNDREPSITGEIGSGPRFKRDLLAYLEAYGRKKTGPLVEQLKNYGFDGIR AALIASVPSRQRFPSLDSRKETIWGWPALQDVLRRIPIHKQQPLQSKRSRIVIQISSI ASLGQSDKWLKETFFASLYPHSAADGAPQLSIIFPTPDEIRRSLNGYGSGGSIHMKIQ SSAQQKQLDYMRPYLCHWAGDSENNQTPVSATDVLTHDSAIDRYPPKATPVREAGRRR AAPHIKTYIRFSDEDMRTIDWAMVTSANLSTQAWGAAINAKQEVRICSWEIGVLVWPD LFCNGSERRNESGEENKDKAKSDYARMIPCFRRDSPCLSEVERYEIEETSKKDADNTG VLSTLVGFRMPYDLPLKPYSPRDVPWCATASHKEPDWLGQTWEEG UREG_02236 MPIIPDGDVLNVDPKMNEDERVIVSLGYKQEFKREFSLWTTFCV SFSVLGLLPSFASTLWYGMGYAGTAGMVWGWIIAMVFIQCVAMAMAELCSSMPTSGGL YYAAAVLAPGGWGPFAAWITGWSNWLGQVMAAPSVNYATAGMILAAGSIYNPNYVPAP YQTFLLTTFLMLLHGVISSMPTKWIAEMNSYGSTFNILCLVIVIITIPAGTTNSPKFN SSEEVWGTIFKGTSFPDGVAVLMSFVSVIWTMSGYDSPFHLSEECSNASIASPRAIVL TSGIGGVMGWFLQVVVAYTVQDINAVLTSDLGQPWASYLFQVMSRKTAVAILGLTIVC GFSMGQACMIAASRVTYAYSRDDCFPLSGVWKRVNKHTRTPVNAVWINCVIGILCTLL IFAGDLAMGALFSIGAIAAFVAFSIPIGIRVFVVKDKFRPGPWSLGKYSPIIGGIGVS FVILMLPILCLPAHTGSELTPKQMNWTSVVYGGPMLVVLTWWILDARKWFKGPKVNVE HHMLDCVQIGIDRVDSQNFDKEKLQELESISV UREG_02237 MFPRPTRLNCRAVLSSNFARPACSPRYHNRFNSSDAASTAKKEA QSAAAGAAAATITSSAAKKTASTGRRLRRVLWTTAAVLGMGCGYVYMTDTRASAHRYL VPRLLRWMYPDAEDAHHAGVDMLKRLYRFGLHPRERGNPDGDGRLVTEVFGYTLCNPI GISGGLDKDAEIPDPLFDLGPAIVEVGGCTPLPQEGNPRTRVFRIPSQNALINRYGLN SKGADHMAYVLRKRVREFAYANGYGSSEDAEQRVLDGAAGVPPGSLAEGKLLAVQIAK NKTTPDNDIEAIKRDYVYCVDRLAKYADILVVNVSSPNTPGLRDLQRVEPLTKLLKGV VEAAQKTDRHTKPYVMVKVSPDEDSEEQVNGICDAVWASGVDGVIVGNTTNRRPDPLP KGYQLSERERTTLKETGGFSGPQLFDRTVSLVSRYRNILDSHSMRGAQPEASSDTAVA DDTTDTPSANASSLTQASRPAKVLFASGGITNGKEARAALDAGASVAMLYTGMVYGGS GTITRMKEEMRGIEAGEREQSK UREG_02238 MELDCPNGQATSASPARKRSILSKFTSRFSNRNRNISEFYIQPD DPWKSYSPGDAVKGAVCLTVVKPVRITHLVLCLHGYAKVYKNPVAPGETAEDSGFIAT GRGRRNGEYLGNGLATLFEDEVVLCGDGRLKEGIYKFRFELCFPPYGLPSSINFERGT ISYVLTSTLTRPTTISPTMTCDKRILLLEAIDVANLPVPKPRVISLEPVSKRSKSRSK TKTNSTSSDAPARASSTDPQSSDPGPPLSPVPSERSNSSCVSNSTQSFQIVSEPSSAR CMAQRSDELWNGATSSASQEITATTRVLRSGVLPGDLLPVNISIKHTKPIRSPSGVII TLYRQGRIDMYPQLPIGTPEKGKKPVYEDYYPKSRTGLGGLSFGVTRTSSVFRKDLSQ LFCPLIVDPGTMVADIKTSIRIPEDAFPTITRVPGAMISFRYYVEVVMDIRGKLAGQD RLRPRLNMMTNNLYGSGKVNTAAEIQRGMTTSNVAGNILDTDQVRRDKSVIACAFEII VGSKDSSRTQRQDAPSESEQTQAPSTSQDEPFDQQPTTQRIQFVDQHPPQHSEYDADG GADWSSHPPPHSEYSTESPPPTFIPPAQPEEVVDEKTRLRRAEEMLLPSAPPAGDEDA AAGPSSVLLAQPSAPTLSEDHSHYSHIHDHQHQSDFNSLPRNGSPAASALLRIRLLQP TEPQTIIHVRLSTLFILLLPLLTPGQNLPAMRMQTLPPPNPTPQTINRNLSGNASLIK LVLLPIRTLTLTTLDSMLPQISNPPRQFSQRKILSSAIPLLELSPKSEENRCLDTSAE TGIHNLVTSWRLEVCL UREG_02239 MSTNRPFLANFLAAFRAQSAYKVAKSPSPGPSAPLSPTHVGSAN SSSSNTRTITSKSAAAAAAATAGRGSNSNGMSTSPSQAAVAASTSSHFSSHHHHHHHS GRHHHNHSHNHSHSASPSPSTARSQPRSSLTPTSTTALGGASTAASPVASSTPIPVPH NRHRRGSDSSSGSGGFRDALGSEKWYIGGRNACGEEKFYPLGMVTKGGDSAVEKFSDY GC UREG_02240 MQEEGNEGGAEYYVAGCHTQTHTRERGSEKRKGEKTEEKRQVDN DDEQTQPTMISLFAAIHRT UREG_02241 METRCVLTNETSQDDVRGSTKEGVEAQLATVCLDLSSESRIPAL DDGKLHTQRRTEVEEERGDVKEEERDKGKGKERKVALRGSGKSPPRLKGIDERLCNER ERTRIKKKESERDGAAKRGSRGVRGFRNGQEQNGKKMDLEGVERWICFLFGFWTGAEW EPDFAFFF UREG_02242 MDPNLRPQVSRPPSAGIPPQGPPPPLSNLHQYQMHSPYAMAQPH TLPPLQHHQNPAPMPHTYLGQPFRNDMPRYPTTTAADVYAVSSAPMTTHAPVNSLPPS TFLGHQHQQFQPHHMLPPTTATQAYPQPIAPAPPRDRRPEYGDTGDRPYMCVLCKDTF SRSDILKRHFQKCSLRRGNPTGVSHLSHPHAHLKRAQAAGVVPKPVQGDVSSSFSTSN GIVGTTFGETPVNGVAVAPTQQPRFAEHHHLGYQMPPANGMNRGPVDHAFTPNQVHPR SPWMAEPKHNHLLMQPGTDTVGQLNHVGLPPIDPTKPPTTLPDNSKRPGPNANGNNNA TGNASDIDWSTMLQPGAHEGYMNPVFPSTMAPVSDAMRAQMDNDRKFYPAATSGTQES SGLNGLYLASTSLGGDGTSGPSHPALKV UREG_02243 MLPRILRFFASSLIDPDVRPHDGWFSFEGVPLKWHYPVGLLYDL YAGAEPVTSKSPSTPGPEHESGRQTTHGEESDEHPGLPWRLTVHFHDWPEQDLIRLDA EGKVLHDAFINSVKEADCLRNGTAKRIMALSKEDSSGLWKSVEELGPLTPKIL UREG_02244 MASDAKMIEEFCSKRFDYLILGGGTAGLVLAARLSEDPSITVGV LEAGELEPDNAPTGELLLNFSTSPEDPARDWGFQTEPQRYADHNVYDWPSGKVAGGSS VTNHEILSRGFKAEYDDWEILGNPGWGWEGLLPYFSKVEALQSVMCGDNDSQNAHEPN ENVAAEPVEGTTVRNGTRYKTVWHHAWAELGISECNAGLKHRQGIQVKESPANLPASA QFNSMAVYYRPSMHRENLHFLPTILISSVKFTKKEGSKLIATTVEFMHFNKTYKCEAG MEIIICCGTVMSPGILERSGIGSKYILDGFGIETLVNISRVGENLQDHSYSYNLVEMP GEESLLNERLEQLEVEGTAVENRNPSQNGILSSMSNLMSYVAYGQASMGRVSAPSEID LDAIRRTPLLRKQYDLTIRRIRNPKAPCFQYLIVPNYPSNLLLEPSSEDTENCVGILT SVSTPFSRGSVHIESDNPQTYPSINPKYLEHPLDLRLLRAATRMSLDFLATGAVTSAV KDVIIPCDPMLTERDFDEHSRETCESFVHPIGTCAMMPREDGGVVDPDLIVYGTENLR VVDASIIPLHISGNIGWTVYAIAEKAADMIKSQQHKFRNAV UREG_02245 MPKLICVVGVTGNQGGSVAERFSKDPDYRVRGLTRNPDSPVAQK LAARGIEIVKADLDDVNTLIPAFAGANVIFSVTNYWEPFFRPDCRQRAAESSISCRKY AYDVEYQQGKNIADAAAATVDTLDENGFLVSTLSHAGKCSQGKFDELYHFDSKADIFP GYVNEKYPNLAKKMSCVQTGFFNSSYKLVPEAYFQKMPDGTFKMCFPTTPDAKIPHLA VNEDLGNFVYAVSKMPAGRAYMAAGSICSWSEYMRIWSQVTGLKSSYEQVTIEQIIKS TPDKEFGREVGDMFAYSSDPGYDGGDDSLLKAEDLRAAGIDCPMTTLEQWMRKEDWSP VLAA UREG_02246 MAGSSKDGGKLPVTCRYARDPSGGPAARRREPCTGALDDDSAYE SSISGSDSTSLRSSITNYQYENGRRYHAYHAGEYFLPNDEAEQDRLDLQHHIYRLNLG GSLYNAPIKAPQRVLDIGTGTGIWAIEFADEFPGALIIGTDLSPIQPGFVPPNVKFYV DDFEAPWEFGEDKKFDYVHWRSLCGSTNDWPKVYSEAFNNLKPGGWLEVQEYDAWIFS DDDPELKKAPNTLHWVTKLSEVSDKVGKPLNVAQFQKKWMEEAGFLDVQEKVIKVPIG PWARDKKLKELGRFERLHMNESVEAHSMAFFTRGLNYSTEQAKVTFELVRNEFNDKSL HLYTVYRFITGRRP UREG_02247 MIRSDRYSYTRYPVSRVTIVEKAIPHGIHKRVVRDLSDRLSYVK SSDLRVSQQPYPLDIIMALNMSIGIHTKTLKIRSKGTKTDISLAATAFFLGIIFSLFP YDYPLLWSPSPTPASHYDYLEAHLKFLHASPPLIPRILHIVIAIGLIGLLMKLYRPSE SNMLFDGASLVLYMCGVIVYIANIVKGLRIVTAGDYGAKVAEEASDQILGQGRTV UREG_02248 MAQTFVPDEVDDFALETPRVPHKLQSLTALARFEFEAGKGNEGT KILMVEWEDDDVTRSAVGSWRVAWDKKHTVLPADDRTSDHVRRCYFLLPPGATIPPVI TLTYEPPVGSAESVKRPGESIQINPLPAIFPPELGATARTAGKKGVLHTIWAKKRMQV LEKEIKEESQNNLEGVALAMVLQEKEWIEANFGVAGRPPTLQSSLNLSTNISSVPLSP RTPLSPSGSKLSEKLKGLKLETGQNDLARRAADSGTNADPDLHPLSPDEPDVAISSFN SFASTPVHFSPIQLQQPLKTTSHAPPTSIQDHQAQLHTLASVSMHPIHNIRHNNFSGV PDMDSGDGLFAKALSPRSPDIPRSPFSFSPEETLPYALKASR UREG_02249 MAETAPRADSVRNNPSHSRPREIADPGTQRRGVWKPEVLSGMGL GVAKDRSFAVRRDSNTQAS UREG_02250 MPSSPTKPTLAPLDTSKALVFPSEFHDSPLFSAKFEMLKHEDAL KTPITPPVAYTDLLKTLTPMVATPLSSSTLSTDKSSNPSTGTSPYFCNCEQHPRSLTI PLKTPGSAATTKSQPERSQRTPRTPLNLRPLRISASAKGSPITESPRSASLRSILSPA GEWPVDAKVRYLDASRCSSCARPVTVRQVVTRTITYKRTPLDPAPRGKRRKMEEKK UREG_02251 MSDTKPANTATPDLGFLSLHPFVPAVVQDKIKGTIVGSALGDCI GLYTEFLSSELAREAYPDGKFRLANPATKFRNDGHRNKFEIGSWTDDTDHALMILLSF LHHDGETLSANDLAHRLLIWVEQGLRALNRPPLGLGRTVGSVNGFPQQNPPEFVDKSG RKVAPNGSLMRTHPLGIICVGYSFEETCRIATDFSLVTHADPRCVVACCIATGLVRGI LRGEILAEVDVDNMIERVYGWVDRWTRSRQTEPKDLESNDTSEFEGGELLDQQEFSKH VQAQTFEELQLDDSMKIGYVYKCLGAAILSLRMAMRRAPFNTVVAGKHTDTLPSAIFE SIITELTLAAGDADTNACVAGAFLGCWFGYNALPPHWRDGMQHFDWLTHKCNGLIEIL QISREPESKYKGKEDPDTRPDGGKGLMDREELQKRDCHFMLRYMAKHSEGAEEEKARL KQEKKPKQKRWTGIFGGKFKE UREG_02252 MAATLHNKEGENELGPGHNAASATPQAASFTGVVVAPDDEKDPR FSSSTSGADSSGKTQNDNADQIESIQRRTRWYSKLNPLRLRRPPPVPKERSVSRESKA GFFSVITFQWMSPLMMTGYLRPLELQDIWVVSPERSVDNLSEKLDASFKRRVDRGDRH PLLWAIYETFKFEFWLGGICQLFSSLLQVFTPYLTRYLIAFATDAYIARFTDRPQPPI GRGIGLAVGICVMQAFQSLSTSQFFFRGMMVGGQSRAALVNAIFAKATKISGRAKAGG KGMEKNPNEAGGSDAADLQSARDEVMHEISHKKSGKNKPGPKMSAKTAADIAGDGSGW SNGKIVALMSIDTDRIDKALGLFHLLWTAPIIIIVALILLLVNIGYSALSGYALLMLG VPVLTYSIKSLIVRRIRINAITDQRVSLTQEILQAVRFVKFFGWESSFLDRLKGIRKR EIAAIQFVMSIRNAILCVSLSLPVFASMLAFITYSLTDHKLTPAPIFSSLAIFNTLRL PLNMLPLVIGQVTDAWTAICRIQEFLLAEEQKEDIKWDPTMVKALELKDAAFTWERLP TDPENENDKAKGAKRGKKQKAFQTPIERSDQATDSGIHSPAEPFKLTNLNISIGRNEL IAVIGTVGSGKTSLLAALAGDMRLTAGGVAMGASRAFCPQYAWIQNASLKDNILFGKE YNNAWYNEVVEACALRADLDMLPAGDRTEIGERGITISGGQKQRLNIARAIYFDAELI LMDDPLSAVDAHVGRHIMDHAICGLLKDKCRILATHQLHVLNRCDRIILMNDGRIETV DTFDNLMRDSETFQRLMATTSQEEKEDEREEADEVEVEQKPNEKTKPIKQPVMLMQQE ERAVNSVSWGVWWAYISSFGWPTNFPLIVILLVLCNGANIVTSLWLSYWTSDKFSLPQ GEYMGIYAALGASQAILMFGFSTILTTSGTNASKTMLQRAMTRVLRAPMSFFDTTPLG RITNRFSKDIHTMDNDLCDAMRIYYLTFTMIVSVIILIIVFYHFFAVALVPLLVLFLL AANFYRASAREMKRHEAVLRSVVFAQFSEAVSGTASIRAYGLQNHFIRRIRAAIDNMD SAYFLTFSNQRWLSVRLDAVGCLMVLVTGILVVTSRFDVEPSISGLVLSYILAIFQML QFTVRQLAEVENNMNATERIHYYGTQLEEEAPLHLRELDISWPQKGEIIFSDVKMRYR EGLPLVLQGLNMEIRGGERIGIVGRTGAGKSSIMSALFRLTELSGGSIRIDGIDISTV GLNDLRSRLAIIPQDPTLFRGTVRSNLDPFNQYSDLELWSALRKADLVGEVSSVTGPK QEFTESHENVQQQHQQQRIQLDSPVEEEGLNFSLGQRQLMALARALVRDSRIIVCDEA TSSVDFETDQKIQKTMAQGFKGKTLLCIAHRLRTIINYDRICVMDQGRIAEFDTPMAL WEAQGIFRSMCDRSGIVREDFVTD UREG_02253 MSSAYHASSTQAAIAAEHDYAAHNYHPLPIVFARASGTSVWDPE GRHYLDFLSAYSAVNQGHCHPELVKTLCEQAATLTLSSRAFYNDVFPKFAEFATKYFG YDMILPMNTGAEAVETGIKIARKWGYKVKGIPQDEAIVLSVENNFHGRTFAAISMSTD PESRDNYGPYLPNIGSKIPGTNKVITYNDKAALREAFEVAGPKIAAFLVEPIQGEAGI VVPDEDYLQEARALCDKHNALLICDEIQTGIARTGKLLCQEWSGIRGDLVLLGKAISG GMYPVSCVLGRKDVMLTIEPGTHGSTYGGNPLGCAVAIRALEIVRDEKMTERAEQLGK VFRDGLVAIKSPIIQTVRGRGLLNAMVVDESKTGGHTAWDLCMLMKAKGLLAKPTHQN IIRFAPPLVITDDQMKQALKIIEEALTELPNLKGAEEDRIIPPSERHVKVNDIDQC UREG_02254 MPSLSSEPPGSLRGDGLEHPLESPFDKLRRNTRHVRRHIQQRNS RDILLFLVGFRILNALCVRTFFQPDEFFQSLEPAWQIAFGDESGAWITWEWKHHLRSS IHPYIFATVYWIANQIGQQLQLSPLSRADLLIATPKATQGVLAALGDYYTWRLAGKAL GTSDEIWWTLGLTVLSPWQWFCSTRTLSNCLETTLTVAALYYWPWDWSTAGGSSGQAA RQPKTLEPEQSHLRKCLLLAALACILRPTNLIIWLCLVTFPFFTIGFRDGFLARKHVI LIRESGYPLLLTTAVPFTLIGLVRAFGPTLDESKKLQASMRRQLATICIAMPMILSLI SHKEVRFIYPLLPSLHVLTAPVLAKFFGPAISSSSRSYVPRRLLLSFLILVNLVVAIY TTITHASGPVRVLEYLRNQNERIAAEVDAQKALPQPLFTSHFSTAKLSKGLTVGFLMP CHSTPWRSHLVFPSIQAWALSCEPPVNFNESQRAAYLDEADQFYADQQSFLRTNMVGG LKHLPRTPTYQKSQPSDDANAAKEHKHSWPDYLVFFAQLEPTMKSLLRASSYAECYRT WNTAWHDDWRRKGDMVVWCIDPAAQREWREKNQRVHLHEDGWEGLMKSKAKQVDKIIE QLGKEKTGAWNRKRKQGNAWIPTFSLQRSSSWQFRKPFAMMDLSWGGGGRRSWYRTWS WPWEKRRKPSWSQMIRAWYDNKE UREG_02255 MAQWEERRISSQIVDNKNAAQHSGRNRSSSSESACSQLCLRGRR AVDIALGFSRTGQPSTVSLGLKPKSIFSGDYLPSRQPHQLPHTVISIARLAEQEMPSA LSKRQQARHERTLQELINTVPGNDRCADCQARNPGWASWNLGVFLCMRCAALHRKLGT HISKVKSLSMDSWSQDQVDTMKSNGNATVNKIYNPKNIKPPIPIDADEVDSAMERFIR QKYESKILEDGRPKIPSREDPSYIGKPTEESPPPLPPKPSRRFGFGLRSSSSHSGSNK SSPRRESFGSISQGSLHNNKPSRALGFSLSDSNSSFESKLAMLRNMGFPDDKRNATIL RGLNGDVEKTVDALAPLPRSRTPTLKTPTSTKSSEPYERSTPLNSNNPFDKMGTSSSR GSAGISINRRESQSTTASTDEVSSRKPTSYNPFDVPPAQHAPAPTLEQSFQNLQVSQP LFPNMTGGYPSQSGQAAYLKYQQPMTPPVTMTSQNVFAGSPAPLNGSYNPFFQSPSTF DSATSAPQQPSLSPTNPYFAHAASQDSRQSQLLNSLQPAATSSAPEIFHLQHANTMPM LPTLSFTTPQQHHQQQHPQFPQSAPITPVHSTSFFQPQYTQQPSQYFQPPQPTRMDKS SILALYNFSKPPPTILEQPQPQTQPEPPSSQVQAVQQPVNPLPFPPDNPTATTSQIPD ASPAGSRNPFFTSMNTNIIPTNPSSATSARAQPRSTPFARAHMSQESVDIGRAQSGRH SPDVFASLSARYG UREG_02256 MDCHPLVRFLKVEKDVRKDEIVGEVRGKVGHFRDYCLDPNSRWQ ELRHPEPFVFFHPQLPIYIDSRKEGTLLRYIRRSCRPNVTLKTFITNEIEYHFCFVAN QDISSDSEITTTWYLDPQLFPTSNGFVKQENPNEGIPDAAAIAISNVLAHFGGCACDS SQQCLLANVDRRCRPRPPESTAKQANGRRKKTKTKSTVSPASTGRATNSRAGSEIRKH GEDEDQSRQRSTSASVRDQPQSRDLSPANHATDIFGANGTELSAREKRKIAAAEKKFE QLEQDQQHAQKKRRRNNAGNSISVGLTPASCGNGDFVPNYLQKSSGTKPPRLDTIPAH RSPGTSIKASPGSATSHRESRSTPKTSAASTPRIGSPLTRVHYVDSCIQTDSDEVYPH LVSQKSSPRPDFVPLTRRLFSRYHHDRLHLDESNRSQCVPTKQQIASASQLTRIKSPL SQDLKDVEMKDAHTSITPQKSMHPPSQSPGPPAGELSDSMAKPPPFPLPSTAAHNILV CHIPNDQGAKLRVKPPQMQLSTPPVSSSTDTPSSTQSSHFRSPLSAKAFPNALAPGLG ITAPSPVKKRMSLGDYMSRRGNLTTPTTEKVHTQIVGGYQQVVSSTHLPDGTNPMETE QTPTKVMRLAEEAADRERDLMSDVVMKDAPPLYSHNDSPSNSSFPRDPRIHPST UREG_02257 MATTDPSLSDPLLALRRAIASGSSPVLTTSPDLSIDDATEDLTK ATHLYVTQPIPQTIPLSTPTRFVSTAANQPIDLRSIYFAWQKKDVAIPEYISSAQELN DALGKKEGEAEDGQRTKILNLVFVERLDLITWLEGASEESEYIKPLEGVGLAGVSDAA AAQAAVASGAMGGVPDSLAKAPAITQVGGRPMKVIDPRLQQIYNGERKMGDRNSVLRG IRPNDFSHVRKVAERFLGRSRAGAAPYPTSGGKPGVKSSVPAPGRGLIPKKSDSGSSR RPNPIILVSPSASSLLRMSNIKTFLEEGTYIPPDHPTLSKSTGANRLKISRALHSLND SSSAAKPHTSRSGTVFILVDSTADFKPEYWNNVVAVFTTGQTWQFKSYKWSSPPDLFK HATGIYVGWRGEEVPRDVKGWGRGVRTFAVERWDEKSANANGGAMSGRTRWRDREVVE GIWGAIEEGMKAKGWGSK UREG_02258 MSTRSALDKYREGSKTLPHSHRSYIPLDHHNHIASASHHRASTI SSSRLSRRSLAAIALEKTSTAFNNLVSLGGASNPSLRSSPAAFNGNPLKHPPSATSPA TEDPPRNTFEGRSGQHHEGGRGGGAADHLGSRLPLHAESNGDPTHPSNVPDSAAYTQG NNSDSSISNRRNTKSVGHSQMPSAKMHQTSSRLLRMTSDERPFCRDFKDLFSTLMVSL KLESHRVRFSKFDHTFMSEEAINNLGSLKFSQSNRMPDPKDPSRIVTTTTTTTFSMAR EMARSVCQRFVDARFIEPVDGRVTAQFPLKGALFQLTPKGIHILHRFCQRNGITARHV MDVLESPRNTMQLVILERDIQTDQVSRDRATIEVIFRRFAGVDGPNLKNNVSSSDSDS VSDYASGTTGVKMAKERKIGDKIIQNSFTGKAAADWILDCCTAIDGREPVEIASMFVK YSLMYAIVEDKAYMQMNPGATTFQPTKYAIYGITEHGQRVCGWIARDKVTPNSMDGRI VPRDSNNARLNHILQDPALRLLFREFLRDSLCEENLSFYLDVSTFTADYHRSEKTGTF AKMDAVRETLASAYGLYNAFLAPGSPCELNIEHALRNSLASRMTRAVGDDAAMVQSLT EVVSLFELAQVSVFKLMSSDSVPKFVRDPRYATILQEHDFELNSSGRSYSPTPGPIPE RSMSRAARS UREG_02259 MSSGQTDASPTVQSARGRGWFQAKGEGAFISFEQRWQPGTCWQL LDSGDRPRLRPCIPSLAAVVQKCDEVITEADPLASGTVTKIPSIPYIRP UREG_02260 MHCVLRSSGLPSSWEPWSWSISTLAGTIFSAQGAWTAVDFVRPV GDSPSLTLHPSRQEDHNVAEPSSASNYNEETEGSRQRIKLDNNARAV UREG_02261 MGELIFEGRPACLHPLSKSYFPRDTGQGLGSVGACPLVLLMKLL EGTFAGSCDGISSPGFITRKLGQDYCQSSLLYPGPEAEWKIDPAWHAKPCIVRIRMSA HGPTANSPQLQHHAWHQKPNQQDRLKPPIRTPEAALSTCLICTQNNTKSKADSQEFAF LAIKTAYADHKDTMQVILKRR UREG_02262 MTESRTLRSSKRRKLSNTALVKDDDRSDFLHEAKESVEPNVQGK TRSSSRLKKAQSNGAPPKPSSSRARQTDPQKENTGKTTATKKRLKPVASVGRPKERNK DLEDESIWDIPIEVDTEQDGQGQDGLVGALLSRACGPQKDVEDADSSAVAAQLQLELS RNQLVIPLPQLLEDTPYAAKLRSFCESHGLEDMTRSLAKFLLEKLNGTQLLPLKGLAA EYQAVYQLIEQTILAGEGNSMLLLGARGCGKTALLETAISNLSKSHKEDFHVVRLNGF LHTDDKISLREIWHQLGREINVDDDLSKTSTYADTMASLLALLSEVPNDASDAFTTTK SVIIILDEFDLFTYHPRQTLLYNLFDIAQAKKAPIAVIGLTTKVDVMETLEKRVKSRF SHRYAFLPRPRTLAEFSEICMAGLKIDSVEIQDYSLQSRQSHHSDNIRSIVKSPKFQT LLEQWNAYLERLWDDKPFQTHLKTIFHRTKCPKDFFTSALLPVSNLALGPSHKPLLIP TANSFTSDILCPDPAPFPFPTASATSTSTVSLPVSLLLAATRLTALHDPNLSSQPQSL IPVTLSFPAVYAEYVRLLTSAKASASASGAAATPGRIWGKEAAREAWEKLIGWGLVVP APGGGGIGDGKTYKIEISFEEVVDGMGQNGVGALGRWWRDG UREG_02263 MPRFKRHSGRRDSSVRNLKTLTIDINSSSEFPSLSSGSQPAQTP TPGQAIWSTINQRSTQQNLIQRQLQPPVSSQAPSRASQTQSNQPSQSHITRDDLFPSA SQFATQLDDFRNGGQGISGQLSSNTQPQTGNIEEFPPLGKHAATDLGQDRRESLIQAG NLAAYGNTMAYPQGIPGQGRASVGSNLQGRRDGGSITSPGPSASGSCIDDSTSIMARQ PTTRPEGFAEQAQQTAAFPEENQPQEAAATSQGTDQTPLAQMSDRDKFGLAGLLRMIH SESPDVASLAIGQDLMSLGLDLNHPEPLHPSFASPFVPSGSGVPLQPDFTLPACYNVA NVQPLQTRIPSFTDETLFYIFYSMPRDIMQELVAEELMGRKWRYHKVERAWLTRDDSY PNPVEVERGVSERGVYLWWDTATWKKVRREFILRYADLDNRLDPGRNLMRGMTFPQAS UREG_02264 MASKSILSFMYQQGGSSLVELPPAFLAPALYCPKITFKSQRSHF SCSSTPCARDRSRHRGVSAIHRTGPKQALPVSKFDLPKPIPATQHEKRPVNPEHGLWG FFGQDKQTIPTPEDEYAHGRAWTIQELRQKSWDDLHCLWWVTVRERNRIATSSYERKR LAAGYGDFESENRDKTVRTTQHAIKHVLRERWYAWNEARDLYNSGYRPSQEQVLEDAD GIAAEQEGPEELGSANKPEPGASKII UREG_02265 MDDIAPEYDVVVLGTGLTECVLSGVLSVKGQKVLHIDRNDHYGA EAASVNIETLFKKFGNLKPGEEPWKKYGRVNDWNVDLVPKLLMSNGELTNILVSTDVT RYLEFRQIAGSYVQQGSGSKAMVAKVPSDAGEALRSSLMGLFEKRRAKKFLEWVGEFD EKNPSTHQGLNMANCTMKEVYDKFGLEDSTRDFVGHSMALYQSDGYIGVPGGASETVN RIRLYVNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTDVDEILYENGKV SGIKATMKEKGEPGPGMRFTTKTKKIIADPSYFPGKVRVTGYLLKAICILNHPIDKTD GSDSLQLIIPQSQIGRKHDVYIAMVSSAHNVCPKGYYVAIVSTIAENEANHHLELEPG FQRLGKIEEKFMGPPIPLYEPIDSGVEDNIYISKSYDATSHFETTTDDIRDIYKRAEG HDLVVDGLREGTTLVGEQ UREG_02266 MRIVEVIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKTKSPIGFEEYASIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKPVE ILSMIEEAAGTRMFEDRKEKAGKTMAKKEMKVREIEGLLQEEVEPKLEKLRGEKRAFL DFQQTQSDLERLTRLVVAHDYLRNGERLRMAGEELENKKRKIDELETSTSRMKGEIAN LEEDVSKVKAARDKELRKGGKFQALEDEVKKHSHEMVRLSTQFDLKKSSMAEESQKRA DAEKAVQEVQALVQEKKKVYGKLQAQYDSAKAELDAQTAEVEQKEELLQTLQTGVASK EGQENGYQGQLQDARNRVSSAATEQEQSKLKIAHLEKRIKEEEPRAKKAMEQNSGLLK DLDNLRRHAKKLEADLAKQGFEPGKEEQMYQEESMLQKQIRELRGQADSLKRRVANID FTYADPYPNFVRSKVKGLVAQLFTLNKDKSETGTALEVCAGGRLYNVVVDTTDTATAL LQNGKLRKRVTIIPLNKIAAFRASAEKIGAAKNLVPGKVDLALSLIGYDEEVAAAMQY VFGTTLICQDADTAKTVTFDPSVRMKSVTLEGDVYDPSGTLSGGSSPNSSGVLLVLQK LNEVMSELSHKERTLRILQETMAKEKRKMESARATKQELDLKLHEIKLTEEQINGNSS SSIIHAVEEMRANIEQLRKDIADAKSRHAEATKDIKRIEKDMSEFNDNKDSKLAELQA SLDNLKKKLTKNSISVKTLQKELQGAQIDSEQAGSDLTTAEEQLAEADAALKAQMQEV EEIKREQKRCKEAHDYAQTRLEDEQAKLTRFDDELHDLEEAKRSKAARITEDGLELQK LGHQLEKLQKDQHHAAQSVGGMENEYDWIKEEKENFGRPNTPYDFKGQNIAECKASLR NLTERFQGMKKKINPKVMNMIDSVEKKEASLKNMMRTVIRDKRKIEETIITLDEYKKE ALQKTWTKVNADFGQIFAELLPGSFAKLDPPEGKEITDGLEVKVSLGKVWKQSLTELS GGQRSLIAISLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFRTRFSEGTSVVQALTPADLK UREG_02267 MADVEPSLNIPSLLTLAVVSFFVIRWFFSRGDGTGDASGSDRNG RPRVDPAQVEQVVQMFPQLSRRDVMWDLQRNGGSVAATTERVLSGRGLENPPPSFQPN IPAAQSPASTATSSSPPPSKRPTEDLITRYNLSSRLHEDISGDTSAVDSGSGTILRSE NAWSQNKSERQRLLQKRRDDMILAARRKMLEKDHATSQ UREG_02268 MAFPLPRGITPPEITFLCEMEMVTIVPRQRLEGLELLGGPIEPL IPPRRSSLPLWLALLLKRQRRANILAPPWLNNEWLSELLRIETDHDTFLPPPPLDCPA YTGNVARRDGRRGGPSGATRTLDGQKYIPSPPFLLQNTVDDQAIAPTNPWLPYHWLEL ATMLLDTASDDLVEPDQLRRIIRDVRELRMAKMRKFTELVDVTAIGGGEGLPLTGVGA MEIGEARGFMSGAAETLRQIGASKEEALREQGELGGEMQNDYDEDDEMEDL UREG_02269 MRKLFGLKKKDDRPSRHSMTAAPPSTYNPQQSPYGQGSHPYTPT PQTPQQYAQNRHSYTPSHQAYSHQPQPQAQPQPPLQQVQAPLQPNTVRLGEPFRSQGD ELTQRGDYNGAVVMYDAALRAAPNDIGLLLSKTTALSMSNPPRLDLALKDADTVIQLD PRSWQGWLEKGRILSRMGDMQGAEEALTNAVGFAQDYGKNVAQSALADVRARRTQFSA SSTTTSSPAFTSSNISSPPSFSSPPLINVSTPAEGSTSTIPLRPAQQPSQSNKAPIST PPPQTASPRTATQSPLPPQTTTNPATTANNTTSSQTSTLQAPDNTNSAWTFSSPGRAT TPRPNAQNESSSAAGNTTGQPARANAVNRPPNTSNLTQGFNDLIDWAENTPSESPPAY SRNLRDPAQLQRQMDDLNVALRQKNKGALSISPYTLPGNIDAIRLLYIGLVQADLTAR EYGTPTFLHPSYIGSVSYPGNTFLDMDCETSAYYEGKYPATITISGYSEEYRAHQLLQ QPVLKISLEADNVFPEVPLAKVVERLRKLRSQPALEDDEGLKDLLALPQVALLRSGFM AGGTRQGRISFLCMSLTRSLHDASTRFFDASKERFFCNHIFNPATTGIGLKNFLFQML MGAELLIRLRKEPATTSYTGIVTDAISATIVLAALWMENVVIQGPKAGVKEDEAKAWS LYASQNQRQAEALIRFAEAMEWPYMDEFRSFIEGAYDEIIAAKPVPSWDIYDWLYGLV LPGKIYRHRVMSCLVHSSPTIKSLGSAPYWENGIIVKDKSYWPRRTVLGRVLGGMKDV KSVCGWIGPLPAPTGELSGWIRLKTRSVSVPVPVSTGANNRSVFQSLGFEEPDGRTET VDTFLKSFTDPDEWIQAPSPTPARQYNAPRRVKFSNIQLTLLPNSSAASTTRTDLPPE EYRASIDFEVDGRKVTCTLYSNPVFVAAPPCVGSHVIHQRQAEKYKKNLIMAADLKNK YPPANQLIVIDAMGEDEEVLARAWCAETGRHAVIRKGDDCCYSCAVSVATERTGLGVN VVIMCQ UREG_02270 MAAPRASLFSPLLRSQFTKPATTIPRLSTQSRAFNLPSLSSFAP QLSSPAPRTLTATRTLPFPPLPLFRIISDVESYRDFLPLLTASTVTARDRATGYPTQA YLTVGYGPLSETFHSKVECDEATWTVGARSGEIAFQRKGEEGKDGGVFEYLDTIWKLK PLEGRAVGMELTKVDLAVNFRFKNPMHAAMMSAVENQVAAMMIEAFEKRVFEVERRR UREG_02271 MAWTQYQGLMIDKLNSITAGTPDEDVPPGNLLLKYARDASAASL FNYASMAHNNHFFFNCLLTQILAQSPEPVPIPQNLEESINESCSSVKSLKAEFLATAN AMFGPGFVWLVKSKDTAELRILCTYIAGSPYPGAHYRRQPVDMATQTTGVAGGENPQA VGELVNKQYGSMGMYSQKHVLAPGGADIEPILCVNTWEHVWLRDWGVGGKAGYLEAWW DKINWHEVAEHDRKVGPRSVMSDKYNQPKKYTSNYI UREG_02272 MLSKAPAISPGKNPAAIAAPGKAGQLEFRSDDWLKLGIDTGVVD TVTVAGVEVEVNVGSGVAVDVGELVIGLIVVQSFPSGQQINVVESSTLKHVDDFGQQK LDGSFESGQLEKSGSPQVLASLTERSKPRPVKETEETVRKSDWRAQANVNLDCRISKA KSGVREDQKLVR UREG_02273 MPGEHGGTGNQFSKINPIKPSESTTGVMTKEGSMSIAHRAPPPE KLESLRTRSLVISAFWAVIVFLGLPMWWKTTSIYRAGLPFNEMTDWAAGRACRPVFPL HIQVHAPSIHGQDALNLVQSTQHALDDLNDFSAHHLRLELVESLHASNAQSTDHILSD MVVKSSPNTALTVRLLPRENVTAPTARLHEYSEHLDIIYPSSQSSSYSSLATYIANEL QNLFSEEKATLQYILSKSNMLGPTLQPSITGRISTQGSQPAHSSNKEQRNPVINSFSS ELMESIARRIGRSFKYADTYHLSFSLFTPGTQPSSWDIEPALQEYLVPLLKAFSPISN FSINTQVQLYATFSPTFTPPEYEESRGIWTLKKEDLSAFINAAEWPLSPSIGGGPTMN FILYVPAATQSPLLVKESLATSWLIPQWGGVVILNPPLSATTESPTNPAHLTKESLHI PLSAFSHQLLALLGAPSSPSSLPLRLQHLIRMQTASLLLSASSTMGSLARLTRSLPSI PIPATVATSVSRTLSHLSSACDFLKNGDFTQALAAARVAEREAERSFFEKSMVGQVYF PDEHKVAVYLPLLGPVGVPLVLALVKEVNRLVLMWRGRNQ UREG_02274 MAVNEIVTDPLLLPVLEASAETLTQCQNLLACLDPTAVTSPLPQ ETVLTISKQQKLVFALLSQLRGLNREAILNVRATKQATAEARQEIDRLHLHLQNLYYE QRHLSGEIAACESYDHSYMSLPLIPVEEFLALHPEHANSDANELMIARINHEHAEREK LEQARQELLKRKQALIAENKKRKDDLASLDLDLERFIDAAKPIQKLFEKEYAVGNRKA TFPGMEDSKPDSTAIPIPGSDISTSHYSEAPSSLLESRAQE UREG_02275 MACASPSMTVESHGNENDINAATGLLSPAAILRNRRRRHSFHAA RRLSCDYDADAIFLRVELFLADMERRLQWLEEYRQFHMVDIDSGLKRAYAALETVRDK CCHASGELMGGGKRRAKIVVETLEEQYSHALATKETLDQKAQAGMRLMENFLSELEAS VHAVKDRGIYGAIDDGWRAVDSGLHHARERARHAQVALRENIERAIQLAQEKRLITYA DLPHPWRVNPHILDGYRFTTSKIECVTSVFTFSNELVNIWSHLIGLIIVLAVAFYFYP LSPNFTLSTKADVAIAAIFFIAACKCLVCSTVWHTMNGIASQSLMERFACVDYTGISF LVAASIVTTEYTAFYCEPLSRWIYILMTSSLGIAGIILPWHPTFNRADMAWARVAFYV TLALTGFAPMVQLSITRGLAWPFYFYSPIMKSLLVYLTGACIYASQIPERWRPGFFDY IGGSHNIWHLAVLGGILFHYHAMQDLFAGAFVRAQGECPVLTY UREG_02276 MTDGQPRSHVELWNIIFPNHLLLPAVHAFALGVEALLKVCVHPA HHYRRRYSIQMSPLPLQGFDDYATIAQLVQPPQIPPHRSHDPSNNQKRTDPFQFGSRY LEEGDNVFEFNAWDHVETDEDYKQYTELQIAKQREEPVSDFDRQRFNSDPAKWWNLFY KNNTSNFFKNRKWLQQEFPVLVDVTKADSGPKVVLEVGAGAGNTAFPILANNSNPDLK IHACDYSKKAVEVIRSNEKYDEQYIKGRCMGRYSRSQGPKFFSRDYGRGDLAQVRFKK GRYLAENFYVRGDGTRVYFFEKAELAHIWGKWCPQAGLPEYQELPLEDPEASSVGEGA AFEIVDLAVDRRLIVNRKRKLKMYRCWMQGRFRKRESPPNGARVMLTAAEKGEPGN UREG_02277 MASIASILCCIPRNRHQNNTLPPPPETQIRYQSPPAPHMAVGPA RRNFDHPGDVDVDDYAPPPRYTSRPADIVGDEKLPTFEQTQSLTNRRSFEYPLDEKTP LDFAVNEARTNFASDRSSDASSTFSVPSSFGNTSTATTETPPPPYSSRSSRAPSRRSF STSIYSNVTGATQASDILPITQPPPALRRGHNGQPSPRTSVEQPRDRRSFDHSPFPPA YSRP UREG_02278 MASDLEQSLCRLQIGDSSESDSYASLADSTEEFRDDMSSVDYER PLSTLERVFSTHIPILESFLLQAPTDTIFRLYHTSNYLRTFLRSYPTAWQYLSFRLLL PSGTQVRPVTAGTDAQTVQRQSRPYALDQLLLHVVIPFSPILRSLDLDNTAVSGQNLI STVLNARRDTLEHVSVRGCKNVSLKYHIIPYLTMYGLQYDADIKTRSSSSQKVKHLAL KSLYTYRCRHHRRRPYLTSSLLRRDSDSEPTHELVNLCHKLGIWTDTAWCTTPAGRCF RRRGYVSMRVPQGTPEVWVVFDRLWRSKNWIGSVSDTGEPPKRDGKLWEHDETGCYGE ALGTNNGRLYGEGKTVPTHLRKSHRKFIENIKCNSCMEEIHESCAYRTPYLKEPKAEG EGPQNSFWWAPGATISPCSMQEPSDAQGNATGTNNNPQQGGPTPITYPTLKFRWCCTE PTFTGGGGISIGQGRDVDRMRAAPLPKAQGWEDPEYTSNEWNKAFPTYAYGDPSKRDY TLAEGHLEMMRWLLGPPNHQSSQCPRNLCHECFESPQWKVHCKACSKPLCMEHDLRGL RLRICGYRDLFMEKITIDYSPNANAAHGRERQPIPRPSSVPDLPTNSLQGSNLTHFDV PPYLQYSTPQANSLTNENHTRNSTASSNNHTRASSPSSAVLESPLKMEKWKGCQSFFC PEYGVAGDKRQRCTSILNECTSCSVHVCQDCIRAYPPCTCSFCMSKYLCPNCHSVAVQ NGACRRLEEERAKYLQKQRAGLEMLDVALERRIANEVAGFAGQFFGGLSSSSSQVGFE HIENIEHSGEFDIHAPPFLDQYDGVPQDDANAQEQGYGFADAQPVHPYDEEIDQGESG SERTDVVVGEIG UREG_02279 MEAFEYNANPARVVFGFGTIKQLPDEITRLNLSSPLLLSTPQQV QQADDLKSLLKGKVAGVFIEATMHTPTHITEKALAYAKAQAADSVISIGGGSTIGLGK AISIRTGLPHICIPTTYAGSEMTPILGETADGVKKTRTDPKILPGTVIYDVDFTMSLP VGMSATSGVNAIAHAVEALYARNGNPIIRLLALEGIRSLATALPEIVKNPSSRDARSL ALYGAWLCGTCLGSVGMSIHHKLCHTLGGSFDLPHAETHTAVLPHAISYNAPSIPDAM KALAEALPESKGDAIHGLNVLLSKLQVKRALKDFGMKEEDIDKGADIAVSNPYWNPRE IQREPIRELIRRVWAGRNWPANTAVRNSCAQALSRNKMGIPEVAPVLSTPDDHILEAT EPIVTPRTHKSDEELRITYDIERTIKEIKQGRWRKVALQFPDEMLPDAPRVFQLLSRG LEWLDFPQQRVKAAQGTVTCACGRPSKNTAEGVDVEAVAEAVANDLNVKDSLDGLPPR LYILGDTSYGTCCVDEVAAEHVDADVVVHYGRACLSPTARLPVIHVFTHRPLDHGLVL RAFEETFPNKDERILIVADVTYADHVEPIALKLTQEMGYSNVFATSVVHDPASPVPNR TIPDAVRGDSEILREWQLFHISDPPTSLLLTLSSRVASIRIYPTDQEPPLSKQPPKPL LTSTAAALRRRYAIITSLSTTPIFGILINTLSVKNYLPMVKHVQKQIAAAGKKSYLFV VGGWVVIGCWESSLVDSTEFWKPVITPFELELALQSDEDRVWTGEWRSDFQAVLDGAK AGEGGQPNGNRVEAQVPNSEADHDDGSDAFSDAEESAPPEFDLRTGKYVSVSSTRPMR SPHASASVATRGSIEGRSSKALTKRANGDLATIAGVLSPGAEFLRSNRTWNGLGSDFT IQYEDDGMNEEGSPVVEGRSGIARGYTVGELEERR UREG_02280 MQSPTSNLIVPEASDVSTFGDFIDLDDYDILEHYSENMDEHEYY PICLGEIVEKRYCVMHKLGHGAFSTVWLAHDLQKKVDVALKIMTADCEWNYEFRMQNE IIQRLKDTDTSNLLLYQDTFSLRGRDPDRNHRVLVFPVRGPSLSSPHTDRMSMATRMS AAKQLLKAIESLHGCGIIHGDVSSGNVLWDMVPLDKYNTATKYKYLGRPEKAALRPGA WKAGELVSEAKIPQSLLRAKVYLSDFGLATDANIRS UREG_02281 MFDTVCSYPLTSDLFSQAIHPTEPLVSVGLSSGHVQTFHLPPPS ENGKAGYGHIDTLWRTRRHKGSCRSVGFGIDGETLYSAGTDGWVKAARTETGRVEWKF AVPRIGNRSDFQVDCPSLLHALSPQTLLLATDSGALHLFDLRDRSTEVSARPQQTHHP HDDYVSSLTPLPPSETSTSGYSKQWITTGGTTIAVTDLRRGVLVRSEDQGEELVSSVY VTGLKAGGTSKGEKLVVGGANGIVTLWEKGAWDDQDERIIVDRSPDGGESLEVLTKVP DELGHGKTVAVGQSDGRVRFVQLGPNKVISELSHDEIEGVVGLGFDVQGRMISGGGSV VKVWHEAVDDKEDDEAESGEEETGPEKKRKGDRDSSDDDDDSDDGVKEKGKRKKRKRG KGKDRSGGVHVMAFKDLD UREG_02282 MHRLRHGLFPSPRPSRRFADGFLRFSSSMATSTTSASTIASSFL AKCRSIGPQTRTQILDANQLQLFSLALHRNNIYSNTPALSNAAPPPGGTPIPPGYHLI YFTPAFLESELGVDGTDASYNPDAPFTRRMWAGGEVQWPRTKEGKLNLLRVGQEVRET TTFLSAEPKVIRKTGEEMIVVGLEKVFENEDGVAVVDRR UREG_02283 MWPCTTPVTLLEQLRSSSKVTFGSQVKEILTAYAVSIVALQRSL RMEDARLKRNQQRVQDEQKNIPHVNWDPIDHPDWVLLEIEADIAIRRDQVDVACATIS PSSGANSVLQMNMGQGKTSCIMPMVAAELADTTRLVRLVVPKALLIQTAQLLQTRLGG LVGREIRHVPFSRKTPTSLAAAKTYLDIHKEIRDSSGIIVALPEHILSLKLSGLQRLS DSRIIEAKAMIKIHNWMQRTCRDVLDECDFTLATRTQLIYPSGSQSVVDGHPNRWETA QELLRLVCSHLWNLQRDFPQSIEVIDRPTTGGFPVVFLLRRDAEDELTARLIKDIMKG QTSLLPIKDCKMPDRLIIKSFISNQTVQPVIAETIGRIFGDNSVAKQNVYLLRGLFVH RLLLLTLKKRWNVQYGLHPDRDPIAVPFTAKGVPSDQAEWGHPDVAILFTCLAFYFSG LTQAQLRQSIEHLVKSDDPSSEYDRWTQSSNTLPDILREWSVIESDDEAQLIEIWEHL RYQTIVIDYFLNHFVFPRHAKQFQVKLQASGWDIPLFSPGAQSPALTSGFSGTNDNRT LLPLNIKQQDLPMLSHTNAEVLTYLLQPRNRKYVVAANPVTGKRLSEKQLLESLRDGG ISMLIDSGAQILEMDNYSLAKEWLQVAHEAPAVLYFDSDNKPLILYRNGSRTPFLASP FAENLGKCLVYLDEAHTRGTDLKMPREAVGALTLGLGQTKDHTVQAAMRLRQLATTHS VVFFAPLEVHQSILDLQGKQHDDKLDSNDVVCWLLKQTCLGIEQIQPLYYSQGIDFCR RAQAALDNPQFLTSPAQQQAYCNILRQDEKQTLEQLYKPVLKAKATMPITSYVPELAR FIKELKRRRRDFQDFGGAVHGSALQEVEQEREVAFEVEAVREVQRPVVYTALTFPGLH PDIASFAMTGKLSGFVGYQQAFIALKDTALGKKHGISPQAASSKLFVSKEFMRTVKLP AGCRNDNFLRPVNWLIWSFELEAAVIIIPEEAELLIPMFQQFMGRRTHLVTYAAPITR KMLHFNDLNYYALPALPNGWKPPKWLTIQLGILSGRVYFEFDDYEELCQYLGIHEAIG DVDDSLEASDSPTSPIVPNGDHGAGSLENDIGSKGATSFTGKPLAFLQDWLSLKRRGQ EFTHTPMGYVCQGKPLHKDHPFFSKLGDGPQTKAGHALVKPSMPKQKPVESDDGFDSG LEYDENEMYFDTNGDEENNSEASNDEDGN UREG_02284 MERAIAARPSSVLLEGLVNHLTLPPRLPGKREENLIQIEQELLL RLQDAARALHQQSNDGLSDIWDRVRYILEICKTTNARGKLDKARLSTEFQTLRLDIPL ILHIAEQNAGLLVWRQLSGQDDVVVFECFEASAISEKVLASENALLWDFPGEAIAIPY AKFANAGFQESLASFLEQASTESIKRFAARAAKAGSSLAEYRDTVDPSIISQMLMTLL EANGVRVFPTLLRKRVRDDVCWAVGAEKPWRRSAFWLVVRIGIERYLCSACGDAVKVV LSEGKEGGCNGEKGRAHYKFLLCALLSDLLQDSLGHIAPELLELLKRKLARRLSKLQT DQNKAPADVKPFYSKMFSDISPKFHASIRNAVEHLENVWSDIKVRTKRPIPNLPHVAD KKSCILSLPNSAKYLEQTISYHKMWHNSSAHDHQAKIFHKFEVPGDNRFRTFASRYYR LTDLEASNAELCLMRLEQKDAQSSHCTKLAARIEEYLNTVSDAYNNSPEQKSNMILSV MKLWVALDQCATALFGLLNDFHPGIRPESLDVLQLPTLSEMIHLQSVQEYLRGRCEKS SYMTIFDDPVSGCFAERYYDDSTDSQKLQELHLEIEMNAQAALQRKEIEWQELTAEFE KLEKDIATSKCAVMTDVNGAILHDDKRCRKCYLQRCSRRMKIRAHEDPLPNKASEAKA VIFELACPGSFKAYRNATWTLLCTLALPDRAPAPEPKLLLSEYSELQGHTSQVSRDVC LASPTKSFLLTHYSELRFPVDFDAVCHPNGLKLAYFDTSSKLWTSMQFRKSTFDHHFQ MKLPPNSPFASMGFSVGSTSPSSYEVIASQTQCPPRLNIHEFMAYKSLFSGNTRRWPA ILVELGSANLNLSSEATTSLISQLAVQAGSADERDHLRTAHKIFRDMSFCCRLMEQVS QRLDNIASNWREVHCMDMLLTLILRLCALAPRSLHRRTINLLGISKSILLKWIVQLRN EVHQSTDAAAAERFAGYSFWAALLYRRTFSVYCESFESRPLGEDQLRYFIEASITMQD NAPSNLDDLPLLTRNALIRDLKLAHKMRSLLRESLMETPGAFYSAIDALWPQPEGATP RQYSDLEHLDNPHGWVRVIVPPSEISRQQAIYYHLLEGHLIVDGQPLGKLPAKHRDSP VLEQLFGKQRLLFYPSPIIGMTYKLAFLMEGHEIHLGFRDGQPIVQARIRKAILEFIP PDIFGDPKNFDLPGSLVIGCVHWLDLKTGVMEIRRRPDIWKSKSSNWRLDTVAREAWR NRKPWSPGSVLIDPHSRLFKLIARIFDHFEYRTGLTVFQPPRWKLSVELRRLELSFYV NTSGLLHCRELQAEVDPNQDAGTWYGLESKLILRCVFNPSRRSILVPMGKVQATRDGY LVSLRVENEGNYGRFTINSVLGRLDCPAEPLLLYQKAQFHAYTSFALPDPLTGRTGTE EAIHCLRSAFCQPWTTLNAGPISTLEAISKLIPKRVYYPSGLKTMQQVTWDPNLTVSM QHDGFWPVIEGIIEKAKTLVSFSLKGEVDMLNLEFPGDPFLLKRAYWRRNLYQRADSG FPQLNPPADLQYEARHRFTKSIARLNVFETVTLIKNWSPDMCAPIDLATTFEKWPNIG GFSATFDKVLLSDLLDIQFDTLWGSLVDLCRKASPEETYRLMFVFGTVSFRADVDTDL IRTLLAFAIIESLKNLEPPQWPSYMNFRFRQLPTVPYLKELIKHCCVKYEGDERSLFN VSYKTRRKLQANQQAHESQVENDCTFLTRTSHCTMALP UREG_02285 MKFLEACFVACFKLLFFLALWADHAAAVSVPRQNLAPGPIFSPP PNVDSPNNVIQCQYPAMRGWQHDASDKRNWLKWTGPGPAPEPGKYGITTDYEMYTPTG IIRRRLAGEMISVSPFDLMTVQYFYLTSLEITVTNRLEYNGTAIHMHGLRMLNTNLHD GVPGVTQCPIAPGDSFTYRFKAIQYGSTWYHSHYSLQYTDGLVGPLTIHGPSSSDYDE SIDPLLMTDHIHRSAFAEYHIAQTGPPPRMSSILLNGIGSYAGLGGNAPTRKYSTLVQ KGKKYLLRLINTSTDATFVFSIDNHNFTVIGADFVPMRPYDTDHIVVGIGQRYHVIVN TLSDVDNGAAFWIRTVPTTGCSRFETDNPPDERTGILYYGERSSTLPTSTRHQFPLDC RDEPFDRLKPYHPWQVPDPMLDASIFDTSMDVQRGTWVMPERPSNASKVSFWKAGPSS MYLNYSQPMVKSLDRTSWDDTWVVYPSEDHTLDSWVYLLVTGFKESGNDGQARVAHPM HFHGHDFALLQQSEVPYQPSAINLTLDNPPRRDVVLLPSNGFVVIGFRADNPGSWVMH CHIAWHASLGLALQILERKDDFIAHLESRPRDVREMERVCTNWDTWFSNPANHWDPDG FFQDDSGI UREG_02286 MAGRPKTAYLLGAEIIKYDTGPPLQRSTPERPVLPGTEHGTPFL LLGIRGCKTPEIPHPCCISRNGGRGSKNNSFTTTIARWLLANHAYLWVRTDHWFGTRI ASRTKIPKCEIPLPEATLISVSREPLSRAGKKQTQPHGSVEETLARRSSRCPQSWIYL FSSHRPRFASSVVDCRAPSKSTGKRAWAVVEVAKTRRWEALPADDPPHRPRPLSTPIR TSHGLLLTGTPVSLAANVPSTMTAPHSVESSNIPSALISIRAADLLALGKPFVEFPRY SILDLQRTIGAATGCLARSNYRSERGSVSDGSAGFEDWLSKRLQIGHPFVIQDFEKLP QWDKRIFSIEGLIEHSTKKNIPIRNCSTSRDLNFTLRKFADAAQQSYREFKNLYARDL PCPEAWLELCRKLLPPEVQWAGRLDLFQWLPPCARSEVMMAYVGSEGSSFVALCFLNT RSGFHRCFSSTVALNLLIEGSVLCFGTDFESQTKYDAFMASRGASPHVDWLNLNPEEL KRADFPLYVYEQKVGDLVVFPPATAHQVWNLGSISTKIVWNILHPLSLEAGLVHVQPP LNRLCHPDVAQSNLSLACAMLSLIRDDHKVSIPPDLHLLTGLFRQMVQEEALTDPPVT PVTLVRVPETAIITCDFCSTAIWNRHLRCSECEDFDLCLLCFLSGRSCEHIRSYSWAE IVPEETCALVLDRAQQVLGYQLEASPASDRRKPLGTAVNDLMRAKQNTLSRLCHLCRI DHLEWKGRRCDRCSAFFCFRGLYRHFDMNSADVMRHSGLWICPKCLETCNCRCCHFTS AYVKAEKPASKRRRNTPNSSGNSNTIVPTKGQKRQLSTSPSTRHSLTPRYPTSSANAM DHLTRNFDYAINNHILDRTSSQQADSEQGRAKVPRPSHKDSRVNTQAQEDGKTLHSIE YITRMPSPAVNNISLPPLKSGCSPERIVDMAPSPAMKRHSTDVATFGNLNHVRNNMSM QHIRDIEREEKHDINPDGPASTTPITTNALPHQPPPYTAANVNPLPPFNHPPFAQTTL APGTHFRPTTTSTSTSTSTTTVPTSLTSISPSVSGSPSSSSLETLESQLHRLRQYAED LLALSMHESHRLLQQEIHHLEETLLLAKRERSERLLRGLEAEFPGLVGVREGVRREGA RLGYFG UREG_02287 MQFDSASNVAGDPAAIRLIMSGLVQVLISAMILIVIAFICTSWL YNKVGFWLAAVWKLMTPGNWDMLLPTVRTKPDQSHQRVIRLWPFCLLTGVLALFTLLA LRPSRPYNHISVTLPVALLRIFKTPSHAGNKCDPTSLLYADPFPLPDLVAEENWETPN GHFKGWAPGTNNFLVNKYSEYERDWLLDDMPYGFQRWIASPSSTSSNSTNTTSPEDHC LKQFYNPVADPLRITNLDRALYESLEAALSSVLISNVILITMESHRKDLFPMKEGSYL HKQILETHDEKAQDEINKKLRKLTPVAEQVTGESFWGNPNEKPKFEVPSGTWRDSAAP GMGGINVVGAVTGSSLSFKSFLGSHCGTFPLPVNFLEEVTTDVYQPCLPQIFQLFNNA KRENKITPAHTSKRAEKDPHRADILSRPWKSVFIQSITDTYDRQNIMNEKMGFHEVVT KETLEDEDSKYYPPKSPVLNYFGYPDTDVRIPVRDMIMEAAHNKTRLFLSHFTSTTHH PWNVPEFFNKTKYMGSGSHDHMNSFLNTINYVDHWMGEILGMLDEAGIANETLVVFVG DHGQAFEEDGPMTGTYKNGHISNFRVPIVFRHPHLPRINVNANATSMAILPTILDLLV QSKSLNQFDTAAASDLIHDYQGQSMLRPYKSERKGRYSWNLSIINSGGDMVSVQSAGK PWRVIIPLKQDFSYRFTNLETDPDELDPIEGWQVPDLTKTLKHKWGDEAADWLVQADL VTHWWKKEMYRLYNYDKGE UREG_02288 MLEKNGGSRCRSAVGFLDKECSFKGDLARERLSREKKGLRWPIG KIALPSVRARVAQNACNLQQIWAEYNVKNPANLSMAKITSERRASRMRDEVGDGVAVR LRFEDSVGEQ UREG_02289 MVEPYSAVCVQIVRSLKGFRAMPLSFTVPAATTLLAYLNARWSM FYDAKLINAVATAVVRRFLRIRNGRGNLFYALEEHALSAKSAQRPFLVYQGKIWTFKE TYETVLRYGTWLKQVHQVKPGDVVAMDFMNSPTFVFMWMGLWSIGAIPSMINYNLAKA PLEHCVRICDTKLLVVDGELRPLFPPEQLAAFSAPDFRKGGGSVEVVIHDDELESQIM QMEPTRAPDSDRANQEVNSTCMFIYTSGTTGLPKAAIINWGKAMTAATFIYLTMGLRQ TDRVYTCMPLYHSTAGLLGYMACLLKGSSLAIGRKFSARNFWNEVRENDATVVQYVGE TLRYLLATTPQIDPITGENMDQKHNVRMAYGNGLRPDVWNRFKERFGIDTIAELYGAT EGLSMSLNLSRNNYSTGAIGRNGALGNFILSISSTIIELDPITELPRRDPKTGLCVQA VRGEPGELLYAVDAANIKDTFPGYVGNQEANNKKIIRDVRKKGDAWYRTGDMIRWYPS GLWYFSDRIGDTFRWRSENVSTNEVSEVLGNHPDIHEANVYGVEVPHHDGRAGCAAII FKEQAQNPNSDAVLEPPEKVLRSLASHASAGLPKYAVPLFLRVTSSMQSTGNNKQQKS LLRTEGVNPTLLRNSKSADQLYWLKNGTYMPFGQREWDELNGGKVRL UREG_02290 MRLSASSQLLALLCASSSSSWLAFAYENYTTSDIISPRFGLRSD KPEDCCPCFNCQLEKYPCHQFAECNKYNGKCSCPSGFGGDDCTEPLCGALSDGKDRLP RKGKYCKCKDGWGGINCNVCETDNACNAMMPEGEGGVCYKEPLVVKENYQICDVTNRK IVDMLKDQKPEVTFSCSAQDKTCNFQFWIDQKESFYCSLDTCNWDMEVGFNKNTTKYA CEHIKCKCVPERMLCQSDGLDISDLLRDEIKGPASFSTVSTRGGSDKDGSQFHEPALD NLIRKVFGDESILLSCRAGECLYKTEVPGYEVPVKIINTPLIAGVIAGCGLFIVAVIL FIWYLSRRSTYHKYAAIQLSDDSDDENGKLMTDHKPAALQFDNVSYYLNGKEILSNIR GICRPGQVTAIMGASGAGKTTFLDILARKNKRGIIQGDYYVNGEKVDDSEYRSVVGFV DQEDTMLPTLTVHETILTSALLRLPRGMSRPVKEQRVFEVEKQLGIYHIRDQIIGSEE GKGRGISGGEKKRVSIACELVTSPSILFLDEPTSGLLILLAKGKTVYSGSFLDCQPYF DHIGYTCPPGFNIADYLVDLTMHASTTRSPEEPLLNVDVQANYSKTPSSSLRAVKSVA SASNASFENSGESAQDSTAPAKPKRRQSLRQRQERQLYTRKRTSGMESPSTSRTDEDV VGSLSSSTEQWLRLSRQQGSVPPQVMDDPDHLPPIASGYITDLDIMITSYASSNVAAS IHEEIVSAVEGARQANGNPNFRTQQDFGGLHGHMTGHARVGLIRQFIILSQRTWKNLY RNPMLMLTHYATAILLAVLSGYLFYGLTDDIKGFQNRLGLFFFLLALFGFSTLTSLTV FSSERLLFMRERANGYYSPLTYFCAKVIFDIIPLRLIPPIIMGVIVYPMVGLIADWPE FLKFMLVLVLFNLAAAGICLFIGIVFRDGGVANLIGSLVMLFSLLFAGLLLNHDAIPK SALWLQSLSIFHYGFEALIVNEVTYLTLIDHKYGLDIEVPGASILSAFGFDTLAYVRD VIGLAVFSGAFLVLAYGAMHFLLVERR UREG_02291 MPAPSAQYRDSPDDELPLLADAEPRGSIENDAVTQILPHSAYRK KTGRCHPTVFVLLAVILTFAVDFGSYLGIAPQTRIFEDIVCRNYYDKHEPGRFPPGEI PEDQCKIRPVQAEVAFLQAIMSSFEAVPTGVILNQYWTLFVCLSSSVLPLRAIWFGSV FTFLGGGLGVTNAMVMTMLTDVVEPDDRATAFSRTSLAVVVAQLLAPSISSGLSTLQG PWLPYLLALLIITISFPLLFVLPETIHLRPPQSALQEPIHAEHRPTEDESRPVRASPI NYTRKLVYRAWDSSQFIFQSRSIVLLLSAFFLTVIGRKQIDLILLYASTRYSIPISDA AYALSIFAGGNIFVLLIVLPFISHYVTNNLRLSSKAKDLCLSKASVIILTMGCFALGL APTVALMICGIIVYTLGCAFLPVSLSLVSTFVEPRHAARLYSIVCLISMAGSLVGGPF LALLFNWGLSIGPAWTGLPFLGTGMVHVLVVIAITRVKLPRDTLDDEQEEVSARRPTN EL UREG_02292 MSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNAYIVPEAAVHAFLTFLFVINGYWLTILLNLPLLGFNAKKIFENQHLLDATEIFRK LNVHRKESFIKLGFHLVMFFFYLYSMIVALIRDESH UREG_02293 MASLLGGSYDSSSDDDAKAVAAKSTHTQVIAAPDVPVEDSSQLQ LVLPKPTDTSLSYNLTYDQLSRPAAGPVNPFRSTHGTKRKNVLTGNAEEAMISDATFT TQHRTFQSLGYTKDPSVAGAYVGNMENVSRFGGRDVVQMRPSKEASAALRRKRQKKGD SSIVEGDGAYLGPWAKYENEDHGYEEDLAVAGQELASDEEYVDEAIVPSNMAAMDKKA TAYQEDMSQTETTEFHGTEQFDYQGRTYMHVPQDLDIDLKKEPGNVKNYIPKKLIHTW KSHTKPITSLRFFPSSGHLLLSSSADSKVKIWDVYHERELLRTYSGHSSSVSDTTFHP TGTTFLSASYDRQIKLWDTEYGKCIGRYSTGKTPHVVRFNPDPNHWHEFLAGMSDKKI IQFDTRSGEITQEYDHHLAAINTLTFVDNNRRFISTSDDKSLRAWEYNIPVPIKFIAE PYLYALVRAAPHPNGKYVAFQSGDNQIVVYASTDKFRQNRKKNFRGHNNAGYAIDVAI SPDGQFVTSGDSGGYVCFWDWKTGKMWHKIQAGGKEGSAITCVDWHPQETSKVATAGL EGVIKYWD UREG_02294 MAAERFSRSSLGLKLRDFFQGGPAPTPSEPTNNLLLSPLTVISQ VIDFWKLSHGFDHRAPIGSQVRDVQGFCTGFLTAAAISCSKSEAHFQELASKAIRLSL CTGAVVDLDALNHSSGLDYPAAVAVRWKSAGGRQHLERILKQHPRAYISCISDAKTAT ITIPESETAGFMAELARQQVISMPLAPRGRWHNGDNLHGLQAILTLCERDERFRLPHA DALNSGLLSNITGQLITAGALHKIALHSVLTDQSRWDLMFDSSFGEMKARNTEFRFVS IGEKVVVPPHGFTTAVNGTANGTTNASSPADAVVENGASHAAPQVATQTIPNGLGLDT ANLPDSAVAVIGMACRYPDADTVEEFWDLISSGKCAIRQMPEDRFKPSELVQEPRGPF WGGYVRRLDLFDHRFFGISGREAKSMDPQQRLGLQVAYEAMESAGYYGLHSDGFDQDV GCYIGSANDDYFDNVHSHPINAFSLGGSLRSFISGRISHCLGLTGPSVVMDTACSAAA VAIHTACKALQTGDCSIAIAGGTCSMSSSRMTQNLIGAGFLSPTGASKAFDVDADGYC RAEGVGIVVLKPLKDAVRNGDFVLGVITGSAVNQGRNTSPIIVPDGSSQYSLYQKALS RSGTDPSNVTYVEAHGTGTQVGDPIEFRSIRETFGGLHRKDDVYVGSVKDNIGHTEAS SGAASLIKTMLMFQNQTIPKLANFKRLNPKIEPLGGDRVLIPTQSRDWKAAKRIALIN NYGSGGNNAAMVVEEWTPPPGPRTQLSRVPIFISGKTTEAVRSYCDILRSSLGEKDLA DIAYNLAVKQNRDFKHSLIVTTASVRELSAQLESAASGDTELHELPNHTPPVVLCFGG QDGKIAHISKALYDSNVLLQRNLAECESILTGQLFLPSLFPAIFDVAPINDIVTLHCV LFSIQYACAKSWLDSGLKIDRIIGHSFGQLTALCVAGSLSLVDTIKLVAERARLVEAY CGPQNGIMLAVECEESDIDRVLLLAKQQSQHFMADIACYNGPRSFVIAGDDESICAVE KALKSLSTSLRFKRLSNSHAFHSRLLDAMIPSFLRAVDELHFNAPKIPIEACSNDDWS NITTEKIVRHTRMPVHFMDAVRRAEQQADGPVIWLEAGSGSPIVPMIKKAVNTTHQHT YIATALRASDALPNLAKAMCSLWSNGVRVQFWPFHRCQHSSYNWINLPPYQFAKISHW LEYKPRISVIETPNVHPLPTSPNPELVTLLPNQPVKGETLFEVHPCHELYQLNTKGHE VVDQTLVPASLYNEFVLTSSYMLSDAKTGYVPHISNLSMSSPLVVNPVGRVFVKLMEK NPHSGSWDFTLFTQVEAAKPLVHATGCVTVSNPSIPVSISHLQALQNLMLHRCKEIEN SPMSIGFKGPTAYQAMRRVVTYLDYYHGIQNIYNLGNEASARITLPPLRPKGMGVGFC DPVLVDSFTQVSGILANCFSLPEDGEMWVCNFIKDVVFTQRFVETAREENKTWLAYSK YEIPTPKRLTCNIFVFEPETGDIVLTIMSIEFQKVSIKSLKKVLGKLNSQKTVVQRAP VQEAPVQHVAEKACAARTESNFLPSVPSPTAVAQPPAQQPPIKIANAPGARVGSLQKV KEMLRDVLEIPLDEITENSVLEELGFDSLLATELFSEIHKRFDVSISHSDFATITNVR ELSELIPGADVSPSSTSEISVSIPQPSTPPAVIPHQPAPVALQPLDKVKEMLVDVLEV PIEEIGSNSILEDLGVDSLLATEIFSEVNKRFGVSISHTDFATISDVQGLAQLVSGAA IPPVSAPKTQTTRSPTTKPNGVPPQADMETVVFAERDGTTLSADIYYPKELVDAQTPL PIALMIHGGGHVISTRRDVRHDQTQILLDAGFLPVSVDYRLCPEVTIHEGAMRDVRDA FYWARKTLPTLPLSRPDIRPDGDRVVAVGWSSGGHLAMSLGWTVPSLGIKPPNAILGF YCPTDYEDPFWASPNLPFGQKSVPPPGPGYDFLYDGLNDKPIIGYTPQASKRALGGWM SLEDPRSRVILHMNWEGKSLPVLINGLRRTGVNSVSNPAFPSVEQIQAISPLAQIRAG NYKTPTFLIHGTRDDLVPWESSQKSYEALRERGVATGLVIVENALHLFDLYPATKKNP VAVKGVSDGYKFLSAHV UREG_02295 MSDGNSSLAYRRSVASFSSSLSEMSVDPGYVPDFSVGMRAEVQQ LYRPDDRSPWNESVPEKAATDVEAGSYAQECALIVRREPHPITKQIALHSITVQSPLI KKVLDRTFKGLEGVNTQLKQLTFQAPFHIFYYRWHRFEKLCEDEQDQEAKNHLDILYP ILSEEVMPHVETMKDLTRNKVITFDYLWTIFAPGMEVYTRFDGQDRLMELTDSRYGAN MGGEFFTLELRYIDCDGSSFGYVSSSVDIDKFQGVKKLVDLDAFPAHLHPDMENVVDR LHARGAKLEKLNGFCHMSYSGFYTAKSSRQIRKRHGSGKVENSRIIIDPHTFNLYGTP GPGLGSIGSELDSHADAADEEVFAVIQNVIYRATSQAFQAYQRALERLEKRSKEGISG GCNTASDLFTCSRTMFDTSTSQNPEYEASLALQSSRPWLLPGFQDVGLNPSLAEFYVE NVYPIRWNENAFQRLVLPPGYKEIIRAFVQEQLSRDDDFDDIIYGKGRECRPGWRLLV VKQSVNASSTGLGFIMLLSGEPGVGKTLTAESVAEEMRQPLYLMSASELGETATEVEE SLEQVLELTSKWNAILLLDECDMFLEARSTADLRRNRLVSGEFRIMTKRKPPENAAS UREG_02296 MDEDPSRSPKTTPPAPAGEQPAEQKPASIATETPSTDAANVESL ELSDESSGSPRVRSKLRTYSIVLMLCLVLFLSALDQTIVATAIPSITKDLNSAAGYTW IGAAYLLSMCATNPMWVRISDIWGRKLGILGAIIVFAVGSTIAAASTNMPMLISGRGV QGLAGGGIISLVYIIISDLFSMRHRALYMSATALVWVIAGTTGPVIGGALSQYVSWRW CFWINLPACGAAFLVMLFFLDLHNPRTKLADGLKAIDWVGTVSILTIVVLLLLGLDFG GVTFPWDSPTVICMIVFGTLLIGFFLFAEKRLAKFPLMDLRIFKDLSNNAVIIVAATH SMATRGSEYYLPLYFQSVKQASPTKSGVLIIPMMVSASICDLLVGVVIHRTGRYREII WIGTTCLTLGTGLYIYLGIDTSLAKIIVFEVIGGVGLSLLLSTPMLAIQNNVDQADVA VSSATLGFMRSIATSLSIVLGGVVFQGSMAAQHSSLVAAGLNESDLKAFSGYDAAANV ELIATIEDPVQHRAVQNAYAFSMRNMFIMYTAVAGIGLLASPFIKQRYMSKEHTETKT GIENMTENKRKTGD UREG_02297 MPLIHDTSAQERITKQWRSLLHDFKPCHFPGLPDGSTTDTKEFQ ETTAELNINELSIQHSCTQYHVEPRNVFQTAWAIVINCYAGVEDVSFGYDTTGDDASA PATASENILLCRTHIDAENQLGQTALEMKRSFDDAWENRNWSISEIQKLVGSENQPLF NSGLQVQREGRQSRELKEIDILARILIKDDTSLAVSVRTRTAKFSAMQTADVAHTFAK TLLESIKAHPESRIGDLDICSQRDYEKVMNWNKAVPSSVDNTFHEHFESIAQKTPDAP AICSWDGNFTYQELDSSSTRLANHLADLGVVPETLVLLCFNKSAFAIVSMLGIMKAGG AFVAIDPSYPASRIQAILQATNAEVVVTEPEHRPLFEGTMKHIVAIGPELAEELPATP KTIGTKSSPSNTAYVVFTSGSTGAPKGIMVEHRALCTAAVSLSTPMRIDSTTRHLNFA AFTFDLSYGDIFVTLSQGACLCLPSECEKVNDLAGAMVRMNVNSACLIPSVVRVFQPE DVPGLKTLSLGGEALVKENLELWAPKVVLNNMGYLDGEQTKRSFVENPSWAKVEPGQR RRFYKTGDLVKYNSDGTVGFIGRKDTQVKFHGRRVETGEIEYHLACHDSLRQSVVILP SAGVYSKRLVAVVVLKTNQMSQESASELKVVTGIAKEKSASEVAKVKKFLSSRVPHYM VPQFWIVVEQIPLMISGKMNRVLARRFLESLDEDNLETKVNGSMTVQKPDDPVELHLR KLCSHVLNKDMDKIDAEQTWEDLGGDSMSAMELIARCRAENLVLTMHDFLDGNTVRQM ASIAKRRTPGTPTNGHRAQETPRMQGGCGVQARLKFPPVWWE UREG_02298 MPEFLDSPKSVKPSTVDVLQSLTGTIAWGRPGLARNDFRSDVIT RPSLRMLAAIVETTLGDDVFREDRTTCDFEAHVANITGREDGMFVITGTMANQLCLHA LVSTRPCGILLAGDSHSINFEGGGTSVLSGAMAQPIKPSNDLEEHAVLTDDVHKCPTG IISVENTAGGVILPLHELRRISDWARRHGIKTHLDGARLFEAVAAGAGTLKEYCTLVD LVSVDFSKNLGAPMGAMILGDRRLIQQMRRTRKAIGGGMRQSGVITAAAREALFENFG TGPEIENPMLSRVHRLARRVGEEWTKRGGQLSKDVETNLVWLDIEAAGISKRSLIEMG EKHGVVLDSARIVCHHQIDSKAIRDLVGLFAEILNTHAGINGVSELEVLKGGGLP UREG_02299 MVNSELLPFPKSQSFPLGESLPPKDIHAVSVSIPTWDEIVRYMK GDEDIHQKLQADYPRHDTIHSVSEQWSNPCCRFYQHAIVSKLNDAVLARVGAAESVGC MIFPSEDGMRRCTEHLQKTADLAFPIREVEFRLTQDVSRENVTWGHFFAVLFPEKSRE HAAGFWGFMGDGISSRHAEFCLERFPFMDSVSLDPSLQTAATSNETERMPAVPWDHSD SGTKDKIKNLIAKWARSEKSSQDPVRPQDVFLYPRGMCAIGSLARSLVPSSTDASEAV VFGWPYGSTPKCVQDSGYDRFTFHDQGTSEELDALERSLASGRLISCLFCELPSNPLC ATPDLHRIRRLADQYSFVVICDETIGTFVNVDVIPYADIVVTSLTKIFSGACNVMGGS VILNAQSPMYEELHAKLSSSHEDLIYPLDAKVLLRNCIDFPSRVQKASCNGLAIANFL TTHKSISKVNYPTMVATAPLYERYRRPGGGYGSLISIVFRNPDSAVLFYNTIDLCKGP SFGTNFTLVLPYSQLAHAHQLDWAESKGLAKHLIRISVGLEEESGLITKLGRALEEVE RFEDGLASGASSGGAHGAA UREG_02300 MDYTTRMFNSPPKDPASAISWLEYVSTVKSIAVGLRDIGLSEND AVGLISVNEIYYYVLGDGVIAAGGVFAPLPTTPLDQIGLYIEAAQVSWLFVSVEFLEQ ILLAAPNWKLDKSRILVFDPPGLEPYQGRLPRFSNLLTADGNLWKNPYEGKDPKQLIC VRSFGSGTTGSVKAIEISHAAQLARLDAPLFTPDPRDTAWLQNIGISHVSSLNMCQRA CAGGLPMALTCVDDAQSLLDKIQELKISQIQLPPAVMEGITEIINSGRRPREALESLS TVLVGGTFSRKVSVEAFSALLPSHTRLRTGYGSTEAGIVTMTPIDSPWELGYTGILTP KVELK UREG_02301 MVNPKTKEIYAIENRPAENGRGVIVRISEHESIDVLPKGFNARS KVNTYGGGAASMCPDGRIVFTDSNTTGVFFLSAGGDVEEIIAGGTAKINYADFCVSPA QPHLILAVQELHREDGEVLDRIVVINTETKDAKVVVEGADFFAHPKFSPDGRHICWTQ WDHPDMPWTGSQVYVADWADGSVANKTHVAGKSLSSAVCQPRWSPDGTLWFVDEPAGM WQLYRYDLTSRRVEYVRIEGYTAVEMGKAEWFLGNSTYGFLDESALVLAYTKSGATGI IVYDITTGSATELPLGIVHVEFNGIRCVSPTSFVVTGGTPTAPSAVYLVDITKPGAKQ LLKSSTAIDLPLEIFSPPQSITFPRTHGSDLSRPSHAVFVPPYSPSFKAPAGSLPPLI INIHGGPTAHVPPSLLLEAQYFASRGYAYTHVNYAGSIGFGRAYRDDLNHGWGIKEID DTLSCIDYLASQNLIDRNRVAIRGGSSGGYTVLQALVTHPKVFAAGCSLFGVGNLKRL QEMTHKFESHYILNLLFPPDIPDEEKEEIYRQRSPCFHAEKIETPVVLFQGSEDPVVP LQQALEMEKVMREGGKDVALIVYEGEGHGFKKEENLKHCIENEEALYRRTLVA UREG_02302 MNPVNEEPTRLLPTAKLHCQAEDFHLRIPSTIARGSQIIANVVT VSLSLGDAIGRGEAVPSERYGESVDSVIATIEKVAPLIENNPDRAFLQELLPAGAARN AIDCALWDLEAKYTGVPAFKRAGLSTPKPVTTAVTIFLDSPAAMAASAAREAARPLLK VKFGGKDDEVRLRAVRAAAPAATLIVDANESWNVEDMPALLAICADVGVKVVEQPLPV GADDALRDFKHSIPIYADESVHDRNGLAELRDRYDGVNIKFDKTGGLTEALLLVKEAK NLGFGIMVGCRACSSLAIAPSLLIAEYCDYIDLDGVLPLVSDRQDGLVYEGSMIHPAT SSLWG UREG_02303 MPQRKWAMVDSLYSPEGGSQEAASFLFRWRVNPHHESFVARTVD LVIQPFAINAQAGSLAEFTWPENLREGLGTHTRTVQEFVNNALKKKGAFTPVGIKLIL PAQNGFVARSDIIELRMHGCDCATAASGFMQPRQYIDRFQIQKPRHELSQLSDILSAC IGAVSVNESSFESIDYAMQALEDQVRHRLALEFLLPNAIPHKRVALVHCPRELLTYEA IRCLGLDLVVLDRPGHFLEDPNGPFAYLRESFHPIDLNVDDGLPQRIVDVVRNLKLDG IFTRYDFYSRQVAKAAELLGLPTSPYSAYCIATDKYATRMLDAEESGAFCVKDDDELE QRLRDPEKPLNIQYPVVVKPCLGWSSLTVTKARDEGELVAAVRKAHSRVLGHEGERPI QPRVLVEPYVDGPEVDVNFAMWDGEVVFSDISDDFPCLGDMDDKTGVTDFQDTIYLHP SKLPKMEQDLLRQRLKDCVLRMGFRTGVVHCEARMRNSAMKYVEKDGIFDLASDSQPR GTEPSIFMIEVNPRPPGYFALHGSTWTHGVDYYLLHVLCCVQDEQRFKALAVPFSREV QHSIAVLNLPTQKGGILRSLDPAIRLAKEKPGLRDAISLYHNYFEPGEYVTPPDAVET KPLAVVVVESQTGREDLLRRVEEVRDEWTPVVD UREG_02304 MATAESFSGLLLAQDQKSHEIRFQCTWHTGDTVTDASGTTSWKP INIVFKKTSKSSDFSWPNDILETRLSVESSANELRQINSQDFGSTEAFRFVLECLLAA DLENEVATQLVMPRSRGYIVRPDIIPLRLVDCPLTAKVVSLSKLHHYYDGESISIQTL QELPLVFAGSAGGMILKPFSLTDSNSVEELFTSLSLELRNRLCFPWLSTQVPRRKTLA IVDGGISGPDHGGTGESIYTAAMALGIDMIVLDSPNHWVNDPRFCHWRKANVPLECPL HPDAGFVDRIVEAVRSYNGQIDGIVTLRDHYKLPVAEAALKLSLPTYPPSAYEIATDK FKTSVSEGHHAYVASSAEEAAAIVQEHNLEFPLIIKPTNGFLSEGVFRAENLSQLKTG VQAIHTDRHGNEFVIEKYCEGPEVDANLVLCDGELILFEVSDDFPKSADANGRGNAKT FIELANVLPSKLPKSELDMLKDALTKSLLRMGFRDGFYHLEARVENSSMDYAIKNNVL DLFERDIPAKGVPASWLIEVNPRPPGIQALSAVNHTYGIDYCALALLFALEDKERIKQ LSHMFVHGPQYWCEMVFIPVEKGGVYESGDVCAELFERRPDLAAFVSRSFCFLKKGDN VADPLSGINSWVAYFNVFSRKSREHLLEMAETVRREVRFSIV UREG_02305 MAFSATGGSSSSTPLVPSYSLPNAGEVSIEDDWTGVQNRKEKKR IQNRVAQRSYPKGQRMKARLAELQAKVAYHEQARSQLNETRSNAPENRVSDPLMCAPG LPTPRDSGSPKATERLNPRLQAPPPAFEEQPTNKNDRAPLDNPQGSQSFSQARSPLLE DRTDRASRIYDGLFLDHMHVPKQQLGRLNHQVHNPFPQEDSAKDNTTTPFATAMTGDA QSSVQNFAASSVDLMDSVSNTPSSPPMSASQASLDERFELIMECVAATGFDSFDTLVT AYYNETFGESSPLANEQRLSRNRRLPRVIADVFDAAGGWSPWERRGFHEEILKTTETM LLSESDRVRNVLNASITSLTELRDRHNTSSTEQQEMLATKRMIQNELPNRWALMMALA AENRAAWQRDRSDTALAAILLLHCAGRLPKEQLLKLLGDCLSNVP UREG_02306 MAEIDKPHRTLPTYHPGSSQKDIEKEPDWQKVPHHRIGYRDKQG RVAGVSHPDGDLDKDKEFLDHARKLADELRQRRERGELLTVVDFMKDQEDYHLRMPSK HPSGWRYVLHTTESFIKYEQEWLVNIQKREKEKQQKEEQEKRQKGDESAKQEYEWRRE RGEITTHHEAYSTEHPPEEDEQRDEQEEKEIKHEERSKERKLHEKYSPQELAFLRALK RENDYIHGLEIDSGKRISPVADEPAVTTIDEADQFTPDNWIPRNPSLVRLTGKHPLNA EPDLTTLFEAGLITPNGLHYVRNHSSVPHILWETHEIDINNGQKVLTMDELAKFDAIN IPIAMACDGNRRKELNMIRRSKGFDWGAGGVSCAFWKGALLRDVLVSAGVKLAPSTHS HARLWVNFEGADNPSEGKYSTCIPLEYAMDITNDVILAYEMNNKPLPPDHGYPVRIMI PGYVGGRCIKWLSRIWISETENTSYYHIYDNRVLPSFVIEKDSEFARTMFHHPSTACN EQNLNSVIVRPAQNEKIELQTVKRGQRYRIQGLAYDGGGCEVQRVEVTLDGGETWLYC TRKKSQFGTSWAPGLNQELAKADGLKQSAEVQIESIKHEVSAPQKQFTREEIEKHNTE GDCWIVINGKVYDASSVLSWHPGGKGPIMGHAGKVHADTTEEFESIHDDYAEQKLSEC VIGVVTDKAKAFINKQKEQAAKDAAASSETPKDLALDRKRWIPVKLIKKTHLSEDTQR YKFALPTGTKSLGLHTGEHIQIGFHFLDRMVTRSYTPVRPILEEEKDGTFDLVVKSYF PSKDMPGGTISNILHELRLGEEIEVKGPMGEIRYLGHGKFKVDGRELQFQHISLVLGG SAITPGWQLIKDILKSKNPHDNTKIALVDANKMESDILLRDEMQKLMEEHPDQFRIDH ILSHAGDDWKGERGFVTEDHLKKYCYPPEKGNIALICGPPAMIAKAVLPALKDWGYTE EENLFGF UREG_02307 MLSSRPSRASLGRYRPVLYIATGLAAAYAVLLIHRHFYPSPPPQ TGLHRRNAVRRPARRRGDPSSAARNHAEMSISMEAIRRLQQLETETEPYGTFRVEVEN GRSYQCSLMPTEFPTDEELQNILDIGIEQAVLMRRMMEDAFLENFFAFEYPPSHHVSA LTGEYEWLHDQLLDLGFTERSVVRAIRRFNGDANFGEELRQRRNNGEATSLAISGNTI LEAHRDVTENAPDDQSLFSWRDGSDDTAPSREGQNLLNLLYHIAEDQARRDGYIHRGV TCNSCGVMPIQGIRYRCANCIDYDLCETCEAMQSHIKTHLFYKVRIPAPFLDALWDQF RCLANVEWPNDPNKLNMAIDRKTFDRCFVPNTSARPPPPSLIYDRMFSFYDTNGDGLI GFEEFLKGLASFNNKSTHERLKRIFHGYDIDRDGYVERKDFLRIFRAYYTLSREVTRD LVAGMEDEFIENGTLDVILGSQPVSSAFPGTVTSGDPSRTGDGKQMDLHGDMEIVDNQ GVLRDDGEDECDRHVVIGDAAVRNTFSTSQSGWLQPSPQLRPSSFHGNDVHDEDNDRN DASSEFSAEYGEYPHPEVVQPRDIVNALGAYVPYEEVMDHLDRVRIGTCVMERLNSET EDHIENVRNAGIEERWRRRRFYIDVEDGATVPSCYHDEDEDALEDTDETESHVPSPRS RSSSKVRFQDDVTDNECETRSNLSTSSRSIPIGERWGGYEIPEAERDVGKEILYQVTQ QGLNELLDTIFKPKEDLIMEAYRTRAERKRWAKEIDEFALAQLKRPWTGSDKPHSGPA AEGTGAKVDEVPSQEDGLGEFFMGYSAVPEDHERSDSPQVTEILPTDFVFYTGPQNRP NGTETEPFPLAPENMPFEVEEKEDSDDGRASPAPDPHPPAIQTRLSRFS UREG_02308 MAANGEDSMLSENTPLLASQDAVSNGSFTRVNGCSAVDEEAPKK GDLDTSRAAQFQGLPDAQKRLKYIVPAVSIGVFLSAADQTIIVASYGKIGSDLKALNL TSWIAASYFLSLTSFQPLYGRLSDIFGRKACLLFAYAVFGLGCVFCGLARDIKELIAA RVFQGIGGGGMTTVVSILLSDIVPLRDRGIWQGVINIIYATGSGLGAPLGGFMTDSIG WRWAFLAQGPLCLAAFVTVAVVLELPAVDESHWKEKLKRIDFLGATILVLAVFSFLLG LDRGTNVSWMLPLTIVSLVVSAVLFAGFVCVEIWVALDPFAPGHIIFERSMLACYFAN FFSFGSWLSAIFYLPLFFQARDGVSATGAGLRLLPCIIAGVSGSLGAGIFMRKTGKYY WVTISAYILLLVGLVVIYLFSGPISNNIYLIVIGMVMCGFGNGIGVTTTLIGLSSVIG VSLASGIVQQTLRSSLQSALRDNKDIDSIVDGVRQSLDYLQNLDPRTRGIVRACYGHA TNISFGFMAIVGLFTVLSAAFIREQKLSG UREG_02309 MGDLDAKKCIATYDPFPTYPYTGKLRPVYPLAPQNKVPSDIVAP DYAKDGIPRSEQKIFGRNSIRILTEKEQEGMRKVCRMGREVLDIAAREIKPGVTTDHI DKIVYQACMERKAYPSPLNYMNFPKSVCTSVNEVICHGIPDQRPLEDGDIINIDISIY HGGFHADLNETYYVGDKALADPDTVRVVETARECLDKSIEIVKPGMLFRDPGNVIEKH AKSRDCSVVRTYCGHGVNQLFHTAPSIPHYAKSKTVGSAKPGMCFTIEPMINLGSYRD KTWPDNWTSVTIDGRKSAQFEHTLLVTQTGVEILTARLPDSPGGPVQMPSMDGEAPKA UREG_02310 MPGSSNQRKVVIVGAGPVGSLAALYAAARGDHVELYELRGDLRD PSTIPLNFTKSINLAISERGIHSMKLTNRPEFVQRILQEAIPMHGRMIHGRAANGALW EEAQAYDVHGRYINAIDRGVLNTVLLDELEKTPNVKLFFNHKLTGADFKSNKAWFERR NPTDSVGTFKAASSQDDKSINVTRAPELEVPFDLLIGADGAHSATRFHLMKFARMDYQ QDYIDTLWCEFQITPSDDNSFRISPNHLHIWPGREFMFIALPSPNKHFTCTLFAPSAH FAALEKSPDQLHEFFDTHFPGVSELITPDALKEQFINNPHLPLISIKCSPHHFNSSVV ILGDASHAVLPFYGQGLNAGLEDVRILFELLDEHGVYSSENANNPARIKESRAAALNA YTKQRVPDAHAINDLSRRNYIEMRWGVKSHTYRIRKAIEEALYRYAPGLGWSTQYSRV SFGNQRYSEVEHATARQSKVFGCGGGAV UREG_02311 MGCHFLTKYLTFGSRFDISPESKGYRKHDGLLKANVYKREHFQR KAMMSPGSIKPSTC UREG_02312 MAYHTNIAQFGRYGDLRDSPFGPGAGDPISFPSRRIASPQKDQL SQKHPETAFSERPEFSDAWSFYSKSNRLSLSPLSYDQYSHPPPHLMPRPIAQQLAQAP TSSAWEMPVPTHNDNRAPKSLIPGEASLFPERLRRRATDTTRSSGRYHSQRRPGNRDE FDGPTQLLEMPSPITVETQEEDLPPLPTTLDMQEQEQILCDVNDRLSRCAFDFVAKYQ FPIPVESDKKHVNGPQDREWTEWVYLLKRLATKRRIPARVLYNGQIKQFITVLENALE TRHVVKNQSRPLKDDRNVLQFISAGTQVAKILKDAPAMEYFDWLYVQTEKYIHERRMH RGKAVFDNAR UREG_02313 MTDAKETPIAEPVTRENVASRRLWLGLKYWQSEPVISKMTAISK PTKRITLDVPALRRIVRGDQSNTVYGLRSPGECIFLTTSQGLFEARDGLFLGASDGPV CILEFIIRDLTLTRPLACSTRPSSVCDIGLPQPGSHSSFQSSLDAQFSRACGK UREG_02314 MPPLPGEERALTLFADVHYYFSPPSPRPLLHRFDKGSYLYIYHD AAQRKARIEIANNPGFPEQDAFAGSLGTALLRNSDTFPTLFTLTVNAQRPSISGELPN QAEHEWSLASGHPQDTSGALHQLHTLDIYFWTTEDARLFLSTVQRILQPTQLDIIATQ PAMHAAPVSTVVQQLEQVAISNPGYHNNYAGEISPTGSANLPPPPAGGPPKQPTAISQ PSMGSAKPLDRPEGQPPTQAQEEKAELYTPLAYNPAAPAAPEPIKHREKTPPPSDSAS GTGLAAAAAKEQGMPFTQSASIGLSQGSHNQMQGYNQQTSVSSPPPHGGSLSFGPTAG PPSTGHSATLSYPPHPPAQEPTTGVYRQQSFGPPPGGEYNQFQQQQQPAVTAYNQQQP YGQPYQPQASQPQPPLGGYSDYSYSQTQRPMANQYDVHNQVYRPTEGEHTYHQRHGSG SLAPSGDRKPSKIAENAMRVEKGVNRLFKKLEKKL UREG_02315 MSDRPATTGVRSLLAKFENNISASPPSRGRSPVGADGSAAVRPL SKVRASFIPVERNGGSGSPLGWLRRTDSGDSPVNTKPPVTHEFGGPIDKFTPLSPTGS VRMNVFGKSTPSTPMTEKRDPANANSTIKHDIPSEVIQGLGAILKGSPFEDSKPPTPP KKNGSPLRELGSALPEPKATVAPATKPTSPPKEASPPTKEHAPDRKPATSRPSNLVIS KESVNQGRKSAVNRGPKTPPAPRTPKLPVTPESQVAKPNAASPAITKETAKVPSRVNT PKRPGRSTFSPAAQTKHVNPNRDNASRPGSKNTSPDQPKVRHKSPTRPVRLPSSMTAP TASSAAKTSNASSRPASRNGVNVDNKAMRKPSSLRVDRAVSGPRTTAPSTTVRKQPSR AALAPPPNIGVERPKSRTSNVNARAPDESFLARMMRPTASSANKVHDKIEAKSPPRST RTARPVRKPADKNHANSNHPPKSKSPEVAKSPVQSSPDADSATETSIPQVPQEPESQG EIVEPPVRQEEPDLPVAEEAPAAPTVEAKEPEAAEV UREG_02316 MAASRTLRIGLIPGDGIGREVIPAGRRILEALPASLGLKFSFVD LEAGFDTFKQTKTALPDKTVETLKKECDGALFGAVSSPTTKVAGYSSPIVALRKRLDL YANVRPVKTTAGAKLAAPIDLVIVRENTEDLYVKDEKTYDTPEGKVAEAIKRISERAS SRIATIAGDIALRRQKIRDAGHPAARSSPMVTITHKSNVLSQTDGLFREAARKALSQQ KFSAVEVEEQIVDSMVYKLFRQPSYYDVIVAPNLYGDILSDGAAALVGSLGLVPSANV GDGFAIGEPCHGSAPDIEGKGIANPIATLRSAGLMLEFLGEEKAAAKIYAAVDANLDE GKYLSPDLGGKATTQEVLDDVLRRL UREG_02317 MECPLTAPTQNWVESRPKLLNTYQSLLSRLEHRIDCKMRVTDHA PYTPKCRSSNRAKRGAPGGADSSTGLTPHILRTRLVASSPAAPTNAEPTKPYAKGKPR RHTTPFPQSNSKKRKLGLAASPKEEVQLCQYVPFSDIVDSRTRRRIARAGFSEEMNAI EERRRIQKKLEKQKDDELRQLKDELDRLKSARVDRADTVDVVLQDGIDTEDDDMDFDE GIDTFDEFNGPHAPELHCLPALMDAGTQVSFHSCRGEVQSLKEGLERKKLEQRTLFQE WQRVVNNPNPDIERTGMEPALSTPPPDLAAQVIASLRAATARADEAAQTVVTVQEELS THGFDGVDAMEVITNIGARFRHARMELERAVPGETPSANLSNWSTTIDALVERIHRLV TDLKKTQEQVTGYQERETALRRQFDSALLRYEETCKKNENLEKYTETVAEDMLDARMK LQRLGKEIESHATDKTRLTAALESYRKDVKMLESLNEKLEDEITVSKQQIDDLEIANN KLDERNELSKARIAILQKNLSREHDLRQRLQSSLDQCNSEISNLKWRTEQLDTEYEHV KAVLKEASAKEAENHEKQVGTLNARLSSISTSLDLSNAENGKLQEQKSQLERRLSDFQ LLFSREAIQTAQRRAKETLEAFEKWQEGIELLEEVSRREGMIREEARSFGAIGSEPIT PGPCTRFKNVEMAKLDVNGVAANRADGTAIHESRDNVITLTRFPVAKAHAFTAGGSLT FTSISTTKMASEEPAERYTLKVTAGPTYDSKSHRIVPVNADETLAIETEHSTVNLCVR IQDYNGLPPNSPRTSNYFSHPDRQSNLYSIAISLVPKRTIPGSELVFGNDFDHPIRDR LPPGTNYALKLAQWTIDPGLEGDAYADRPYLYGAALSSWNFFRICEREGGSEIGVDGE DANHLGSVELHDQVIAEGSEGSGKQVRESLNIPDDADSRKKHFLDVNNRQMFEFEAGR LYKADFGNPYLGFSDFTLRLPGFSLDVAKYIDQKNHSLRYVLKNKNTGDIYFVVLFTL LLDNDDGDQAEEDGEMQQRREQEPEESEVD UREG_02318 MSRNNQNTSSYMASSLSHLSNRMKLEWTSKLSTEYQPAKNYRRT SIICTIGPKTNSVETINILRKGLTTNIRFQHSCFHPNINFVAGLNVVRMNFSHGTHEY HQSVINNAKQAERTQTGRPLAIALDTKGPEIRTGLTPDNKDIPISAGTELNITTHDDF EAKSDNKNLYVDYKNITKVIQKGKLIYVDDGVLSFEVLDVVDDQTLRVKCLNNGTISS KKGVNLPGTDVDLPALSEKDIDDIKFGAKNKVDMIFASFIRRGEDIRRIREVLGEEGH EIQIIAKIENQQGVNNFDEILEEADGVMVARGDLGIEIPAPKVFIAQKMMIAKCNIKG KPVICATQMLESMTYNPRPTRAEVSDVANAVLDGADCVMLSGETAKGNYPREAVAMMH ETCLQAEVAIPYFSVFDELRNLCPRPADTVESIAMAAVSASLELNAGAILVLTTSGLT ARLLSKYRPVCPIIMVTRNEAAARYSHLYRGVYPFFFPEKKPDFNIKIWQEDVDRRLK WGIAQGLKLEIISKGDSVVCVQGWRGGQGHTNTIRVVPAEPNLGLAE UREG_02319 MTKQSHAGYGWRECPLTSVPQPSSSTTASDKAPVISTAGCQHTR FPLKTGELHSLARPFLTPQFQSFFPLQLRSYGESQLPANERLNLQLGRLTHYAFDAVL STLPSILFVSLVRDRMGSRETSPSHQQLDRAAVFC UREG_02320 MASQRSQATSSPSASSIFLPLGRRSRRGSLASCSSQTERENLNE ALDQIHNAACHSDALTVFNEFSNPPVPSTAAGNKPGVVGDIQGGLSGLYSLLRASVGG VKDMVGGSAKAPDSEAPSAKAPVPAPSQLSLSGLGGSDNQSSPANSAHASKAQSPVLG TFASQSEGMTSFSAPKSSKLSSKAPSVSSKASIPCTGGLKPSLTSLTRVSPSATADPA VSEVNVNAVRPGIHHTPSNSGSLSASVIAHSDSTASDRMDGSLQMSSLQSSQELRSGA PPRSPGLLTDEARAYEGRERGMAGLLHSQGAPSYERVLSPTKAAMQNELTELDATLPQ SQWNTREPELSHITQPHSGNSTTSLPVDHSPVQTSMSNSVAKLSTGESSMNDTRNRYC CYIGVCDGQGRLLGTLFENFTRKATREARMMSSTSSGARSSTALPHISFDTPEPVRTT QTGVQVSYDLNHPQKSSATSFKNKLLSREYWMRDENAKDCFHCGEPFSTFRRKHHCRT CGQIFDSKCTCIIPGATFGHSGSIRVCRPCEAVINAHESDSSDFSGDDMSPVIVNSRN SESLGSGLKARLSAEDDDSSSVVSQSVDQVLKTPTMAIPATRRTGDGNNRRSAILEID SDRPLTRPTSSRSLRSSLNGRALTVAHKRYHSRSQYVRGLKSYHEERAPFQRRVGEDH SADAKLHAFHRDNIIDPDLAQYLSDDASSADEQPNLFSVVSDSALSKSGGEAEKAAFG GFLAAVKKGRSRFGERSGHGAFANRELDDGSVSSSRAVNLGRSTRRRNLSVASSIHQR LSPRVPKENPSVVHYFQDHAVAPAIPTPGPGGFKMTRSSSMRGAGAPPVELNKASLHH VRKLLRQLLKDASIPHSHSWETALLPILLKATDDVEPDVQHGDDMDIRHYVKLKKIPG GRAGDTSYVSGLVFTKNLALKSMSRSIPRPNILIITFPLEYARQHQHFMSLEPVIRQE REFLENLVGRISALSPNVLLVEKNVSGLALQLLEKADIATAYNVKPSVIEAVSRCTRT KIITSMDRLIAAPSYPGQCGSFDVKTYVYNGRKKTYMYISGCPKELGCTITLRGASND VLVKIKRITEFMVYVVYNLKLETCLMRDEFAKIPTSTQGTSSSIKDSPAASFSGKRED SSSRVDECTKKQKAQNEGTRVHGSQEGEPADSTNTHSEFQSAKLDASQCTPAPAFYED MVEKHQTKILSASPFVQFEQPYLLMRARELERQLAYLKRLRDQDYAASTPSDEAGKSQ KFVLITPEMIHESPSGASEKAKEVLHALHDAEYDRALYNYQTQKRQWETYISGNTNLF DPYGHQNIVVLYSLVCTTTSIPCSGPDLFALDFYNDHGSDRIFEADCTLGQYVEDLCH NANTVCTANGCEERMFDHHQQYVHGDAQVSIFVQSYPSKLRGLQDTILMWSCCKVCSN ETPAMPMSESTWKYSFGKYLELAFSSTALHVRAGVCPHDLHRDHLRYFGYKDVALRIH YDPITLLEIIVPRPRVTWKVDKDLRLRNEIFTKAEKRLTKFMNSVKARLRGINVESVV PEMAQSCKMEVEALIKRANDEHAALIKQHQDMYMNSRYWEIIPLNRVILSIQEKVVEW DATFAEFEHNYFPSEKDIRRLAALQLRRIFLDKDVSVTSLTSSDEGAVTPTEPDERGA ESTTSPSMARRMTLSPQQAKSVLASVVEEHSGKQNKDHGNEAQLSPSLTPKVGATILP ETDMTSQDGVQHLDLAIPNSLAERLSPPRNNHGQLGFSESRGCETPSPAASADANDMG SPLAIEKPPIPKSLPDKANEGNGALETVDETVPKVRPSGIPRPLPEKSFRRAFRARSP PLLRTQSQPVHLQNDRGLSARPPPANLDHSVDSTRQVDVSLVHGEELKSKGSEKRLSE RLGLSSLKPGKLTSGHSLIPRSIPKKHNSRVSSLARHFEQLSREFEKERQRERKQRAA QGKQSRAYPMASSNPIMEVYKSVKDAVDPSNEDFVTNLPSATISKESSSLTEETESRA SPVDRPQKPEEQQLDVEPRPSEESLRDSSHALSETEGDGEQSESEKCLLEEFHSVEGV NNIPTEEIVLELKELPKHERSSLVKMLANFWAERSASGWAPLDYPLSDTDHVFADCDI IVREDEPSSLIAFALDSEDYKQKLRSIQETNERTQTQMAADPENPDTEPEVEQSLLRS TGTHLKYQFQENNAKMLCKVFFAEQFDALRRKCGVSERIVESLSRCMKWDSKGGKTKS LFLKTLDDRFILKSLSTVETQAFLKFAPAYFQIMSEALFHELPSAIAKMFGFYQVIMK NPVTGIEFNWFLLLMENLFYDRNPTRIFDLKGSMRNRKVQSTGEQNEVLLDENMVEFI YESPLFTREHSKKLLSQSVWNDTLFLARQNVMDYSLMIAIDEGRQELVVGIIDCIRTY TWDKKLESWIKDRGFAGGGKNRPTVTSPKEYKNRFREAMARYVLHAPNPWHQFQIPNS GKLFLNALDGRQASDPGDKQADARSNSPMEQDHSFEHSAADGSPPGAANHARAEDGTP QYTPPLLSLPSELLYEIFNYLPSLSLVSLSATCQFLREHANNELLWAALVNSNLHAPL DCPAPFESFRSLYAAHYPFWFLVRKRIWFSDVHNTGKLILVRYNASRGRIEGFRIVAS NSFRQFQIWPVNASVIINSFDPDVSLWLDDPVILLNKNVASTFTSNPTTRQWEVQMPM DLESQGVFSSFLFCDKRSPRPDMCPSSVWPPSRIPTDERVWIPESETGAVETCGSPMR LGDLSESGFRVRRWIQFNRSQITSMEIGVRIDGVATYGTLNPELYTPTKDKPYRGIWV GDYSGHGCEFLLMVHGNPDPRPSSYYTRETMEEDTTPSSSAESAQGTGSEDIPGGSLK AIKLTGDPNIPRGEISFQADDIGPGGTIRIAHEEPFEGARVHLYPQSSSLFRMTALHI IGGSWGV UREG_02321 MDLMKDWVHAYVHEAISLGLDGPPNNRRIRAKLTNQGNDGTCCR VRVRGPQVAQIIQWEDHQSRLRAVLSDSVSSIRGHFSDDAVAKYRRENKSDIRAGTKG AVMKLANFDILITNQSHAVSVALDIRGFEIIGCEGAGAFGNPHEISKSRVILALAREW LSTGNGKERREIDTPTAQPQAQIAVANHESSRSPELYFASQTAFLSQIDPKKASELPK KATTLPINMRPRSNSTELLDMLTSKRQKIEISKAPDAFIVVRSPSTKEASCDRDAIRP LPNDEPNTARRLREVSHFPRRDQHNKETNEGNSVEINSDNPPIPSPIPATSSNALQEF GAANHNNERSDGPHTTEHRKSAIIDDTDPWKGWKRIRRRDVVIPKDQQELIDSPDAWV PPAAGRILTPGRVPINLLRKWNETQMELHKSKNARTEETGSPPAPQASEPSDQPSTQL ELVHSPASSPSSRQLDEEEWPPSSPASNRPSVPPDSSPPKQPQKAMSHISQTTSSYRC IQSDGDKLNNAEDQLNNSETKRQIFKSAKKPSFQSGPEPEPSEFDSSEASELEISFPE VLETSTQDNQIQNTECTQGEPLSSAPPALQPSKGASFTQVKRSPEVPTNTVQRRTQAA QLLDRGDNLFSEDINSTMKLTLETIIPATFGSDNVNRSPPALVDDSDSDDDMARRQLA FELDGFISNAGTQTEQADPRDNFPPVDTESSAKKSLTSPHPTRNHAELIEISKKRKLD SCSSPPSRSPKRRIIDSQTRHGQTTPKTDKAPKDRISNASLRQIYFGRPSPSSAVEKI YHNFKQSYSSYAGDIHRFMDTCVKLQSLRSKGLLEKSIMWDDFVCREVDQYQKYAQGC EERKETPESYEQYFFKRATVPSFRRRNLTVKSLNIILAWHEEIQKQSDNIDLCTTESE SEPEPEQSADALEMKPGAAGNPRDTQTTKVRKEKAAYRQRHDSVSIPDSEEWHNCETH KSPSVELGDTDDSRILSGAQKRSTLGFEEIEMEDELTMSFEVETTFSDCNDRDGINPE EQPLDSVVNEPSLEVEDIANTKASSSSRRESKGKQPLQVIDHEASILSQPEDARSIDE AFYTTDSFYPPYRRPESPTQGSQAQDPLASGRSASPSSNWWRDPNTPFKQFARAFTNL RGELGRYRGANAEAVPVDENGVIQPQQLFSSGDEYVEGQMTTMGWEM UREG_02322 MGKAGRIACIFTPYALSIASLVCLVLVALGATKPSDPLNTIYFL KADLHDIKTDSLGIGEDLYPIGKGLQQAQASGKLHDYYIVGLWNHCYKDGENGDYKCS EKKAKYWFDPIDVWDLGDDVREFIPDSLEKGLNTYKTVAKWLFIAYAVAIAANVVQLV VGISAIFSRWGSLATTVFAGVHFHALHNPRLHHSDCTIRNPCQCHQDRPEAIQHRSKP GFPHVRHHLARHPLRLRRRNLLAFQRLLLLRTISV UREG_02323 MTSSQGQSSYRNPCDETNRNLTVEEKGNVDRDDSSLQSDLGRQL TSKPSLEASSQFHSVIDRLRCRKQGQLGPFTHPLGNEKTSADVIVCFNGSNDPYNPLN WAFRKKALTTLLYALTTFGSTWASAIFSPGSKQVVAEFQVSQEVGELGVSLFLFGSGL GPFLWAPLSDIYGRKPAIILPYFVAAIFAIATAVSKDVQTALITRFFCGFFSSAPVSN TGGIITDIWTAEHRGAPTVGFALAVMAGPTIGPFVAASISHSYLGWRWTDYITGIMMF FFLALDIIFIDESCATKLLEYKARRLRHESGNWALHARHEENEVSTSQIMNTYLVIPF KLLLTPICIAVALYAAFVYGLLYMNLSAYRVMFQEERGWNQVVGSLPFLAVFVGILMG AVAGLFNEIYYIKKFRQNNRQPVPEARLPPMMAGSLLFVAGLFIMGWTSPRKIFWFAS IVGAACTGFGFFTIFQAALCYLIDTFPSTSASAVGGSTFLRNMIAGAFPFFTAFMYHG MGIAWAQSLLGFIAIALIPIPFLFYAFGAKIRAKSKMSRESHALP UREG_02324 MRIRDRRQVFQRNNVHGILILSDPLSRPGCKILVWGGQSLRLLE IDLESAKAEGNFPVTFLSSEFVCPDWILDASFYCPPVDNAELPSRYTGSLITAHNVVL GVELKFDSEQSGVNFELHDIAPKSKPILYSADITWTSPNTILVAAGTVFGEIIVWTCY LESVSGNSSPFLNYSICVHHYFTGHEGSIFGVNISEEIQIENESSKRRFLASCSDDRT IRIWDISACGSAIFHNRDGLSKDRLPRSTGFGAIADDAFNLDQDACVAKTMGHASRIW GVYFLDISSSRDHVIFSLLSRGEDGTCQLWNCQIQQGAAQGDHRIFTGNAAINHISTH AYHTGKNIWSIAIGKQPNAFNIYSGGADGNLVSFTLDRNSASLRISGESTGDYSPDEM LHNLGLGNENTANRKKGGRPACYSFVSDDSFIAIAPSRKSTLEGVGSQCLSSGDARSG IAIIGSPAGAIWLYHHGTKSINKITQTESKLSGIFIVNPGKTRVSGTTCTSFITVFVN SAKAILFTVRSHTETEVVSKIVLNLPPTFLVTAALSLRTIPWLILGSRHGDIAIYGLD TTSDTGQIDPIFHSPGVHANDAITSIISLQASDDGKQNKHTIVTTGRDGYYQLHLIQT QNGDSRLVTIRTLHKACPPFGPNIEGAALDPKTNDLILYGFKGIYFIVWNESTQTELM AAECGGCHRMWAYKSDGEGRRMLIWTKASRLYLFSSVNPSHRVLRAGGHGREIKSAHC SNHFVNDSRNPLQILATGAEDTTIRFFFPEVTGSGLSGRELMCKLTLKKHTAGIQHLQ WSPCGRFLFSSSGCEELFVWRISSIPGFGIGAMFSGECPKSKPVSDLRIMHFDMLRLG NADAFLLGLAYSNSVVTVFYYEPGASGGSFELIARGQYSTNCLTHIRFIISGQELHLL TSSTDRHLALWNLTHSLGSIFHIHEAAVQRDPTREIPAVPFELEHSHRHPVHQSSIKA MELLQISETDLLVICGGDDNSLSVSRLTLSGSTEGTASFTSTLLPRAHASAINAIAVI GGVGRRREGGFDVSIASSGNDQRLKIWFIQGSRQTQTADVTVTLRQDMYSAVADISSL EVLTSAEDGREKHHLVVCGVGMDMWKVD UREG_02325 MGVTGLWTVLKPCARPIKLETLNKKRLAVDASIWIYQFLKAVRD KEGNALRNAHIVGFFRRICKLLYFGIKPVFVFDGGAPTLKRQTIASRKKRREGRREDA TKTASKLLAVQLQKLAEEEEMRRKEQSARVDEQQPLPENLVYAEEVFMTPKERRQNRQ FRKKDAYHLPELDVSLEEMGAPNDPRIMSHEELEEYARQFHSGENINLYDFSSIDFDS PFFLSLPASDRYNILNAARIRSRLRMGYSKDQLDTMFQDRMAFSRFQIERVKERNDLT QRLMHINGMNGDDPIYGSSSRIAGEKNKEYVLVKDNNVEGGWALGVFGNRDGEHQNKP IDLESDDNQLDNHEESEDEDIEFEDVPISGLSQLPDLPIFEQGVFDQSIPQHVLQVSQ ERRDLYDSRRQAAGLVRERPIDTEAADNDELFVSGQMAPSTGGYDPLFEDNDDENLRR AIQLSLEKPNDSDNEEDMARFPFPDGQSNLLNPAADASARDSTKQVLETEDNGVDLFA AIAKSQPIHPSSTVQSSARQFNGPLPFKPFKVTKITKSGTARIDSVGEAAGGFERTEN NEPIKSKPLPPWFSGNKQRQEFIEQEPDGEYSGESRYQQTTQYSDISANSKPVEVIDL VEDGSPKSPNVEIESRFPPTVQRSLRSESEVFDDKQHKEPSRSPAKSNIGLLFESAGS AAVVSDAIKNDASMESPVLEQTVKEKSPTAEFEDVDISRVIGAGVNPSSHPVIPLELA EDTTQELFEEVQFSDPEEAELIHQLAAETEEYARFASTLNFKSQAENADAFDRELKQL RAQQKKDRRDADEVSHIMVTECQQLLKLFGLPYITAPMEAEAQCAELVSLGLVDGIVT DDSDTFLFGGTRVYKNMFNQAKFVECYLVSDLEKEYSLDRKKLISFAHLLGSDYTEGI PGIGPVTALEILTEFSDLEDFKDWWSQVQMGVSIPDDVHSKFRKKFKKNAAKLFLPPA FPDRRVDAAYLEPEVDSDPSAFQWGVPDLEALRHFLMTAVGWSPERTDEVLVPVIRDV NRRELEGTQANITQFFEGSQGAGAFAPQRRTGGQSRMEKAFTRLRDETAAKRKADDAG STSVPTIEIEDPERHAPEPSRKRQNKGRAPMVHDNELGQGTEKSRKKKRKATNAS UREG_02326 MHRTYSMRHSRAPTASQVENPPPPLSTTKTSRIFGGGSLAASTS AWSSHALGAKLESVPPAVLSQYYPESTKDFLADNAGSLWSHCHAFRKSAAGAFGPDLA KKLSQLVKMEKNVMRSMELVGRERMEVAQQLSIWGEGCDEDVSDVTDKLGVLIYEVGE LEDQLVDRYDQYRVTIKSIRNIEASVQPSRDILEQELVRAEAESLVAEAQLSNITREK VKSAFSYQFDSLREHCEKLAIIAGYGKHLLELIDDTPVTPGETRPAYDGYEASKAIIQ DCEEALTNWVSSNAAVNSKLSARSRTLSQRRRSNMGRSRDGVDLAGQDLPMRGDRDSW VQAQEHSGYSQSHAEEEYDGDEVVASGEIDSRTEERLAE UREG_02327 MPFPLKSILKPAIPVSPLQSIPTFEETRRKTPARSPQRPSNYTG KNLLIDFSTPPSAPVVGTENLPNPYDTFNPTPARNGGQSRSEQERQIAERERDAREKH ERAKQLALEQRAARRKSMDPPYTPDEENNDLEQFSPADQRHLHQRSRRRSSGISPDEL DNIDDAFSSSPFSGDLDGDDTGIASLAPDNDDDISDSDVDNESTAMSLDNATGRSVVS TRSDGSNTDSSTRLDQSLRLAARIAGTNGIDYDENEDLSVAYANHEIAGAFKPWIKRG ADRSELDAEDLSSRLDQENMNPFRQSTGYPQSQPGEDDVEDGMDLTKPVGGILLQKEN KDSEYGSKIGRRLSTAISHTDEQTMEFTNVIGGIHKDLSPSKGFSGDSDIAEDEEMTM EFTSVVGGVLKKNIPQQGISITNGEQTYGTHEPESDPLYPDLTEVDMDMTGAVGGILP PIEEQTEPLEDETFGMEMTNAIGKILPSLRPTASARRNDDLEPEPVSSPFQENIMPSP PKPTTPLRVTSVPFYDGSPSLSNMRLNPGKSRSSGAHFSTTPTKSVSGQITPVKRAPS VRKSPHSLKSVTPTTPTTTPPSRKAHLSPKKISKPESHQPTLTPGSIFHHNKEKGQST PTIVFQARRRSPSGLGIDKEGLGSPIVAAILDRRRSIGEDAQEFTPKAQITRGVRFNE PSELKRGGSKEELQKCDQHGTLGTGSPSTGCDAGQNIRDLISSLTPKKNKLKSRKSLH VGSAKGVLGKRPVELDMEEDEEDTPKRLRARESSPVKKIKLPAPPSKAETVGRISRFS PNKTRESPFRTVVATREPSQFKGTELEASANAQRHGITPEAEIVADGDSNPAVVEAKP LQLSEFLEMTNIHFMELTTTKRRHTLAPDTDKKHIVDDNDEGSKDINLEDCVAAGFCT IPMLELYQHSCRELKSYISEGRRIIRSIEAETYAENPPLFQEYVTAPPDIRLLMDNQF RNVKAHARLLSKSMWYEWRMKLLEGLKQGLNRHVEEMNQDAEVLSKKEILLDNIVPEL IEKQARLQIDAHNLQKAVEEMENCDQEQLKQAREKLKTMELEITQRKRDLHEAQSNLE KSSDIIEKGTKQRMQLLKEIQEADHILEERRGWSVKEVYNLKAAAQDLERSSGWTIIS VNPTPDSKDGATLSMRYGDELKLDFHPEAFHFQSTSNSAKGRQSKNMPVMLCYSPQSS RAATAGDVGLTAEMALMLHALRMRISHLTQSSMSPKAFLSGISRTWSTASSMRNEICM LGYCGVTKSKTVEANPGEPALLKVRCILLGYRTETKRHKKSPLAPIVPVGGKCKARID VDFTIKPRPVLAKSEIEGHEVEVDVDVDVSAGKIYGFSKSDDELDMSEERIGEFLTQL VQRQDGKGKAFGKGLWRDAVKELERKVFC UREG_02328 MATELTVQSERAFQKQPHIFLNSKVKSKSKKVGKGGRRWYKDVG LGFKTPKTAIEGSYIDKKCPFTGLVSIRGRILTGTVVSTKMHRTLIIRREYLHYIPKY NRYEKRHKNLAAHVSPAFRVEEGDQVTVGQCRPLSKTVRFNVLRVLPRTGKAVKGFTK F UREG_02329 MSDSVVALDLREPPRRHEWTDRQRQVVCLLRKYYDNSWNDLGKI FSELFKHQLGRHRYYDGMPGRILQAQWHTLRNKNSPIWSQIHSDSTLTTTQFAVIRDI VTVAETLAVSLQENRNSTNDQQTFSQDYSFAQSAPSPTSPPPVPSLKCNPDSRQPRLM FRFWSAESNGFNSPTHFVAGLWAHKKNVPPLDSDPCVVNGYAHVHLSRFKGDTPFISL FDTPLSPLHRALQKPDGMVTIFDMSSFDEARLISAVDVLKEKPLDPEIGKASLQLAMP EKFADELATKIARGWKFESFKPENVRLFLDGVHDGYRRKRPRPDDQALNTNEDWEMVD DPDNPDWETVDGLDDNNTDCEMYDIMNTEPTPEERPSNTRGPFSQDVSIVQEAPFNQQ RQEGLLHRNRPLDQQQPFTREEVFDQDESIDQEGLLDQPQALDQEMKDVFAIRRERIA KIMGY UREG_02330 MDNAHSYLESLLNKTLRLHTSDTRLFVGIFKCTDNDRNIILANT YEYRYPTPAALNEAIRQQGQGESEVVTADMTTRFIGLVVVPGRHITKIELDEPSR UREG_02331 MASSAASGHLAVSIGLGSRATRRAFSSRSGPSRRGGQTREPQLR SQSWSKCLIAGPPPNIAQNDPGMALKRRFSSTNYGYVPLEIRGTSGTHRSTSYTFGNF YSGRLQALVGV UREG_02332 MGQQQSKGSGSRIPGAIAPDKAGPDSLQSYPSFSRSDTKDSGRS IRGSIRSKIPGTSKSDKYDSPRTSNGNLSRGDMGNGDKSDAASVRSTPSRSTRAASNA SVITDQSAISQDEEEPQSPVAPNPPPSPPQSASLGRGHENVDAAQRSGEVDHVSEAPP TGAGQSTVSQKPGESILVKRDNMINPVLREFNGSSTPDSVSMNGSPMGIGSLKSIDVD DMITRLLDAGYSAKVTKAVCLKNAEIIAICAAARELLLSQPALVELAAPVKIVGDVHG QYTDLIRLFEMCGFPPASNYLFLGDYVDRGKQSLETILLLLCYKLKYPENFFLLRGNH ECANVTRVYGFYDECKRRCNIKIWKTFVDTFNCLPIASIVAGKIFCVHGGLSPSLSHM DDIRGIARPTDVPDYGLLNDLLWSDPADMEEDWEPNERGVSYCFGKKVIMDFLQRHDF DLVCRAHMVVEDGYEFFNDRILVTVFSAPNYCGEFDNWGAIMSVSAELLCSFELLKPL DSSALKSHIKKGRNKRNSILNSPPALVSAQSY UREG_02333 MKATPLLIAWHDDNAPIYSVHFDPNGKGRLATAGNDNNVRLWRV EATGEERKIAYLSTLIKHTQAVNVVRFSPKGEMLASAGDDGNVLLWVPSELQTHARLG EDRSDDKETWRVKHMCRSSGAEIYDLAWSPDGVFFITGSMDNVARIYNAQTGQMVRQI AEHSHYVQGVAWDPLNEYVATQSSDRSVHIYTLKTKDGQFTLTSHGKFQKMDLPARRI SSNSPAPPEMGLRGQSATSNSAAVSSPGPSAPGTPMTGLLPMDPPPVSLSRRSSFGSS PSIRRSASPAPSMPLPAVKPLDMPSPSFLGGLGVRNASLYANETFTSFFRRLTFAPDG SLLFTPAGQYKLPTHGDPIKATEDVMNTVYIYTRAGFNKPPIAHLPGHKKPSVAVQCS PVFYTLRQGLKPTRQITLDTSVDDSFASLPDSMILSNLPGPTSTSMEPPPLTTPTSAT SDSSRPLPLAVNMLFSAFPWRDYSLRIYDSYIIPFDH UREG_02334 MVKNFEWEREKEEKIQMHADKLYHQMLDYEARVEQAKAAGVEPP PIKSLFNPNAEPIPSQAEDGVKGPNGAVVIPGGIQVPLGMKTSKPLKGYDPP UREG_02335 MLHTPRIEACSQNVDRSSSIEFCQECCRSPPLMHVLKGSFTTTR VWRVKKGVVATIKMSVATNRAERQQMRQRGAANRKVKDVDFGFSFGSPQVLPKQPAQA SSLSPPNREPTQVSPPKASTPNKKLSRPSTPGSRRLSARRTSNTPISKAPRSSGNQIP SPASVYDIPLDDEPEQRSSKRRRIKAIHEHQEEPLLPTAPSVLDHASPNLLQSDEQRP NEVQLPSVVLSPAQNPQRITLAAHHDGLSKEDFQKQHANPSPRKRKKRKSVRILPKKQ QKPRIRANVEEPREVLETEEPVATFIGQRQLSEPRSKSKISPRAHLSPRENPTGVQPE VGGTYSQQEEKENDASTNFELPNVENVEQGELENDDDLQAGAKQKRPRTKSARQAETG GQKNKRRKPAINEVTNEETVSPNRVESEVLQPEQPETTQLEKPRPSRRNRRRAASKSL GDASEEVRGSSRSTIPVTVHRLCNISALENVSEGSDISDTEQAVPDKAQSRQKHSTRG GINAADVLNQICQETLEKTLSTLQKNIEQESNVGRASEWKRKCKVVQEFGSELENSLF GISELLESNFVLAARVKREKKEMLSLRHQLISLRKEREDVALRIDDVRSKYADDESAR TERDSLNNSLHDLQLALERSHKRAEPSNTSPFAGLEFLLRMVGRNVSSIAPDSQGGLL YQIKHFNSQLENSAALLEK UREG_02336 MGQTSLPPQPPAPYYPLKDDPNDPGPGWWFKGLSGEECERVTLY QHALLCPIIIGDILSGPDLLSKDSKQCSFKIIGKLGYGSYSTVWLGQDLYGERCLVIK VLRFEVSTLDNSEMRILRKLGKLRVAFFQQHNDRKYLCLGLDPLGPSLRIRMETDIQL PSNLATILQSMEILAKKVSALHQQRICHGDISHCNVAFDLHNDAFTDEALKISFQDDT RFRFVFTGSAEEPALPPGLPKYIISNGYTALLGDGTDLSKIELLDFGKAFEGFSKDNA LGTEPYLALEMHGETGGHASDKSDIWSLGCVLCYALTDFYFLERKEFQIKYSGIALSL SLNF UREG_02337 MEDKPNDPEVSVAFNGRSSSPSASSSIAKQEAIREETARGWVNE GKETRKVNNKLRNPLSDLTREELLRDVEEFAIEKGLTDILDDLKNGALISQDPKSFEQ LDDLSETERELLRREKTHRWHQPFMMYFMTSQWTSPACAKWGEELTNFPVLCAGSAIV QGMDQTAVNGAQEFYFAEFNVTNVWQQGLLNGAPYLCSAVIGCWTTAPLNRWFGRRGC IFISCLISFASSFWMAAAHTWWNLLLARFLLGFAVGAKSTTTPVYGAECAPANIRGAL VMMWQMWTAFGIMLGYIASVAFMDVKVSGIPGFNWRLMLASTAIPPFFVCIQVYLCPE SPRWYMMRDRYQSAYDALCKLRPSPLQAARDLYYIHASLRIEQKLREGKRLWREMFGI SRNRRAAQSSFFVMFMQQFCGVNAIMYYSSSMFRTAGFSRRDALITSLGCGITNWIFA LPAVYTIDTFGRRNLLLTTFPLMSLFLLFTGFSFWIPDLQSRTACVATGIYLFMIVYS PGEGPVPFTYSAEAFPLYIRDIGMSFATATTWGFNFVISLTWPALVDSFKPQGAFGWY AAWNLFGWVFCYFCLPETKALSLEELDQVFSVPTTAHINHYRAMLPWYFKKYIQKGDV PPQKQLYDY UREG_02338 MPAEAEKDVVYHTATKSSLPMSSDDGTHTMSKDEREVAEAAARY GYGPLAPGNTHQLRSFAGSFHPEAYQGPAPRQFANPAPLGLCAFALTTFVLSLINMQT RGTHAPNVVVASALGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWISYAIIFTPGG FEIVSSITKVDGLSGVNSTVGFWLTGWFIFTTIMLLLTIKTTWAFFSLFLFLDLAFLM LVIGHFQEPTPGQQNIPLIKAGGLFGLLAAFLAWYNALAGIATEANSFIRYPLGPLPW ANYGRPKTERDLV UREG_02339 MPSSNFEKSVKGATKQKLAAPKSKYIEHILTATYSDAGVAEIFR ALSLRLRDSAWTIVFKALIVIHMMIREGSPGAALKYLSQHPRNIAITSVSDAQIQGGN IWRYSEYLIARSLAFSDTKTDYVRNGQGKLKKLTVEKGLLRETEIVQKQIRALLKCDL LSDEPENEISLTAFRLITLDLLTLYSVMNEGTINVLVKFLRMARQFESATRLEIPNLK HASTDLTKLLEDDLNDPDFATRRKEYRAQKYGTKESQGDQKKATPSQPARAQLAMPNT LPQQAKAPAPDLIDFFDSIDQPVNQQAPGPQFNTQNQQMQLFQTPGFPQQQMAFAPQQ PGLQQTATTGYGFQQQAPSINPVAQDSNPFAQFAAQPQQQQQPQQIQPNHTGAGFGGY TPQLQPQAPAQSHPNNFQPMLSSIPQNGVPSFQQPLQTGSPRPNNPFRQSMLIANNSP SSTSSPINPNSPPAASPLVRQPTNPFAKHRLSQMPFQGGEISRPSTSPGLQSSDQSQL QPLRPQRTGTNPFARNTPSPNQGLQVPPAAPLRPNPTGSTNPFRQSAFINQQTGQAWQ TSASQGTMGGLENLQTIPIFPRPGQTT UREG_02340 MDETPRHLNSRTRKRFKQDRPDEQSIYDKTIRWLFSAQKKLYHQ EEESTPATANDETLVDVPPSSLPDPNQRTLRSFFQPVRHSSYVPSNGFPNNPSTQSFA SQTSKSNPGAQVDLSLHNVSSSVMDIDMDVSMEKDSGSNSTVSTPGGNKRWVGGLGWM WDA UREG_02341 MACREAAHSGSWYSDDAATLTRQLDEWMGRVPNEIEGIGSLPVA GARVIIAPHAGFAYSGRCAAFAYKCLDLSKAKRIFLIGPSHHHPFSKIALPEVSSYST PLSPDPLPLDKEVIAELLNRAENGHVRFCTMSQAIDEAEHSLELHLPYIHYLLQRLYP DEPAASYPKLVPMMVGSTSAPTEQAFGRILAPYLANPENAFIISSDFCHWGLRFAYAY YIDDVPSPGPVLPLSYDALPQPSEALKLGSARRQITAVSSGRYLRAGDQLPKHADVPA IYESISACDIACMSAIASGHKQTFLDAIKSTGNTICGRHPIGLIMSAFEFVLGKDKEN IRDLEIKAADETQTHLMRGAFNFVRYERSSNCVSVVDSSVSYVSAFAVL UREG_02342 MIEIEDVFQADAKEERGIGDVDDSEAALGGRGKENGGSDESPKD AKTNQERQLREGLAIATCSSPSIDNDRAFRFDYVPNGEFSAAGGTVMSQYADIVPAGP RTWDVISNILHITTRCVPFSFLHLVASRPLEARAAAKRKPSVPSGKSGEYCAGSFLAS PLIVKLANALFNTDLQRLAALSSTTSVGTPQPVNLRMLLKGEKSLSSTEYETAEYTWS SLHLKSENYTEPRLRGSVRTLIGDRCGKNGGLFLFGDGLPLTVCKPMHDIPCQSLNRK GLESNKFSKRCLCLNEDQILDLKPTVANLQHRHPTQSVHSLKDCLAYVGARNRYPNTQ VYHPCVFHFRCDPESAPETNSFPPPATFFNGHPTLKAPAEVI UREG_02343 MADHHHQRATRGPSAHQPPIDAGELTKQFEQLLRTRRLNTLQAR SRSQTASPDPSPFATQSSSFQPPQPSAHPQQPPSYSSVRSFPIVPTPPQDPTSIKFRN LLHVLSVTPVKYENPGLLDEALALIPLDRLYAEAEEESQILQAEAVSMGENVKPTWGY QDCVIRALLRWFKRSFFQFVNNPPCTTCYSPTIAQGMTPPTPDETARGATRVELYRCS GPNCGGFERFPRYSDVWALLQSKRGRVGEWANCFSMLCRAVGGRVRWVWNSEDYVWTE VYSEHQRRWVHVDACEESWDNPRLYTEGWNRKMAYCIAFSNDGATDVTRRYVRNSAKH GMSRTRAPEEVLLWIIHEIRRLRRENLSKEEKRRLMKEDEREERELRGYMVQALTSEI NNLLPNGAVNSRPDEIKIPITAADGTIEWVSPAGVRGQPDSDRARGGR UREG_02344 MSPFCCLRGPKAWYQKLFRRGKSTPRRNPNELKTSNSHHDGRLA SYLAEIKDDTSSLSSAEERMIWVVWPEHADDSDSTGRVYSQLVAIAGSERIKTTAFRG CGVICWQVELTMDQRTRAAEIAEVAVMHPDFESPGEPF UREG_02345 MFGTSPPMSGASTVLSSTGPQTTLPKTGVSPTTAAPLSSGLIGP VVIVETTTATLPVVTSLTTLVTLGHTFTLRPPANTSPGQDIPVLVIVGTKTATLPGVL VKTTLVTFGSTFTIMPPASMGINLSSGSETSSVVSSFPPVPGETTMITRSQTMKDVPG VTTSDRRPSMATEEISKSTTTRSASLAFSSLTEKTRETMGRQASTLTSGTLLTTATTV SQPSEKPSATRSVTTSKTDRSKKVPPRTKLSDTPATTSKAEESKRILHPPSHQIHWQQ LPRQGRAKRILHPPSHQIHQQQLPGKPKRILLSPSHQMRQQQHPRQTLARRTVCRRLL LGGLQTLLSLRLKLKSKSPSDWTTMAVYGPPPIQNIKLPPQIQFKGELPPWPRFTVGI DGVPTFPPKPNPTKCRTKAASLCSTTTSFVVSAVGNTLQTISSQVIPPTCVQVRGCLV TDATHTATATTTEECPTSTVTDAIITCSGSGMTACMTKTTIPRKGCSVTPVTTTVSCT PAPTGSRHRRNLCPLLEEYIVWPKDGKKIGETNAIHSEMQKVLHDKTKITVLKTKSLG VHVWRVLLEPDQVQRIRDIPNVAVVYPQCKSNCGDPFTSGPRLRYQKKYVDEFIDGDE GRTQLVFISNPEEEFHEKLLRESYVFNVSAVEDIPIYIVDTGAQLDNPVSDPPKKAEF LFAGPDYDGKEHKDDSGTPLGGFCSVTGPPCNPHGTAMLSVIAGYKLGVVKRIKPIIV RIPRRDESGGGSTPIDWLNGLGKILDAFPNDSEKTRAIVSLSWIYSPGLFEKSVRRAF DLKQIEQSDMEVAWMRWQTRMYELLTSLVRKGVFVVVGSGNDLIINGYPALFGAKPQA GKLHIPELMVVGALDPSNGQRWWKSGIDLPKGLPHIYAPGTGILAAEGNKTKWKNKEF YKSTQGTSEATAMTAALAAYFLSLHQVGRLPPDSKGNNPDMSPAGLKEYILNSGWVRY TDPHDGPILGIWNGVKPENVKSQGYCSYKPKGHIFRRQEEECVPGTSSVSRSSKRPTS TSTTSTKPTTRRDPPSTTVKPTTLTTSTRRPKSTPTEKPIQPLKLEKRQCFTSPSSDL GVVDKFAVKYVADEICTGLHLDEAKMSPGKKPIDLELNLYRVPYHMSISWKPGCETIV DSVSPAAPLAKADKGTPERDIECVELLYQNWLKCDNRGQGGYIDAGCLRYEFEVNWVS KIEIH UREG_02346 MDIHRCRFVPYNPQAINALAFSHPPSADLSGRGVPTLRLAIGRA NGDIELWNPLRGTWCQETILRGGKDRSIEGLVWTLDPSEKDSEGNDVPGKLRLFSIGY SSVVTEWDLEQGRPARHSSGNYGEIWCLAAQPRWKSSRRGEDGRPMPPAEGEFAGQHL AVGCADGAIVLLSTSDGDLKYLRTMRPSTKKSRVLNITFQNRDTIVAAYADSSIRIFD IRNGKLLRTVTLGKGQHKAAKELLVWTVKCLPDGTIVSGDSAGEIRFWDPKNYSLVQR IHSHQADILDIAVSADGESVITVGADQRTTIYKPKAPERGAKSRRWVEVMHRRYHTHD VKAIAVYETKEISVMVSGGLDTVPVIVPLRSHEEELHRKLPSLPQRPQVSSSPSSRLL MSWWDREVNIWRVSSPTLPEPLEPQQHKLVAKVLFQGEENLTSAALSSNGKFLVAASI SEVKAFALSPKVNGDKTILQVNKLEIPVEISKHGAREVAISPDCQWLCLIRPDNTVCM AKIDSDPEVPGRARILPRLRKLRRVQRHLPRGKRHHGSLGTYDRTIRCVAFSADSRIL ACGDIAGFVDSWVLQDETVLLTNGTSDDKTFQPESSDDDSSDEEGDSSESDGQRWVVP RFDSPIPQCKPGILLMTFRPPSQSQPTPLMNGDKSNSTSHISEGRLIVLTTEHQPLEF NVIQGKLTDWARRNPKTYLPKAFTIIKDRAMGAFWDPNGSNERLWLYGPSWLWMFDLS QDFPTPEDLEAEKKSNAVSKIDSAKDASRSKKRKRPDAGEDKIKQPNSGAGDRIPLWH DSIGFGRKMRKVIGSDGKAAQATLSNLNHHVNQHQNDEEDVDLHLEPSRSLLADLRRE QAPSSQNADLDDRSDLEKNEAKEKQKQSQPPSLSSSPVKPPSSDLPNGTAPSQVAFAV VIHTQSKTNPNVQKASETNDNASDTESIQPQVQNSPNGAEPSLESKTKRRQNARRWWH TFKYRDIFGIVPLSQGFTSEKMNGDSIGKGLEVAVVERPMWDVPLPGRYVRDYE UREG_02347 MLFRRNSHPGALRCCCIPGRNASPAVALPQRILDVRYGYDLSRS NGDTSKHPSVRKESREKRVGSEESGMKITSD UREG_02348 MYALSENRKHKVLNLTFETSASEEQDLEQTGSPTSNHTIRDPLA LLFIKAAIIVARIRIGCVANRQTQCIDKPPVRTDPPQRYPFSAENFLSAPPLYGAFAV VLGFA UREG_02349 MAYQRYSSNFQPCDSYFVETYDGDYQPSRLCLKEHAKLIARERQ YAMGDEMSNAVREEYQEDIVAHMHMIDSATLPDVDSIDIQTEIQWFMRPYLLDFLIEA HAAFQLLPTTLFLAVNLLDRYCSKRVVYKRHYQLVGCAALLIAAKYGDKKERVPTIKD LKSMCCALYDDDMFVQMEWHVLQTLSWSIGHPTVDSFLQIAVIDTPYDPEVEHLSLYI LEIALFHREFVSKLSADLARAALALSRCILNRSQASHNDWASSYDPLTLVNLSQHLFQ PSHVLSRKYSSAQYSRVSKILEQFLARQTSINKTYNPPTPPTDRTESSKPYDGEIGLV TPQKTHCPRAMTNGYITPPITPENDAFGAASNANPSKDPASLLYVCPTSPTPQQPMQY GQAHYKYQESDPYSDINGSLSRHQWLTTRCSEKLFDEESPKSH UREG_02350 MANDLLPLGNPGHSHWLGDDDLLKRDAWLHAMWVAVPHPALTEI RRKPPERRLGSLGGWPSPPFSSPATAAAARQTGISKLKESPWTSAGIPVACSSNPARR NHAREFGHLCFQIQILRWAP UREG_02351 MVYVTLVATMYVQYQYRMLIYTGMYFYFWQSRGADTETFGQQFY FGMFLSDMANHQPAQTFISSRRWSRMIFSVTVAALGLFAASYPAHNAEWCGWSNFLLQ LSKYIFPHDINLGKRYTALGVDLVILAIYLSPSVKSFLSRPFFLWLGRNSFAVYLTHG TLLRTVLTWMIYGISGQPWKEWKNEKGEMEHSPYLPRGSNLTFAISIPLWFALVYLVA HYWTSYVDSFCARITQKLETHVFEQTEKPVNGLPM UREG_02352 MPHSYSSSTSQGSTKSGFSSTGSQSSQGNSQTSRPKTQKPLIHN SGGNSPDSKRTSEWDGGRWK UREG_02353 MRLREVNHLELPPTADMHVHLRDGPMMELITPQIRKGGADTVFV MVSDAETISFALPIWFRQLQQFHPSITPEVIAEAAAAGVTGVKVYPQGVTTNSASGVA NLDDFFPVFGAMEKHDLVLNIHGEVPDVNVMNAEEAFLPTLTRIHEQFPGLRIILEHC STAAAVKAVRSCGPTVAATITAHHLYLTIDDTVNPLAFCKPIAKTPEDRNALLQAACS GDPKFFLQLTSLRSGSDSAPHPSSSKQGEKPAAGVYTQSFATQYVLKAFEDAMETGII SDSDVTQERLENFLSRFGRAFYKLPENDGTKIVLERKGELIPKTIQSEDGSVEVALSR GGESVFSLRWLTQ UREG_02354 MHLPSLLSVLSFSLASLAAASTVEELPNGLKIEKTHTVDCERRT ARGDNIKVHYKGTLAESGKKFDASYDRGTPLGFMVGTGMVIQGWDQGLLDMCVGDKRK LTIPPELGYGNRDMGPIPAGSTLIFETELMEIEDKKDEL UREG_02355 MAKSKEDSPNFMFPRDAARSIAAQCFMGVSYLHANGICHGDLHL HNLLLRVSNFDNLSTDELYKRFGKPYEAPIRRLDGKPNKPHAPSHAIYCMAWDMPANK LAEPEIVISDYGTSFIVSQTPSPTLHTPILYAPPEEFFNEPITKPTAADIWTLGVNLY DALGERPLFETFAWDPDDIIAEMINTLGQPPERWWDAWAKRSEFFEADGSWVADFRRI SDPIFRRLPQRIWEMGRGETPETCEWDVTGGEFHALECLFRAMLSFEPTQRPTAEQLL TFEYTVKWAMPAWEKKIKRSRMRTLEKR UREG_02356 MATSQPMGSIFSTSLSPCFVRLSDMGWIGFGAFVVSATYILYKH PPYSWDFGPWRCAQQPGAAALAPKPSGARKTPEHSFLQDELDLDSVAQDSRGAEIEST ETTPKVSAIATPLSLNVPTLNLDETSTSSVPDAQPASLQPPKVLSPPLLNTPTIETRP QALPPPAKPSGLMPPPPRPSARVPLRPPPSAASSLRAPPSRTPAGSKLSSSTLSPLGL AGKPQKSSRQVTLEPGHSPLDWAALTSDPRNNLRGKDLPPSLIRVTPSMLKLHNGRKG YDAWTSYQGKVYNITPYLPFHPGGKGELLRGAGKDSGRLFLEVHPWVNWDGMLSECVV GILVSEHDPEDRTGALDEMD UREG_02357 MTSRSICGRAKARLAILAASAFGLECQEIANLRTPERTANNGSY EWRSRDDSFLRASVSDRLPNAPPSGCDYAIAIRDMQTTAYSGYFTIINVRGDGLPNDT QCPGTTPSNQEGSNPAGGNGPTSPTGGSPNGSGQQISAGSQVTKAMLGGAIAGVAGGL ILGLAIIILLGRRRGWFVNDKYIQTAVTNELNLRNHIASEQKQELSSAPIFQMPAGPQ EK UREG_02358 MVIRFARFGDGHSHGSATDSQSEAGAIVSTDKEPKSHAPGENHL DHSHDHPSDTGSNPVDGAHTALFEDYSAQLTSVFILEFGIIFHSIFIGLTLAVAGEEF KTLYVVLVFHQTFEGLGLGSRLATIPWPSSKRLTPYILAISFGLSTPIAIAIGLGVRN TYPPEGRTTLIVNGVFDSISAGILIYTSLVELMAHEFMFSTSMRRAPIRTVLAAFGLL CLGAALMALLGKWA UREG_02359 MVLELHTWGPAFGLPSIDAQCLATIAYFTFALPKTCSQEWVLVP DSDPKIVPTNELPAVWTGSRWVTGFRNIVEFLKQYSDGEWDLDQWTSPSEQADCVAFS SFLELHGQSLIDLSLYVSSENYHSVTSPAYGTLLQWPNQWIIPPQVRSQAKARTEHLG LSSLDLDVVEEERQQGRDATALAAGQIPKSLVTKPRQTVSGLLDKNFAKVPDPMGREC FGGRIDAVTMLRDPQTGPASRLPWQVPQKISFGSIGLRILEGIADAIPVVKDIRFSRR LRQMSQEKSPDAGEVTALAETYKREALTSAATVTFGLGMFVFYLFKTGLIQVDLKTED EQTEHDGSHSEGHGETPLAFGEAGSFLGL UREG_02360 MLLYKGATKSGVHLVHTSAMVPGEHKRIGKLHRSLRAPEMNVKR ASCEKSLISDLLHHSTGSYLISEVAHVALLGLLAFCPRVVAFTRGDLPSTKKKKKKAF HRSTESPGANPRHFHAKSSDWRIP UREG_02361 MAPIRRYLRISKYSVLECRIYLENPADSRWLLDSRDPVLPRVFK SIQPLVLPKLREENERARAKKKSRPVKDVLVEDEFEVSLFLRETGTRHAVATRHRSFK GHENISSGLTGNSAIPINIPDDGEQPVRILEESAPLSLYDIPEAQPEAVEAPTPSHRR RRRRQVSSTGETEETSMPPTKRNKAETPAETVPQEEKKMSMTTSYEGFNIWGWILCLL VSRRDKSKPAKTGNESSNQALMEEWICTQAPQEYGEG UREG_02362 MGSDREPLPEGIFSLLDTDLYKLTMQCAILKYFPSAEVTYAYTN RTKHMKFTREAYRWLKAQIDKFRFNPSKQVDIAFVPLNDTGADSDLGDVTMSIKGLWV ETILYEIPLLAITSEAYFKWCDRDWDYHQQEEKAFRKGCTLLEHECIFSEFGSRRRRD YHTQDLVLRGLCRAAEKAREEGWKGSLSGTSNVHFAMKYGLEPVGTVAHEWYMGLAAI TDNYENANELALRYWLGCFGEGVLGVALTDTFGTPVFLDAFSKQIPMYTAAAQGPDSG LPSTTNNQNETGGLAETQPPIAAPIGHGGGESKKTYAEVFTGVRQDSGDPVYFVKMVK DFYDKQGIKDKKTIVFSDSLNIQLCLDYKVIAEEAGFRPVFGVGTFLTSESTVFLSLI PTHVVGSDFMIKADTSDCSIDDFTNLFDGKKSVPLNIVIKVSSAGGRPAVKLSDNLGK NTGDTHTVVEVKKRLGYVEHDWENGDETRRWGKKGE UREG_02363 MALLTDLGQFVAQHPYVVLCLLLVSFFSGRSIYRLYFHPLAKFP GPKLAAVTLWYEYYYDGIKGGQYTFEILRMHEKYGSLEWVKQIPESHSLFGTSDHDHH RLRRSALRRFFSKASITQLEPLIAKKIRDMCAIIETHAGTGKPVAISEAFSCLSSDIA TEYAFGRSTNFISPDNPKFEKNFRRTIETGSMVATHSKQFPWIRPLSKMIPIAQGVGE QVKALMDRTASGKEEGGNTIFHELLSGQLPPQEKTFKRLEEEGQLIVGAGTETVGWGT SCPTQQSYMSLNHIAALSVTIFYLLSQPETFAKLRGELEEAIPDPSILPPVTVLENLP YLISTPIPPVAVIAEGLRLSYGVVSRSQRISPHEPLIFKPGPNYQKGCTEYVIPPGQP VGMSCYITHHDPELFPDSRNFKPERWLDNEGKRHRHLDPYLMSFSKGSRQCIGINLAY AELYLVMSAVIRIFGDRFKLFETTLKEVEAKSDYFLPIPESEKGIRVLVRAK UREG_02364 MSRPGYNNEGYGYGQYDPYSARQDHYNQGGYESQSNYEMSPMPT NPSYGQSSAPDPNAILNQCRDIDNGINDVESYIAQIDTLHKRLLSDVDPVRENAIRAE ADELATQTKSLYRNLIERMKTIKRMPDAGSPRNAPQIGKVERRLKAAVEQYQQVQQSF RKESETQMARQYRIVRPDATEQEVQEAVRDTSNQQIFSQALIQSDRRGDAQKVSQMVR ARHEEIQKIERDFVELAQMFQDLDALVVQQEAAVTQIDEAGEQVFANVTKGNEQIGEA IKKARSRNRKKWWCLLISLLIIIIIVVVVVVAVLVTKK UREG_02365 MSAPPADSVSPAPSNTPMEDIIRTKIQTALSPTTLTIRNDSHLH AHHAPMRGVTSKETHFKYSASHWIQ UREG_02366 MMNNLRHIASLLAGCRKCQLIPTRGFPALTRVSRLRTRREISTV PDLPLFRALTLHDPKSTSIVHSASGRAFNYGRLLGDTLRAQDKLLRLAGHNLKAGDVS GQPVAFLAENSYDYARILLATEKYASKAQQVVEGDLENPPVLGVIERIEHGGDSGLEP QFGHQRQRGGMMLYTSGTTNRPKGVLLPHSALMAQIQSLVDAWEYSPRDRLLHLLPLH HIHGTVNAILAPLLAGSSIEFMFPFNPTAVWNRFASPFLSSQPNTQVNDKTPITFFTA VPTIYTRLLSTHASLPSETQQAAKVAISPQHLRLNISGSAALPTPIKSAWTELSDGNV LLERYGMTEVGMALSCGLNPQDRVDGSVGWPLPSVDARLVDPDTNRVIQPGEEVDQHG RERVGEIQLRGPTIFKEYWRNEKATNEEFVSDPDGKGKWFKTGDMAIRRHVQGTGESA QVWARGPMYFIQGRKSVDIIKTGGEKVSALEVERELLSLPQIAEAAVVGLPSQQWGQK VAAVLALKPALSSDEESGGKKIKKFSALDMRRALKDKLATYKIPQEMRVLEGGLPRNA MGKVNKKMLVKQVFGDML UREG_02367 MAAAVAGNVVAPVPDENTPPNGAEAGLKGDEEVTVFHDPNNFNV KHPLMNEWTLWFTKPSSGKGDNWNDLLKEVVTFNSVEEFWGIYNNITPTSELGLKADY HLFKKGIRPEWEDQHNKHGGKWSFQFKDKRSVPIDELWLHSQLAAIGETLENDGDNEV MGVVVNVRKGFYRIGLWTRTTGKPLPASDKRTVDKSEEILKAIGRRFKEVLRLKDTDQ LEFSGHTESATAGSTRAKAKFVV UREG_02368 MLRWYQARLARNPLLTQSIGSAVLFGAGDVLAQQLVDRVGIENH NYARTGRMALYGGAIFGPAAATWYKFLARNVALKNRTLTLVARVCSDQLLFTPTHLFA FLSSMSVMEGNDPIEKLRTSFLPAYKANLMLWPWVQAANFSLVPLEHRVLVVNVVSLG WNCILSLINSKKQPEMI UREG_02369 MFLRFRRIIPAVLKPTCRHTRLQHRSMATRMEPSKVRYGFVEEV ERLDYYVRGGYHPVMIGDEFCAGPRRRQLVALKISTAGSVGRTHEMQTLSQLARAESR LPGKAIVQNLLDSFTFSGPNGTHQCLVTDAARTSIHEAKEAPYHRLLQLPAAQAITSQ LILGLQFIHSQGIVHGDLHLANILLRLPPDMQGMTLEQLRDRTGEPAKEQVVCEDGAL LDHGVPLEVIVPLWLGIDSDKIFLVDSAIMIADFGEAFDPRVTPQFAAHTPPLLAPSE SCFAEPGELDESLSFSADIWTLACTIWDIFGSRPPFEAFPVTLDEVTIEHVEMLGKLP DRWWRKWAERRNWFDEDGQKNVKESLCQWYSNSSRDWDQRFRDYIQHPRQRRKFDFSE EKAFCDMIKSMLVLEPSKRATIDEVVRCEWMLRWDLPELQRMDAMLKSS UREG_02370 MADAPRGGAARGGFGSRGDRGRGRGRRGRRGPKSEEKEWQPVTK LGRLVKAGKITSMEQIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQRTRF KAIVVIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVLPVRRGYWGTNLGDPHSLPT KESGKCGSVTVRLIPAPRGTGLVASPAVKRLLQLAGVQDIYTSSSGSTKTLENTLKAT FVAVANTYGFLTPNLWKETKLVRSPLEEFGDVLREGKRY UREG_02371 MSDSPRFSLRFNSAEISRVFDFGRNTRTRTVSIGSSSYNLLQAE TNESRELFRDDTRYADGSAKPIMSFRGGTSWRHLDTERESLSLDLFWSSHKENPFRPD IMPMMELAPLCNFRNLRSLKLTGMLQSYQLLIWQTVWLSHGLEELHLEMALEPCIRHT FCGSWPKIRGKWFPRTAVTARGLYYGKNGRGELQRRVGFGEYLDKHAIGNAKEAAAAV GATPDKLPVVKLTLMGFVVDSDPFFLWFNPHRLRSIEFKDHCVDAGFALPVQMSEHVV VSWPRQDNPGEPMWARRVTPGEIQLIDRKRTANKGDKGKEKAGADEQAASKPGQGKRS GKATVSQPEDAPSGQPARRSGGGGLLHIGGRRFLRKKKNTD UREG_02372 MNVPEMMDKPHIPPIGHPPVFQELGGNGKRCLWVVTILMLVSSL IFYILGARVVVQKRLFHVLTSLITTISFLAYFAMATGEGIGYNLVTIRHHHDKAPDTV EQVFRQVFWVRWLNWGLSFSLILVNLALLAGLNGASLLISVAANIIMFVTGLISVFGG HGGTRWAWFTISCLSYLTILYHTGFHGRRATLAKDNQTRAFYSSIAGYFLVLLLIYPI IWAASSNTRRMSVDAEIIIYAILDVLSQGVFGYWLLVSHDSMQSITLNIDGFWSNGIG NEGAIRVGDNEGA UREG_02373 MLSGKHVLDEGNRSVIKHLVEEGHLLHKHRYKHKYPYDWRSKLP IIIRATEQWFADVGDIRQQAVGALQTVRFVPPSGKARLEAFIKNRSEWCISRQRAWGV PIPALYHQGTGEAVLTRESVTHIMNVIKDRGIDAWWTDAADDNTWIPPSLRDAAGPGY VRGTDTMDVWFDSGTSWTRMKGKDGFGSIRSDVYLEGTDQHRGWFQSSLLTCIANQLA KSSNGIFRAPFKTLVTHGFTLDQSGRKMSKSIGNIIHPHEIMNGTLLPPLKIKKTKGS KGEPTAPVYDALGPDALRLWVAGSDYTKDVAIGKQILKATNGSLHKYRVTFKLILGAL QDFDPKSQVPYESLRATDKIALSQLRSLVLTCQEAFENLEFFKAVTAINRWANADFSA FYIESIKDRLYADSAGGLSRRAAQTTLFHIYSHIQSILGPFVPLLVEESWEHCPDIVK SNMQHPFHRVTVPPNPEWENPILEKGYPALMAANAVVKLLQETARVKKQMGSSLQSYV HLNVPGSVNPFQEFGTDELADVFVVSSVTSGDGSHEAPREIENAEWSYEGEFKLPSGE MGKAWIYTPIEGKCARCWRYLVAEENMAADALCQRCEDVVSDLPDSGQ UREG_02374 MTTSASTSPAQVQPGRGIGLFTLGASLHNILTRLKGLPHAYPTI DLVYSSSDPIHNPILIKLPENGLRLRFDGQDQRLRLVEVLDFTKIPLAYKGSEVMKGG KADGAEQTGPKFRHIYNRLFGLSYPGEYIPPRGNEKYGTYILSYPGVAFSFPLLHSSW SPGRDFVSLMSSSAASPAKSMAVFVGESWADSRSDLMTRQPIYPRLTALVGKSKEFIA DEIELVKVHGAGMVEFIRKTGTPVWITLSETTPQDLIAEFGPPDAIYRRSDRRITIHG GRGPVTNNSAAFGTSPSPSQLPYDPTDYDHTPDTSAIEDSDGDSSSSSPEQGSSSATG ECFYNYFNHGFDVFISQPTAPGPPFPGSSAAASLGATSNPSQLTVTKLLLHGNIPGSY PFNRHRRSRWVLDLNGDYSLNSETPYDRISESMKALWKRFYSNASEAAAFQRGMVLNR GWGDSPGSSVEFLGGWEESTGSKHGGHPAMADANALGNTELYGFPGLLFEVLKNGAVS CLTIY UREG_02375 MAGPTRSQPAKDSRTRDPPKRVLRAPAKSRLSTAQDRAGTPARP IRPSVPSSARTNISPGTQASAVLKRKDREFDHEVPGDTNIHVVVRCRGRNDREVKENS GVVVSTEGIKGSTVELSMGPNAMGNKAYNFDKVFSPAADQAIVFDEVVVPILNEMLAG YNCTIFAYGQTGTGKTYTMSGDMTDTLGLLSDAAGIIPRVLYALFRRLEETEYSVKCS FIELYNEELRDLLSPEDNSKLKIYEDGAKKGSHGTMVQGMGESYIHSASDGIRLLQEG SHRRQVAATKCNDLSSRSHTVFTITAYIKRSTDKGEEFVSTGKLNLVDLAGSENIQRS GAENKRAVEAGLINKSLLTLGRVINALVDKSPHIPYRESKLTRLLQDSLGGRTKTCII ATISPSRSNLEETISTLDYAFRAKNIRNKPQINSTMSKKTLLREFTTEIEKLKGELIA TRLRNGVYLSAASYEEMTVESESRRILTEEQRAKIESMESNLKNKVQELFTLTSNFNM LKKDNEATRAALDETQDVLEKTEIVLQNTQKSLEEEEMLRRAHEATESELHKIGANLR SQLEQSSADVEGLHSKLGRHSQLHHFNKDTWESSTSCMLDITKIIDDRMTAFQSLHDD SLRQLSSKVKDYVTEELNTMDMDRSLIKNSNALLQSMEDDTKLKMSSNRDEMNNILGE IKDLREDVQGKVGAGLNGLSSAAARISGEVIDELQQFQGEFREMHKSLSDELAAMFGS LVHQLQLQKDYINDIRRQLQEANQKALQASEEAASTLETVISEEKQNSERERAELMSQ IQSLLSSSAEKQASRLRKNINGVRADMKASRSSLQQASVSYSQEMDSWAENDSKLTEK VLASERELKSKMAENMDVLSTRHASIEESTSAVHAETVRIVEDQKKDMQVQMQALDEF VTHARCQNDEYHSSNLEILDSFALNVRGAHGEIGDHLEKLQSSAEEFLSTVSGDHKEI ETIVSNLTADVRNPLSELQNNIQDARMTEYSPTGSTPEKVQYNFSVTLPRTDSHDRLI RRLRGVKSPVKSPKKSPIKRAPVTLVSLSSPIGPGPLSPSKGLVYHDEDGLVESPSKP CSTSSGLREVDVNISRLPTSNVTPKDAFGTHEQLDGLCKDMQPPSKRRLSASFAAKAN LTGESKMMIPTKTTRRNATTVGPGVAFEGRENLLPPALASGMAPVRRVRSGPE UREG_02376 MTSLSPRRHCLLTWIQQESSKGLCPMCRQRRMMSFENQRAVSQS STPRPSTTTRPSTRSNDGPSQATATPPDYRQGQYQTYARTQPDQDRQEHEPSPGARDG TPSSFRPFFTLIEDVNTSKFYHPTVHYVFSDDDTSLITEAAMRSLDQQQYLPYPSSKA GKGKKTTADPADLSSSSLYAGKLPPRMSNAQEHFIVLDIQPTATSPSTENPNLLPSPA IPSAGPSPNSNITHLPFPPPSHYKITSAHSLSPTWQVLNTHLTPTPTFDSSLNPAAMS DTAQPDSGRFPPGGLMLRVEGTAGSGALAGSKPRAASQGNTSVATGQQALDEMVDLFE KRMGELRKVVDASGYGVQPRGQEERDEGD UREG_02377 MASVALRPIVFSHRVLPRFRSSIASPLLQANHQQRSISVFSSYL ITPKELNDGLRKNVPTKISTSPRVIPLCAAWFMPNDPEGRTGIEAFKRKRIPQSRFFD IDAVSDTSSPYPHMLPTKERFAAAMQDLGIRRDDEVVVYDTEELGLMSAPRVGWTFRY FGHENVRILNNFRLWVREGYPTESGEITPVEKSTYEVHTHHPEMVVQFAEMKQIGDDY GKEGADSVQIVDARPAARWSGQAPEPRPELSSGHMPGSVNVPFPELLDPDTKTLLPEP ELRKVFESRGVDSSRPIITSCGSGVTAAVIDLALNEAGYGDSGSRRLYDGSWSEWAAR VSESSGLIRKE UREG_02378 MSVGRKVFHCVVDDTALAANIGDIKKWTSQGAINLIVPLYTLER LHALKKIPSQTGVNARESLRFLDRVTSGKHSIPASKVVLQGPMEQFGTWAEAEKYLLP EFKEEAVDSQAPVSTTSARASIVEPAQKSAENGLGITGGIDDLNEMSQMLLSKLNFKN DMDTASANSAGTPSFPASPATASSRQSPEYAARQLGEPQRDESDSVQEDETPERVFVN PVVPQEIRPLLNATLWRLHAHPDATSAASGCVLVTNNRSSQAWAQKFGIAVKNIPQLR TSIIYEEKEFKNHCKYLEKNPTNEPKPLLSYEDESDEDVLVFVPRGQAKLGSAGSNTR TPTKASPVTPRSANSHTNGSARIKSSPTEPQVEMPSTPIDPDSFNRNFGVVKQQGAAN ANTPNTVSRGPPPQHPRSGGPGRRGGPRGGGMFRGTGRGRGRLWVP UREG_02379 MGTKRSWEGNLIQRAEGGKMTEPEQKGGVESLFSYFRSELDEHH DRRERVIKASRDITALSKKMVRSLNTTVPRSIAKENTDRFAQIRNLFNSITPDVSGLN SWRYQYQITWGVQEYIEALSFQYYIEKKQLIPLEEVRNSLPPEIYVTETDYVLGLFDL TGELMRFAITAMSTGENRPRSTLADANVDGPSGESGSGFGHGSSAEGIMVDLRELRAM FEKLNVPRNHSLTKELNKKMEVMQTSVEKVERAAYGFLVRGRERPEGWVPDLSASTAP VESY UREG_02380 MFHIFEGFENPDKARSQSREGRGKAGKRSSTVRACEQCRRRKIR CDGEQPCEACQWHVEKLSSTVEEYRTVFDKLFPSVPLQSLLNLPREKLLELASNAQSH PESSPVPASASKYSPSAENHVSPLPPDDENLESLQTMPDDTTESQGSSSSDLLATVSD DVNALSLSTKRPSTYLGISSVNAVMRVITWIDPESSQHFSRTSNRQPRSKQEKVAPRD GSGWALPLQAPQRGPFGIFALGSIAAYTANDTSHEGFYLRARHYLSLDTLGNPHLETI QTLALMGGHYLHYISQPNLAHSFMAVALRMAIMLGLHKAFADNHNDASLGNQRKFSID LRRRIWWSLFCLDTWGYMTLGRPSMGRSGPGITVKLPQYNGTRELALCVLSLVENTRF CKIATQVGDALATSPIVAYSELVGLDDQLVEWFDKLPPVLKDHEPCPDPVLTTRTVMR WRYQSQRILLHRPVLLSYAMRRVPYVALRTEERYAIEKCRMVADETIRDVASTTRLNQ MTGWNAVWLLFQATLIPLLGLFIADSTATHPYATLDSCRNQVETAMCALERLESWSPT AKRTLEVVSKILEASQRPRTTVTSSFISGSQASSAAVSLNTPNSSPPVHNMNQVPVLG GTGFQGYQAIAPYMGNTPQQMLDFISWGDNTSIWPSAQEDFYPPPATTVELLGHSEHY LKSLGHSATVTGAREAMYDAGLPPTQNVVRFS UREG_02381 MASIPFFSSPSRVFTAALVLRLVLLLYGLYQDAHSAMKYTDIDY YVFTDAARFVSRGQSPYDRATYRYTPLLAWLLVPTAWTGPWPLGGLWFSFGKALFALA DIVAGWLIVRVLREHRGMDVTRALKYASIWLLNPMVATISTRGSSEGLLGVMVIALLW AVLQRRVGLAGCLLGLGVHFKIYPFIYGPAIICSGGVPCAECGNVHDLRVPLPTTHLF APSDASGPSA UREG_02382 MSDLDCAPLQFEDLPTEIHEAVLDHLFVQIKGTTDGLQESVDWF SSHPHLSPYVRHIDIWVPVWGDRLPRPHALQPGRRELLGGGSHQPADSVALLQAVTDG MEHGRTNHNFRFANRNATLQQIFYHVACFFPDAKMLTIEGGHCKKPPMIKHFGNVTTH EQRLEVLPNVQTFIMRGAWNIMREHDHWSNIASALPNLREWNCTYAKPKREAQATIAK ILTTFPRGITRLSISLEGFCSKKNSQTQWLSKPHTDRHLCRLLGDIVPQLESLTFTGK VCASLFSAARAATIKSETRSKLKAIDLVVKACCCEQVKMEGNLNFVSDYSGITNMTFI KSFENLIIASLRSLDSLNAVQFMRIRYIDLDSICGLLNPYYQLVGNSCTGLWSEDILE TLHQTRPDAQYEVLDDGILPEYGVVAHTGTTAYPRSRPRSMKASAYELIADKSKS UREG_02383 MEFDRTSFIPPHEGDTILPLSPFFSRLVRHAHCRPPRLAIRDVN LGLEKTYLQFVTDVLALRSTLRNCLGAKTVKDIKEGKEVYVGLLAAGGYEYAVGFVAI AALGAAVVPMTLVLPIREASYFVLKARCVAVLASTAGQNLGDSLSQYLRTNKGTDIRC IPISTSLQSSPLPPDKIRLSTDRYLDDNGPAVVIFTSGTTGPPKGAVMRRAFLHDTSE EIGQQFKVTESDVVLHVLPVHHATGVGINFLPYIFSGACVEFRSGSVDIAWLWNRWKQ GGVSVFSGVPTIYMRMMRYYEQKLAFLPEHERKPYIDGARRLRVLLCGTSALPEPVQE FWSGILGGTKRRILTRYGSTEAGAIFRTPLDCEDVPTGSVGHLAPGVTVKLSNGDEGE ILVKSPWMFAKYLHDPSATAAAHDAEGFFKTGDIARREGRNYFILGRSSIDMIKTGGY KISALDVEREILSLPYIDEVMVVGVDDVEYGQRIAAAVVLRDDQKIYRCTGNGGVGKE LSVYDLREDLRSRLAGYKLPTILRVIEKELPKSATGKVVKKILGPKFFPADYRNDAMV QVWERKDIQVQAKL UREG_02384 MADIPRFRNLTLTRHGNVFVITMQKPPENRLNSWYCQEIIRAFR TVERILGPDSEGAVITRGNDAKFWCTGLELDEGDDNPFANSDGFYPVPHDFKKMLHTI LDFPYPTVALLTGHAFGGACPFALSHDYRIMNSKRGFISMPPVNLGLHFDGIGALPRL KLGPRVARKMLLEAHRWTGREALEDGIVDAIAEPDKMFDVAMELAQKWAPKAKMGVYG VLRAELWGEAIKKFQRISYVHSRETSRAAKVKL UREG_02385 MSEAPVSQPAPPPSTAPAAAAVPNGPTTTANSKAGDSPPRLRAA SACVACNKKKFTCLFGQNADSQLPILRSPRERKRKRRKIAVDREDSDPVTNAPRKVEI SHDGAALGPPRRPNWDSGLLHWEHRRSIVADGHPSVPSESSVGAGTSAQNHTPPASAI HESDGSALYPNTGPPGFARPPQPSQDSRPYGPSTPNSTFLGRSEYIRGEIPFNEDRAK AYPAVATESLTEEDLSILHLQHAFDLPPRAVREGLIDTFMKRCSPWMPIVERSWLTER NGHHPSILLLQAVFLAASRVSSAPAVTAYASSNDFYRRARALFWAGYEKNTITVITAV CILHWYNPEGPEHVSINTSGFWNRIGVGLAYQIGLHREPPPGRDGPLRRRLWWTLYAR DCLISAGHGRPRAINPDDSEVKPLSPEDFYGSSSNGQLFIAYVEISALLGGLTQCCLR RSLLRQKRVSIENALYRWTRELPEGLRLFRRHSPTEAAPAHRLVLMPYNFEARQLHVP YFITLAILYRPTSPSNLPSAAVILASSFIAGIFEDFLARDEIRFLGPIFTFYLLAAGV GLLSCFPYAHLWERAEQDFRIIYNSQKELAKRWPSAIGSLKAMQSMLDDAPKVFRPVD RPQPTPLTPDQQACFSGFGTDLCRLGDVMLANYPHEVGESGRPEDDQYARTVSDMMTA GILAELKTPMDTAAVLDEYLPLATVVDQNTHAVMGGDAAEVTEDMYNQYEGIGNWLLR EWDWNNDIAW UREG_02386 MSPGLVGEGLTRLFVEAGFARPQLLERPQLFKSMGLFLQKTNII RDVREDEDDNRRFWPKEIWSKHVDNWEDLFKPENRDAALNCSAEMVMNALGHAEDCLF YLAGLTEQSVFNFAAIPQSMAIATLELCFRNPAMFDRNIKITKGHACQLMWQSTQNVR VICDVFRLYARRIHKKNSPKDPNFLKISMTCGKVIPSISLVVFPLVMILIPMQIEKFI ESIFPTQTVEEANRRVNNEKSAEDAKRAAESAEATRDVIFILVSLFGILIVVSSIMFG AAWMMGARFDLAFQELKKGNFRPPRSLTHGEL UREG_02387 MRIGAIPVVTTNGEWKRDYENVCEEGRGTGEAEVWGRRRKKKKK RRSEAAGKMGEQLNWY UREG_02388 MVADTAYYDTLGVPPTATELEIKKAYRKLAITTHPDKNPGDETA HERFQAIGEAYQVLSNEDLRKQYDKFGKEQAIPGGGFEDPAEFFSMIFGGDAFVDLIG EISLMKDLTRTMDITMEEMEEDELVSSAEEKLKINEEKEKAAHAQPSEGSSTTPPPPP YVSSDDKGKEAISPAASGRQTETGSGTSTPRRVWGQQAIMDKSEEDARMDAAGLTPEE KELRKKEKKKGGLTKEQRERLAAFEAERKKQREERVDTLARKLVDRLSIWTETDKGSD VTIAFQEKTRLEVENLKMESFGLEILHAIGTTYIQKATSFLKSQKFLGISGFFSRLKD KGTLAKETWTTISTALDAQMTMEEMAKLEEKGGEDWTDEKRAEYEKKVTGKILAAAWR GSKFEIQSVLRDVCEQILNDKGVKLEKRIERAHALVICGKIFQQAERDPDEEGDYMAF EQLMAEGMSKKEKKKETKKGKSEATEVNPS UREG_02389 MDVSGTGDAPLSAKANTSDETLKYHLLGPSLTKAGQDTVDQQKV SEVIYEASKGSKFFNHEKVRDQALTEKIQRLSARKEKLEKQDLSVQARRADEYIAELE LTRDLSQVVVHIDCDAFFAAVEELDRPELRTVPMAVGRGVLTTCNYVARKYGCRSGMA SFVAKKLCPELICLPQNYAKYTAKANEIRAILVNYDSRFESASIDEAYLNITEYCSTN NMGPEEAVQKLRDTIAAETNITVSAGIAANAKIAKIASNWNKPNGQFYVPSERAAIMD FMAKIPVRKVNGVGRVFERELDALGIKTCRDIYPLRAMLAPLFGQKAFQFLMQCYLGL GRTTISPAEERQRKSVGTESTFRDLEGLANLQDKLKSTAVELEKDLRKADCKGRTLVL KVKLHTFEIISRQAVTPKPVHLADELYRNALPLLTKIYKETPNLKIRLMGLRCTHLVS TKKADVNFFGTRGACSAEPPAGAIGGVTGGAVLSTEEEFEAAALEERMNDIEDLETLS QEFEPSRTESAGPTDASYQQSSSETWTCPICSCVQPADDVAFNRHVDFCLSKDTIKEE VDKTLKNDAPISAVKRKAVLGTFFDREARKRLFFG UREG_02390 MRHDRRWREITWCPVVLTFSYGSQDSQDENPLIHAQSRLEDLDI KTVIQYLPGKTSYVVQKRRNTAKGLQALINGKHIVEPAYIEHIVYAATSTELEREEAL CPLEQDFDAAWPDPIPHLPPRGKEKIDLPDSAYNPDPRRSGVFEGYTFVICDESKWEE LQGVITDGHGKAWMSILDLEIDPAEHAQDFVLQAARWSGKGEFGRDCEVVLVKPRKKN NSEWFDRFENEVNRLTGQQFIEPGELLDAILRNDASRLYKQYQPPIVPAPAAQSQDQA MGHTAESAAFRPVETAEESQRSSRPAKRPRTQAYVPKFKNFDDGFDMESIPTYNLELE EASEEPSQSLAVDSMPDQSMQDREISDADDGVSELLPGATAMRHHFRGRARPVASPVP QPQRRTKKPKLDVIEAARQHREAEDRAALARQEEEKAAAIVDGMDLSRLQNLAIIEEM PVFQKVRQDRPSNGHGDRWDDRWNGRKNFKKFRRKGQGGALHRVQTVIVPLEEVKKKD FGIGDDYWSHNNNSSTRTERNRSVVQESNDTMLTSQPISQSAESRTTTRSRKRSRTRD SDSDDDGLRFRFRRKKKR UREG_02391 MANFGSQTSRYNRLVIVFVALGSLTYGFCASVISSTIGQPGWYA FFELPAQGEPGYATVTTNAIAAANGLFSAGGAVGSLFMMWSCEAWGRKVNIQLGSFLA ILGGALQGGAANLKMFQAGRFVSGASTGVLVTACPMYFAEISSPFVRGWLVGHHAIFL VFGYMLACWIGYACYFATGSNPAFAWRFPLCFQILSPLLLLMGSPWLPRSPRWLISKG KYDEALVILRRLRQSKDDPQDLVAREEFYRIKKQVMLEAEKLAATGYGVWTAVVKKKS YRRRMIIGFLTQWGTEFGGPLIILVYTPLSISKSLPQTNSSKGLIYNPLGAWLHDKIN SRRKMYIIGLIGVIITTSCLAAMTAEYTGTKNRVGSGFGIFFIFLYVAFQGTFCHTTM YLYVSEIFPTEIRPIGMGFSTFGQFASTIILLQTAPIGFAHVGWRYYLVPIIWSVLFI PVIYFFFPETARLSLEEIARKFGEEVAVDVTTQEEKTLAGTPTSKDLIETGGSQATGR GNENA UREG_02392 MSNKQQPQDAPLAWARIQPVASTVWHCRYVEQVLSPSVPGGGFS EGWALGMRPEVTAPTPPPSALVLAATATRNARHGPPKLPSLPLHLDCKLQPPLPLRPH ADASFSIAALGAEFLRQGDPKPFPICHPSFPWADFLEGQEHRFDEVYDLFNALPEPAK LFPTRRTLEELGELICDRLFASEDDLRPHQLFAVETPVRRIVAALREMEEAQERFQLG QGIIFESHQNTLDEEVEEVQELLLARELAITGGKEHPKLVKPDRICVYRDLAGQHTLS YLVEYKPPHKLTVSDLKKGLRSMNIQKEVIQEYRIPINDEKKLQFAAEKKVARAVSQT FHYMIESGLEYSYLSTGKAFVFLRVGEADPTTLFYYCFTPDEKVHASDDLKAKIFQTS IAQVLAFSLLAFTAERRSPDWREHWRHTLPKWPVDGGGMVPRTPEKTNKRKTPPSSDF KGRRPSVSRSYELRVRKRNTCKEDEVLQYSDSDERSSSESESGNREIRVAGSRTGLQQ ETVPDSPTPVRTRERTQRRDYCTQSCLLGLACGGSLDENCPNASAHRVSGSLHYAESM KPSGGEPSGAAKNGVTR UREG_02393 MPLSVRPLSTPADLSRAVEIQSTTFANSAFCRTVGASPEGNPRT LSPADRHAIQISRLQEAVETDPTFHLLGAVDDETGEIVAVAKWNVFLDRAALEMWKRG ARTDRDMEIPRGVDEEGYRFAKGRMYEAKKKWFGEEGREHCFLAVLCTHPDHQGRGAG TLLLKYGLEIADKHGVESFLEASAKGLPLYERYGFESITFPDGTPGLLEFDVGRFTGR GGDQGDWVRLTLMTRPSMAKKN UREG_02394 MFYNALLTGIVAPLLFPAAKAKPADLTYASGIVVPATPSVVSGV SLPRGTGPWTGVPTATGALTGTVRGTAITPRPAPPEATRYPSDGMLHDTQPAPYIPAG GLGTNGTAPVYNVRSDYDYQSIALLLYCDWLQLDMLDTGLDQFSVQDFTQAGLTARDR SLVQFMRTEVLGHITMLSNILGQSAPTRCSYRHPFKTLPEFFDFAQKISKVIESTAYG FVPHLDARETAQLLLQAVSMTGRQQLLFRQFEGLFPIPVWFQTAIPQSWAWTLIAPYI NYCPGNNPRLVWQNYPALAVINQPNPFSSNATAGTNTTYGPGLGSANMSAIDPGASCL NSTCAPAISSNRTTPLSQPGQVVRLSWERPGMRVGPNNSYITTSMAGRPAFVAWVTQL NVTYSPLTGVNSTNSTTAQTTQPMFDTYAGDPGVNGTMFLAVTDADLYVTPFNLSLLN PHIVAGPAVYQAG UREG_02395 MADFGPRAPHGPDMTGTHEPLQEMNLSEKEAFDSLIRPDDCYTS DGVYFADLPLLKKIRFVSSYDMKEARREFAGFWRMFKADPLSPVSWYFRNMVLPGAGL GLEGYVLFSIGNIKPLFEKSFPACWKTKEICNPTWISAVEYLEICGIIVGQILVGVLG DWIGRRWGLIQDAVIMLLGLVMLTAAWGVTQNGWVICYVWSLFFYGIGVGGEYPMTAT SGMENAVGSGKVSTKEDRLHRGRKVTSAFLMQGWGQFFNQALLIVLLLIFHHGSGNAP YSTVSAQWTYRVSFAIPAAGTLWLVYYRFYKMKAASKQLAIAKAKSRVTGYDTKSLRL TFKYFGFRLLATAGAWFANDVFFYGNKLFQSEFIAVISPQTTSIMPGWLYNLLNVGVS LLGYYLASFLIDNKLYGRKWMQIVGFLMDFIFFIIPAYHYDYYTSPEHIKAFQAMYFL SSFFNQFGPNSVTFLVAAEVFPTPIRATAHGFAAAIGKLGALVAAVMYSYISTTTKFR VVPWFGLAGMLLTFLFLPDTTGLDLKEQERRWFYIRSGREHEYHGAAVHPSHLSLWER LRGVGKYYDAELDYKQKVKELREEWLASMARHVDEKSRPYEDADLSEGLLHAGVLGYF ERTSPMILAKEPFNKEYNKDGLENLPPPQASSSEDISE UREG_02396 MGKSSGGDLSDFAIRGVYGELMGEMRILNPMETVMLEFVCCLAD DVAPQAKGWVFSLPLVSCIGLCLDAESFLRRHFFGCKNLGATGQQVLGAVELVREIAR QLDLSRPGGGDEFGFLAKAETW UREG_02397 MTISHPDHLQSLPSLPLFLEAKRQAQNDGSKTAVIDKTKEERFT YGQLLVDVSRLKRQILDALSVTDLDEKRIVFLAPSGYDYVVCQWAIWAAGGVCVPLCV SHPVKEMLYVISDSDPSLVILHDYFSHRREPLETNCPDVQFFDLAPFTSCGSGSTLLP PFHPAFSSSRRALIIYTSGTTANPKGCVTTHKNIMFQSNCLIEAWKYSSSDHLIHVLP LHHIHGIINGLVAVLLSGATVEMYAHFDAATIWERWSGEASSTMFMAVPTVYAKLVDY FDTHIKSTPLEAAARTGANALRLVVSGSAALPTPIRKRFLDITGQTLLERYGMTEIGM GLSCGLEVHQRIDGSVGWPLPGVQVRLTDRDTGQIISPGSDEDGMIEVKGENVFLEYW RRPEATKTEFTSDGWFKTGDVAKVDNDGAYFIQGRASVDLIKSGGYKISALEVERQIL ALDVVQEVAVVGLPDNEWGQRVAAVIKQRPGTSPLDLQSFRTRLKEELAHYKVPTVLK IVDSIERNAMGKVNKKDLVKKYWPSN UREG_02398 MGKQLEKQSPEALDDVEVDPLPASTEKDIAQQKNRDYAGAAAKT DPKEIKLVRKLDRRIMPTLFAMYFLNYVDRNTLAQARLNGLEKELGMHGTQFNTTISI LFVGYVLTQVPSNMLITRVPPSIYMSTWMVIWAIVSATGVSGLIAAGVFYGLDHVKGI SGWRWLFIIEGCTTAVVALAGYWLLPDTPTTTRWLNMDERELAHERVERDKMGEPEQA SMMEGLRQACRDKRTWIFCLMQNFHLSACGFNSFFPTVVRTIGFDTTITLLLTCPPFI FAGAAGILFGYSSGRFHERTWHITTGLAIAIIGFVIAACTLNTAGRYIACFIFPIGAY SVNSVIIGWASSTLSQTREKRAVILAMTNVGGQIGYIYGAYVWPDYDSPRYGIGFGSS AGFALCSICCAWWMRILLKRENKRLRESNGSELINYYGY UREG_02399 MSSEDKDMELALKRFFSSPRFAVAGASTDTSKYGYKLLAWYHQH SLPVTPLNPKSPEINLPSKAYPAVKSPSELSSPAQTSLSIVTPPKVTRELLKEAHALN MPAVWLQPGTFDDEILEYAKKNFKAAIGGAGGNGDEGWCVLMDGEDGLAAAGVEWTVQ KL UREG_02400 MGKSYDKSGGGVAGKVWDFCWSGPFRGFYAGGGRGYDMNTPTVN ATFTPSLDQSAWEKLSQFDGSLQQTRFKPNDPIATPQQPENDWVLVKNQKDFGASSPS YLPRRVVRRNGITHPSGPRRPAARVTPKRPSLIPVRSSSSLSHQQASPQHLSTSSPVS SNLPRTPKESPLALDAQRYAAKIRRREKEEDASIRRLNQQLKAMIREGKEALGTKIEI DEAMDVDDF UREG_02401 MPPFVPRKDQPGRDTPSKRGLLEALDAPPKSATLEELKRRKISA DSDSSSLSSLSSSDEEDAGDDDEEVCWEDVPAAPSGSISTTPAAELRDLDITLEKNHV SLSEPLAGKKGPSKIERFIRIQTHCMHVQFLLFHNVIRNSWINDSEIHKILREKLPEG IAKEVQRWRIAAGLEAPPKPPTQKRASKKRKRDRDWSEDSNLAEPSRPDMSRGDPTIL LLKVLAAYWKKNFKVTSAGLCKKGYRPASVLEEELKSFNSGGDDERRFGEKIENIDQF RDRARGLYGSRDVGAQLFTALLRALSLEARLVASLQPIGFGWTKAENYAGSDEKGQDS DGENSREEVSQAGSRAKSKSSSNRNCDEYPPFPTYWSEVESPVTQDIIPVESLVLPNS VAMTPELLAAFEPRGGKAEKAKQVIAYVVAYSPDATAKDVTIRYLRRHTWPGKTKGFR IPVEKPVDIGLSTGPYAYDWFKATMRGYARPVNKRTAVDAKEDLNLVPKQPEKKAPKE GDTLQSLKASKDFVLERFLRREEALRPGTTHVRTFTSGKGAKQKEEKVYRRSDVQKCL SAESWHKEGRRVKLGETPLKLVPIRAVTLNRKREVDEMERETGEKPKQGLYALHQTEY IIPDPIEDGKIPKNEYGNIDCFTPWMIPKGAAHIPWPSTVRVCKKLGVDYAEAVIGFE FGSKMAVPIIQGVVVAAENEDLVKDAWRAEDAQKRERERLKQEKLILSTWRKFIMGLR IPERIQEEYGNAEEAESHNPFFNRRSIPLPNKNHVGKDESDLHEGGGFLVPGEDGAED DHLVVQGGPQEAGGSRRLPIELDDDTSEISDAESLESELL UREG_02402 MALIPKSPVYFGPFLVTPQVFFMTSLSFALVNLKPLLPGHVLVS PIRNVPRISDLTPAETSDLFLTVRRVGRMVERVFKASSLNIAIQDGIDAGQSVPHVHA HIVPRHRADLDHKGGSDAIYGMLDGDEGDIAQYMWQRAEERRGRRFPAVDNEGRMPRS DEEMRAEADMLALEMEKEQVD UREG_02403 MGSQSADEAAWSAKSVIAEFPQPLAENTSSPVPFFHLLERLKTT KREGWRRFNITHGESISDHMYRMAIMTMLAPPSLARKLNIPHCTKMALIHDMAESVVG DITPVDTHVTKAEKARREAEVMQYISKSLLGGVYGGSAGETLQSVFQEYEDNETLEAK FVHDIDKMELLLQTIEYERTHRGKLQLTEFYGVMKRIQLPEVKEWAEAVMKEREAFWA DKGGAPLLRQS UREG_02404 MQRSSARSPTKPKAETWPGPTPLAIFIRNLKLLRLDARSDWPDI TPVALSGSQTNLRRRIQAVEWSLYHLFLIWDKNEAHTKLQPFFPPLEPLQSVNLRAAL FRALSDLKKNGILGKEAMLRKTMLDDCKGERFEEILAAFSSAVLRKALLPGKAPSQAM HLAVSQQLTACEQENLLPLVLSYRSSLTSIIEERKRLGNFYEYLNQHYAAKADQLSAR ASTTSQPILDANKAERVRRSIISSWHGNEEWAESLLRGGLQVDLDPLLEFDFSRVRSL AKNNALESIKSKRPSDLLADLDRRVAQQESRLQRWQEFKRSLTGEKPGKQQPEPDSQN RILTFRNHQTLNVASLAQLNQSAKECVQASEYRTILADLEGSLAKIKGSNIGREKQRQ KAARPVPEDRALEGRQGLAQESDGFDHVPSLWLSSHHGETRPLPKSGSVNVPDASAGR TTNSSTDTPDANGVETDASQDDEYLNPRPRGPTPAMSKRENNLPSTLVERTRKSMSLL PIPEAHPQKLTGNSKASRHSQSFPVNPLETPDSKSRRTAQQRSGATTPRDELFGEDAE YASVFKSRPKVALSPVNSPAVHVPVSVDHEISDVEYDEGNSYFNLVAQDSPSIRRKTM YM UREG_02405 MAAQSNLGLRARAPMPRLQADTQLTSSGGKLLCSQESTGRIFTA VWLREMSVGKEEKMGDSVASQGRPGRIALGYTGEICKVDQFHVIKYPRSWTGEEAEGY NLIRLDQMEVERQIYERLGPHEGILSYHGPGDETGAIKLAYATHGDLETYIRAHDIPP EQFCTAWIRSLIYAFYFVYCRKVLYLFTTISSKSLISRTVRSSHSTQIWNQSARKIFC HGPIYWESAVSSTQSPLGPYLLMTTLKRIAFPGQKRFQQLMASFMQRSSTSVGQTSMR TFSHYTTISKKLNINTASRTYEHVSGSGFVVPSLLYISVHYLASHLKAIYDQDSRLEL LTRGYDRVNVVPFKSPATSDSGQNSESRGHLGNSNCLASSIDRLDTDTVLVLVEMDIN ELDEDGPPELVSIEQLDTSERPKSPTDVDLPRVPITIVTEFGDSVDIEKSLTVNQEGQ QVEEWLELPNGCLCCSVRDTGVIAIESLMSRRGSFDYILLETTGLADPGNIAPLFWVD DGLGSSIYLDGIVTLVDAKNILHHLDQPEPQEAQESPDNTVLTIAHLQISHADVIILN KSDLVTAAELEQVKSRITGINGLAAIHITDHSKVPQIEGALLELHAYDRLATVDFTEK GQSQLDPSISTLSFTIPDIPESKLPTIDKWLQSILWECQLPSGAPDRPFDFELHRLKA IIRLTKGSVKIVQGVRDIFEITDSETQQASAIKASKFVLIGRGLGKTPSVWRESLLEF LGDVKKVVSKGDDF UREG_02406 MWGAEGPMILISVSVDRFCGDLLQNSDRHEITGSQAALRLRDMN RSMIVIEQEDILGGHTNTHIDSSAKGPANFGVKVFDDVDITREYFKRLGVPFQKTHLE GGEGDKLYADFRTGEFIDYKPPNMTMALASYAQQAAKYPQLQKGFFFREPVPEDLLLP FAEFIKKYNIGDAIEIIYTYCQGFGDLLKRLTLYVFKTFDLTVLRDLQNGYLIPTSGD NHEVYDKAFEIIKSDVLFRSRVERVHRGLDYVTVVVNTASGTRIIRARKLLVSIAPTL DNLGGFDLDMEEESIFKHFKTTGYYTSVVKVPGLRKFDSLTNFNPNTAYNLPKLPGIY WVTPTAPDKYDIKYGSPRALGDDYVKADIIRTMKRFQAPGSEEPEIVAWSSHTPFGAT VSRDAIKSGFYERLYSLQGRRNTYYTGAAFHAHNSGLLWRFTEEVLQEMMK UREG_02407 MTAIGASPSSSPRLPSPPPFTEVQIGPKSPTVDDSLIGTAPAQD GSSTRRIRPGTKAADMASGPPLIPLSQLDSPFQLQEHLKASYQHFTHPSGSSTVPINR KSAEQLAEPPEGVERSLWLYELCRFLTMKANNIVIAFFADNPPCSAQTCPEMRASEWQ YLCAVHDPPKPCCAIDYCCHTLDWATNILTSPKFFPSRLTLGSEAAGGPQTSMRHLTN IFRRVYRIFGHAWFQHREVFWQVEGQDGLHVFFKTVCDVYNLLPEDNYTIPAEAEGED PHPKRPDDGGRKMTILRKEDNLQPESNSDHTSTSLSPGATTRRHRHSPSTGAAVTTIT EAAEDDSDSTKETGNTSVVDCSPEQKEQQDNDPPRKPQEETPPSSPEQPSNTAIETND DKSTASPEDLEDEEQGERTNTPPATADTSSTPDGEPAQDENHTESKTQEQEQTQPDEP EEKQEEQEKQEEQEEQEEEQALAQDTTQKSDLQPDSKPTEKPQPDHKPEDSSPQPSDA PLAEPIPDEPTLGDSPENTDEDIPQEKADAQIETQTMPDPSDELQPSLLENEEHENAE KT UREG_02408 MAISIADTAGPFLDPILPMPNLKKSAPVKQSFLHRLGLRKWLAK ESHRQSHSPATPPSPPVPFPLAAPPPPIEPPPPIKRPSHASIEFNDNRDEKFGTSPPQ RRSVNNINLEFSPEATFSRQESERRELLTPNEKTDKNHRRAVSADHRRPLSFHRPRST RALSVPRSSVPELAWDNPCLTPHGGSGNDCFPSLEHCNTATHTDAASANGEDFERVLQ SELDKKWILNLSMGFRDRLDREKFFITYAESPNHWRRVTVSCDYRDAEPESLERDLKE LRFHRDKNARIYESIRESIDEIEFYDTVTNLRLETREGRLHVHVTEDVNEIIPYPPIS SVAHLSPPLIPESHIAFHSHLSGFVYHVKLNGENYVKKEITGPDTVEEFLYEINALHA LLDSDCVIQFKGILVDDSVTVVKGLLLDFASQGSLADLFFDYKGNTEWTRRERWARQI IKGLADIHEAGFVQGDFTVSNVVVDEHDNAKIIDINRRGCPIGWEPPEFTKKIESKQR ISMYIGVKSDLFQLGMTLWAMAMEEDEPGTQPRPLTIPSDSAVPEYFQNIIQICLSPR PQNRLSAKELLSLFPKELPNPVTEELLEYPEQDSGNFITTQKLHSSDMGSDVGSPSQA ALLHPVKPVTNSDFSLHDSPGPESELNQDPLLPLPPDDLYNLEGASLSAEPTNSHIVL DDVAQYELGGDIRNQLAFQSALFAGSPPCGQELWLDPLAPASQTECPRIFAPGAEKLP ELLTGVGDHMLYSFPNSLIPRDAKVKTLLTASETDVLSEKANSDINQEAPDNGDLNSD ACREAIADSFYTVSADELLLSRLPINPALANCSTIGGEISSRNSASNESRIPDTQPDT QFLPPTDVCESVVPVESSGRHRERPPLDNDSLIMSLLPINPACDLARPSSINPPCDAQ ISTIPSSPCIKIEPDFPSSASFIPLDDLFTSALPLNPAHLKS UREG_02409 MLRSSSLPWRGALRRTILNIQCRSRLIPIRLAAQVPPEFRPSIL TRSSPSYAHPFSSSPARRKEKPTPPQQKKEREEKTDTNVEERPKQEESLDKDGKPSEG PAPIPPSGGPSESRPSGAGAGGGSGSSGAGDGGSKRGRKSAADRALQKPSIPDVYPQV MAIPIARRPLFPGFYKAITIKDPNVVAAIQEMMKRGQPYVGAFLFKDENADKDIIESM DDVHDVGVFAQVTSAFPVHGDENGLTAVLYPHRRIKMSSLIPPQEKPPKEEADSQTSK DTSQEKQGDVVASFEEATVEQPSKEVLNYEPTSFLRKYPVSIVNVDNLTEEPVDKKSP VIRAVTSEIVNVFKDVANLNPLFRDQISTFSMSQSAGNVIEEPAKLADFAAAVSAGEI KELQDVLETMNIEERLSKALVVLKKELMNAQLQSKISKDVEAKIQKRQREYWLMEQMK GIRRELGIESDGKDKLVEKFKEKAEKLAMPEAVKKVFDEELNKLAHLEPAASEFNVTR NYLDWITQIPWGKRSAENFGIKNAVKVLDEDHYGLQDVKDRILEFIAVGKLRGSVEGK ILCFVGPPGVGKTSIGKSIARALNRQYYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQ ALKKCQTENPLILIDEIDKIGRGHQGDPASALLELLDPEQNSSFLDHYMDVPVDLSKV LFVCTANMTDTIPRPLLDRMETIELSGYVADEKMAIAERYLAPAAKEMSGLKDVDVKL EENAIEELIKSYCRESGVRNLKKQIEKVYRKAALRIIKDLREEEPTEEDVVREEVRAA QESAKNEEEAETLSQETPLLPAKVPEDVHVNITKDNLKDYVGPPVFTSDRLYEVTPPG VAMGLAWTSMGGAALYVESILQSALTPSSQPGFEQTGNLMTVMKESTVIAYSFAKSIV AKDFPENKFFEKAKLHLHCPEGAVQKDGPSAGITMATSLLSLAFNQPIDPTIAMTGEL TVTGKVLRIGGLREKTVAARRAGAKTIIFPADNLSDWLELPQNIKEGIEGHAVSWYSE VFDLIFKGLDRTQANSVWKAQLIEPEKSDEKK UREG_02410 MLNRPEPAFHSSSPAQATVKDPYGVLGVNKSSSASDIKRAYYGL AKKYHPDTNKDPGAKDKFAEAQSAYELLSDPEKKKAYDQFGAGAFDQNGGFNPHAAGG GPFAGASAGGFHGFGGFGAEVNFEDLFAHAFGGGGRRRTARGSPFQSTVLVGEDVEVQ TNISFMDAAKGTKKDIFITPLSMSWEGSKWQALAELVTALVVQSHEALNAPVVVGMES PRERRTIQVDIPGGVEDGMRLRVAGEGDVPPGEPGARKQRGDLFVFIRVAPDQRFSRS GADVLYTASIPFTTALLGGEVTIPTLDGEVKVKVGTGTSTGDQITLSGMGMRKLGGRR GGNGDLKVQFKVTMPKYLNANQRTILEVLADEMGDKTAKKVMNINTDRFSSSTDSQPK SAEDPHKGEGFLKAAWHRLTNHQHKPSESSPDGKSNDSQKKDSDSKSNRDHPNDRNES KKASGSG UREG_02411 MLQSPMISCPLKQTNEIDWIQPLKSYIRNTYGDDPERYSEECAT LNRLRQDMRGAGKDSATGRDLLYRYYGQLELLDLRFPVDENHIKISFTWYDAFTRKAT SQYSLAYEKASIIFNISAVLSCHAANQSRSDDTGLKTAYHSFQASAGMFTYINDNFLH APSTDLSRDTVKTLIAITLAQAQEVFLEKQIRDEKKPGFLAKLASQAAHLYAQASEGV QENVAKGTFEKVWTTLILIKASHLSSVAGYYQAIADDGSGIHGISIGRLKLAEKQSNA ALGLAKSFPSSVPTGSNLNSDTGSAIVEIVRRHLTNVQEKLASFIRDNDFIYHQPVPT EAGLSMIAKLPAAKAIPVSELYQGQDIQRIIGPDIFQKIVPMSVTESASLYDEEKAKL VRAEVEKVEAANGEMAASLDFLKLPGSLNILKGSIDQEMTCDQDFKSWCEEIAGHDPF RGTLDDLQEDKITLLSTLEQCSKQLDMEESVCEKMRSKYGVEWTQQPSARLTATLRSD IRTYRSTIDEASASDSQLLVTLRQYEADFEDMHAAGESGEADILFQQAMIKAGSKQGK NGGTSPYSAPEGNLLDDDYDEGAMPISDQIARVEELLRKLNLVKRDRMQTLKDLKDKV HNDDISNVLILNKKAIANQEEQLFQAELEKFRQHQNRLFQANHKQTALMKELAKVYGD LLQDKRVRAEQSKYEALSRQRNTAISKYRKVHKAFKELLSGLDQAQAFYMQMKDTVDS LKKNVDTFLNNRRSEGAQLLNQIERERNSTGQEGRERGNLRQLMERLSMEPNSTSPTS SNPRPGAIKASSSATRSSPISPNHGTVATTGQASGSHNQKPVSYPPHMPGNGAALEVY NASQPYQQHTPEGYNPMAYFYQAPTSPPPNQQYFNPAYGGYPGQSPYIPQGYVPPPPP PRPQRSSGYNVPAGAYIANPGAANYQQGPGRPPSQPQGVPPGSAANDPWAGLNAWK UREG_02412 MAQSSLEAILATRHRCPAGPENKLTCSTTFVPTPSIFNTFVIEE GTSINAKANEANKFMDRTSVVQVLLTSYQKGAGIMLPFLGAEVGYTESSKSTTHDIFK KPGDGGCYSGYQQESLLDSNLLIYDYQKYPYHNCKKMLFSCEIHWSLMPGFGKQKWSS VNKFGIRRARNQQQ UREG_02413 MPRSSSGVAFSDLLNPQTRSGDHHAASLASSNHSAASGAFQSAH KNASPAAMSPSILPPLAKGQRAAADEPRQELPRPYKCPLCDRAFHRLEHQTRHIRTHT GEKPHACQFPGCSKRFSRSDELTRHSRIHNNPNSRRGNKAQQLAAAASMNGMPDPNHA AAAAMMPPPNKPITRSAPVSQVASPNVSPPHTYVTYATHLPSNLGPYGRTAGERPTSA MDMNMLATAAYHVERDDLASYHYSSNVAASRSPFHNGLRPAHHSLHPNSRLPSLSAYA LSHSMSRSHSQEDDDYGHRVKRSRPNSPNSTAPSSPTFSHNSLSPTPDHTPLATPAHS PRLRPFIANDLHLPALRHLSLHHTPALAPMEPAAEPQTHYSPAQPHSGPSISDIMSRP DGTQRKLPVPQIPKVAVQEVLNPGGGIASGNSSVAGGDLAERY UREG_02414 MAPPRQRGPAATNLDDSRSEASSGQRERQFGASKGRRGGNTATA TSKDAKPPHPAVVLAGEAEQTTTEHPAINWSTMPLSVLHQYRYVHNLPCPSAFSSQIN SILLSRGIGLRSPTAIAARNAQRAANRTPQSSSSSSKRAKEATSGTQDGVGLHPVSQA RVSKDHLASAVRKHFNNTAISEQDAIARSLRAPSRKAMVVQFCEDCGNLLDDIPDELL RYTALNYTQTSTSENFPSRLRNKLKSYTQEVTREAVGSGPQIEMDCVKCPSREVTYAQ VQLRSADEGSTIFYTCMKCKHRKTTKQTDLDCLDSGRDKTIYWLEPS UREG_02415 MLRLAAIVPRLDLPVPVSLFIIYSVCLTPVLFLLYNELAGRRTR SRQPRGCRKLGLKSFSNLADEHQYSTNGVKSRSKDGTEGEKKIKVKALISYPIKSCAG VEFNFADGTNTGLMYDRQFAFAEYIETTAKNDDGKKAVAGRWDCRTLRDGKFSKMALI RPEIWVPDPSSPTYSPKAPEVRSKGVLVINFPRTGTGLFTKLGMKLNLCSREESFQVP LFPPDGEYSSIPMRIFSDTPNAYNYSTHIPSSLGAFLGSTKPLSLFRFDPEHPRPVRG NAPTEADLGFKPTMGFPDEYPLQMQNLASIRSMAEKVKYAIPKFTVRRFRPNIVLEGL EAYDEDDWKKMRIVPGPESRVMPSSSSDDKKGVDILVACRTVRCRLPNVDPDTGERHQ VEPDKTLKAMRNIDAGADKSGCLGMMLVPVSQKFTIHVGDEVEVLERGEHFYIKE UREG_02416 MTTEDTANFSSPDSPRRRSLRDAPHVKFGLVPVLEEDSSLLQNI STGLPRLDLALSLPAEPRPSPLGLGLSRRYVDGNAGADDDIAAGVRRGEVTEIVGPKG QERRCWHTAGPICISRLQSILRERRERSPAEGEPSLADNKFNLEKLLHFRPASLAHLI ALLSHPPRGFPPKSTGLIVVDSISCPFAAEFRPRLPKRLRGAELSHAEQTKLEKESSL YFSLIGSILSDLRRLAIRLNCATVVIHEMGSRVKTTRQPMLHEVISGFTWDAGIASSI LLYWHWLPWRMRKQTGMKRVRIAEVRKIGGAGLAERSIRRIVPFTVEVGGPREILDED SIAIAVPASQNIPDIASEKRKFDGMDSTPSHRLKVEPTIVITGPDDGDDDDEILDPLP GANEEDYEVPDSESDFERDDLISDGSNHVESETEILFQTLQDDSYLYD UREG_02417 MASQEAVAVESSKAPSTGNDNKKLHITDTPVTLSTWHKHVNWLN VILILMVPLYGCVQAYWIPLQIKTAIWAVLYYYMTGIGITAGYHRLWAHCSYSATLPL RIYLAAVGGGAVQGSVRWWARDHRAHHRYTDTDKDPYSVRKGLLYSHLGWMVMKQNPK RIGRTDITDLNEDPVVVWQHRNYLKVVLTMGLIFPTIVSGLGWGDWWGGFIYAGILRV FFVQQATFCVNSLAHYLGEQPFDDRNSPRDHVLTALITMGEGYHNFHHEFPSDYRNAI EWHQYDPTKWIIWVWKQVGLAYDLKQFRANEIEKGRIQQLQKKIDQRRANLDWGVPLE QLPVMEWDEYVEQAKNGRGLIAIAGVVHDVTNFIKDHPGGKAMINSGIGKDATAMFNG GIYNHSNAAHNLLSTMRVGVIRGGCEVEIWKRSQRENKDIESARDAYGQRIIRAGEQV TKLPEPVPSAGAA UREG_02418 MRFSTILLGALAAVTASATPTSCPPPKPNKEFGVVAIHAGSGVH NQAFNAALRSLFAGLPDQNAQCKRSNEKFATFYLKDGALFLYTPKSAEKQQIFVDRSG MGMGKIGYLTGDEGKPRYAELTGWSINGDNHLQFAGSDLVACPNSLNGSWSIWASGFK TPGHNQNCIDIASRVEYTKDPNPCVYSRSP UREG_02419 MAPSHLRTPPQAPPLFTGTPSSILADTKRLIEQSRKVQDAVAKS VELEAATFDKVVLPLAEDENTMALESHILGFYQSVSTDVGLRDASTEAERLMNDFAIE TAMREDLFKLTDAVLKKGEKLDPESQKLLEKEHKDYIRNGLGLPAGPKRDRFKEIKKR LSQIALEFQKNLNEERGGVWLTKEELHGVPEDVVSGLKKGEGENEGKLFLTFKYPDLF PLTKYATNADVRKRVMIANENKCNQNVPLFREAVILRDEAARLLGYPNHATFRVEDKM AKTPETVDKFLGDLRARLKDGGLKEIAKLKELKANDLKSRNPSQESDGHYFLWDHRFY DRMMLEKDYQLDHQLIAEFFPLHTTIRGMLKIFEELFGLVFVQIEGEERSKLSTTGKG DDIVWHEDVQVFSVWDDEGEGNGFVGYLYLDLFPRDGKYGHAANFNLQPGFIARDGKR RYPATALVCNFSKPTPKKPSLLKHDEVVTLFHELGHGIHDLVAKTVYARFHGTNTVRD FVEAPSQMLENWCWTPSQLKSLSKHFSYLSPEYLEAWKDQAGDKPRPSEQISDELIAN LIKTKHVNDALFNLRQLHFGIFDMTVHEPADHDAIQKLNITEKYNTLRKEITSLEGPE ALGLGYDWGHGQATFGHLIGGYDAGYYGYLSSQVYSADMFYSVFKKNPMDGKEGRRYR HVVLEKGGSQEEMETLKQFLGREPQPDAFYKELGLA UREG_02420 MDIIKMIPHHNPTPPTAHQPPLLKPIRPPHHKRLPIPRRGREQD GILLVLAAPLGHPLVSPFSRL UREG_02421 MSPSKPLGARAQTAPTRPDTHRRQAAPEPEAEQDYDEQASTNYK EAFSLFDKRGTGRVALESLGDLLRACGQNPTLAEIRELEKGMGGDFDFDAFQKVLNRP GGFRDPGEPEEYCRGFQVFDKDMTGFIGVGQLRYILTNLGEKMTDEEVDELLKAVDTS SGEINYTELVRTILAN UREG_02422 MTRPIYDLMLRGQQVLIAGQRASYLVDRAIKSNVFEGHIQGTST PVMIKTEDLPILYKREVGAYQFNCIRNSPVIRSLHEAVDNGTDKCLVFEWMDSDLWSL RHQRRAPSNALLKVVAKSVLQALTAFADMDGQGIAVHTDINPNNILVSSADSASPIVK LADLGGLIRSGRCFDERIQGLAIRAPEVWMGKPVTPACDVWSVGVSLAHFLATKTLFG PSGLTFQISSKSPETLKAAWSIGNLFQLVGLFPWDKDSQYAEEFELAKSLVTGGLVGT KSLEDELSVMKVPKDCIKFICHLLTWDPDERPTAEQALRHPWLQDVA UREG_02423 MPGDVDEHLEDIQPNFYRAPEVILEIPWSYSVDIWNAGCVAWDM FEGEFLFTGHDPELQTYRSRAHLAEMIGLLGSPPPNLLAQGRLASKFFSEEGEFRAGI PLQDPVPLEERETTLKEQQEDREKFLCLMRKMVQWEPGKRSSAKELVQDEWIRAHS UREG_02424 MVGLGLEDGRIDGSKVMQDEQARREAMRRVKEADKELFNEILNR YPPRQKRLLEKFVAGDTEESQIDHFNEPAKDADKEKLPGAIENLIPIDLEIFNDKEKK DRVEVFYNWGQNILNGPLYTCIPKTVVGVQAIVGLAKSLKCGLRVSGYRHSWSSIFGR DKSEDKPFILISLLRLDRAILGAQANQEALGHWKDYKIELNKIEVLQSTTHISSNQAL VRIGCSATNEDLRRWCLENKLLTLPLNVIMVAITLGGSNAPICHGAGIKQKTLSDLVY AVEYVDVNGKCQKITQDQKEFLSVASGCFGLLGVVTHLTLVLDKMSYAAMKPLKLPVI EAIPPPEDMVKDLPKALKEKYDKYKPDQIKTFVKNFEDRAMKEYYAEWFWFPLHDKIW INTWSQIKDPVSVKDYPDENEIKKQVKETFSLELLQEFFRGDRKMSAEDATKLISTMG MDALPTDITIETWLPNALHFRRGIQNIRVRDIEVEIPLPELGTGSNVPDFAIVRKAWW QAILLTYKEIKTCPLRMPLEMRIMGSSDVLMAPQRGNKLGTCAIEVLTPRFMEAEWHG FAQEMIDKWMTLRDWKGKKLNIRPHFAKEWEAFQVDGQPWPKYLKEKSCHEETAKFIS IFKQIATKQGWSVNDAQHMFSNRLLDTLFFDITHH UREG_02425 MAESPKKRKRSRKGDSDKKFDCKHEGCGKSYSRAEHLYRHQLNH NPKHIYRCKFPNCTRAFVRQDLCIRHQERHNTHGSQLQKRDSFAAHSARVVAAAPPAP SDTDRAATTPVSTDSGVSPRKTSPQFSESPISTPNPAFTGTASYPTPSSSSAPLEVAY STTPNLQRKHADPGGVFAAPPAQRPPLTMYDPAHRQSYGLYSNATYQNVSLTAPDVSS GASHAPANVGLHHLSVPGPNSHRNSYLSPPNTINGNSPSNGYIPQRSIHVSPLSPHSY SNPHLGSTASTSLANAISNGLATIGSVSATAMSDLDSMAPYALPVFGGETLNRSPFAM TDDFTAWLFNEQNSSSVGYSSMTGMLSNFSDPFVAQQQHHYYPADPAFAGYYANVIQQ QQHPMSVTSILDTGPPQSIISEEKRTEVLDLIQTHFNESDQAAMKNRKEALLEGNLEA ETHVLSLRMMQTYVGSYWYHCHDQLPILHRPTFSPNKAPNLLLLAVMALGAATLESEH GQEVTEAAADFSNFVAWHLRWEIFRDPDFRPPARLWVFQTLLLLETYEKMFSTRALHE RAHIHHDTTLTLMRRGSSLIGRSALDSPPSPPDDGQNRHRAVSGTTSASETTPGEESW ARWVKAEATRRVAFAAFVLDTIHATMFGHSAKMVAHELRLPLPCDEALWSATSAAEVA RVQSSLTSNGVKPTLFLDSLKKTLNGQNVRTNSFGRTIIMAGLLSVSWHMNQRDLQVT SLGVSHTLGGRDKWRSALLRAFDNWRRDFDEALIASGPANYPFHRNPHTLNGDVFFES RTVLHHLAHMASHVDIVDCQIFAGASRLLGRCITPRDFSSAREKMTDRWATKAPARDA TFYALKFLCQVLLPDRDQSPRCSHSAYINSTEYSARNDFLLNRPWVLYFATLVVWCYG YALDGPITPPVPELASAESQREDMLAFLDNVGSVQSPDDLGKVRDRNRCMGLLMVLRD SFSKTRWELLNEAANLLGSCIEKLRGSANGTGRS UREG_02426 MATKGGAAAQEFAPVLAALATMQGNASRQEKTHAHEFLERFQKS VEAWTTTHSILQSPDLPVEAKLFAATTLKGKITYDLDQLPESSLPALRTSILSLLTSY RSGARPIQTQLCVCLASLAIQMITWKDVLPTVGSALGNEASDCVLEFLKILPEEVTEG RKINLTEEDLATRTKELLEDNADQVLALLTQYSQSSPAAATNPHLLECITSWMREIPA ARITSSPLMDVITKALSEERSFDAAVECMCAIYRDTLEVDDSMPVIQTVYPRLIALRP KIREAAAAEDVEMLRGVTRLFAEAAEAWVVLIARLPNEFRSLVEAVLECCAVDKERDA ISITFVFWFELKQYVILERYATARTAFADVFSKLVDIMIKHLEFPVADGEEGDLFDGD REQEEKFREFRHAMGDVLKDCCAVIGVSECLHKSYTLIQAWVAKYGSQASHNHVPHWQ ELEAPLFSMRAMGRMVEPEESNVLPQIIPLIVRIPDQEKVRFQAIMALGRYTEWTAQH PETLEAQLNYVISGFQHKSQEVVQAAALAFKFLGTDCQKLLGGHIPQLHTFYESVIDN LKPSSQEEVTEGVAAVVAVQPLDKMYGTMKLFCDPLMRRIMNLANNAKDEDGQKAVAD HLQLITIFIQLVSPYVDPGAQNPGVRYCEEILPVLSTIVMNFTKSTPILERVCRCWRY MIISYRNAMIPLLPNLAQSISAGFQASREGCFLWATDAVVREFSSGAEYVDQATSDAV YHFFEQQVVQFLRILNDLPPNHLPDMIEDFFRLLTDAVRFFPKNTLTSQLSIPIFSAA LSALTLQQVDPLTATLHYCRDVLSFGFEKPSISEFTSPDGEPFTNPPEVRATVKQLIG SQGSLLVQRVMTGMMFTFPEDCFPDASGVLMTLFELLPQETTTWVGGTIQLLPAGTLK PGESERLMKSLSERAQAGDHRKIRILLQDFTNSYRRRNVAPREGLGRLEAARFRFTG UREG_02427 MASLQAAKKELRGQIKKVLSGLSAESINKQSATVTKSLLSLPEY QAAKRLSVYLAMPSGEIQTADIVRDAFSQGKQVYVPYIYQLDSVATQGRKSVMEMLAL RSVEDYESLQPDKWGIPSLDAGTLATRENCFGGYGLLGLSNPAAEKDESGLDLIVMPG LAFDEKFGRLGHGKGYYDHFIMRSRGQHADATNARRIPYLGKNLCQFPITTCRRLIQS IAALTLEEQVLPPSERVPTAEHDCPVDALIVGDGRVLKRA UREG_02428 MVLLAALLAWFSLLTAVPAALDPKLAGTWSTKSRNVVTGPDFYD PIKDRFKEPENTGISYSFTDDGFFEEAYYRAISNPTTPSCPSGFMQFQHGKYSVESNG SLVLTPFAVDGRQLFSNPCKGKESTYYRFNQTEIFKVPYVFSCERQLALTVREILQRY EVQIDPFHNVKRLNLFQFDGTPMNPMFLIYDPPQMLPTQTLNPTTLASATGQPKAKRS FLNGEQQNNQHKKRLLVGSSRLANPDNWWWAGVVMTIAGGATFLYCEIPWKR UREG_02429 MFRPLQFQARHLLRAQCRYNSTTPSSPPLLAKLRADLKTAMRAK DTVRLDVVRAIIAEINNSAKTSSPIQTDLQLLSLIRKRSASLEASTQEFIKANRSDLK ERNDAEMRVLAEYGAQVPTTSEEEIQSAISQTIEKLRSEGAKVEIGAIMKAAFAPNGP LNGKPAEKGTVSKVAARLISAS UREG_02430 MSFPPLTPARPVPGTYFQTPAPSHAHGSLLANKPLSSPGTRTSP TGGLVQTMGNKTVSSSTKSKAETMSPRERGARTIDDALAQDSRYLDLDSYLSQGFSSN YDIPSSTSWAPFQKIKMYNIPDQIFEQYNRAQVSTSMGLFAELNHAWVTIDNALYLWN YTHPNPQLIGFEDQPNSINAVKLSRPRKGVFLPSITYVIVIATTAEIVLLGLGCEEAG ASKVVTLYQTGMSTSIRGLDINVIGSSDTAGRIFFAGSTDNDVYELKYQQEERWFQGR CTKVNHTTKSFAAFAPQFALAHKPQVFVEQIIVDDSRNLLYTLSSNSSIRVFHLKPDG TMNLAITKHAVDIYANIGHIIPSNETLNARVKIVSISHIPAEEASRYHLMATTATGYR IYLSATASYSWSATPSATNAPTSMQAQHVKTPPPDGPMAQPIQNGTLPGSQFQGTVSS RFQIQSLNPTRSAERFPPGYFFCFTAKDPLNRVDTLFITAPDSGRLARPQETGLPLKP GETGLWLTLGSRAEDIGVSTPALGPQTIPGFGNELAIQFDQPAAEIAILTNTGVHVIR RRRLVDIFASLIRSSGGEEGLETQVKTLIRLYGRSEVLATALAVACGQGVELSPDSRL SKINDPDVLEFARKVFIEFGGKPSLDENAVTDGTALAIDAVIPSPRHAGIALYTSRLL RSIWKTVIVKQERTPAGGVSIVPSVSVSKLQSIQRDLSALQEFFRVNKTFIEGLSGPE ALSRAATKQEEVALQAEHRALHSLVQLTSHTIEGISFILVLFDERVEDTVALLPEGPK SKFLTLTFEQLFSSPQGHEVARELVKAIVNRNITKGSNVETVAEALRRRCGSFCSAED VIIFKGQEQLKRATEAGANSEFGRNLLNESLNLFLQVSETLPMDYLQSAVQQYTQNQF FAGAIQLCLSVAADSDKANRALSWLMDGRPAQDPRQANFENRKRCYELIYNVITAVDD LASKEPELIDGQFTAVTRRKNEVYGVITNSQDEVFLTSLYDWYLERGWSDRLLQTQTP FVVTYLKRKSTEDIFHADLLWKYYGQSSRFYDAAAVQLQLAQSPFPLPLSRRIEYLGQ ANANASTISHNVSRAARQRLQQEISGLLDVANVQDDLLQRLRDDTRIAAERKAEVLGE VGGEIMELSTLFNVYADPGGYYDICLQIMYLANYRNTSDVKAAWENLIKDTHDDASVK GTPLPYEAVIEKVRTLANRLRMSEITFPVPILLPMLEKYVLERQLGVGPQTWVIDLFL DLQVAHETLYTILESMFYNDEVPFQGRNRVYIGNDLVYLISRWYQETVRIGGGVFGSH VLANRVSEMLLLIQQSGGDREIIEVCRDLRMRIEQSLQ UREG_02431 MTIISIFRLPMAYRRSKNDDFAAVPLLELRCQTPPTGTTILFYR QNRAVGFLKSWVIELEKKRQKRFEFYLSLLSSASTKREAKAYLSRFKAEKAAPDQSHQ PNKRQTGPLSDLEPGKNKPGVNLGNIFGNPRAVGHEPRFRQGSAPAQTADTVAGKLHV ALVKVREPQFLDDQTVHGVAQTLSQLSRLGMNCCVVVDAGQGQDEITARKTATEQADR LSAAIDANHGPDSRRLDSLIAVSSTGDSKPSVFSRNSLLSPLRQGHIVVLTPIGYTKD TQRAVLVPANEVVLALTKELAGLELRPDPDEDATITANKVHDMQKQVSLDRLIILDAA GGIPSFQARPHVFVNLEQEFDDIVKELSQGTDTPSLEKRDTNTANRKMPVSSAGRSNP ISKFVEEELVSLPEELTQTQRPPAKSRGFEEQLNNLKLLQITLSHLPPSSSGIIVTPN EVAMSAKDSRNPSTMSAVGTRRQQNPLIHNLLTDKPVRSASLPLGRLGVKNASPSSSE SLAASSTFLKRGMPLTMLPDPRVEVWTVKKPGEAMLTLDDPRIDLPRLVHLIEDSFDR KLDVCRYIDRVNSRLAGLIIAGEYEGGAILTWETPPGGVADIVFNAMVRTCFPKGVCW RSRANNPVNKWYFERSRGTWKLPGTNWTMFWTTEGVPEDQQRFWDYEGVCRSIEPNWA DNKQQVD UREG_02432 MPITRVTLFKVPEEEHRQQLLAMYKTMAQDALKDGKPYIRSVRA GSTFDDQRRQGYTLAVVSEFDSVDDMNYYDNDCKAHANLKVVAKNVHQGVMMTFFESV TN UREG_02433 MDKVQAFGKNFSATFSPFAARTQQFVKEQLGQAEDKTQLPDDYI ELEKRVDALKAVHQKLLQVTSQYSHEAYDYPPNIRESFNDLGRTIGEKVQLLSHATTP AEAQAALTAPPSAKPQPKTFSHAIARAALAGSHTLTQAHPDGSEDPLAAGLEKFALAS EKVGEARLTQDAQIQSRFLAGWNTTLNTNLMFATKARKNVENSRLMLDSTKASKGVTK GEMDHLSEEARSEIEQAEDEFVGQTEEAVGVMKNVIDTPEPLRNLADLVAAQLEYHKK AYEILSELAPVIDGLQVEQEVYLGPSNNSSATHKVLIRISPKQANYRKSREGA UREG_02434 MSRYGDTASSTTASSSGAGGRWDAERFMREREVRHEPSRRERRT AVQVVGDRVEKRGPSFVEEYLNSQARYGPPARRPDRDYEDEHLISTSDAIIPFKERRT SPSPPRKPRLLRRQSSLDTFDRAATRLASDYYRYDRDDYGPPVVPVAVPRRHSPPSES DLDSIRVAEPDYYGDEVFRRVREADRSATPRGRRYSLREEIVKEKIERPYPRRGKTRV PKHLVHPRALIDLGYQFEEVDGNIIIFQALGKENIDELVTFSREIRRKTRVEEIKGKE RVVTRTRESVSVERTRSKSRRRSPSVIRQKAEFLEVEPRRTRRVSPSPAPAPTPRTRP RRRSSPVRIVEPRHVEEVIQPNTDVALILPARHRRSDREIRAEIRALEEERRLLSGRE TLSPGDVVEVRRDYKGE UREG_02435 MFAVPGWSVSTAELKLQKEQQAPTPHASSARNAISDNPPKSNKR KRNNALEDKVTKANVDEMWKKHIEGLKSGGNKAKSLKPKKKKKGEANSGGLGNGAAKI IGQVSGPGFGGDEQSRSTKHNSKKGGHGDSHVESPRKKQKQSAPANTSKNGGKHTSTS PVALPAAAPEPPNLTPLQKAMRDKLVSARFRHLNETLYTTPSSKALELFTASPELFAE YHAGFSRQVKESWPSNPVDDYIRAVKARGPIRPPAKGSKRNEKPGQLVALPRRPSGIC TIADLGCGDAQFSRALTPLSKKMKLKILSYDLHEGDPLITKADISALPLEDGTVDLTI FCLSLMGTNWVSFVEEAWRVLRGDGKGECWVSEVKSRFGKVTRKNKPNPKPAIGSKNK AKKKLKETDDDEGDIGNEIFAEDVRANQNMDDETDISAFVEVFRTRGFILKPQTLDKS NKMFVKMEFVKHGVPTRGKWAGTGGVDKGFKKRFLEPEDNGLTPEEESQVLKPCVYKI R UREG_02436 MFIARSEYAKPSSTFSPEGRLFQVEYSLEAIKLGSTAIGVATSE GVVLGVEKRVTSTLLETSSVEKIVEIDRHIGCAMSGLQADARSMIEHARVESQNHEFH YKEPLRVESCTQAICDLALRFGEGADGEESVMSRPFGVALLIAGHDEDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHRSLTLAEAETLVLKTLKQVMEEKLDAKNVQL ASVTKEKGFRIYNDEDMGRVVSTLGENQ UREG_02437 MTSPSNVRTPPAHGRGLSQSGPRPRVVSSNHANNSGHSRHERVV SRGNMPIGGDAPTGLKSSTRERDYNAPSELRTERSRTATRDKVQVRTKRPVREPVSTG GKGDWERHRAKASTGSPKAKEKEPVEAPWTPKVSLIPHSAAPLSCRVSVPPLTSSAPQ RLRSKPLKELSMDAQEAAILQDLLFVFMGYEGEYIHFANTFNPDLESDRLVGPSYQIM PGLDPSLRDLTMGMLKTATHYSAMEAFVEVQSRAEFGAVNHALCAAIRKLLKDYLILI AQLESQLLNNPNFTLHVLHLHTMPTAQSLSQLYSFGQDLLKRNSLLAQDPDESIDDFD DVENILEQLREGGDLAPGGMSSKKFCKGGNVLGLLTQRLATFSGDPATKVLLQTLLRE SSKPYMRMLNEWLHHGEIKDPHAEFLIKEQKGIKRDKLEEDYTDEYWDKRYTIRETEV PPQLESVKDKVLLAGKYLNVVRECGGVDISKEMKDVPQSFDDPRFLDNVNGAYTHANA SLLNLLLTKNSLTTRFRSLKHYFFLDRSDFFSYFLELGTSELRKPAKSVNEGKLQSLL DIVLRQPGSIAAQDPFKEDVKVRMNQVGLTKWLMRVVSVSGIDQDNPEAHPEKYQTPA PQTTDDDKDIAGFDALELDYLVPFPLSLVISRKTVLRYQLVFRHLLSLRYLESLLVNC WQDHIKVENRVVEKESLGITGENILMDRVNGDDAEGSEVMVNGTKQVNRTVDELMQDH VDFLDTCLKESSSLARNLAAADPDLSGTNAKQASGLESSRSYDPSRLAKLEDTLKRLN YFAATESVVLLKLAHALSAIMKDE UREG_02438 MPSTHKKEKPWDTDDIDKWKVRSWPAPCPVWVNVMLTIFTDQIE EFKPDDNVAGTFAEESSFVSLFPKYREVYLKETWPIITRALEKQGIACTLDLVEGSMT VKTTRKTYDPAAILKARDLIKLLARSVPTPQALKILEDGVACDIIKIRNLVRNKERFV KRRQRILGPSGSTLKALELLTETYLLVQGNTVAAMGPFKGLKEVRRVVEDCMNNIHPI YHVKELMIKRELAKDPKLANESWDRFLPHFKKRTLNKRKQPFKVTDKSKKVYTPFPPP QEKSKVDLQIESGEYFLSKQAKERARKEEVMERQRQKRDEKMKELDKDFVPPKEDTGE QKKKKRKRPEDMTDKVSDGEKLSKKRKSKEKISD UREG_02439 MTLDRLNSLAVMSEATRNINILGSLEKVAKGIPKACSMIIQLIA EAICWHQQVSFGPQYRDPRSGTTELDGSFLEILTFEGREFQKHAVENDIYFSPVDDEE IDRLDLQHRVLNHVFDHRLIFPPVDRLAKVLDCGYGSGAWAVEVAEQYESCDVDDLNR HFTFGYSQFDLVHSRLVASGINQQRWPHYMRDIVKYIRALEDVKDVRAGIKLSNLMAS AGLVDVESKMIPLPLSGWPTDSRMKAIGAANRDNAQRWLSSLALYPFMQKLHMSRSEL DDLISQAREEADDPSLRAYVPL UREG_02440 MSHISFKGCGLFGRTDGFQLDVSSCQTIVAALVFATGGLFLLNK SFSFLRALFSIFILPGKPLSSFGPKGSWALVTGASDGIGKEYALQIARKGYNIILVSR SESKLSAVASEISSANPKIMTKTVSMDFSQDNDEDYEKLKDVIKGLDISILINNVGLS HSIPVPFVQTPEKEMKDIITINCLGTLRVTQLVAPGMIQRKRGLILTMGSFGGLLPTP LLATYSGSKAFLQHWSTALASELEPHNVRVELVISYLVTSAMSKIRRASMMIPNPKNF VRSVLGHLGRGAGLSSYSNTSVPYWTHGLMAWGITSFLGAMSKTVLGINKSMHQSIRQ RALRKAERERGKKAQ UREG_02441 MSLASYLPQHEGYLPKWLLLVSVVSTLNTLQAYANPTYTGQLYA ATPVTPLSSRKFGTWTFLSSVIRLYAAYYITDQHVYDLAIWTYGIALTHFVSEWLVFG SARAKGRFLSPLVVASASFTWMMTQRGWPWRLERGVWRVDDFSAARAIAHFL UREG_02442 MADSILDDISHRRYNALRGTYVLVSPHRTKRPWQGQQESPSKTL LPSYDPDCYLCPGNKRAQGNENPRYTKTFVFVNDFSAVKEDQPDYDPGQDDNDLASTF LRAEAVTGRCYVLTFSPSHNLTLADLSTSDITPIVNAWTDIFISHLPSNSPLVTRERA ALSSDSPALQISKPNQQYRYMQIFENKGATMGCSNPHPHGQIWTTSSLPEEPAQELEQ LKKYRREKPGRHMLEDYASLEREKQERIVFENDAFLVLCPWWAVWPFETMIISKKHKR SLADLTTVEREQLAEAIAEITRRYDNLFDTHFPYSMGIHQAPLDGTVEEMDASHLHLH FYPPLLRSATIRKFLVGYELMAEPQRDITPEQAAARLRSCGGELYRKLGDGNQ UREG_02443 MPLACRKRRIKCGEEKPRCNNCIKSKRECEGYGQRVIFRDPVGP IPHLGPISSARDLTAANQGFLNRQFVQAFHHGEPTGVRSFLPLAPRPSAYGPVADAFV RQEFTSSPPGIPQPIQEDQYSWNAIQFAHPHQPAAHNFSQPGQNMSTHQIDPDYQLGA PYNGPTLLDHPEDYLSHGPQMVYQSQFASSVYMDNASLSLTESGQYRRQHIPPDLGAH SDVNHNPQPTVGMEPEFDPGFESDDYYDVETDEELDELESNKRFDSYGRNASILPRQL LSSTGQVFTYESYESFLTTYHPSPLASPLLDPQHFQVFYHFMTVAGPALSIFERHPYV PSAIMSNGSIPPEQQNLWSYTLPTMALEHQGLLQAMLAFSTLLIAKTTNQPLTACFRH YHYALRKVGKAVGLPYRRKQISTLAATLLLGFFEVMGAEHNKWNNHLAGAAHLIRETD FAGMTRDIRAMRARAASEQWLPQAQGIWTGPSTSYLRSYFENDIFAPVESDIDIALIN TLTGKAINYDEFGQVGSPKKKPETNLTPKDVDDYRVRCDLYWWYCKQDVFHSIISGNA LLLPYDRWGECPPRAGVGKLDAAYGSMDHLILLLARISTFVFKDRKRKLKSSYVWHGP AFCDKAPISL UREG_02444 MYLEPKSRWTCLGHFANSSLFDRRISVSVVASNVAVDNDLISLD VGEEMTLADLKAVIQSDIEIPPAAQKLFHNNLLLTDDSKTLSQIGITPGDMLGLHIRT PQQELQRPQGLAHPTSRVTQQALSRRQQMLPDPETLRLHMLGDLRVLEGVRSQNPQLA NAAEDSQRFREVLFAQQRAEAEAEAAKEARIAMLNADPFNLDAQREIEEIIRQNAVTE NLHNAMEFSPEVFGRVTMLYIPVEVNGHRVRAFVDSGAQVTIMSPECASACNIMRLID RRYGGVAKGVGTADIMGRVHLAHIKIGTLFLPCSFTVMEGKHIDLLLGLDMLKRHQAC IDLKENVLKIRDQTVPFLHEADIPDHQDEFADEPLVRGRDGAVVGGRSGAVTHPAGNP GPAAQNISALSSTPAKRPAPDSPKPNLNTSSTRAPVPTSANTSRWPADSVSKITDLGF TREEAIQALDAAEGDLDGAIGYLI UREG_02445 MTTGTSPMADVHIERDVDASSPPLRTIPISSPTSGSTQPIPIQQ SRIAERSAVAQADGLVNNSPGDTDGVFETDDDDFVAVDHDDVADCAWYFRQPTLPKPT PLDELHPFVQILSASNVDDCVHVESAFPEHERCSREKILYRLTKCPELSLGIFSRPRM TSNEEKPEATLVAHILATRTPAPAVSDASMGLPENWRERSSTLPDAAGVEPLGHQDQG STIAVHSLAVIPEHQGKGLGKTLLKAYVQRIKDARIADRIALLSHDHLVPFYTNLGFK NCGPSECTFGGGGWYSLVSTTTCIAP UREG_02446 MKTTWKDIQPVPTSQEFLDIVLSRTQRRLPTQIRAGFKISRIRA FYTRKVKYTQETFCEKFQAILDGFPRLQDIHPFHGCWQLSWFLTLAFLHADKDLLNTL YDADHFRIALGQLSTAKRLVETVSRDYVRLIKYAQSLFQCKQLKRAALGRMATICKRL KDPLVYLEQVRQHLGRLPSIDPNTRTLLICGYPNVGKSSFLKSITKADVDVQPYAFTT KSLFVGHFDYKYLRFQAIDTPGILDHPLEEMNTIEMQSITAIAHLRSAILYFMDLSEQ CGYSVSDQIKLFNSIKPLFSNKLVFIVVNKIDVMRPEDLDPTTKEELDKLLTVSGVEL LQLSCTTTEGVTAVKNAACDRLIAERVSQKLKTGTNSSGATSGRLGDVLARIHVAQPL GGVREAFIPEAVKNLKKYDKEDPERRKLERDIEMENGGAGVYIADYKKNYKLADSDWN HDKIPEIWDGKNIYDFVDPDIEEKLQQLEEEEEKLEAEGYYDSDESVEDAEEAEIRMK ADLIREKRALIRNEAKMRKSLKNRALIPRSSKAKKLSEMEAHLDSIGYDATASSSRAR EQSRGRTTTRSEADFNEDAMDIDSTADSRQAAVQRAKSRARSQAATNRLVAGVTSTTA RSKAERLAKLGQKKMNRMARQGEADRHTVASLPKHLFSGKRTVGKTQRR UREG_02447 MSATEPSIALHKLEKLRLTSVGEHPILSAVPVGEDTNSPYFVRL PEIDLAKSIFFDTWNCDFAQELDGEDDGTSSESWGRKFDEFIESQHDTPFTPGLPFWR LHIIPGSRDEQRFIAVFVYHHALGDGSSGKAFHTTFLRALPDALAFNPGEVEKIVKTP NKPLLPTLEALRSLQLSFFYILKVIFNTKLWPRRDPELWTGEKIHLPLKNKMHHITVP EKKTAVLKRLCRKHGATITAALQTLVARALFAHLPNQFKKLHCSGAVSTRRWLPRDIV TDDSIGVWVLDFSEDYTREAVAGQPSFPWDEAQRSRKTIETFLHSQGRNTNVALLKFV RDYHRDLLTSMIGKDRGASFEVSNIGMLTDPTSFSGLHSNENDKHPGGASQPLQKPRI GRMIFSQSGNVAGCAVNVSVITGGDGCLVLTFCWQESAVETGLVKAVIEQVQKDIDML TEDAH UREG_02448 MKFSGSAAILALLLSPAALAAPSLSPWKDQSPIQINEDLSVPGD NPLNFCTPPKNDILTIERVDLFPNPPLPGKTLTIKATGTFSKQVDKGAKVLLQVKYGV IRLINQTADLCEQIENVDLHCPLEKGKMEFTKNVDLPRDIPPTAKRLPASKLVSPLNS NYEPRSAAAVPHSFFACRSLHVDNAVVFDILVRFRKIFFGFMMVNSEYALPVCLRRPV PSRSLL UREG_02449 MASVTGLLLAGIGHVTDPPDSQRNFVVVDSKTETAAIEKAFQNF TQERKDIGVLLINQHIAERIRNSVDNFTEAFPAVLEIPSKDHPYDPEKDSVLRRVRRL FGE UREG_02450 MSNRVCTTILRARQVRLSGFKSCRRTHSWTPMEPSKVKYKYIED VERLDFYVPGGYHPVMIGDQLCNGRYVIAHKLGSGRSATAWLAQDTKTSQLVCLKIST AESANRTNELPILLQLGNAESQLPGKPIVQMLLDQFTFSGPNGFHQCLVSDAARISIH EAKDASYHRILHLPAARAIASQLVLGLQFIHFQGIVHGDIHLGNVFLQLPPNMQTMTP EQLYAKTGGPFKELVVRCDGAPLDPGVPPEVVIPVWLGSASDEITLADCSIQIADLGE AFDPQVTKQFNAHTLPQLAPPEAFFIEPGEDEPLSFSGDMWSLACTIWEVFGAGPPFL PFPFTADGVLIEHAEMLGRFPDRWWSKWKSRSNWFNDDGTKNVKEHLQQQFGNSSDNW DKRFPDYIYSYRLRSKFPVFTPEEEEAFGAMIKSMLVLEPSKRATIDDVVKCEWMQKW GLPELQRMRDAMKQVTSV UREG_02451 MPRLIRRRPFLERLKAYLNPLDFLLWLSEEFDSNDWAQWEKEWA IPLGFGINVLFLVARANSRNRSKAYDDVFGENGGTSWLNWLASFVVHLLALLSVVNTI YTFNRKRSYRLFEAPIDTVPATPSAQRVQVASSPRSSSPLRLLSNVLSSSSAESRSHP RPERDVWQVGVWDPHPLAIRLFCLFSPGHVLVYWLFLPTAPADPRPSVTIVTTIFLAA LLTVQMSTLSSSFTQQSKDSLLIHKEVLNEYDIKYVHPRTQPLMRHVGTQFNTGDSYQ PHKDEKYNKVETYTPTVMRHGFTTNPNPNYLRHIDPDSSSKGLQSTPRRQSLSTPTMT VPGSQISSVRTPRIDSSPLISQSAIRQPQFRPTSGKGDGGSLGVYSHSHSPLKRATSS KFDQRSVHRPDDYERNNSSQSPARLPSSPLKRSSVPAGISTSIAAQRWGHLANPSNSR RESGRY UREG_02452 MPPAVSEASTLSISSTRPTHLYLPVEPHQRSQQRPSSWSARFRE SFFSRPDSRRKRKFRSRDSGYLRDQAPMSQPKDSKPQTRQFFLLKNQKGKGNLATIGE KSQLEHQDFASDAGTIRKTPQCEITQDADNPLWPAKSWTGRSKRKHTISRDDYLTARG ANPRTGVISPSITSHSDDSDIAGSEGLLNNEDNAMDKKWRLKGDQWISLDKDAKTPLP SPSTEKPSDVEDKARSYIRRLQQRGVRHSQVEDRFVVNMPSAREPCPPTMTTQQISEF QKAIDRIYKNGEKFVDPKIPLTPPEPAPNGPSTPPKKLSKDIKYGQGEPDISRPPQML EQEYFLGGGRAKAMESRKASNPSPPSTIKEGQGQNRLSMIGEKQMENIASTRNRHSRI CSSSLLERRIKGGTLLTPTQDPISLLCPKANPQEAASTIITTTANLATHSPKLRSRST ELNPDTNPSLTEERGQPYASAFRPSRSSPGMGFVAVNRPGYTNIAEDDIRTTNTLTDG STPTLVNQGRNLTLRDICYSRSHATCLYAWPVLPYTVNILVSCFRLILKAYRHFLAAS NAFGTTEPCVDVAYSWINYIPLALLTYVIWKALACIITFGLHGCWITKQIIHYGGYTS GLFLLFRWVLRSKYGISFNP UREG_02453 MHIKDKLAHSEAAGRPSISFEFFPPKTAQGVQNLYDRMDRMHGL GPSFVDITWGAGGRLSDLTAEMVNVSQSVYGLETCMHLTCTDMPREKVDWALQSAYKA GCTNILALRGDPPREKEAWAPTEGGFQYAKDLVKYIREKYGNHFDIGVAGYPEGCEDQ EDPELLMTHLKEKVDAGGTFIITQMFYDVDIFLDWVKRCREQGIKVPILPGIMPISTY AAFLRRSNWVKCRVPPSWMEALEPCKNDDAAVKQIGKKLVSEMCRKILDSGIRHLHFY TMNLAQSTKMVLEELDLIPSSETPLQKPLPWRQSLGLGRREEDVRPIFWRHRHQSYVA RTQTWDEFPNGRWTDSRSPAFGELDVYGIGLKGTNEQNIKLWGEPKSIKDLSSIFVRF LEAKLDRLPWSESSITEETDIIKQDLIDLNNRGFLTINSQPAINGASSSHPVYGWGPK NGYVYQKAYLELLIPPEIIDEVITRIQKNADLTYYATNKNGELKTNTSDSPNAVTWGI FASKEVIQPTIVETVSFLAWKDEAYRLGDDWAKCHDARSPSRQLIQRVMDEWYLVNIV NNDFHKTHDIFDLFKDLTVKGLDVELTEIVDPASQPADAILGQLNGDTTQDIKGNGQS N UREG_02454 MAALTVKGGNDQSGENNAGGGWRWKGEESWDGKSNQSSDGITQG DHSLQKVSGTESVHSVSIRECLMGFDY UREG_02455 MPSFVVDQANRRRNITESAISRDFFQLEKKIQKTRQRPQYAFPR KPLPRQPAAPLRGRHTKKKKSAYIPALYYTLRATVQSTMSPSPDYKTNLLSHLISNNV LSFGSYTLKSGRNSPYFFTTTLLHTAPLLHATASACATVLSSPPFVSSAQNDGSPARP NFDIIFGPAYKGIPLCTAVLNELGVRDTTGAWDNVSYSFNRKEAKAHGEGGNIVGAPL KGKKVVIIDDVITAGTALREAVGIIEKEGGTVVGVLVLLDREERVNDAEKKSAVGCAQ RDLGGNVPVRAVLGLSDIIDKLGNDIGDENLKRLKDYRAQYGAEE UREG_02456 MGAICAIVYILAIIVFIPFPFYKDIVAATSGGGNRDVVLPVAHV ETGRFLHRFPHNKVKWPQKWLLASYLSGLLSLQSIVILGIGDDLLDIRWRHKVLIPAF AAIPMLIVYFVDFGVTQVVVPVALQRYLGSMIDLGWLYYVYMAAVAIFCPNSINMLAG INGIEVSQSIAIAILLIVNDAMYLAPITPYPHPATDSHLFSIYLLLPFIAVSLALWWH NWYPAKVFVGDTYCYFAGMVFAVVGILGHFSKTLLLLFVPQIFNFLYSTPQLFHLMPC PRHRLPRFNARTGLLEPSVAQWPRPPKRPIAIALEILNQLHLVLIKKNEQGEIVESTN LTLLNLWLIWFGPLREDKLAVHVVGIQFFCGFIGLLARHKLALWVFREDNRGFGSNPL AQSHSTLLTFRHFFGAPEFLDPIVDIQSIRKNTDHIVNEQEDGTILLYIDRHLVHEVT SPQAFEGLKNAGRPVRRPDCTLVTVDHNIPTTSRKTFKNVSEFVQEADSRLQCMTLEE NVKDFGLTYFGLGDKRQGIVHVIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGT SEVEHVLATQTLITKRSKNMRVQVEGDLPPGVTSKDIVLHVIGVIGTAGGTGCVIEFC GSAIRKLSIEARMSICNMSIEAGARAGMIAPDEITFEYLKGKPLAPKYDSAEWKKAVK YWSSLRSDEGATYDKEVFIDAKEIIPTVSWGTSPQDVVPITGVVPGPDDFDDETKKAS ARRALEYMGLTAGTRMQDIPIDKVFIGSCTNSRLEDLRAAARIVEGRRVAPNVKRAMI VPGSGLVKERAESEGLDKIFLDAGFEWREAGCSMCLGMNPDILSPRERCASTSNRNFE GRQGAGGRTHLMSPAMAAAAAIVGKLADVRDYAHASPAVKQGSPKIEVQPEVDDIETE DDLDRILDCPKDNEPHANTSAAKSSVGLPKFTTLKGIAAHLDRANVDTDAIIPKQFLK TIKRTGLGSALFHALRYNEDGSENPNFVLNKEPYRRSKILVSGENFGCGSSREHAPWA LLDFGIKCIIAPSYADIFFNNTFKNGMLPIIIPDAATIKKITTEAESGNEIEVDLVNQ RINDAAGNKLADFDVEEFRKHCLVNGLDDIGLTMQMEEQIKKFETHRTLETPWLDGSG YLKRRNGKRNGPVMVEAAPVPKTNRGEVKGEPLECSISSVQILNDKREMTFLPMCRAR VSAGFNMYFLLREVLSGAAGFTLRHRCHFHLNFPSVLTFPMVSTAGAWGFSQLLCQIQ QICDYGPPDFRMKPRRGSSLIHNIDYMHPASLPVVRWILATVLVITWAAPATCWPYDP NEVGYNLNENKNAASPAEYSGKWPDHKYFPSPENWRFPFYTLFVDRFVNGDPNNDNVN GTTFERDVNSNQMRHGGDALGLVDSLDYLQGMGIKARLAVGIRIFSPGIYIAGTILIN EPWGADGYSTLDTTLLDRHFGNMETWRYAITEIHKRGMYIIMDNTIATEKLIRHSCLL IEQLDIDGFRYDKASQATVDALSDMSEAYRACARKLGKNNFFLPGEITGGNTLGSIYI GRGRQADMRLLDLKDALRLDSNNEASFIRKKGKSALDAGAFHYSVYRAMTRFLGMSGN LAAGYDTPVNWVASWYEMLLTNDLINVNTGKLDPRHMYGATNQDVFRWPALKDGTRRL LMALYIVSLHLPGIPLILWGEEQAFYILDSTASNYIFGRQPMSSAIAWQTHGCYRLGS SHYFQWPLGPAADGCHDDAVSYDHRDPSHPVRNILKAIFQMRENYPTLNDGLYTQELS KLTRNKTHDPRDIPTEVGIWSVLRGHFNEVQDPEHKDLPIWLIYHNDDQRVDYNFDCG NREKALISVFDEGITVKNLFHPYDEHKLKPSPVKLGINNSTNHNGCLDTLRMEAWDFR AYVPKSKFIRPNPMITKFLPGHDARLASKVGSTANETIHVEIYFSDEMNCSSVTESLL FNSTTHNGIKPSIGRRSVQCKSIEPTKLQFAGEIPGIWKWSGNLTGVYNGIHRLQIES AKSKDGKRTTGVVDQFLFRIGQLDNPMVFTRTANYSSTLLHRNEKGELYVNHHAAGAD SYRYSTDWGLSWSEWMHYKGGNDTLKKQQWIGTKKQRWKGEHVIVEYWNRMAGSSSHV QHGDLDWQHDHTRRFPHLFWNGPHNLYGYDAGIENQLRLNENGQWTIGFMSEWPTVAQ VNVWGMNKDGEPDKSYVFGDANEDSVLDRLPPSSLKKSVLKIEPPPLPYLSWTFVLND GTLRFELLPASSMYVQLALFVILLVIFIATAVAGGYAFKRHFCRVIVNTGDMENKQAL LMSAEECCKTPGPFKRLMSIFGRLIINEDPRCLPYSRRTVLIATMEYEIKDWGIKIKI GGLGTMAKLMVQSLHDLNLIWVIPCVEGVVYQLDQKAAPMTIKIFGENYKVDVQYHTI RNITYVILDAPIFRQQKSDDPYPARMDNLDSAVYYSAWNQCIAQTMQRFHIDIYHIND YHGALAPLYILPKTVPVCLSLHNAEFQGLWQIREDDDMKELCQVFNITKNIVRKYIQF GEVFNMLHAAASYLRIHQQGFGIVGVSEKYAERSYKRYPIFWGLKTVGKLPNPDPDDN GVVEEDCEHTDIKIDPQYEVSKAQQKRQAQEWAGLDQNPKARLFAFVGRWCSQKGIDL IADVFPRLLETRKDVQLICVGPLVDLYGKLAAWKLHKLMEMYPAQVYSRPKFTSIPPH IQAGADFVLIPSRDEPFGLVAVEFGRKGVLGVGARVGGLGQMPGWWYPVESTAASHLI QQFRQAIDQALNAKPEDLAKMRASSRTQRFPVARWVKELDMLHLAAIRIHEKNRAFPA PIEACPTNPGDTRNDNVAEQESGESLLDLLHADHFPFLPPDPPSMCAVHPFALAPDPF VAAGSGPLASLNLVTGNRKDFRLQNVDPAFTDRKGEFIEAFLMWLECLNGNNSETLLC IEAFLKKVEKKWYQRLRSGRLTKAQPKESGQTDWALPPGFAKDLELAEGKDEHDDGTS ADNMNNPVGLKRLMLARIGDWPVYSFFLAFGQIIAANSYQISLLSGEVGQSAEKLYII ATVYLVGSIAWWQAFKRFKAVTVLSIPFFVYALAFFLLSCAQFGYTETATGVIQFFSA ALYAFSAASGSVFFALNFGDEGGTQVRDSVFRACIIQGTQQILVVVLWYWGSSLSKSR AAGQNPNPGSWQIHPTAFAVAVAFCAVGGTSFYGLPDCYRRPRAKVPSFYVSVFRRKI LLWFFASVGIQNFFLSAPYGRNWSFLWGSSHTKPWQIVMLVLAFFIVVWCGMLGLALL KRVSQTHTWILPVFAVGLGQYRISNQSFVFPLSSKMGTDLVGNKWHWLLSPVGG UREG_02457 MPAFTEFEIGHRDQVNVIKFNWYGNRILTASSDHRVKVWDQNET GWELTDTWRAHDAEIYDAAWNGPFTGQHIGTVGNDMKFKLWQEDLTQAPNSGRRFRNI FRLTSPVRTPYVSMDFRNLDLDSWLAVITRDGLLTILEPVGEDNLAEWQQIDQFRVCT EPQRGEETSFKVQFHHDPSDMTHLVLPSWDSKSLSLVVAAMNTVKIYRMGADRQFYHA IELVGHHCLVRDVSWAHGSVRGYDLIASGSRDGTIKIFELYTSVANNNVTNGHTESEK PANTQRPSSTRATVSQSGIGSALAHRSPGPAADKQRGGKSAFRHTFKEVASFDSKHLC LWLLEFSHAGDCLMSSGDDGTARFWKRSVSGKWLEFAETDITEEYGHISAIFHRV UREG_02458 MAAAARTLTRAFARATPATSSAKSSVTRSSLRFTTPAYAFRASS RRGYSSGAEGKKSPSGLLWSLAAVAAGGAGAFYYLGGNQLSNSAKPTVITDPTKEDFQ KVYDEIARLLIEKDDYDDGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFAPESDH GANAGLKAARDFLEPVKRTFAVAFTNSRNFPSLEKFPWITYSDLWTLAGACAIQELGG PTIPWRPGRKDSDMSACTPDGRLPDASKDQKHIRAIFGRMGFDDREMVALSGAHALGR AHSDRSGYDGPWDFSPTVFTNDFFKLLLDEKWVQRKWNGPKQFTDNSTKTLMMLPTDM ALVKDKEFKKHVERYAKDSDVFFKEFSEVFVKLLELGVPFASKAEDRYVFKASE UREG_02459 MGESTFHATHYDILELPYPPTLVQKAELKGAYHRALLKHHPDKS SSSTPSRDTTPLQITASRPGASQAFTVDQITAAYKVLSDPRARIEYDRSLVCLSSADG GGAKHSGKKEGQPFHTGLEVFDLDDMQAGQEPDGAEFWYQGCRCGDQKGFLVSEGDLE NELERGEIMVGCQGCSLWAKILFAVDEGGQEVNQVEDGVDGNS UREG_02460 MAKPVSIASSEQFFQLLTSSKILVADFYADWCGPCKTIAPVYEQ LSARFSRPNEVTFTKVNVDQQQEISGAFSVTAMPTFLIFKDGDLVKTIKGANAQGLTS AVAEFATASEGGNAAADSESSETGAMWLGAATPKSYQDITENVEVKDIDLLNCDNDIA PGRVLFGKSQPSALRPKAKEEGKPDWVESDADEQLMLFMPFQASLKIHSLHITSLPPK DGDDEVPMRPKTIKFYTNRSHIIGFDEADDIQPTQEITIAPEDWDAKTGTAVVELRFV KFQRVSSVVAYFVDGDGDGDKIRLDRLRLFGESGEKREMGKLEKFGEAGGE UREG_02461 METYTIQSAPVAGQSSFFYYNPDPDAHAGQHGYFSTHPAEMHAA TGNRPVFTHDQYCAQHPQQIQEHHSHMLHQPGPMQHMAPKTFLNDEMMLSPSVSPRPL HIKPSILLHGSPGLVPIDTNCITDFHTFPSTPPLSTSGSTISSPPSSCGMLQTPVNGS FYRFESIEGVKEGCEGDVKSEILANGDWTRSNSPPLTPECAAERALTVLFCVVLVYIH PPKSATPASHTASHNAEEGLSQVSTNTSCPSLSPSPSPVTTLTDAASTPLLPSLCSSD FCDPRQLTVECSAFSTSDFPPLPSLSSSEEDSEKFLLSVVDTLEHSESHSTSSFTHSI TAADALTSLPTFESLSDLDSDDEFVSGIVNFAVSDDTIFLGDKRRRLALYPSDDDDLV SEQSLEDLEENELLAHPELHILDSETPQPNVTEVQSMKTKKRSHQRKSMKRSVSTEND ANSSFGEADGDMDNRAGNANGDSPANQAQQSNTPKQENAGSNQPSTNASGTSVPPPVV PVSRRGRKQSLTDDPSKTFVCSLCSRRFRRQEHLKRHYRSLHTEEKPFECTECGKKFS RSDNLAQHARTHGNTSLIMNVVPPRESRPQLPTSSPPLPGPPAYEEQDAGALGAVLYE AARAAAYQSTTSESDSSLSSSRSVESERKRPLKKRKREQ UREG_02462 MCQVYSRNSDGNPARLQAFIHFDCQGVGDRPQGPKTQDSKKIKT HAKNMKNKKRFRHRQDSNLRLRRDWIS UREG_02463 MPQKLNVVALISGGKDSLYSILHCLKNGHNVVALANLHPPLKPA GDAEVEDEGVDMDSYMYQTIGHSIIPLYQEALGIPLYRREISGTAVDTGRDYQTPEKV CAQNVSGQDETECLFDLLRHVMDAHPEINAVSAGAILSTYQRTRIENIAGRLGLIPLA WLWMYPYLAPPVGRTGLSADSVGSVTGLLEDMAACECEARIIKVASGGLDEEMLWENV SSKNGRMRRELVKKMGMMLEEGVEGAVLGEGGEYESLALDGPRELWRKKIEVEDIVRE KGEGGVAFLRFKGAKCVEKTRSEAANGLSELPVPQLFDGEFKQALERVLENRHKYVAA RAYDNWTTPGAKALPIEIFQSRIGKTLVISNLSASEAGIGAAAQMNAIGEKFISLLRL KPQGKDAATLSPDDIIFTTILLRSMDDFGPVNSIYGSMFKRPNPPARVTVACGDSFPS GVDILVSFLIDLGSSDNRTGLHVQSRSYWAPANIGPYSQAIGVPFEGQSRFEQDGGVV YIAGQIPLDPSSMELARPETGSGDRISIFTHHATLSLQHLWRIGRAMKVQWWLGAVAF IAKGDEIPAKVVATSDIWERMTTPNTLSDEDEDEESTLDAWDIKYGRKQDLSRIKPTI SRLPDFEIVGSPTKVAPFLAVEVDELPRGSDIEWQGLGIRSAHTEITEENLNGIYISH SLGPFGEYVVVGIEKGESTDAAESVQKAMDLARTRAKEVTRCHTIIYDPLFACRRELP GQAVPCRSVWGRGGREFAAAIVLHAKSF UREG_02464 MASKDTDGTIDEDVARHSSHIQIVARNQSFRRLSRSPHPYRRRR TEQQLGSETASSEYSSRWVRSRKASSDSGTDADDEGNGILKGLPAPLPKRDTLTPGED EDSGVSERLKGRGKGRRRRSESSGRSSS UREG_02465 MEDILRELSEIKGQIGMLEKQSGALDEKYTALEERSMSLEEKYK MLEERSMSLEEKYKMLEERSMSLREKTSVLDNHIAGGGDILGDIMTIQYCQEQQLPYV AEYKEDFQKAYRIAFDKALIEAPSYPPEVIRAFDIWASVHELSAWQAHDNKATREDIK KQAAGIIDAALSTEKNQLEARLGNGGDLRVAFDTMVRLFTAGR UREG_02466 MRSAHALLATAAVVAAGAVKRDGTPSCAEPCFQTAVNDYGCGKW LSDPKCCESEDFLRQVARCLIDDCPDSDGSTAWETVRTECHTIIAEWSEAKDILGDDS TSTVSSESSTPSTTSSSTETESSEPTTTTDSPESSSTVPDSLTTSLSVPTFLYPTPSD YHTPLPDDYYPFRDNSSGLSTGKIAAIGVVVPLVVIVILICLFQWFRRRRGYRDICTE TPDPEPKAMPDAEEHPPVVHEIGGTELNVNDSGQFLELEAIPATPKLETSTPDSPRAS QSERGLAASPTWNLQAPEELDAASTQATSSSHQRGGSSSRLSEPAPSSNRVSAVSAAP LIPPRPPSTPDPSSSRRVSAIEPPAEPASDESKEIDGLLSNLASLEQRKRERVSQLQM LQEEEAAILEQIRKRAAGDSPRPSQDQT UREG_02467 MARASTRVRKPSQRAREAGFTMAPHSQVAELKESARRKANPKPK QPRAANGKRKKAKSQVVERPVLTVLREEDERAVCLLLELASTALAPDFNLEVDLEARR RAFYASLQT UREG_02468 MAEFRELEEETQDVESRNPQQKKFIADQRPRKAPGQLKSSARTS ISSSCNRGGRIKQRAEVQGRRRSLEHFISREVICGVYQLAQAKSFFATVALRKKWRGV KLTIQFRSANQVYNKQREGREGTLI UREG_02469 MTQPSAGGKSPASKLASSISKSRQILPDPTNSFKPGTKQSSPVV RNMDQITRIGAQRSMPMQPNPAVQDIRKTKQYRSFARSYALYERVFYDRQPKSLPGVN AFSPAEPSNQPSDISTSNESTTS UREG_02470 MAHAGDRTALLSYQDDEESVGTTPAQVEAPVERNHPTKRQFFSN VSRQVLNRRGLVCNTIELGYQCNQEYAHLWGQYSPYSSLKSKSTISPDVPPGCTITFA QVLSRHGARHPTAAKTKIYAELIDRIQKTSKSYKGDFKFLETFRYPLKSDEMTEFGDT QLFNSGVKFYRRYQNLAKGIKPFIRASGSPRVVKSAEKFIEGFHRSTVLDPEGAGKGT PPVVGVVIPEGPSSNNTLDHSICETFEKDKSGKNMQTIFLKKFAPGTLQRVKSQLPMA NITIDDIPYLMDLCSFHTVALTPDASTISPFCRLFSSGEWIDYDYYQSLGKYYHYGPG NTLGREQGIGFTNELIARLTNTPVVDNTNTNRTLNDNPATFPLNATLYADFSHDNTMT SIYAAMGLFNRTNPLPVDRVQTPVQSDGYSAAWTVPFAARAYIEKMKCEWSPRKDDEF VRVLLNDRVFPLYGCSVDALGRCELKDWIKGLSYAVNGGKWESCFARNPAV UREG_02471 MGDEAAGGAASIAANREILPANVKPVHYDLTLEPDLEKFTYEGT VVIDLDIVEDSTSITLNSVDLDIHSTTIIPENGSEFKASSISLDSDKQTATVKLDQTM RAGSKIKMTQKFSGKLTDHMAGFYRCSYKDAAGNTKYIASTQMEPTDARRAFPCFDEP ALKAHFTVTLVAEKNLTCLSNMDVAHEKEVLNADGKSKQSVTFSKSPLMSTYLLAFIV GELKYIETKAFRVPIRVYATPDQDIEHGRFSLDLAARTLDFYEKAFDSEFPLPKMDMV AVPDFAAGAMENWGLITYRIVDVLYDQKTAGAATKERIAETVQHELAHQWFGNLVTMD FWDGLWLNEGFATWMSWYSCNKFYPEWKVWQSYVVNDLQQALSLDALRSSHPIEVPVK RADEINQIFDAISYSKGSAVLRMVSMYMGEEKFLEGIRLYLKRHAYGNTTTSDLWAAL SQVSGKPIEAVMEVWTKKVGYPVVTVQEKPDQKVISIKQNRFLRTGDVKPEEDTTVYP VVLRLKSKKDVDETVMLTDREQDLKLPELDFFKLNADHSSLFRTRYSPERLEKLGRDA KAGLLSVEDRAGMIADAGVLAASGYQKTSGSLSLLQGFDNEPEFVVWNEILGRLGAVR SAWIFEDVQVRDALKTLQRKLTSSKSHELGWEFSENDGHVLQQFKALMFGSAGAAGDQ KVLEAVKDMFSRFSSGDYSAIHPNLRGSVFDLVLRNGGEKEYNVILDRYRNAPTSAEK NTALRSLGSAQQPELVQRTLDLSLSDEVKAQDIYMPLAGLRVHPTSIIARWEWLKNNW EAVVKRLPPAFSMLGTVVQLCTASLSTEEQLKDVQEFFKDKDQKGFDRSLEQSLDSIR AKAGWLRRDRDDVKSWLESHGYLGESKL UREG_02472 MANMQNNELDILSFGNGSDLSTLVSSEYASHRTEKSKLSHELNP DNLDKLDTTRSCVSDNIPEDRRQIGVFTATFLVFNRIIGTGIFATPSTILALTGSVGM SLTVWFVGMIIAMAGTAVYLEFGTAIPVNGGEKNYLEYVYTRPKFLVTAIYASYALLL GWAAGNSVIFGEYLLHAFGVGVNRWNQRGIGLVCITAAFLIHATAVKWGLRIQNALGV LKLVVILIIAIGGLLACAGFVNIEPRNFEHPWGDRPPTPYGLVMALYNVIWSYVGYSN ANYCLSETHNPIRTLKIAAPVGVTMVGVLYFLVNIAYFAAVPREEMLESGRTLAASFF RNVFGVKAERALSTFVAFCAFGNVLAVLFSQGRIVQALGREGVLPFSWFWASNRPFNS PAAGLFEHYLISVVVMLAPPPGDAYNFLLNLIGYPLSIVNALVSAGLIHIYLRPARYP TWSPAIRATLPVVAFFFISNVYLAVAPFVPPPKVDNVYEHLPYYIHCLAGLAIFALGA LYWIMWAKVLPWMGEYRLDERLTVGRDSWTRKIVQRVSTAPELGDQGNNDENMWHG UREG_02473 MATLQSPKMGSRQARGDGESRDMILGKYIKIEEIGRGSFATVYQ GIHNKYRSCVAIKAVNIGNLNQKLRENLKLEIDILKGLQHPHIVALIDCDEASTSCIH LIMEFCALGDLSLFIRKRDTLGRHELTRDMIAKYPNPPTGGLNEVVVRHFLKQLASAL QFLRSRDLIHRDLKPQNLLLNPPPSSYAKGLLKIVPYKTRDDSYTPVAGIESLPMLKI ADFGFARSLPATSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLFELVVGRSPF RAGNHVDLLRKIEQGEDNVRFPAQIEVSAPLKKLIRSLLKRNPVERVSFRDFFESSII KGDIPGLVEEDLLAQKERDSRVALQTAQRDALPSRSQSDGRLDDRSPAKPRPPSGNFG RLSTSPSLRRAGSREKLDLQRVAVTARKGSVPSVPGSPNREELRDFNAKAGNAELPER PTLSKGRDMKRRGLSEPQFDDNTVSERDRAAQDVAFERDYVVVEKRAVEVNAFADELA ASPRFQQQHQQAITKQTGAIVRRATTTATPQSSTPPRDAVSRGVVGAYNRQRSGSSHQ RHNSYDRRYGPSPTSATSAISKALNMASGRLFGVSFSPPLALTKAGRSPPLGYNAFPA YPLAQGSLVVVGDGAKVQPPLDEDTKVLHIIEEIATRSDVVYGFAEVKYKQLAPLTPS MQADTAIKPVINPETAEIAGSSDTGLTVDATFTLSEEALVLYVKALSLLAKSMDIAGA WWARKNRGETIATLPSVNVGHRVNNVVQWVRNRFNEVLQKAEYSRLRLLDAQRQIPAN HAYQVGHVGRMSVGGLAHSSDQVIISSGITAERLMYDRALEMSRTAAINELTGEDLPG CEIAYMTAIRMLEAVLESDELPQLSSDRSSEATNTMSSEEIGEINGIQGEDREVVTKL YVFDLQP UREG_02474 MYLTAVIQFGLLALAGVQPSLAAASWGFGDATLSIQQKGAGVGG GLKEKFETHIRGGSRIMLTVLSRLLDKKPLSKPIPLGQADTLKLLLTAQEGRAPKKPH QAFLLLKDAESGLDISYPLAIKDNGKARLELTHKDLPIQLLKSEKPLDANIVIASFGS ALGYDSPAFRLSIKRNPDEPLPRVETLRYGKLDEIHHIFKSDPKSPPIIISLAFVVAV LAALPVLAGLVSRGHLSAGDSS UREG_02475 MGASASKPARAAASAASRRQYPKQPSPSTTTTKPHAQQPPAAAA PQKTQGPIYHSNEKPSQTKSQAIDLDARDPHFAASLRSIGPVIPNPTFSHSSTFNRQN VTPSSSSSSSSTSSPPPPSPAIQTLSARSNLARFAEQELESFGKRSHEGRQFLDVVTI QQVLAMRDREGVSPDLIERHFRLKAGVVGKLGTKGVVGEVR UREG_02476 MSFRGGQRGQGQGGRPSPRGNDRNRGSRGDRGGHYGGHSHHGGQ GGGGRGGRGGGKPQAPVEVFNSPVPAALDGPSKAIEDRYDAKMREPGLDSLSLDRQYP LRPGYGTRGDRVLLWANYFALTAKPNVDLYRYKIEVKAPAKTPEPKGKKLKRIIELLL EDHFQEFRDRIATDFKATLICRDSLKFDSKVYDIQYRAEGEDTPPPNPKVYPVRVLFT GTLSTADLLKYLSSVNLSEAFGGKEELLQALNIIVGHNPKTTSNMFSVGANRHYPLGN AAERYNLQGGLEAFRGYFVSVRPATGRLLVNVQVKHIACFAEVPLARLIEMLGARGYQ LQRTLKGIRVQLSHLRRVKGNQRIPRVKTIIALASPQDGRGLDHPPRVAKPGAGPKNV HFYLKGTLQAGPAKATPQATKGKAGKAAQGGDTKDHGYISVYDYFKRMHNVGDMNEAM PVVNVGTSQNPSYLPVEVCRVEPGQTSKSKLTPRQTRSMIDFAVRKPAANAKSITERG TQVIAAAPNTSQLLSNMGLSISPNLITVGGRVLTGPNVRYKATSVQPKFASWNLSGVQ FPRGASLSQWTFLFLRGQQQNDKNPRSIAESFRDVARKHGMTVSEPLAPIWLDHPFTS NESPESYAAKVDRAFDQLLAKHRNIRFLLVMLPFEDSAIYNRVKFRGDIQNGIHTVCV GDRTGGIQSLANIALKFNLKLGGANHVLDPPKLGLIGEGKTMLVGIDVTHPSPGSSSQ APSVAAMVASVDKDLAQWPASIRLQREAKAEMVDELEEMLESRLQLWKKYNNAFPENI IVYRDGVSEGQYTRVLEEELPRLRKACERVYPATLTKNGLPRVSIIIVGKRHHTRFYP VTTNQADKNSNTVNGTVVDRGVTEDRHWDFYLQAHSALKGTARPAHYFVILDEIFRAR KNGPPTADVLEDLTHNLCYLFGRATKAVSVCPPAYYADLACERARRYLSGYYDASPAE SIVSGETGRGPSENEIKIHPNLENSMFYI UREG_02477 MLRGPSSPPNEEKNPIDKSQPPPLFLGTKRRLPEFILTDKVVLV SGAARGLGLTQAEALLEAGATVYALDRLEEPSPDFYRVQKRAVEELGTKLYYRRIDVR NTEELHNIVEDIANEQGRLDGLIAAAGIQQETPALEYTAKDANNMFEVNITGVFMTAQ AVAKQMIRFGNGGSIVMIASMSGTIANRGLICPAYNASKAGVIQLGRNLAAEWGPFGI RVNTISPGYIVTAMVEQLFVEFPERKVQWPKENMLGRLSAPTEYRGAAVFLISDASSF MTGSDLRIDGGHAAW UREG_02478 MATTTTAIELQHGQSSLEARQNRENAPILDSVDSILEASRMEDS QAPEGGYGWVIVFSCAVLCWWFVGTSYCWGILQAALVERGLSSPSTLSFVGSLTTGFI SFLAIVNARLIRKLGIRPTALTGIGLIGVINVTPSQYFKVKRGLAYGIIYAGGGFGGA INSFALDALIQRLGPVWAFRVMGVITLATGLPAAWFIKERIPTRPNALVEWGLFRDAR FSILYLVGAIGTFPLFVPPFFLPLYTNSLGLASSTGAGVVAGFNFASAVGRLICGYFC DTIGPLNTLCASLLLSAVSILVLWPVSQSLGSLAAFVIINGVANGGFFSTMPTVIGNV FGSARVSVAMGMTVTGWGAPIAGYILGASGGADAGSDAFRPAIFYAGSMALGATILAA FVRLKSSKSMFKRL UREG_02479 MKPDHFTFYISAAAGLLAGSALAKIIQIPPTDVVVRALPNAPDG YTPANVPCPPDRPSVRSAATISHNESDWLKNRRKKTTAALTDFFGRVSIANFDAAEYI RNHADNTSALPNIGIAISGGGYRALMNGAGVVQAFDSRTAGSTDQGRLGGLLQSATYL SGLSGGGWMVGSLYLNNDTTVSALAGGQEGSAWDFTRSILEGPSDGGLAILDTIEYYR QIERAVNGKRDAGYDVSITDYWGRALSYQLIDAPQGGPSYTWSSISLSPSLQNGDMPM PILVADGRNPGEKLIGGNATVFEFNPWEFGTFDPTIFGFVPVEYLGSRFQAGTLPSNE TCVRGFDNAGYIMGTSSSLFNQFSLHLDTVDIPNFIKDEIRKLLERIGDENNDIAEYR PNPFYHYSNDTSPFANVVSLPLVDGGEDLQNIPLHPLIQPERHVDVIFAVDSSADTEY NWPNGTALVATYERSMDPAGLANQTSFPAIPDQNTFVNLGLNTRPTFFGCNSSNTTGP TPLVVYIPNYPYSAFSNVSTFTMEYTDNERDGIIRNGYNVGTMGNATRQKDWPTCVGC AILSRSFERTGTSVPDACQQCFRNHCWDGTLNSTTPNTYAPNVSMSDVGAKNAAPGMH TSSKQSIVAASVAILVAVMFW UREG_02480 MAKQLGAMYEEVKKLKSSSFRETDLNHLNIKILPLDLDQPARSG PLFFRPLREDRLSGEEEDNGPLVKEDYGSQSSAYSVGISLHSVGLIGSTERKICSILN PHPYPSS UREG_02481 MARRAPDIVAPASPPQRVTRARTRTIAGKDNANKEASTPAPAST TATAGPKRGRPRKNIATAEIPSTAAPRTKAATNAVSSQTSGPKRRGPKQKIAQVSDEN DSSDDEVDVVTVRTTAKSRSTKASAGPKTAASRTERRAVRSVKGEQEPADDDSDDDDD ELAQPEQSKTKAVRSRSATVASVRTSKAPTSGTEIKTGTRRGRKGSAAATVVPARSKQ ISIPSAMARKPDAAITYTASAKNKASSSAPKKKVTFLDMAENSDKENQPLTTPVADNQ KVKAGTGLKAKPVRRPVTPVYHDEEQVPKAQSKKEPLSPKKSNQVIRPSASNSPGDAV DTADRVEIDVTLKTPQTSPIKVPNLRQSLASPAKKIDFGASRRLTAPGSGENCPRAES GDVMSTEKEAFSLKDSTIMSTPARRLPPSPFKDSMKISPMKAPIKFDLAVKPQQCLPS STKTSPLKESAIKARAVPFPLQWPGAPSQSPVKERSLLQTPARRLMSPSKNFLSSSKG QHHELNAKGFEQHAVSSLGPEETPFERLEPIHRESSPIVEDMEYDVLADEDQQMSNST KPDEPPSSPEQRGQAMEHVSLQPVAEFSPQLEDPFVNAGALSPINTPVRPYEITSASS SINSIRNISWVPPPAPSPPVFTAPYPPQFSYRDDLSDGDSDNESMMAVSPSKSPSRRM SIRLVNENDDFDASKQTKADSDFGFTPLVNKLSQWNPDNEERKYPRRRGIFSMAPGDQ ITARTSRRSSTIRQSRDRLSLIPRSSSVVHEAGELSFDDNTIIHEPEDLDASDVIPND EGHFTILEDCEENTIASLSANKMDLVEDTPEHLQNCVVDENATPQEHIVTINEAVFAK VQQDEPQPQNPLTVLPMSVTPVRSGPRYPRTVHTVSKVPLKSEDGSLKIPRKRTRSFS AGSNSSPPTSPTATRSKTLPSPRKARSPLKPFIPLQEEHGSPLTHQLPQRPKQPSRSS ASSPVKSPRKQPAGHDTILQGAVVYTDVHTKEGADASGIFVELLTQMGARCVKSWNWN PRTSLSPVDGAEPKEIKIGITHVIFKDGGIRTLEKVREANGVVKLFHFIFG UREG_02482 MDNNWTPSSWVSKPIKQEVAYEDKEAFQHALQKLQRLPPLVTPY EVVNLKNSLKNVALGRAFVLQGGDCAELFDYCNQDMIEAKIKLLLQMSLILIWGANKP VIRIARIAGQFAKPRSSPLETVNGVTMPAFRGDNVNGFDATPESRKPDPSRLVSAYFH SAATLNYARSTLSSGFADLHSPLDWGLGHVATPSIKEDYERIVSRVTDSLRFMQTVGL DTDRGIETVDFYVSHEGLMLEYEQSLTRLLKHPLKALPTQDNKDTPQLLKVHPKPAPS GYYATSAHFLWIGDRTRQLDGAHVEFFRGICNPIGIKIGPTMQPDELVSLLNVVNPDR EIGKVTLISRYGASKIAEYLPAHIKAVQASGHVPVWQCDPMHGNTRATPSGVKTRRFT DILSELKQALEIHRSLGSYLGGMHLELTGEAVTECVGGAAGLTEDGLSERYETFCDPR LNEKQALELAFLVAGFYREELEEPVTNAI UREG_02483 MAEPISTSSQEAKAETASTADDLPANAEDRAAAVALSSLKSTTA AATDDADVQQGTSLPSKADQEALGKAMNRLEALASAAGKAASSDAAGQAATGAGKKEP SAPEKKGEEKAIKKPAIKIKVDDVSLLVEQLDLTKPKAIELLKAHEGDTTKVIRAFIL PPTSAG UREG_02484 MSPPTPHRPLDPPFPPSNRRRRYSYDEAGETNTLNTAETPSEEP VSWRSLPRKGQLTILTIARLAEPLAQTSLQAYVFHQVRSFDPSLPDSTVSAQAGVLQG CFTAAQFVTGVIWGRLADTEFFGRKRVLLIGLLGAGIASIGFGLSRSFATAVVFRTLG GALNSNVGVMRTMIAEIIQGKKYQSRAFLILPMCFNVGIIVGPILGGILADPVKTYPT LFGPGSLMGGKDGVWWMQRWPYALPNFVSALFMFIGTVAVFLGLDEVRTSTDGEEIEA SPSANRTNGLRRRRPPTRKVWTRNVFLTLVTHFMLALHTSAFNALCFLFLPTPRAPGS RKGIFHFGAVWDAEFSRWVSNGHKLGSLGYRFQIFVYLDGQFRLRNTIVVQNILPFSP LAYSLAPFLVLLPDQPYVIWPALSAVIFLQVISRTFSLPATVILVNNAVSDPSVLATV HGVAQSVASGARALGPLIAGWGLGLGLKNNIVGAIWWALATEATLGWVLTWTIFEGTM EEKENSTRDIRAGGDEESRG UREG_02485 MGGLIQAGVYNESKLFYSDNLITSVDYRSFDTPWLYREEFSLEP TDDQHYFLQTNGISSRADIYLNGELVASKDTQIGAYGGWKYDVTNHVKTGPNCLLIRA HQTNYLRDLAAGFIDWNPYPPDNGTGIWRNVEFLQTGPVSICSPRIVTNFVHPGVKFV KVTVKVEVQNHQPEKVRGQIIGSVAEEDGGRKIPLVEPFYLDPNEKKTISIIIDIDHP KIWWPALWGEQPLYSVKLQVKVGDKISDVAEERKFGIRHVASHVNSNDALEFKVNGQY FLVMGAGYTSDIFLRFDANKLETQFQYMLDMGLNTVRLEGKLEHPELYEIADRMGMMI MAGWECCNKWEGWTYNNEGFGEVWKDEDYYTANVSMLHEAAMMQNHPSILAFLVGSDF WPDDRATKIYVDAMKRMDWPNPIISSAAKRGFPKLLGPSGMKMAGPYDWVPPNYWYGG QLGAAFGFGSELGPGVGTPELESLTKFLSKDDLEDLWTKPNQNLFHMSKAGSQFQNRT IYNNALYARYGKPKNIDDYLLKAQIMDYEATRSEFEGFAAAKSAENRSTGLIYWMLNG AWPSLHWQLFDYYLHKSASYYGARVGTRPEHVSYNYHESSVYLINHSLTGQGKRTIIL DLIDVNGTNLSHKEIQTDTMPNSAKRVTGVPERNELKDVAFMRLLLIQADSGAILSRN VYWLAREDDTLDWGDSSWYHTPVSKYSDFTSLENLSRTAIRAGFEAGTGQLWLENETG FPAFFVRFNLVDQSTGEIVTPVYWSDNYITLWPKEKIEQSVSYNRTQYPNVEVKISGY NVLSFSILPR UREG_02486 MDRSNKPAAIPVTSSLPQPSVTVADGNVVASLPSGESVVVNLFG ATVTSWKLANGHELLFLSEKAVLDGSKPIRGGIPLVFPVFGPPPKNHATSALPQHGFV RNSFWEFLGKSTSESESGASVKLDFGLSNSMLTDKFKNDWAYEFGLVYSVTLSKRSLE TSLQVQNKGSKSFEFQTLLHSYLGLRYGMIAHLRNPHL UREG_02487 MFPKPSPASKSPRNPDPMAKRIDPEADHLTSEPLTPVIAAESAA NDDDFTFSSPTPGSKEQSGHELTGFSFSTLPPDFEWSSSPEIDPTTALSKPHKRKAQK SPKSAEGTADEGLPTACPAPFEDDARSRMPTSVCKQDDTSVQSPSFMPMVEPQPLSSE ETESPNQATTERLPSPAFHEAGERLANGRSDVDLIPDSFSDLLEQQIASQLEQDLELS IDLDYTQNKQTPRPASTGSKKRKRGNVDSTPKERGKRATRNSIASMDSSRVASPERMK FVDPRDKVPMRESPSLIHYGESPESQPNDRRLRRPSEPKSQSRSTKASVSSSQACAQR RSQRLRGKLPAEEMDTACDSKSVENADDVSKGEHDTTAQHTELNDCGEAHESQRDTIA TGDVYNKYGPEPFNESILGSLRSVLESIRGVSFDRSLLREIDDVMFDIKVEAHEAVKR HTNT UREG_02488 MSPQPLSERGMKRVNFSPLTSYIRTPDISSSLAKTRCHLRSLRP SKQCKPAKSILKTSASVEPSDEPRSSPTDDLPGMVDSILHQLAGDCRVSRTDAYMQLL GSLATYSDLPETEVLAGKMDLLTQYIRRDVTAGSSNTFQPFEVSLAHQASNLLCWLIW KPEFTRYISDEFKSFVLDLAIGSLQDPSTPKTIVIDDLRILSVQTFHPKILTSGKVTL LLQTLKDITSRFNGKSVIAIRLAIYDKLLKQAPSVLAPHANLWMDHLIQGMVNKVKDI RSRALTLGFEISKRLGVNSSISKAIHNIFDLTIESGAKYIDELCARLTAMVTNRDGSV FVPQIWSVIVLLLRKPRYALNAWSHFKQWILVIQKCFNCSDCATKIQAFVAWDCLVYA IQTSDPWKDMTSFLSKPIFLQLERRRDESSTPLVDRAVSSYCNLLYYSFRPSTPYERL DSYWNEYICLPFQQRLGSNGSNQRIACTILAELLWNSHPKVSGERSPIKAEKLKPDDL LRVDCRWVRSRISVILPVFESFFQKAEWSNDAVEESPVELAWTHMLKSLADASSKEIQ PSPESMKAIALLLDMLQRVWKGSPASLNANPESNGDLFVKRFCFLLKSMISIIKPLPF TDKLLLKTMQETFLPAQTPTRRQPQKEGIIRSPILHLFGLILSPSHSPISTEYRHLIA ELIRLGVSGHPSRGPRLDILCQFTEILCETRTGTIEFVPSLMHEAWKSISSAARECLK ERAIGTPSKERDDTIISDCNKIVSILEFGSQFQNTLPEWVELFDSMVATIRTEKGDVA VSSVLERVSESCSRTSSVSVQLITKLLETIVFPNHGIKRLPQFTNAIPSLNQQPTNEV FCEKLLALVCDSLQHVYAKQAGISNTDILALLEAVTRCLEKSPPDYRLLVLEKLQNGL AVWISDSQGQYSASSADKRVPIAVRTLVSTTLRNLRGSGDPNSDLLQRLTGLISSGI UREG_02489 MNTRELHGQEKLHEVTRLLNFLKKDVKERRLERTKQIEILQKLR VHGRKTENAGPIFEKEGIDVLSSYGFDESDPEVAREALRCLANALLLEKSTRQIFVDL GNGIRAAEKLKEENSDDEFLAARLLFLTTYGSDLDYNKLFDEHGLAESTNNHIYRHSK QFSKGRKKKLAQIDELGLSECLKMIFNITSFYPHRADAFSPSIPHILKILSRIEIPTP PLQAPVNYLINTLLNLDLEAKKTKHFTTNPLFPKFDQNCNVDKLINILDQAVAMHKPE HLETLAVPLLTLLRKIYDIAPEGPQKYMEWLLLPDDSDRDLPIGQSNTLSSRLLRLST SPVAPSLREGISALMFELSGKDASDFVRNVGYGFAAGFLMSHDLPIPETAKEAFSTKP TRTGEQAIPINPITGQRLDAEPQDTETPMTMEEKEREAERLFVLFER UREG_02490 MSQVPAPPGFDPEQADNLEDIEKQFAVKAVQQMTTYWAILEKTP GSKLRLTKLDDEIYEHFKAEFPEFDPSATIDEDEMKSKEGKERWRKFAMAYEKKVNDY NFGTILRKNPKWEYGEKETIFGTACAENALDGPFRVHLLI UREG_02491 MEKLKSYFQFGRNHRVASDSEKEFSARHESHAGQLVETPIRLIT WRSAVMGMFVSMGGFLFGYDTGQISGILAMEDFLQRFGEKDETGSGYHFSNVRSGLIV ALLSVGTLMGALAAGPIADRVGRKWSISSWCVILAVGIIVQVTSESPKWYQIVIGRWV AGLGVGALSLLVPLYQGESAPRHIRGAMISTYQLFITLGIFVANCINFGTEDMDNTGS WRIPMAITFLWILILGLGMSLFPESPRYDYRHGKVEHAKRTMMKLYGIPENHKVLHEE LIEIQQKYEEDQATKDEKWWKIFYAPRMRYRLVLGIVLQALQQLTGANYFFYYGTVIF KGAGISNSYVTQMILGAVNFGSTFLGLYNIEHFGRRKSLIVGAGWMFICFLIFASVGH FALDRDVPENTPKAGTAMVVFACLFILGFASTWGPMVWAIIAELYPARYRAQSMALAT ASNWLWNFLIGFFTPFITDKIDFRYGYVFAGCLFLAAATVYFGVIEGSGRTLEELDTM YILKVKPWKSAKYVLPPVEELPPEATLSRVQSPAASSRGEVDLNEPASQPHAYK UREG_02492 MAPETSYEASMDQVSSLQLEPKREWKFLFYFTTRRHLVPLIGGL IFTVAGGLTVPLLAVLLGRVFNELTNFGAHAITATELMDTIARHCIYLVALGFAIWLF QAIHFSLWIVFGELQAKRARDELFGELLCKETKWFDLTPDGVGALLPRIQAHVRDFQL AVSQPLGSILQNLVASITGLVLALCISWNLTLVCLATVPICAFIIGVISTKVQPEIDA QRLELDRASKLAISAISSIDVVKQLNGEAMETDNYMSAIQAAAKHYLKQARLTAIEIG SINLLTFGMFVQGFGYGGYLVATGKLNPGRVLTTFWACLQATQSIESIVPQLLVLEKG RAAATALKHILGHVQKPNCSPGSNDDVKSPQFCEGNIKFENVTFAYPSQPDRLVLDNC SFYFPAGDMTFIVGKSGSGKSTLGNLLLRFYAPASGEILIDGNPIGSLDISWVRNNIT LVQQQSSLFNESILRNVAFGARDSTQVKPDDIANCISLASLRDTIQAMPEGLDTVVGL SGSRLSGGQRQRVALARSRLRDTPILILDESTSALDYYTRISIMNSIREWRKGRTTII ITHDLSQIKDNDFVYMVDKGKVSRQGYKQTLEGGSLSAVSPAAGDNSLGGFSYIDRKG PNRPQSLPAKPTSLDCSIDSRSPLVFSPAPYSPILRQSHILQQSQFVRQPNQPGSSGI AERNDHVAIEVTSRQVRESSLNPEKGKFEETIKSPASEHFEETQPLRDRFSPSGTSLL IHRPGSLFKALCTVLPSLSRTDTILLALGFVAAFFHAAATPAFAFLFSRLLSTFYVTE NRVRAALQYALGILGVSVGNGVASFWMHYLLERCGHAWIDRLRQRAMGRILQQPKPWF EKDSSHASTLTSYLDHNAEEMRNLVGRFAGFMFVAAVMMAMGTIWGMVLCWRLTLVGC ACAPVLGYWEGRCNRASEVVAGIFSETFLDIRTVRSLTLESYFHRKHFRANTETLTIG MKRGCYTGFMFGLSEASITFVYVPQISASRDTATQVLQLASLSNDSSHEFKGQMRILH PVPIKFTGVKFSYPAHPDKPVLCDFNLTINENTCTAIVGPSGSGKSTIASLLLALYPT DTTRAEHPTDQGLITLGGVDLREIHVPTLRSVISIVPQQPTIFPTTIRDNISYGLEES SFYNTQANVRAAAKAAGIDDFISSLPSGYDTIIGDGGVGLSGGQAQRLVIARALIRRP RILILDEATSSLDVESAAGIKRTVSKLMGMRDGRRGDGLTVIIITHEREMMEVADRVV VVGQGRVVEEGSFGELVDRRGGELRRLLRVNECI UREG_02493 MPPLDAITENIALLGLLDAKEPEARNNVPAKFTDEKYAARFPLS FKEEQRTAEAFAILLANTDDCKKVGAVCVEQQPDGHGLVIRTAVNCGNQTARRDIFTK IIRALKKASSSLIRSARKRCWTTSSAPASYACLVGFGRAMRRLHGKASKRLFYPSFAI T UREG_02494 MPTAKPNSEVKFYHLSTGVTISSPSRNTPLPVHGKSRKKTKGPE LVLLVTWMAAQPQQVARYSSVYRARYPNATIIHISCTVLDMVLFPASRKRRDLGPVVD IIQALSEEDAPDASDISDIPDKSKKRQPRILLHLFSGAGAYASSQLARAYNEKSKTYL PIDAMVLDSTPGAGSYTRRLQAIKSSMSCAPEMVQMIGGLFAHLFLICMWIVQWFGVG DMITRARQDLNNGTLIDNRAPRVYMYSKEDTMVRSEDIEAHAFEMQLNGGQVQKELFH GTGHVAHVDSFGKRYWKAVDKAWKQLEKRTP UREG_02495 MIIKRDRSGAQSTDIIVGGVVVLAVLCLTILIFLILRTLRIRNY EPRFLPTNFLKRKWKSWNPRSTAYGQVPPGSTPATEQVGTSAIDQSQSTAYQGSGSAH TEAAQVAIDRNTSIRSIMTLPAYSPSPKPSEQVIGREGERGGMDVVVEFPETVDEEEN RREQEMESLYQIRLARRREIAEREERRRQRREARERGDRVLLERLRAESRARTETRAN DRESTSAASLLAEHRSRGRDRRVSSVSYADVGHVRHDGSRVRASSQGSDSRPLLDTAA SMGQDGNGHLGMHHRTFSGTSILSQSTVSDMDNSGTPGTDIGDSTISNPPPYEHLDLD EAPPYSDVPQDSSRPAEPPSNSTVPSIAVETATPPPSTPSSPVSPRRNFASAST UREG_02496 MLSREHTGTSDVLARSHPSRDSVFAAFDRDNDAPVSMGHFDKDD DVQEDEELDYAIDTSALHRALPQFTDISSSDESEAPSIEISRGGRKVSHQTDYTHSSI FSPEDSKPTASPAIRRDELPESRLPRPALRAISNRTAMKNQDSLRKDAQIRRASHVSQ KENLDPKALKVRGGRQVNLSRRPSKTQRRTLSDMHAKVMETYEDSYLSDERPHSADAM SRNTRFGSNRTQKKTETVMEAVNNAAGRTYGEIEVGTTADVTEDPTDTNDVLREATNP HESFLLPDIPDLSELVSGIYQEAMPVKSRNSRSRTTRFASPPAVTITAAADEHVPFDG VPIPDDEKAIFASLRLLQEKVSTLENENLLAEQRVEDLQVENQLLRAEQSKSQKAEQR KARVYKGGDQDSGRGAATLAIQKSRLEAANMALQNRLDIANHKISGHEGNMRRVMKER DSVMNKLGVAYLSCQELRSQNEALRRENEELDGQLSLLTSMPKLEQSNSDVLSKSRAL KDDTTSRRKPRRRKNSESANEENSSIQNLPDVPRETRRNDRKSNNFNNHYNQLFESGQ HDDAKSKQEQQENQYDELFSLDLSKRRGSRSREHRRESQPLFSEKKEPNTSKQRIRKS ATADDSDMSDIEVLTTSKHRGRGTQDLTFLSFIDGREIALLRKTLEEERIARKQRRAQ EPTRSILKNAAHVDTLQSEKFPSAPAMNKESGDPTESARNPISRTLKHSSHGDEEGTA AHEMTQDTRSLSDRQRDLGDMTSAIILPDITWHGVKISAATQRVLDEVANHKKENCFV CHETKKRGSSDMNSSASSVKVPKPIPVSERTPAPTAYNEEPTLRPSQPPSAALASVLK GLEDELAHLKMQLTVFQTTYAKHDPSLGKRQRKGMCQKIASLMTEIEKKSDQIYSLYD VLEGQKKHGEEMTDEQVEVTLESLGVAPAGNFPAKFAKQKQAPQSKAEPNDSDDGELP WEGFDSTIESTRRSLGQRG UREG_02497 MGILNRREEEFDNKRAWDDFLEQREEIISNLVYGTDVAKTEAQL AEYASQHASSIRHNKNLESEESAALLEQQTLEQQALRQRREAAKQDYDNERRAKLAGR EDIITRLATGSTKDAEAIAREAKRGSLLKKSSARRSEEERIKRKQAALLAESGKTGAP TPPGAAKPSTGPSLIKGLRKIKTPEPEKPYDPFAGGSSLMNRDYYTLQDYYPSPYLDP IRDDVRVLAGGYDLKDYYARSLFEAFAGLGCFIEDEISARNPPSATLDASSLLSNKNS AVATGKAAEAAVSKPAVSSGDVF UREG_02498 MGENQQDLKDSSHDPDALSRFTSGRWLWGERQQLAARHVPFDLA KLLALAATAVGSKSCVRIEKISEGQYNKVFSLTMNDGREAIAKLPNPNAGRPHFTTAC EVATMDFLRNVLHLPVPKVYAWHSRAAENPTGAEYIIMEKQAGVILSDVWDDMSGNGK AHIIKQVVGIEQTLASTKFKRFGALYYKQDLPSDNDTLLFVGGTGENIHSEKFVIGPT NHRSFFDFGKGELDIDRGPWSTLPEYLVAIAHREIACVKASLRYPIMPEGLFYGPRQY QPSPSKKLSALRNYLKVCPHVLPEDPVTHESVLWHGDLHLQNVFVNPEDPAQILGIID WQSVSACPLFMQVTRPGLLDYSGPLPEKLARVSLPPNFDDLTPDEQRKATELHQAQTL HNLYFALTLQLNIPAFQAFQGQDTLLHQVSVVPGLTLTDYEPCLNNLLRDVEKEWSNI VGADSDGRPLVPFPLQLSAAEVEEQKHDEELWAHGVALMEDFIKDTGCFKHWDGRVSH KDFDLSKKQLAEGIERFLSREARNEEERKAWLEVLPFVDQHNTVD UREG_02499 MSIEERSDDSPVGVKAVVQRSLAEATKREFLGVGCGSFCDVFLI PNTQLVIKIPFDVLEDDVEPQVYKRLGAHPRILQCFGEFECILGRGLVFEYLPLGKLA QYIAPEHFPSERKQWSGQAIEAILYIHSKGVIHCDVGIQNFLIRDNGSLVLADFYGSI LDGSQSEVSTRSRYSRPLAIEERQLNQTERDDIFALGSVLYEIESGHRLYRDKTDREI HKLFQLRQFPDISNIAAPLRFVIEKCWWDQYKSTEGIKSDDSFSLYS UREG_02500 MEIKVINAVKTALAPTNTPFCIVNEAALNYYNVPRVIMAIEICV PECDLPITASQLASYADVFRSHPWPDEASCNTDYLRPYPRFQAFIEGQSFSVIVLPDT FYHLDPLRNNIVQIQTYPLDQIRFSRQFDFSKNLDTLSSIPVPRLATLLQGAAQRYLE SKEYAMAVCVEELVDGMNLDETWCLNHLDTEKNKVVECILDRIASKGSRISEFQPGNI TCFVETEEEARDLRMIPGYDEPSWTREQQTKPKEIQNFDPRLTPGWLQSALLFLKTAI SNLKSAIFHQSPSHPVYFQFVSDLHLEDGQRYETFVITRIAPYLILAGDIGCLCNYKE YVAFLAEQCTKFDHVFLVLGNHEFYWMSHQDGLQAAKSLEVEPQLLGKLTVLNRNRVD INRRVTILGCTLWSQIPEDSQLWVRMKIADFSMIKDWTVEAHNTEHNKDVQWLQQELY NISLEGTNRDVIVATHHAPSFLKTADPRLKSQPWRSAFCSDLLESQVKSWRSSNAIRW WIFGHTHWNTAFRYRGMNIHSNQFKYDSQRVQEPGFFSFWLNQLGKHFVVTKVAKV UREG_02501 MAVPQKRLSEAAVAVSKILSDHGIKHGVFGGWAVNVLGGNRATK DIDLMAAIGKDELWQLMEGRIGWVKIPNMREDYAPFFWDDALQRPVLVEIFIGDHHHL SYRISFLKLVGSTASPANTENARRAMRVVDTQTVLIDNEPVQLLAIVSIFKGKLHAAA DRAKVSDAMDLKHLLSKYAEHLRPHATSINLRDVGKAVRRYPELSEPLSSVGIDVFQA QEIQSDEVTWMSPPTYNVQKGIME UREG_02502 MQLSILPLLVIASPLLAAPLAGRELQPGNDLPPCDQGAVQKYPI PKSCNATERRLIPPGLDDAMTLSKRAKEHGLCFGNTSALDRKCFGNAPSGEVIGNLYR IVNADKGNTLFRCDDPDGNCQIPTYGGHWRGSNATGETVICPLSYKTRLFLEYFCTGG YTVSGSPLKTYFGTDLLHRLYHMPAIGEGHVEHFSNTYEDVLQLGAHNSSFAVRDSDT LQYFAADVYGFDIAIPGVGCAGEPSASTPPSPSATTQPPATTAAAVPPVRVTP UREG_02503 MSVGQNALANEPSRFCIGGDGKEVQVTGTGKPAATPPAQYTDCH NHGSTLYCVSPDGDEVRILAADAPSPPAGHDEHDPEELNCHFHAGVEHCVSKDGSDEP KVSCDRVDRDYNVPYRIGSLFAILVTSGIGVFLPIVWRRFSPSKTNAAVFLILKQFGT GIMVATAFVHLLTHAQLMFANKCLGTLKYEATTTAIMMAGLFTTFMMEYVGTRVIDAR NRSGSDTEGSISSSTAQTGQKDEPGVCAMGPEASHQHFAPNDKLSVALLEAGIVFHSV SK UREG_02504 MSHPDDLLSNLERQRLQLEQQISELQRSVYNWRLWDAEYDGLRE ELDALGDSSTKDDILRTTNEFGGSLVTEDEIRNLLGEPQGITRSRKQVMEILGRRVDY VRDNIKTLEKRIRVAEDKLLKVLVVEQPGGDVNEEGLPMTDIVEQLDEEGRVISGSTT TPGRSAEEILEVLKKAGVKDIAEGDREEPPSAETKERPDSLAVDNVLSKADVDELSTS KPADGYTSADSGSNTAKLGSNPSVQFFPGTRDKKEDIQTTDIDESPEDAALRREILQY GLEEVGAVVAELEIDESASEFSISDEEYDDYLVSDEDEEEDEYGRATRRVISDDYHKQ MRELEKKLGAKSLHNIGPDVSGLPPEIRQGLEEQESKHGKGETEQGKPTKKKQKKVAF ADELDIAPETPIAATAPNPVKVKAHEPPAIRDVIMEHTSTTKDTAVAESKPKKKISRF RSARTGEESAASEPIFASMSANPPTLDGPIGYAGIAASQSPSH UREG_02505 MSEPDERMSIIPYGSSRDVVLRHNDAVVVFDRSSRQLMLQSANN APANLEPSSECPYCHQRLRDGSDNGVEPRRARTPAAQPGFVNPEYFRMLDAKLPSTPD RSGPPSPRRRLVQPAPSESTSPDSTYNDNNAVPSTPGISSAAFSQGYFQRFFVEEGVL GRGGKGVVLRVKHVLDGVSLGEYACKRVPVGDDHEWLKKVLIEVQLLQRLSHQNLVSY RHVWLENAKLSKFGPSVPCAFILQQFCNSGDLQKYICGSVQPAVTPQELKNRLRRRSK GQPDPPGLNGPVKLGFDEIYSFFKDIASGLNFLHANGYIHRDLKPSNCLLHETGRELR VLVSDFGEVQSENTIRNSTGSTGTVSYCAPEVLRRAFPDGPFGNFTFKSDVFSLGMIL YFLCFAQLPYRNADAIDEDREDLDQLRIEISQWAGFDDARRMRPELPEKLYSFLKRLL SVDPIKRPTAEDVLNGIQANVGVPDSRRYHRNGSSASEMRSNSLSLAAESPNTTPETR SPSRGLTARIIPTRQSPGYGTNNILDIRRASEPTPETREQRHPSETSAFLHRCLPTNQ PLADHQRIHATNHLLLPPPTRFSVQNLLRHQTNHYVAIALSFLKILSVTQPCSPLAVN PWIFYPLIILAVADLGTTQTWAHILTMTVHVIIMSIAFRLEEFCLTAAGFF UREG_02506 MGTGKKEAARRERQGKTGDGMANVRVKGENFYRNAKKIRTLNMF KDGRAQRNASGEITKAASYQSREIPNARIEPNRKWFGNTRVISQEALSSFREAVAERA SDPYQVLLKTNKLPMSLIRDGQAPNGLKQHGAKIAVEAAPFSDTFGPKAQRKRVKLSV GTLDELAGETEKMHDTYLDRLEQAKLLSGTSGEADSAEHDGELTSAREPVFSKGQSKR IWNELYKVIDSSDVVIHVLDARDPEGTRCRSVEKYIREEAPHKHLIFVLNKCDLVPTG VAASWVRALSKEYPTLAFHASINNSFGKGSLIQLLRQFSSLHSDRKQISVGFIGYPNT GKSSIINTLRKKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPSNTDTEEDILL RGVVRVENVQNPEQYIPGVLKRTQRKHIERTYEIKNYTDAVDFLSILARKGGRLLKGG EPDLDGVAKMVINDFLRGKIPWFTPPPHTPGEKVEKLEGREGRLGEITRKRKISDAGN NGPEDDAGGSSLENSDDDTFDGFDDDGDDEEAEAGDSGNEAKASPEPGDAIG UREG_02507 MASLIESSAKSLCRSCKTSRLHTKLFSSSATAMVGPESPNYIDI PRIIQPYPPQKRKVKGVLPVPREIFPRRRPDKPTKSYIDAATPEPSSNEPKVEKDHPF FEKLEWKRRMAEVRRQNLREGLVELYQRKQKEEAQMLARSRARQAQQKVVLNQPPRED ERLTTASVPSGISLAKTPFLSDPNAKNRHERSVANHLVRQEWKSEERMDAIHTLYMNA RDFITTEQQLDAEIERVFPTENNPDWANDQRDGDNVWNLGPPPTVGSLMHRKNDEHSK FNLIQDRTRKIAEALTGGKI UREG_02508 MDNMLTDGISAISPPPPPEPIDRPPTPPPLPPDDSAFPPPPPDF HAPPPPPEDVPPPAPSEQAVTKKKKVGWGSAKSTTPLSVEELLRKKKEADDAASKPKF LSKAQREKLALEKRAREFENERRKRTASTNGSITSDSNGGSRDFTGKLDLSDAAAMRS SQSKNGDARSGGKNTSDSTPVGEKRTAPEDAQALLIKQRYMGADQTSNFSAKKKRRRT TERKFNFEWNVEEDTSPDYNPLYQNRSEMNFFGRGRLAGFADDMVDNAAKKYARALED RDLEAGSARAREILEMERRRREEGGRHALDLHWSQKKLEQMRERDWRIFKEDFNISTK GGSIPNPMRSWGESTLPARLLEIIDKVGYKDPSPIQRAAIPIALQNRDLIGVAVTGSG KTAAFLLPLLVYIAELPRLDEFEWRKSDGPYAIILAPTRELAQQIENEARKFCSPLGF NVVSIVGGHSLEEQSFSLRNGAEIIIATPGRLVDCIERRILVLSQCCYVIMDEADRMI DLGFEEPVNKILDALPVTNEKPDTDEAEDPRAMSQHVGGKDRYRQTMMYTATMPSAVE RIARKYLRRPAIITIGNVGEAVDTVEQRVEFIAGEDKRKKRLADILASGEYRPPIIVF VNIKRNCDAVARDIKQMGFSAVTLHGSKTQEQREAALASVRNGNTDVLVATDLAGRGI DVPDVSLVVNFNMATNIESYTHRIGRTGRAGKSGVAITFLGNEDSDVMYDLKQMLMKS SISRVPEELRKHEAAQSKPNKAGGGQKKADESGGFGVKSGAGW UREG_02509 MADLQFTGTEEENAELRKLNAEVLEDTDNFESWEKLVRAAESQE GGINRNSSPQAITATREIYDKFLAKFPLLFGYWKKYADLEFSIAGTEAAEMVYERGVA SISNSVDLWTNYCTFKVDTTHDPDVIRELFDRGANCVGLDFLSHPFWDKYLQFEENLE AGDNKIFEILGRIIQIPMHQYARYFETYRHLAQARPLTELAPPEVIAQFRAEVEGAAA GVPPGSRSEAETERDVRLRVDGYHLEIFTRTQAETTKRWTYESEIKRPYFHVTELDDG QLANWRKYIDFEESEGSYTRTQFLYERCLVTCAHYDEFWMRYARWMSAQEGKEEEVRN IYQRASTVYVPISRPTIRLHYAYFEEMCGRTEIAKDIHGAILFTLPGHVETIISYANL SRRQGGLDSAIDVYKAQIDSSQCDIQAKAALVAEWAKLLWKIKGNADEARQVFQKNQH WYPDSRPFWMSYLMFELDQPTSADTEDSQYQRIKQVIEDVRTKSSLHPEAAKELIQVY MVYLLERGSKDAAKEYIALDREVNGPQSVQKIMKNTENQKDNGAKTNVTQNGNQTHAE PADQTSPQTPVQHSDGSHQKHYQVPVSSNGTTVPVAYP UREG_02510 MAAKQAAVSLTETLPVRLRNFFARYPPQFYSAAAIPKPTPPADA TLPSAAPVPYTPSRSAKPSKAAELAKGQFSITDSILRSSAEYPNPFLPHKSPETGHWR GAVVSLRRQNELVKLAQRFGVEELLPPGRKSSEYREAKVVEKGLRIKGTGIGQKVKGH KWERTMQGRLEERRKAMLEMPEMIRLWKQRGHGRGWKKYPRK UREG_02511 MVLSALSRLVGDGHNRRLKSLWTGEKPSLQQRRVQIPPLEAEQA KGFYPEDLETIRAREFPLLKDTTYLDHGGATLYARSLIEAFSQEMTSHIFGNPHSASV SSQLSTQRVDDARLRLLRFFNASPDEFDLVFVANATGAIKLVTEAMRDYDEEGFWYGY HIDSHTSLVGPRNTAAQGSRCFLDHREVEEWIDGLDATSDGRDRRSFPRLFAYPAQSN MTGSRLGLDWCKAIRTKTNPKGNVYTLYDAAAYVLSSPLDLSDPESAPDFTALSLYKI FGYPDLGVLIVRKSASHIFERRKYFGGGTVGMVVSIGNEWHAKSDHTIHNGLEDGTLP FHNIVAVHSALDIHYRLYGSMQNVSRHTASLIKDVSSRLDAMRHFNGTKVCELYKSPR SVYGDPATQGPVIAFNLKDSRGSWIGKSDVEKLATVKNIHIRSGGLCNPGGIASFLHL TSDDMKRNYAAGVRCGDENDIMDGKPSGVLRVSVGAMTNIRDIDNFVNFISDFYVEKV NPGPELPAPVPPPKSRFYVERLCVYPIKSCGAFVVPKREQWEIRPEGLAWDREWCLVH LGTNIALSQKKYPRMALINPVIDLEAGLLRITCGAAGSKDWSSLQIPLFPDDTEGQTV LQMHQKAKSATVCQDVVAVQIYSASNVTDFFSAFLGVPCTLARFPPQSSERCYKLRSP SSKQNGRSNILPRALSRFKSPPYQPPILLSNESPMLLVSRSSVNKLNEMIKQRRSNAR TVPCDVFRANIIVAEDLYPYQMNSRTHNTQLSTEHPYIEDSWSGFRVGGQKFDVLSSC QRCQMVCIDQDTGVRNEEPYSTLAKTRKFNGKVYFGRHVSLASDSMRGGGSFPTVKVG DAVLPFYEDT UREG_02512 MPQFRIRQRAGPDDAAFIAAAFDSTLPHLASIGSGKQWGTELWS QKENFTKEVQAAIHQSEVNRTTGEGEYVRVLIAEVEGADLDGGSGSYREDETGEKLLM VGAAIIQKAFSPYLVSDPKCETIINEANARDDFIFLRALASDFRTGSFRRGAGAALVD EIKMHARLLGKKAVYVDCWAGNDGKLVAFYERQGFRVVAPFEIVQPDKPAWPGAFLRL DIAD UREG_02513 MDYFIDILHYGHTSKQLDICTHSASSRVITEKPAHGIGDVRLGA LDMIIPPSPGTTPMAMTLAPPTRMAMATRSLLIMMTALMLPQEIRKHCRKKERYRIQN PKRKRGLEHRADLLRVAAERVIRPATPNAKGAQAQEEAAAAEVVAVFVDDAAEEIDAG DEGAEETEVDEGDEDGGALGAAVADEGLKGPCAG UREG_02514 MEAQLPSKLPFSKKPLRARVIISALVAGFFILDKVEPFHQHFSL QNYTLQYPYAEHERIPIFMALVVTGAVPIVVIVVYTVVVDGLFSHHKPTNPSTGRRKL LGRYRLKDRLWELNCGVLGLLLAQATAFVITSALKNATGKPRPDIIDRCRPRPGSEDA PVFGLSNSTICTQTDNAILKDGFRSWPSAAFAGLFYLSLYLAGKLHVLDSRGEVWKAF VVLIPTLGAGLVAVSRIMDARHHPFDVISGSMLGVLCAWMAYRQYFPPISEPWRKGRA YPIRSWGTIPQPPLYSERRRFELDDDDEAKPMASRDEEYQGVSTARPSTFSPERRDTD LSPPGGNPFQPPRTYARRRHDTDLNYSSSSGESHSNYEMQSGYRGQHQASESQERLDS GRPLDIAYQRPSQQRPSSPSPTRQ UREG_02515 MKFLSVGAILAVGANALYLNPRSPGPLSLRSANSSICGTQLSDK FEFPHLIIPINSSSPDTALGTSFNGQVSTDVSSLFNFDIPEGGNLRTCSLVFHFPSTI QHPPNYYTFRGDGKIRFARLDTPATTSTTFNTAPKVRESYGEFTMQPGNTYSIANFQC PMGEKIGFQVSNAGSTVLNYFQNYGNPAFA UREG_02516 MAFDLNLFSAYGNIQSSLPLFPVWSTIIQPKPSSMKANEQIGAV QPEIISEHRTLPIDPELKR UREG_02517 MSKRSQAPDLPDQSSPIESPVQSQHEPGRFAPVAGDTTSQQGAS RLIAVDSILNPPSHPAFESPSRQSSNGPLEYTSSTCPSPSPSPSARLSQTDPALFRLD KSVSPRNQHRRTLTPRSPASRAASLGTRLTSIPATMNVAQFPFPPSPPPQTTTACPSS YNLHQPSSLPDHRAPQVLAHEPSPSTRHSSYRPYQQGIACTISYSPAWPGPQARLIPV TIDMDSGSKRASDKRRKNSHASRRFRQRKKASENEKARIMEKLQDEVKHLKQVVSFYQ TERDFFREYVNRIPGTHVPPRPASPPLAPSTFQQPSESESDGRMTARRSVRPRTGSAS LGMPLPTAAQPAMPFNSPGYQSPWPTVITTSSMSTTPETLQQFQQPFHGHYHGLPHPV SPRGHDASFPH UREG_02518 MSPEFQKWEAKEPYLNLQGTLLEGPFYDPGCKELRVTANIAGSS DNQIAVGAKHGYAILARDAGKSGTELTVRREKKGTLTSFPARMRANDGAVDSKGRFWL GMINDPKVAETKDEAVLFRLDPDLSLHRMVEHMTMPNGMGWNADETQMYLTDSPSKNI YVYDFDVEKGQLSNRRVFFTASGDAVPDGFAIDEEGCLWSAQYGSNKVIRISPEGKAI GEVSLPAKCVTCPEFVGTALFITTAKDSKAESDEFGGRLYKVDVGIRGKPKHNFILEG ELQKAPLSERQGL UREG_02519 MAPTKLEQEDHARDAAFNKALHGQSASTRGGLRAMMAKDKKAQE AALDEYFKHWDQKPSADETEEIREARRAQYATLTRHYYNLATDMYEYGWGGSFHFCRF AYGEPFRQAIARHEHYLAHSMGLREGQMVLDVGCGVGGPAREIAKFAGVNIVGLNNND YQIERATRYAQKEGLSKQLRFTKGDFMQMSFEPGTFDAAYAIEATVHAPSLEGVYTQI FNSLKPGGVFAVYEWVMTDAYNNDDPVHREIRLGIEQGDGISNMVKATEALEAFKAAG FELIKAEDLADRDDDIPWYYPLAGSWKHMSSLWDVLTIARMTWWGRGLVHKFMGGMET FGLFPKGSQKTADSLAYAADCLVKGGELKLFTPMYLMVGRKPE UREG_02520 MPPQIKQDINRSGWETTDFPSVCEQCLPENPYVQMLKEDHGAEC KICTRPMTVFRWKADRTARTKATNICLTCARLKNCCQCCMLDLSFGLPIVVRDAALKM VAPGPQSSINREYYAQEHEKELEEGRGAVEEYEKTDEKARELLRRLARSEPYYKRQRR LEASGATEEASAGGAPAGQRQIGYGPAPGPIRTSDIRHGGFSGRGRGNATRGRGGRSF PSAAQLPPGPQDILPPADPNITSLFLTGVEDDLPEHAIRTFFSPFGTIRSLICSHRSH CAFVNYATRETAEAAAAHCQGKAVIQGCPLRVQWGKPRPLDNMERDERMQYAREGRQT AAAIKAAGSGRRTIENAAEGDEDQGSVGLTQKPTYAVAPPPGKEEVKYASMAGD UREG_02521 MATPEVVHLPLPHLPEGWDGGDKGFKVLGSLTPASQRTVEPVGP HFLAHARRKRHHRTFSEDDRILAQENVKKVENEDDGEISEPEDPIMLQRDAKDWKGQD HYAVLGLSKYRYKATNEQIKRAHRKKVLRHHPDKKAASGDSDENDNFFKCIQKATEIL LDPVRRRQWDSVDELADVPPPGPKKKGDFFKLWSPFFESEARFSKITPVPMLGDDNST KEEVEEFYNFWYNFDSWRSFEYEDEDVPDDNENRDHKRHVERKNANARRKKKTEDTAR LRKTVDDALAADARIKKFRREEHANKNKRRLEREAEAKRLAEEKEKAKLEEERLQRER EEAAKAEKAEGKKAKEAAKNAAKKNKRVLKASVKDVNYFADSGDASVAQIDNVLGDVE LIMAKINNEELAALAGKLGQAGKDMAAVKAVYVEEAARLVGAGQIKDADIKIFKA UREG_02522 MDTTKKPNILYIMADQMAAPLLSIYNSSSRIKTPNIETLAESGV VFESAYCNSPLCAPSRFTMVSGQLPSRIGGYDNASDLPADTPTYSHYLRAQGYHTALS GKMHFAGPDQLHGYEERLTSDIYPGDYGWTVDWDQPELRKDWYHDMSSVMEAGPCVRS NQLDFDDEVVHKATQYLYDHVRYRTGQPFCLTVSMTHPHDPYTMTKDYWDMYEDVDIP LPQTPALPQDKLDPHSQRVMKIVDLWDREIPEERIKAARRAYFAACTYVDTQIGKLMT VLKNCGLADDTIVVFTGDHGDMLGEKGLWYKMVWYEMSARVPMIVSAPGKYQPKRVKE NVSTMDLLPTFVAMSGGSVDPTLPLDGVSLMPYLDESSSGEKTDTVLGEYMAEGTLAP VVMIRRGPWKFIYSPIDPPMLFNVEADPTESTNLAEGIQLPSHHVAVKDSCPQAAAPP KPTGPAPLPTPVTSPSPQTLPVPFFSQSNTSNPAIFTPPRSPSPNKATTVARGAIKPA ELAALLASFHEEVHARWDFKRIHQEVLHSQRRRRLVYSALIEGKITAWDYTPPTDGSQ MYIRNVGQSALGNVEFLNRWPRVGREVQFRP UREG_02523 MNGSRSTNGSKGGYSRTVYYGTFLNVTRGPDTQATPNLPPTYRL VVNHGALWVNETEGRIEGFDWEVQSENELSQLIERHGWDLNGTENAGTFVNIVRSRKE RNGFFFPGFVDTHIHASQYPNSGIFGSSTLLDWLETYTFPLESSFGNKSDPNIAPQKA YTAYNRVVARTLSHGTTCAAYFATIHVPATNLLADICHARGQRALIGRVCMDNPETCP GDYRDESSEQSIAATKASMAHIRSLDPSGHLIRPIITPRFAPSCTLETLSKLGALAAS TSPPTHIQTHISENKEEIQLVLSQFQQCSSYAEVYDNAALLTSRTILAHGIHLTQAER ELIHARGSAVSHCPASNSAIGSGLCPVRTLLDDGIPVGLGTDVSGGYSPSILEAARQA CLVSRLVSFQNGAAEKQESSASGREKLSVEEALYLATRGGAKVVGMEDDIGGFEKGMF WDAQMIELGGVIGKDEDEEDRGNVDIFGWENWGEKIAKWMWSGDDRNVKAVWVGGSLV HGKIPGA UREG_02524 MVAPFAVLRTGARQLAYNSLRNAALRSSRVSPLTACRQCLQLSR FQQTQSARRAVHSSSGADTDSLQPRDLLSPLDTFPRRHIGPGADATEQMLGALDPPAK SLDEFVQQVLPGDILTARNLSVTEPKSAAGLRKDGVLGGLGEKDMIKLLESYKAKIDA TGKSFIGCGYYSTVVPPVIQRNVLENPAWYTSYTPYQPEISQGRLESLLNFQTLTADL TGLPVANASVLDEGTAAAEAMTMSWATLPMSKQKQDGKVFVVSHLCHPQTIAVLRSRA EGFGIRLEIGDIMAEDFKLVKGQGDRLIGVLAQYPDTEGAVLDFENLSNQIHAQGGTF SVATDLLALTVLKAPGEFGADIAFGNAQRLGVPMGFGGPHAAFFACTDKYKRKIPGRI VGVSKDRLGNRALRLALQTREQHIRREKATSNICTAQALLANMTAMYAVYHGPKGLKA IAERIMALTTLLRQNLELLGFNVLARGNAFFDTLTIEAKDASEADSIVTSALNSGLYL RRVSPTKVGISLDESVGVDELKELLSVFASISSKGGAEVLNVKDVPSIELPASVKRTS SYLTHPVFNTHHSETEMLRYMQHLVSKDLSLAHSMIPLGSCTMKLNATTEMVPITWPE FSTMHPFTPAQKVEGYVDMVEDLEQQLADITGMAEVTIQPNSGAQGEFAGLRVIKKYQ DSIGEPGKRNICLIPVSAHGTNPASAAMAGMKVVTVKCDTATGNLDLADLRVKCQKHK DELAAIMVTYPSTFGVYEPTIKEVCNIVHEHGGQVYMDGANMNAQIGLCSPGEIGADV CHLNLHKTFCIPHGGGGPGVGPIGVAEHLRLFLPSHPLSEPLLAKRSSSVDSPPISAA PFGSASILPITFSYINMMGSKGLTHATKITLLNANYLLSRLKPHYPILYTNTNGRCAH EFILDVRKFKATAGVEAIDIAKRLQDYGFHGPTMSWPVANTLMIEPTESEPKAELDRF CDALISIREEIAAIERGEQPRENNVMKMAPHTQRDLLATEWDRPYTREKAAYPLPWLL EKKFWPTVTRVDDAFGDQNLFCTCGPVEDSE UREG_02525 MGNYGQTAMHLAASHGQEETVRVLINTGKAEPNVQAHDGQTALH LAAMEGYDAIARILVAEFGASIETRDDDGRTPLHLAAHNGKDATVRVLITLGKADVGA KDDHGQTALHLAAVRGWLSTTSLLFTEFQADVDAKDNYGQTALHLATYDNHEAIVRSL FSKDVQDNYGWTPLHLAAGNGLESAARMLMTEGMANTEIKDHYGLTAWQFAVQNGHKI TASTMEELLRAKQDSIVA UREG_02526 MGEDSSLPIYWSTCQEKLQKNRLWQISTNLHVLLASIITASYIP VVSAANQSGDDFSNNLFSDLAPILTLFGEHVAKQFMAGSLGWADNILFAMAPLGIITA IVGAIRIGGPAWLKAIIGRAREHIATAEVELTTSVSSDACELWNGKAVVRLSGEPKIT ELVYFPQLGDQPEKSWYSVAAAMEQGKLSVIEDRSAHWSISIAPKPQLQTRKVSESCG SSSTLKDEENVSNAQTKLYPHGQKTRSFPNISLNLSDRRNTVEPRAFAIFGFLLQSQV RAKRCGRPRLARETFASYGCSEGRTSMTKLRSYVRRGMAKAPRSQKLPHGRELDWLAT RAASGKDQDRIWSTDPTDDDDSIWTEGCWKWGVIAVSDPMGHRFNPPTTAATRSDDVV RIRQRIGALIKWTTTTTDLATSLAGSIEAVMNSRFAPDKWKEKRELRWAVQGQDCGSI FLSLRYYNGRWIANAAEIDAVLSLWVYAAETEDKIVKQTAEKAASSELPDDWATAGGV DWLRSGHEALRPQCVRLLGHATPSYIRDLTWYLVDGTSDVVRAKELLSGDSPGLPEPK LASVKGMPNDDTQNRIVEIEGRRVVGFCLNDPWKKSKSRPAKRRFRLSPLPADIDTPA PIAASPAPVAEYLATVSDLPIEKLYARDLFSVFIWSVASGMDQLGGETRAHPQQTQPS GTDQLRSIQLWNSILAEVAYAVKVHGGGIFEGLNDIYLSIISPLSWHRKLPEPSCILD HAMRIAGGYEAVGHWSRATDVYVWLFRTCMASDNDDPITKKATAALYEFTHRVGNRIE LWTRQCRNVKATKLLVEFKACMDKELTLADERVVSGLDAVFDLQKRSNVNSISIVYDG LPLYNMMFHWLSNDEWLASDAKTKVDIIGPTALHYSVIMEYADGVEKLLGHGNDPCTS DLVGWIPLHYAALIGVEDCVRHLLGKWDPQAQVKARSLCGWTPLHCAAWGGHASIAWA LLQDGADIDLQGRDGMTALHCAAEKGHSSIAKLLIEVGATVDILDNCRSTPLHWAVYY GHEEVVQLLVKKRANTAALEANGMTPLHLAAVSNAQVDNVFEIIAHLGWNMKDLAISD RLGLTPLHLAAITGKMALVEHFISNGAEASLVDRQKATPLHWAAKKGR UREG_02527 MDADQFRQAAQSAIEEIIEHFNTLPSRRVLPNIEPGYLRPLLPQ SPPKSPESWDQIQQDIESKIKPGLTHWQSPHFMAFFPALTTYPSILAEIYSAAFSAPA FNWLCSPACTELEIVVLDWVAQAIGLPECFHSTGPTRGGGVIQGSASEAVVTVMVAAR ERMLRDLATAEGLKEDTPEWEDRVMVLRGKLVAIGSDQAHSCTAKGARIVGTRYRTVP TALEDNFDMTGESVRKVLEECEAAGLIPYYITTTMGTTSTCATDRFAEIKAVLASRPS WQKIWVHIDAAYAGAALITPEYQPIAREWSTGIDSFNFNMHKWLLVNFDASCLFVRNR TDLTSAMDITPAYLRNPYSDRPDTVDFRNWQIPLGRRFRALKIWFVMRAYGLSGMKAF IYKGLHHGDVFVELFGGRKDLFTVVTPPAFGLTVFRISDEAAAAAGSASGALTRQVYE KINADGDIFITSSVVGGIYVMRVLSGSWLSEEKYVRNAFEIILKTTEEVLSGKPNGAE GPKN UREG_02528 MTFGITTNLRLVRFVLRNAACGRPSTRVEVIYCGQQTTTFAFLI MVKLPRRWKVKAGQWLNLWIPTASKPSGDESVRSQGKLLKPRTLARPPKTSAPQHLSP EAGTEREKVHEVPVGPPNPPAVERLAKKDARNRHPTFYDKNGRLIAPAQCLDAAVEDG TNTVFMEFKAKPERGGRRNGRRAGLAVQHLINDKFSSKNRYLVSRLIFPPGPCKTSSL DNPGS UREG_02529 MAPHHAFKSPDKNNELLQESRSTQYFILPLLHLHQHMKFLKGIG KSKKLW UREG_02530 MDSTFSTFDSISFVQGSDIPQLTISPAETTLKLDELTPEPKDET PKPEEKKPVKKRKSWGQELPTPKTNLPPRKRAKTEDEKEQRRIERVLRNRAAAQISRE RKRLEIEKLETEKAKMEQQNRFLLQRLAQMEAENNRLNQQVAKLSTEIRSSRGTSPQS VSAPSPTLAPVLFKQEHDDLDVEKIPIPTPPITLYSPSATIVDSVETSDLTQHPAEML CGLQCHHAAPLSDDDFRRLFDGDSSSEPDLSFLEDGFSFDLLPGGDLPTLAFDSMVDF DPEPGKVENLESAIGLPGPTSHPIASVQPSFGASTERCDEQGIAASSA UREG_02531 MGMRDPLLEAMAEVKVNANQLRKQAIKAETMSRSQEDKATKALK KGQFQISRIHASSAIREKRRSVTLLSKAAEADVIYSDLAAAKSTRDSTRSLAKASKAL DSASRSINLERTLAIANAFVSRSEDFKLAGSALEGVSRDVQMSEYGAEGADEEVDRLM ERLADNAGVDLRQNLEENAAPNDDIGVKAGKQKETDFEDGLAGRLRALRS UREG_02532 MSLLREAERCLINQKTFAGLNAFITPLQRVGSWVDRVKDADARR KRGESKSPLDGKLVAVKDNICTRDAPTTCGSRMLENFTSPFNATVVKLLEESGAIMAG KTNLDEFGMGSHSTYSHFGLVKGASAGTQDHSSVGGSSGGSAIAVSTEQCYAALGTDT GGSVRLPAAYTGTVGFKPSYGLISRWGVVAYANSLDTVGVIGQKISTIREVFGVLNHY DQQDPTSISQATRAYIDEATGIATKTRRAKLHIGVPQEYNIQELSPLVREAWQRSLQY LQSQGHTIRPVSLPATKQALSAYYVLAPAEASSNLAKYDGVRYGTRSPDTADNAGGYL YANTRGLGFGPEVKRRIVLGAFSLSAEAMDNYFIQAQKIRRLVQSDFNAVFDLHNPLL QMEPLGQAKGLKTEQAKQEKVDVLVCPTAPSSPPSLRSLENLAPIEAYTNDVFTVPAS LAGLPALSVPISAGNAMCEPGEPGAGPVGIQIIGQYGDDQLVLDVGGILEQMNV UREG_02533 MPIPLADPRPIDMLPPRPRQMNPVFTRHSDVLKQSWSFFEVADK VSNRNGFRYTYAVTDPGFPHILYRQIDVPPYHSRFSFEDSPSSISFTKDGLAVSTNDP WHSARANICAREGSFYYEARVISGIVPNKDATPGPSPRGNVRLGFARREADLDVNVGV DCYGYGIRDVNGEVVNRMRCEYFFPKNEVINEGDVIGMLITLPPLGLHKKIVEGTYDA AKDGNGADTSTLKTSFQQPASVNLIRDRIPFHLKSDFLYQQNHIFPSKHLRDYAFNLK ETPTYGPPSPGNAEDPSLRTLPGSSITIYKNGVRMGTPFTGLYAFLPPASRATQLSNN LGIGERENADDGMIGYYPMVSCHNGGAVECRFEAPWWFGPPKEDIPNIKPFGERFNEQ IVEDVVADIVDEIEAMFMGWNVTQALAGLNNNVGLRTGTATGPGTAAGTPQRAQSVAG DVRMQMDGAGGTPGSLDG UREG_02534 MRTTFAPKNPPSANPAMMMTMPRDDHYIDAKSSRKILQIGQDLA EEEEAEQRAAEAARRPAAANSAFNFESRFGRDSGEGSDEEGVGKFGEDEGEWEDEEEI EEIEVDPTDLDMFHKFVGRDDEDPIFNPRQSGGEEEGQGTNLADLILEKIAAFEAKQA GQPAVIGGGLPEDAVQIPMKAVEVYEKVGLLLSRYKSGPLPKPFKILPTLPYWDTLLD ITQPDKWTPNTIYAATRIFISAKPHIAQQFISIVLLDRVREEIRENKKLNEPVPQTSS FAHS UREG_02535 MPSFKDEHILIIAPGSQTTLAQLGLPESFTPAKFRFPTRMFPAE KKGEWEPLKIRQRVITVKKAVVNDSAAPKDSAAPPAGAVESNGAEKAQDTDMKDASDN GQQTVQQEDAQPETTYVEEEQVIYEEDPTSDEGAVYPIRGGAVVDWTCFFALLTHIYN TLSPPFHTPILLIAEPVWTARDRETLTQFIFEKFKTPAFCLMDSALAVCYAYGTANAT IIDIGHEKADVTAVTDFIVSEHGRGIALHGCGGEAMTSRLFELLQPKGFTREMCEQLK KSSICEILPSGTPLPGRGQELEPPKALAPPGKGPDMGRNVGGGDIEDDEGIIDVASIV TGNTSEYLARREKERAEKEKAEKAASRKGHGGDSAAAAKLARLPNSKKEKAPFQFQEY KPIQTKDINGQAITQYVLHKRDIEVGTERFLAATSSDAKTGELGSYGILDTLAAQIHH TIHSVPDASKRSELWDSMIILGNGSKIRGFPQALVSTITQKYVLSPSGTIFTSEIPSA LSTPLPTGGTNTPAQHINPSPLLHPAAHGVNPLLVAATHANAPVAPNHLNPNMNHSAD PNASAYHRSTGHSQTPTSVKLLKPPEYFPEWKDQGSGGGSAAATAANNAASSGGAVTG VATSSNNIGMEEATFLGAQVAAKVIFVVDQGLSKGFLSRVEYNETGPTAIHDYLL UREG_02536 MTQQKTTNKPRGNDDLSLPKATVQKIITEILPPPSGQNFAKDAR DLLIECCVEFITLISSEANEISEKEAKKTIACEHIEKALTDLGFGDYVPDVLAVAEEH KEHLKSREKRTNKIEQSGMSEEQLYQLQQELFRSAGEKYHAGS UREG_02537 MNSPSGRTIILTGASRGIGWEIAQCLLKEPLESNVVVIARTEEP LLKLKEQYGRRVEVLRGDATDPDMGDKAIKAALTAYGRIDGLIVNHGVLGPVSKIVRS DVEEWKKAFDINFFSAVELVKAVLPHIRISRGTVIFTSSGAAASATIGWGLYGASKAA MNHLNMTLAKEEPEITSLAIRPGMVDTQMQEELRSDHIQVLGPEDGGRFIAAHKEGKL LPPEKPGRVIAKLACWAPRDLSGQFLTWNAEELKDYQD UREG_02538 MGADVQTGALDTVLHKWAYIRGPQLTYPESYLTIFKSVAERLPD INARAKYDEDTPLHKIVAGLHQEEEVEGACDILFALDQPPDINAVNRKGWTAMYYSLL TERDPFRQAIYLLNKGANLTTYANDGKNIFFPITYNVVFSDQQSHDLILELLTHLVRT EAGLKDKKEAYEKYFRPAPANILALSEAAKAGRVQTMNLLLDLGFEKDINKLVETDPP FTVLDHALLSAASRRYDHMEALASYTSGAARKRARAAGNVYGRSNESPSRAAEAYAGS YKVLHLLRSRGAKRACELESLASSKRLDLRHMYPKYWLHPDVCDVMHLYWLGFPPETQ PNRNDWDILYELSRYPENWRDQLVDILRELYEDGVWRPDLKLLERAVKGSSSAKATTL EVPDNEFLQKIVTLLTTLGKTDPSTAASDAKDQGSVWIEARETTSSGPNGLHRTIPGY NLEVELLGTKGLGKTRRVKAEAMFWGAHI UREG_02539 MEDGEFASDLLDEASGDLLNDALNALEGDQSPSDMLPEAGEDLL NDALNVMEDNLVELSLSNNDDLLDDAMGVLGDDCEDAPGAHSNSTLVDPLEERVTSIR NGEHQYMSTVSQFTSILAKTGIHGPRHLRQYNLKTRAVKIGNGAQFTVFREYSDFSGN EGLVIKRVNVPLSREEGVSFASGEDYRLQLRTLELEVRSLCNPMLRNHRNIVQLAAWG YDYPLPDTPVPVLFVESALTTLTDFLKVENQDLMGDSPMDIKYHLALDVVAGIEALHS LNIVHGDIKPDNVLVFKDTQNEKVPFCGKISDFGVGTPGWVDPEIQDASRWTKNNFKP EIMLRFDSYSLGLTILSMFIKQGEMVNLDSDEESRVDVAISLLREEESMPSDLRMQLT RTLRSLLAEDPWARALPNPNLLKLDNLAYASWLSMSQTGRRATRYVGTTDQMYNKGAN FWRRLDKSVITELEEQYRISKNSFESDALFGLAQSITRLRASYVDPLLEYVTESARGG YSPARAVYAQLMHAHRRKPEFSDKTLAKWTLQAVSGGYLFAKSSAAIARNELEVAKQK FRDAGGFATGPFLQKQNVLEAARDAQKAIAWLKDNKRMVDNKGNTLLHAAAAVGALEV VRGLVEDAKFPIETQNDNLETALYTAFQAGHVSVIDYMLDKGAKASVATKQEKLTALH WLFTLPEDCIRRIATRIVQDAGALLDAQMVTAVAEFSGGAPQRMQTPHL UREG_02540 MDFYGTAATAIDHVVKVTLFIKGVISDIKDYDDDRATIQLKLGL QLTSLEFFRRRFLDDKHGLLLPGRLPEWIADTICSLLLKMKRVLAEYQVLVGRYEDFD DKVKAGGENDSVGKREKWKQSFFERAKSQAKSLKMKGYDWSLFDKKKLLGILEEYQGW TSNLRDVMQHFSQEMVYSLADPASPANNESESLEGTGLEQVVQRQKLVSAHPPDDFEG LEGEIVEEGTASNRFQPARWTCKGESQQVLVEYREYDRRLRLDDLDPEEIEELKAPVR NLAWLLQNSTFQDGGGNDAEMQQPAIYSLQCLGFIDQVEEERTVFVYKLPSLRAGTET KTGIKILTLHELITTIDAKTKRPLKPSLTDRFNIAHCLALTLSNVHASLWLHKNIWSR GILLFQQSVVLLEVGLWKTISQLFDARIKEGQRTGKLPKPKDVRNALVALAQSELPRE MGNVYAGAVVRCLTSDFRKGSDTELSLDFRERVVDAIAAGMDL UREG_02541 MSTIIVGGGIIGVSIAYYLSDPKRSHRPKEIHIVDSSAELFASA SGFAAGFLAKDWFSPELAPLGKLSFDLHRQLAKDNQGAQKWGYMAGTAVNLQVQGADG KKSRRGDDWLRRGASRAEAAVNEEAAVDEGDPSPLWLTKQRGGTVDKISNNGSAAQVD PLRLCHFLLDKCVERGVRVHYPTRPVAILKKGTSSTGSEVVLQRLDTKEELKMPCDNL ILAAGAWTPRVFKTLFSQSKTEIPVGALSGYSLLFRSPRHTLENERETYAGQSHAVFT THPKACGFSPEIFSRQGAEIYIAGLNSLDIPLPEVATDSLGIMEREKSDRVKRAAVVL MGRADPDASTDEQGEAANVDDLEVVREALCFRPWTESGRPIVGKIEHQLLDPTEAFRG EVFIATGHGPWGISLSLGTGKVVADLITGANASADVSLLGLGGNGLIPSHL UREG_02542 MHQTDQTRVYALVNVTSDPLMQLATTYTADEIAYVKRLLDAMFE TNNTIREEAMVVSSMKAVQLAKATGNNSRRESQSATQAAASQPLTMREAEDMLKRLVE EGWLEKSRKGNYSLTPRALMELKTWLIESYNDDEDGGELDNEQRHDKIKTCFACKELI TAIAYSIAGPTVFSAPMPSTSA UREG_02543 MLFKALLVTFLFIDQAPLGFAHRHDPRVTDVFDRNVRSPRFDKR ESNAQSPVYATKFTGVSWDNTNWKLTTTNLDQGHYQSRGSIANGYLGISVAAVGPFFE LDVPVDGDVINGWPLFSRRQSFATISGFYDLQPETNGSNFRWMNQYGGESVISGVPHW SGLVLDLGGDDYLDATVNNATISGFVSTLDMKGGILSWSYNWTPAGGNASFAIAYRLF AHKLYVNQAVVHMEITPSTDGNATIVNILDGYSAVRTQFASSGTDGQAIYSSVRPDGI NNVTAYLYAQLAGTDEVDLSTLSVISDRPYIRTNDSTIAQAATVNLKSGVTTKITKFV GVASTDGFVDPRSMAKDSCSRALNNGYESSLKSHIDEWATVFPSDSVDDFSSPESGWL PTDDHIIESAITAVANPYYLLQTTVSANAMKAVNYAPINRGSMAVGGLTSDSYAGLVF WDADIWMQPGFVTAFPEAAQTFTHYRVDKYQQALENTKTAFASSKNRTYFSPESAIYP WTSGRFGNCTGSGPCFDYQYHLNGDIGLQLINNWVTTGDINRFKSSLYPVYNSVASMF ADILERNGSKWTLTNMTDPDEFANGVDGGGYTMPMIATTLIYANGFRQMLGLEQNQTW NDMAENVLVSRDSDSGITLEYTTMNGSTHVKQADIVLNTFPLRYTENYSPENALLDLD YYAGKQSPNGPAMTYAIFSIVANEVSPSGCSAYTYAQYSFSPYVRAPFFQFSEQLVDS WLTNGGTHPAFPFLTGNGGANQVVLFGYLGYRLLPDYVLHLDPNLPPQIPHITYRTFY WHGWPLKARSNYTHTTIQRAQDRDALQTADQRFANAPIPVHVGPEVNVKVYSLPVTGE LVIPNRQIGSINTYPGNLVQCQPVSSPNEYLPGQFPIAAIDGAASTKWQPKSANVTAS ITVTFPESALSSRIVGFGFDWAQAPPQYAKVLLHDAPIPPVANISSSGPAGSIVAAEL SQIIMSDPYRPNITDLNEVVPYKGNTTNITLSSPVPVTKFATLLISGNQALGEVEIRA GNGTGATVAEWSILGSDSAQQNKRGEGELKKFRIRGVTWRDAAENRRV UREG_02544 MKHFIGGHGLLLILNVALVAAKVKDCALLGPAFPIPTRLSSSLT FQHELESLSRKIAEGLASSKINGEESSFSLNVFTGEDGSPIWEYHHVASTFNGTLPDE GLDGNTVYRVASITKLLTIYTLLVEAGFEFLNEKVTKYIPELAAAPKSNEIIGDLAST VQASPILKAMFPPPEKNDTVSSFINEILHRRPVYAPFNAPIYSNTAFTLLGMALEKLK GRTLVDMMRDSVFNTLDLSRTSFFRNDSWGIIPGSPSSSFWNVSFGLEAPAIEAYSTA NDLARLGQAILNSTLLTPTDTRRWLKPMTHTSSLSHSVGAPWEIFRAKNPRVVDMYTK SGHVGFYTSQFVLLPDYNVGLTILIATPGEPPVSTIASTMASHLITALENEARRQAQI KIAGFYRANNLNSSIALETNDDHHGIRISHWISNGTDVIQFLKTLVEDSKKTTDSVHV RLYPTQLRADSACPNSGKRCTDVSFRATIDIIPAEVEGADYEELFSGRCFHWMEIDGL LYDHISLDDIVIKVEKDTGKAVAVEPRAWKIRMDRV UREG_02545 MLSLRPIARLPSLLGRQVVSCHRNSPVTFHLDRRRYISAFGYTQ SKALVFSKYGEPKDVLSLHSYSISPPHETQCTVRLLTAPLNPADINQIQGVYPSKPRF TTELGTAEPYAVPGNEGAFEVLSTGAGVKNIKKGDWVIMKRTGMGTWRTHAQFDESEL LKVDKTGLSALQVGTVGVNPVTAYRMIKDFCEWDWMRSGEEWLIQNGANSGVGRAAIQ IAREWGIKTINVVRERKTEAETEAIKEDLRSLGATVVITEAELLSSSKLREIIKQVTR QGKEPIRLALNCVGGNSATALSKVLAPNSRVVTYGAMAKQPLNLPSGLLIFKNISFEG FWVSQWGNSNPSLKEDTIKDILRMTRDGKFKDIPVQEIKWTRDTEAEELAQEVQGTLS GFRSGKGVFVYEGD UREG_02546 MVLWQRAVAALFACHFVDARDALVDSQLLKNPYPYHFPELGSNG TSLFPMPLCHGFRLEEATIDELQAQLTSGRLTSVDLVECYTERIFQTNGYVNAVSQTN PDALKIARALDVERARGRVRGPLHGIPFLVKDNIATRDRLETTAGSWALQGSVVPRDA HVAYKLRKAGALLLGKAAMSEWAEMRTTDYSQGYSAFAGQSRSAYNFTVNPGGSSSGS GIAVSINQAAFALGTETDGSVVQPAERNAIVGIKPTVGLTSRAGVIPISSHQDSVGTL GKTVRDATYVLDAIYGIDKRDNYTFVQRGKTPRGRRGYSQFLTDKTALKGAVFGIPWN SYWKLGEPSQISQLLELVDLIKSAGATIVNGTEITNYETIIPRDRWDWDWGSRRGYAN ESEYTIMKVDFYNDLRAYLSELENTNIRSLEDVVQYNYDNSGTEGASPHAHPAWAAGQ DSLLASLATKGIQNETYWQAVSFCRSSSRKGINDALSYRNRQLDGLLVPTDVGQTWMM PAQAGYPMISIPAGLNVESGMPFGLAIMHTAFSEAKLIRYASAIEDLQNSSGTNYRRT QPQWLGYRRRNLPLANAT UREG_02547 MLKSVFAALVGLTGSVLGSQPTAPDPIPAPLRNLTWGQLNFLHT TDTHGWAQYGADWGDYVSFAAHLRKKAEADGTDLLLIDTGDRIEGNGLYDASDPKGRY TADIFKSQHIDLLCSGNHELYKQNSSEDEFSITVPNFRGNYISSNIEIIDPKTGDLVP LAPKFKKFTTKVQGIRVVAFGFLFDFKDNYQNTVVQPVEETVKQKWFIEAIQDKEVDL FLVIGHVPVQSKEYDTIFKAIRGENWDVPIQFFGGHFHIRDYAKYDDKSYGLASGRFM ETIGFASISGLSQGGKRPTGSLSFNRRYIDNNLWSFHHHTGLNESTFPTSKGKAVSEM IFKARRALNLDRLYGCSPETFWMSRAKYPSPNSIYTWLEERVLPSTLRGERPAMAILN TGAIRFDLFKGQVTEDSTYILSPFTGGFRFTKNVPYDKAVKILEVLNKAPRIFSQGSG ISTSAFLTPPEQLGRTSGFADSLQSDQARFDFSNSIIPNADLIPGYTTKDVAGTDGDD TIHSPISFYRLPNCIQAFIPTTNTENHLEKPDTVDLIYLEFIEPFIDVAAKFVGLDFD VRKDTAEYKPGSSLRSVIVDWVKSNWNCER UREG_02548 MAAPRFLRPASRLLSSRFCSTPIRTAFQPANCTQSLYQKRTYAN PSGVKEVAVRDALNEALAEELASNEKVFILGEEVAQYNGAYKVTKGLLDRFGDKRVID TPITEAGFAGLAVGAALAGLHPVCEFMTFNFAMQAIDQVINSAAKTHYMSGGIQPCNI TFRGPNGFAAGVAAQHSQDYSAWYGSIPGLKVLAPWSSEDAKGLLKAAIRDPNPVVFL ENELLYGQVFPMSEAAQKDDFVLPIGKAKIERPGKDLTIVTLSRCVGQSLNVASQLKS KYGVEAEVINLRSVKPLDVETIIKSVKKTGHLMAVESGFPMFGVGSEILALTMEYGFD YLQAPAIRVTGAEVPTPYALKLEEMSFPQEDTILSQAAKLLRV UREG_02549 MPPTDSARSALTSFTCTLCNKSYSRHPEYEAHISSYDHQHKKRL RDLKQLSRDPNAAERARKAERKADAEAGLVVVDTAQTAAGGKSSAGGGGFKKGGFKSS FTVVSAGSGGATGAGNAAAAGGGLIRKNVLGDDDEDEEKRAESESAARPQAGAGSKQP SNDVESDTDEEYGKDDVTAGGGYYNPRLPTGCYANCKGASSIPLG UREG_02550 MGEPDDTPSFHLHELEPVPDEDVLSNLENGQYTPKPRTNSFNAR QNARGARLGLSGHTWEYWFSQVQKYSTYPPSIFFGLHLVNTSLIPLATRSVAASETYL LLTRPIYQAPILEPVLLTLPILAHVASGVALRSIRQARRAKLYGAETPQQRKALKVAN LPSIQARLGYLLVPFLGIHVLINRIGPWYVDGGSSSVGLGYVAHGLARSPWTIGTWYV AFVGIGVWHFIGGWAWWMGWREAAALDKEREKRLGATGGYLGNAKQVKALQKRKRRTW IITGLVLAGSGLWLAGGLGVVGRGGFGSGWEAKTWDNIYSQIPLLGPYLVS UREG_02551 MASDAPTIGTLSSPPQAGQIIQHEGKEYETVKEGLAHILIPRSF QPTSDQGGKKKPTEDKDRPAVFYNPIQQFNRDLSVLAIRAYGEHAIAKKLEKHERNSK RREQNGTKRKREEIDGDNNDSNVEAASKKPCVHENRDVAVQEASEGDMKAPRPGDAGQ VQAGRKSFSPPFKILDALSATGLRALRYAKEIPFASKVVANDLSALAIESMRVNIEHN KVGDIVQPNNGDACAYMYGILGPREPRKDGSYFGRFDVVDLDPYGTAAPFMDAAVQAV GDGGMLCVTCTDAGVFAATAYPEKAFALYGGIPIKGVHSHEGGLRLILHALATTAAKH GIAIEPLLSLSIDFYARLFVRVHKSPSEVKFTAGKSMVVYNCDSGCGAWTTQKFAYNQ EQKAKNGNSFYLYRLSQAPTASPNCEHCGFRTHLAGPMWAGPLHNPHFIQRTLALLAG ADKETYPTFGRIEGMLTTALEEDLDIDESSAAPTPEPQSATQNSDNSGKPSALIPRVD PSKLEPHPFFFLPSAISKVLHTLTMPELSLRGALRHLGYKSTRSHARPGSIRTDAPWN VIWEIMREWVRQKAPIKEGALSEGSAGAGIMRRRRESLNIDGDRAGLSSLKRDILSAV ESGKDLSDLTTKIEAALYRSGAKRPGANTEPETGESSAGSKNDKGPSSLELPDPSKLD VVFDKALGKKALDAHRKKRLIRYQINPRANWGPLARAPVTAVKKD UREG_02552 MSSKTYSILTLPGDGIGPEIMTEAVKVLQTFSSANLSFNLRTEL IGGCSIDAHGTPITNAVKQAALESDAVLFASVGGPKWDSSRKGLDGPEGGLLQLRKAL DVYGNLRPCSTDVCASVSREFSSYRPEVVQGVDFVVLRENCGGAYFGKKVEEEDYAMD EWGYSAQEVQRITRLAAHIALQHNPPWPIISMDKANVLASSRLWRRVVEKTLTTEFPQ VKFSHQLADSASLIMATNPRSLNGVLLADNTFGDMLSDQAGSIVGSLGVLPSASLSGV PGEKREDGRKSYALYEPTHGSAPTIAGKNVANPIAMILCVAMMFRYSFNMEAEAKAIE QAVSATLDAGIRTPDLGGKAGTSDVGDAIVARIKKSLGI UREG_02553 MRPEVEQELAHTLLVELLAYQFASPVRWIETQDVILAEKRTERI VEIGPADTLGGMAKRTIASKYEAYDAATSVQRQILCYNKDAKEIYYDVDPVEEEPEAP AADSAPASTAAPAVPAQTAAAPPPPPSAGPAAAVADAPVSAIDVVRSLVAQKLKKPLL DIPPNKAIKDLVGGKSTLQNEILGDLGKEFGSTPDRPEDTPIDELSSALQATFNGQLG KQSTSLVARLVSSKMPGGFNITAVRKYLETRWGLGPGRQDGVLLLALTMEPPSRLGSE ADAKAYLDDVANKYASIQGISLSTASAGGDSGAGAGGMMMDPAAIDALTKDQRALFKQ QLEIIARYLKMDLRAGDKAHLAGQETQTALQAQLDLWHAEHGDIYASGIEPSFDPLKA RVYDSSWNWARQDALSMYFDVIFGRLKVVDREIVSQCIRIMNRSNPLLLDFMQYHIDN CPTDRGETYKLAKELGQQLIENCREVLHTDPVYKDVAIPTAPQTVVDARGNINYQEVP RASVRKLEHYVTEMAQGGPITEYSNRTKVQNDLKSVYKLIRKQHKLSKSSQLQFNSLY REVLRAMSMNEHQIIPQENGHSKKGSRSGSRSPVNGGPTRPGKVETIPFLHLKRKKGH GWEYSKKLTGIYIDGLESAARAGLTFSGKNILMTGAGAGSIGAAVLQGLISGGAKVVV TTSRFSREVTEYYQTMYTRYGARGSQLVVVPFNQGSKQDVEALVNYVYDTKNGLGWDL DFVIPFAAFSENGREIDNIDSKSELAHRLMLTNIYRLLGCVKTQKQERGFSTRPAQVI LPLSPNHGTFGNDGLYSESKLGLETLFNRWYSESWANYLTICGAVIGWTRSTGLMNAN DTIADGIEKLGVRTFSQQEMAFNLLGLMAPAIVDLCQSSPVFADLNGGFQFIPDLNAL MKKLRSEMLETSTIRHAVVKETALENKAVNGEDSEALYKKVVTEPRANIKFEFPTLPD WKDLDSLNQNLKGMVNLDKVVVVTGFAELGPWGNSRTRWEMEAYGKFSLEGCVEMAWI MGLIKNHNGPIKGKPYSGWVDAKTGEPVSDKDVKAKYEKYILEHSGIRLIEPELFKGY DPNKKQLLQEVVLQEDLETFEASKETAEEFKREHNDKVEIFEIKESGEYTVRLLKGAT LLIPKALKFDRLVAGQIPTGWNPKNYGIPDDIIGQVDPVTLYVLVCTAETLLSSGITD PYEFYKYVHVSELGNCIGSGIGGSQALKGMYKDRYLDKPLQKDILQESFINTMSAWVN MLLLSSSGPIKTPVGACATAVESIDIGYETIVEGKARVCFVGGFDDFQEEGSYEFANM KATSNAETEFAHGRTPQEMSRPTTTTRGGFMESQGCGMQLIMSAQLALDMGVPIYGTI ALTSTATDKIGRSVPAPGQGVLTTARENPGKFPSPLLDIKYRRRQLDLRRRQIKQWQE AELLYLQEEVDAMKAQTTEPFNEKEYLQERVEHIQREAIRQEKDAQFALGNNFWKQDP RIAPLRGALATWGLTVDDLDVASFHGTSTVANDKNECDVLCKQMRHLGRTKGNALLGI FQKYLTGHPKGAAGGFMFNGCLQVLNTGLVPGNRNADNIDKIMEKFDYVVYPSRSIQT DGIKAFSVTSFGFGQKGTQAIAIHPKYLFATLDQAQYTAYKAKVEARQKKAYRYFHNG LINNSLFVAKDKAPYDETQESKVLLNPDARVVLNEKTSQLAYPTKAPVQKANQGTKEM VENLAKAAVTDTTRVGVDVENIDAINIDNETFIERNFTEAEQKYCRQAASPQSSFAGR WSAKEAVFKSLGVPGKGAGAPLKDIEITNDASGAPIVTLHGDAAAAAKQAGVRAVTVS ISHSDSQAVAVAQATLN UREG_02554 MYGTSTGPQTGINTPRSSQSLRPLFLSHGSLEFSFLVPTSLHFH ASQLKDAFSASLPEPTDELAQDDEPSSVPELVARYLGFVARQVEEGEDDAQGSYLELL KLALNEFERAFMRGNDVHAVAGALPGITSKKLLVVEAYYAARASADRPTKPHDSALLR AATDGNAKLYSVYGGQGNIEEYFDELREIYFTYPSFVEDLISSCAELLQSLARDPDAI KQYSKGLDILRWLHDRDSQPDTDYLVSAPVSLPLIGLVQLAHYTATCKAMGRQPGDIL DRFSGTTGHSQGIVTAAVIATATTWESFGKAAKTALTMLFWIGLRSQQAYPRTSLAPS TLQDSIENGEGVPTPMLSIRDLPRAAVQEHIDATNQHLPKDRHIAISLVNSARNFVVT GPPISLYGLNLRLRKVKAPTGLDQNRVPYTQRKVRFVNRFLPITAPFHSKYLTSAFDL IKEDLEDIRIPATSLRIPVYDTNTGLDLRASGEKDIVPALVRMITSDPVNWENATVFD KATHIIDFGPGGISGLGVLTNRNKDGSGVRVILAGSMDGTNAEVGYKPELFDRDEEHA VKYAVNWVKEFGPRLVKTSVGQTFVDTKMSRLLGVPPVMVAGMTPSTVPWDFVAATMN AGYQVELAGGGYYNAKTMTEALHKIEKAIPPGRGITVNLIYVNPRAMAWQIPLLGKLR ADGVPIEGLTIGAGVPSIEVANEYIETLGIKHIAFKPGSLEAIQQVINIAKANPTFPV MLQWTGGRGGGHHSFEDFHQPILQMYSRIRRCDNIVLVAGSGFGGAEDTYPYLTGTWS RQFGYPPMPFDGCMFGSRLMTAKEAHTSKAAKEAIAAAPGVDDSQWEKTYDGPAGGVI TVRSEMGEPIHKLATRGVLFWAEMDKKIFSLDKAKRIPELKKQRDYIIKKLNDDFQKV WFGRDSNGNTVDLEDMSYAEVVHRMVDLMYVKHQSRWIDDSLKRLTGDFIRRVEERFT SAEGQASLLQNYSQLDKPYPAVDDILKAYPEAASQLISAQDVQHFLLLCQRRGQKPVP FVPSLDENFEFWFKKDSLWQSEDLEAVVGQDVGRVCILQGPMAVKYSNTVDEPVKDIL DGVHVNHIKGLIQDVYDGKESNVPVVEYFGGRLLLESEDDRDIDGLTVAEDSSKISYR LSSSPSANLPDLERWLHLLAGKAYSWRHAFFTAEVFIQGHRFQSNPMKRLLAPTRGLY IEIANPNLPQSTVISVREPTQSGKSVKTVEVRMTGTNEISLTLYEGRTAEGAVIPLVF QFTYHPETGYAPIREIMDGRNDRIKEFYYRIWFAEKTVPFDTPATSVFDGGVKTVVTK DIADFVHAVGNTGEAFVDRPGKEVFAPMDFAIVVGWKAITKPIFPRVIDGDLLKLVHL SNGFRMVPGAEPLKVGDVLETTAQINAIINQDSGKMVEVCGTIKRDGQPVMHVTSQFL YRGVYTDYETTFQRKEEVPMQVHLTSTKDVAVLQSKEWFRLDDPDVELLGQTLTFRLQ STVRFQNKTVFRSVQTMGQVLLELPTKEVIQVASVEYEAGTSHGNPVIDYLQRHGEAI EQPIHFENPIPLSGKTPLVLKAPASNETYARVSGDYNPIHVSRVFSSYANLPGTITHG MYTSAAVRSLVETWAAENNIGRVRSFHASLVGMVLPNDELVVKLEHVGMIAGRKIIKV ETSNQSTEEKVLLGEAEVEQPVSAYVFTGQGSQEQGMGMDLYNSSPVAAEVWDRADKH FLENYGFSIINIVKNNPRELTIHFGGPRGKKIRQNYMSMTFESVNADGTIKSEKIFKE VNEQSTSYTYRSPSGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEY SALAALADVMPIESLVSVVFYRGLTMQVAVERDDQGRSNYSMCAVNPSRISPTFNEQA LQYVVENISEECGWLLEIVNYNVANMQYVCAGDLRALDCLTNVLNVLKAQKIDIQALM KTMSLEDVKAHLVEIIKECRKVTEAKPKPIALERGFATIPLRGIDVPFHSTFLRSGVK PFRSFLLKKINKSTIDPSKLIGKYIPNVTARPFQITKEYFEDVYRLTNSPRIGHVLAN WDKYEDPLDSKN UREG_02555 MTVNGFSGPLLSPQYVDFPLVTTKRALREHLKHHVAKFISKKNI DPRDESQFTRPVRLQRRDPRASTEQTAVDRQENGQKEPRDGGFSEADREALEAKKAAR AKEREENLAQIAPSASGVVKRTNAPKQRIQQVFKAEMTPGEIAKARVKYEEALPWHLE DFDNKNSWTGKYEAAMSETYAMFVLESTGKMRMVPIDKWYKFNAKNPFKALTIEEAEK YMSKKIKDPRWFMEKEQAQREEKELAKFAQQRKVYTGRVVSTGIGEGGEGDDMDFEED RFADDEEHVVVEEDEEAKLAEKRIKRDQLKANVFDLRDEKDYDAEENQERREKEALKE FGKQVRKALQHPLKKGPAQQIRKRPGSPNGSDASGTDTPRKKVKNKHMPQSLSAYASK PGSRPMSPAPSATSTTAVPASQRKDATKPAGKKRVRTGAAGAGSGSDVDRGVASGAEM SDNGIAKKLKINPPGVSSKKGTPAGSRAGSPGPVSGKPRLAGNRAGSPPGGAPGAVSA SFPTPAEVHAAIPSTGISTSDLLKIFRVRLGDIAVNGPKFIAIVKQVSAYNNNDKLVR PAPNLESSGS UREG_02556 MPHSVADQTDKPMKRLNCASKHREMNNWEGKDTIAYLSESSKEN FLSLPDEAHARLQLASAQLNSQNSAAQRLLVGKLQPLKISAVEQYELARYRIDWPSPT AEKHVAVLPPRRKPSNTVLARIRYNKTKEDYMEYLWAQVPRWEISSGHLKRREATRVR LVKYAAKAVRERMKELGPANLYVHSAITVLWEFPCRFRGPPRLRWTSLLSPRRSPSTL DTELPHILEQAYISAGYNVYLVGGESTILTVWEKHSTESSEAIPLYNIHFSSVALKMR TTHLLRCYRACDDRVYELGVFIKHWAHARQIDDPRNGTLPSFCYILMLLHYLMNVVKP PVIPNLQLSNIGLRNLEWVEGHETFFWDNFEEIAKASRKGKLTCNHQPGAELLRGFFT YYSPKEYNGNYRFSRFPKFNWKYHVVSVRSPGLTLKEQRNWDTFNFRPDGTRSWHFLA IEDPFNPNNNITKDINAETVYLIREEFERVNMIMNQARYISGFGWEWVNSNGLAGEDV FDDRLAPILALQN UREG_02557 MEDPLSWILRECASTPIAYPRILPCVAELLRTGYHPPELILRVE RVIEVPIILSRSRVDDDTCAPAAQYVLEASGDTEREQPVTHKSYRVFLSDGELLIQAL LKRELHYFVSVGEVTPGAVLAIQSFEIRRAQRIAGPDNDESDKGKESGLVAFLDIIRF CVIDTGDQLDKRRYEAVERGVPIVKTVTVVDRKRPLDEQDGELLEIKKTKRSKLDSKP DLEIEQVAPPPLNVETAPPARDSFEDVLSDAEMLDSNSLLEVEREHSLPGAGTRSLTP KSNESTPQSPPIPRRSTLPEQAWPTAANPPPKGPRNHSRALSMTRNPNLLPIHTLFHP PRPLPRRNYIVDIFGVVSWISPTTIVRRNMPPKRDLRVVDASFTSHPYRNQHPNNDKL ELGISVSVFVDAERFHPPIGTVALFQALKTHEWEGVSLNAYEKECGGGKEWFVCDQQK LEGSGYDFVGMRSWWEQWNKAREKQAEEKKQSEDRGTKKTP UREG_02558 MRALKEPVFVSFCLSLFFMLTAYWVPFFIIPTFAQFKLGTSSEL AFYLLVITNSASVFGRILAVLTIQKFGVPEMLLAFTVISTILLFGWLGITSVAPFIVW VVLLGIFITPLAVLVPAVIPLVCPDKNVVGTWMGMAWAAAALGVLVGNPVAGALNNLA TGTFWKTQVLIAVSMMVAAVFMLYVQQQIAKQKLR UREG_02559 MAKSESLKRIITLTRAVPTGVSGSPKAMDQLMDCFVKGDEGGLN KSNETNYDYLAYFFADISKYEEGRSYFITEQEYDSVIPITKLTVFTEHRSHIRRRGVA STIKNVAFEVPAHPSLVSGSHINLLPYILLPLAGPEEFDDEESAAMLPDLQLLPPDKE RDSDPEIIVTHLETLLLLTTTKEGRKLMRDIQVYPLIRECHLHVEDENVRDGCDRLVQ VLMRDEEGEEDKSAQEMESARAKALGQAPQEDEEEKIVEIF UREG_02560 MASPDAKDRLQPAGLLEIPVDDNWLGEPDFEADLGPNDEQQNEQ LDIAHHMFSLLLGGKLLLAPVNKDTQTLGLVLVRDFADEYPSAEVTGTDLSPIQPSFV PPNVSFEIEDATEEWTYPPNHFDLIHTRALYGAIADWPEFYKRVLSHLKPGGWFDQLE MSIQFQSDDGSVKDDHILSIWSRTFTEIGEKLGKTFRIAELAKGYMEEAGFEEVTEVK FKLPVGGWNSDKHLKDLGRWNLIHCEQGIEGWAMALLTRVLKWSFDEVMTFLAQMRVG LRDPKAHAYFNVWVLPISISSVGTILIG UREG_02561 MAAKTGASAPNLQEIHDCFLELAKQAGDMITGAKPLINAAGSKK NSSDLVTETDRAVELMVSTALRTKYPDYEFMGEESYEPGKRLTSAPTFIVDPIDGTVN FVHSFPNACISLGFAIDRKPVVGVVFNPFTNTLYSAIRGQGAFLNRTTKLPLRGDNIE PLKGLSNALVGVEWGSDRHGPNWETKIGTFERLGKAREEGGAMVHSMRSMGSAALNLC AVAAGYMDIYWEGGCWAWDVCAGWVILAEAGGIMVDGNPGGWEAEVDGRRYLAVRASK NSEGQKEIVQEFWKQIKGKFDYGQ UREG_02562 MSVSDPQHMPPRCCTNDHIPLKHVDKLFDTKFKNTWNRKYQEYT TKNRLYCPSRGCGEWIKPNQIYLDTGNGPTGGRKYGKCSKCKTKVCVLCNGKMHRARD CPKDEDTKKFNDIAKDAGWKRCYNCSAMVELKEGCNHMTCRCTAEFCIICGAKWKTCE CPWFNYTTVHDDDILENMNIPQARREAVPDNEDHRGPIRYQQEMDRRREQEQEDEVLA RRLQQVFGFQEEDDDDDSTYDYRPNNGQRALPDRDENSFPPIYDNFYLPSRPSGAHTP PPPDYQTPRSHLLLEDPAVSSRHEISYIPRIRTQTPDSTRRRRRRKQALSHDVPSSPS RVEHWRMGLNLLSR UREG_02563 MSPTSGAFIDGKPVSFRLSNETIEYEFLEAKQTSSLPLNSIITT LQSIGKSDDFTRILFLDYDSQKEEAKLRTFEGSGLPVSLRNRNPFILPAHLKVPPNGT SNVHVVISTHSGTRAAPSFFKGALQPLLSEIGVADYHIHTTESEHTIIELSKSIFLPR AQAGIRQTIILLSGDGGLVDIIKVFSAQDEHGRSDENQFEAPSVSLIPMGTGNATANS TGLVRDATWGLSTLLRGEPRRLPLFRAALSPGAVYVTDEGRRREPILHGAGSIAEVYG AVVLSWGMHASLVADSDTNEYRKFGAQRFQMAAKELLHPSDGSPTHQYCGRVRLIKID PVSGVEKEELVKRSKHMYVLTTLMSQLEKGFTISPASNPLDGQLRIVHFGPLDPDDAM NLMTKAYQGGQHVEEDAVGYEAVEGVRIRFDEEEERWRRVCVDGKIVAVERGGWLEIR GERSRRPGGISDTGRSMLVTPRTYQLSQTPNKGLSLSLENYPTFRTLRGWSIPPEEIR RAAEMLLIHQVGSVHIGEVVRYTLTYTPSADRILPHPTELYVKIRNTSAIALRAAYLH GPYTIHTACYPSTFDPNSKTNDHKTEGIPQFEPHVQPGAAWDAVILVPERVRDGASGS STQEGVSWIIEISSQIVFSNTASVNYEVLVGRDAKSTELGAASIADLPAPGSLRDFKR SMKERRKGHVEPVKGVYSESVKLVVHDTAALWTSPRFPSWEDKDGLKELDAEHTENAN LNSAHEGPRRQSDAPRKDRKRKKVHFVVLTHGLHSNLGADMLYMKESIDAASRQARHD RKKAREERKKNMASSANAPLEESDSDDEEEVIVRGFPGNSGRTERGIQYLGKRLAKYV LLMTYPDQPYLPIKNTRKMSLGHTLGLQKGLDSPKIHASHSGSTIYRREPEHKDYAYQ ITSISFIGHSLGGLVQTYAIAYIQKHSPEFFDFIKPVNFVALASPFLGLSNENPIYIK LAFDFGLVGRTGQDLGLSWSAPSKMRSGWEAMIGGLGTDANKSERNPDPGAKPLLRIL PSGPAHQVLKKFKNRTLYCNVVNDGIVPLRTSCLLFLDWRGLERVEKARRENGLVGTV AEWSWAALTGASSSQLRAGRAAPVPAIQRGREEASKSPTCQIESDSDNNRLDTTPELP APSQFLTQHGESETPSQTKPNRSTPNSPNPFTALMTFFKFQKNSKESKAAKIYKRSQT IRALPPEETSEGSSSTATQPRQHGRARGDSLYDEGGLYTPPKTTLFEAAGDVISPPLP SLEYLLDPSSRPRTIFHDRVYHPNDIPPPPPVKPRSIFRVPSYNGNVNGSPNGDRPSQ SGSSVPSQQTMQGSFPGVSSGMKVEEKIARAYHREVTWRKVLVRLEPDAHNNIIVRRM FANAYGWPVVKHLVDTHFAYTRTATTADEKAQDEERALPLDKPSQVPSPTSFSERHLR TESNNLTTVNSEEHDTVPEMLAPSRLNSPRLCASPVPLDGSEEVSQRPPLGSRASSLH SKLSRDSSAQWTDRYLEDGDDDDYSDEADGYDGLRENPRRSLSATRSDVGAPRNIPSS VVTAQSGEDVTQSG UREG_02564 MDRKSVTSNSSSSGALDAPGVREEPVAKSGMPQPPAATSSLLAS PSSPPLLHDSSAVSPSPDRSSILSDLLGNRSQSSAGLIEPSSTAGKHILSSPVPEDSA FQKPQRIVTDRESHRVSFSSLSSIYSPAADKYPSTAVSSIAGSVKGAMEDLAKLVSTS PGSVLDANARMEPSSAAVSSSSTSAVPNSSPEFNPQGQNAPLQRPDSGEALATNWVSR PGRSSSRTPRRFSGSTGASSISDIEHKPATIGRIGVCALDIKARSKPSQNILTRLQSN GEFEVIVFGDKVILDEGKRGKLAGVVGLPTPKPLPPPRGTTIANYNSDFLIAFFSDGF PLDKAIAYAKLRNPFCINDLPMQEILWDRRLCLRILDQMGVPTPKRVEVNRDGGPKLA SPELAQHVYNLTGVKLEGPGDGTGGGISRTQSVTMSDDGEALIVDGKVFRKPFVEKPV SGEDHNIHIYFPDDQQYGGGGRRLFRKVGNKSSEYDPNLKIPRSVTETNGSYLYERFL RVDNAEDVKAYTVGPDFCHAETRKSPVVDGVVRRNTHGKELRYITKLSKEEATMATKI SNGFGQRICGFDLLRVGESSYVIDVNGWSFVKDNNDYYDKCAKILRDMFIKEKQRRDG VPEVSDLRSSESTSPRKSSSGSHRSALKSLLKSPSMLGLHNHGNKGHGPSSIDGTFER KTPSSKSEASRGTNEVKNGSPSKNTPSPTNGITLKEPGVSSTPTVPPPPSKNSWKLKG MVAVIRHADRTPKQKFKFTFHTQPFIDLLKGHQEEVVIKGETALRSVSDAVELAMKEG VEDAEKLKLLRASLHHKGAWPGTKVQIKPMFRRRTAEENTSRISQIKAQPVNDGHPSA AETSVDGEGEGARRPATRSDSVSGPTFSRFSAVENDLIVDKLQLVIKWGGEPTHAARY QSQDVGLNMRDDLKLMNKECLNDVRMFTSSERRVSTSAQIFASAFLDLKELPEDFIQV RKDLLDDSNAAKDVMDKVKKKLKLLLREGNSAPPQFAWPKENFPEPSVVLSTVVELMK FHRSVMRHNFQRVQQAQNLSENGSEEQSDSFSLSDIQGRWCAGEDSQLFKERWEKLFK EFCDTEKVDPSKLSELYDSMKFDALHNRQFLEWVFLPPDDFVYDEEGHAGLMQPLGSI EDSYDSYFKLYPGSTPTKPKIDKRLSRLKQLYNLAKILFDFVTPQEYGIEDEEKLEIG LLTSLPLLREIVMDLEEVQASPEAKSFFYFTKESHIYTLLNCILEGGIQTKIARRAIP ELDYLSQICFELYESRDSESDKFSYSIRISISPGCHTFDPLDVQLDSRHAIGCAPRRS LTAHQDWKKVIETLKAKFDT UREG_02565 MPSIDEGVFGLPLAKRQKVASQPHIAEAAKPAQPAGSRIFSPFR TLGLVSPTSVPFTSVALGKTTFQITTSVGKNLQTYDLRRGLNLVFLTRPQTPATITAT CAWKDRVFAAWGGSLPNEPRGVWVFKRGKKIAELDAPARSNEPIEELMVFGSWIVGCG SRTIQIWKTTSYEHYTTLTPPAQRTSLASRVLTGNVCSMPTYLNKIFVGRCDGGVDIW NVSTGKLLYTILPTFPSAGMVTAIEPTPALSLMAIAYSSGAVVIHNIRTDQTVLQLRS PTSSTLPVHSISFRTDDLGAGNDGRKAGVMATAGNDSGDITMWDLNNGGRVTGILRDA HETSGKGRAPGINKIKFLSGQPVIVSTGTDNALRTWIIDETPFSPIPRPLHARAGHSA PVTTIAFLPPGSEGSETSGKWLLSASEDRSLYGLSLRKDAQNAELSQGNVKSKSKKVA TVQGSGEFVARSTSLKTPEITCIACSLNRDGGMGSAAGGPIWTNPKSVSADSTNMTGW ESVVTGHKGDKFARTWLWGKRKAGRWALETGDKTEVKSVAVSSCGTFALVGSDGGSLD MYNLQSGIHRQSFPAKLSPAQARRARLQSQKEEETVFQPGQGKHSKAVTGVMVDSLNT TIISCGLDGKVKFWDLLTGRLKHELNWFPMCAITGLRYSDSSDLIAFSCDDLSIRVID METKKLVRELWGCVGQVNDFCISHDGRWIIAASMDSVIRIWDLPTGHLIDAFRLQDTC TSLAFSTTGDFLATAHADSVGINLWNNQSLFKHIATSHIDEDAVAEIAAPTASGEGGV ATIEAAFMEDDTENGQMGPIIATDQLDSDMMTLSITPKNRWQTLLHLDLIKQRNKPKE APKVPKKAPFFLPSTLPKLNSEDQIAGVDPTEGVEEENIKSTRAERSRIAKLQKLHGD TLHLSQFTSLLHSGFNSGDYTSFIEYLKSLAPAKADLEIRSLDPINREHGNELAMFVA ALTGRLKTKRDFEMVNTWMAVFLRVHADAVEATRSHDVDEDKMDVDVSGGNVSLQYAM SEWKTEQEREGRRLAELVGYCRGIVGFLRSTR UREG_02566 MRWGLFFLLIIFFALLAYIGWIIFTQVRARRAGLPPPTWKSYIP FTSASPTYRDSNFPSPRRGGVVGWIKDRLASLRNQRTYRGAYEEPTSGGPGPYRGAGA DHDEAWDTRVGALEEGPYGQGPGPYGHYDEQELGTGPRREPYDSAGYDAGLPKVDTEP ERGRSRSREPEGPPRASLWRTRLKTIMLVA UREG_02567 MSRRKLEAFTALSTQGFKPQSLRRSSLDIETHILFNKTATQTRL GSLPDNPFMVQTVIEDLKTRWTVNAIREIVPHVGDILPCSGPYVWENITEVVPGEADN YCADVAKRSGAAVLTGDSDLLVHDLGPDGSVIFFNSIESNGGSTNEPLRIRATEILPK QVAKKLGVRSIQRFAYELKRDPHLNRGKIVQAAKENVGGVENNAPYIAFLREYAPVEE STTLSKDIQEFDPKVLELYLQFTHLGYGAEDQSPVIYLPMLVENHSRRCAWRDGDELR ALAFSVLNLSVSADQRKETVLEYSRRGARLSPIAINLFQEDELRKILSGLCGRLQSVF DNCGGPALLSWKVFALQEIFSERDVDSWPTRGHMRNFLGTGRCNATMSWDDIHLNAQI QSIFYSLRILRQFLEVSVSHHGFDLKGDSALILQLLRELPPLRILSHSISDVLTSGTI PTNSLQKAVGVLFSVKGQRQGPGKQFGMSDNDNGRIGDMQACSTPSAKTANIAKPPKR LKRTNNPYEMLGNID UREG_02568 MAGEEIPSRPSRSLAGKVAIVTGAGCQGNGIGNGRAAAVLLAED GASVVCADLNLQWAEKTVEMILKDSHGTALACEADITKAEDCRRIVDLAVSKFGRVDI LVNNVGVGGARGTAVDVDMEAWAKGLEINVSSMVLMAKYAIPIMKQNEGPMRGSIVNV GSVAGLKGGTPHLLYPTSKGAVVNMTRAMAAHHAPDGIRVNCVCPGMLYTPMMYGPGM SEEAREARKNRSLLRTEGNGWDCGSAIRFLAGGEARWITGTILTVDAGATAAVGTDLP KTASVNAGK UREG_02569 MFSQSTLSGALMNAALAISFLSPHTSAKNTISHDPPDPSKDQIP AFPPLLDDINDVSVKYSRPTQLYGWKGCGDDEKKAIAEAYRDFQLLASQPDVFESIDW NHQAAKDFWGPVKGDGRVTDEKRKEIQEIFRSTERIYRNWWSMKPLSLPEPRALWIEV RCSGKDGNNDPEDICGDKNPKSECAKRPDGSKGGNPLHGEDRRLFAWSDLRGAYSQIM FCNKFFHNLPSMNKAIADGKKKSKEVQSNLETWDNRARVFFHEAAHISAFMNPQSEAP TVEDVQIEFKEDGKKVMNYAHGPYYAKLLRNWVHKDKGGLYTQRNADSYAFFALAKWI EKHIQRYPDLPTPGSKKPESEPLISGKRNGDHKKARHIRIDRREGEDGLLGKKELEYK DQKIKGFSTPGCPDVYRKITKNGDDKDPKLTCDNKSPSGIPRVYFTNPTPKSTTSKFC AVADKDKAKGITWKIATGIERKKEKRASLLSLWLFQSDLKPKSWELELKWEPKGKLEN CNVNCKEAMETMFLEDCDGESTYSRSLDFASSSDMFDQAGKPGLAVSAHVDTGCGTYR YKFNNY UREG_02570 MGVQRRTRKFGLVKRAISLRDSRLKQNQAKEEAKSTKPAKAEVV REIPQASSALFFQYNTALAPPYSVLVDTNFLSHTVQHKLEVIPTMMDCLYAKCIPVIT DCVLAELEKLGQKYRLALRIAKDPRFERVKCDHKGTYADDCIVDRIIKHRIYIVATND RDLKRRIRKIPGVPIMSVARAKYVIERLPDAPEK UREG_02571 MATHSWLGRWRCWLFVAIPIFAIILLHQLLIHHNKLRVSLTRKE PDFAQNGRQALCGVDDVLIILKTGVTEALEKVPIHLQTTLQHIPNYAIFSDFEETISG VETHDVLRTIGEDIKRTNPDFELYRRIKELGREGLKASDLMLDDVSGPSGKPNNPGWK LDKWKFIPMIDEALDVRPDAKWFVFIEADTYVVWSNLLAWLAMLDATKSYYLGTQMRL GSLVFGYGGSGFVLSNSAMKKFSNYRASRTAELDDYTASQWAGDAVLGKTMADAGIPL TYSWPMLQTARIWNLDHFGDLWCYPVVSYHHMTPGDVEIMWRFDQQWSRNVS UREG_02572 MSAGNLRPSSLRSFWNRTFSYSARRALADLHQGPPEAQFSANHE PAPRREGLSDKLAAGYPEKAPKIGYDPERITQERSILSERFELPDIPATYTDLGVEID AIGQLKITDPVASRREHKTALVFSAAPISLVERDFRKLLDPGKLIEGWRTDGGLEKII PSRDLQTLRRKHGWVLVFKSPAAAQEYQARVHNLRNLLRQNLPLSSDSKLQLPPAYTT HGSRGFTLQDYTLSSPALFPSVIAHLAPFKKHIKDLIKLHHNINTRNPGEEQSYGVQI SLDTRYLSRLETEHIAQFLRYDSENRKLQWKLANVANPILPLTGESHTVLDRVESAVQ SAKGLSSWRVLFENPSEARRFVRAWHRRPWPRFESLPRSEPPSLMHVECLFQGEGF UREG_02573 MAGTRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELEGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFSNVEQHASEGVHKMLIGNKCDWEEKRAVSTEQGQQLANELGIPFLEVSAKNNINV DKAFYTLASEIKKVMDTSKAEQSASHNVSIDQGSGSGAGLANKCC UREG_02574 MSLIEDHVRRLDLYLDKLQLRALEAVQPSSTDQDGSSTAADHLL APPVAALAGTVRALSAGSSSGPLLKPSKLLDLLRRVRDLKPDLGPSDAALDDGYTSGL LWMVAAKSTVQAFAAITNALLTETAPLSEVIWYWDGVLGSYFNSGLYAIQTSPIRFGN HVKGIYQHIWRCQPQWRQELDSATLSARWSAFYRLVRESARRQSMAEAKRVFLSPFQL CRTEARRNRRSLLKMRELNACAVGLLLEEGLLFDFDEEDTNTNVPNSTPEDWQDTVFR TTLLMQTILKHVNDLSNDFNGFEDNVFASVDVAESPFAENHHPKYPVVQAFHVIQQLI DILEKQLPQQRLLSRNLARQYGRPSRLIRYWLPALAFLFSSSTVLNILTNRRAELITW ARELGTTAKDFWVNWVIGPLTRLIGTIRHDEKSEVALMSKGSLQSDLASLERMVVDYA IRNPESAHGGAFTSAELEQIRSGVKEGDLTLVLRAYERDLQRPLRGALRGDLITTLLI QIQKTKVDVEVAMSGIDSLLKSQQLVFAFIGLTPGILVSYSVISWLASAFGSRRGLRV GKKREEARRSLRAVNRVLASSTPTPTGVLSYKDHGLLICEAETLRQKAHQVLPGHIYH EFHEDLGDLLNVNSGISQQLRVLDQIRWAYAQWIR UREG_02575 MSFVMHELVYFGRSLPWIIIDRTKYFNRWKIQHNKIPTLQEQWN CARLVLLSHFTVELPQIWLFHPMAQYFGLATGVPFPSIWKMMYQIAIFFVLEDTWHYF SHRAFHWGPLYKSVHKIHHQYSAPFGLAAEYASPIEVMALGFGSVGCPILWCALTGDL HILTMYIWIVLRLFQAIDAHSGYEFPWSLHHFLPFWAGADHHDLHHEKFVGNYSSSFR WWDYLLDTEYTPEAVRRRREQKSKAAKKTE UREG_02576 MPVVQAAVIDLQSSCSQRQRSPMKGFTVVGHSSKHPLPSSSSPS GNAIPSVVMQKNLIDPRIDASCAKDQDHGHQPRPVPRRAASSIWLTDPKPGRSQRDIA SLKQPTASHDSKQIACLRMASGRLTLRSHFWQKPLSLRSVVARSSRSLPATMSSPAPN TNQSRRISASTSPPASSPASKVAVQYSEGCDPSIAQPDLDVLLGKETGRWELCQDARG IRREYRFKSFKKAWIYNKVSITWTTHRPRGLSLKDLKMAKFCDEQAAVHEEIVVPPPL PEQSQ UREG_02577 MAERHKFTIRPVSRQPRNDSKDAFRVWMSAASLLQCHLIIGEPC HLQLDDGPRQTAIAWSAPEKIHDNVIQTSKLLQTMYGFKLGDKVTVTESKEPLREIGV ARLEECTDATTIATYGELAEQDRPYWEWGLEHPLSNCEILALGMTFDYELKRCRRSFK IVEIDPGREKSENTIARFTLGSKVKIGPVDPVSAPKSGLQVDTTGLGGLGPQIDQINE RLRDFTAEAQKIEMPSFFKNSGGILIYGSKGTGKTSLLNKIEGAGWRSVFPIKSSIIG RSTVDGEAKLRKIFVDALKCQPSLISVDQLDFLAPRRGSNDGSSMASVLCECLDTLQD SHVLVAACTRHPNDVDDTLRTPHRLGIEIELPVPTGKDRAEILRALRGSADQPGDGFL ERIAAQTHGYVGADLFSLLQLSCRKAQSRQLSQFAGERLDDKQDPPADAEAAEDKPSI TPLEIREEDVTLALQETRPTAMREVFLETPAVRWSDIGGQRNIKRYLQKAVERPLKYP ERMKRFNVSGKKGVLLYGPPGCSKTLTVKALATEAGLNFLAVKGAEVLSMYVGESERA LREIFRKARAARPSIIFFDEIDAIAGRRGPGQQSGVNVLTTLLNEMDGIEELKNVLVV AATNKPDTLDPALMRPGRLDNILYIGLPDMEARKEILDIWFAKSDVHDEVDPIALAAQ TEGYSGAELVSVCETAADAALDEEEEMGEEVQIKWEHFDKALQEVPKQISLPILREYE RWSKEFGNRHD UREG_02578 MAAHNGTKPSRVGQPSLNRSGEPASSLIAVHLAPHLASGGNGGH TIERETFSQLRREILGQDENGHVNLDDSLSDIHTLICVVIRAGLEPLLKRRKSTLPED DILGQMLDCLDIVQLAIQRAPQVLQEPCDPGVLGEDTVPAPLFAWIIPLHISLLCAWD EKAVRDKICRVLSTIYMSQFRSDRSWHSSRSIVWFLRASVIVSKRLLGSPLVVVKACL QILEVFSHPLPLPAFQSRASFIRECLLWDLQCYQRLWKLEFRWFEQTGTPQEEESIVT LLTNLILLSKKCFSCSQRLSLGLNQRMIYALIQSGVDMLSSPKLQRSPDLQHALSQLL SEHIIITMLEGNYYAPLPHRRTSSQALLRTIKELSQPENRTGTGTPDSERARKRLRLS EMEGSNSIPNTSQMVLNTICNVIGSVPASELELMDPSITDAFPELSTAEKGNTLLLLG RLACSWSGGLILEERTAISDTVYGCRVCDTDEWKTKDTFCEQSKFDRLRAMVVSLIPA LHGSAECRIAGMLALRRLLIHDPKSEDVQLGTGPYGEFCLQSLRSSIRELRIAAGQTL PVFLRGPLETDARRNNFVIALEYLQRLHEKNELSVQETCIMVLGRIAEMSGDEEMNIV LLRLLEYLGHTNPFISGVAYSELMIEVVKIGPTSRIDACCSFPAILENVARPHMVDLL CDLIGMKVDSFLRLTEVHVLPYLVLMRKKDIIARIVRTYDDKSVFSLCTTRANIASIL SLLLTQQSTDLETTIPTILSEISPDFKDLRVADLIRMEPILIACELLKGIGDSGGKES QHHRALELVASVTPRQTRHGNSFKSDSPLVAFIKEHVLGIITEFANVINDFQIRQSVV EKKRNIIAIGQMITLAGGKIAIALPQTRERAVQLVEHILTKYENLVADTFSTMPSLTS IPEMDGFSKKILELKSGMDVRSQFETFCLRCQSENQVVVEQALQELVPHLQKHQEFVH RAAINEQPNQNIAGQLTRALLDCCAKFNPASQSIMSLAAEGLGIIGCLDPSRIDLVKE KKDILVLSNFERMDETTDFVLFFLQHILVEAFLSASNTRSQGFLAYAMQALLDCCNMD SVVPPRTQDLESGDAYRRWLSLPESARNTLTPFLSSKYTVTIGAISTSCTYPLFSPDM SYPEWLRTIVLDLLQKGNDTNAKMIFTISSRVIRSQDISIASFLLPFAALNAAISDED NVRSEIRGELSNILEYPLPEDNHHAQENILMCSESVFKVLDYLSRWLQGRKRELTSFS SSRGRRESLNRWSTEVKRVEALLSSIPAEVISRRAVECKSYARALFHWEQYIRQQKSK ADMDASQLESLYQKLQDIYTQIDEPDGIEGISSHLHVLDIDQQILEHRKAGRWVAAQS WYELQLNKTPDDTEVQLNLLTCLKESGQHDVLLNQFGALKITEATLPRMLPFAVEASW VTSKWDRLAQYIPDRSKTGAMDFNIGVGSALIAIRNNDEQLKNKIKELRLTVAKGLTS NSVSSFQSCHDSIAKLHVLAEIDILSSIKTETSRAHETLYDTLDRRLAILGGCISDKQ YTLGVRRAIMELSPSFNELDVASVWLVVSRLARKANFTEQAFNAVLHAAQLNDKSATI EHARLLWKEGHHRKAIRTLESAIAANTFVSFDKNPGESETASTDNQHKQNMLTARAHL LLARWMDSAGQTQSDVIIQKYRQAIKFHTRWEKAHYYLGKHYAKILDSEKAKPIGKEA QIYLSGEASKLVIDNYLRSLAHGNKYVFQTLPKALTLWLEHAAVVDQPFDPKRGDNQE FQKHNKAQRKKSLDDMNAQFRKYINRIPAALLFTILPQVVARICHANNAVYNLLAQIV VKTIHAFPQQGLWTLLAVLKSSTKDRASRGLTCLQKITEVSKKQKSDMSAADIRAIMN QGQKFSDELLKLCSAPVEDRVVKVSLVRDLGFNHRVAPCRLVIPLESTLTPILPANHE TSFLKTFRAFPNDPITIETVLDEGLVLLSAQRPRKISIRGSDGKVYGLLCKPKDDLRK DQRLMEYNSMINRFLKRDLESNKRRLYIKTYAVTPLNEQCGLIEWVDGLRPLREIVTK LLKARGILVNYNEIRHYLAELNSSDSKLSLFSKLLRRYPPVLHEWFVEMFPEPSAWFA ARLGYTRSCAVMSMVGASLGLGDRHGENILFEESTGGILHVDFNCLFDKGSTLEIPEL VPFRLTHNMIEAFGAYGYNGPFRKTCELTQGLLRQNEDSLMTILETFLHDPTTDFIDK KKRTNPRVPDTPEAVLEFVRNRLRGLLPGESVPLSVGGQVDELIIQATSLKNLAAMYI GWCAYF UREG_02579 MAADHGRPLVPPKQLRTDYPILIRPFSPSRPNGYHIKRVFGYAR TSDWVLGGAAAAVGPLTFAVMERVQPAYAGKANFARCMRLAGGIGLVAGAITIFQRSC NRFYGLTENQREEEMDMREMVDKVKKGEPLYGESTLSPYMQGVAARNSRYSSLFIHVI PWFNVVNHNQHGVDTAKYYRQAERELEAERLQKESL UREG_02580 MADDTFDDLFNYDPGIEELLGGPDDETNSAAPPGGKADDLGIDE EIKITKKRAPAVKLDENRLLSQAGIPKLRKSADTKLRLKGKHHEFSDVARLLNFYQFW LDDLYPRAKFADGLAIIEKLGHSKRLQVMRKEWIDEGKPRRARSHNAARTSNNQVNGT KKNDAALDPSVSSAVHEAVLTQKSPEAENGVGDDGASRGPEASSIFGSRRISNSIHNS DDNAFARHGSANGKT UREG_02581 MAPRKAAAATSTRSRAATTTTASKSAGAKKAIDTETKSTRAATT TATAATTRTRRTTTATTTQSTTVKATRKTQEAPVSKKRKAVSDDEEEPKPKKTCVTKE KLPAPKKARVVASPAPKAPKPKVVINKAPTDRLNVYVFGEGSSGELGLGVAKNAVDVK RPRLNALLAADTVGVVQIATGGMHCVALTHDNKILTWGVNDQGALGRDTTWEGKLKDI DAGSDDDDSDADSDSGLNPKESIPTEIPTDSFPEGTVFVEVAAGDSSSFALTDDGRVY GWGTFRGNEGILGFDATNRVQATPALIPSLKKIQHIACGDNHALALDVKGAVFAWGSG QQNQLGRRIVERTKLNGLHPREFGLPKNITHIGCGAFHSFAIHQSGKVYSWGLNSYGE TGIPQGAGSDEAVVLRPEVVKSLSDKDVIQLCGGSHHSLAVTREGECLAWGRVDGFQT GLNVETLPEESVIKDDRGRARILSTPTAIPDIKAKFVAAGADHSIAIAQDGRAWSWGF SANYQTGQGTDDDIEVATVIDNTAVRGKTLNWAGAGGQYSIITGLPTAEVNGVAVNGD AANGVTANGSA UREG_02582 MTGRPADLPKFSDLPLNKDDPPHSAWGLYGKDDQLGFLNRQTNE VVKEAAGEIQTGVRVSMNWPLDAQSDLPFFGRQIFEKKIVQRAPRIVYDDVWTFNTQS SSQWDGLRHVAYQKEKKFYNGVTQEDIVGPNKNNANGVQAWAEKGIVGRGVLLDYHKW RVENNIPIDVFKYSPIPLKHLKAVAESQGTEIKFGDILFIRVGYMVEFNKLSMAQLTE LAKQTSPQLIGVEQTEDMLEWIWENFSAVAGDHPAFEAYPPPEHLKLHEVLLAGWGCP IGELFDLEKLAEECEKQKRWSFFVTSEVCNVPGGVAR UREG_02583 MEAVVSTEQDLKTDLPTTDGDVEMENNSPVDGDTPGDEDSRLQN DIQAQLSAEVQDGTAGQQTANSTPEEAAQTGLVVREATDADSARPYQAPPNIARIRDE MFELRQPIELSLEEYSLYWPFIDNVWVKQRTSTTRDKSATSDYYSCRQRKQPGRRNED KAQSQPYPEGKKRHRASREGPTCSFQIKVVRTEGAFASVTISRQTNCAPKHSHDLDHM DKVKRNSGLLSFVKQEAEKAYIPASILAKFREDPDVLAAIGGKFLSGVDVRNICAKWR SANPNAEMKTHQGYLFQNGIGVYKIGSRPSRQGIPQITSQPKLTLTSHAPDALPFPQF PLDFLEPYLPNRGENRKFPHVTLTYAQSMDGKISLAPGVQTALSGPESKLMTHYLRSR HDAILVGVGTALADNPGLNCRLEGAGGYGGLGKMWQPRPVIVDPTGRWTFNPECRMLQ SALAGKGKGPWIVVSPGANIPADRILLLKKHGGDFLRIAEFNPAWRLRWSTIFGALAA EGISSVMVEGGGVVLSELLNPEYEDFIDSVIVTVAPTYLGRGGVAVAPDSKRDDYGKP KIALKPKEVKWHPLGQDMIMCSKVRTPPPTPILPGIEDAALGLTGE UREG_02584 MSQGVEDWMWLQFTLARESSRAEEVAGDVFGLQEIQNDISEIGQ RIFVKGQEAAAGGYGTFFLLQILGGMFEHAVSYLGNYAPINAVHFGIALDYYGLLRVS DFYTSGEELLSFTTKQLPQINFAFLITQYTREFRAGNVEAAVDYFTLICLNADLPGEL GKSQASVCHEALREFILETRDFAKLLGDVKSDGTRIKGAIEQRLKLIKLDNQEEFLKT ITTQAAAVADDKGLTADAVLLYHLAEDYDNVIVILNRALSDAVAVNLGSLVHQAKPSA GQQSDTTDAGSSFSLTSVEDPVVLARNMIGLYNTNAMYYQQIHPTNREACGILLRMMD AKRKVEAGKWAQALDELNDLQILPLNARGSLPYIRSATQSFSALPPVIARNGGHLIMW SITCISRERERLQHGVYENDMRQGLADQLLGMAKDLMVFAGMIRLKLLPGVYEALAKA AGDMSVL UREG_02585 MATNLLAHCAYRHYSLTASGISPGQVLHDLREFDTHGPAPTTTK DDEPFDPDNQKFLRRIQQRGREAMIADSFARVRRDFDAFLEEKVNLNWDEQRQKIYEH FGLAPRGDTGGDDLGATRRESGAFGTTKGVRFGGDLGQRPTASTRRSVFGRSALSKSV IGSTTGSGNAMQIFSPGASSAHAADTRFLREKMGHFATKVQRLNETRQQEKAFPILHE FAETEKLSASDVPRQLFEAYQTLISITNEDPNIVYFAEPGAIQERQFCTRLFE UREG_02586 MTVFQAKRFRPSGSAASSLGECIGQMYRARLSKHPFLLFGLPFM SVMVAASFVLTPATALRYERHDRKVQQVGEQEAMSLGIKGPDGDSENQIKMNPRRRVL GNEKEEYYRLMAKDLDNWEQKRVQRWKGEPDGRLS UREG_02587 MNNHQPPTAWHSGRRNPGNRREDSEEKEKTVIPGMATVVIQEPY LRSPVLLAL UREG_02588 MISSQTCKDLLLCHASVTLILDVRPYPQFSEGRIKGSLNLCIPT TLLKRPSFNTEKIKDTLAGDEKRKFTTWPNRTNIIVYDAGTSLLKDASMLVNVLKKFT NEGWKGEPLILRGGFLQFSAQFPDLIEKGKDPGAAPSTRQPLSIGLTLPGAPSISGGC FIPQSTHSANPMYSNIRQNTELVDGVGQIPVKLPRSLTEQARRSLPLWLSNASDSRDR GKLLSAKFLNIEKAEKARMEEALSDPKTYSSNNNPKASYRIAGIEKGSKNRYNNIYPW EHCRVKLGAEGQGSCDYINASYVKATRSNKLYIATQAPLPSTFNDFWHVVWEQDSRLI LMLTAESEGPQVKCHPYWKSATYGPLQVTMMSEYKVPLEAKQTSPLSPNKRTHSRRES TGPHPPDNLYMIIRHLTLIHTSKPFEPMREVTQLQYSNWPDFGTAQPGHLLRVIEEAN KLSDASNGRRPGFSLSEPESPNPRKIIVHCSAGCGRTGTFCTVDSVLDMLKRQKKRAR GEADWVYRDDIDLIASTVEDFRCQRLSMVQSLKQFVLCYETILEWLASQPEYKDHN UREG_02589 MYQPGNLELLDLPAELLQLILLHCSTPSFLQLSRTCRTIYDLAS SCREVVFTHLRKTPGLQTSLDSLSTEELFLILKARTAEHLYGANFHSDLTNYIFKTGI IDNCASALREGKDPNAALVEKGGSRVLLYHIQSGEAKLRNILEAPYERPGRVKIVKAV FCRDGSVAVLQKYEPIQLPGEAETERVHPFVEEATRPFKTAGYHLIIYHHASEPAKVP RVTFAAFRDVQGYHPTAVDVWDLSTVAIAWEHDIVYADRMILLHTIPVHGDVTEDPAN IFLTYQSTRLTSESELGVIPSIVRIDLNDHASQVLYYQSSTTLYNRYVNLPAPNSTSP ESLHWTRNVCNASFNQRFIPFSIGVPFYCTHETRPQNNRDYCHWKYLALGIGTHPVEK RDGCVLAPLRSHLPALIAVGTFQTSTGADVSTGGPSSLAYGAIRSATTPFPESSPHLP EGSVSQSQTGMWSTVILEGDQGDEWEYYPQHMKSADGVVELKPVILQPGAVCFSLQFS RSEDELIALTDKGLMKWDLGPAGRGFKVKKLLDMEDKVEAVRTKYSILVGFFLSCLFS IVTVTMETLLFPNMPAASCLSMGEYPENSGGSPLLKPLGCLGKLFTFWLGVFAAIFSF IPSALWALATMESHANDLQPQILLQSKDHGELLNVIDLLRSQGVSRYVHLPQLIVCGD QSSGKSSVLEAVSGIRFPAKENLCTQFATELILRRAAEEKVTVEIIPGAERSEEEKKK LAAFSAPIAHTEDVPSIIEAAKKVMGLDTDMKSISDDILRVEICGPRQSHLTLVDLPG VVHSETRQHSTLDVAMISSLVNSYMANRRSIILAVVSASNDLAVQVVTKLARNHDLGG RRTLGIITKPDMLPEGSDNERDFVALARNENVVFRLGWHVLRNRDYKTKGYSAQERNE LEKQFFSQGVWTALPSSILGIEALKPRLSAVLRDQIISELPNLTKDVSDGIAGCERTL AKLGEPRSTSQEQRLHLARVSQTFTSLIQAAIDGVYSHDFFGDARSVGDSSRRLRAVV RNTLTDFSSEMRQRGQKTTIVEDGVQPEPFALPPQITRTDFIKEVCELMKQNRARELP GTFNPLVIGDLFFEHASRWDQIVQNYAEKILKATREFLKVALAYCSDDATQQALMVEI IGPAMEKCANRLNDKIAEILWPYTKSHPITYNHYFIENLQKIRQAQTHSVLADKLRSI FGSGGFITGSHNVEDLISSLNRVTEYDMDRYAASEAVHCMEAYYKVAMKVLVDNFAVL AIERCVLAELPRIFTPEVALTLDDKALETIAAETEESKIERRRNLEKLERLRSGLQIL TRLAFHRDPGLWITGSSSFKQI UREG_02590 MLWLQALWLLLFSALSFFQSSVLASSSTSSQTIDIFYWPLSAAS PAQPSKLAEISYDPITLQSSVRSYTPPSPTQSNNELLRVGLFTDQTRKHWVGSLSSFS VFSNSTIIPSLSLYLSPENEVYNVAVSPAALGTGGKNQKLNVEFVGSTPAPTPHLNRP IVVRQDGEEVEQVGEKSLLQKYGFTFPGR UREG_02591 MTTSLPYRRSSRQASSRQASMDPRNEISVQMDHYIGVDVGTGSA RACIIDDKGNIVGLASENIGLWQPQQGYYEQSTTDIWRCICISVQRAISQHNINPGSI KGIGFDATCSLAVFAEDSDEPVSVTGPNFDTDRNIILWLDHRPVEETEKINATGHNLL RYVGGKMSIEMEIPKVLWLKNNMPKELFDKCKFYDLADALTHLATGNEKRSFCSVVCK QGYVPVGVDGSVKGWQEDFLNNIGLGELAEDGFKRMGGINGQNGEYLSAGELVGTLCE KAASELGLPAGIAVGSGVIDAYAGWIGTVGAKVQLSADLLSSDAAKNDKSQAFTRLAA VAGTSTCHLAMSPNPVFVPGVWGPYRDTIIPGYWMAEGGQSATGELLKHVIETHPAFN QALSVAESYHTNIYDYLNEHLKELVSESNAPTISYLGRHFFFYGDLFGNRSPVADPNM SGSVIGLSSDKSVSGLALYYYATLEFIALQTRQIVETMNKAGHNITSIFMSGSQCQND ILMSLIASACDMPVLIPRYVHAAVCHGAAMLGAKAASADKDGKTEDLWDIMDRMSKPG KAVHPTKDKSEKALLEVKYKVFLEQCYKQQEYRNSVDEAIKGWGA UREG_02592 MASHSGDRDAGHETPRSSATSPAKSYTPAAQMGGEGQGSSSSDL TQGGSRPRGTIDYTPALRSEGGSEQQSFRASSSAHDVPRSPVLGPENFERTPKKPTLR RASSKPYRGQEFSVDDDATEYEEDYALRQAAVSSRTGARSHGTLRRRLNVAGPPLQRV ESGDEDQTNEPGDIDATESPDNDVADDNGDEDDGSTSDAESFTLKDRQQAINQTHPFG IRIWKPALYKKFRSVEKTAEGDIHSAPGEAVNNLIFTVNLLWMIFFGWWLALATLLGA CACFLVGFSPDAIAYGKIFYGLSGYLLYPFGSFVRLQSDENYAEEDEGEGRSISEYEQ WQSGDLEHGRLFFGPVGPRSLVGRRRNSLDSAGEYESLLGRPARGQRSDSPMHKNKRR FFGRGEWTFGRAMFYGVFYLLVAPLMLLVSLTCWMLVFWIPMGRVMIILLHHLRRHPL ALSFHLDNTYSRNPTHPHSSILLCTYRAAGIKYWKYTVDGTNIFFINLLGVVVFTILD YWILHRSLQIESWVTNTGLIFMLALLSIIPLAYFIGQAVASISAQSSMGLGAAINAFF STVVEVYLYCVALTEGKARLVEGSIVGSIFAGILFLPGLSMCFGAIKRKTQRFNVKSA GVTSTMLLFATIAAFGPTLFYQIYGSHELYCHPCSSSNSPTNRDCRRCYFSEIPSTKN KFFLKAVQPYSWFAATLLFLCYVIGLWFTLRTHAALIWSTDLDEKKHPHPQDFGGSFL GPKAQGTVNGRQLAHGNYPKGSIRESQLYARILGQSLKQIGLSRGNDDIPGQPKHQTT DDEASIPHVVPPKSKEPRTLNLRLPGLSEEQNELFVRQVTEVAATAATVAARDAVKHP RKPSATPHALKPAGGPPGNAPEEANEAAVFTEPHTPGGHDAPNWSKAKSSVILLGATL LYAVIAEILVNTVDVVLESVDIDEKFLGITLFALVPNTTEFLNAISFAMNGNIALSME IGSAYALQVCLLQIPALVLFSAIHTNFVEQAEILSHSFNLIFPQWDMITVILCVFLLS YVYVMGFFLTRYNTMEANGVDRFDTLALGKGSVPLTSSGSGEA UREG_02593 MALDNYFRNKIESMKLEIIKGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKVLVKVHPEGKYVVDVADGVDIAKLTVGKRVSLMSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKILNKHDDKEVSLGKLWK UREG_02594 MAQGELWATLLTAINPINARAMPVVLQSTIELLEAELVKARAAL QQVQAEPIVTVAEDVTAGAMEAYKVHLVGCASRKQHNHSFLPLLDETFVRYSTMTARR PSQRGASLSDILSNSLVIDHLAPYMSVASLLSLASTNKTMRSLVMDTPYVFRHLDLTQ CRGALPVSSSGLEDNYDPSSMETEDEFYAQPLTAIFGDLGRRAILQDVRTLVLDGLSV PANLVAKIVLTDEFNISILSIRGCLNLNERNLMQALQYAVRPGRAKGMPRVKGIYHFS KDCDQPSCSRPASLGARHRPDQPQPGHRSRHTLHDQEKDARRHDWYKPSGQVLKDTIT NGWAQTVQLCQGIISFDAVLCRSPRHDPNSYTSDNPKRLGPYLPPAIATIALGPRGCE GCGTVPEGPAVWGHSPEEYFPLLSPPPLHSSRITAAKNPAVYKNETPSLIMQCEDCVR NRLCRRCSRWWCSDCLQDPEILRNPGTASQPPGMFAGMEQSKAHELKHRRQCVARDCW ECGPTCTRCMLEVIRTCTVCQGGFCLDHNDGCSETKVCLSDPTAPWYPRTDAE UREG_02595 MVARLRPLPSRESVPGVFKPLKSSSRIHKSRKHAPEALPVTNHR YATRLNAVNVGIFVPNELEKPIRRVSKSLSRCTPGRVRRGSQTCQQLKAKLRAAAQRL EAASAKNPRAKSRECVICFERKKLDRAGESFPYFGTCNHAPEVCAECVKTHVINCLET RVGFLWVQDGPMVDWSKCTCLQCDAVIDLDALMLGLSKEDLAPGIRARLTIYRILKRP SSGPVLRWFDSRARCARHQAAEQGLKKLEGVPGSFLSNPCSSAQPWAKQTSTFVLTTS EKIIVGVLASQHALSGKLSLNPVAVCGTDVKRGSIYGHVSKAKPDKLNEER UREG_02596 MSRPDSRPPSRVLMESSLKWSASYSVAPPTRSLKKLRGDKICLP PSALEGILAAFPAVSPSRPHSSSVSFSEPDDGAHAHSHELPHPLTFRIVNPANGRVVY SGILEFSAEESEVSLSPLLLQSLGIQASDFESDSTLPEHERSEPAGSEQGLAPQSPPR VTVHVVQLPKGTYVRLRPLEAGYDTDDWKALLERSLGANFTTLSVGEPLPVPGRPNEV FQFLVDKILPEGNAICIVDTDLEVDIVPLDEEQARESERRRKERLNSKLLAKGGKLQL GKPVRGELVAGQFVDYELLDWSRSEPIDIELDVAEGANIDIFVSPFGARQRNRPRDDE HVFGDLSSEFPKRIRIQPTNVELEGAEAIHISILARQPEEWTATENQTWGFSLQATTS AREDQPEASDSGPSSQHADEEQCTNCHQWVPKRTAILHENFCLRNNTLCSKCQKVFQK RSEEWQNHWHCLYDEAHGNDEYSKRKHNSIFHVEQACKGCPFIARNTPDLAHHRTTTC PQKPILCQFCHLVVPQQGDGDPDVLDPEVLHTNLTPHEFVDGTRTTECHLCNRIIRLR DMNTHLRHHDLERLSRPPPQICKNPNCCTTLEDPLKRRRVATNSLGLCNVCFGPLYVD LYDPEGKALRRRIERRYLSQMLSGCGKPWCRNEYCKTGRSNMGISVVSGKEALGLVKP LINAVSIPLSEREGENTAPLYFCTDENNQTRRKLAELLASEAGYQLGWAVAAVQEVGG NIDKARDWLRNWAPKKGEKLGGGS UREG_02597 MGEQGGLRTGWLAAFGTEGYEGEPPLLEELGVNFDHIRMKTLTV LNPFARIDQHLMDDSDLYGALLYILLYGTFLLLSGKVFYGYIYGVAVFGTVALHVILS LMSPTLDHISSNPADISGADPSAYHPHHKTNTNAGHFSSTLTFPRSASVLGYCFLPLV LTSLVGILIPMDTMFGYLLTSAAVGWCTYSSSGMFCAVARMRGMRALVAYPLALFYVV FGIMGIFSSRGSGTLAAKTAGT UREG_02598 MASPLQDDEGIFERLRKHNEDPKVLEERQQAVNDRVHAIYQKAQ TRLAELIDQNSTLPCTISSVQVLNASRTRKGFLERVLSPLLSSNRDHPYTLAEALQEV GACTDKLHKFDIFQQPISVYLDKPSQTDPSTTPTDLDVYLSVKERSRFLLKTGTDLGN AEGSAYANALWRNIFGGAESLNINASLGTRTRSAYQATFETPLLSDPDFRFELGALAS DTQKSWASHEEAVKGAWSRLRWMSTAGHRHELGLNGFWRQVTNLASNASPSVRENAGD SVKTSISHTWVRDLRDNPILPSSGIYAKTLNELAGWGPLKGDVSFWKSEIETQLAAPV PIPGLKRDSGISFTTSFRAGLLYPLGLDSSSKPQLSRVNDRFQLGGPTDVRGFRISGL GPREGPDALGGDVYAAGSANLLFPLPRVGADKPFRLQAFVNAGRLLALKTPQGGTPNS PQEVRESMASTISELANGLPSTAAGIGLVYAHPVARFELNFSLPLVLRKGEEGRKGLQ LGIGISFL UREG_02599 MATKAATKRLTREYQNIQKNPPPYIVAHPSESNILEWHYILTGP PQTPYENGQYWGTLIFPPDYPFAPPAIRMHTPSGRFQPSSRLCLSISDFHPKSFNPAW EVSTILIGLMSFMNSEEMTTGSVSASDGERKLLAARSRWWNSTGGGSHVKSVPGVTST IKGIANIKAGDGGKKFRAEWAELDEENWTWMKDNHIDIATGQVLPDPNAATTSCSPET SALRRRPVGSAAGLGAVMEGGQVARDVGQSWFRRHKVWVGVLMVLGYALLARLFDDIR A UREG_02600 MYLMKAQAIREMNAKMQNPETAADESAFEMSLFLITAAVTVGFF TEAQIHFDGLKKMVDYRGGVTAPNLEATPTLAVTWETQPTPRRMADRVFPPSRSPLRI VTSKLRNSPYLSDTLKVAIDKTREMIFFENFNREDRVGLTSEELELFRLRVHELEHEL LDYPYRIFSKTGTEFDLNIPPVENVARLATLCYIGYNCVVAPPNSGVGRAITTHTKLA LERCSPDKPTRLADDLLDMLAWAAFVGVQPEGVQLERPWFLHRLKHISMLRGWKDWNE VEEVMRGYIYVPSFHAPIWKRIWRDAMSMWIVTEED UREG_02601 MGWFWASPSTGNAPVVQSQMPPSPSKPVSSLAARPTPVQIADSK LHSKSACPVMHNTPKQEQSPSSCPVRASDSPFYVPPLSPSSASAPPPPAPPAEEQRST LSKLNPLNYMFASISQARAPNQTVDLPVEREPSSIPRADGAGGNWEYPSPQQMYNAML RKGYTDTPQDAVESMVAVHNFLNEGAWQEIVQWENIFAQGLKSGWEKCRRGSENLEME LEKERMMGASNQQPRLVRFMGRPQERTPKASMLQLMGWVYPAKYGTPPPFDRHDWYVL RETPSGPKEVRYVIDFYSGPPEPTGEPVFYLDIRPAVDTPTAAAERLLKWGGDVWWRA SGGPVRESR UREG_02602 MSTSTPQPEERAARESRVCYIPEQIDKAAFTSPPTARNTPLSLT FRDVWTLISVLPLLPNVFRPVSSRRSSDELSLKGLNPINLVILAVVTCLELLIFTILG LSSYGLKQNCDCLAQIFGRPIIGIHNPTYGLIGDLLECIIQRSLSFNNYSIRFTYDYV KKLLVDPKIDKIVLIGHSQGGIIVSMVLDLLFTDLPAENMAKLEVYTFGSAASYFNNP LRAIDPRSSAPRTGVIPYIEHYVNGEDLVPRWGILYNVRTILENKFAGKIFIRTNITG HMLNQHYLDCMFPVPGDQQEGEQPGFLDQVVEVDETTPHARNRLIHKVLNVPAKASST EDGRCLSISEDMSGSPIASLRTVRELSRLWRYMGGNDPDSLP UREG_02603 MLDVDDYPALAETRADPFRIQQLLESVVNAEFHTEFSARTSDRV SCLLTCSIQLLRTVIAVSEVGVSERDAKRAALLALRQELHRRNLLKAMFDHKARLTDL EEIYDYAAQFMCIPLFRIRVIDGAQRFEVTIELKEQSLSATAADDSLSGAARRAIHDF NKKRAIFVAINDWAKPNNNVTTLCDFKDFLAFYESLSPQRNAMRVKVNSSAIGENTSA YTASVYLHNERFAGPVIGFQEEQARNLALLTAGVKLSQQDPDAWTRYTGTRDKSPPTP LSTPPNIIKDLQGLRLRLQTALSNLEYWSRNKPDPPREMLAQQGSSNIPHLGSNGVPV PRPDHLANLKGMDCSSSSHDLPVYRHLETIVRMVAENPISIITGASGSGKSTQIPQIL FDHAIRDGSGTKCNIFVVQDGIANVRATAQRVATDRAQPLGSIVGYSDTVDPKLPVLS GSITYHTSEVLLDLLVAESQDLFETVSHVIVDDLEQRSISTDLLLAYLKNKLAERQAE GKLVPKVILMGTNINVELFANYFRALSPGGSPAPCPCLHISIDSHLVQKYYLNDILEQ LRSSYDTSELYRLLSEPQTSQFLSDESSQFKLYSPPDSRMTISGPRPHTKQWASSVSG KSAPPFPLGLVVATVNHIRETSNDGKILVLLPSSNEVERLKKLLDDSPLKNEANKHHW RVLTNPFTGSRTPLSFMAYSPTRRIILSASLEGSFAIPDVRFIVDTGLYPVKLYDPLK PSTSQINCWIDKASLQNRVEQTGRHESGKYYALFTKEREETFDPYSTPAIRRLDLERA CLDAKTIFPSGGLITDILSQVLEPPAPDCIQRSIRKLQVTNILDDEETLTPLGRIITS IPLSPSAGKLIVLGIIFRCLEPALILAATIDLADEFGTIFNFQNPRTRRVSEPYKDFI KDSLSDHIGVINAFKDISESWAKFGADVARDMCRTKHIRHRMFLRVWESVLRLENMLL DAGIIPKPTRGTEMGGTALNINSAEQALIKALLTRALFPNVAVKRDCPDDLWRTATLE EVRRRPQMALSKHLESGSVAVFSALFSAQTGAVYLENATFIPPLLACLFTENLIQKNN LLHIESWAQYKLPMKHPVHVDGTPTSNFHKCKSALDKLIDLAVQDLATYKLKRTAWYP SSQIRHRTESRRGRKLTYLSPYIRTGVAKELAEYLGRLSTPSPLMRKGPIKDSSFIRW TPSESNSRQKTSSPAGTP UREG_02604 MLTASRDEMRKSVPEDANIIVKGFWRIYIFLDEYVFEVAATGLR FLHLVAIFVPVIAAVPVIWFGRRIKDQDGERSGTLWWYEFLVSSMERAGPAFIKLGQW AASRSDIFPPELCALMSSLHSNAPAHSFRVTKRTIRKAFNGLPFEDIFEEFDKEPLGV GAIAQVYKAKLRPDLVAINERNLDGQPIKFRQKVRKNVDVLVKSTPQRVPSSYVAVKV LHPRVDRIVRRDLQIMMFFARVIDAIPTMGWLSLPDEVQKFGEMMKLQLDLRIEATNL SIFRDRFKSRTTAWFPYPYSEYTTREVLVEEFAQGIPLTTFLKNGGGAYEREISREGL DAFLHMLLIDNFVHADLHPGNIMVRFYKPGQLDLSIGGRHFTSHSKNATHDPPEDVLS RLAAHQTNPDAWNRELAKIDAEGYRPQIIFIDTGLVTELNNTNRRNFLDLFRAIAEFD GYRAGHLMVERSRQPDTVIDAEIFALKMQHLVLGIKGRTFALGNVKIGDVLSQVLYLV RAHHVRLEGDFVNVVISILLLEGIGRSLDPDLDLFKSALPILRQLGSGTTLLKSVREG DTSMLRVWMGLEARSFVQASVSSVEWCVKYDQLSPNI UREG_02605 MERKAFSSLRSCPAALPKDPLLVGDDLYAGPEPLHPPTRTSPHV LIIGGGVTGLTTAWLLLDRGFRVTIVSKEWASYGSAQRLTSQIAGALWELPPAGCGPQ AVQEKLEIVQKWAMESLKVYCRMAENEELAAAYGVKVTMCTSFHTNKIVDDVVKSKKM ELVLDSKLEGFHWGMELLDKYGANVNSHGGLKDAYEHLAPIIDTDVAMSFLMRLVKSK GAQLHTDTIHGDLLDQELHLLRMYRADAIVNATGVMASETASDRTVYHLRGAVLRVIN DGTDFPRITNAMLVSTESRADGNFRDMAFIVPRNNDILILGSITQPNQWRFDLTPESP DIKDMRKRCEDLLPVLKNARLDPKYPLAQGARPYRMRNVRVEREARKGCNGRPSRTIH AYGHGGAGWSLAFGSARQVGRLVEDVLRHSRPAQNEFVARL UREG_02606 MATLIQQNLQSKNAEFASKFDKGHLALPPAKSYLVVTCMDARID PAAAFGIDLGDAHVIRNAGANARDSLRSIVISQQLLGTKEILLVKHTGCGMLTFRNED ARALAEKNLGSHIKSEVEALDFQPFPELEEAVRKDVQWLKEQALVIPGIPISGWVYEV ETGKARQIV UREG_02607 MATGGLANVLYEVFLANMSLYVIIWSLLLLRFYFFPHTFKASFL HPTESLFVPATIVSLGTILINISQYGPPHAGEWLNHAVIVLFWFYIALAVTSSAGIYL VLWSTQSFTIAQMTPIWIFPAYPMLITGPYASALSAKLPQPNAWRIIIGGVTIQGIGF LVSMMVYSAFIYRLMTQKLPKENLRPGMFVSVGPSGFTAAGLIGMGKAAHRAVPTGFL GDGALTAKIMSVTAYFASLWIWGYVSHNLIGPALH UREG_02608 MDNRKSVLITGCSPGGIGHSLALEFQRHGLRVFATARTRNTLTD LKARGVETLDLEVDKEQSVLSCRDEIASLLEGKGLDYLVNNASVQSLFAVTKCYYTVP ALDVDLNEARQVFETNFFGVIMMCQAFAPLLIEAQGTIVQIGSLAGILPYVFGSVYNA SKAALHSFSDTLRAELAPFGVHVTTIITGGVQSRIARVDRALSPTSLYQPIRDDYARR VTHSQHGAVPNEVYAKTVVTQILYGSAPWRWIWPWSRGPGRKKWIWAGNKANLIWFLS AGWAWIGLFQWALSRMFNLGKLKRVFSEERKKAKKL UREG_02609 MFKLARSRSIAAALRPVVDTPLRSALQCQKRNLSIHEYLSANLL KSYGIGVPKGEVARTADEAEAVAKKLGGEDVVIKAQVLAGGRGKGTFDNGLKGGVRIL YSPTEARMFAGQMIGHKLITKQTGARGRLCNAVYICERKYARREFYLAVLMDRASQSP VIVSSSQGGMDIEAVAKESPDAITTTKIDINVGVTDEIARKIATGLGFSEQCIEEAKD TIQKLYQVFMERDATQIEINPLAETTDYRVLAMDAKLGFDDNAEFRQKDVFSWRDTTQ EDPDEVKAAEYGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGNPANFLDVGGGATP SAIKSAFELITSDPKVTAIFVNIFGGIVRCDAIAQGLINVVRDMNLRTPIVARLQGTN MEKAHQLINDSGLKIFSIEDLQNAAEKSVQFSKVVKMARDIDVGVEFTLGI UREG_02610 MSSEGRRQLRPRSAANLNVEEYYKFVLSPNEDEDDDQPLIVRRK RSSLPKENATRKDSALVTPSHRQTKAEILAVSSFMETPTTRLDMRPFRSVVQIRVGAK SEEFLIHANILIKESRFFKTRLESSPAGTIELKDINPDLFYAFATWLYHDKLPMDETG QEQTQFEQLISLYLLGERFGADALVSKVADKVLRSASGGGAKISAHMANKIYQSTEPG SKLRLLAAAVYALGDIDGTREEFTNPMFLHDVIQVMQESAEGLIKGLSVKGIGSNKRQ RILGEFTPAQSSEENHSTEPLKTVIPEARRETTPASRPRDYPQLLNTFLKPRGK UREG_02611 MSSWESLENTTTAPLDSFNLETVVAFGESECIATSVEHGGVPPR GPVTVSLSTVPRAWPYDPNYTEPETRGSHRSANLEDGRNRLVGDSYYQGAEARERGVR HDLQVARDLHSHACPAAMVDGDVLRLSSDELTAISRPLLSLCSERPGQSNRKHRQYQS CAVRSNHSVDPSLDLTANWGSFAFSGARNSSRSVCSAFPVHPRKRTCRPASIRSGNRC KHGSNEAAARLRSSVLLQVLPGLLVVFREPPHLTSSPAPSPDIVQPLSASSSRRCSAY YAAMNRVLEPPSRNPFTRPVEMSTEPRTIPVLSASISPSDPGPGDPMDVSPPASATMG PPSQSSPEIDHGPGSHTNGNTGDSASSQNPAGQPTGAAAAAQQPKVVQTAFIHKLYSS NESFVMSPSSDFSKVLAYVTLLDPSESNGPIVLKHSAHTSQYFKHTNISSFVRQLNMY GFHKVSDVFHTGSPDSPMWEFRHGNGNFRKGDLSGLREIKRRASRHALIHRDSFSTHK NNNASQPGTPAEAVPEAPDRMANLEHSLYEVHSRLSRMEDQHALLSSRCQALTESLIR CHQWANSMSHFITSLVPDSDNVIHREAVNMQREVARQLDVVRALELPNDMYMPGQQPY FANLTVDTGPPLSPRQVAQDSRRTSIARPPMPPHISISPHRYGSMGGPNNAPNYNRPQ AAPQPPPPHPPHPLSVSSPPGANLGRRHTSADIRQLAWPHLFLRPTESLPATRRSSMA SNVHSLLNPAETRERAEEEEAAAIEDRKRQRLQ UREG_02612 MDQSLSNAASLLDAFRALTAEARRDVCRALATEFKHDECHEMLN LLHKRLYFDVLAQLPIELVAQIASYIEPLETVRLRRVSCRWNEIFSSAAVCSSSFRSF RHGTLITTTKGWETDFLKRTKRAAAQRIDIGFGDGPDLISYLDGMLAWRASDDISMGA YFVALPLHCHLESDFVSCCRVWDYQSGEAKMFRLPSANYKRFEGYIRNGISVDGKTIA VCMAESRSVIVWDFQSSASREIKFEPEPFHIFLDSQQRILNALHWKNVYGKVEILDDF NEGSNLSELIVSTYSIDESGPDRRPYVLCSSPRPCTTFPTSPHVYLDGMQGVILYLYY GEKIATFVFITYSKSLGKPIARLYDRPAFDRFQRLGRGAVLHDHLVYCMNNCEDSCAP DILDYGSDDMFWPSKTAFNQTIIKEGKVYECLSMRNCFGDDIFFGAMCGEGIQSPQKL VADLEEAAAVRSIATNTTVPGLKVYHKREADQGMYELVVEPVPGEPLDKVWEKTDPRV KACYVVNSYLVQLQNLKGSRIHNMNGGPRFFQPNTRRSS UREG_02613 MVDPKQHVEPSQQVFIAEHDLYAVVVALTVVGSVFLIPTAYLRW RHNRLKELEVIFIVLGYALFLTFEIMLLHIQPLVYRVGRVVLGNAPPYPTMAQDRQKT TVLTITGTIVFFSTLWSIKLSLLLFFRQLMKGLPAQLFWWTVVLAYTIITYLFCFLST IMACGGPARISGIHKKYCQSPTDNLTRNISLLGAFASDLSTDILTLATKGERVAYPVT VVMILPLRLIWNLRISRQRKFAASAMFSVGILCMITAILRLVQINSTTGITSPNIQWI ALWGTVEATTAIIVGCLPTFRFLRKTSRATDHDPTKGTGESASRRPVSNAPTIPLKSY TSRSNPRHGTMEISSSMESLTPRSGWRAPNYTDARGPDSSRVLSSTPADSDSDSVVSS RPGSPTPKYTPAELAEIFLDFYTSLTTLHYNPADLKIAPSEGWPNFTPEICGDWKSDH AIEVLRRLPYFNSRAAIHYKSELIDYTSLDPVHFTQPDYREEGMEWWSTEGEADPIHI FCIAEGHESFGRTLFLDTMAGEITEHRVRSDQLDPVDVRTFFNDLKEKHRSLTLIPLD GRETIEADEVDERTDEISEDEVGAQTETWATDLDIQYLRQLYRQYGWPDAFRREEAHH AINEQMNLLMGDRW UREG_02614 MSATSPPLMSSGNSPHVKSQGSAKERAVAVERMQKEALAALPLN SLYIGLWIRSDPPVANDFHWAYYFHSRPLGGTKYHIRNLGSGWIVDHEPTNGIFGANF LCVLIEIATVPETKHGELDQIIRSYDANVNSIPGVTCRVWLLEVAQKLIDHGIIHCNS HLELGQECMAIGNQHMHGASINEQPRPVVKSRLCH UREG_02615 MPEKVYVTYNQPNLMIAIGGGGYVPARMLRSFLKRPGAPNIPIQ AIGLSLYEDLGKGDIEAPGTKVTRTQWLDMSSLEMANLIGKNVLIVDEVDDTRTTLEY AVRELEKDVELAQQQLGRQAEKTTFSIFVLHNKNKEKKGKLPSEMLEDDRYLAAVTTE DVWICYPWEAKDIDEHDRLAAENPLVS UREG_02616 MTRAQQSLSIILLVTSLYLALYLGLVPLNGVVQAQLIPYLPAYA IMALGSYLLFRLGWGVFSFNDVPHAHKSLQEEIVTARNQLRAAKVDVD UREG_02617 MKVGHRTVTDHYSSTGWKKHSPFAANKQLNNNQTIPLTSLSAAY TSMTARKINLRVHRSRALYPIDSGSRSTDPSFRLFQQPSTPTSNFVLLRADDTVLSSR RDAEDELRSLSRWRVTEATRAQYGRAQGIEFTSPHVRMTGENSSLKFPCAVQSYWAML RVLGHNLGMQVLGDMPSFTRNARLWRISLDRIRDVIHSKPPPTEYVVYEEEAEDDSII GRYIWIDSPGWGKSKVFCESAGAGPQHILFLHTAGADSRQFHPLMNNRQLQRRCTMFA FDLPGHGRSYPGSKTHPEGFVNDEEQYVGAIKQVIDKLKLHRPIVSGASMGGQVCLAV ALHAKELGVSGVIPCEACDHLPLTQPIYHLPGDQNETILNAERVCGMISPTAPTSNKK LIWWTYSSQGTQMFHGDLKFYFQGWDGRERMHLIDTKTCPVYMLTGEYDYSCTPQMSQ ETAKKIPGAKFEVMKDLGHFPMSENPTIFLKYFVQGLEYISSVCKSPVI UREG_02618 MDENMDFDRRTPETGASQNDNAQKRTASDAALDGQDIRSTRKRA AKACQSCRSRKVRCSVSDHGVPCYNCKLDELECIIPERKRPTRTAKREKSLGSVISEA VLRMDNTSSETSSFQSNMTRRPSSTSIHGDWEKSFAGGYGVDTPSAAMDSPPQDVGLA SHELRNPEFDKVDFTELPPCDEELARWFGVMPARVVDKMFEYLALLSQCGQAATLPSR LRQSRSNSHDKVRLIPPGSNKRKALSPEIQDRLRCHITPQPNQYTNAPFPLEPLSPQS PTEETCPSSATHDSQRNMALLNQLRDSHAAASRTISTLEATIRKVDAQLASRRDPRYN PSNCRFPSTGAFPHSVASAKKTTPPTLTPPPENNQDAYEMSIQTLQQLEKLFAPPTQG QHDEGAGKQDGAAEVLDGGLGARDEDFQDTFESLIDFGTDDALFQIA UREG_02619 METSPQPYQDREFGLEATSPPGQLKYSDMPRSEVDLQQQDQSQS VVLPSTTKAQPLEPLTAPERDHPSISPEEEEMMFRALRAVFEDAGENEADLELGQLMA SLELADKEAVHGCPNIEVLEGVKSRVYQLWRSQSSYMARVTEVLANGSRNHENRQQVV DRNYTLSIIRLLGNPALVHVAIPVVYNICVDFGLSLAQAPDDSLSMIIAIASNSDIAL PHYISIVNSLAAYLQNDRFQILCLLHGHVELVLSVLFDSYEMERGEMSPEDEQALAMS RLKLNHALSDLSGLPIYSKTYDLFSAVTKTLMSWLRTSKDHLQICACVMLGNLAREDA ICESMIQELKVHLPLIAILDSNPRSTVLHSVLGFLKNLTIAGNNKEHLGEAGIIERLS KLWTAETIPQIQFLAASLTRQVVLSSVANISRLLGSLSPDPDSPAHSRTYLSNMLSLF SKTDSPPTRTEIGRTIAAICRVLLRLNPGPEAADTTQQLTKRLFDLHEDVARPIEAMI VQSEWPVLRSEGWFALALMASNKNSCEAVGDCLQGMSITEILCETVRRRASEPSEGES AHDKAERVRLAKDRENTLILLHGLLQHNPSTLTSTRREIFEDLMHDVGHSNLQ UREG_02620 MEGLSFAWRGHSFRITLCTYCARRIPSCTSKHTLLRNACSIPPP KRTFQSSTHHSLGQESQAPQAYPLKGYYSDILSQPTHISSPSRPATSISSPPPSEPRE PTPAEKMSIVFGTRLAGPGYTSRYNPSTPPDSTWQTINGVAVPPRPMEPDNCCMSGCV HCVWDDYRDDVEEWAMRVREAKQRRPRVEAAAKDKGDMKHKPRREVASASLRDLFEGI PVGIREFMRTEKRLKGEEEASGSVLTRGLAQH UREG_02621 MIPLPAKRRKSNSSAAIPVEAPNVEHASSSRDGKPQRTSRSSFR SPTKASVARSQPELLSRVLGRSVAERALRSENRGFELHNRQGDEKKTALGAAQSSLNP DISLPPPKSKAGTKPPLAAGGRQTQGEDSWGSQPSKLNNGTVRRSARRALFASQRSSA TVKLASGTGGLSESQNKDDDEGEPELPPTPTQLRVQKLSIRSRTLTSRSPSLRLQERN KRTLEQQAGPSHLEQDIHRTQGEDQDSGPLANIPQPEEIIPKELREKRKLRDELAAQL ERLKNDVAILEDCGQRLEQPNTFESMDEDLIKRLLLLLTTDNPSCAPPPPKPQPVPIS SVLSYLLPFSAPKHIPNPIPEPPITPPPENPFALEPPPDPLPYLTLFAPLSLSTHNTT TSQSSSDSPQSPSRLVQIHHLTLSPPPGFPSSIYKVPITLETDPEQQKVLSISIPKSS TALDIANIPTQLRRWMDTRLSNRLLGQDVSGLSWGVCRYWEACVSRTKIWIRLEKLQS IVNDGGYSGDTSSNEDIEPEQHPPRALIPHLDRSSFLFSTHKSEKYQILVSCPVEIDL WTSEPFLEPDICISSQSARGSGQGKVEIEARRVFWSMLNHRGADVDIACGKIVRAVEA VVRVLYGQE UREG_02622 MAIKHNQQIPRNHFHKDWQRRVRVHFDQPGRKHRRREARLAKAA AVAPRPVDKLRPIVRCPTVKYNSRVRAGRGFTIQELKEAGIPRKLAPTIGITVDHRRI NACSESLSANVARLKEYRARLILFPRKSGQFKKLDSSPEDVKAASEGQNLAKNIGSLL PVANISRAQAVTEISKADMPEGEKAAYRKLRDARSEARYAGAREKRAKAKAEEAAAAK K UREG_02623 MTPPPSQNVPHVSTPFQPRSKSNSFLASPPATVNQTLCVAYGAS ESLPSSTEIDDANDETLRSMAKELLMVAQESRMSAAHFKLQHSLLSLTSSEAIKRAEV EQQLAKRQIEILQSMEYRSRQTQLQQPSPQPQRNAELDAAMRRIQELEQAKGTLERRL RRAKKVIEEDADKYELLMEENARLKKRIRENREHFTLMMDHGSVASSPRTEFNTPQRR AVPRYPDSARSHVSRVGSHDPFAALLAADQVLNGESATIKSPPSKRHNHKFQGHTRGT HSLSSLPTTPQRKPVGSERIHFFTPINKRSTGPPSRRSAFENNGRDRHDRDSTISASD VEEALTDEDVPASQASSLATSMLRRFPGTTQEEPSIPANIGKSSTLLQAKLFGHVKKV GVDRPKDNLKRKRSIEEKVISPKKFRSADPVYVNMES UREG_02624 MAEEPAKRPPSGIPRLSRLPLPTTTGPKAVRPSPSRERLQADQG LNVSRLRRPSEDVFKKPHLPPPPARKISDNLYLQRRTSQGGRSIRAAASTDCLSARRG LDLSIAGLGPSLEARTVETLSQIPSSPSPSRRQSGFFRPSSPVRSPSRPISEIHQPSP SQFTSTSRHAAPRASLSATPSRATPAKQSATKPRGAPSAPSSRKASESGHNGVPAVPS SKPTSGTPRSSTQGESKTTTLRSSRSRRSLAPNISHPTPETKGSTGLKDVPKLKKPQS QKFSSGRSSSLTSPTSSVSKMSQETTSKPQEVKKGSKSSSALRESIAKAKAARKTARQ SNANDGHNDPFENISMKDPFGALQNQASNKAVLKSRTAAARKSGHLNIAALSLREIPP EVMTMYEYDPESTEDWYETVDLIKFIAADNEFESLSEDAFPDIDISSLSMDEDSKGNQ FGGLELLDLHGNILTSLPIGLRRLQRLHSLNLAKNRLNMDAIEVITQIPSLTELKLGN NDLEGMLTPQLGSLQKLETLDLRGNTLTGLPDNLSDLTALRILNVAENQLTSLPFSAM SKLPLVEISAQKNRLQGNLIPASIRRIEGLQILNVSGNSLDGFYAGDSLELPSLQQLF ADANRIKSLPNVSSWTCLLTLVMEDNDLTQVPGGLSELTGLRHVDLKGNAITALDDAI GLLDNLMTFNIENNPLRERKLLTMDTEALKRELRSR UREG_02625 MAARLHMVLETTIALSEQFNLALSSPAAADSTSSAPDHQPSPLP LLSASAQNLKAQTAKLSLLAINTPFTPSALVTVLSNINDSVLPSLVTATLISTPEKYT RTFRREAEALVKDALRELTALVHDIQLIATNYDKKQGFKLSDEEKNEVTIATGRVWSV CDQVVDLVAGGVVGLVIKKAKEYLELIQDGLRELKEWDPEDDLDDDDGLWGDEFGSQD DSGPNRGTLEKSAVIENGDGDEETEDEDSGNQRVKLDEEKKYLLRLFTLTSQLFSAII SYRLKKMDETLILAGSSNRLDALTSCLQDLPSLVDEAAGSLYEHNIEFADAYSLKLRD RASEAAEMLCEPWVQGDMSDTSKQGDAPKGTEDKFSKWAAVFLRVVEDLGKDKEQ UREG_02626 MAPAKAPRGAKPAPAAPQSLPAKTFIIDNGAYTMKAGYASTSSE DTPSDPLAPCSIIPNALVKARDKRVYVGAQLNTHITDWNEANFRRPVEKGYIVSWEAQ REIWEHSFFDEKVPRRPEVRCAEPGDTTLILTEAPNAMAALQKNADEIIMEEWGFGGY LRCIVAKDGGPSASPVECLLVVDSGYSHTTITPVYRGRPLQRAIRRLEIGGKFLTNYL KELISIRRYNVLDETYVMNGVKEAVSYVSNDFEGDLERVKQGNKTGGRDGAVDGIVVD YVLPDPNAGTKGFMRPHDPLLAAKKRKDLLSGPRPSVSEELLVLGNERFAVPEVLFRP DDVGMKQPGIPEAIMQSLSTLPTGLHPAFLANVLVVGGNALIPGLVERLESELRQLAS VECVVRVRRAPDPVRSTWLGASRLAANRDALQEIAITRQQYQEYGSAWVGKRFSGVV UREG_02627 MSVSILTDVAHPGNTTRSLPDQSDTVRPLLNWPDLEGFRDTGLD NEPPANWMEHPCPPELHDFPQTTPADLVRILNLSVTAEIYQAREDEKELVGFESPGLP SSPVAPPPAGPSDAPRNDAASPIARSSTSLSTRSSTLSKDGVSTKSTIGKLSAKAPKE VRAISASIKGKYVLQECASCMEGIIEKNLLRLDCQHRYCLACFITLVTTAIHNENQFP PKCCLLEIPAKMILHNLENPNRDLYKEKAVEYAIPQQDRWYCPSNACGKWIAPKKLKK SAAVQKCPFCKFKICGFCRGPTHISRADCPKDAGLEATLHEAERHGWRRCYQCRAMVE LVAGCRHMTCKCGAHFCYTCGAVWRTCSCTEEDQRRREEHLLARRLETFDHAQREAQE LADAIAEIERLEQLETEERIRREEREAEERARQEAERARAEEARRRREEEEAREREAQ RLMAIAELIRNLRLTLDRINESQQKMLNMRHETDALLLHSKIQDEKKQFDEKRQRLEL ALQSNVKKRTDALSATHETEIAEMTSKHEDEEDETFISISRHLKNRPNREERERSIMD KLKAAQDRELASLQKTHKEAMEELEHTASIEASSLEAGLAKESQLACPSVANRQILAQ DITIDRHWFQAVTKKRADLLELHRQRLVRGENTTSERRPNQRRALKHSKPPSPPPAYP LPPPPTMLPPPTPGSPRTPILVLPRRPESPRPPPTPIAAPAIDPAPKPKQEKMSLKEM ITANARNRRVNRSAFAVLSG UREG_02628 MAPGTCQRVCPHRPSRRQLRVATLSETPKLTDRRANDVTAHAFR LAAVDPRLEDILRTKDPNNSLNSQLDEPGFLLSQHLAMFRTAVTKGLAAGIPSIGTRA FSTSPAAQAAAEVNKVAVIGAGQMGLGIALVAAQKAGVPVTLVDSNQASLDKGLKFAD KLLEKDVAKERITKDAAAKIRSMITPTTKLEDVSDVDFVIEAVPEIPDLKASIFSKLA QVAPKHAILATNTSSISITKIAASTTTDPRDLSNSSRVISTHFMNPVPVQKGVEIITG LQTSQDTIDTALEFVKRMGKIPARSTDSPGFLANRILMPYINEAISCLENGIGTREDI DSIMKYGTNVPMGPLTLADFIGIDTCLAIMNVLHQETGDSKYRPAGLLKKMVDAGWLG KKTGKGFYDY UREG_02629 MATPRSERSTRDEGIIKLMLYFFRNIAVLTSPTNLTVDGDDDKA TRSATIDAFQQQDIFALLLTMCSTMGEDFTFQDVIILEILFNLVKGVDVKQLFKPAER AGITKANELESLLQKESELNREHAKTAPTRHGRFGTMIWVKRDDEKFSTVSGQDVLKG DRATLLKMDKSKKWNKPRFKREVVDPSSNNFNVKVMLTPSASKNLQTFVEEFLDSGFN PLFTHLRKAIEREAERITESTSRQFWYVVSWFLHAERVRREHQKEARQQARGRAKDIE PDDFSLVASVLNQETFVNLNRYMQHCLDYKDWQDLTAGMKCFTQILLTVQEMAISTLE EDQEIAENIQNRIFYEETTHDRILVILRNYNDQGFWYLDACTELAHVFLRMLEQYSKQ NVDMQVRSRRRGRRKKTQTTAPDQDGVEEDGGHGSEAEDRAEANRTAVERSFDFKRFS LKFCTQKSVDTFVAFTNYYRELDSEQLKRAHRFLHRVAFKQDRGILLFRLDIISLLFK MIKGPEGLDASKSSFKEWEELVRHLFRNLVRKLKQRPELVVELLFSKINSTLFYLEYG YEKQSLSESKPAAELEIKPGSASTLDEKIRIVVAALIQDDKLPLVKWLGGVLDSAISE RQSWEMEAEARAASSTEEQAEAKPPSIAVVPEDDDCRDAMFRNGRLRLLMTLAGFKCF DEDELSASWIISSSISSSTLKESSTLIEKHCENPVEDIDGADPRDLIRRKRTTADLKA SHHESIESVHFGSDSEGEDEILFPPNLVQRSKAAKGRKLTRRKRKDGDDNESGLDDAE LEARQAARKRNALERQRKIKSDLYVRPSDDETDEEADARFFAKENERRLAQAARIQKA LETGVLENSVPQKQTKPKASRKRKANSIPESDIEDDANIPSKRRRDEAGGESDSADED GVDSGSPSSRGSSTPTPDIDPMPMRSPSVELPWSAGVDQMLKGAIPDNEKESGASDNE EEDDDDLVLTARSTRRRAMAGFVFESDSE UREG_02630 MAKSLHYVTLDVFTTKRFEGNPLAVVFLEDPQQVTQDQKQLIAR EFNYSETIFVHPQAPGQQSRKIDIFTLDRELPFAGHPTIGATYWFLRSQGYGTTGQTT SSLLTKAGEIPISLSTEDPAKVAASIPHNVRIHAARMPLKELLRLHPELEPYLDASAH ADGFPVVSIVKGMSAVHVRLPSLEALGKVTTPTGGYDIPAATPMEGGYLDEGWDVPGH VAIYFRVHDVWDEKLQKNVIRSRMLAGTLEDPATGSACSGMCSYLSLMEGKSATYQVV QGAEMGQRSEISVRVELKEDGKEVQKVQLQGSAVKVAEGELLL UREG_02631 MPPGPVLNSLHGSANRESKLESTCGPSVAAQLDGRADRGANHTM RAVSKASMGSSINCDPRDRDLPLPPIPALPKSYSIGNKMIQSRPDRAQIRPKTPTSSS TSTPPKAARGMEVPKNLNSAASHTNEKPDVPVNRRPRAAIQNCYVTAKYSSAPAMITE PLIDLTPPRQMQLSVTACPALAPSGISSLATNEKEENVTLVLQAQPQQYWLGRLSTLV NSLQYEDAFDEPDPMITHRAPSRTKLLKSCGIESIEEFNIKRAFAALEKACMTSEATK SLHRFKDEYERRYTKSGVPRPAKGGNKAGLADGRQTVVRVGMKAAGGAKGK UREG_02632 MAASSSRSLEYLESLPGTVFLKLYQQPSTALAIFRRMLPHLAKC FVMALLYLKDPLPAAELELWVKTESKRERDNALSILSRLHILSSTTTANHVRAFMVTN PFSSSLRQALTGGDKQQSFGVISTTPDPQPMTIADLDDYARRQWEGVLGYMVGTNTLG IQRESVTLSKGVKSLLQACHLVEVRDRRVEITKEGFAFVLQDVNTQVWHILVLYVENA EAIGMDSVEVLSFLFLLSSLELGQSYEKQHLSSTQLRTLADLTDFGIVYQHSPASEAT RFYPTRLATTLTSDSMALASPMSVHPSGRGNGHHS UREG_02633 MGLQMRPAMARSLDMRPTVNDLQEENQWVALARGHWLKSSKPRK VRPETIKSDIWDPLVSEGFSLHSLLLLENLHILEKYLWPTYSEDASNYHVLLIAVIVG IKQREHLPIWEHFSDRPDDFSNLFRRILSMNLDSTLSTTSRIYLLSFVISAFQSLENP RVRKECAPLVSISIWHNLFSVESCNNQLEQSAALKRAWRVSTKRYDMADDGGKARIRF ERSWLYSMLLDFLQRLKSLEEGNDDSMRYCERFMELLVDLESQLPTRRYVNTLLKDLH ILPILHNSAVLKTGTGLLLRDFYNLLRHFIEFSIDDQSGQQLSPQESYDIHCQRLARL QRVAIKQFKSKLTLLALANYGSIEKRSELEAHLSDLDDAQLESLCSALGFRTKYPEQT GIQADRKLLLEIIVSAFERRASFQKVASDLSVLPTEKSLYEPALLRNEVYDGSRPLAV PKLNLQYLSVGDFLWRSLLLYRAESFFEIKADLESVVKRMAPRISRETKQTTFEGFSR MAIPISKPAIIEVAPAKIGSASPAHVRAEILLDVSRLTDNIRREWETLRPDDVIFLLA VGATSPISTLSFNSTNKPEDIGILHVRCAEIVQILDANGRMIREPQNVQQNGHFRQRP NQRRLLVNLDARTYKADLDARGKDQPDIYSSINVIVRRKGRENNFKPILETVQALTTA DTKLPSWLQEVFLGYGDPRSATYPNLGTKVKSIDYRDTFLDWDHLVESFPDRSIEPEA GRNAGFGRPFVLEMDDNQSKGETLNPSRKRRRDPDETVPPSKSSIRVSTYKPVNRGPY IVDTPKMNQIRFTPAQVNAIVSGTQPGLTIIVGPPGTGKTDVATQIISNIYHNFPNER TLLVAHSNQALNQLFQKIIALDIDERHLLRLGHGEEELETEASYSKFGRVESFLENRS GYLSEIDRLAASIGAEGAHGNSCETAGYFNTVHIQPAWTKFWDTARLEESSCESIVQA FPFHSFFANAPQPLFKPEASKEEVCDIAAGCQRHLDKIFSELEDIRPFEILRQQRDRA NYLLVKEARIIAMTSTHAAMRRQEIANLGFHYDNVIMEEAAQVTEIESVIPCALQNTI NGELPLKRVVLCGDHLQNSPIVQNIAFRQYANFEQTLFLRLVRLGVPTITLDQQGRAR PSIAELFKWRYERLGNLPTVENGEEFKIANAGFRYDYQFINVPNYQGTGEREPSPHFI QNLGEAEYAVALFQYMRLLGYSASKISILTTYAGQRALIKDVLNHRCAKNSLFGLPRI VTTVDKYQGEQNDYIILSLTRTKTVGYLRDVRRLTVALSRARLGLYILGRREVFESCF ELKPAFDILAQRPDKLMLVPGELFPTSRALDEDVSGTPMESIEHIGQYVYEMTQAKIK AMGDEMTALDATPMDEDEELGGIEEEAFDDAARESLLD UREG_02634 MAVGDIRSVQVYRKHVQELLEKATEVKQSSAIEPPVTESDLGDA IRWIDDDGEDASKSAQAAYAAVETAFREKFYRLLATTSINEPNFVDIWNLLDIVSIFS DNERCEPGLIFWLVEELLDSQTIDGCRKVFDYLESRRERNTASFPLGDKSSVNLRGEY HTENITTFDELPTSASAEEDAADVEMRDAEPPKALEDSTKLETATNLQTSAEPDGQRR KPSTATPSQTEDASIDMDALYPIFWGLQASFSSPTRLFDPEHFASFKKGLESTISNFQ KVSIDLEKRGMTKGSEETSRRGIKRKRTENGSEAANTFNPKYLTSRDLFELEANDVAF RRHILVQSLIILDFLISLTPRAKAKLADATNKSVLYSYVLSDEDAKWATQMKSSIAGY LQQGLDGKFYYRMVDTVLTRDKNWVRWKAEACPAIEKAPIQVQEYLDTQSGVMKLTTN KRLRATPLGSLDLKFLSEDANLGNLDRLREADRFSNPSPDSYMRGIADDEFNIEMAQN DDEKEEAARGKASKAWRVLRLSSRSKLNKFDKIDDGKNLKILFESPPPEKPGSEVEGG EGQEIGSVKNESTKENDTVEGDSLARHAANLTHPGTKNHQKLVPASSPSLAAHQRCRC FKSILSAAKDERSKQGLSLVSRSSSRLQLGYVISASCAPEMIGNYVFSSFISENFTRH LSHSWAREELWADSLHITPIGQISLFDCFGPMDDRCRRSGEDVFGDDIVPLSTDPAIW SLSLSGINSVHVDSGS UREG_02635 MGTCETNPVGLLSAGTCRLSNTWSHESEPYGKIGGTYPSAYAWT LPSLERHRVETNERYNDETEAQSPCHDEQQSEDGYPSMTSTISEEKASKRKMKRFRLT HNQTRYLMNEFTRQAHPDAAHRERLSREIPGLSPRQVQVWFQNRRAKLKRLSTDDRER VLKSRAVPENFDMAKALRWPYTNYSKTPVTTISTNGDNTSGRKDPPIIIESIKFTGEE YVTAPLNNLSSHGYYAPTPLSVPESNTDSDGTTPNPSITERKIPFMPWTYPQIPTPPL EQTVPFESRRSGESASPSTSLWSRVSSGNNTTHLSPAKLTPPTLNCGGITPLTPLSAK SFTDKSVMSSQLEERGPATGVISSTPHSASSEDQLVQPRPCFGLSSFDISYPQDSSPT MGFAAHSLAFEPNARFVELPYPVDPVRNVWDIGP UREG_02636 MSTTMRGLVQFIADLRNARARELEEKRINKELANIRQKFKDGNL NGYQKKKYVCKLLYVYIQGYDVDFGHLEAVNLISATKYSEKQIGYLAVTLFLHEQHEL LHLVVNSIRKDLLDHNELNNCLALHAVANVGGREMGEALSADVHRLLISPTSKAFVKK KAALTLLRLYRKYPGIVQQEWAERIISLMDDPDIGVTLSVSSLVSALAQDNPEQYKGS YVKAAQRLKRIVVDKDIPADYIYYKVPCPWIQVKFLRLLQYYPPSEDTHVRELIRQSL QEIMNSAVDMPKNVQQNNAQNAVLFEAINLLIHLESEQALMIQISARLGKFIQSRETN VRYLGLEAMAHFAARAETLDPIKKHQSIIIGSLRDRDISVRRKGLDLLYSMCDTSNAR PIVNELLKYLQTADYAIREEMVLKIAILTEKYATDAQWYVDISLKLLHVAGEHVSDEV WQRVIQVVTNNEELQAYAAQNILGYIKGDCHDSLVKIGAYILGEFGHLIADNKGSSPI EQLLALYAKMSYCSDHSRAFILSCFIKFVNLFPEIKPQLLQVFGAYSHSPDSELQQRA YEYLQLASLPTDDLLRTVCDEMPPFSERISVLLSRLHQKSGGTTDKRTWVVGGKHANT DEKEFMLTQKPNLRRTFTTIANGTSGSNGAPKAAASNDLAGLDLMTTSDAPMPNFASA AHLSPDWYAGFNRLYFAEEGVLFEDAQIQVGIRSEYRGHLGVCKLYFTNKASFSIGSF TTTLDNPSPGGLKVDTKSLPDPDVLPASQTQQTVCFESIGAFIQAPTIRISYLAGALQ AYTLKLPVLMHRYMEASGLASEEFFKRWRQIGGAPLEAQSTFGLVNKTQRMNEASTRK VIEGFKWKLLPGVDPNPKNIVGCAVYQVESRKTGCLMRLEPNYEKQMYRITIRATQED VPSALVKLMEERLSQGGANDSE UREG_02637 MCCSGSETEERPIRTEYPVSNCARSPTMSTSSAAEPLTEMPSPS RTSALLANLSSVTSRISAATTGNPVRLVAVSKLKPASDILALHSPPTSHIHFGENYLQ ELLEKSKILPPEIRWHFIGGLQSNKCVTLARDVRGLWAVESVDTQKKASLLDKGWGER SNSQEGQQQEPLRVFVQVNTSGEESKSGVEPSNAVELCRFIQEKCPRLKLQGLMTIGA IARSKATTPETQNEDFVCLRETRDNVCQELGWEGEDKLELSMGMSEDFEGAIAMGSNE VRVGSTIFGARPPKGEAKVTS UREG_02638 MQNAPASDGTNWFGNDDGPRASASTYASTLPPHNELEKRNHVEL VQERYEAFPADAIASTPDRKFSLRRYCRQSGREVCHSLRKYRRAPGEKRGASFPWTGF PSFWPKSNAQRNPRIDLRRQDSGYKSGLEEDAISQRKECAIDDTPFPQDEPSPTNTIK LEFSNYAHVDIERRGSRISKRYDYEYWSTKYQWRRSIQHDTCSETLSYHLYDLNKPKP VAHIVPESLAPMEALDEESKGGWVPPSSIWISDASIFEKMSDIAEWVLP UREG_02639 MQACDRCHRRKSRCDKQVPVCGPCLKAGVPCAYTDRSKEPTYRK EVVERLERRLKQCESTNRALAARLASANSQLAAATGVKGIAGEDRADALSTAHPHDQH QSRSESDNEVTDEVSFLSLTAAGERQFLGSGSGVLFANLVRATVEATSAPTSSITHKS REFQRNSPYPPNGLPASFSSTPKTDISQIPPEQFARDLHHAYFEHDHICYPFLHRPTV LASFEQIYVDPSFLDRDHSAAFVYYMILAISSVDYHKFDWQTRPDAENFHAIALARLN EVLQLGGIKALQAILLLVQYRMRSSIQDTSASTICPFGCIGYLAVSLMSSSVVSITLG RPFAVRLEDIDVSLPDSQFDLDITPPGSSFYPSNGETFSRTALFVHIVRYRILCGKIL ASLHSSQRSRPKIEASSALEMRENLAAELEAWRHDTVSLNLPAVDLTSAIPGDRSSFR AHEWYEILYHNAILMLYRPSPAFSALTVKAPVAIQTIFMAAKQSITLYAHLHRSRRIN YTWITLHAVFMAGLSYVYAVGRHFRAKRRLATGGHPTTMLDEDPSIIEIVNETRACSN VLVAVSERWSASRHTHEVFHRLSDAVLADAIELLSSPPPSSSTAGQHSQTPIPMAGLS SPSNMSMSGIAPMGNNNMEPSWPVPEMVASPPLAVDSVLRDCFRDLQNIHEHSCGDDP IGRLSQDWLGEIGGMSLDEVQIWAG UREG_02640 MASIAPANGIHVVQEPVTPPQPAASPNPPHDPSHEEHQYLNLIR SILTTGEHRPDRTGTGTRSLFAPPQLRFSLSKPGPASSSAPIPILPLLTTKRVFLRAV ILELLWFVSGSTSSIPLSEAGVKIWDGNGSREFLDSVGLSHRDVGDLGPVYGFQWRHF GAEYVDAKADYTGKGVDQIVEVVRKLKENPYDRRIILSAWNPADLKKMALPPCHMFAQ FYVSFAPDANGETGKRQKGTLHCLLYQRSCDMGLGVPFNIASYAILTHMLAHAADLYP GTLTHTMGDAHVYLDHVEALQEQLTREPTAFPELKIKREDRGSGEMDGWEESDFEVIG YKPHKMIKMKMSV UREG_02641 MPPSSPPLSGHRQESFTQMGPEHDLDAIGKHCQFEYCRQLDFLP FRCESCKNTFCLDHRTETAHKCPKEGEWAAARRRKEGGATRNNSMLSPNTKPTIYNTT QCSHPQCKTLIHTLQNPGVHCKNCNRQYCLSHRLQEDHDCSKLIPLGARPAGGKLASV SQTNVDKARSAFSKLRAWGKDKSSNVAANLAPKPKPPSSAAARTANLNALKRSAKGDS KIDVSKRFYLHVEASADTTKSKYPSGDFYFDAGWSVGRLLDDAAKRLQVQNGRMNG UREG_02642 MSPAEHAGSPGRQQQLTTNNGRDQLASSFNQLSLASPGAPNRSS PSRTPSQSYRSLDRIQPPRYESSSYRPPVPRRTPSINSLRDERRASTPSLKKRLSTAS LRSVQNSNGVGSPRASTSRRASSQFLTPSRTMMRPGSPSMSECPSPPPPLTAASVAAD HFAKELALHQSTNLQSRAVVVLQDSCFGHRYSRPRTSKVGLESIVERPERLHAAILGL AAAYVRMGKRWGHHRFAPHPDLDLSRLPVPPFQIRKTTRTMPLNSPAVTHVHGVKWMA ELKTMCDAAESRLAAGGKELVRPTSSGSDASRSASKLHEGDLYLCPESLNAFEGALGG VCEAVDAVFENDATRRAFVCVRPPGHHCSSDYPSGFCWLNNVHVGIAHASMTHGLTHA AIIDFDLHHGDGSQTIAWEQNQKAISGHRNALPHQKVKIGYFSLHDINSYPCESGDEE KVMNASVCIDNAHGQSVWNVHLESWNDATEFWQLYNTKYTVLLDKARGFLRSQAQQIS ASTSYVRPKAAIFISAGFDASEWEGVGMQRHQVNVPTDFYAKFTLDIIRLAEEEDLAV DGRVISVLEGGYSDRALTSGVFSHISALAVTQNSVDHTENNSRLISEMFNRLDLEDQS GQGSSSGLTEEPVAFDTTWWSVPMLEELEAISQRPPPPPIRKSRDKGQPNFLAHTQAS AAKAVAPVKDRGALFSHDGTPDEPYSVPEADWALATVELSKAIIPTDRQTLSLKYADL KGENGRTKRERHSLTSSTELESVSERGHMQLRERKPKASVFPELQPGNSRPARSTRRT TIASSSDLPDPTLLETPIEALEEAQVPPPPLSRKLSVTSSAVSATSKDSTTRKPREAP NRPVSRTQAPTSRPATSLVLNVPKQRAATSGRTPGKNGRSLSKRAPPPDEKLDNAIES PSSTRAISLNEGIDTISSGVRKIQLRLKVPTPEEHAAREAARIAEERSRAASRPPKKS SVARAQKQYPGKPAAKSSRTMTPAAASKVELKPVTIDPPTIPLPAESNPISPTEILVS NTALSPEEQRGTNNQSFPSPPLTPLSPFTQPQKTVQSAPPTTYTKESLPVFTSTSAIP FGPPPVKDSGSY UREG_02643 MKFVPLLAFGATALAASIPPQHEGKFLIELAPGQTKWVTEDEKY ALKVEGKDFFDITHEVENNITPSSFSVQAAPNYPKVMQQEDKVKAMVANLSAQRIQRD LTMFSSYHNRYYTSRTGVQSATWMMEQVQAILQSSGAARNGAKVEKFMHRFAQFSIIA TIPGKSANTVVVGAHQDSINLRDRNGPAPGADDNGSGSVTILEALRGVLQNQEVVQGN APNTMEFHWYAGEELGLLGSADIFARYSQQRRQIKAMLNQDMTGYVRPGAREEMGVIT DNVNSQLTNFARSLVGKYTRLPTVNTRCGYACSDHASAHRYGFPAAMIAEGAISNLRP GIHTPSDVLRGLNFNHMLEHAKLVVGFMTELAFQENL UREG_02644 MADTTGSRNPFARRTSHGPKAINAYRALTPLSWLLVVVFGIYYS VRRPDDDHHGSTIGKQAEINPTPFSQTKTITTIYWVILLLSQLGYMGQLWSSSAERLT AAANVAPYYILNNLFILSFVLLWVRSHFWGAEIFDIASLINQGMLYWRYPGLPQLIHL PAVAGPYAWSITTLFWNGAVAVGGYSLPKRIVANVFIWVMFLFGQAHVAHRSDQALGY SFSLLTLSLALKQFSLKIISLQWIFAFVIFGLFLVSSLYSSTARYHKRDFFFRSLTEP EAGDRERQPLLGNE UREG_02645 MAVKNEPRRVQHIERKELYTNLEARIRYLHNFLDFNSNDIEALI TGSKYIKTLIPAVVNIVYKKLLQYDITARAFQTRSTSFEGSLDEQPDENSPQILHRKM FLRGYLNRLCSDPSKMEFWEYLDKVGMMHVGRGREHPLHIEFVHIGANLGFIQNVLTE ALLSHPRLPLSRKIALVTAIGKVIWVQNDLFAKWYVRDGDEFADDMEAVAVEREGYLH GKKMIVGEGSESETDGEAASSATATCPFTGMAKGVSGMHIEEQKQNVMPKEHSPSELP G UREG_02646 MPFEPLSATGQNLIDTATTVINNIPVSDFYSVASTAISDDGRVF SGVNVYHFTGGPCAELVTLGVAAAAGAQKLTHIVAVANQNRGILSPCGRCRQVLTDLH PGIKVVVVGKEGALMLWPRLYAQWKHAGKPPYLIGSSHFGCQGNSVYKHTHVKLTTSL LSLRVKATLTPRTKELYCEE UREG_02647 MAHNLNGGNGNPAPPSYNEEPPSYYNDSVLTYDTNDDVTAPISE HGRQDGSTMRLLSPSEGSYVDENVDRYSAEADVGGAHFRGSHNGIVKSSIPSSVPSES QQNKDAEAPGYAPRNSSLPIYCPPAEMPSTLLQCPVNTVRTMSLIQNGNKATKDKEIK PQKSVSFAVPKPAPTLRMVVDPLMIPPPLRLATPKPPYPPPGSSRYQPLHQHNHSTTS FAPSRPPSSLSNAPSLPPPSSSGDRPGSPTRPWTPSGATDRERRPPSITNYEPAEING SPRPGTPSSRYGGSPRRPLPPAPLFSAPSAGETSIDIGSEVDDPFGGNGRTIDSKPGS RASMRSYSSYLTESTMVTDEKDTMSKVDLDDDWSETNTDPNLHYGPAPTGRQDRRGVR QAQMTKKEVKLINGELVLECKIPTILHSFLPRRDDREFTHMRYTAVTCDPDDFVPRGY KLRQNIGTTMRETELFVCITMYNEDEINFTRTMHGVMRNISHFCSRTKSRTWGKDGWK KIVVCIIADGRQKVHPRTLNALAAMGVYQDGFATNVVNQKEVTAHVYEYTTQVSLDSD MKFKGAEKGIVPCQVIFCLKERNQKKLNSHRWFFNAFGRALTPNVCILLDVGTKPAPT ALYHLWKAFDQDSNVAGAAGEIKAGKGKGWLGLFNPLVASQNFEYKMSNILDKPLESV FGYITVLPGALSAYRYHALQNDSTGHGPLSQYFKGEMLHGKNADVFTANMYLAEDRIL CWELVAKREDQWILKFVKNAVGETDVPDAVPEFISQRRRWLNGAFFAAVYSLTHFRQI WKTDHSVMRKILLHIEFIYQFVSLIFTFFSLANFYITFYFIAGTLASKDIDPFGHNIG KIIFVILRYACVLLICLQFILSMGNRPQGAKKMFMSSMIVYGIIMAYTTFAALYMVVI QLKQLNDPKKKDQNLGSNVFTNIIVSTLSTVGLYFFMSFMYLDPWHMFTSSLQYFALL PSYLCTLQVYAFCNTHDVTWGTKGDNVIHTDLGIARTTDPSTVELEMPSEQLDIDSGY DEALRNLRDRLEVKAPEVSEAQMQEDYYRAVRTYMVSIWVIANATLAMAVSESYSQHQ VGSNGYLAFVLWAVAGLAVFRALGSTAFAVLNVVHKIAEGRLKLTAGSNSSSSVGSAA VLSSKGFGAHRNMGEKVRDWFSETGWNIKRKADRIAFWKK UREG_02648 MRTAIRPCRKIFADTSLPAPCRARSFSAGARGVSSSRPGRSARG TQCDNGIKFAYSGRGRKVDMNGRRCIGEARRMASTSTAIGIQDKSCGGSHGPIEEYNL RVQSGRLRDDDHQRAIIERLQDLHQVLKGYDPPTVVHPSLADLNAPRKSSFFDTLFGR GSKSSGITTRLPENLPKGLYMHGDVGCGKTMLMDLFYDTLPANIGSKTRIHFHNFMQD VHRRLHVVKMKHGTDFDGVPFVAAQIAEQSSVLCFDEFQCTDVADAMILRRLLESLMS HGVVLVTTSNRHPDELYKNGIQRQSFIPCIQLLKNTLTVLNLNSTTDYRKIPRPPSGV YHQPLGLQADHHADKWFEYLGDFKNDPPHRAVHQVWGRDVVVPEASGAAARFTFDELI GKATSAADYLELMRSYNAFIITNVPGMTLHQRDLARRFITFIDAVYESRAKLVLTTAV PLSNLFLSPAEIRESISHTPKSSAANSKPISSASSSSNSSSTESEEDMDNAMRQLMDD LGLSMSELKSTSIFSGDEERFAFARALSRLAEMESKEWVERGMGMGMDTRAGLEEKQA WDKMRSRWREDRM UREG_02649 MKAIRRSLKGDKDQKPQHVSITPKSAIAILPPKKVIRALFDYTP DPANTHELAFSKGDFFHVISREDDSDWYEACNPLSSSARGLVPVSFFEVIGKTERNSG GSVGGLPEERPEFHNAESFERSSPPATAITTTSESATTNTTKQGARARMSSIGKTVGA MVYGVVQYDFHAERPDELEARAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFIEV RDMTTGQPVSDPHEAVKRAGVPRVEEWKKMTAEYKNSSITLGKFEAGGVQSAAAEIEK MSLNNSGLHNSNDYKLLAMPPHISRCQLVRQLFAPRAGDFEMDPTMMTDEYRLSSGSQ PSSGHDPSPSASRQSSQGQMNNYPSHQRSQGSVSQSSVSRIDPQQMMIRQGSALTQAS VSSTSNANAIRIKVFFQDDIIVVRVPADIMLEQLRDKIRDRLKVEEDILLRYKDEPSR GFVDLMSDADLEVAIQRNTKLMVYVGLA UREG_02650 MNAASPPWRASAAPQYSSPATPAYIPVQARRSLASQSQATAETN ATDPSMKPAATQSGKRVEWPPGVRQYVQRSFVPEYQIQGITREDMEKKLKQIITDAAE SNNLHNVDWAALPLPQEMIRDERNRALPYPTRQQSVVFDKSATTLAERPLKDKHSPKR RSAEISTATEDSVESIPPWRRKANSRTELEDRISFTSPTDKRRRIDINDYSNVNSKIS SKFNSVLESRKRRFEDTNSGYQSTPNTRSVSPPRNIDEGPIIGRCQNLEKNYFRLTAP PNPDTVRPMPVLKKTLDMLKKKWKAENNYNYVCDQFKSMRQDLTVQHIKNEFTVSVYE IHARIALEKGDLGEYNQCQTQLLALYAMNLGGHPMEFKAYRILYFIYTRNRTAINNAL ADLTPAEAADPAVRHALDVRSSLALGNYHRYFQLYLDTPNMGAYLMDMFVDRERLNAL ACICKAYKPDVNIRFITEELGFESDEQAARFILDHVPDELLQEKPDGVKLVTAKAQPF FEAARSEAHRIVDIKGQI UREG_02651 MVGLYEGKYKIPDYQNGHAYLTSHRICYVGASEPRKYSVAIDLK EVDRVEYQAGFLRSSPKVAIYPKTLKKHTGPSAARAIAHAPLPTYSASQRAASPSSEA FSPISASATWVCPICTFSNPVPSNFDPSTATASTPLPPCLACGIKPPLTVILKAAIAS AANRGVLTTPLPLPTPARQRLDTAGLDSIRDGHLSSGPANQGHITTCPRCTFANHPSL LECEMCGSSLKRLNNATPDRSDSPASLFLTSQLENTEINESMKLSFRGGGEKIFHERL NDALVQRKWLLHDAPPIPQAPSTASQAASNTESGSSPTMEGSTRLGGVGIAGLERRGF QTRKKNEAVIGSAFEDLEALMASAKEIVALAETLAAEAGTKSNDPSVEANTVISQSAA ALGMITTKDMLGAGSSSENLYLSELSRNLAEYLTDDRESVLRREGGIISLIDLWAVFN RRRNGVELISPSDFYKATELWEKLKLPVRLRRFKSGLLVVQPHDWTDERCIRLLESWL NELQTQPPAVEVYWDWTTYGRGVTAQEAAQRFGWSVGVAAEELEMAEDRGVLCREEGI EGTRFWRNHLCSGNEGETKAHNIMGLLATEPILQNATVRPLWALSHGRVVLNPACTAR HDPLKKHRRTIGWYKRGGGGDWYSRVEAKLQRPIEKANHAPHGVRPRSGGCRSRGGNI WGWPRFGTSKFDKEKKLANGWSAWEWDHSAWEKTFSAEQERFEREFEDLKRQIDADPY GALFGERLRRLRPFSHGPKDASLSSFFRYIFGIDNSAASTGRCSADEDTTASKRTTRM QRDLTSNSRKEPKFQFDPVSGRMIPKSIGNTILDKESLEAAVNDVVDIPRPNSGSKLP NDNKERHSSMEMSEPSGALDQDHSKTPIGMRQRGNQTDDLPDLHSINGALGQQSKDGV WLMGGPQTNNTGPIFTTRGTSYAEDKEPSDAFASPVRSAQSLFANFKDYLSPKHETHR HQSTMENTSGSEESHSGEALRKGPTVSHNALYTDPERLNIETLRANDVRAAFEQRKSE LEAQTWESRADIEADSQPLRTSEAHGIQSETVSTSHCATPPVTSAVSDHTIRDNDENL VKEIRTIYENAYGKIVTTQGEAPGVTGTGSLSSTTSVEQSKESEFIENLQARISALLS KASATLDEVRSTNDAIKTSLQDAFKSATDSQSNSLTDYRVLAYDSSNMQIRDAGITST FPPSFTPLHPIEALSRLNTPSKFLGFFPALAAQGFEIYSASGDVLVFKKTREGGFEVA TKNEVDTPGSSASESVHSPRQAAELEEEAIDEVENYTTGSGTKTNPTTRQQEKPATSK QPEHSPREAARLEEESIQDFEAQKSKSPSSSTNVKPSAAQIDTAADPSQQSVHSPKQA ARQEQESIEDFESTDNSLRSTGLHSQSPTPVTVRRQETHFTGGPPNWSPYDPNAEPKA SLPRDEGRVEKKGGFLSSLRKAFRRALLTGVATGATFYAIGVVAEYFRTGGQDGLGPR GFTGLEGSLGCTWLTPPPALYEGHGDIAAPPILLELSSPKDNVPILRNLGDSYTSFAR PCKANVSGQPPSGRSLLDQAHPRKSDLLRFP UREG_02652 MEAVDQRIALQNMLREGEVRCMQVDFDTDISMQSATSAQNSESM SNPEVIRTSPDSASFEARQVSLHHITRTKKERHFEAIVENLLLQNQTKGKKVPKKHQQ FTLF UREG_02653 MGTVSPSPGPALTNVVVIAGKDLQFSKNKKNALDFTTSIAECCG NIMLVSSNKDGPRRPRSISKG UREG_02654 MRSLLSTFLVALVSLASLEQCEALDIHNGPQRPQYYFPRRIKRE VHRDSPAYVKRNSVIVIPMTVMIGPDGKKTTLGSPVNRLVSLGTQPVTSSETTVPATT TSEGITVSTDPTTLSQPTTPSTVPKAPVETGSSEVPRPSTSVTAIPSSSDISSGGISI GLSDILTGLPVDPTSLLPTPPSNSHRHLFRQPTPALRPTAKLPDSSAPTEGGVTPPPH EPTLKPTPTGTGGILPPILEPSNDSSSTFANPTGGISQTVSSTGLPTTSAPVLPSIIP PIIQPNVTSPSSDETPSRTGMTSSTSVIDITPTFPTLSPPPVTEIPSGTGTGPINTLS NGEIPSTTETSNSSTTPPTGTVTQTVPTETATSSTLSGIFPSDGTATGSGSGIFRPTS ATTSSVSSTPTAPSESRTIITMSTITTSPTAGTGVPTQGLSSSSTTSTVSLSTNSETM MSIPTSIVVQPTPTGTETSSATTSPTSRPKIISPPKDNTKIPPNSVAVQLGFNGSLSY DFVVQSTGATTQIFHFLPLGVAYALDIPIDQATVRSLIPYDTATNVGYTTTVAIVYIP SDLVQSLALLLRTPTSRLYNNPDPATRTIMAMLDPTIPLTGGLPNNQVPNNPGQNNPN NPGNGGNNGEPEAGPGPGGSGGNYNVRATSVGIGLGVVGGASLYGAAMFFVARRYRRR RRSQNEESLIGQRAREGEPFMSGARSDGYGSSQRNSGGGTSARTQTISPPVMAENSLG WN UREG_02655 MGVTKKIIKHGNGVDKPAKGDNIVMKYRGCLYDPNKASENYMGT QFDSTEHRGEFKIKIGIGAVIRGWDEAVPEMTLGEKSILTITE UREG_02656 MSVVPHASKAVCKIQESPLNRIAFAEWAVERGPDQFDNIEIQAA VLLCSLSRDCLAQQRGAQHQLARGQRHPRANHTRRRRHEQPEVENAGIASELTSVSAK DPAAELSTAYPRSRPVFPIDLTFEKKMREVTESDFGDNDGQGLWCTFDMLSIKAPQQC LRHECNDGDHRELFNAKERQRQHPTSTGYTQSLAGLLYPNMSLRQGKSSGVGIDRKRM RGDQEGHSTSAGYGYLFPETESIMEVRFSHVNALVFGQFTCLKLLNE UREG_02657 MAAPRVSRGTRLLPTYFQKKSSMLRIKGANLFQAYFSPENLIPY VGARYISDFDNPIRPKVFDMYQNRERGILWWTVAAGHMVNMKRVVRSWCARRVRIAFR AALKESGYDSEGRKYILGACGNGNPLSKEKALMGTLEVRMCTALLKAKPEALTEQIKL LIRAVEDNQVGRDNGQEVRRAAPARMNCPSVPMETRQYNLLTWPTWAARRKLDLRMGG SVTYLAVLYPVCAILVFSSLLRISEVVQSTEASWRITATRRGEQGGPPRMPSRAHGSE SISQKWLGVNKWSCDPAPDI UREG_02658 MPFSHHSHSGQFCPGHAVDSLEGVIQTAIQKGMRVFCLTEHMPR DSEDLYPDEPKTNTLEVMWENEAAYFKEATRLREKYQSQINIPIGFECDWIRPSSLDL IETSLNNHPFDFFMGSVHHVHTIPIDFDKEFYRKARKVAGGTDEQLFEDYFDSQYKLL TALKPPVIGHFDLIRLMSDDPNRSFKQWPQVWEKILRNLDFIAEYGGILELNSASLRK GMSEPYPKAEICKEFLARNGRFCLSDDSHGIHHVALNYKRVLDFLDVAGITTIHYLSY EPDSAAPVFDSRFPHLKVNSIGVEDLKNEQFWNLCA UREG_02659 MKVAPFPFGLNVGTDIVHIPRILRLVTRSSTAPNSYLNRFIRRI LSEQEQAVFISKFPQYQSHYARSAAAPSSIPAPPDSRDMARWLAGRFAAKEAARKAAP GGAASVSWKEAIVINEAGGNGKPEVVYLKKGDEVGQAGKLSISHDGEYVVATVIAAIP NS UREG_02660 MRSITCQGTSYEIGLQHGEQARTEIHGSMEFYKGLFKRDCSMNW EEVCKTAVKFVPLLEASFPEYLQEINGIAQGAGVGVDSILALNVRTELAYGMFNDGCT AFSWKSDAGSFLAQNWDASSLLSPQRFLKTRYTKQELIPVKVG UREG_02661 MVVYYQVAGRKVGSHVLAMATLGTTFLGAYLAMPSSPKKEQGPP IKASSKDEEQFIQDFLKNVEAEEKKTKPQ UREG_02662 MLWDRKKDEPVDDTVEQSLRRRRLNPELQRLINQEEEFLDQLYE GRSADSIDTSYRYAAYATRIKTLLLSAHRYVAYTSDIGESFRPVAHPWLVRSAYGVSW AYILGDVANEGYKAYVRNRRLLAPQDDAYRSAVAKGASSDVDTHVIAKEGLRQHQQSL KSNESTANRHPMPWHDPEADTLTPWPTRKIPLSEDYRSIMAERAVFQALASMGLPALT IHSVVKYSGRALKGAKGAFLRTWAPIGVSFVALWRTHNCSLIQFRISPIGSSAYPLSL SYHTFSISQLKKQFHGLSEKAFLYLKALMQFPKVK UREG_02663 MAKEQPPAQQTANAHPSPKSKPQSKIPEIEASIANQQRLMAQIR KQLAVSESNLSLEVERLGRMGENVRRAARDFRAIRSRCSAFRKRLCAKEKGAVAEVDG GDGGNKNELARIKSKANNGERKAGLKWWKPKERDC UREG_02664 MSGDDNLSSDSPKPTAGSVVRRDGNSLLEPHIHPPRVPVPHSHV APDILASTSKPWNTNSLGTRLVLDAASAATSAALICPIVTIIDRAIIEKAAKGLDISR SLTSSLKGLITRPHHFLVSTPFLLIYTLYSCTYLTANVIDTVVSTTEDKAFSHVSAGP VKFVSTAFVNMSICIYKDSRFAKIFGAQNQPPQGSAPSAARSSQITCHPTSKIAPAPK IPKVSLGLFGLRDSLTIFASFNVPQLISPHIPDFLASTPSSKTALAQFTIPATVQIFS TPLHLLGLDLYNRQPPGGLPATDRWTRVKRDWIPSCIARIGRILPAYGVGGVVNTKLR ANLMRSLETPSYEKDTL UREG_02665 MNSILNPSPDSKPEDCQIENPQNEKKCGHDLTTITDEPKSQDME EIRKAVEAYTPDEAKQILRKVDYRLVPLLAVLYLLAFIDRGNIANAKIAGMEKDLNLQ GSQYNVALTLFFVPYGLFEVPSNIVLKILRPSSGFFPAATYLLTIWYKRYEVQQRMAV FYAAASLSGAFSGLLAFAIEKMHGVGNYAGWRWFVFDSGHLDMLFGFELRISSHRIFI LEGLLPVALAAVVWRILPDSPEKARFLTNSEKEFIVNRLSLETGSGHGKVTNSDKIQW HHIIAAFKEWKIWAAIVLFWANTIGVYGGNPSFTATVPTVIADLGYTAAHAQLLTIPI YVLAMIVTILFAFLSDRYQQRTPFIVAGYIIATLGFTAQLAIPHPKFPGLTYGMLFVV AAGLYAPFISIVCLIGNNLAPSSKRAIASARGRGCD UREG_02666 MSNSEISPSTNGDHSPAENVSVVRKAFSKVDLAGHHLPPSPAPS SPRTGRRYALATELVYTEGNDQYKASSVPIYQSATFKQSSGGGGGEYDYTRSGNPTRT HLERHLAKIMSAQRALVVSSGMAALDVITRLLKPGDEVVTGDDLYGGTHRLLKYLSTN GGIIVHHVDTTTPERVADVLGPKTAMVLLETPTNPLIKIVDIARIAAMAHEVSPNALV AVDNTMLSPLLLNPLDLGADIVYESGTKYLSGHHDLMAGVIAVNNLSLGERLYFPINA SGCGLSPFDSWLLLRGVKTLKVRMEQQQSNAQRIAEFLEEHGFRVRYPGLKSHPQYDL HRSMARGAGAVLSFETGDVAVSERIVESAKLWAISVSFGCVNSLISMPCRMSHASIDA KTRQERAMPEDLIRLCVGIEDVDDLIDDLRRALVQAGAVDVTLDGFQATGAYPEGQNS TEATS UREG_02667 MHSPIFIHANLPIQSLSTYFVVRFLLSRLELDPEGQKHEEAKKK SAAILRRLDRGEDSDDSSSNRKKNSQGSRKQDLVLTQYEQAIAMDVVAPEDIPVSFED IGGLDDIIEELKESVIYPLTMPHLYRSSSSLLSAPSGVLLYGPPGCGKTMLAKALAHE SGACFINLHISTLTEKWYGDSNKLVNAVFSLARKLEPSIVFIDEIDAVLGTRRSGEHE ASGMVKAEFMTHWDGLTSASATGQPQRVMILGATNRIQDIDEAILRRMPKKFPVTLPA AAQRLRILALVLKDTKIDRENFDTQLLVQAMAGMSGSDIKEACRDAAMVPVRELIRFK RDTGGLMSSMNPDEVRGLRTDDFFKKAGGVKPARSFSGATQPTTKKPQSEKEWSTESE PDTVETKPELVPIADGPD UREG_02668 MPKRKAATQLSGLVDNNDGFLSDTDNNADTAGPPAKRLRGRPKA TAVKTTEVKTAKRKARSTVVVGPKRRGAKSGSAATRPQKSVETDEEIENADSLQEHGE PHDDAKGFSEDELDSPQTTTAVLQQKPEVKPVTRRGRRKAPERGIVKDGEFEYTPTGT RENKAVAKPRQTAKRSTAAVATLAVEPEGLPVNGHEEEEEEEEEEAPLEIDETAVPGH DSYESPPALSSPLKNLLRDLPGRVPTTPSQRRRTISKMDAGNESNEVMLRRKLGDATK KLESAEARYRSLREVGIVEASANVEKLRKQCESMTAASNALITSLKNELAAQHGLAKE SRTLQNRLAERDNEVSNLNSKIGAMTSDLSKAQNEIKALQAKLTAARNTAANSEQSRI PGSTGKGPSSSRAIMAANAESAQALQIAQLKEDLYSDLTGLIIRDVKKRDVDYLYDCI QTGLNGSK UREG_02669 MARLGRVGFLTLAIVFHLIYTYSIFDIYFVSPIVSGMRAYGVER DPGAKAPAKRLFLFVGDGLRADKAFQSFPDPSPPPEACPDVSNCDEEYLKPKPLAPFI RSRVLHHGTFGVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVNFDSVFNRSR HTWSWGSPDILPMFKEGAVPGRIDAETYGDEAEDFTQDATQLDIWVFDKVKALFARAR EDAALNARLREDKVVFFLHLLGLDTSGHFHRPYSKEYLHNIKVVDQGVREIAELVEGF YGDDETAFVFTADHGMSDWGSHGDGHPDNTRTPLVAWGSGVARPKTSHWGTASGHEDG FSSDWNLDHVQRHDVAQADVAALMAYLVGLEFPVNSVGKLPLDYIDGTPKEKAKAALA NVQGVLEMYHVKEEQKRSSTLRYQPYGPFAESDRSPDAQISAIQELIARKKYEDAIAR SSELLEEALGGFRYLQTYDWLFLRAIVTAGYLGWIAYALITVIDLHVLHESSQPVRTT LSMMVFSSCLIGLYSVLWVQKSSWRYYAYAIFPVFFWEEVVSKRRALNAGRQILFSHI QSFSGYFLLALQLVVYVGILQALVQSYFHREIYTACYLIAGFWPVFYGFSFLSRNKLL VATWFVGCGLLSVFTLLPVLKTEDSNMITTGGALMLATGLLYLVFEDSLTTQSENGTR KSSSNAARVIMGIQLGVILLTMIITRSSITALQAKKGLPLGNQVMGWVAMVSSLLLPL IHRLYPNSHYLHRLVIIFLTFSPTFIILTISYEGLFYFTFCVSLLTWVRLEHRIYEHT LSSTTSSTGPENDANEETRTRDGTPYTMQTFKNAYRSLTLSDARIALFFLFLLQSAFF STGNIASISSFSLESVCRLIPVFNPFAQTALLIFKILIPFAVISANLGVLNHRLGVAP SALFMIVMAISDVMTLNFFYMVRDEGSWLDIGTTISHFCIASGMSVFVAGLEFLSEVF ISGIEFEKEVSGGETAYVPSEGNGKAPTTSNGETHASVQNGVGDGIKKVAN UREG_02670 MDPIIFTPRLKLTLVTKAERGSPELEWLHELRSSKEATWWSIHG QSKSIEDTESNMKHYLPAGGEENSYRVVYVVHKILEPLDSAAENQAHIKDQREKPTEV AGLVILKSLDAGNLAIPEELTVPAAAASTTLTTELGYSFLPTGWGKGYATESLKAVLE SCKRAQSFWAPFSKVYVRAIVNEENPASLRVMEKAGVPKKGVYVAEGEPIFLAGKWRG MANLHIYGMYLIE UREG_02671 MFRDRQEDAKPGVNWTAPSFHDDDPRLQSHIQRFDNPITSCGLR LAPLFTWRYHPGMGKFDLDSVPRLEKRMAEVDVLNSRFTRETVREDRSIGRLQAAEPC TLSNCVQRLFPPELGNQVPRRTQISMMDPMRGGFLECGLRVRQSGQILVPIFEPPRER RIHASIVLSKRPDSPFAVTMVESFSLFFWSLGTQKGGFPILVKHAAEVMPGTVLMLRA GCFSKGRSLCENEHACLAAQTS UREG_02672 MNHTAADQKADSDQAMTDRDDSMAPSTVTPAAEADVGSRMDGDA PPSADASKSGSVPASSTTAGNPSFRRQRASRACETCHARKVRCDAASLGVPCTNCVAF SIECKIPTPKRKKNQKAKDGTGDENFSTNPNASQREGSGGPRSKPVNGMPATSLTEAQ AAEQAQHNHSYVQFMKPKFARAPITEAGRVAYLGESSNLSILVHDRHGTTDVVHYPLP ENIRGSRARLTELDSLEIDILHQRGAFLLPPRSLCDELVDAYFKWVAPAVPIISKSRF MKRYRDPKNPPSILLLQAVLLAGSRDDRVTIVQALVLMGWYWEGPEAIIVAQGSGMHR SVEASQLSRADKRLWKRIWWTLFTRDRSVAVALGRPVNINIDDSDVEMLTEDDFIEDE ADSPAEFPPDPIHVQFFLQYVKLCEIMGLVLSQQYSVASKYRRTNAMDLTHSDMALAD WLQNCPREVYWERNRHHFWSALLHSHYYTTLCLLHRAHMPPATGVHQPAPDGLAYPSR TIAFQAAAMITSIVENLQTHDQLRYTPAFMLVFSTVPVMRSSVPSVVSTTQERITICM NALKEVSKVWLVAKMVCTLFESILGNKALEERLQRAAGKRHHKQKAKNDAAAPPKKPE PPKRKFDDLDISIPSGPPAHQVSYERSRPQTPAATPSIQPAQIASTGPLQLSPHPQHR GSKDGLLNPSGHTGTTRPTSPFNPSFSMPTTPPDFFLVTRNSPNLSQSLWENFQPDQL FPDGTNISGTGFSPSSGTAVDPQLQMSQHLQPTGMGSQPMGMHQQQHLPSRGPRAPHE SPTMMQGMQGMGGMAHQQHLQPGMPMTQPWMFDGNMHLDASSPDDNWSNSSRGQGPVV PPTLNVEDWFQFFGINGLDSLGMDQIVFSDLFLAPGSEASTTQILVFHAFLTEVASNA SIFIV UREG_02673 MSSDANPLDPFNSSSTSATSVAASTVRPRNRRLISFVGDGADEA GGLGAGSVSQQAGYTPGATGTLTAGPSSLRNATPSPYSSRTVSPNPRRQFTRSPSGFA GAETFSNGLRTRSGWSANSSGRKSSGFAADLLDTSWSSLQGFASAVMGTSNAHANNRS PLNGSRQRKPSESDYFENGGRKRAGLPATWGPSGPHVTQTPPGSKEDRRAIIQSKKRE LLLQANGDSMPDSQGNYKRRTSLEYSPSPARRVEQDDDDSLVYIHRVQPTDSLTGVSI RYGCPLPVLRKSNGFWPSDSIQSRKIVVLPVASCTLKGRRIHVDHNPNQLNRDTNDAD DSLNDNSSLVPNTSSTQASRAGESSGGLDDPFFSSQPGKDSSQAPLWKHESWVEVDGF SSPVELGRVARRTLGFFPRARRKSQAHSEAYSDLDTSPSKNQTHRTFSHSPIPHSRGR STTNSSNISSSSRSHQRNHSIVLFGPGGVGTLDRNATGPGPAPDKLNAFVSAHLPNLA ISSQPPPVMGSFHGYNDRISFDSESTLHSSSSTTGLENIGGAIEGWFRKVATKAKSGL NELQQPIQPHDHLGIGGNGDLIELNEASEAGRANSPGWRENTQRRDNRQISSSSNASA PWGSVRGRGIGLKESAKTNGD UREG_02674 MAQIIDDKSQYCIPFLLERLELYHDQHANNPDPPPFFVGLNGVQ GAGKTVLVTTLRSTLSAPPYNLPTVTFSLDDIYLTHDEQLRLAASYPGNPLLQHRGQP STHDVPLGKRVFDSLKKGLPTKIPAYDKAAFNGQGDRVPEDQWELVNDVAQGQERVKV VIFEGWCVGFRALPEEDVRAAWEDAVEKKNKGGYDGQLANIKLEDVLTVNEALKQYDA LTEDASNIHNVYDWRQEQERTLLATKGTGMTPDQVIQFVNGYYPAYELFTSRVRRGIF NPSGSVGLGSPVSHRGRQLQLTIDERRRVQDVTRI UREG_02675 MRMRKPHLKDTLPIQLCVLCDRPFCVDHKGKEDGVCEINHETYY RNHPAAQQYLYRTYEDWKKDFEEMMDDEMSVKGDVRSAGSSSYTYHASSKQERLKDAL SVLHTGRGTSLRQIARDHGVPWSTLRSHVAGTRPSTQADQRRFSASEEAEIVQWVEVL TAWGWTLSLRRLDDMARYLLTRRGDGQPLGRMWRTRFLKRYPELKRLANWRLASSSLP PPEFSSIQHWLRSIVWTHQIPPSGVY UREG_02676 MDRPCASCDRNLPQSSYTANQYSKGPGVSRCASCVHGHPRDNSS AKQSDSGRYNISSRATFPIDALDNPFAQGAFRWVAKGKYTSGPRSGQACVIKWFKTGA VFSDDYFTLDIKAIDKALEIVNRFNQLDIITKVVKINIAAVWVFDDDASDEWAGQNHL CEPFIQNYQKFNSNSGWNDGSQGWGEVMQALSHFSYHLSGGNYVLCDLQGGVYQHEVV LSDPVILSRNREYGVTDLGSDGISSFFSQHKCNNYCHPTWTQPARPVQHFRPVPGTTM IRRSVPTHHSRPARTRYFG UREG_02677 MSDLRYDGQTVVVTGAGGGLGKAYALFFASRGANVVVNDLGVSH TGEGQSSKGADLVVNEIRAKGGKAVANYDSVEFGDKIIETAIKNFGRIDILINNAGIL RDISFKNMKDQDWDLINKVHVYGSYKCARAAWPYFRKQKFGRVINTASAAGLFGNFGQ TNYSAAKLAMVGFTETLAKEGIKYNIHANVIAPIAASRMTETIMPPEVLENLKPEWVV PLVAVLVHSSSKETGSIFEAGAGHIAKLRWERAKGALLKADDSLTPGAILAKWDQVGD FSAPSYPTGPNDFMDLLQEGMKLPSSPPAQQPDFTGKVALITGAGNGLGRSYALLFAK LGAAVVVNDLVDPEPVVQEIKKMGGKAVGSKASVEDGPAVVKPAIDAFGRIDILVNNA GILRDKAFTNMDDNLWNTVVNVHLRGTYSVTKAAWPYMLKQKYGRIVNTTSTSGIYGN FGQANYAAAKLGILGFSRALALEGAKYNILVNTIAPNAGTQMTRTVMPEEVVQAFKPD QVAPLIVLLCSDMVPEPATKGLYECGSGWFGKTRWQRSGGHGFPIDVELTPEAVASMW GKITDFDDGRADHPEDGQAGAQSIMENMSNRSGGQAKKANDENQQILDAITAAKEVKA EGTSFDYTDRDVILYNVSLGAKRTQLPLVYENDDNFQPLPTFGVVPWFNTNIPWNMGE IVANFSPMMLLHGEQYLEIRKFPIPTAAKTVSYPKLIDVVDKGNAAVVVNGYVTKDAR TGEDLFYNESTMFIRGSGGFGGSKKPSARRPAAATNAYKPPQRKPDAVVEEKTSEDQA ALYRLNGDRNPLHIDPEFSKVGGFKIPILHGLCSMGVSGKHVFSTFGAFKNIKVRFAG VVLPGQTLKTEMWKEGNVVIFQTTVVETGKPAIAGAGVELLSEKTAKL UREG_02678 MVFIPPFLRTSKPSHSRSKVLSSPSNASTASLAPASSTPITPAS SSSTPSPASPSASSATASASTTLIEALRGNPFGTSKSKCPATIRSHEEDERERLELNN FLLILAELFPDVKVEVFRELLLRFDGNSRLQVCVEQLLRYRNEWVKGRWNVPAAADAG STPASRQVAATGDEETPWAKSVPEEEKFRSDYYKKAVKAVLCHEFRSISRSAVDAVLA EVNYSYTRARPTLKHLSRKTWRATLGNILSFKKTKEKEYPPLLVWQRQPNGDVLPHLK GTGCAELDNELYDTLLAPLIAQRKEKQEDEDHKLAHELNEAEAKAADALYECSCCLSD VAFEQISMCSESSHIICFSCIQRTIREALFGQGWGNSIHNEKSTLRCLAPLPDGICGG VLDGLLVKQAILADKAGSETYQKFETRLAEEALFKSQLKLIRCPSCSYAEYDPIYHPS SRVHQYETFVYEAETNGLLAQTPHVDVAAAVLAPDVDCLSSNPQVATNSHALGPPLTR PGLEILPGGRPLRGQENLALMDGAVDGPADLDGDSDEDVPEDEEGEGYKHFCEHFRIN PGSRCTECNKCDLYMAEDEEAVAQRAGEKAEREWRHRQGISTNLSPHRAGYLNVQSYD HRNGNSRVHSRGISAPWDWDWKFDSEDWKGRYRFWAQDVWRDGRWKREAQYLVDRMVE ILIVVET UREG_02679 MAMMPSSSAGGSRTGSPFVIERDDESSFSNDLIDADDAIEADDP LASTTDRTPLTGNISSSNNDRGESSRSGGGISGSYLTSSIPGEDRRAPVNTIDESVWD TLSRDMLGVWEKMKQVLWPKYLLGGMLQRGGGGIAGAAERGESTGFGNGFSGVRELVG RWPDADVVLQGGMSEGLRDWDLWGPLIFCLLLILFFSLCA UREG_02680 MAEPETQGQNGQPNVGFPKDKKEQVEPPCRDAEELSEDGSGERP IRQKLKETSINSLSRQPSPEDKSPPAQEPASADNEERGRVRRKRSFDESKEEDNADAE TEKKDENSQRRKRSRDSKVEEKQSLPEPKENRARTPSREVPRDETGQVLSPKKKRSRD QLDKDTQTHTETRGQPSDKADAEKPTLSTNNRTEEGEPEKKRHRDDSKERDALKNEKA HASKATKSSSKDEPPKSQPMTSSSAFASSGLAAFASSEKSPFGIIGGDTSVFKTLKPT EVSAGEKKEAASTSAASPSPFTGTGASPFGSLPGGFAASAFASFASAAPKPAGGLTSF ASQTGGSNFGAGSKSKAFGSASDDEAENEQEAVDEARPAFEGLEEVKEDERFFKQDIE TGEEGETTLYSCRGKLFQFDGKEWKERGIGTFKINAVESPADTEGGAGGKKTVQSARM IMRTDAVLRVVLNSPIFKGMKVGDVSGNEPAGKQINLVGIENGKTTPFLLRTASVTAA KDAYHSIQSILSEL UREG_02681 MEFQILSDLHLETPASYDIFDIPPKAPHLALLGDIGNVRDEGFF LFLEAQLRQFHTVFFVLGNHEPFHSSWAEARNLILEFSTDVAVRADAEGLGEFVFLDQ TRYDVSNDLTILGCTLYSHVPPEHEEDVRCGLNDFYQIDDWTVEAHNAAHAANVKWLN EQVLSISRSEPGRRIVILTHHSPIIDDPRVIDPAHADSPILSGFATNMKGEECWKNAN VIMWTFGHTHFNCDFTVDDEKATRKRIFSNQRGYYFKQAAGFDVTNVVNA UREG_02682 MTKKRKAECLEDAQPLTPISTSHDDANTVTIPTKQHDGPTHSRK PPRSNPSSTASSRASSTSRSNQKPSRKPDLPWPSAFKRLSQTHRALNLVYTFCCTRKH FATTFENIKSAVETQTGGRQLTIEDVAKVKVLIPHAVRFEVVDAGVVDVLSVPEARGS RAGRAWDDWETEEMGEVVDNITQTPGDSGGKQNEVLLFEFVDGDLKKEKATGTAFRTP WDDSIRLPAYNQKHMLSLIEKRNRKFAEAIDTFLARCQNEGVDPVAKLESEKDMFLPV FGGPGTTTPAAAKLPPQIPKERKSVSEILAEIQEQEWYTGQVVPNGHRIFDAQSPVYG DLNFQLSQNVVNALYNTKGITQLYSHQAEAINNLHDGHNVIVSTSTSSGKSLIYQIPM LCELERDPQSRGMYIFPTKALAQDQRRNMQELLQYLDGLEYTMVETFDGDTPMEQRNA IRDEARIIFTNPDMLHITILPQEASWRTFLKNLKFVVVDELHVYNGLFGSHVAFIMRR LRRICASLGNYNVKFVSCSATVANPEEHMKTIFGVDEVKLTDCDGSPSGRKEFVCWNT PFKDPDDPTSGRGNSMLESARLFCQLILRGVRVIAFCRVRKQCEILLSTVRDEFRALD RSSVAKFVMGYRGGYSPQDRRQIEREMFEGKLLGIVATNALELGVDIGSLDAVITHGF PYSISNLRQQSGRAGRRNKDSLSILVGDMYATDQHYMRNPDELFTKPNCELQVDLQNE LVLEGHLQCAAYEMPIRPEEDIKYFGTQLPQLAFGRLVRDNMGFYHCHERFRPQPARC VQIRDVEQEHYAVIDTTNNRNVVIEEIEDTRVSFTLYEGAIFLHQGHTYMVKELNTDQ RFARVLRVHVDWTTQQRDFTDVDPIETEAIRPLGDASNCRAFFGAIQIHTVVYGYFKI DKRNRILDAIVLDISPIDKLSKGMWLDVPKQALEILASHNLNAAAAIHAAEHAVMSLL PTFVVSSPGDVRTECKVAIKELKEPSSASLRDHTKLPPPPRRQRPGRLTFYDAKGGAS GSGIASKAFEFVDTLLRRAVDRIESCACITPRGCVECVCDERCPELNVVMSKAGAGVV LKSLLGLEIDVDALPWGEMGMAQDSGGGVEEKELPAGLGTIVAAVEVRGKPGKVKLYE UREG_02683 MAASEASRFGQESGYSTPVPNAWNIPSNAFPARSNIQLGPSYPN TLALWHNPHRLHTSESHDFRMQSQIPQRPPEQKRHKRTKSGCFTCRARRVKCDETRPI CERCSKGKRECTYPPSPMLRKDSRSNMKMNEKYPPIRESESEENSQNSERKLDLQPRH MKDASRHNAQSVARRKMRQSPETKCASPSSDMTDLSTKSPSPVASADPDSHSLPSPTL SDQGLTSDVMFFVAYRKQNINYHHYILKSHASKFINEDMMSYALGYEPLLYAVVAFSA YHYSIAHPNGKIYTFLQYYNKSVSLLLNSLRGGENHHDAMLLTILQLAAFEEFVGDWV NLIDHHQAAHRMLVELYSPESINVDYFHRHILLWYNRYDVMAGLMSGNSMVLSREWYI ADEEFSMQDSFDHPHDLNKKVMAWGARTRRFAMDMASLFAKMSHGLITLEQFKAEKEI VDNTWSELHQFVETMKDPRYLVLSYPHQQPLEPDAVFDPYAPGVIYGDELWEVNFCFM ELITATLLYKYQTAPILQNLDLSELKTMAMEQAQRIETLSRWPNRPEEMHLWFMSPLG MAVLFLPHDEKYNMWCRKKMARNEQLGYIYPPMVRTKLADLWKTPELEHWWLPNDEGY PKIVREIRAWTKERVNDPRDTFREAVRDIKAVFGNMSLEDSSSPASTPSVHSSAYLEL SPGRSPKEQTAQPR UREG_02684 MARIITRCEGVGRRWESDGQQNLIVRAHIEQSSPVACLVLSWFV FVADEIRHVLLCKVTSPSPPHDRSQHVQIPRPSITGPMCTELLAVAREAVAPKGARHL SHLMQAETKEWSVWPAKLSAEKPLLEIPIGSKAFRAPREFRTRGCRARESCTEHIFFD PTPSYTGIFIEKTTCTPRAPVAMADEAETFIQHPLQLDPTSKAISAPTASHAGLSTEL EALNSLHRALLNLDSPNVPPPPRPINPKRSAQVSKLRDSANAAYRKAAFTEAIRLYTY AIDMALGRPAWEPLGLVREELAPLYANRAQAHMSQQDWPEGWIDAQLSIECNEETNTK AWWRGGKCLVEMGRWEEAIEWLQKGLEAEGRASDGGRELKALLDDAEKGLERMRQGHA FSKNSDPSLCLIEASLETLLGAPRQGTRSSKRSHAGGRHAGPESLGILSPCSLKISSP PVPNARLIGAGPPFRAFGFRAAAKMIWSGILWQDTQRKPATFHSTVFVCGAPSSSGLC PLEALAFEPCTPIPQGSTQRHGKQAQPEVMPKLAGRHREIFGPAAQRNTADSTPRKG UREG_02685 MLLGAGIMFHHVITINRGTGFQIRVFLLIVGVLSLAIWAHIKSG DSALHQIVFGSMVVTVGFRTFKLMKTMISNRDMRSNLRRLATWGYVVLTAAYALWLVD VFLCQHLRAIRRSIGLPLAWLFELHGW UREG_02686 MDLDEPHELDSVEPATRHIRSNSSPSARYIPTLPEPRRSAAIRS RATRLLRRDEPPQTPLAHILHLAASALAAQISGNTVPATPNVQNAGADGLEGPLESLL QGLQQIASSQEEASTNDNPTEGGFPNVNFLRVFRFSNPDSPQDHSTVSSQTPEDSGDP METDTQETGEDNPERRLLTLVVVGVRSIPVNANTGHENGNNGRLNVESLLRLSFLSAG NLLRNERGSGGLLRRGDGRSRFSTGRNSVPGHPSHDGHRHQRSSASSRRHSDAGSLAD LSSSLPTVLSESPPGPNPPPSTPADPGLSGASSVVNTPSRRPSSASALLPQLNEDSSG EAEATTQQNPAFNFPRQRRRSDSEAARHRGLGSGAARRNGVVEPDDSSPSTTRSWLIY VVGANLAENHPALTAPSLFTDNPTYEDMMLLSSLLGPVKPPVASEEDVASAGGLYRLI QYPGSLIAENMEDGTSIRVNENERCLICLCDYEAEEEVRLLAKCRHIYHRECIDEWLT TGRNSCPLCRGEGVSNANNSENSAGPEVDVA UREG_02687 MSKPSTISHFSLPLHLWQQPLSYRTIKYDPPRRAKHLAPEDTDE TASDSNSDNDDDETAPTSNRASRATSTAAKSERASSTRSSSVLLTPSEAHQYRIAGQP ANTELPGGNFPHSRQPSPHADPKRVTKKRIENDLAQLNPPVFVHGSARNSLRLRHLGV ITAILHRCLLEGDYVRAGRAWGLILRDEWGGKPIDVRTEGRWGIGAEILLWRDRQQMK GPSPSTSSSGGVSYKREWFSRRGFERAKQYYEMLILHYPYRKHAPKALGPLDFYPAMF GLWISIVQEESRAAREASLDEEEREDRYDYGYDPDERMSISSDDESKRRAKLVAEARA KELEEAQRIASQMDDLLVSFPFSDSYVLLKLRGMVSLWIGDLCVLSVSPDEAQEQGLL GRDEDGDITMMSYDDHVDSILAMMEEGLGNERKMAEVDKAKEFFQKAKARKAGPLSAT DEAALLWAAMKLSDYVHGSIPQNNDRPVSQGTSKGGISSSRLRAAELARIHVPATRLN GFSASSAPNVHAKQQSHSSQVAGDHRFRVASPVRSEHRDMFDTDVEGFDDSTTVISSL RDDSVIAVPPVQQERLASPRRGRDNGNMHQHDDVSMNALRGAFDQRNYTSIAERMREL DSEPDEQVQDASHEQEQEQYHNDFEDFDGDRDIEQGMGFEGEPTIKLGWDSNQATHHG AMSWQEIEAALREHNSQSMSNESGPGQSAGYDPAGQLQSELYSRAVNEPEQVDYMNAT NATPRATQKLVAGSRFTPKSRFSSPKPPKPPTPFSVSRIPRPISAQDMAPDQALSPLN PGYHRGQLPPSPSQTDTNNDQYHSNQGGMFDTTDLSAIDTSEGSITEPNIPVTATSPQ LSTLSPVSSKRPFTAFTSDYHPNILKSKSFSDLHTEPFDYNPAPPPPVFAPQGPDLPL AEKLDRLKSLTDDQRRSFFSSLTMAEWEESGDWLIEQFGVILQKTKDARRERRQVAAV FEKEIKRRYELVEGEVKDIRERMDEMRASGIELPVILHFPFTPPIPRPIPRPPPPGHV VPSAPRRNSELDLDLRHHLRRQERRCLSKVPAGSMPRGVQSPQGIHDTAPINAPNQVS ARFAFSQSIVLGLWSGSAEVAFVKDNQWQSAVIVMEFGLYRVVYSPLTLRLAMGPCDM SSTTLENRLFGVVEFSLFFCSSPAAEWTKEKGPRSGASVNIAIGCEQVVSVIRN UREG_02688 MAKFSHPSSFDFFQHSPALDSKPIFSPDDEMSVLDDKILDSSTQ DITSLNGQRRSSFDHTPDDFSRRDSVWSDMAQHHNGAPSRQPSQMSTPLFEAVSNPFV HTTSYPQQQWTLAADSTSCTATPIYEQFSHDFDAAAQNPFVGGAAGAVQPLAYPSMPY RPGSTFAPPPSHAIPMSPQSSQGWASASAEPTEMQSKPARKNSTAATGYRNGSNLHIR RDGIRKKNARFDIPAERTLSNIDLLINRSTDEEEIKELKQQKRLLRNRQAALDSRQRK KVHTEQLEEDKRRSTSLINELQEAIREMKLREAEFVQEKAKLLESQQQLHQYIEQLHS EKEELIRSHTLETGELRKKNTILREHMEKLEHSTTPGSLFRSDFSDYESLGVGGNSWD DFSMANEFSLDSEPRVTVRSPTPTPEKSLIISKTDKVVEKLSSQTDFPFSWNAFYMCL LFGAFVASNGSSMPATSIPPLSEEYRAESANVLKAVLASANPTQATHSISLANIPSST SSFPATISRAELAQLSSGQDTTMTNLDDLHRNLVAPTKEQEDQQAFTLTAEQYNALTT LDDEDGDITPQPSNLQQAYAAMRSNAAGTKGTSDVYSRSLLWDRVPDKVVRDFRRMVK DCGINVGKHEEPGGALTS UREG_02689 MQLINTAIYDQEAQARAKAIEETRKLKLQKKAEREREKVLRFAQ HIGVPASAPDPGIIGARPDSYKVVIQDIPFQVVKGGSKLVRLSNDPTTANATPKRVSV GGVAFVRSKNGNLHRLGAVVSKKKTGVVKKKDELCKRFTATGTCYKGPKCPYIHDPNK VAICKEFLQTGKCNAGPACDLSHEPSPERSPTCVHFLRGRCSNPECRYAHVRVTPGAP VCRNFAILGFCDKGAECCDRHVVECPDYANTGKCNKQKCPLPHIDRAGQIRKLAANKA GNNNAEEENDDAEDISSEEENYDEIDSDDVDSDELDDEPEFINAGAQAGEEVMNQDDF IGF UREG_02690 MPWIQISLRLHDVPQNEGVPKDYNLKRQNINADKTAYTVQNTFI FTEKDLPGYKDRTHLLFNENQPHGRSYVYEQMKRDSRKKANKKKWEPYTRKTIPKQTA IAAKVHDEFNCLPVENEEYQRIAEKRALEALKPKKETKFIERVTGKMLQPKTAQDADK SNFIQVTKPPKVRAQDNKTTRMPQNELLDLVYACFRRYRYWPFKSLKAELKQPEAYLK QTLEMVAHLVKSGDFAMTWELKPEAREANYANALAYKDATQELAPSALDEGSEGEPTA SGLGTDNNEDENIKFENVG UREG_02691 MASAGVASALAAIDATSNQQTKAQLYNELLPKIVSSSSSPTLSQ DLIAFLESILSENVSIIASRPLLDAFINALRELPAPAKIEVGQHAIQALQSRSTSVEE QDSLIREILADAYEAQEEYLAAAKVLQGIHLDSSQRLISDGAKVRMWIRIVRLYLEED DPTSAEGFLKKIKNLPSKIQDPELKLHFQLSQARIFDARRRFLDASQEYLNVSLATGV EEGDRLQALSAAICCAVLAPAGPQRSRMLSRLYKDDRSSSLQEYSILEKIFRDHLLSP EEVKAFGTKLAPHQLAQTADGSTVLDKAVIEHNLLAASRLYENINVVNLASILGLEAS GDLTAGERAEAYAARMVEQGRLEGKIDQIAGVIYFNSGIDGVGPTDTEGKSLRIWDAG VQHLADDVEKVAAAIMDDFPEFAAAHEQAEPR UREG_02692 MPDQHSRHRQNASTPTRADNDTNRKPPDSLEPPQRSYPRRPTSG LAYALYSFSSQWFLVPQGMGILAVILHQLPYQFRGLGVISKVIWVYTIVLLGLGLGLY LLRILLYPRHVAHQLRHSILETSCLASISIAFTSIIQMISLTVVREWGPAWGLVAFIL WWINTALAVIAVLGIPYVYVQVQPPGIKAVPPATLLPLISALTSAAGGAVICRYGAIS PRLQVPMIIVSYLEIGIGLPLAVALETIYLARLFDQSFPTKKEIYQTMILCGPFGQAS FALQMLGQAVQRGSFAAYNRGTFITASAAPTVGISSQLAGLLSWGFGTFWWTFSLLSI AHTLFAQPGGWRQTKFTLGAWSLVFPWGVYTNAAVMLGKIMKSPAFDVWSTALTIILI LITINNHIWTIKGLLLGTIFGLEKGWRYHDEALDAEGRDK UREG_02693 MGDGDQASLTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHFLLSTNDKTIKLWKVFDKSLKVVAENNLSHDLTPGSAVGGGGVPRAPRIPLKDAS ALKLPRMTHHDTVVAAVPRRIYANAHAYHINSISVNSDGETFISSDDLRINLWNLNIQ DQSFNIVDIKPANMEELTEVITAAEFHPISCNWFMYASSKGTIKLADMRQSALCDNHT KQFEQEEEVSTRSFFSEIISSISDVRFSHNGRYIVSRDYLTVKIWDVNMERQPLKTIP IHEHLRPRLCDTYENDSIFDKFEVVFSGDTKHAMTGSYNNNFMIYPTDPSLETEVVLQ ADKAAFKAKKVGVPTPINSSISTNAGNKKSGSRAGSPAGMGGRMRKETDADQIDFNKK ILHMSWHPFEDSIAIAATNNVSLHHQLPFQAIECHNTNGSCIL UREG_02694 MGDKEPGLPGDKSDGQDIPPKPGRRDSNHPAEPSLSSRIQSSAS GLVQSALNTRSPALGHSLSSGLSRSLANSDKPDSSYGRAFLAGESSAHGAINDLQPSS RQHAASASGANAVRGGGVHFESFRSSYISAADQENVELLKSFEDQLNIEAALSSPESH TGKGKGRMTTQETTDLHAKPSALFESAWTQPASPTVDGSAVLSLLSDPSFDPLTPSSV PPSPTLAPTANTTTTTAAPLSNPLSPLSLLPDIQSLLSLPPDELDSIPTVSEWLDLDA SYTDSVWGGMLKTYTEEARKEVEERKETGADADMSDGGVYGGPAVRRLGMILAHLKDK NAAA UREG_02695 MAAPQKVIVVGGGLSGLSAAHTVYLNGGNVLVLDKQSFFGGNST KATSGINGALTRTQVELGIGDSVKQFYEDTLKSARDKARPDLIKVLTYKSASAVEWLQ DVFDLDLTLVSRLGGHSFPRTHRGHDAKFPGMAITYALMQKLEELTEKEPNRVQVLKK ARVTGINREGNKVTGVTYEYNGEMHSADGVVVLATGGYAADFTENSLLKKWRPDTFNL SSTNGVHATGDGQKMLMAIGANDIDMDKVQVHPTGLVDPKDPTSKWKFLAAEALRGEG GLLLNKDGQRFADELGHRDYVSGEMWKEKEKGKWPIRLVLNSKASNVLDFHTRHYSGR GLMKKMTGKELAQEIGCGEAALKKTFDDYNQIADGKKKDPFGKKYFHNLPFSTDDTFH VAVMEPVLHFTMGGIEINDKAQVLNKEGKPFDGLFVCGELAGGVHGSNRLGGSSLLGC VVYGRVAGDSASEYLFQQVLSNPSATAQNRLNQISLHIDPSQPGKISVEWTAPGENKG QAQISAPKADTSATPAPAPASTPAAAAAVPGAPAADVSDFKVPEKEFTMEEVAKHNKK DDLWIVVKGVVMDVTNWLDEHPGGAQALFSHMGRDATEEFEMLHDDEVIPKYASQIVI GRVKGQTPSLKF UREG_02696 MAPNTQDALSYLDQVKVRFVEQPDVYNRFLDIMKDFKSQAIDTP GVIQRVSTLFNGHPSLIQGFNTFLPPGYRIECGPDDNPDAIRVTTPSGTNTISMSATR PSLESANEPGQSGGLVPSGHTEYYEQSRPGWQAGHGQQQPAQGPMPSAVRSYSPSGRI VPQPQYGPQNAQGQHQEGSYEYQNQHEQPTPATTAAMLHQQEQRGVSQLQNAVSVATG GAGRSVLQLPPTSSQTPGPNQPANSLAGLGSGGLPGGQSEATRRGPVEFNHAISYVNK IKNRFADSPEIYKQFLEILQTYQRESKPIQDVYAQVTVLFNSAPDLLEDFKQFLPESA AQAKVQGPVRQDEVPIAMSNVRGEPGYGAAGLQGQSSRDNVKMPPLGQFNVKDSAKES KKRRGGPGAQAGGAAMGGAGPLDASSVGGGNKAQASQIGNVNKVRRFA UREG_02697 MHIEPKDEIIEPKAKDESGIVNLAHCRALGPSYRLLPKLERQKP CSGRDELCQSVLNDEWASHPTWASEDSGFVAHRKNQHEDSLHRVEEDRHDYDHYIEAG IRTIQLMEALLQQVSVMSETDRANFKLPPGLGGQSETIYKKVIKKIYEKEYGLRILEE LFLNPSQVLPIVIARLKQKIEEWKLSQREWEKVWREQMQKGYWRSLDHQSSINKKDDK KLLTTKHFQAEIQAKFEEARALRRSGYPVLSYQFKHTFTDSEVILDATHLVLCYIDHN SAGFGTDPQKVMNFVRDFIPVFFGMDRDAVRTYLSEVYDTTPVNEDMDNESVPSDEIN GLRTRRMVNSKKLDLLRDVLDNRGDKSLRPDGDKFTPSISRFSTPGLGSTTGSTPAPD SNGTFDSAELQWMEHPNQGNFNQQREYPLNEPYKKKVHHLYGNLTIYCFLRTFEMLYT RLLHLKGGEEAAHEELRRAIAPKAAHDLGMLDKIPKEFFYDTDPKSNLYQQMIRMCEE VVKGNADTSHLEEILRRFYNRNRGWELYTLDKQLAAIAKFASNIFNTDPKDKSADIVN LFFKERDKEETTHNHEIQYRKQVEKMIKDGDIYRISYVPTSSTATIQLFTTEDSTLEN NDLTPEAKWSYYVSAYSMRDPTEGVLFSDMRIPFLKRNLPPKLESDEEYSQFFRPLIS NEGLVIRICANSYHILYEPGTEEWWCRIPQPKDTQKSAIGDHTVVKEKRKERFREKFM NNSSWVHGLNEEEVEESKQRFRTWVGATDKDSPHSEKSPVGKSEDQQDNVDEVMTNAD UREG_02698 MTVSALLRSRVRRASMLSKLAKAEDLIHYFPNGAYIGWSGFTGV GYPKKVPTALADHVEKNNLEGKLKYTLFVGASSGAETENRWARLNMIDRRSPHQVGKD ISKGINNGNIKFFDKHLSMFPADLVYGWYTRNRPKNRLDVAVIEASAITEEGGIIPGA SVGASPEIVQMADKIIIEVNTAAPSFEGLHDITLCDIPPRRKPYLIMAPEDRIGTNHI PIDPDRVVAIVESDYPDQTQPNAPADEASRAIASNLIEFLQHEVKMGRLPKNLLPIQS GIGNIANAVIGGLAEGGANFHNLKVWTEVLQDSFLDLFDSGNLDFATATSIRFSPEGF KRFYDGWEHYYDKLLLRSQQVSNSPEIIRRLGVIGMNTPVEVDIYAHANSTCVMGSRM LNGLGGSADFLRSSKYSIMHTPSTRPSKTDPTGITCIVPFCTHIDQTEHDLDVIVTEQ GLADVRGLSPRERARVIIDKCAHPDYKPILQDYFDRAESYCLRKGMGHEPHLLSQAFK MHQNLQEKGTMKIDGWE UREG_02699 MPLLQLPPEILIQIFDDVGSAYFRSDPSRLTVCKQWSIYARSAC FRDLHLTQKTLRRLLSTPCEETWLPFIKDSVIALDLELKGFEDWGLTSDKPEATWNSA QGHAIRDAWTSGVLNDDISHLVRITKQSRKLRHLRIHATSEAHPEFYLQDRRDYLFTS TIRGFLSLSNLASLQLDLCGTRPMPSRTGERFHICTDIAALLPTLQRLRLRMREICPN ALKLEQPSTDLRLDEVLVNLSLSHDSPRITSATHAARCGSSPGVGFLQLKADMQQQAK ELVAQMASPKRVRVLTHARLNIELEAFDVLTGKNLALGECADWDAAGEVIEDSRVR UREG_02700 MSRPSENWPGFTDLIPESDKYQRIQHILSSAKFEHLKRSAVDLR RRCQPQPNMPLDVDCGINLTQFATGFNNLVLELAFSDSVYWIARIPYQIIDDKTKTLL LSEIATMNIIRQRTNIPIPRIFGFEISTNPESFGYPYILMEYLGGRQLDNVLALSVPQ PYHAKVAKQLANVLVELQNLTFDRIGRLWAGETADQSIEIIPMEWHHTPGPLGTSFEY FYNQRQKENREIMALHPNSPDRLTACWMLKTALAHSIIEERARGPFPLCHLDLHYGNL LFDDEFNLVAVIDWSHAQAAPIEQLSVTPEVNVFTSLSEEENRPIVEFKELVVGFMKE MENRHGKYGAKKEERSILDLGQQQGSMEQCLNFSRLSTYMASKSAELMYRQYMASING SLWDGRRIAKVIYGEHVTWEQLRQVYGCMPLP UREG_02701 MPTLLHDCHQEWIKDEMYDMTRAGFLSDAELKFLRTRVGTTFKT FGGIWENSRKDPDLCLLGYGQFLPTVVMESGYSESRPQLQADMRLWLKGGAPAVQLVL IINWSELVGKKIKGSIEAWELNGDTPTLIQEEVIFPQPPGNPAQAIGVTRGQLFGNAT FPNRNRNDTYNLSIENLRNVASWSIRDMGFIPV UREG_02702 MKGAALLTAAAGLVGSALAGGVHHRHGHANLHRRGAQTCGCTVK TITMTGEPTLVPEPVTEKTTTVRSTSYTTVTVSVTPSEVLPTAVVTVYPTPGTYTIEP TTIIVDKTTTVCGATTTAVSPGEHTYGGVTTVVETATTITCPIATVKPVGSTFTSVIE KTTFVCPTPGTYTVGPSTTSVSKSTVIVYPTPETITPGTYTQPGATVTVTETDYVYVC PKPTGIEPPKTDKPTTPANPPANPPANKPPPPPSNDEPKPTKKPDPAPAPAPSKGPEP PLGGGEKWGMTYSPYNNDGQCKGASDVKKDIQTIKEKGFKTVRVYSSDCDGLQNVGNA CKEFGLRMIIGIFISNTGIQGAQKQVTDIVQWGQWGMVDLVVVGNEAIFQGHADAGSL ASFIASCKATFKKAGYNGPVTTTEPLNIWQANAGQLCGVIDVLGANIHPFFNAGVAPS EAGKFAKGQMDILEKLCNKKVVNLETGWPNGGGNNGKAVSGASEQVTAIKSIVKEIGS NSVFFSFTNDLWKEPGPLGVEQNWGCINVFK UREG_02703 MYEAEAMVCIRSRTAVPVPEVIAYGKSGENPTGLGPFILMTWVE GVNMQTLMETTERVPGAGVDDAILDLGVDEEVLKKLYYGIAGVLLELWKLDFVEIGSV GYLDTLCEFQSVGTPLSLGFNDMMTLGMIASEPFPAQTFPSTPEYFECLAELHIVHLT SQRNSIFDSRDCREKFAARGLLKNIISVFIEEKEANGPFKIFCDGFGPGNFLINPETL EITGVIDWEFTYAAPPQFLASAPEWLLLQRPAEWIRDRGFDSFMEAYLPKLELFLGCL ERQEAERGISGTGEALSARMRQSMDDRTFWFNIAIRDGWSLDWIYWNMIDNYVFGPSG MTERVARVSARGVDGDLEEYVRAKIERLEDYNVEFRLPSIRYEEPEDDETLEYWIKPD LRLPPIRLQRGYGSGRVAGSCMLALAAAATGFILYRRYISLT UREG_02704 MVGAHQALYGGQHEGGDRGKVDADFLGSGAALQALKMFSSGEGR QSQSGGHDQNKLIGLAMAQAGKLWDQQNQQGQVATDKQSAINSAAKMALKMYLKNQSG GKLGGVGGLGGLAGSLGGQSGGSGLFDLAKKFF UREG_02705 MNPGAHNIAIGFTPAYPETLLQHGEPLGQSSSQAAMDLVSDFAD GLSYTPSNHSSDFENYDMNIDSPAPFMPSNTTTPSYLAPPNFGAHINHDDMIIDDEYV FTALSDNDDVNDAPHSAQVRFTRDDGSLIERSDLVGFAHDRLPPTMILGLDNDPNDSS DTEQFYVSDDEFSIEDAAEAVGNGRFTDTHEHCGPLLDDQPSYRDLAPSTYAFGAEYA PSVNAVFAQPNDDEAEEVMNTNQGGLSMHTESMNACFLSCSFATPMNPFAQIPKDAVS RPSPNNLVYRMEGISLYLRELGSSFANTFSTMIETRIYEFLVSAKSHLGPGFAPGVLH NDIFVNQPIISFLSDFRLLHAFPQDLEQYHRYMLALVSSGLSRQEGPSNGDVPFLLTE VSELPFRPSEDSLLPQNGQPQSSHVSSALARTPRPLLSEEEYLEIHESSGYSHFYIHP AFVLLTFADEDHLASSLLATPLVTPEGELYMDANGNQYPAFERNLTVDQFIRQWLVRY RNSRYTPRMIKDPFIPISGEAANVLDWARPAKISRPASSSKLYDIQGIPWSTTLKVKR SDARALRDRLYTSYHNLKYTPHYYSATLPEEEDYFRPKTMYTKYRASMAHFQLRNLMS VTASNTIQYAYQSRIYSITPFYNEQNCLINLADPRTSRSFFESVKISTMKAKHGVTFV GGFAGEYAFRGDITDYTLVDGRITKDPNGITNHIDIIEHRTSRSPRAVISSNDERIRI LDCETNRFVSNHKFARAINCTDTSPDGRLRVVIGDAPDAWIIDSETGKPVQTLIGHRD YGFACAWSPDMLHIATSNQDKTVNIWDARMWRILQSIDSDIAGYRSLRFSPVGGGPRT LLMCEPADRIAIVNAQSYQTRQVHDFFGEIGGADYSPDGSRIWVANMDVKFGGFMEFD RHEWGQEFGIAHTRRRRIECQGDLYYPELPNEWLPDADLDDDARCVLGAGERKLRFQK LFNNLQYEMLDPRLG UREG_02706 MVMEWMESDLWTLRLRQNLCPSFPKIVAKSILKAVKVFEDMDGQ GPAVHTDVNPNNILVSNVETESPIVKLADLGGMITSSTFDAFRLQGLSIRAPEIWKGV KPTTACDVWSVGVSLAHFLATRALFGNVDQNARITSVSLETNQAAWAIAKIIQLIGPL SRDENPKYTEEFDLAEALVDMGIIKVESLHKELTKMNVPEDCIEFISYLLNQDYKKRP TATQALQHPWLRSVESCPLSSSENKISPRNARSTL UREG_02707 MPPLETSHLSLKRQQPEHPSVRHLKRQQLDRSASAYWDKLSTIY LTKGALREHDRRNSALKQLRKPHKPVNHQHCRPNTRQFEQDARLELVRLPGFLCDCSP TQLKEITRFSRLGGPDLSDLKNYPVPMSSRMPSSHYSTESPPSSTSRYTKTKTSSTSP YSWNFQQNLIDHGVYPDGYEYPDGRIPSAPNNIEEILEQLAQRRPSLSPSKFSNEDFR KFKHVDTHASKQKPVTTSVVPILDGNISDLKCVGGGYLFGNLAPLTDGTLASAKPDHF YDLSHDIIPSTQNDLPMAPNFFLEAKGPDRSLAVATQQACYDGALGTCGMHALQSYLL DKPTYDNNAYTIASTYQGGQLKLYASHICKPDNPDSHPEYIMTQLRTFACTDSSEAFR QGASAYRNARDWAKGKRDEFIRAANERFHNANSQSMSTPQHQTSVTPILDDSNNSTKP AGYQDAAQWSFAVEEEVPQGNPKG UREG_02708 MSLPQRPGRPELSQQPSFRDSSYHRRRHDIESGGYSDTPASPGS QSRRNQGFFSTGQASPSSPREHDRMVSPDLNRKRSLIRPERTRIGRDHPNYHYRQHAQ NMPTYPSTTGNEPVMEDPTEAETVSTGSTDTKSLKREKGNPSMEYEAPRRARPGPPVS SQSQANVQRKLSRKLSRSQKENERRRQKELDAIRPPSLWNVYCAMITFWCPDFLLKCF GMPAKAQRRAWREKMGLISLILLICGFVGFLTFGFTQVVCGNPATRLKVNHVDSGYLI IHGKAYNLVESTHPAAIGVPGGSNVLFDLTEKNGGKDASFLFQNVNGECKGLITAVEG SDIPTNSEQEMGWYFPCSLLNQDGSSKPNFTAPRYVGYSCHTTPKARNALYSLDSYGD VYFTWDDVKNKSRNLVVYSGTVLDLDLLAWFNTSQVSYPSRFDELRNNPDFKGVDLTH MFQSGSEKKIAKCLSEIIKVGSVDTETVGCIASKTVLYISLVFILSVVGAKFLLALTF QWFIARRFAAPKTAIDPQSKERKRQIEDWSDDIYRPGPRLNDPAAPGSKRGSNLFPTT SRFSSPYVMGAQKQRPAPTTMASQNAGSRLNAPSAMYKAGQNSSQATLSVEHLNRQSV AASRSSLMVPDPRYSTISDIEGKGPAELIHEAVVPQPPPEWQPFGYPLAHAICLVTAY SEGEEGLRTTLDSIAMTDYPNSHKTIIVICDGMIKGKGEHLTTPEICLSMMGDFAVLP EDVPAFSYVAVASGSKRHNMAKVYSGFYDYGENSIVPREKQQRVPMMVIVKCGTPDES KNSKPGNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNGLWKITGISPDFYEIVLMV DADTKVFPDSLTHMISAMVSDPEIMGLCGETKIANKAQSWVTMIQVFEYFISHHLSKS FESVFGGVTCLPGCFCMYRIKAPKGGQNYWVPILANPDVVEHYSENVVDTLHKKNLLL LGEDRYLSTLMLKTFPKRKQVFVPQAVCKTTVPDAFKVLLSQRRRWINSTVHNLMELV LVRDLCGTFCFSMQFVVFMELVGTLVLPAAIAFTFYVVIISIIKKPVQIIPLILLALI LGLPAVLIVVTAHRLVYVLWMFIYLLSLPIWNFVLPTYAYWKFDDFSWGDTRKTAGEK TKKAGIEYEGEFDSSKITMKRWRDFEKERRLRTGAGGFSQSVTNFAHYPQHNSVYESD Y UREG_02709 MRSTEKRDYRYNRYISVCLEYNKCIYSDNDEKLLPSSGADLPSP EDTQLTARAGDKLIPCRERTSSKIVSNEERFVPKLCRAQQIFISQTSRFVVWSQQTWR GSISTQAKEEFHARRISAQRLD UREG_02710 MRDLDGHRGLRINGPQKRMEEPGLEVELADEDQDTATQAAGGER EKEGTEDSGLGVFWAARTGTYLKRACQRSIGGVVTTAARSGRGLQDMKEEQGARSTLC RLAVLLFLPACTHETAAHSVWIAEKTGERNADWIDLPFSSFLSLNRGSISPSGLGGAF EGVYAVPSLEGSGYYHGVRAPDRGNTDSVVDDQLASYVPGHDDASAQCS UREG_02711 MSQIAELEAPLSPPAPVYLRSSPPFPSAEQSPPPIANSSPTSSA KRVSRLSLTEMPKRKPISVPGLDAHETAVMHHSPVSPVRIDDASVIPEASSAFVEDVR NLRLSQGSADNFEYQGYPPRRSSLYQNENQYVQDYHRPMNGKSNIPPRGDSLVQNGMQ HALSHMDTHSGEADSRRSSSRESMESFPEHPQEPEVYQPLQYHHQPFQEHLLDRVGHR GSKSTVRSTSDSSSTGGQSNYLTPGGLAVPRPASTYSDGRGRTRSLQLSPYGHARAVS SHSAASPDTRPLSFVDLMNVPYPQPPPAPAVLSNAHLRSSVGNSASLLSHKKTFEMYL ANVKKTNDSGAQYEFAVFMIHASQEGSRPDSPATSKQQEIGSDISKAELLREAKAILQ RLADRSYPYAQYYLGDGYASGLFNKGKPDYDKAFPLFVAASKHGHAEAGYRAALCYEF GWGSRKDGAKAVQFYRQAASKNHPGAMLRLGKACLKGDMGLGKRYREGITWLKRATES ADFQYNTGPYELGLLHETGYGDDVFQDESYAAQLFTKSAELGHAESNYRLGDAYEHGK LSCPRDPALSVHFYTGAAQLGHPVAMMALCAWFMVGAEPMLEKDEYEAYEWAKKAAEC GLAKAEYAVGYFTEMGIGCRRDPLEANVWYVRAADHGDERAKHRIAAIRAAASGADPK SAARRNHGKAGKSDGSPNDKSKGKKFGIF UREG_02712 MLEENPQNSGPLMNNQKDPGMLDGTPNSAGASSTGSLKADDDLK DFKVSTRSWLVFLTLAVLTLMVALDGTSISVALPIIASKLRGTAIEAFWSGTSFLLCS TVFQPTFASLSNIFGRKPLILIAIVFFLAGTLVAGLSENFTHMLIGRSIQGVGGGGLI ALSEIIVTDLVPLRLRGQYFGILSAMWSVGSVTGPILGGGFAQDVSWRWIFYINFPFI GFGVAFVLLFLKLNFIPSSLAAKLRRIDYIGTVIFVGSATSFLIPVTWGGVMYSWDSW RTLVPLIIGAVGLIAFFLYETYYAAEPMIPITIFATRTAIVSYIETVLHGLVLWCGLY FLPLYFETVKEYSPVISGVSLFPLSFTVAPSAVVVGIVVTKTGHFRWSIWAGFLFATL GLGLFCALKPSTSIAGWIFLTLPAGLGLGMLFPSLGFAIQASALPGHMSIAVAMFSFF RAFGQTIGVAIGGVIFQNRMYANLLRYPELAPLASQYSKDAAGLVQVVRAMPAGPEKD HLKEAYTDSLRIVFAVCTAVIGIAGLLSLLTESYDLNKGIDPEQTIRESKTGDAEAVT KH UREG_02713 MGQSKRPFKNKEERKGRGLGRSRHDESGAGGRPQVKKAVFESSK KKEIGVSDLTLLSKVSNEAINENLKKRFEHGEIYTYIGHVLVSVNPFRDLGIYTDQVL ESYRGKNRLEVPPHVFAVAEAGYYNMKAYKENQCVIISGESGAGKTEAAKRLMQYIAN VSGGTDSSIQHTKQMVLATNPLLESFGNAKTLRNNNSSRFGKYLELQFNSVGEPVGAT ITNYLLEKSRVVGQIKNERNFHIFYQFTKAAPQSYRDAFGIQQPQSYVYTSRSQCLDV AGIDDAADFNDTLEAMKIIGLPQAEQDNIFRTLSAILWLGNMQFEEDDHSNASITDQS VIDFVAYLLEVDSEGVKKALTQRVVETARGGRRGSIYEVPLNTVQATAVRDALAKALY FNLFDWIVQRVNASLNARGSITNTIGILDIYGFEIFERNSFEQLCINYVNEKLQQIFI QLTLKTEQEEYAREQIKWTPIKYFDNKALRHLIEDKRPPGVFAALNDACATAHADSAA ADQTFVGRLNFLSQNPNFESRQGQFIVKHYAGDVAYAVEGMTDKNKDQLLKDLLNLVN SSSNSFLHTLFPNQVNQDDKRRPPTAGDKIKASANDLVTTLAKAQPSYIRTIKPNDNK SPSEYNVANVVHQIKYLGLQENVRIRRAGFAYRQTFEKFVERFYLLSPKTSYAGEYTW SGDAQSGARQILKDTSIPPEEYQMGVTKAFIKTPETLFALEHMRDRYWHNMATRIQRA WRNYLRYRTECAIRIQRFWRRVTGGLQYIKLRDQGHKVLGGRKERRRYSLVGSRRFLG DYLGIGNAGGMGDVIKSSINIGSGDSVLYSCRCELLVTKFGRSSKPSPRLLVLTSRNV YIVVQKYVNNQLNILAERVIPIGAIKFVSTSNLKDDCIEYNKKPGKLATVKAVKDPSV PRDDVYKSSTIRTGPGEPASSVSKPTPKPKQVAGKPITKGKLLRPGGPGGGPSKLASR PKPAVQHLPENPRAAKEPAGEKFRPVAQPVAAVAQSHARTNSGSQNRPPPPPPPSQPP APKKNSAKALYDFDSGRSNELPLRKGEIVQIVAKEANGWWLCMNIETSVQGWAPEAYL EPVAAQAPSPPPPPPPPSFPPQSKPAVTNNTLQNGPSRVNGAAAKAKPAPPAPPAKRP NMARKAVPAAAPRDSAVSMNSHESPSGSGRATPSSVSNASLAGGLAEALRARQSAMHG KDQDDDDW UREG_02714 MASITLKISSLIIRTLSKPIANQIKAQAREHERFRRICVSFAQT IHRVDMRLRLGLLQNSAQLEKQAAREAAEVAKKRKSQVPTVKTESQLKADEATIAKEK EKALDPPKPRIRPLSEAKAIDTGANFISETFLFMVAGSLIVFESWRSRRKATSRREDV AERLSDLEESERAARQGLITLEKEVLRLRAQLDKIPPKKLQRILPEAIRNVDEEPEEP NPQSLLDKIYSKFQWLRDTTQKQEPQSLGTDTAIQPSTQTSPTLGVTK UREG_02715 MEMVKKRRSLSLPEVRRFMVQLCGAVKYLHKRNVAHRDLKMGNL FLDRNMDIKVGDFGLAAIILSEKDEKRRKTLCGTPNYIAPEVLDKNKGGHTQKVDIWS LGVIFFAMLTGFPPFQSKTQEEIYKKVRNLSYTWPKDSECANYIPAEAKDLLSACLSL DEDERPEPDQIVDHDFFDMYPGCIPCELNPECRFSKPNWIRNQDPRGDKPEIGHGLEY GPRYISKVSHVSNMEDRYAICKDLFYTECGVGKKDTGVMRRPVGKRCSKSAFAECAVE EELGMQPVIPLPRDQVYCCIPDDADWSLQELRPETETRLSPVEDEDPIRNIPSKVEAA TLARSQLALAAQLRRKEAQPRSHAALLRQQALPPRQPARESRIRNTQSGLHQLKSEVY REGAPTAAVVQNLLSERPIRGKVSGYNSSLRDRTVPSSTLSKSTSVPTGLMAGRTRAQ SRQHLATMVEGTMSFDPKSSIRSTTIVSAQTQHESLPVRGVEDKPRIKSEGKLPKLQP KLSTSSYENLAERRSRSTSDNVTKSSASSVSTRSKSTFGLRPLIRPDEDAEIMPGTSI REVMGDLKTYFSDLCRSRSQATGTLTRTRRRQQKVAPSKPHSYVMKWVDYTNRYGIGY VLDDGSVGCVFKADRGSSASCVVVRDGERHIRLRARAKEGSAEKTYSEVDQLVPRDGR AVEFYENIDIDPRRRSQGGGVKRVLVEAKAFDTNKHSACELARKIRTNDTEKIKRVKL VDQFGKYMIGSLGKDVQEQTPAENDPDGSIGQYVRFYQRLGNVGIWGFGDGAFQFNFP DHTKLVISLPERQPGRLSDTPICCQIDFFHLAPSAARYLRARGKMHPNGFDTRAVITD SASNYFSSLFDEREVNSTTGKYKFYEILEANSFREKMDFIIEVLESWITHGRLGGRIL SRSSSSSPPASSFQTSLSQTLATVSTYPTSLSAGNLDMSHNSSDMFWFGPQEKSWAAP AGGKFVWVTVGAQGGDSKYMSLSLKSDGEIESVDAEDVAELKARLKALIF UREG_02716 MDHHCPWTNNCVSHFTYPHFLRFVFYAVISMIYLEYLLYERLAI LWGNRHLPSYLGPSIIQLGHLLSLAGVNSVVLFALSILLSRTLWILLQNQTTIEGWEI ERHRTLVRRARVFGGYLDGPDGTKIRIQKQEFPYDIGIWRNIQDNMGTGNFFSWFWPF SPSPRPGTGLEFEVNGFDDPSLSWPPPDPDRMYRHVLPNGKCQNDMTLGQQNFDQDDI DAFRRRQELDYQRYQTEAGVMRRKPFHQRYTDNINTEYISDPSNSVKGYYSSDSGEEG WRNSDGDRLRDFGVDEEAEFYDEDDVPLAVLIERRKNKKDLAARTEPAAKSSTKPSDP NSHLWE UREG_02717 MPIAALDEAAAKAIGSASALYDPCCVVKELVDNALDANATIVSI ELSSNTLDTIQVKDNGLGIPLEDRDLVCKRNHTSKIRSLEDLKNVGGSSLGFRGQALA STAEMQVMLKSSTQNISRIRRTLQEYALSRVHVRFSLKILKHQQDSAWVYAPKQNPSV TDAISKIIGVDVMAQCLSQTTDLVNNSIPNRENESHSGIRLHAILPKLDAVKIYKSYI KYTFERLPSSTVANPFLCLYIFCAEGSYDVNIEPSKDDVLFENQALVSEAVEGLFEGI YGKLQSRGENQVHSQMGASHNLHNPDQNLFLGLTPSKPLNKEQTRLATPFGPTAQQLE CNSTSRTNRSTGRIPNTNPWSLAKRSSAQRCRASNSSLLTPSPDSSSPIVNNVNPQTN YSSINGYLYLGPSPSSRPDSKNSPVKRTLPAIETQHAKRQGILSQGSKNDGGPRPERF VEGMSTGPLDRIPKRTAGGDSVQQPGDADLGIPLAARFGDPINTPRISCPTPKPSIET GKGDPRSLGPSRASSNPLHALHPPPFSLEKSIDFERKHATINLAARATNNNHLDLSLI SKGKRSGAEEALLVENDGPCDDVNRMAIRYPSPMPDAGAVHHLAVSCNTRITDIEPIM RQLWGVDSFIQTGKSTPKLVLSCPAKVIEHWKATTLNLVRKSWHTETAKPCITLNLP UREG_02718 MDNETISILQSFRRPLPDVETADVSYRHVTSAAPSNPAQDAIPL LQRESNEELLGLAAQALQTQSKQPALQQNMPLHEASHYLGTEGDILRISALQLIHPIN AVLSLLIPNGAKLLCRAEVTSPDQTPRTNLKWIYIDPSGQDQVVAILEYKNTGVIHFE NWAGAITLPENAVERLLNAKEQINSTFLVGNAIILSKQLVNYESVCSDIALFDWNNMM VFDFAETNEDLLVPKFTSVVFESDPLHFRSLLLGMIVRSLKRRGII UREG_02719 MYLSSDQRGYYEKPPPLPYTNGQKFAVRAHQPPPPVRKRTDDCL MDREAREERVKLSPLKRCVLHPPWEGSTGNATVEFEISRQIHTGEKRGVQVVAVNILR TSPGCPQSLQNVTTVVAKFYDPLYFDHYDDGVDPFRCVNKFYTTEAASYSRLADLQGT VIPTFYGSYSVEFPVGQSSRYVRLILMELIPGSSMRDLDPKDFTQEERKRIMKLLIDG ESAIYHRDVRLTDLHPRNALVVWDRNLRERIRRVVHIDFESNWMSRLWCLDNPELEQR CLPGTFITPLLRWNVAWDQHANFKDWIDWDYQAWLENVFAHTETSITPEMRAEFLPED QLEYYREYGHSFSWTGKENNPQTP UREG_02720 MTTSWVKCCFERGRLGQRHRKGTKQLDERYGDIAISGPMDGGWN QVPSYPSEKRSTSVPLSRNSSSKRKKEQRLGVGHFHFKAHSGTQPFIRTEDTDYDERA RRSHDKPPDFVYKPASEAFFKDMAEIGRSKYPPPSASIVDKHQRQISVQSTSSLEPTS PADIPCHPSYQRNMQASRGLSPPGLSTNIFHKSPVHTQYARECSPALSHRSIFDDHAY DTNEEEPEKQVQSPQLSMPKTKQKKSKRVVTEELVPSTTELFG UREG_02721 MVCSPCQAPSLASHRQGAPRIVIRQNGTGSEVVTLIKSLWKDVQ NLWFPQQLSRAVFWGGTDSISGGGLNGARGVRRDVLTRNKAAVLTMLLAGGVTRKVSR YYASIFDQRSGLDRLG UREG_02722 MSSITDTTIPILSLLTALKRSGLGSLPKRASIPFLSSLIGKPLD RASLIIYSIRGYLLSEEDEQFLRTEKERRSLSWNLYSASNLHEWLRAAGALDNFEGNN EWKLLDESDEYDYTLVRDKLDDLERALSHKDFGAIIHNIRTSLGRDLANMTNPELYKH TYIGTKNLIDLYVTTATNAVSMVLDIAENLEFGIEESRHLLEQLHATRQGFGRTALLL SGGATFGMNHTGVLKTLWQMRLLPRVISGSSAGSIVAGVICAHLDSEMPKIFLSFGSG DFSVFESGDEVENIGRRLHRFLASGSFFDVGHLKKVMRSLLGNVTFLEAYNRTRRVLN ITVSHANPHELPRLLNYITSPNVTIWSAIVTSCSAPMMFATSQLMAKDPTTGEVREWG DSLVQWIDGSVDSDLPMTRLAEMFNVNHFIVSQVNPHVMPFVPPEEALLFAELSKRRQ RSEDSSLDFAKELVKEEITSKARMLAEAGILPNALRKFASVMSQEYYGDINIFPEITY EVFPSMLRNPTPDFMLRACLSGERATWPKLGRIRNHCAVEFALDDAVMIMREKIAAAA SEADIPMERISSYLEGDMFLREAPLEADPELVQQVEERKAHARAHTHVNAGHRPESGK RAHFRPDSQRASRPHAQHSRYRTKPSRPALKTTQSVPRDRSPSAASASSLNRPSWTNC RSLSSMDSRIPTKSEKHRATTPPNRQVFIQSPMLPTDHQHATQPAHRAVNSKLPLQGT HLPHFIMAIERRTPQSQSCNRDYRKLLRKKPSDPTDWSKNNQAISSDSHYFS UREG_02723 MSFFTPDRGLTTTVDGVSVTGLQAKEALTRHSSLAIYGNTDPFT AVRKLRKWSQELSSMPNSQFRFLEINGAGHFWREDGTESLMRNAIRGYI UREG_02724 MATPTLNKTSKLLPVPLSTAVRHSDPFVLIERQSRQLQDDLQLL LDAQSAGLSAGLSNTANDYRSTGSSTPTPSHLASPRTTMTIPIRQPTRKKISLKGARR GILKSMDELLTLKEEERRIVDVEIGHRRDAVREVDAFISKQKGLESVLTEAQREHESL RIQNLQRESRNLEKHIMDLENQLGEMKARHRQMLNEITHLQNSIDSKLSSYRESLALV HKDVQIYLQSPPIQPLRFTTSDTPTFYTLHPKRRTLEMAKEHWHNEGTLLRQRRRKAD HEISALKEGGRTWHKVISTISSFETSMQKKMSQIIRGFSGS UREG_02725 MVGLVSAAGLVGFLSEPDTELKVFALKTLDAQVDSLWSEIAGSV GQIFSISTRTNSVLRHREALCEDESFPERELASLVAAKVYYNLQEYNESTVFALGAGH LFDIENGGEFEETIIYTFVSISAIQKSDPNVDTSGKPHVNTAFPQQANGAASTSASLT SPITPFSQSTLPSKSLLSRPEPSVDAGYQRGDTDDVESSSEVPLVIQRGVQKQLQAVI NRLFERCFQQKRYRQVIGIAVEAKNLDILRTAILRAARDEKSQGGEPSRRGEELMEYV LGICMNVVQERAFRNEILKLILELLSEIPSPDYFSIAKCVVYLNEHTMASDILRQLVE KGDARSLAVAYQISFDLYDNSTQEFLRKVRQEVAGFTSEIEAPSENANNTDDAREVDA LLLDHVESDTSSAGDGKNNFSAEARSAFANIRNILDGVNSIQLNLEFLYRNNKADIAI LDKIKDSLEARNSIFHTAVTLSNAFMHAGTTHDKFFRDNLEWLGKAVNWSKFTATAAL GVIHRGNLTQGQKLLAPYLPKENIAGVGSSGSVYSQGGSLYAFGLIYANHEGWAVELI REHFNKATEEVVQHGGALGLGVSAMATGDEDIYTDLKNVLNTDSALNGEAVGLAMGLV MLGTGNMKALEDMIQYAHDTQHEKIIRGLAMGMALIMYGRQEAADELINGLLSDPDPT LRYGGIMAIALAYCGTGSNKAVRKLLHVAVSDVNDDVRRVAVMSLGFILFRKYHSVPR MVELLSESYNPHVRYGAAMALGISCAGTGLDEAVDLLEPMLKDPTDFVRQGALIALAM VLVQQNEIMNPKVGTIRKAMQKVISDRHEDAMAKFGCAVALGIIDAGGRNCTISLQTQ TGNLNMPGIVGAAVFTQYWYWFPLTHFLSLSFTPTAVIGVDQKLEVPAFKFHCNTRPS LFDYPPEQQVKADEAPEKVKTAVLSTTAQAKRRAQRKEREQRRESMDVDQTPTTPKQP DDKMEVDESQPKAEDKDKAVEKEAETPKRKAEKEKVGYELANMSRVLPAQLKHLTFPD PRYEPVKRPTGGVTVVLDKAPSEARETIELKASKDVRQAAAPQTLQDRINAAIYGEGE PSTPQPAGVSAAGSGAAAAAGVLTAVDEDEEGGEEAPVPDEFGYYTDAE UREG_02726 MSAKFSKDAVASHNSAGDLYVVIDEDVFDLTKFQDDHPGGKKIL QRVAGKDASKQFWKYHSPAVLKKYRKQLQVGSLDTKKDVAPPAAPAPPAAPRAEKKAD AGSTLTRAPSTPLEPFGDLVPFADPAWYQGYFSPYYNETHAALRDEVRQWVETEIEPH VHEWDEAKKVPDYIYKQMGERGYLAGLLGVGYDASLSKNTVKSVPPEKWDLFHEIIVT DELSRCASGGVVWNLIGGFGIGCPPLLKFGRKEVVQRVVPGILAGDKRICLAITEPDA GSDVSSLTCEAKLTPDGKHYIVNGEKKWITNGVWADYFTVAVRTGENGMNGVSVLLIE RDFGGVSTRRMDCQGVWSSGTTYITFEDVKVPVENLIGKENKGFKVIMTNFNHERVGI IIQCLRFSRVCYEESVKYAHKRRTFGKRLIDHPVIRMKLAHMARQIEASYNWLENIIY QCQNYDDMEASLRLGGAIAGLKAQSTTTFEFCAREASQIFGGLSYSRGGQGGKVERLY RDVRAYAIPGGSEEIMLDLSMRQSLKVHKAFGMNL UREG_02727 MSDNDVKKVDVAMTVEDEKPVAARERVYGLEARVDPTVTFEEYR YWAKLQRAQEHEENRQFVEERGPRTFASIIKSRFSHGIHHEKKKKEQKAQEEAAQQGA LQVNRASSSEQEQNPNPPTYDTSSAVTEEEWKTASRALKTASWGSVFYLITTDILGWE TTAFVFSSVGFGPGAALYVIFGLFAGLSGWILWRVYLGLDSGQYPMLSFGDTYFRVYG KKARHFINVSQSLQQFMTVAVLILSNGVKIAQLSDRKICFIVCMIIFMVAGMTLGSIR SLQHIGWFANVSVWVNIICFIIIMVACSNFGIDYEAVTRSTLIKEIGPVRTFAGPPPD QYQQQAHGFAGQFNGINQLVYSYGGALLFIAFMAEMRHPWDFWKGMLCAQSFICVVYL LFGAFVYGHYGQYSVANLKNVIQPRELQIVANSFGLITASIACLMYFNIGMKTVYIEV FQEVFKFPPITTKKGRWMWYALGPVYWIIAFLVAAAVPNLNGISGIIGALLILNFTYT FPAFLYVGYRCQADAALPGEGFDPVTGITTRHDNGWKRWVRGFKKNWHFNTVNVIYGL GGLVCSGMGSWAAIEGLIAVFGPGGTVATSFGCAPPV UREG_02728 MSHLSDSFLDQNPASEEEDDDFNPAPAEDSDAERDDNAERKNEN RNPDSDVEDANSENERITRTPAARHQDGDREVDRVNEKAEENGDAEGDGEAEGEDEGQ EEDEDEEEEEEEEEITGRPRKRRRGGLNNFFEEEAEVEEEEEDMEEEEEDLEAEFLHP DDDAILPAGAETDDRRHRELDRKRDLEASMDAEKQAQALKERYGRNRAAASDLVVVPK RLLLPSVDDPSIWAVKCRPGKEREIVFNIMKRMEERHPGSRNPLRITSAFERGGTMSG YIYVEARKQADVMDALENLSNVFIRSKLTLISVKEMPDLLRVQKSEELQPGGWVRIKR GKYQGDLAQIEEVETNGLEVTVRLVPRLDYGLNDDVHIPNGDSKRKRPGGANAAVARP PQRLFSEAEAKKRHGRYLSAAAGLGGKSWTYLGDTYIDGFLIKDMKIQHLITKNVNPQ LGEVTKFARGADDGTANLDLASLAASLKNTTGEDSYVPGDTVEVFSGEQRGVVGKVLS GRADIVSITVTEGELEGQRLEVPVKGLRKRFSEGDHVKVIGGSKYRDELGMVVRIKDD RVTLLTDMSMQEITVFSKDLREADDAGVDGKLGQYDVHDLVQLDQTTVGCIIKLDRES MKVIDQHGSIRNLLPSRVIGKIELRRNAVTTDRNGAEIKYGDTVREVTGEQRLGVILH VHRAFLFMQSKVVGDNAGIIVSRASNVVTVATSGGSLAPRGPDLSKMNPALQKNGLNG SGMPPPRTVGRDRTVGKTVTIRKGPYKGLLGIIKDTTDDIARVELHSVSKVVPVEKEN LTIKDPITGQPIDLRQFGRGAGRGGSRIPQSSAAPPRSQSSWQGGRTPMAASDSSRTP AWRASSSRTPAWNAAASGARTPSWKTDGSRTVNAYDAGYKTTLGSRTPAWAAGSKTPR DFSSGFGGSSSNSGASGFDAFLAGSRTPAHHAAFGGSRTPAWGHGSGSGGKAFDAPTP GGDYAAPSPAAFGAAPTPGASAPTPRPWNDTAPTPGATSAPTPGDSAYSAPTPAGGMG LPATPGAIDDGGPRYEERTPSP UREG_02729 MSSSDPLETEPSVTERERQDREHKEKEAAEQAKLPYKWTQTIRD ADVTISVPAEIRGRDLDVVLTKTKIRVGVKGKEPIIDVCLLLSS UREG_02730 MVAFAGSQRGLSARQSYIGISNYLRGLYVAPRLRLQCQFCVRRQ SHSAKRLGAEDISSYPFLENYKKINFMTLVSFPTAISDFSTLLEAQESGKHVTLYGYL GKRTDIGKKMSFVRLADPRLSQTVQAISFSKTDAFDKLKSIEPHSPVVLRGILQTKVT SAKESKQAPAVDKEGNINSLEIALEDIQLLNDFPNDIIMKPETVFPAGKRFLQIRNDR EIRHALAFRARAGKVLREALEQCEPPFMEVETPLLFKSTPEGAREFLVPTRRPGFAYA LPQSPQQYKQILMSGGIPRYYQFAHCFRDEDLRADRQPEFTQLDLEMSFASGEDVMNV IERIVRQLWSALMPEPLNDAPFPRMTYYEAMSKYGSDKPDPRIGMEIYRVDYMIPVDL VRRITNLSDPIVEVIKLKGNGDPAKTKAFTSSILDAFVPSELINNPEGRPETFVIDSA QPLFGLQDFGFEAVDRLESELGLDNGDLLVVQARRKAPLSGGSTPLGDLRRVLHKAAT ETGFKRAPTGFNFLWVTHFPLFSPSSDTEPGQGGTAGIASTHHPFTAPLGPEDVDLLL TDPTKVVADHYDLVVNGVELGGGSRRIHSAEVQKFILRDILKVPENRLGDFSHLLEAL RAGCPPHAGIALGFDRLIAIMLGKESVRDVIAFPKSGKGEDVMVKTPSKMTEKALETY HLQLRQED UREG_02731 MSSDTRALQLRQLHTPGKPIVFTNVYDPVTAKIVASNPSSAALA TASYAVAAVHGLDDDDLDLDTNLAAVKPIAEIAIKHGKPLTVDLQDGYGSKLEAAIEA IIAAGASGCNLEDRDNQTGKLFPLDVAVDRVRRTLAAAAKAGVPNFVLNARTDAVVSN NDLEDAITRGRAYLDAGATTAFVWGGPGRGLSRDEVVRLSSAFGGRLNVIAAENGLTI QELADIGVARISVGPRLFRKAMAAFTDSANELLGQYQAMKSRAE UREG_02732 MEGSPRSSLAIGIAAVALVAASSIPAVKSIWGRWQLQKRGSVYQ FLQELYHDQDGSATEESARAFSATLQHSSITILSLVGLLVSLALAVLTTAGHSAAAYP GPIEQWLHFAIWALLSVQAVSFFTEPSSRKRFSLGLFSATSCGIFVIITCAQVGLIGS WIKSPSLKTVFDILVMIELLAALLACCTSLLIPRRPDVFRNGKIVDRQHTTSFLGKIS FAWSGSLLRFATKNQGLGIDDLPELDYATRSRSLLESFEKSKRKGEKLWRTLAREYAS PLLIQLALSSISAVISFAPQVTLLGILQSLEARSSGSFNLAVLWLWVFALGASIAIES ILESWLFWVVYHRLAIPVYEQISAVVFAKSLRRKDVKGSQNSGDESSTAKVKVGDEDA DEEDLGHNSRQGTINLIAIDAKRISDFGAFNCIIPETIIKLTVGFLFLIRLIGWQSLS AGLLVALIIVPLNIITAKRYSAAQTSIMKFRDQKMAVITEALQGIRQIKFSALETQWE RKIFDIRNTELHAQWMAFVYDTILISIWILGPVMLSAVSLGTYSLLHGGLSASVAFTT MAIFSSLEFALAILPELIADFVEAWISAERVEKYLNSAERIQITTPADHLAFEKATVA WPAEEVDEDKERFMLKDLNFTFPSKGLSVISGKTGSGKSLLLAAIIGEVDIIEGIVRV PVAPPLEERFDDQATKANWIIDSAIAYVSQVPWIENASIKDNILFGLPFDIDRYNKVL FACALEKDFEMLPDGELTDIGANGINLSGGQKWRVSFARALYSRAGILIMDDLFSALD AHTGRHLHTHALIGELCQGRTRILVTHHVGLCLPQADYSVHLDHGVVQHADSVAELRR TGSLANILAQPENEGLESNEGAVETVEDEVEESNTLQKILSHRSQQAITEGHTDSVPN ASVPKKFQQEEKRETGSIKLTHYIQYFQSGGGVIYWFFVLLAFCSYATLTVGRSWWVS VWTRSSEHAASGNRLDVMSHLATKSRQPDSGHSLWFYLGIYFGLSVASCVVGAIRYLF LLKAAIQASRNIFKKLTYTVLRAPLRWLDTIPVGRILNRFTADFNMIDSKLPYDLGFM LYNVLDVFGIIAAGFLVSPMLILFALLLLAVSLYYSHFFLAGAREIKRLESNAKSPVF EQFGSSLIGLTTIRAFGKAQTYVERMLWKIDHHAQAHWNLWLFNRWLSFRMNMVGAVF STLTAALIVSLKGIDASLAGFALSFALQYSASIMWALRRYTNVELNMNATERVLEYSA IAIENQQGSDVPAAWPTEGRLEVTDLVVGYARDLPAVLRGLSFTVEKNQRNECNLFPL CPLKAHVQLHPPTQLSRPQGYRTALHQP UREG_02733 MVQKRESQTIENPFIKPQNREWSIAAPASLNAPVSPPRKRRRHS SPASAQAEPRSLPTAASSPLLAAIEAGEARIDDHLQVFSSRLRAATRDRVPLVPRLRH SEWIELYQRNQHPHGRHFVIHQHDHPVAGPHYDLRLQFSETSSLSFAIMYGLPESASA SSGSMIIWDTGEYSILPYYTPKEQVETDCSHSNSSDISSVIDEVDMSESDKLKQAFQQ RKIRLRLQGTRLPPGYTVTLRLTTDNDASEQPKKPVRQRRIAASRVRTTTSTPPSSGE NDEEPFNRTTPRGNNFANHSDGEDEKVRITNAYPGATNSISSIHQRRWYLSLDRVNSG FARRFDASTGKYLWERKQAEDSKGAVRQSGFEPFFVRGPEVERSVVTGRLGRDVLEDE GVEKFVGRRGWRPVLN UREG_02734 MSFTVFVGNWKYWAKIRPHGEEHHECKGGIEARQITPAKESEDF GGIGHAADQETQAKLQSRDVAIYSCGPLIAAEKPGNQESIDDLREDHGGGHESNLTIG RVRGHIAWLTTRACGNRGSNSRVGSDEGRGEEYTRCDDGARREPGKPTEHVARCASIV VLRGGTESKCGLANKILQCLRFRDYKILTFVPHPTRKPVAATPSAGASPAGRGGYDGS NDGAIKDTGTILDAIPSTIGIFSKPGRPMHPDNKLDMPKTLPLCWRRPEEP UREG_02735 MTPNSRACWVLSWCLPLIWIFGFTPIAQAYTPLSDDSLRSLPRP GNDFDIHKGTLLAPILRPRVPGSPGSRAVLEHFVKFFETTLPNWNIEFQNSTSKTPVT GNKEIPFVNLIASRDPPNVQPGNVGRLTVVAHYDSKFKPDGFIGATDSAAPCAIILHA IRSIDAALTKKWEVLKEDPAYELGLYDYQGIQIFLLDGEEAFGQWSETDSLYGARSLA EHLERTPHPALSTYKNPLEAIRLFVLLDLLGAKDPTVPSHFKTTHWAYQHMAVLESRL RKLGLFKSAKQPQKNGSKKKPQPVKPWFPDRLKHADDVFSPFGIEDDHVPFMKRGVDI LHIIPSPFPDVWHRIEDDAEHLHPDTVEDWGTLITAFVAEWLDLEECLETDTLPSSRK GERSKTEL UREG_02736 MNAPFLYLGPLSRSLTRCAQRNGYGKLCIRSKATDSGSSQRIPL NRSSGTGSSRSVLRNPVRNAPSRPQPNLARARARPQHVVIYHAGSGKIAFIGMMRLST ILIFVVSCTVVAPAFYAADYPWYIPPAIIAAGAIPMLFVSYTAAPLVNLVSLALPAFA QQSRQHMLWDLQNIPRTATLTIETMKFNFYPRRTQVAISDLVPAKSLLRPVSFLNTNP QPQPWWKGGNSVYFYAPEKNRPAKSTLKFFPEVWEEVFSKIKQNGHLTKNT UREG_02737 MAGLKNAAVASVLFNKAKRKLVAIQANGASPAKNAASPEKVTKR TTARKNTGKTKVKAVEDPSVAAYPISPGSTETVTAEEAPAKKRGKRAATAKKVKAEEV NPKIEDEPIDLINDSIKLEDGEGYDGMLGSFGESVIDATVYTAAGAPLKNELLE UREG_02738 MAATGTPAQQTEETPWHAALPAPKLKANTISRTEVIQWLPSKPA PLDFVLVDVRRADHEGGTISGSINLPAQSFYPSIPTVYAIFKQANVKKVIFYCGSSKG RGTRAGGWLADYLAEHDSTGMQSLVLEGGIKGWVTAGEEWLRRGGLEEVGGALAKSLK P UREG_02739 MKSQRSILGFFQPKSSPCTPPTSSRVNKVKEEPNSSPAPNGAKS SVQSTKQTAKSRRGLHLTPAPSSDAVEPGSDDFNVHQSTIEKVKGSLSTVDVSLPSPA TSANGHNGGQAESEQETSVTPTRRARKAINYEESEDDEIVKPTSRRLVTGRATKKRKT IAVSEDEDEFQLGNTDFFDDDDFIVPDESEDEAGSSRKRKRSSNNLATKSAPMSSPRD EEPVLDIPNVGSGTTQKWTYNPADIESREPRTALARPSPSSKSLEKRKEKAHMTEPEK RYPWLANILDIEKNPPGHPDYDPRTLYIPPLAWTKFSPFEKQYWEIKQKFWDTVVFFK KGKFYELYENDATIGHQLFDLKLTDRVNMRMVGVPESSLEHWANQFVAKGFKIARVDQ SESALGKEMRERGDKKKGDKIIKRELSCVLTAGTLVDGAMLQDDMSTYCVSIKEALVD DLPAFGVSFVDTATGQFFLTEFVDDIDMTKFETLVAQTRPQELLLEKGFMSPKALRIL KNNTNPTTIWNHLKPGREFWDASTTRRELEAGEYFVSMDQDNIEAWPEVLQQVRDKDL VISSFGALIQYLRMLKIERDLITIGNFTWYDPIRKASSLVLDGQTLINLEIFANSFDG GQHGTLFQLLNRCITPFGKRLFKQWVCHPLMDSRQINARLDAVEALNADSSIRDQFSS QLTKMPDLERLISRVHAGTCKCQDFVRVLEGFEQIDYTMGLVKQTGSGDGVIGQLISS MPDLTSLLQYWETAFDRVKAKDSGTFIPQPGVEEDFDASNERIESLEGDLNQLLKRCR RELNCSAIVYRDNGKEIYQLEVPIKVKNIPKSWDQMSATKQVKRYYFPELRGLIRKLQ EAQEMHSQIVKEVTGRFYARFDEHYPTWLAAVKIISQLDCLIGLAKASAAIGYPSCRP VFVDDERSVLEFQELRHPCMLSSGDFIPNDVKLGGDTSSINLLTGANAAGKSTVLRMT CTAVIMAQIGCYVPCASARLTPVDRIMSRLGANDNIFGAQSTFFVELSETKKILSEAT SRSLVILDELGRGTSSYDGVAVAQAVLHHVATHIGALGFFATHYHSLAAEFEGHPEIA PRRMRIRVDEEDRRVTFLYKLENGVAEGSFGMHCASMCGIPSKVVERAEVAAKQWEHT SRLKDSVERKKGSGLVGLGWWSDVAWILKEDGAENPSASGERGIEVLLKAIENM UREG_02740 MHLQLKRLGLSFEKYGAKHGFEYEWAWRRVLENNNTWWNKQTFA DVMTTLGQAVRLGPMLGRDNVKSRLEDGSGMSLAEFCYPIMQAWDFWYLFQHGTQIQV GGSDQYGNILFGIDAIKGILKNNPNSEFAPKPGEDPDLVNPIGITTPLLTTSSGEKFG KSAGNAIWLDKDMTSCYDLYQYFVRLPDSDVERYLKLFTFIPTSEIKTLMEKHNENPS KRLAQHKLAVEFVEIIHGSNEAQKAARDHALIFVSKFADKTGDKAAPDSEDEPRQQKL HMFDMPSPHVTLPRSLIYNQYFHKVLWSAGLVSSKAEGFRLIVNNGVHVGSQADSKQS MGDAISYVPVKTWPPNITEKFIIDDSLLILKVGKWKVKIIKVVSDQEYAKMGLEAPGW GEVEAKGEREADKELFQSKQKLGGHRVKLPLFAQQSKPAIKTWKPGQQDTVIKPNEEE AIEVGRKN UREG_02741 MSYNQEASPSKEQKPSQIKFIRAAQMSMFANVSSWPPLGQVTFV KRKKDVSGGIASKDKVRFTVLLESSGSFPEQTWEVAIWHNVHGAWAELLLQKVTGTRL PIALGRQNTKSNYRRHTFSQELEFPTGGRHAAFTVKYRIDADSPWQWVNAQFGTKDGE IVFDPPRSWVEKYNDGDPAGQLADFIRNLNPELNVEHHLSEAPGAVLWSVTGKVGPAV KDKSGHAMIALGLPKEFVRNFSLVRIWSPWLAPRHGLETYRLTEDAILSAFLRKDGLS LVLLAVSGPTNVVTLLKSGESGVFISARNDEVEESDVKVLSAVAPSFDLAIAAVMYEA RKCARNFVQSTPPAESYERTNNAEGVDAQWLSTWYDGLAYCTWNSLGQDLTEEKIFKA LETLETNGINIANLIIDDNWQSLDNKGQSQFTRGWTSFEANPEGFPNGLRHTIDGIRT KHRNIKHIAVWHALMGYWGGISPDGELAKKYKTKIVQKADRIAGGSMLVIDPDDIHRF YNDLYSFLSVAGVDSVKTDAQFFLDALTDATDRSRFTASYQDAWSIASLRHFQAKAIS CMSQAPQIIFHSQLPTTKPRILLRNSDDFFPDIPSSHPWHIFCNAHNSLLTRHLNVIP DWDMFQTNHPYASFHAAARCVSGGPIYITDEPGNHDLALVNQMTALSLDGNSIILRPA VLGSTIDVYHNYNEGHLLKVGSYTGRAHTGSGILGLFNVGGQDVVSLISITDFPGITP DTEAEYIIHAFSTGDTIAGPCDQSFLLSIGLEQGGWEILTTFPIRTFSLGGKNDKRNS GELTKVAVLGLLGKMTGVAAIVDSDVFVTPDGRLQFNVSLKAIGELGIFISNIGTKTV EDNFMVMLLGRAVPVETVKIQESRNLLSVDVLAAWKHMKLERGWSNEVTVQIFMR UREG_02742 MEKLARPILQQLSRPRPGYPFTIPALYGRRRYAMHTVPPLKDKS LLIGKCYVNGEWVDAKSGETFEVQDPSTGKLIGTCPEFTTEDTQKAIDAAVAAFPSFR KTLARERARMLRRWYQLMMENAEDLATLITWENGKPYADAKGEVAYAANFFEWFSEEA PRIYGDTIPSSVPGNRIITLKEPVGVCGFITPWNFPAAMVTRKIGPALAAGCTVVAKS PGETPFTANAIAELAHRAGIPKGVVNFVTAMKNTPEVGLCLTTNPEIRKVSFTGSTNV GKLLMKQSSSTVKKLSFELGGNAPFIVFDDCPDLDAAVAGAIASKFRSSGQTCVCANR IYIQKGIYDEFSSKFSEKVKGFKLGHGFDEGVTHGPVIHSRAVDKVAQHVRDAEEKGG KVIIGGKAAGEFGPNFFHPTVITGMTKDMLMASEETFGPVAGLFPFETEKDVVELANK AEVGLAGYFFSRDIQRVYRVAEALEVGMVGVNTGIISDPAAPFGGVKESGFGREGSKY GVDEFLTIKTITFGGMGAELQGN UREG_02743 MESSARAPGSDRGLQLLIITVVMTAVSGIFVMLRLLFVVFFAVC NGIAIKHGFGKHTVTVPHSEKLEALKVRLCSIC UREG_02744 MKTVATKPMSFLWSLISTRNLFEGSRNTWLSWTKRERQEVAALE TIRARDLSLHLYNAFALKERRKQINQRRPNDVKEENHDDGIPSENLSSTFAPSRAWTA WPLPAEIVPRTTEKVEKDDDENWTLRGVFDARPSAELEECLMAQMMKAAKERFQSREW PRKSAHARSRSRNAGSDSEAMMSAKEENDADHQAHDGRTFRPVVQADDEASKRILRPE ARHILSKFDQLLLNLYRARQAYLTAADMAQTEYETEDDENKRMSTPHRRGRKRTRTTS RPSSSHLNVVNSQGPVDASNLSSPSLGTNRAPKSADPRRDRLGLRDWSDVLGIASMAG WSDPAVMRAARRCADLFEQNMVFRRLDEGKVQLEQAEDGTAVWNYVESGEDEDGLTVE DDQPTSKYIDKPRDYELFCPVEECKRHKQGFSRKWNLDQHLKKKHPGLTERSREKVEI UREG_02745 MAKIKKKGASGAAKNYITRTQAVRKLQISLPDFRRLCIFKGIYP REPRSKKKASKTATPSTTFYYTRDIQYLLHEPLLRKFREQKALSKKIARSLGRGEVGD AARLEKNNAPKISLDHIIKERYPTFIDALRDLDDALSLLFLFANLPSTTNVPPKTIAL CQRLCHEFQHYLIATNSLRKSFLSIKGIYYQATIQGQDILWLVPYRFVQKVTGDVDYR IMATFVEFYTTLLGFVNFRLYTSIGLVYPPKFDLKRDECGAELAAFTLEGRKVGETQK AIASEPETNGQPVKDDAISKNMQAKVNTLLEKAKLDDELDQQAVEQEEANTDAIDRFE AAAPEADTLPQPQMSGNEAASLFAPYTFFISREAPRAPLEFLLRAFGCKRIGWDAVLG EGAFTNDETDPRITHQVVDRPPLPESALPPMPQNPAEGTETTPRVRPGTKMPGRTYVQ PQWVWDCINEGKLLRPDLYAPGATLPPHLSPWVKPSKGDYDPRLGLAEQEGEGEAERI AEEEEESEEEESENVTTQKIQPPPAEESDEEFDDGGMDVDVPGSDDEEEESEEENVEK SGSPNEPVDVQSESEDEEETARNQHQKELEAEAAGLPFTGTSGATEGSKKSKQKPLAK KHEAKKKKEQEELERQKMMMSRKKRKLLDKMLYSNKKKDEEAEKLRKKRRKIEQKSQA UREG_02746 MAGSTGPDIIQHAGRSSTQKRKYQDSFPESPMASNLGSDELHIA KRMNGASAAQRRRLTKKPRLVETVNSLSLDDFIERYVPPHTLTRAIQVPCLSRCQETE LRNRENDNEDYEQYTIKFYTASTIPKHYFDACFALLKYTSVEAYKNSRNGWSPAKKKA EMKLPDMRYMLLLRQSNEEESQKTTEQSVENGNLGGMLSFMTTYEDGLPVLYCYEVHL APRLQRKGVGKQLMRVYEEIGRNIGLEKAMLTVYKSNESGVKFYERLGFVEDEFSPKP MKLRNGHVKDFDYMILSKSLKGKAETAQEQAST UREG_02747 MSDRPLPSSFDPYNSYLLRILLCSVLPVQFLAVHELITRPIPAG CLATSYALLRAYKSMKAGDSAQLNRMFRFRIYAQAFTLLAGVGGGFYYQAERAQRKEL ERAVADKKAQAKRDAWLRELEIRDQEDREWRERHEAVGKAAKEAGNKPKEANLDAPKV PTKESEEAKPTGGILDAVKSLGKEK UREG_02748 MLFIRAAPSCCWLSKQAIISRSPVVTTFVLPNSVKRHSTYDTAT PHIVAEKSDGTCKCPKPVYLFGYSDDSQWKKFRRRTFTDIEETAGCVRVMKCREGLNN KVYLLTMNNGSEIIARLPNPIAGPAYYTTASEVATREFIRDAMKIPAPRIIAWSADRN NPVGAEYILEEKAPGVPLGRLWYQWPMECRLEMVLQIVKIEHQFASMQFSKCGCIYFK HDILNGPSGGGSFTTSTRAAPSIYERFTLGPLVSSDTRPLEFVEAMATNEKRFVKAHG RPRLNYHRSTTKPESPDELLDLLDRYLKLMPAMVPSVTHSPTLWHPDLHLDNVFVDPK SRQITRIIDWQSAAVMPLYYQCGIPRMFKHPGGVSNNWDVPKLPDDYDSLDQSEKDKI DSNQKSEICHKYYLAETMDQNPRHWAALKLENLDVRTEPSRLVVNVWEDHDVFFLRRA LHSIAKQWQYLCPDSGPCPVTFGEQELEAHEAEEESMSNVSQILALFQDNWGLPPDGM VDSAVFDEVQRAVAELRDSFIAGGDDEAERQLFSKLWPYRNEDS UREG_02749 MAGVTTENGVSRPSTPPMSNLALTEYTANPSPPSERSGRRPDWD VPESFLLPNGHPDYLRLILTSRVYEVIKETPLTHAVNISNRLECRVLLKREDLLPVFS FKLRGAYNKMAHLSDQRRWKGVIACSAGNHAQGVAYSARKLKVPATIVMPSCTPAIKH KNVSRLGGNVVLHGPDFDAAKEEAHKLAKIHGLTNIPPFDDPYVIAGQGTCGMELLRQ ANLQNLEAVFCCVGGGGLIAGIGVYIKRIAPHVKVIGVETRDANAMAQSLEKGERVTL KDVGLFADGAAVKIVGEETFRLCRDVVDEVIQVTTDETCAAIKDIFEDTRSVVEPAGA LALAGVKKYVAKYPSSDPNRELIAVASGANMNFDRLRFVAERAALGEKKEALLSVTIP EKPGSFARLVEVIMPHDITEFSYRYSAPDSAAVFMGISLSASTGVVDLADLKVQIEKA GMVVSDMSDDELAKTHIRYLVGGRSNVPDERIFMFEFPERPGALARFLTTLRPHQNIT LFHYRNYGGDVANILAAIQCPPNENQEVEAFLRDLGYPFKECTGSPVYQKFLRHY UREG_02750 MDERGFVRNLGETNIRVHFMRKSKSSMERSHDTIPSHHQPGSLA PPTALLLV UREG_02751 MRAHTLMRAQVRSLAGTPKPAMRPLHNSLCARRCLSTAPFRSLP TASHRLPLSRKWELKRNYASAAAAAMLEQAAAEPEGLSLDSIIENMDPVEAARISKVR NIGIAAHIDSGKTTSTERVLFYTGRIQAIHEVRGRDSVGAKMDSMDLEREKGITIQSA ATFCDWVKKENGKEEKYHINLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQSQT ITVDRQMRRYNVPRISFVNKMDRMGSNPFKAVDQINQKLRIPAAAVQVPIGYEDEFKG VVDIIRMKAIYNEGPKGEIIVEKDEIPPDVRSVAEERRRMLIETLADVDDEIAEMFLE EKEPTVEQIKAAIRRATIALKFTPVFMGSALADTAVQPMLDGICDYLPNPAEVQNLAL DQKRNEASVKLVPYNSLPFVGLAFKLEESNFGQLTYIRVYQGTLRKGANVFNAKNNKK IKVPRIVRMHSNEMEEVSEIGAGEICAVFGVDCSSGDTFTDGQLNYSMTSMFVPEPVI SLSIKPKNSKELPNFSKAINRFQREDPTFRVHFDPESEETIISGMGELHLDIYVERMR REYRVDCETGKPQVAYRESIGKHVEFDHLLKKQSGGPGDYARVAGWMEPTGSLEKNKF EEQITGGSISEKFLFACEKGFNMACEKGPLIGHKVLGTRMVINDGATHMTDSSEMSFK NATQQAFKKAFMEAQPHILEPLMKTVITAPSEFQGDVIALLNKRNAIINDTETGVDEF TVYADCSLNGMFGFSTNLRAATQGKGEYTMEFSHYEKAPGQLQ UREG_02752 MSHFPLPNVKRSTLIIIIIVHGNAANLGSGYRPGIYRNFLSMST PSQPVHVIAFDYRGFGISTGTPTEEGLITDALTVINYLTSPPLSIPSSRIAVAGESLG TAVAAGLAERLAFSDVSPVKSLAGFMLVAPFSNIPKLLESYCIMGILPPILSPLMGYP QYQKYVLEHVIDNWDTASRLARLTGILSKSDSDEKYNNKDFRLTILHAANDPDIPWRE GKRTWEAAVGGEQASLLGTFVEESAPHENATEVKVWERRVGSGLKTVRWEKIRYGGHN ENSQP UREG_02753 MASLNSIAAIRHVISQFPFSVASTLADEQESGARQQPFSRLGST AISVCKNMAETRRLRAATAMRSRKSESSARPPQRQVPAKRKASTPAQIPQLLGPVEES LPTKIKVGEPLPTLGKAQSTTLYTQDYQSYSESSVAAAALHRSQTKWLQDCIFEKYWT KPSRKKGQPQPTTPNPPKESMTKLGPCTIVIEPHHFEVMLYAVRNLQPQQRYVAPPIQ KPLIHVQYTAPNSPSTFHQYQPPPPPPFPRSSPQIPPSPQIPPSHTPQTSQPPGPPPN QPLASEQAGQINPPGPPPGPPSRPPSRQHVSSIEPKPKPSPTPPPKKSLDPSPPPPKG STDPVIQMLATRAAVNPQLKALMRVVASSEATEEQLRTFQAHIDELNAIIASRNKQDS RVNSPKSNLAYIPQHSNMSPAPNVQTPGPQQAARPTAKPLAYQPQPKPHPVPPGQYSI PPQAQPPRSATSTPPIRSKFPQQPPHSSYIHQQRIPPPLQPARQDIKAIVFEFITPAG SGLTTSGDRYLLPENMIIDYIPPGMQVIASFLVVKKVGPSGTPLDAAPPGTSKPKTKK PKASAIPAPPTPVVDSPRGTPQPSTSQQTVQSSQGGEQPAATPGPSGTKDDASSKEPP YKEYYQPITMRLFAGNSRVLEPLARVVKPLVEVQQYMNNVMDRIERAPIRYLAMRLPR EKATKNGADDDKVAEVSGGASTPKHGNAGNGSRSRARSEIGDSESTPAPDEVEEELKD FYDAPSGLVPLRC UREG_02754 MGAGQSTTTTEGRSSPEEMSHLLAQRFASKCFTPLELTHLEDNF NSQALDEHGLRYWNEEILSRFLGIPDGAGEKASPQTDATLDAGPVLFRMVSYLGAFPF HNTLAPSVLTYDAIVKVIVLLTERYGRVLKRGRKDRMKLLFGSLADVGRTQTEKQRET SQVDTKMSSDEAYSTDGASSNITGFSIDAPANDGEEEDDDDDLALAALESLDAIEVFK HDQRLDRSVYKARISVSTFRRLLALLLVIAPLHPMGRRQMIQTDAESIDAVQPSWIAS CSLGDEANGDGHYLSDFSRVITTSLPFLFDPLTPLFEHFLFSKNLDLSKRKDSHQSHE ESNSPSPPPSPHLECVFLPGHFETNILNSAILSHLSFFLSTSYPIPNLFRNQTRLHPV FSSDFHGESLTAFSHHVLTWHAPSLLLLKGVTGSSSSKQETVLAGAYLPEPWKRSTSP LSTPMSDFLDTSRFPCLFQLLPTHTVLQAAPAFKSLKSNMPVVSFSTNSGIAVGCMIP PSSRTSLNNELQPRPSGGGSLIIDPALENATFYVSDGLHGDGVFLPPGLSPSSSLSLS ASATSSTMSISIHSIEVWGVVPTPAELDANLDPNSPKDAISMQKAMWDFEAREAERRK TIHLNVGGGDSEAQTGRALLEMAGIIGDSQYSPRRR UREG_02755 MEDTAPSDPRRDESPPAHDDSSDKKKGKRKAKGEEPTKTPAGAK LTDSMAETLLEMNPALKNELAGLDKAKAAEMLRKMDISELLTGLSLNQKNQKDMASFK FWQTQPVPRFDEKSSAADGPIKEVNVEDVPKNPDPLVEGFEWVTLDLNNEKELKEFYE LLSDHYVEDGSAMFRFNYSPDFLNWALKAPGWKKEWHVGVRASKSGKLVATICGVPAE IVVRGQPIKVTEINFLCIHKKLRSKRLTPILIKEITRRCNLDGIFQAIYTAGIILPTP VGSCRYYHRALDWLKLFEVGFSALPAGSTKARQITRNHLPSKTATPGLRPMQSKDIDA VHDLLNRYMKRFDLAQSFSRREIEHLFIHKEKPGTEQVVFAYVVEEDETHKITDFVSF YSLESTVIHSEKHNSIRAAYMYYYATETAFAEKERGLRERLTGLVNDALILAKKEHFD VFNALTLHDNPLFLEQLKFGPGDGQLHYYLFNYRTATVAGGVNEKNFPDEKKRGGVGI VLV UREG_02756 MGRTYNDAITALNSLQSNYSIVQKIRKSGGRMNETALNEMVEWC EKIGYKPSDFNKLNLIHIAGTKGKGSTCAFISSILSQYTPASGRQTSQNSNLSPNYKV SKVGLYTSPHLRFVRERIQINNTPLSEEQFARYFFEIWDRLEDTARKAGMDPADPATK PIYFRYLTLMAWHAYLREGVDAAIIECGIGGEYDSTNILDSPIVTGITSLGIDHVELL GNSIEQIAWHKAGIMKPGSIAYTAPQPESALEVIRKRGKERGVDVHVATGHPDLKPGN IRLGLSGDFQYKNAELAVAVATSFLRARGAEGAPSYADKEPLAAPIIRGLENTRLGGR CEIRKETNITWHIDGGHTLDSIEATGRWFSSQPYTHSSPAGGHDKPQVLVFNQQSRDS SALAKALHDTLSAGNCSFTHAVFCTNITYKEAGYQPDLVSINTNATDVEKLSVQNTLA ETWRNLSPNTTVVVKGTIEEAVQYVRSLASRQDDTVSALVTGSLHLVGGLIEVLETKT TQQ UREG_02757 MAPALPYRDINLLVSPRHYAFRSASSTSAPTLVVERPSGDLRLD NISVQGAKRVSSIAGILGIVKLKLDKYIIIITKARPMGRLRGHMIYNVVATEFLPLRE RPLHDPDEDTYLSLLKQFLQNSPIYFSYSLDITNSFQRQSQSDPSAPLWKRADDRFFW NRFIQTDLIDFRSGLSDGTGIRYGQLSDVDPYILPAMYGMLRITPTKVKSTPFTFALI TRRSRYRGGTRYFSRGIDEQGHVSNYNETEQIIILNDSTGSLPGFAGGTGMQNGKLKG AGNKDLHVMSFVQTRGSVPVYWSEINNLFYIPRLQVRSVETAVPAARQHFSEQINIYG ENYLVNLVNHKGREEKVKKAYEQMVRTLVTSSSEATEADQLTSEEFHIVDPSLKKQQM DKLHYIYFDFHNETKGLQWHRAELLLNHLTDGLVRGQYFSGVEPLGEPSGPLDIRLLQ SSVVRTNCMDCLDRTNVVQSMLGRWIATRQLVDAGILQPGQSASDDPEFEFMFRNVWA DNADVVSKSYSGTGALKTDFTRTGERTKAGILLDGNNSLTRYIRNNFFDGPRQDAFDL FLGTYLPSFSPSSKLVFVDRRPLVIQAVPYILAASIFMVLVATLSRRLPDSAAWPIRL FLIFWMVVGAWCLRFIYSHGMLYVNWPKLNTPAAATEGYLDALQRAHSDKVIGRFVPA TRHQRGFSNVRLGYMEEGKKRIE UREG_02758 MAARAPAGARPGARFAQFKLVLLGESAVGKSSLVLRFVKDQFDD YRESTIGAAFLTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQ ASSLDKAKSWVKELQRQANENIIIALAGNKLDLVTDSPDKRAVQAADAEAYAREAGLL FFETSAKTSTNVRELFTAIAKKLPLDQAGPRNLRSSARPGVDLRPESTNTQAGGCNC UREG_02759 MLRSSIAPSRQLLSPTVSRQWLQASRRCYSRVRSPAAVSKGIPA FTPRGHAFTTSARLANDPNIRSPPSPSSESTIPPESVPRPPPSHPIQTSPGSTIEGRT QPPPPPPVTNTPPPPPPPPPPAPKKGGRLRRLLIYLILTTGLAYAGGVWLSLKSDNFH DFFTEYIPYGEEAVLYVEEQDFRRRFPNATKQISRRAVEPRDEGQNVTIPGKSGVSWR VSEGQKETKEDGSDVSRRGKHMSATEANTAKEATKTSTVEETKAKKQVESAAPTTEKK SASETVKPALEEPRAPAIPTIDSVEPLSMLVDEPTVQELTKIVNDLIAVINADESSSR FTSTLSKAKADFQRLGEQIAVLRQDAQDAARVEIENARAEMERTANELIRRIDEVRAE DAAQFREEYESERERLANAYQEKIKTELQRVQEVAEQRLRNELVEQAIELNRKFLSDV RSLVEKEREGRLSKLSELTANVGELEKLTAEWNSVVDTNLNTQQLQVAVDAVRSALEN SDIPKPFINELVAVKELASDDQVVDAAISSISPVAYQRGIPSPAQIVERFRRLATEVR KASLLPENAGIASHAASYMASKVMFKKQGSDDGDDVESILTRTENLLEEGRLDEAARE MNSLQGWSKILSKDWLADVRRVLEVKQALEIIETEARLRCLQVE UREG_02760 MEDGSEDFAITNGLLDAKRRQKSRASSSFRLGSALHARRSSSNP GARPGHDLESRRASKRPVDESELQVTKRQLRAQYIQTSDGQPVIAGSSSADDQASSTI QSEPGPSSIADTRAHGPTMVGLDTDPAQIVNLALSLGESRRRAMSGRAVSSGVPRGRR LSTIVPARSPVPESRITPLTDQDGRSKSRTHRRLSHASRSSPQQFQPPAPFLDYGPQN LVPPDFSAGTLARAEKARQYFELFTEYIRLLPYLPPLHPPATGSIKQSDSSITSKAVA QGRVYNPLQYIRNRKVRFRERSAINSEEEGWMDVDRVRSWVSDVVNSLEETECGADGR IQLPPLQPPIEITEEPQEQFSSPGRTNTNDTNKLRRPRMDWVFSPADLLADAAWVEAG SNKLKLEDKDGNKLFPPDTQLRAITLTAATPPLEPPPIDETYDPLYSTGALPSFTSVG SRSSSHINRGRRMHRFAQSVRLTPGHSHSRESSKSSWRNALARPRSSSGSFQNHVNRD HSIKAFPKDHIQPSEQNTGRSLLPPLDTSVSEPKRPFSRQNQYPGSVSSVDEPPKKAA SRPSFEDAQSGYRTPVNKLKFPSITANLSPPLSREASPGRRQHLPFYSPDRQATKNHN VTSDSPYSAIGSPARQPVSPISDTQKQPFSWLNQIESPPGASTKIGKDPDSKRRGIFK GGRIAELVGNEVSKVGEFIRKRDAYGHSRQSSSASSVSEYVDIDDDTGAGKRKQRAKL VRFPTQSDSGSRSIPESIVDRAKYRTPNLPTFKSSLKQGETWQGTDEDHSTLNESRKD DQSGPGSEIGNDIETTATAHAESSGAGGDIELQRRPRAPQENDVQANRDASGVVQARP KLSEATRNWSLSSRSIPKYSESTRVSKGEIIRIQAHLLSTGVKAQEICRHANTSVSDF NPLRIGPSSDLHSPLPYIRDQEFVTTATKQLLSAVDDGVHHVQKSISRFSASDLPKLR YELDDLDRLISTSLNNRLRKVGDEAEQLTGQLSMTSTLAIKQLHDALDKGIRKRKRRF RWVSRFGYVLLEWVLVGVMWWVWTIVMVWKMFRGIWRGTVSGVRWILWL UREG_02761 MKKPFSWLSKPGASKATHEDYVVPGSSGSIPAHLADRLRRGGVN VDVKKIDPQEKARIRALLSQKMDSGMTGVELGRFIVPHLQGKDQGLSNIITQMALHQF TYAEIAIATLELVGLLTHGEDFAAVPRQPIMGGDRRFYSNSNTQAITTVSPVQLPSGQ ARTQGIQARAPYLRVFSTIHLPGHGGIRQRHLQFVKCPLGRPLVRTLRFFALPPLNGL SEVTQVKRPGVTPAESQNFTEK UREG_02762 MRASLSGEAAGQDSKEAPKGELFDHLETTKQAPKSGKLGNLYHR LVNSQRNRPSASSECGVSELSGESSATKDKQKRSRIRRIMDKMQKLSGTGNQPEIEPE QSSVGEQRGNEELPLLSVCSDLFTQEEWDDLREFRRDYARVQQEKRDKASDPYPDANA SAPVDPPTKEQLASLARREREKPNPFINSPVPLPAIQEPDNHPWTKGLYKTAYKPTNP TMLSPAWLTKKKRGAAKGARLHKNSKNWGPEDFEFRDLLRGMLDDETLEKIMAEDDRK QGKEPSASSKFGEDGMRNVLHVMLDRLIDKTVEELI UREG_02763 MDSAHEQFFSSSERGSSSEDTEVPGDEVLAPRDSSLPPSAKYNM RIVDRITTPPRTFLDDEGGTPVDESLTGSLMEKRRQGVYFHRPPIWMLGPTGGDGAAP SYESDVNLYFPAEDSALATACSKQCSEVFSEEPSNSKLSTTRSSNLPASALDANSVPA FDYPAQSATEIVVKEPSEPRIIMGFTDESNISLEKFALLPLTTLEQRTAMSFDKGSHP QAKLDPIRRYSPPMEQAELPRDNEQLAGKRYLESCRDIRASGPGNGFAIRSGSRVICI CDTLLDDDEKEKDDYSHLQFGDVYLVLRLYLDLWASCIKLDTATPVYPTAPINHLMSP VYSTTTWPTSNEAIKFLPLCSVTIEANFSKYIRHHPIHGDGLVAVSNPATGQLVIPPK RRESLEAAADVLNNAGGVLIPLEYYSLTTYPTMDEDADYIAVNSKENEEPVGHVRECK PLHYGPGFPSTLPVQSRMRRLRNKLTGKQINSDNNEQPLGRRRSVLLASLSSRPDRSK KEDESIIQLKPEAEAAMQGSPATAHRSGSRRFRKPWAVHSSSMF UREG_02764 MAAPKITLYVDVVSPFAYLAYYITRHSPVFAKCEVSYIPVFLGG IIKATGNSAPIAVKSMYSPAQHNKAKWIHVERLRWARYFNVPMTLKPAKGFPVQTLAL QRALCAMASKDPGKLVSCLDALYHSHWVEANPEIGKPEGFMPILEQVVGKEAAEGVTE KSVTEAVKSMLAANTEKAIDAGAFGLPWMVCTNSKGETESFFGVDHFGQVAAFLGLET LPDKGFRYTL UREG_02765 MHPRDPRIRQTINQISQNIESANESAQEGIYTFSHHYIAPCFAG IRDCVGACTASCFPRRDDVLRRRKRGLSRGRAELNFDFYDDWDYDEDVMGDRPLGWGT DELDRLLAGSSGSRDQPRKDRRMSYGARGGRRKNSILQPDERQDPTVIPSSSFLGFLE RFPWRFGARGVKYRPSPADLQENPGGMKRNALENEPLLEGSEGSDEDAQKADRGDGVT RQRSNTQSSQDTSTSLSSRGDLILGDEEEDAVPLGDEFAMALERRSTISGDDSAWPRR SVSGTSISGTSKHVNKGKGKRGTRRNTAVPKRSPASSPRGGVIEPAGPPVPTIEDLQH EEEQMQIQEDMEIERRRRAAQKLARERGLSVNAGKVEPEDTTLNEALNSNDEQTDSGP GTAPVVSDGVQDDAPTDPDSTSTPSSDRQGAS UREG_02766 MPYYVRLLKQPQISKQNGKAAVVTALITITTDLGDSFLAEDVQL DATVHFARPATPLTTTVCWKASNREQKIILGPLRQDLIDCPVQVSVRPASLVDADCLE GACIPKIISAWSPWFDSSSGKPVEKLVLRRFKTRRGPELQIWEETGNSIARHIWDAAL AAIVEFQDSLTHGSGNLLRHDDSSPFNVVELGSGCGIVGIALAQMMPNCSVLLTDLEE VREIVQRNISTAQPAKNSQIEFHTLDWDEDLPEGIRARRHDLIFLSDCTYNCDALPAL VETIRKLLDISPDAQVLVAWKKRCESEMVFFDLMQSAGLAVRGRPSHRLQQSSSPESD DEETPRVQILRFGSLVHTGAPS UREG_02767 MAPSVFDSTEVYITEKYHRESKSNHQHSSNHGGLELLHAREASK ELILNILRNKAASIDVQNCCPGEEDPFYVADLGEIYRQHVRWKMNLGRVKPFYAVKCN PDPQVLRLMAHLGNGFDCASKAEIDYALDAGVDPSRIIYAHPCKTKSYLRYAAQQGVK QMTFDNADELYKIKAMCPDAELFLRILTDDSASLCRLSMKFGASLTVTRSLLELAKEL DLNVVGVSFHVGSGAEDPKAFVKAVEDARFVFDQASDIGHDLKVLDVGGGFCDETFEH FAAALSDALDTYFPPHIRVIAEPGRYYVASAFTLAANVIARRDVSPSASDSDSSTGPA EMYMIYLNDGVYGNFSNIVFDHQHPVAQILTKDDITSTHPVEYSIWGPTCDGIDVISE RITLNGVLGVGNWLYFENMGAYTRCSATRFNGFADNHDIIYISTEPSAAALLGY UREG_02768 MPPIPITIITGFLGSGKTTILLNLIPQLPPTYRLALLKNEFGDV AIDSQLASATSISGVRELLNGCICCNLVGQLGDALVQLRDQVRPDRIVIETSGSAFPA TLAMEVNRLARESGGGFVLDGVVSVIDVENWEGYEDTSYTAKLQARYTDLIVFNKWEG VSERRFDECLDRMGDLEVQTPWVKAPRGSVERDVILGIDGALLAKEMADKGHAGLDVM LMGGEDRVKEYKNAHAHDHQSEVEVLSVSLESPDSTAIVDLPALTKLLHSAPRDEVYR IKGIMRCSSDDPPQDSSGDRAPAATSSSSNNAPEDGIKIRNYILNWAFGRWTFTPSPT VSEELANGSNANDVLRMTLILARYESGKWKKRLEAGGLVELAGDAKGATVLQVDKVG UREG_02769 MTVTETPQQPQQPLSETWTQPSHSAITIRRSDTLASGSDASGPI FCLTAVAAHDIPANSLFATNTSTTLASTKDYSTVQISATQHIRLNSDLLYCNHSCDPN VRFVTSTLAPDPKVDPEAPVAGVLEVWSLREIAAGEELRFFYPSTEWEMSQPFRCSCG AEDCLGWVDGAKNMPAKVLSRYWLSGHITALVAEKEGLN UREG_02770 MATNRPDRTDLVPGTVHLVDISGEAANTKHEKDIVLVPRPSADP EDPLNWSRRRKLLQVAMIFTYTLGVGIPTTLQYSVLADITRDTGITTAQLVNGTGLMF LFLGWGCLLWQPIAITYGRRGVYVISCLLCVPFMVWTAYSKTYGEWYAHRIIMGLAAS PIESLPEVSIPDIFFAHERGRWMGGYVLFLFGSNFIAPLIAGWFNDAYGWRWVMYFGA MISALATVIIFFFMEETLYFRPNVEGEELEISSSGDANSTRSDSKEKREMLTTSSTEN IQSFPPPRTFVQKLNLFVFLEGRPSVKQMLTMMYRPLLIILYFPCTSWSGFLYGINLS WYNVLNATASPVLSAAPYAWTAALVGSAYTAPIIGAIFACAWSGIVADKVAIYLARRN KGVREPEHRLWPLVVSGVVSTAGLILWGVGASYGIHWVGLEFGLGMLAFGCITGGSIA ISYSIDCFKELSGESMVSVIIIRNTLGFGFSYAITPWIEAQGLRNCFITVGMVSLVTT ATFLLMTVFGKRLRRFSRDKYWQYVATSVAGTI UREG_02771 MACPRAIARNLIVLLIFAAMCLELVSGRPHAAPSSSTVTAYNTA GCQDIQVDGQAHQLHARALPANYESFTKREKLIIGLGAGFGGFSVLLSVLIIVCYLKS WHRTLPSKFTRSDQRRDAPRSMIPQDTFQHHHRSMFSIGTPKMDSTPSYDPENALQQT CPYHPGLPSPMTSYYQPVEVYRTLIQPNKHPRRPVTPISEASQSPTSPVPAMHPAHYR YIPQNPQNTPEPPTPADSQISPKTIPRHMLYAPQPRRVGLPSSVRQSAGLGIAVLPPP PAVKVRPLPLRDYSETR UREG_02772 MSSDMRLFDDRGQTPIVIEAVRQGCHSRVPTGSEFIIDANRIFN ETLLPPSTESIAPPGTETGKKKPLVLIIVLPIVGFLLLCLVSCFCCFFFVRRRRRKAQ RLSQAGHLHDRWNDTSMMTPVPGGLRHTWGETPQFHPTQTYGYDPSDHQGYYAQQDVK YPTETYQLGPVTSEPDDTKKAEFVAQSVPKLSAPPPGRKSLSITQ UREG_02773 MRTKLEPRVEEWVAKGRNVARHNTASSQLQVEAAAAADGESVRL SVDELLDLWHWAPLEANTEARRRDWGGDYTLEEKEMGVKNVITGLRRKLDEGDEEDED EDEEEEDEDVQGEEMEVVVGAHARAGGGPGVEFDIARGSNYTPSKSMAPGMPLDDVFR YMMTGAQPR UREG_02774 MESVKQSIQSLILDPLQPYLRPITTSLPEPISNTLISLLGDKCY TSLVLAVDITKDPACLPLAISKALGIAIVAFSAIVKVPQILKILSSRSSAGVSFTSYA LETTSLLITLAYNVRQQFPFSTYGEAALIAVQDVLVGILVLAFSGQPGAANAFLIGVI GVVYALLGSGESLVDNKMMGYMQAGAGVLGVASKIPQIWTIWSQGGTGQLSAFAVFNY LLGSLSRIFTTLQEVDDKLILYGFIAGFSLNLIMAMQMLYYWNSPTTVSEKQSETVKP VQRMQAVQVPAATTSGASASPSGKGPSTRRRG UREG_02775 MSLRAFSLRPGARLEPGSVCSECLFRFLAPPNAIPRRTFAAAGS LHNKLSQPRVLPRILRKSYFSSYMPDLPQATFLSRSKKSASFTHQASLFESAAPESRG QTSNPAAQLQGSPDAVDELPHRRRQRLKAEKENGPTGSAENAIRPDASSRLSTLSSSL PKTSVRRQLAAYLALTKPQLSFLVVLTATAAYGIYPMPTLLALDPSITPLPTLSTSTL TCLYLTVGTFLSAASANTLNMIFEPKYDAQMSRTRNRPLVRKLLTPRAAWLFAIGTAT VGVTALYFGTNPTVAALSAANIILYAFVYTPMKRMSVVNTWVGAIVGGIPPLMGWAAA AGQTAITGHDTWRDLLFGPDSLGGWLLAGILFAWQFPHFNSLSHVIKEDYKNAGYRML AWTNPARNGRVALRYSLLMFPLSFGLWWVGIVNKGFLVGGTVVNLWLTKEAYRFWKLQ GAKGTARGLFWASVWHLPLLMVGTLVAKTGVWDGVWNKAMGIVYDEDEEDEEYLDEEE FRESQDAQVSTQLAQTTKPFV UREG_02776 MSVVDLGSRILQVLQPTPADNGETELLPSFTVAKAPLTLHRFIQ GVGHVASMPDSMRIVVAGDMIQLALKTPHLMHAVFGIASTFSFHEKSHKVAEAYHWHN AIKLYKKEIEGPIGFHNMDALMSTCMLMGILSFSEPKYDPLDSWVFTSKPTDLNWLLV QSGLRYLIESTIPWLPQSIWWKFFMESDDRDKTFDDHRPGRVGLDPELADLCEIGSTT TEDDNPYLWPLRLITPLLSLPMVREHFSKFNSFMGRLEPDYVQLLHQKDPRACLIMAI WMGKMCEQTAWFIYPRMQAECTALCMFLENSEDPRILKQLERPASACGYTLHHTRVEE LLDLDLSMTSFDEIEGLIGIENADQSWLAMENALV UREG_02777 MKSFTTLQHVKILRVQDEVERDLRQFIERHDNPLDPFVVLDWKP ACVRAVQTVGTAILESGSPISRFSGPQISPQAALNLRLAPAEQMSALAGKLTCLEIHF DAIRYVNAEMRELSGVFHTLFLAAKNMEAVHLGFPSRLPLDLSLEDIFHNVYWERLRA FGIQSWRLDSHEIINFARRHRKTLRGLRLRDVLLKEGSMWKDVLSMLHDEMEQLEWVS LRRIDYSNAFDEKWAASADISDIQFFPNSDSEAEDMFDVYEGQNGHTDDDMSIGEESD GLSDHNGDDGPRANQIELAPDTAINAPIFQLPPSTNQWELLSTVSADELDDNGMNVEY RQRKIWEAWVISNTKVK UREG_02778 MPEEIENRKFLRLEEEEKGCKRKKKEQTEDCWHALRRASKSAWR KKKKKKKRKRGGQQWEKTKKKINDSHTNEGIVKTTGLRTHTIEIHQFRRLHCRRHCRV ASTNIVQPAAGAMRVERMESKKSKKQAQSVLWRGCGGRIAGEKCILVVDGLTACRGSH PCRLRNAPSPPPPSPSRIGSMQEGNPRLFKKRDGMSFPRRIRCADQANILASLVQGFN RHGLEGHSGLEQLHVGCRRATCSGIMSSLVRPLGRKGLRRTTAGVCRVKKNAARHAV UREG_02779 MSRPCPPPNWRDPASAAPRHHEALLLLPGAAVSSFTALKAAYEP AFSTSLSELWASVKGTKRSAVLDIAVAVSGLVPSDARQRNRVFDHLQRLLADVYKLVG LVSVKRHIELDGPGGVDARVFFVDRTKPIGSRAAGSPQIGPVVSLASLAASRRAWKVI YSVDSPQGDDFLREMRQAVDQETGLGAQLNIQRLPGTNDGHPPGLEIEESTSHSTPHY HVAVGGTFDHLHTGHKLLLTATLLALDPYVGQFPSPMRVMTIGITGDEMLVNKQYSEF LESWVERWNGVWEFLQSIIDFFPPGTPKQIYMDYSATSKTKGATALIGESESLKLRAV PIADPFGPTITDQDITALVVSKETRSGGKSVNDERAKKGWETLEVFEVDVLDLSEPEA AKSNASMETFESKISSTEIRKRRMNLAKGSPSL UREG_02780 MTDSHGFGGAGRGPTFPRQPEELHIPSSNNSIPSNGPRPAQHQF PSPPEYSANHPTSINIQAPASQPQPHHQYSGSTSQLNPGNALPGTLQPGNANRSGPLP SNTAPTLPTLSQIASQQPPASSPSRPVLMTQPHSYSASSPAAFDQQKYKPFGGASDGS KYISPTSGTYPSQTPQNTQYSPLGLADIRSHMDTGMLEEMGSAAQSNNAETPVSMNCN YMAPWGVYALDWCKWSIPLGSPTAGKIALGSYLEDNHNYIQILNAHHNQPDRDYPEPD GLAGLEFVKVAEATHSYPVTRILWEPPSSQKQSTDLLATSGDHLRLWSLPSESSQYLH HGGSSITRTANSKPPVQKLSPLALLSNSKSPEHTAPITSLDWNVVSPSLIITSSIDTT CTIWDIPTLTAKTQLIAHDREVYDVRFCANSVDVFVSCGADGSVRMFDLRSLEHSTII YEPSEKNDKSSTPGNLSPPAYPSVWPPPLLRIAASPHDAHLLATFSQDSSVIRILDVR QPGQALLELKGHSAPINCVEWCPARRGTLASGADDSLVLIWDLINQNNAASISSQAQA GQTHLSGSTGVSTMERGPAAAWQCDYEVSNVSWAPHTPGSNAAGWLGVSGGRGLWGVS I UREG_02781 MDLRTIMNADSAGVSSKPPPPQPLPPSPLQQPGRELSPRRTSYP NDASPPSHPSLPTEPRARPPPPPPLQPPLHSPGRSSSYGSAQSPYQHSSASSILNSIP PPPNNQAHPHGSQSFLPVARDSFATTAASVPHPTSSLCSPFTPQPLSSGTQQSYFSQT RSHSIHSATTPSSVRSFSFPAPREDAAIPQHAEPSQPLPYSPIQTGSQPATPLGPPSA TFRRRPPSSGQDVEHGPIASAWDRGDQQREQKDAVSPIMQTQLSRQGSLLVEQPHRQY LLERDIDRHRTSVSPKTILNRANHAAGDLGRVPERSSQTQYNNDVDKLSWRTNNGGTN NLPAHDNDTASQNLYPPTTPKTTHPSNSSHPSTVSPPSKQTIKRETESSSQHITPMKP SEPLVRSSVTPTLIPRKKRKRYHEPPVYAQKAPRTTGTPPTIPISYKSAASSLPFKPP FPKREAPEERPTSRNRSLPPRPTPLPAQERPDANENSARSSTVGKPVSSLGPWEPSIT GIIPHEEVTKAVCDFLFQQVVMRKDIGAGPAGGAATGSGAILEVEAKLGQLVDKNRGE RIRLPVLTECILSKDDPGLRIAFESSMSLAQHRSLNNFLNESVKASMAPGASRIPLTY AHKKELDTFYEVSSSALPPIVQHHLNPRHKPKDTLAPELGPGIKIA UREG_02782 MTLLGGERRASKASIFSSDSPPFARPNFEKSNPFAAVRRYFRPN DVRDSDIRLKEPGPSPLRPSTAFSEGRGASSSIVLPQHNTSLPFVAPPGASTPAPHPS RRSSLPATSPIAESGLKSRVRRSTLTGNKQPKFSYNINAATTRRPSLEPKHSRPSLEV VSLYGRSEDASNSPYQNSNYSAETPLTDLNYHINMLSSTGLDSSRDEHSAPTQYSNPH PIPTVTGSATNTGPTNLSGLVCNVHRTTGREPHALVGATTTILGDKLYVFGGRMLSRT RPELTSDLYELDLIRRHWSKLQPSGDIPSPRYFHSVCALGDTKLVCYGGMSPRTTLSN QSLANVPQPGNQETQPEIVVMSDVHIYDVPTRTWTRIQTNNTPQGRYAHCAAILPSSA IFTSAQAPLSAIHHNPSSSNPHQGTIGVDIDGFGGAEMVVVGGQDSSNHYIEQISVFN LRSLKWTVTTPLDRSCGAYRSVVTPLTNTSVSQIGANNQETNADAQSETQGTPLLIYS NYNFLDVKLELQIRLPNGHLIEKTMDNSVSPPGLRFPNGGIINGHFVVSGTYLTSSRQ EYALWALDLKSLTWGRIDVGGTIFGSGSWNRGILWNRRSTFVISRGIERGVSLKITII ERLKFHSTSVWWELEAFGLYENPRSTAPTSGYQSMSAPAIPASIQPKFSQQAGGGRPY SSAAQQLGLMAQAFVELSDMELLTIGGERIPVNSHVLARRWGPYFVRLLRESTAVASD HVSDAATVRQPGSSFNNHIHNKRNSNLTITPSISNTSQYSTATTLVNQPGIAANVGNA QKDAALTTLPNLEIPSAHSLTPSSRPRTLYLPHTHLTVQLLVHFLYTSSLPPIDSPLC TPQILCSLLQLARPYQVDGLLEATVERLHQVLDGRNAAAVFNAAAMAAGGGRGTGFTS GTGGTLEVLNGVKLRSDQTGALSAPKGTMSQSNASDNDRNHSERKPVTSRRESNNSAS QQRPSNLRINTNMAFRHRTESFSSASTVTSASTNTSFSYTDSDLGRQSSSGDGSSRHH THSRADREVWTGEISSVVGLQKRGLRGLMEGRRLRERGALNAADDRNTPTAGITSNAT AGTGPGTGT UREG_02783 MADQSQRAAPLRLGSVAPNFKAVTTKGDIDFHEFIGNNWVILFS HPDDFTPTCTTELGAFAKLEPEFTKRGVKLIGLSANGLKSHHDWIKDIDEVTGSNLQF PIIADADRNVSYLYDMIDYQDTTNVDEKGMAMTIRSVFIIDPNKKIRLIMSYPATTGR NTAEVLRVVDALQTTDKNTVNTAINWTPGDDVIIPPFVSTEDAEKKFGQVRVVKPDLQ GARSSLGHYSPNKESEKVFFSYCTPWQYDDREPLRSLQKDGRRSNDLSHHYCQCIKVT GWEIGLAGESDRNG UREG_02784 MEANTRLSSQKDEIEPGTKPPAPEESPQSSEKTPDISKSSRTTL VDFSEKGTPGDSNSPTSTYPPVEYAYLGFNSPLPSPIDYSAAKQPSLPGPPDLKRYTS PFEWPNTRKYVITALACTVTVLAAAAAGCYSPPEAELTRAWGISGVVYNIGITVFTLG FGIAPMVLAPFSEINGRRPMFIYSGILFTVCHIGCGVTRSFAGMILARFFLGVGGSTF STIVGGIISDIYHAKDRNAPMALFATAALFGTGLGPLFSGAIVKHTSWRWVYYSQAIV AGVVTAAVVLFFKETRGNVLLSRKARALNQWYEELENAGCPGLRMETAEGSQKDRFDK IAMKLGKVPTTPEGRLYFVCVESILMPAGLFWFGWTSGPSTHWIWPALAVGCATIGIF SIYLAVFNYMADTYHRYASSALAAQSFCRNVLGGAFPLVTKAMFNNLGYPEASSLLGG IVPKTDNDQGALLTIVPWVLAIFGPRIRARSKFASATGQVISRLLYENAGENAGITRK MLCKSSTVVMSPMRLWVFIGVH UREG_02785 MAPQPKSGRRTNSAIAVKETAASSRPRRVVKELVKDVDETPVKR VAKRATASKTAKGAQTTTAAKGATTKGKVGRPPKRKAGKVALDEPAPANTGRKRTKTT KSEANGKKEEPAKVVINETEEAEEAEEDEDGDARDDKSYWLMKAEPESRLEKGVDVKF SIDDLREATEPEAWDARNHMRTMKKGDLAFFYHSNCKVPGIAGVMEIVKEHSVDESAF DPAHPYYDEKSNRDNPKWEVVHVEFRRKFKSLVKLTELKSFAKPGGALENLQMMRQSR LSVSSVTPKQWRFIMNLAEEDEEDEEDEEENDRNEGSVKKDEDNHGESKVENSHGENK ESNS UREG_02786 MSDLFAELTAPNGRKYTQPLGLFINNEFVPAKSGQKISSINPTD ESEIASVHAGGAEDIDIAVKAARKALKNPSWKELPPTDRGKLMVKLAELVEKHIETLA TIESWDNGKPYSVAVSEDCVEVAETLRFYGGFADKVYGTTITTSPAKFAYTLRQPIGV VGQIIPWNYPLAMAAWKLGPALACGNTVVLKPAEQTPLSILYFANLIKEAGFPPGVVN IVNGYGKDAGTAIASHLDVDKIAFTGSTATGRQVMKTAAVNLKNITLETGGKSPLLVF EDADMEQAAKWAHIGIMSNMGQICTATSRILVQDTIYDKFIAQFKEIVASTSKVGDPF ADDTFQGPQVTKAQYDRVLSYIESGKSEGAKLESCGVPHKNVGDGKGFFIEPTIFSNV TDNMKIYREEVFGPFVAIASFKTQDEAIAKANDTTYGLGAAVFTRDIERAHRVAAEIE AGMVWINSSNDSDFRIPFGGVKQSGIGRELGEAGLEAYSQTKAVHVNMGTRL UREG_02787 MKSADPFFGITTDVGESWKTAISRYEDATSTKITSLTLARSVDD ILAEVQDRETRFKERRHDGSKTDRFRTLLHKSLKPLERLCEIVTQSGSSVFPPGVVIF TASVNIVSADYDKVVEFFEDVNFYLNTLKVLETKVPPIKELKNAITEVLTSVLMLCGI FAKYVKMKRFAKALRSLGSDADDELAAAYGHFRKAVEQEAAIVRNATLVAVEQLKMET DEKSALILDGTKQVYSYLRDQGQEEDQERENILKGLSSLTFDGKQRDKFAEHYDGTRE SVLRSDGFQRWLQGDQNSTLWCYGIHPSLSTIFRKTYIFVDALDECPETNRNIFLRLL KDLEPVARLFFTSRPNITPPVTFTGITRIEISTTKSEIETYLASEIKKNDRLARFITK DPKLKQEIIESVSQKAAGMFLLAYFQIRGLGKQNSLRGVRRAISAMPTGIYDTYKAAI SRIEKEGEENCEAVKRALSYIYCAKRPLTVDELVQALAVELEDTDLDEDAAPETDFLL SASAGLIRIDEKRNVISLVHHTLQEFFEANPEHLLPDLEAEFGRLCLTYLSFDVFEDG PSTDGERLKQRLQHYQFYDYASRNWGYHTTELQIDEQTDIVIPYLENSQKLSSSIQVL HLTSYRKKNWYDRFPRHFGPLHVGAYWNLKHIVRSFLEAGFEVNSQDSYGNTALQVAA KNGHREMVQLLLENGANLNLQNRSGETALYWAARSGHRETVEFLVVKGANVLSDHEGW TALSWAIVGGHVEVVKVLLDKSAEFGAERDGKHKALFLAAEEGHDKLVQVLLDSGADV DARDHFGSTALDFAVSVGNEPTVRVLLQNKVNVNLEDGYQNSALHWAVPYPSIMQLLL NEGADPQAKNNRNQSALCWTAQGGSVEVARMLIAAGAGVNTQDYLGVTPLHRAALRGS KAMAWLLLENGADPNLKDDDGWTALHGAALQKHETLVGILLDKVDNGKAILEWVSLQA RGKKQQALLAKTIATKMQGSTVVTGLREAVQESQIGRLQVILEKGADVNGQDPGGWTA LMMAAESGYTDAVRFLLEEGADANIRECGQRTALWYAINRVHEPAITLLIEYGADVNA SVYGVTPTMLAIERGSMAIVKLLLDAGANMDAQDYHGQTALHISALNGQGEILKLLAE KGADLTIVDDIGRSPLMLAVNKHQNGLVKLLLETGAGVEAKAQDGSTALHLATFLGHD SMVELLLQKGADVNAKTRDNLTALHIATLSGFGTIEKLLLTSGANTQEEVRWSEIWKD KPDRGCEYDTERKTLTQLLYEFGPKRGLDFVKEDTKVGIPLSSG UREG_02788 MALQNSPHFLGYSSLGSETTGGKADRREQVEFATELTAVASNTA PLYEKLRGPNQWPSQLPSLRPIVTSYIDELTALGERFLQLVAEALSLPQQAFFPFLSD QHRLKLVHYPGASDPLSSDLSAQGVGPHKDSSGWWTFLLQASPPEVKGLQVLNKNGDW IDVPAIPGTFVVNIGQAFEVVTNGI UREG_02789 MSKLIVVFGATGNQGGSVVDGILQDPVLSKEFRIRGITRDVTKP AAKQLESKGVEVKSADMNSPASVGKAVTGAHTVFLVTNYWETMDPEKEYNQGKNVADA AKEAHVSHLIYSSLYHIMDASAGALLNVPHFDTKANTEVYIRELRIPATFVLPGYFMS NFLTSLNKGEDGTYTLMLPLTDKAQFPLFDVSDTGKYVVTAIKNRDSLLGKQILEAVD YYSPGRIVEEFEQVTGHKAKFLTIDADDYVKFLPASVAQEMLETHQLLENPGYYAGAS LDKSLKLVEQKPTTWKEYVASRSEWK UREG_02790 MAFGTLMRFSLLLMRLLQWASAVIVMGIVSYFIKQGPKNTHLIY EEVIAVISVVFFLPGLVSPFVATVGWLAFPIDLIFSYLWLTSFIFASQDYSAGAACWA NSPPGIGCALKHASQAFIFIAFFCTMCSAAMESWNLWNHHNATRSPIHHSKEVPRESA DTAVTGNAGPVV UREG_02791 MPTLTDLPLELLYAVVAVVAPRRSRYTADLYYSPDWASINDLSC LARTCKALHHVAQRELYRYPEARYRKIISLVRTLIKQPALAASVKELRTGDIWTLVDA PYPDFNDCKITVEDADMFNKLLKVYCKNENDFYPMRPMEGSEENLYCHEDENRVVGNL AALAIAQATNVERLVLQTYYWDVPESFTPPALPFLTEFIVQHGDTELSVHIDNIQGIF EAAPSLKRFHGHMVGAVSDRISHDGVTDVNFTYSVLDDSSFRAIFQGFPKLQKFGFTA GGSTVSYDRPATPRVIAELLRLRKDTLQHLTLHLVCACEALDGIFDGSEAMTSLAYME VLESLDLHTCALDDEEPIGPLLVNLLPPSIRRLTIRSQEEHQHEGLEVLAIAAPEKFP NLKEVRIVGKSGNGVEDTFKASGISFQAIELYVE UREG_02792 MRLLSRRSHSVWASLLLLTLMGAQSLVSAIDLNLDDDASIKRAA KIAASGMTVFYTGHLPGDVPGNLPAPYYWWEAGAMFGALIDYWYYTGDSTWNDITIQA MLHQASPSTNFEPRNQTKSEGNDDQGFWGMAAMSAAERNFPNPPADQPQWLELAQGVF NSQAPRWDTTSCGGGLKWQFFTLNRGYNYKNTISNGCFFNIASRLARYTRNQTYADWA TKSWEWTERIGLITPTYQFYDGTNDKLNCTELNRIQWTYNAGVHLLGAANMYNYTNGD KIWRDRVQGIVEGLRTFFFEETNIMFEVACEGINTCDIDQRSFKAYLARWMAATTQLA PFTRDLIMPKLRASAAAAAKACTGGNNGDTCGHKWTTGEFDGSIGVGEQMAAMEVFQS NLIAKVAPPVTESTGGTSKGDPSAGVREDPVPELRDLTTADRAGAWTITAVSIVTAIP GWS UREG_02793 MASSAPSGHLTVPLAKRRRIAVLTSGGDAPGMNGVVRAVIRMSI HCKCEAYAIHEGYEGLVQGGDLIRRMHWEDVRGWLSRGGTLIGSARCKSFMQRAGRLQ AARNMVIRGIDALIVCGGDGSLTGADVFRSEWPGLLDELVQNGDLTANQVAPYKTLNI VGLVGSIDNDMSTTDATIGCYSSLHRICEAVDEVFDTAASHQRGFVIEVMGRHCGWLA LMSAISTGADWLFIPEMPPRDGWEDDILKLDTRVTVLGHTQRGGAACAYDRWLATLQG TEAVRAVLEATPDSPSPIITIRENKIERGSLIEAVEATKRVAKCVDEKGFEEAMQLRD SEFKAYHRAYINTTTPHHPKMLLAPEKRMRIAIIHVGAPAGGMNPATRAAVAYCIARG HTPVAIYNGFPGLCRHHADKPLGSVREVDWLESDSWVNEGGSEIGTNRGLPSEDMPTT AHCFELYKFDALFIIGGFEAFTAASQLRQARKDYASLRIPIVVLPATISNNVPGTEYS LGSDTCLNTLVNFCDVIRQSASSSRRRVFVIETQGGRSGYIAVMAGLAVGAYAVYIPE EGIDIKMLARDIEYLRHNFATDRGASHAGKVILRNEKASGTYTTQVIADMIKEEAKGR FESRAAVPGHFQQGGKPSPMDRIRALRLALRCIQHLESFAGRSGEEIAADEMSSVVIG IRGSEVVFGPMSGEDGLEATDTDWEHRRPRNEFWMKLRHLVDTLSGRPADSKEGKFQL SDDEGWESGGTSDPCHFAATPKIRPRELPLR UREG_02794 MSERLTLPLRPTQQRAHREDDLAIKIAQINAQRGSFRDVTEASL LAEIEAARTAGENEDEEVDMRKSEDEEQNREEKLFRSRLEISQFAMNAHMEATYALEF ISLLLSKFTPRQAETSMSPLLKQKVPLGSLAIDRTKPPEQSESQKRDISAVSRGWKLE SLDAAASKLLQSAERLEEDIAAETKYWAEVLKIKQEGWKVCKLPRERQTLGVHFGSLE SAPIFRDRGLAAFRKGEGERLFLDRGVQTKPPQVVRIRIREGDRTVAVSVPAAPRKDE SLACQIREARDSLFEEELFYELNREARVLLQHGVEIRRNSIKFHADDTKQVHIDLVGF DEEPPDIETDSDPFDSILAHSIAMSFRILLCYAHRENYRRRTSLPPPLTPNKRPNPEY KILRPVLSYLQHRSHYRWFTSLFENITSTLQSAGLKCNYTVYPLLKPSRSHSEQTQPP IVLSYLEKFIGTLTNPMESFLRCTLVSQTSVVKMRIRTNVNPNGLGSEFDLVSNLCHF PAQQSPFRFGLREDARKLILYLFTLDLVHLVPPLGKPADTTSSLNIPIAGLAKPRYLA EEEGLEDGEFVRSPTQKPDKTCLLPWQPTFPQNGELTAYSPAHRRTKKLRIQLEDGQL HLQCSWAGRGGNSAEEKQQQPGEISFAWRAADIRDEAADASRVSFHQAVEILGKEDAD QPVQDV UREG_02795 MTTRYRVEYALKSHRRDQLGLLAVPFVLHSQPAAIYNEHSQNLV YLAERTHDRYVEIMRDVEHLINDHIKHQNSGTSGRSTLKMLIPSVGTFFTPLLLEEAF EYQDKKRFISSRRFVPPSFNDIRLTLNTAQLMGLVRRSQVQLITFDGDVTLYEDGASL TPDNEVISRIIRLLGQNKQVGIVTAAGYVSADKYYDRLHGLLDRIQGATELTATQKKS LIILGGESNFMFEFDPDSPHRLSWVPRSQWLLEEMKAWTEEDIKELLDVAEAALRDCV SNLNLPASILRKERAVGIYPLEGHRMHREQLEETVLVAQQTVETSAVGRRLPFCAFNG GNDVFVDIGDKSWGVLCCQTYFGGIDRSKTLHIGDQFLSAGANDFKVMAENPAAVAPD RPASHLISSPGSDAGGLRSPQIASISSSTASSPTDAWNPDPLTSSRPVFSFSTSLPPC PSPATSSCPTISPPDLLQGIVPQLAMASYIGRFLLYLFQVVPSLLYWVITFSTITVPT ALFTLFSMSLTFTMNFTTFWFIRYRFLNIYSRLPPEPQRKEPEIDLFPDSQDGDSKPG LSNYLDEFLSAIKVFGYLERPVFHELTRTMQTRKLIAGETLLLEEEKGFCLVVDGLVQ IFVKSMQERHDDRDGGPVDEMVEDSAEEDDGLRRRGHQGYQLLTEVKNGASMSSLFSI LSLFSEDVKLRHTEDMESSSSSFVGTNVPGPESLPMSPAPLMESPMRSNFSDQRDISA QLAGGALPKVPPLVLEGSPGQHPKHHGHKRTRPSKLKREKSVHPDILARAMVDTTIAI IPASAFRRLTRVYPKATAHIIQVILTRLQRVTFATAHSYLGLTTEVLSIGKQMTKYTS FDLPNHLRGAALDHLKDKFTKEKERLGPEDGAKGIALHNPAANRRRRSSSSLRKDAAI HAKFTAVRGKGTSPNGPRYGDHESTGVSPGDLLSTIQLSRFGPRYGSERLNGRSVFYD ATSGLKSPAEGPPSPLATAGQPLFKLPAQNVSFQRQDALDQDALFRESILDCMMKALG LTGSTTDALRKAQNSGDASPQLVSYDSRRQKAVFNNAFGFIDPYDGLCDGDSESLMSM SVTSAGGTSPIHSLRLELQDEIEIVYFPKGSVLVEQGERNPGLYYVIDGFLDVGIPVN EKGEDLIGSSRGPTAEDLLLPITGAVGNASRVSTTLGSGQFQRKKASRRSLYMVKPGG IEGYIGSITSYRSFTDVTAKTDVYVGFLPRTVLERIADRYPLVMLTMAKRLTTVLPRL ILHIDFALEWVQVNAGQVIYHQSDESDAIYIVLNGRLRSVLDKGDGKVSVVGEYGQGD SVGELEVMTESTRPATLHAIRDTELAKFPRTLFNSLAQEHPGITIQISKLIAQRMRHI IDNPLERGGDKGSPDSAKTTTSTLNLRTVAVLPVTAGIPVVEFGNRLLNAFSQVGVTN GVTSLHQADILNHLGRHAFSKMGKLKLAQYLADLEERYGMVLYIGDTSVNAPWTQTCI SQADCILLVAVAEGSPAIGEYERFLLGMKTTARKDLVLLHVERYSPPGLTRQWLKNRM WINGGHHHIQMAFRLTAEPVHPETKRLGAVLKQRVQVIQAEIQKYTSRRIRQTPVYST STPFKGDFFRLARRLCGKSVGIVLGGGGARGIAHIGVIKALEEAGIPIDIIGGTSIGS FIGGLYARDADVVPAYGRVKKFAGRMASMWRFALDLTYPSASYTTGHEFNRGIFKAFG NSHIEDFWLEFYCNTTNISKSRNEFHSSGYAWRYVRASMSLAGLLPPLCDEGNMLLDG GYVDNLTVARMKSLGADVIFAVDVGSIDDNTPQGYGDSLSGFWALVNRWNPFSSLPNP PTLSEIQARLAYVSSVDALERAKTMPGCLYMRPPIDAFGTLEFGKFDEIYQVGYKFGK EFLERLKNEGGLPIQEETEEKKKLRRTMAPRRASI UREG_02796 MGSENATYPPASVPATILMAAKLVEKGRISQTLADALLRKLPVQ KRIRKIYQRISESANSRFTAADLDDDFEVIFWDDTTPNKKPIFFEALPDGFLESNYEL QEFDFPPSDPKCASHYCYTFWTQLGRYTGLMEWKHEKDPLIRWKWNTDRPLYDNRSIL EYEDSSNPEHRYEFITLDIRGHAGVPHSIVLLISVRDGQFQVIEAYYNGSKVVLRYGI PIKLPRGAPAEDQQRKVKWLVRWLYPNPIGDTRDFGSLPSQ UREG_02797 MVSFWPWRGSDSSPASFEKALSELSERLANTNTRLDTLRQQSRR FKALWTLYTSFIYILYSLIIVLVLGHERWGPVEYTALCGGPVLIYSVRAVAATFYNYR ISKAQNALDDLQKQRDTTIEKLKEATKYNSTQMLLEKYGGESPKPKTPTKEGEGEGHK RRAPRDRMSLPIPNSRTGLAPPPTANIRRPEPNPIPLPPQSEYSPPGAIPGPQRQPQA DEPGFHPSAFSSTLYSEHRQPRWYDRVLDVLLGEDETLPKNRLVLICQGCRLVNGQAA PGVRTLEELGPWRCGSCGALNNQDSQAKTLAAAVLPADPLSPSRSRGRSQNISHSTEG SPSPPDGAWDPVSGPGSMSSAVDAGNTSPLEGMDAGVIPDATSSEGGFEAEDEFEPND DS UREG_02798 MLSRIRGAIDARIAEEQARQRATQESVSRSNSARRANRSPARRP STRVKRGNSFLTKSPDPSEFDAEFVVGDDDSSTRTSTPLPEMEKSTEGGEPDNSESVR ATPPPSERPTGQTPSEMPMDLTLKGDMVVDELKRVTADRDEFKKKLEAAEASTREAWD EVANLKRSQPIEESTSTSDGEPVVAKELPSLQTTSSTGENSLEDSQKSPTTSITSRAA SIRGLFSPKAKPIKSPPPQEENEEFFSFENEIPKLESELKEKENEIESLKAQVKGLTR DLSVARESTEGMVHSLETATREVSELRDKNDRLDASFKAERHDLREQIISLESRLRST ESELVRSFAEMDSIRSRLETKTNELQEIKDTAESQQTEIDGQKSSDQKRFDVLQGVVT NLKSQLKDAESTIQSLKHDLSSSETKAKKAQDIVDFVDSGLDGNENWKQAKELVLNGR PADFQDVRMALTPQEQQKALPTTSANNVSLVANGGKKKNKKKKKGGKADPEKEAAAST APEQISLDGSPNDAIRDLETTVNTLSAELTEKSAAIDRLHAKLKGEENLCEEIESLRE DLMNIGQDHVEAKDRVKELVAEKVALEKTIAGLEEEIVTLKANSASATDAEKAHKDLM TEFQDLKTKAVALETDLSVAQQLAASRFKDLTDLRQALQKVQPELRNLRQESVDLKTT REELKAKTSELVRLERKQEDLRMEVKDLKVTIGEKDAEVKTLNQKITQETNSRLEAER ALGVAQSDLRYSEGQKQEAIEKNEHSSKNLLRTQEELRSANAKLRELEEQISKLNREV GGLHDEIQLKAAQHASAQSLMNSMRDQTSEMAMQIKEVRERCESLEEELADAQRLLSE RTREGETMRRLLSEVELRAEHKVRDFKERLETAIEERDRAEDEANIVGRRRAREMEEL KTKVRDAERELRRAEEDREELDHAQKEWKRRREELETETERSRQELADVKAAMSQLRD ALDESERQTREFDKERSELRRSIEETNQHLEKLKRTNKTLSEELKSIQSGKGRIESGN RTPRSSIDSGMLRGVTSPSSRTRNPSRARNETPTGPGAGSIDHVYLKNVLLQFLEQKD KHYQKQLIPVLGMLLHFDANDEQRWMSAISSK UREG_02799 MGPELETKHASKSDQIFHVEYVVLYRFKDGDQSEAIHQFKRLIK ALNQIGLDTAVRPATDGALFVFVKAKDDQLNKAIYRGRVRDWLYGVRQIQPGADEEGT KQEITEADRLRTIYHMITWPRTDGGAGITPKLGDWKHVEAVFPLHDQDANKEWILTWS HKTFLSAQDLDQIRAKLGERVAFYFSFLQTYFTFLIFPAAFGFGCWALLGQFSVIYAV VNSLACLVFVEFWKRREDDLKLRWQVKGVGVIKAKRRQFKHEKVVVDSVTGEKILVFS KKTRLLRQLLQIPFALGAVLVLGTLIAFCFAIEIFVSEIYPGPFKTYLAFVPTIILSL MVPTISGMLTKIATQLTDYENYETQDSYDVALTQKIFVLNFITSYLPIFLTAFVYVPF AQTIVPYLDIFHLTLKTTQPTFRINRSRLRRQVIYFTVTAQIVNFGLETIMPYVKRRV LNKYNEMQEEKKGNNSTQASQTNTLNQEDSPAEAGFLRRVRQESELSEYDVTTDLREM CMQFGYLTLFSPVWPLVPLSFLINNWIELRSDFVKICIECRRPAPLRSDTIGPWLDSL GFLAWLGSITSAALVYMFSDAENGPDGTTSQIKGWALLLTIFFSEHLYLLARLGVQTV FSKIETPETRQERGQRYMIRKAYLDTIMSEQDTSSELTKQDQEAPIEEHEPQEITRES LEEDARRFSQQSASPADMFWARQQGWKESAQVGVGLIQQGYTASLKEKKKL UREG_02800 MTDDDFFARWRIPDTQDHADQVLHDQLLAAQLQAGHATAPPPPR PQPGMAHVQPIRDHSNIDPAISGAPPTAGMIPAPPPQAQQPQVQTPDQTMQDAPGSEP RKTYGKRELSTSKRAAQNRAAQRAFRQRKEGYIRKLEEQVKEYEIMSENYKALQAENY QLREYIIGLQSRLIDSQNDVPELPPNIDLTQPRPDTTAMQAAGTVGGPGPAAQLAAAS GQPEQMNALNRIAVAGLGMRKHQHEEAAFLGSNNFPTKRVRGDMVVDDQGAVPTDPTQ VSKMEGAQPPNIPT UREG_02801 MTISSIQIIPSSRTTLNVAEKREPKPPPKIFSAREPPFKGIQPP QPDGYERSRAFPSTSAIVIDNGTIPKRPTGSAVLIHLLGSHLVKAGWCFDKTPRLTIP PVIARYRDRKANRTCQFVGYDAYVDATTRGQVRNAFDPGTSIIGNWDVMEGVLDYIFL KLGVDGANGGVDRPLVLTEPIANLGYSRKMMNEIVFECYSAPSVAYGIDSLFAYKYNR GTDGLVISASHASTHVIPVLNSKAILSNCTRLNWGGYQACDYLMKLLKLKYPTFPARI TESQMEELLHQHCYVSQDYDCELAGYLDWTGLEERDHVVQYPFTEHIIPEKSEEELAR IAERKKESGRRLQEQAAKMRLEKLMKKEQELEYYKDLQKNLGSETKKEIRRILDAEEM KDEAHLDRTIKELERSIKRSRNKDLGQEETEENPEEMTFPLVDVPDEELDEAGLKEKR HQRLMKSNVEARQRAKEEKEREKARIAEEERLDTEKRENSFDAWVEERRQAREALIQR IKERERMKADLGNRKSLASQIRMKTLANLAADGPRKRRRGGDDDTFGANDEDWGVYRT VATGEGSEDEEEEDPTQQLKSIEADLLKYDPEFTENHTLEAQSDWTKSLIHMFLRGPW PFDPESQREAHQLHLNVERIRVPEVIFQPSIAGIDQSGIVEIAADIVLQRFSSPQDQA RLLRDVFITGGSSLFRGFDERFQREFRAVLPEGSDLRVRRAADPVLDAWKGAAQWASG PDLGMCSITRQEYMEKGSEYIKEHRLGNAT UREG_02802 MPPNVPADDSHQKLLDQLDITRTPRPFRNPHWKPSARRNKNVKQ ILSDTPSGSIPKPTNIAQAAQSLSTLVLEKNFNRAMYATGPAVTYTNIESAPSLHSAQ QKHYCDITGLPAPYRDPKTRLRYHDREVFGVVRSLGQGVAESYLEARGAHVVLK UREG_02803 MSWQGIEVPILSSELQPIQPCHFNSLSQHAATPRDKVSPAEMKI IVDSFKPTSRDDEVKEVQANGFFVAGEKYVALRSDDSRLYGKKGKEGIVIVKTKKALL IAHYPETVQPGAATNTVETLGDYLSGLGY UREG_02804 MVMKLPLARPRAHTTDAGAISATDGINDSPRPESARERSPHPNK NWAQNASREPRRKIVKAAPSYRQEFNSPEAESTSSLSRGSPLRLASVNESWCDQGLCT PSTASIARTNDSWADIPPTSSTGLDDEAQNKDFGSLKRKPIRNPRPVSRLRSQCKWST LFTVESAAYIPDSQLFWREPKIPDARAWAMRSQSLPRHGELSDTSTDSKQINPTRLAL WQETHTGQKQWEAWPQAHAHGLKGPTDEVAPTAPLLDVHIPEVEMERYSVMFGSFYDT TSNSKLAAPRSRNDLVPETMQLPMRNGAARPRRATSPAPKSPGFSLFPATHTNNASHH IEPHYISRDPVPLQKSHTMPASPDDAYVEIASRHKPPFQYPLETSESSDSVSYLSTAP SEIDDGFSDEDHETIKLCIKPPEQNEPMWEMVTPGPNPPRSTHETLQKLISKEPVLNA TEIKTPLDSKPNLSNTLAPPPIPLPFQQSLHSARNTKNSGVTDSTSPGVSKTKSGQVD FHRYTSSINSDIDDFQTVEVSIAKSISVSKRKHILVPIGGKSSAFRSNERLVERRVAT PTAEVLHKGHRYEKSRAAVLENA UREG_02805 MSSSSALSESQWLAQLQAMKDAIDSLKVPNDADMYGADLNLSDE DFSSLPASTDDIWDMISDDEDTADDFDDINGVPLPEEPVISSDGSYDQIWLGRKCQSI AQRMQGLDALELQQQLNAILSTDSSDEELQMTLAEIIGFDDLDLVIDLIAHRKELLSS PSQPNDPILSKLQTKAQREEALRQQDYEHKHAKLALKQDREGPRYPHVYKTYDAGNTL SINGRKYGLPVGSAHREEPRYTEYAIPAAKVGTLGVGQKLVSISEMDGLCRGTFKGYK TLNRMQSLLYDVAYKTNENMLICAPTGAGKTDAAMLTILNTVARYTLPSPLEEPDASE FLVQTDEFKIVYVAPMKALAAEVTEKLGKRLAWLGIEVRELTGDMQLTKQEIVQTQII VTTPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVIESLVARTQRQVESTQ SLIRIIGLSATLPNYLDVADFLKVNRMAGLFYFDASFRPVPLEQHFVGVKGDPGSKKS RENLDLVTFEKVRDMLEQGHQVMVFVHSRKDTVNTARLIAQMAADEQCSDLLSPVDHE NYSQALRELKTSRGREIRDLVPKGLGTHHAGMPRSDRNLMERLFAEGVIKVLCCTATL AWGVNLPAAAVVIKGTQLYNPQEGKFIDLGILDVLQIFGRAGRPQFQDTGIGFICTTH NKLQHYISAITSQEPIESRFSRKLVDNLNAEISLGTVTSVTEGVQWLGYSYLFVRMRR NPHSYGIDWSEIRDDPHLVQRRRDLIIKAARVLQQSQMIIFNEGTEELRSKDVGRIAS QYYILQTSVEIFNTIMNPNASDADVMKMISMSGEFDNIQSRENEFKELDRLRLEGLRT EVEGTNDSPHAKTNILLQSYISRARVEDFALVSDTGKFWPFQHPFYQFDLPRPILKNL DERFPASSVESMRDMEPAELGQLVHNKPGWEMCFRNCWTIFQPVSIEAEIAPLNRDVL RIRLYLYPDFVWNVRHHGTSEPFWVWVENSETSEIYHHEYFILNKKKLHDHHELNFTI PLSDPLPSQIYVRAISDRWLGAETVTPVSFQHLIRPDTVSVYTDLLDLQPLPISALKN PSLEEIYGQRFQFFNPMQTQIFHTLYHTSANVLLGSPTGSGKTVAAELAMWWAFREKP GSKIVYIAPMKALVRERVQDWRRRLAIPLGLKLVELTGDNTPDTRTIRNADMIITTPE KWDGISRSWQTRGYVRQVSLVIIDEIHLLGGDRGPILEIIVSRMNYIASQNKGSVRLL GMSTACANATDLGDWLGVKQGLYNFRHSVRPVPLEIYIDGFPEQRGFCPLMQSMNRPT FLAIKNHSPDKPVIVFVASRRQTRLTAKDLINFCGMEDDPRRFVHMSEDDLQANLARV KDDALREALSFGIGLHHAGLVESDRQLSEELFANNKIQILIATSTLAWGVNLPAHLVV VKGTQFFDAKIEAYRDMDLTDVLQMLGRAGRPQFDSSGIARIFTQDAKKAFYKHFLHT GFPVESTLHKVLDDHLGAEVSAGTITTKQDALDYLTWTFFFRRLHKNPSYYGLEISAE EHNSIAAQEMAATFMIELVDKSLGELANSSCISFDEATGIRRSDSLWKDHELLLHLPT KQFGSGCRTRNRILHSRTFLVAFLLLQAFMSRIDLPISDYVGDQTSVLDQGIRVIQAC IDVLAELGYAKACWMMMTLLQSIKAARWPGDHPLSILPGVIPEFEQDKRIDSTKIPKT LQELVSLPPSEIKNVAQALHLDQSTTTQFTKTVSLLPNLKVSVTEFTDKGLVVQLARQ SPRPSSQRPSRQQDTEGIRIYAPKFPKPQTEGWFIIVTGDATSGNEGELLALKRVSWS SNGINRPGTGKQNLTARAKLNFFSGEQGTRGMNDLKGKIKVKAVSDAYPGMEWEIRC UREG_02806 MELCREMDLSSFSRFTKDSYGEFMTLFSKTVAERTRPGQRQDIE EKSYTFHAYGRTEGVAGIIISDADYPGLVAHQLLSKILDEFLAKYPRTAFIAPDVGNL PFPQLKDYIAKYQDPTQADSIMKIQKELDETKIVLHKTIESVLERGEKIDSLVAKSDG LSAQSKMFYGQAKKQNSCCVVM UREG_02807 MSQASMDVRSVGTLITSFLDATKLVERLKASNDTVTDEAVLDLE NSLLLGPPIVQGQYDLNFRRFGDAYEAGDQSARETLKDVMINLQLTLLATLRMALLDN AHPDIPSLQIASDNGRLDTLMCLYKLGQRMAANPVPASLAGKRSKPNMALESTQRMPP SLNTKPLTMHANPMQQHPLRLSLTQSDSSYDTRNYLSPTSPASDMSITTPISPFSPFP KDATQNRNTRTASVDSSVGSTFSGSRYPYSTYSSRASGMDASIPPIHETEIRSSSIYS ESVYSEISTRPSMAPTSGGAGDASVVLGPTRHGPYGREGGSQETIPNEQGAPASRSGS LLSGLPSRKKRISASSDEKDISGPTSRSIFGFSRKAPSAQTESVRTNSTALSVSTTGP ESSIPTGLYLPGEENKFAGFCKGAWKLQNGMKKAFRLDFRPSGMYLQISTWRCTKCMF EGPMGHTPTISRNSPSAAIQGYARTTPLTGSSSQDFDQRVRLHGPTGIRYRWAFLAKS HVSVRVAPKSDDGTEGRFACIYCCVEQRGPAPMFADIDSFMQHLLIHAGSLSGTIDAR TQRVPPQELLDWTKCILGRVATEGEGFDINIPTPVAEIGG UREG_02808 MASQYNVHEYDSEAERRKYPLVQMENLTSAALYFTGKLNSKNIA SSLWGGLAVKVLGGTRDTRNVDIIFQARMEDVWKIVQTERRLIIPSTKPGGATVKIFV HTGPGYDDCELRVPVAVNLCESGISIPVFVALIPFIVLRYSNAM UREG_02809 MSNSLEAKIVVLGAQGVGKTSLVQRYVRNSFNPSTTVSTVGASF VTKRVLDSTSDTIVRLQIWDTAGQERFRSISRLYYRGANAGVLCYDITDEDSFREMTG WLGELKENLGEENPIIIHVVGTKSDIVADDPSKRKVPFEKTIAYVAEQLYPSQASTPP PSSGMGMLGASAATASNHNGPESKRSSGFWGQDIGWDCCHEISAKDGEGIEEVFRVIT RKLVEQKNKKVEAQATRQRSVRRRERPSTSIDGHTIDNNADKRRSWLGFPPTLVLNDE SENDETNCSPKRKQGKCC UREG_02810 MADSQRITTKAYVVESQGAPFTLRDVILDALQPDEVLVELKYTG ICHTDIVVQHGGMPIGSYPAILGHEGVGIVRQIGSQVKDKSLSEGDAVLLGFRTCRQC SPCLEGRCGACPHMTEYNFVSARRREGAKPIYSFPDGTPVHGQFFGQSSLSKLAIVAQ DSVVKCEVDDKSLQYLAPLGCGYSTGAGTVFNALRPKPESTIAILGMGAVGLAALLAA KSMGVGQIIAVDIVDSKLELALSMGATHTINTKQVPDLCSGIRALFPDGVDQIIDTTG VSALMQASMKALGHEGVLAVVGVPRPGDSIQIDALDLLVSCKRVIGVIEGFADSKEII PQLVKLYRQGNFPVDRISTVYPAERLDQAIEDLKTGKVIKPVLSWDSI UREG_02811 MASTRRASLLRGDISLERALEKEENMIVRLQWPKDKLAFRDYLD DSHHSIQQIVSHHLGLSRSHLCQISHHSDWIYGGFNVCIPVAVTDSRSRRRFMLRCPL PYQLGSDIEEKLRCEAATFEYIRENCPDIPIPYLWGVGLPSGEAFTPLSQLPLLRRCL EHLRRTFLWLFRKPLPCALSSHPSRYTLSSGYLLMDYIDSSQGQLLSSVWPDARHDEE LRHNFFKSLSRIILSLGRTPLPRIGSFTLSNDGVLSLTNRPLTLRLPALENEGIPTDI PRDLTYITVDSYLADILRCHDARLKLQPNAVNDQYDAEGQMAVLTIMRALPLTRRDLR HGPFVFHLTDLHPGNIFVDGQCNIIAVVDLEWGCSLPVEMVSLPYWLTGRYVDMLTGD ALDRFNAMRETFMAVFAQEEQSYCNRDPTDEQSRTMIMKRAWEIGTYNLFHHHLQPIF APEQCIDADEEDAKAIPTRFAS UREG_02812 MSTKKPLTGAEIAKHNSAKSCWVIVHGKAYDVTDFLPEHPGGQK IILKYAGKDATEEFDPIHPPDTLDKYLDPSKHLGPVDMNTVMQEDKGVDPEEEARQER VKRMPLLEQCYNLMDFEAVARRVMKKTAWGYYSSGADDEIVGQSHARETPSTADNGKQ TMRENHSAFHKIWFRPRILVDVENVDISTTMLGTPVSVPFYVTATALGKLGHADGEVC LTKAAASHDVVQMIPTLASCSFDEIVDAAIDKQTQWLQLYVNKDRDITRKIVNHAEKR GCKGLFITVDAPQLGRREKDMRSKFSDPGSDVQQTDNSVDRSQGAARAISSFIDPSLS WKDIPWFQSITKMPIALKGVQRVDDVLRAVEMGVPAVVLSNHGGRQLEFAPSAIELLA DVMPALRARGWENKIEVFVDGGVRRATDIIKALCLGAKGVGIGRPFLYAMSTYGVPGV ERAMQLLKDEMTMNMRLLGCTSVDQLTPDLLDIRGLGHHSVPNPVDRLAESVYDPLIT PSDRPPASVGGGSKL UREG_02813 MSLADYLAKNYLNADSLPSDRPKKKRKKTKHTDDTGEGLIIADD DPPDLRSTAAAPQPSRRRTGYDDDDDTGLEATIAGSTREFKKSTSKWKTVAGPTAPSN ADQIAADAILASAAAEQTARTDADDEKPLVVADEDGENAGLRMESGARAGLQTAAQTA AMVAAQERKHAKDAASLRAKGAGGKAQNQEAETIYRDASGRIINVAMKRAEVRKAAEE AAAKEVAAKEALTGDVQRKQKEERRKLVEEARYMPLARTAEDEDLNAELRARERWNDP AAEFLTKPSARGGGGGWVGRKRTRAPRRRIGMGFALGIDGMGWIGVMGLKSSGLMRAI AGRGMKGWNMHGRWMSEHILRAGGLWWFIGVMRELGTQAQVFDWKKLRKLFH UREG_02814 MYFSILKSGHPRKRRHYIPKTRGIASSRDYVCPSCAGGLFSSSS GAYNPPSRADNRHSSARHLWVSTDSFGSLSSSQHPISTSYTSPLSRSPSSSSTEDLAE TAADEEDSEDYCKGGYHPVRVGETYNNGRYVVIRKLGWGHFSTVWLSRDTTTGKHVAL KVVRSAAHYTETAIDEIKLLKRIVDAKPDHPGRKHVVSLLDSFEHKGPNGVHVCMVFE VLGENLLGLIKRWNHRGIPMPLVKQITKQVLLGLDYLHRECGIIHTDLKPENVLIEIG DVEQIVKAHVKEEEMKNEKEDHRNGRRRRRTLITGSQPLPSPLNTSFNNVDPFKSYTP TQSSHSSLHQVLYDSPATPSGLSMKDLLGIKDAEKLADEKQKQREKTTDILEREVSGI NLERTATPDQMTGDEPTVEIISVKIADLGNACWVGHHFTNDIQTRQYRSPEVILGAKW GASTDVWSMAAMVFELVTGDYLFDPQSGTKYGKDDDHIAQIIELLGPFPRSMCVSGKW SQEIFNRKGELRHIHRLRHWALPDVLREKYHFSTEESKAISDFLTPMLELIPERRANA GGMANHPYLKGTKGMDHIHLSVPVGSRGEGISGWASEVKKR UREG_02815 MAPFDPPSLASRFFASPLLFIVRPVYGLLSALRPDPYTRSPSQP PIRVVCISDTHTLQLPEVPDGDLLIHSGDLSNAGTVEEIQAAVNWLRSLPHKHKVVVA GNHDSWFDPEARTLVPHSGGEEAIEWGDIHYLQNSSVILSFHPGSASSTRTLKVHGAP QIPQLDPASPSIHAFQYPPSTRGDPWPSPIPLDTDILVSHSPPQHHSDNFPYSVGCQF LLEAAWRIRPMLYVFGHVHVGRNVERAYYDEAQRALEIMAERRREAGLLWNRGNRSWI WWLFRGGLWADMFGIFWAWKDTFWVFWGTGKAILWTRVWGGQRCLGREGWMVNAACQN LFTVTFVLTLIAPFEISTSFQLRAWFLRKTIWKKGRTTKLVHLLAMANRINRATRLPR FLVSNAVTDREGPDWMDGIATLDFDSFPLFQHVIPEPLLASTNLPPFYRLLCDEQVHA LSSWASKGNMSKELMGLYWPGDFNGDGKVKRNRRPWKQGENKSLAARPMYWTYQSCGR PMADMQYLSSNEYTVNENKKRKLDEPNGKKPSKLVLLRDEKDSEKVVELQYEIDQLQA ALKRSQAKEQGLLSQMEQVETAMSVRSELVTNAIINYHLSLSNLQILSPAIASLVDET VSQDDISEAAGGHATLDHRVRVIKEVANRLKIPINVLLGDMEAEVENSPSSCPRRQG UREG_02816 MAPSVVEALAPAVVPQKETHADDDRGAERSEVIEQVQMNNRTVS GQELHIRSYPKFDTLEEERLYRKQHLAAAFRVFADRGFDEGVAGHISVRDPILTDHFS FAIHSEIHKARPNINAACHAHSVHGKAFSVFGRELDMMTQDALRFYKSHGVYNNFGGV VLDREEGVRITKALGDGKAVILRNHGLLTVGESVDEAAFWFISLDKTCHAQLLADAAA NGSGYKKIMIDEEEAEVTAKQVGGPDKGWLAFQGYYDEQVAKTNGAFLK UREG_02817 MGPHRYSELTRSIGIFNDQLPWYWKLFASGSAWLLLAGFLMLPV AMEHESSKLRGNRQALIAIALALVVVASVNCVVYCVKWRKAYELVDSIFLYAVSPP UREG_02818 MDLVSKYDTGESAKSLFKMAILRPSKLLLFSPIVFLMALMITIS YGYSYFLFTTYTFVFEKQYGFKPSSVGLAYIGIGIGYVGTQIIAALFSDRYVIRMRKS NPDGPPKPEWRLPPLALGAIILPLGYLFYGWTAMYRLHWSIPILGTAFIGAGTLCYFF SIMAYLIDVYTIYSASAISANIVLRSIVAATLPLAGTRLYENLGIGWGTSLLAFIALA LAPVPFVLLKYGEKIRTHPRFQVHL UREG_02819 MSSKPTEHLGKEGGGESRGRQDHREDHLDRLDRRDLLEGSQDHQ GHREDHLDHLGRLEGRPGPPGPPGPPGPPWTTWARLEGSQGHRDHRDHREDHLDHLGR LEGSRGTRTTWTAWTAWTSWQGHQDHQDHRDHREDRQDRPDRLEGSQGHQGHREDHVG HLDLLDRLEGSQGHREDRQDRQDRLEGSRGHQGHQGHREDHLDHLDRREDRLDHQGDR MEGSREGRRVDHLEDRLEDRLADRLEGHLVDRLADRGVDSRDNLEDRLEGHLVDRLAD RGVDSRDNLEDRLEGHLVDPEEDNRGHQEGSLEEECPGPLFWL UREG_02820 MAETMRAVDIKGGKGSASDLFINPSVPKPKPSPTDCLVRVKAFG LNRADTLQRRGAYPPLPGITNILGLEFSGVVEEVGSDVEKGHTPLWKAGDEVFGLLYG GGYAEYVVVDKRMLIQKPKDWSWDYAAGLCEVWFTALQALYLVGEYDPRRTRSILWHA GASSVSIAGIQLSRNAPTETNSAPKVFATSRTDEKCTFCVERLRCTGAVNTNHPNWVE ELKKQNDDRGMDLVIDFVGASYFQSNLDVLAQDGRVVVLGLMGGSILPDKVNIAPLLR KRARVEGSTLRSRDVDYQARLRGLFEEKVMPGLLDGTYEHHTEKVFNWQDVGIAHEMM ERNETKGKLVCLVD UREG_02821 MSEIEATSAGGMAIGGDKMTPAAETITSVSSTQVSIGANEAAGS PSDGGESGGYKFRRVASKMVVSFGSQDPENPVNWSARKKLFILTSGVLGVLNSTLGSS LPSGAVPYIARDFGIEGKEQLALPISMFLIGYVVGPIICGSLSEAYGRKPVIVTGFIG FMAFTLACAVCQNWASLLVLRLLVGVVASAPVAVIGGVFADIHDEPRERGRVMAYYMA ATCVGPVAAPFISGYVSGVTWRWAFWVGLIFAGFSLPFVVFMPETYAPILLKKRAKKL RKETGNNNIVAPFDLEKRDLKVTLTVTLTRPIRMIIHESIVLFSCLYLALVYAIFFLY FQAYPVIFQGIYHMSSGKSGLTYLIRIFLWWDSILYKAKAQGAAWSELEEYRRLPLAC LGGPLYVIALFWLGWTARPEIHWVVPALSGIPFGMGFMLIFMAILNYLADAYETFSAS AQSAASCTRSIFGAGLPVAAVPMFNALGASWACSLLAFFSLAMSIVPFAFIKYGTRIR ANSKFCQYLKDLKEKQRREEEAEQRGASAVDSEKAADGLSLNEVDEKLS UREG_02822 MEPARNGYDIYDLYDIGEFDQKGSVATKWGSKQELQVLVTKAQE MDIRILWDAVLNHKAGADSQERCQAVRVNSDDRNTEMDATPEEIEAWLGFEFPGRGTQ YSSMKYHWRHFTGVDHDLESGTKAIYKIVGDGKKGWATDVSREFGNYDYLMFADVDFS SDEVKNDVKNWIYWLHSQIPIGGLRLDSVKHYSRSFLLEFILHIKDQIGPDWLFVAEY WKNDAKELIDYLDQMKNLLLLADVPLAHNISEISHQRNVPLRQILNGTLLKERPDHAM TFVTNHDTLPVEGWFKPLAYALILLRKQGHPCLFYGDLCGINKGLLNAAEPPVPDLES LVLARKLYAYGPQRDYFARKHCIGFVRFGNAKHPSGLVCLMSTRGRRVKLMKVGKSHA GEKWKEFYGAHGGTVTINRLGYGLFGVSPKSVSVWVNPRAAGRAESRDS UREG_02823 MSSWDAPFTPAKMLILMELRSVEFVRRTYQEDESYRCVGGSQPS RCSLNAIDRSGHNKWHIKVSSRWMPDQPKKRKPERRLEFEAFISHIDFDSLPPLLHDT VTEIGLTLVTKPSRPDSHSEMLPLKTRLSTLLASGNRFAEIASRLSYTVHEDALRVTY PHLKDLPVPTRWVSDIQMKEEIQDVVPWVSRVQLIDDESWYIYKEIDRPFYSLRDSVV LQRELQNLKLFRGIPSVVQLAAVIISKSPYSTTDRDERPPVMRGILLDYHLEGTLERV LKRTNGSNRPWCGWALQIAEALNQLHLSNNTHMDLKPSNVMIDNEENAVLIDISGIGG VTHEWLAPEIREILDPLSLPFEMRQRNDIWAYGQLLSAMAELSSNNQEKKLMKNCDRI YVASLHNSGPPSSSTCRKVSPMAFKHHPAVQTKQVW UREG_02824 MASAGKPNLDDGRRSTGSPKLKGRGCAFNHDLQKTNTAQNAENN SGSSSKRRLNVDSPSFTPSATPLNGSSGLKKPATISPKAANAAPFLPKAALSRSCPPS GSNAATPQTQPESSVPEWSVGEIQDFVPQGFDTSHVETIHGHGNGGLSTPSYDPFVSS TTPMGAPTAVGHQVQPNPYSHDPAAMGGAAYFGAQSAFQQPVQYHLYAPIGPHNQNIL GYQRNVHDLFLPNSFREELQKKAAATLQTLPNSQLPAQIDYFHSLVPLDLSHQKNAAI FGYPSWVYKAQSSKDGNFYVLRRLEGFRLTNEKAIRSVQNWKRVSCGSVVAVHDAFTN RSFQDSSLIFVTDYHPLSKTLAEQHLTDGQGRYQGRHNAGHIPEQVLWSYVTQIANAL KAIHSAGLAARVIEPSKVILTGKNRIRLNACGILDVVQFDSHRPLGEMQHQDLVSFGQ LILTLGANSPSVTHSSTKAMEHFNRVYSAQLNNSVFWLLNGMQKDQERTIDIFISGIS SQLISTFDSSLHLDDQLTSDISRELENARLVRLLTKLNFINERPEYEHDRQWSENGER YFLKLFRDYVFHQVDAQNAPVVDLGHVLTCLNKLDAGTDEKITLISRDEQSCFIVTYK ELKKAVEASFQALLKPARRI UREG_02825 MARLILFLLAFSLTALSMPTRWSADEAKFYSSISKEIQNIRKHG NDIRRPGCELSDLKLPQSSKPLPNIPGGQKLLAVAVGRGTQNYTCESESEKPTPRGAL ATLFDASCIAANYPQLLASLTNAALHLQQPEPFSNAPGAARMPVMGHHFFSNGTTAVF EAANMGASSVIKIEAVDAPQNSLPGVCGQLDGAVPWLFLESIPESTGKAKSIYRVNTA GGSPPKYCTGQPKEITVQYSAEYWFYG UREG_02826 MAFGGGRGGRGGPPRGGRGGPRGGGRGGARGGLGARGGRGGSRG GPRGGGRGGAGRGGRGGGRGGKPGAKGGARVIIEPHRHAGVFVARGGKEDLLVTKNLT PGEAVYGEKRISVESPATVDGENTTTKVEYRVWNPFRSKLAAGILGGLDEIFIKPGAK VLYLGAASGTSVSHVADIVGPTGTVYAVEFSHRSGRDLIGMATHRTNVIPIVEDARHP LRYRMLVGMVDAIFADVAQPDQARIVGLNAHLFLKAGGGIIVSIKANCIDSTAKAEVV FAREVQKLREERIKPKEQLTLEPFERDHCIVVGVYRPS UREG_02827 MASRRLASSLNGALRSRAALQAVRPAKRGFATPISTPATTQSTT LSNGLTIATEYSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTNRRTQHQL ELEIENMGGHLNAYTSRENTVYYAKSFNADVPKTVDILSDILQNSKLEPSAIERERDV ILREQEEVDKQFEEVVFDHLHATAFQNQPLGRTILGPKQNIQSIGRQDLVDYIKTNYT ADRMVLVGAGGVPHEQLVKLAEQHFGSLPSQPPTSAALAIAAEQKRTPDFIGSDVRIR DDTVPTAHIALAVEGVSWKDDDYFPALVTQAIVGNWDRAMGNSPFLGSKLSSFISHHN LANSFMSFSTSYSDTGLWGIYLVSENKTALDDLIHFTLREWSRLSFNVTPAEVERAKA QLKASILLSLDGTTAVAEDIGRQIVTTGRRMSPQDIERVIDRITEKDIMDFAQRKLWD QDIAVSAFGSVEGMLDYQRIRNDMSRNAA UREG_02828 MDANGQTRPGSRASYSDSSEEPLLRKPNADEPIEHPQLSKIRSA FIIASLTGTNFSSYTIQAVIRLCTSKRLLLAYCRSIGGLAWGPPYQHYRHMDTCCFSS GIGNGNYRRFANRIPPYRGD UREG_02829 MVAAVSPLLMALIDPGWNYWACAFWAVLLGPVSVDVVFTVAHLI ITDIFPASTHALAGAVFNTIAQLGTSIGLCTIAIVSAATKRSSGYPEDSPDALLAGYR GAFWTCFVMMVATVLIGLTGLRKIHRLGAPKPDSSD UREG_02830 MPSDEETANTAERTPRLNHGQNGGSAAYAPVHADEDDDEKATIT QDISFTKVALIMCTAWFGVFLGAIDSTIIATLSGPISSEFHSLHQLSWLVTAYLIANA ACQPILGRLTDIFGRGPCLVISNILFAAGNLLCGLARDQYTLILGRVIAGAGGGGLIC IANFLSSDLIPLRKRGLAQGLANVWYGSGAMVGGVVGGALNDYTKLGWRLAFLIQVPP ALLSVIAVHILVKVPPKQSKKSYFKRIDFTGAFLTLGYLVIFLVGLSSGGNLVPWLHP LPLVSIPLSVALFIGFIHWESRVEQPIIPVRLLADPTVLACCLMSLLSVMIALTGIFY IPLYLQVLGGSATAAGLKLLSSPLGVPLGALAVGYLMKRTVGMGVWLYTHQGKDSPGW VTCVGLFLVGAGYTAVLTTTQIASVAAVPHSQLATVTSAVYMARSVGGTVGLAIASAI YQYTLNKRLWENFGARPGAADEIRRIRDNLEELHRLPQAWRDKAIASYMDAFQAVWLT MAVWALLDLICVLPMKQHKLHSTLDRR UREG_02831 MKQLKVLVANRGEIASRILVAAHELGMATVALYSEEDRFAGYRK GLFGMDSIVASYEKLTGFAEADESYLVGNQPDIGPVQAYLDGANIIEIAKQHRVDLIH PGYGFLSENADFAAQVRAAGLKFVGPRTETIREMGDKVTARRIAQRFGVPTIPGTNGP VRNLQDAYDFVETHGFPVIIKASFGGGGRGMRVVHQKGALEEAISAARSEANAAFGDG AIFMEKFLDRPKHIEVQILSDYHGNHVHICERDCSVQRKHQKVVEFAPAVSISQHVRW GVLDAAVTLAQGLDYGKNSFSYAFVAQVEHTVTEEVTGIDIVAAQLRIACGTSLKELG LTQQKIETRGFAIQCRVTTEIPSEGFRPDNGTISGCRLPTGNGVRLDHSECFLGARIS PFYDSLLVKCICSGPDFASVISRTIRALKQFQIRGIQTNLEFLIQLLKHPTFAAGNCW TSFVDDTPELFHLNGQIDPAQGLMRFLGDAAVNGSRVQGQTKPPGLKRDITIGKLTGP KSGDEINTDIPCQQGWRNILTRYGPQAFAQQVRAHRKTLITDTTWRDGQQSLLATRVR SKDLDAIAKHVSYAYQAAYSLECWGGATFDVMLRFLLPDNALFHFVKLAKDTGVDIFR VFDSLNDLENLKVGIEAVHAAGGLVEGAVMYTGDMLEPGNKYNLEYYLGIVDRLVEYG SHVIAIKSMSGVMKPAAGRALVKAIRLRYPDIPIHMHTHDTNGTGTATMLACVEEGAD IVDTAIDSLSGSTSQPAVGAVVASLQNTEFESALHLDQIRMIDAYWAQLRLVYAGFDA DLRSPDPTVYKHEIPGGQYSNLIFQARENGLGDKWDKTLKAYEDANQLLGDIIKATPT SKAVGDLAQLMVDLKISAAEVQERASKLDFPQSVVDYFEGLMGQPLGGFPEPLRTRIL RGSPASIKQRPGLTMKPIDFNHVRQEISSRFPGSSVTEYDVASYVMYPEVYMGFRQAR QEFGDLTTLRTPDFLLPPEIGQEVQLKLDDGQEVVAEMLAIRPADPVTGKREVLFRLN GEVCFVTVQDDKATPKRKLRKANPKVERELAAPVAGRIARLMVVSGDTVKAGETLLTV SAMKMRYGSMCYEARGILSSSHKSSNKGSRLFLINLLDNTVQR UREG_02832 MPKTRSLLRQEITYSFAKKEEVNVLHRLQYVDLRERYFTLINAR HGWMKAIVAHHLNLGSSEICRIADTAEWYCGSFNVCVPITIENWNWRRKQPGKRVILR FPLPYRVGESFRPGNGDEKVRCEAGTYAWLQENCPSVPIPRLYGFAMSTGETFTNLEY RPFLVRCFHSFRFQLLSWLRLPVPSQYIRHQGTGLIADDSQRIAAYLLVEHIEADRGT MLSCSWSENRHNIDLRSNLFRSFSRIILSIARLPQPRIGSFIINNSGFLQLTNRPLSI ELQDLENEEIPTHISRNTTYATVNEYVTDILGIHGSRIRHQPGAIHNIGDYCYQVAAL ATMRTVLPAFFDRQFSRGPFVFTFTDFNQSNIFVDENWNITCLVDLEWACTKPIEMVE TPIWLTNKAMDEIAEDSDEFAEIWMEFVDFLASEEDKNCMKSTNIETRVKLSSLLKQG FEIGRFWYHFAVTSPTGLFTIFYKQIKPRFKKNEQDEESFFAVEPWYWSLDFVSTVTK KLEDKKQYDLLLQHAFDEHGDDTIEN UREG_02833 MATENRALIYQKVPTEFPIPGEHLTIEKVPFDEHVPDGGVTVQS LYTSFDPYMRGGMRPNTVKSYRPPYETGKPLPSLSILKVLKSNNDKFKPGDVVLGFMP IQEYVTLSAEAAQGLRPLENPLGLSDLRYFLGALGMPGLTAYSSLMEIGKPKKGETIF ISSAAGAVGQVVGQIAKHEGLKRLAPEGLDIYYENVGGEHLEAAIDAMNDFGRIVACG MISQYNLKPEDRYPVKNIFLTVSKRLTIRGFIVSDPGMGDKWANEHRERVSQWIKEGS FRPMTYETAGIENAPKGLAGFYLSMASIIRPSAPALRQTCITFSSSARRAAFYSTKSP FAAIATVKPVQLQKKNGSMKIAQFHATSKRDILPPEPQVVKGTVNDAAPIPPTSPTHG SYHWDFERLVAISLIPLTITPFAAGSLNPVTDAILCGALVLHSHIGFQALIVDYLPAR RVPKTRALCVWALRLATLTVAVGLYEFETNDVGITEAIKRIWKA UREG_02834 MSEKKALGSQPSTPSEPPPSYETAASIPSIGGPNTNPISLPRPP PLALPVLNQLRSKRVILASASPRRKQIISFLGLRDVEIIPSNTPEDFPKSLSPFEYVL QTATHKAITVYQQQINNPDKGEPALILAADTIVADISTGSILEKPRSEAHHITMLKAL RDAGDHKVFTAVAGIVPLESARDPGYALETVVEETNVRFDPDITDELLVAYVKTREGV DKAGGYGMQGLGSILVQRIEGSYDNVIGLPLRATLKLIEKVMSRADDEDLLGDEEEGS DS UREG_02835 MSRETPLRGACSCGRNQYAIIIPPDSTEQAEVYFDISSEDRRIQ GAPLTAWLRVPLLWYQSFTRSFFPDETHATIRRVFVPENAPHSRRVFCGFCGTPLTFW TEDPPEEADYMSVTVGSLSSDDQNILEDLDILPKEIETGDVATASTALTSTAPSALQP SAGDAQVSVSHRTGAIYGIPWFEEMIQGSRLGRVGKHRRGFGSSADRSVQIEWEVSEW HDAHGGVRTMQSPGSSQERVRTSFKRKSPEVG UREG_02836 MLASSLTSRPMSPALSPVHRSSIPIPSRFLQSYKGEQAPMTPVN RITLPVPENTEQSITSPTDTLEVTAPVKPVLNDTTLELALVSDTEELDSPLDEKADAE YITGGGVKVKQLSHTPPFKGPQLRISPEAEKIIMGDEGEPSTEKKKLLAHGYRRSESR REFRMSTDSLFGSFGAKRDRNPRSRSSLGNASTPEPALSEKFEVLSKANSTDSNVKAS APPENDSACIRSLGMDANTLHASKTSNNPFFNKIMSKSSSFIIKDKPREPKYGNGDSS CEKVLSRTEHIRNELPLTGSVSKASPSATKKPEISAPKLKRTPDRLEIRKHPHILKRE SPGSSRLHNDSSHRIPDRPGLRYLNDHSYQSKARATRTGAMPPIAPQGRIHTQDPSNK DRASHSRHSHVHIPATNFQESVKPKASGTKGVLQNFRGLFTKNKPEQLKEIPPSDVPT GNHKERLSRKSKASLNGGRSITRSPVRYYQAVFGTDREVQASQELHDAKNTPSTNISP TQVAPAFANTRNVSGLAIEVLDSARAEPDTQKKEQLVKLGRILIEAVNNSNDAEKAMI TAIQAAKQAEISCALAKENALQIGQVAREWLGGNANGIST UREG_02837 MPYGESSNPRLNIVKSRLGDTEYPKKAALQRIEQKYSNTNKKGV KQDQRLGIGSQPISKQNRTEKTEIGKYPSQSGKANIGGTLENQESQIERMT UREG_02838 MTEKRAVFTEKGAAPLPVFSQAVVHNGMIYCSGSIGLDPATKKV VEGGIGERTVQALRNLSVVLEAGGSSIQNVVKVNVFLTSMKDFAEMNEAYEPFFSGGV KPVWIILEFKIRISVKLMPILLKCRTCVAVKELPFGTDVEIECIAFLTV UREG_02839 MAFQDGNKYQGIQSKRMRMRNKKKVGRLLLYCDYLQSNVSKQKK AQRETDLPLNHSQNAPGWEKGNHFKANEGKSGLYFQGSHETGIENTDSCYWNSGIVGY NIHDGVGDACAFPDTSWCTASQEHYAYYPIDPWYGYWPYGPYASMTHDYEFNAAATAP ESFQLRAEAPEFIPTGQSFSSNDGETEGPVRSDSVPMTEEMAEARIHKRYVTI UREG_02840 MVYCGKPSKGCHQCRRRKIKCDQGEPSCGQCHRANYICPGYRNQ LDLLFRDETQKVATKAGAVSRQTNPTRLPASSVQTVATPNDESATRRNARHKQISFKS MRRYQGVHGSGPLSSRALPVELTHRAICFFVSNYSPLFRLLGPGCVPPVALMDALFDD ETLSVCMTAVITCRGATSLQTWRNHMQGATALLNLRSQMNSFKLDRFRTVVQIRGQII SGCLVAQSYAPPLIDRHISLDRSEMSDAELALEDVTVLLIELTNLRASVKEGVISSPY EILCMALDLRERFLAFERLAEDKYPFRRIMNWDGKSRFLPRESVYEDYFDVYPSIYAA SIWNGYRAGRITLSGTIFNQFSELQTSCITGSTLSDEICIKVDEENAQIEKLAQDICS SAPFALEMIRWDAEAVSVNPAGPCGLGGFLLLWPLCLAAEVGKTMPKLQTWIVREFEL IGHLLGISQALWMSNLIKKKYSIGEWLVCDGLSEWDFWIDHRLDA UREG_02841 MGLFSSSRSKQQATLRPDSIISRGFGRRSRSRSQGEVVDRSFQP HSVPTTNFAPSYPLEAPPAYTAQAPSNTSTNASCSDSPYAFLTQFDTVFLIDDSGSMA GRSWRETEAALSAIAPICTQFDADGVDIYFLNHINRQPSQNTGAYRSITSPVEVHEVF TSVSPRGGTPTGKRLGQILKPYLDQLESLIENDRFASSDSLLRPLNLIVITDGVPTDD VESVIVSAARKLDRLNAQPWQIGIQFFQVGNEPDAAEDLRELDDSLAGQQGVRDMVDT VPWNANNGGAITAEGILKVVLGAVHRKYDRRNAAGRRE UREG_02842 MVSTAATTLITFMLRTPPNTHSLKLLGSWDNFSQEYTMERDTRT GPDHWRGCHVFTNIICDGNLSTTQAGRDGGLRMGGTYWYYYRLNGDVDYYNEAEPWTT SCPFLPGQPINILNVPIHLPSADSRHKRESSTVSQRSIPQTMNPDDKYLNPRPPPRPR LPRLLTSTGGNRSREALTSPIASSPQHPVHGRSASHPRDLPARRKFRVSAKLTLDAAP PTLHSTKGSALRTAFLNFKTPRSVGKDAENEKRFYSNGREDEMGYKVDDQRGRSPRSN TPSPSHFPLASGHSGQTLQVPVKIYGGKRRPASPFGSRSASRSASQTRDRSPLRTPME FQQNHVAESPYRVQDDTESPVQDLDLSDPPSLMVPCKLDLGSTIDLNEKRLPTLPNSP SSVLDEELRRMGFKSPVLDIDALHSHFSASTAASANMSPNSFLQACDSRFSECSTDTD ALSPSSMTSGSTFNNDGSLSSRRSFSTSEPIEVASIQTALPRLAGLRITDDSDLLLPH LHYFDTPSPPTTVPAESLSDIRISALHIAKKVQPSDIPVSESKVHLLNGRAPDSGLPT PRASGEQMMERMGGSSKQRPSAHMHNMMQEIMDELSYLGGMINVDSPVHD UREG_02843 MQAGEIENESSRHENDDDDTESIWHAHFASVSEKQLPAKCKPDT LTYCPTMDLVALATEDEQVHVFRLNGQKVFGGFARGGGAAADGLKVKSLKWKGNGHLL AVATSDNNVRILSAYSGKTVHVLPCNASLEYGVGVRPREPVPNVAPSICCLGWGVNLT DGKSVAKAAQETQGRLAVEDILTPETPLSKLAYIKADLPRELALLDIDRSLPKLSTLP STARRLSRLDTDSDSVDVLLAGFDDGAVHIRIFDSFEIGSIHVQQPLDSCEGSRALVH ASHPMSSTHAFLFRGMSGDTERLWIFSLDLLFITKSGRYLSVLASKVTQLQNLLRYIK QVQTQIHLEWKNSQDLPGRYLRNINEDLQDRLNCDFVTAAYHLVVTGDCFEPLKEFLV DQVGERVLINSGRELVQFDAFSRWLRYEIDLQSTDPLSATAEELMEKADTINHGHTLN YLQGGLIRSGLLDFIQPTMQEPSNGRWSTTDADGLFYENYKKTLQKLAKRKQGETVAA PMLSDLTARLSVQLQGVFKRIAETQRRSILERHIQDLGIDMDPNAVDLTMSYENYEGV PKVSIFLAARAKMSTHTFYLERVVLTTVNGVSSTEVVLTAKISFQKGSIMDIKFVEDG TIMLLWSDGNTPALNHLIQIPYKPDVDPSFSLNYVKRDHSDHLALEEQIIYLDLLTPS SHHASFVRHTFPQRENMEPLHIEVNGRRGRRVVCVLYSDRQWYSVFDIDSVDDRDDED EEDIDEDAGSRQGDHGDVRERQAMS UREG_02844 MDVNQVLAGTLSPDATTRQNAEQQLLHAAEVDFAGYLTTLAGEL ANESAAPAIRTAAGIALKNAFSYRDFARLREVQGRWIHQINPQVKSAVKELALKTLGS PDSRAGQSAGQFIASIAAIELPRNEWPELMSNLVQNVSGGSDHLRQASLITIGFVCES DDPDLRESLNSHSNAILTAVVQGARKEEPNNDVRNAAITALSDAIEFVRSNFENEGER NYIMQVICEATQSTDVRIQSGAFGCLNRIMSSYYEKMRFYMEKALFGLTILGMKSEEE DVAKLAIEFWCTVCEEETAIEDDNKIAKNEGSSILRPFFNFARIACREVVPVLLVLMT KQDEDASDDDYNISRAAYQALELYSSCVHNDVIPPVLEFVEANLRNDDWHRRDAAVSS FGAIMEGPEFDTLDPLVKQALPVLIQMMDDKVVHVRDSAAYALGRITEFCPESIEVDS HLQPLISCLFHGLASSPKIAGSCCWALQNISDRFAGEPGSDTSAFSKHFQDSVSSLLA VTDRSDADNLLRTAAYEVLTTFVTNAANDCLPVIASLVDVIMERLERTVPMQQQVVSV EDRITLEEVQTSLTSVLLSIVQRLETEIKPQADRIMHLMLKVLSTLPPKSSVPDAVFA TVGAIATAVEADFLKYMEAFSPFLYNALGNQEEPALCSMAIGLVSDITRSLNDKAQPF CDTFMNYLLNLLRSNTLTNQLKPAILLTFGDIAQAIGTSFQTYLPVVCQVLQQASDVT LEDDVSQDTLDYITSLREGIMDAWGGILLAYKGTPEANNLTPFVESIFKLISQISNEG TRSEGLMRSTMGVIGDLADAFPNGEFASMFRSDFISGLIRETRSTREYGGRTIDTARW AREQVRRQVGLATAAAMS UREG_02845 MVKTSVLNDALNAINNAEKAGKRQVLIRPSSKVIVKFLSVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKTGVISPRYNIQLRELEKWVVKLLPSRQFGYI VLTTSAGIMDHEEARRKHVAGKIIGFFY UREG_02846 MPLDTIYITRHGHRLNWTIDLSTGTYRATYPTPTGIPVDPTLTQ PGVRQSHELAAHICGETFKPKPCRVYCSPFYRCLQTIRPTVEGLRKIQEDEKRSDTDL TVRLENGLGEWFGSSSFFTHPSPSTPEILTTHFPTILSPPSTAASPSPLQAEYQARII PSPNGETIAQLHDRIATTLSHVIATVDAELARHAESHPNDPRNHQSHALLICTHAAPL IAMGRALTGNMPEDSSEEDFRPFTASLSMFVRRKSGRREELDDEAEQVCGMKVPKWRD GRGVGGGWDCVRNGDCSFLEAGEERGWHFNGEEDFMSMPTSLVASTPDSAGSEAKL UREG_02847 MSGEIHSIHLVLPPGTPLMETSASTNSSEGQESVSQDSTQRSSS RRSQRIQDLLNPAPPQSATEPREDPTIKQDTAADNSQLPALRVSSETCEPATGLSQRD MSNSGNTSSAPPNSANPHQFGQTPSLVPGFRRMLPNGFEVTEPGSSYTSLQGLRVSNT EDNSAEFSTHMSHLNPHRIFRSQQNSVTNGTIHQTDRPTSMPSVEHPQRETSRLSLIS QNIIALEEQFSRGIIPTVDEIARLRFQLYQMLDEQYRNPLKPRDPALESWITRVLNLA TRADQHRVMKARQQPQFNNHQSRFESTNAALPSTAAYLLKAPNGTQYVVMRPNDQPLP SAFRPRAPRPAANIPGLFMGGNIAPLGAPGFVARLPGNRVFRPPLVRRRYRRYVRPIN LVAIIRSFWLFIRLYFISYLFSARGSWLRTLLVLASAVVAVFSETSFPERIQRFVLRP VQQHLENLLPTDGQQRQAQPAAQRPNDTAFDANLRNEPINGRQAQGNPAQAEHGGIWD GLRSLERSVAIFLASFIPGLSERHIAARNAAEVARRNEEQRQQAEEQQPEVNTDPTAP HPQGGTNDPSVEQDATTPVPTDTTRNAGDAHQDQQSNQERADQ UREG_02848 MALLFVTRRTAASARPSVLYQPADGNLLELVFCRRMKGCPHLRR QFSSSRYWRNQSRKNHDGPTEDQPFWSKLRLALSKTKVEWYSIPVGLGIAYLGVMQFY KTQKAERERQLRESAEGYEESSRRKRVRLSGPWQVQIMSTLPLKAMSRLWGRFNELEL PYWFRVPGFKLYSWIFGVNLSEMAEPDLHTYPNLAAFFYRELKPGVRPLDPNPNALLS PADGRILQFGRIEKGEVEQVKGMTYSLDALLGRDAGSPRLEATHPTFETAEMTPTNAD SDNMAAEEEFAKMNGISYTLPSLLSGGKGGKKASSMDASVESSYKSEAKVQADLAKSE TPWYLPKPTSNRALYYVVIYLAPGDYHRFHSPVPWVVESRRHFAGELAHSRASFTLNE RVVLLGRWRWGFFSFTPVGATNVGSIKINFDSELRTNSLTTDTEADRQAALAVKRGEI YPGYAEATYHFASKTLGGHALRRGEEMGGFQLGSSIVLVFEAPMGNSDVGGSGETDDG WGWKIEKGQKVKYGEALGEVKGV UREG_02849 MAMAVSFGIQSWIEGGVVAAVIILNIIVGFFQEYAAEKTMDSLR SLSSPTGTVSRDGETKVIPSTDIVPGDMVELKTGDTVPADIRLAEAVNFETDEALLTG ESLPVQKDSELTFKEDVGPGDRLNLAYSSSTVTRGRARGVVVATGMKTEIGSIAAALR ASDSKRRPVKRGPGGETKKRWYLQAWTLTGTDAVGRFLGVNVGTPLQRKLSKLALILF GVAVLFAIIVMSANKWSSSNEVIIYAVATGLSMIPACLVVVLTITMAVGTKRMVQRNV IVRKLDSLEALGAVTNICSDKTGTLTQGKMVAKKAWVPSMGTISVGNSNEPFNPEIGN ITIQAVPPVQLDDNNQEAVVPQDLVTHEALEQFLNVAAMANLSHVYKSEAGEWHARGE PTEIAIQVFASRFNWNRDRWTKGDSVVWHQKAEFPFDSDVKKMSVIYHKITSTEDRQM VFTKGAVERIIDSCTSIALDPATGTTPMTDDLRDQILENMESLAKQGLRVLALAGREY TDSVLEDAEINREDVETGLQFHGLIGLYDPPRPETAGAIKECYNAGIEVHMVTGDHPG TARAIAAQIGIVPADMSTLSKDVADAMVMTAGQFDKLSDEEIDNLPTLPRVIARCAPH TKVRMIQALHRRGRFAAMTGDGVNDSPSLKHADVGIAMGQAGSDVAKDASDIVLTDDN FASILNAIEEGRRIFDNIQKFVLHLLAENIAQACTLLIGLAFKDQNDQSVFPLAPVEI LWIIMITSGMPDMGLGMEIAAPDIMDRPPQSKQGIFTTEIIVDILAYGLWMSALCLAA FSLVMFGFGDGNLGVGCNDRYSPECDTVFRARATTFVCLTWFALFLAWEMVNMRRSFF RMQPKSKKYFTQWMYDVWRNQFLFWAIMAGFITIFPLLYIPVINHDVFKHTGISWEWG LVFIEAFLFFAGVEAWKWGKRVFFRRRARKHQKVPHGAGERVQDDEQ UREG_02850 MPRPNSRRPVFPSEDGAFSSTARADVHQLAPPRTAAGTASGLRY HPRGLTGLSGQARDGLVPGNARFQNGGEVFEGLDEEDFEEDEDDDSELDDGEDEEDED QDEAAADDEDYDMQDDQKDTSAPIPPNLREISSLASWTVSTHKPGCGVAALRHPSPSQ FWQSDGPQPHTLSLHFFKRVSVVRIRVYLDFELDESYTPTKMVFLAGMGGNDLVEFAT WQGETPCGWVDIPLDGVGGKHERRPRRKKRRMKEKDGNRQISSMLDQEGGGLFDSSMN DYDEMNTDNDDFDDDDDDNDPSSGNILKAMVIQVKICENHQNGKDTHVRGFQVFARDD RYYTRTRRDSTRRSLRNSGSARKDADVHTAEGEPDFDLENGMATIDESEWFLQPEIR UREG_02851 MVKYNIVVFAGDYCGPEVTAEAIKVLKVVEKKRDDIEFNFQDHL LGGASIDATGTALTEEALEAAKNADAVILGAVGGPKWGTGAVRPEQGILRLRKEMGTF GNLRPCNFAAPSLVDISPLKADVCRDVDFNIVRELTGGIYFGERREDNGDGTAMDTEP YSRPEIERITRLAGHLALQRIPPLPVWSLDKANVLATSRLWRKVVTEIMEKEFPQVKF GHHLIDSAAMLMVKDPRKLNGIIVTSNLFGDIISDEASVIPGSLGLLPSASLSGVPDG KSKVNGIYEPIHGSAPDIAGKGIVNPVAAILSMAMMLQYSLNRPVEASAIESAVRNVI EAGIRTGDIGGKAKTSEVGDAVAAELERIMESWDQ UREG_02852 MALTRDTLLGIWAIDIADEFPSCEVIGCDLSPIQPTWVPPNCRF YVDDVESDWSYQPGEEFDYIHGRAMGGSISNWDQLYHQVFYNLKPGGWVEMQEYETAV YSEDNSLDNAPLIKKFQQVGDEASARFGKRLNVATSYKQQLIDAGFINVQDDVYKVPI GTWPKDPKMKQLGRYQLTQVLAALEPFMMALYTRVMDYTVQETEVLMAGLRAEFKNPN NHLYTKFHFVYGQRPQSS UREG_02853 MAGRGKKQKASEPSEIQDNHASTSSNGTIRKGSPRRNQRAPTSG EQSENIFLFWPNIIGYTRIVLAVASLYYMPLHPRTCSLLYSISCLLDALDGYAARFYN QSTTFGAVLDMVTDRCTTACLLVFLSSAWPRWAILFQGLISLDLASHYMHMYATLSMG ESGQSHKKVDSSRSWILYQYYNNKIVLFVFCTMNELFFIGLYLLSFSSPTLSPSLLQP TPDGATAGSAQPGSPALAPISALFASPWSAGALELARANKMDSFWPWVITGIAAPVMA AKQFINIVQMVKASKWLAEGDIIRRRQLGLSKTR UREG_02854 MSSRLVKPARVHAWTLADSYSFIATFIRRQHTDAISGSQAQHEL QHPRAPGRTGKQSERRHFHDYFVTHLPVSSLHPDSRISSGPLHHLPRSECTPHDGPTK GISPAAVLSPVGVSRETTVVRIPLRSAKHHFGASVSRGSRPTNEDTYQAGVIELPAFA KRPPLSLTIGRRSEDAVSSSAPAENRVAENATGDPQVFYFGVFDGHGGVVCSEFLRDK LHAYVQEAAMAFELGSSLGKNTQKPTLPYRPVRNREAFRESQQAYSHDSGKSSFHSSS EGHGGNSILSGDLPILHPGNRSVIGELEKSLVNDWRNLVGGYFRRFKPAHFCYFGDND LHSDGFSPGPHNLGLDMHMGVPIEEVLEYAFLKADYDFISDQASKHDDDSARAERPLN ELDILHNPGRLRKATVGGSARFKGGSTCSIAMISTPTPAPFWHPSAPSSLVVSHVGDT RVLLCSTATGGAIPLTTDHHPSSPVESNRLRRYATSMVTDSFGEERISGLANSRAFGD IQSKRIGISAEPEIRRVEMGPAEYSFLVLMSDGVSGTLSDQEIVDIVKEAKTPDEAAR DVVNFATEVSKDGDNATCLVVRLGGWERRLEGGLGSLSTKESRDWRRQEASDPRGRRT UREG_02855 MSGIDVEALLESTAEPGPKQQPEQPASQTNDSDSKKDRSDRRDR DRYRDGSRDRDRDRRRRDRSRERRSERDADGDEEMTSPKSDRASANGSYRSRKRSRSR DSDRRRSRRDRYGDDHRSSGGDFYRGPGRARSRSRSPYDDRYYRPTGRPRRDDDRDDD KRRRREKEGRKRSPSPKPRSKTPEPQLTEDERDKRTVFVQQLAARLRTKELIAFFEKA GPVKDAQIVKDRVSGRSKGVGYVEFKNEESVPVAIQLTGQKLLGIPIIAQFTEAEKNK AARNAEGHVSGNQNSIPFHRLYVGNIHFSITESDLQNVFEPFGELDFVQLQKDENGRS RGYGFVQFRDPNQAREALEKMNGFDLAGRPIRVGLGNDKFSSDSATNLMRLQSQGQQG SLYGQGGRGTQAASNFDRAGGRDNDKGIGGASALDDTDVAGVNFNNYSRDALMRKLAR TDEPAADTSTDDKRKAPKARTEAKPLPVSVNMASRCVLLRNMFDPTEEEGDSWVKELE DDVRAECEEKYGHVVHIALDPNTQGDIYLKFDRVQGGENAIKGLNGRFFGGRQITAQP VVDAVYSSLFSRTKAI UREG_02856 MPGRTLPTFTSAEVKSHNTVKSCWVTRGSKVYDVTSFVGDHPGG GDLILEYAGKDISQILEDVVSHKHSEAAYEILDECHIGFAASSDSTSKSALNGAVNGH VNEHVTQGDGRPVYATTGLSSEEDLSVDTDPMADYKVHKFLDLNRPLFGQLWFGGFSK EFYLEQVHRPRHYKGGASAPLFGNFLEPLTKTAWYLPDNRVGLSLHFLLHGIHHYLPM DRYRLVMPPTLFLILAAPFYKLAHLVFFYNWYAAVTVYAGGVFGYVCYDLTHYFLHHR NLPSYYRALKKYHLQHHFADYENGFGVTSRFWDKVFGTELAPLQPPKSD UREG_02857 MNASTTSSRRNPLTTYLRLLHRSKTTLPHGQLICVSASPNISTM DSLLRLACAVGPYIAVLQVHADIIDDWSVEAVRRLTNIAKRLGFLVWEGGRILNTKRR PTGQHPLSGHEIARDIAMARKRYTKGISVAAWAALASTWVIGPEEHSKGGSQLIPTLR RAARETVSRTTMSVRTEISGGQTPSAAGTSMDDCRDDLYDDEEQDQDLLSTTLGGLNI SPPLRKASVISLTRTITQHAELSKPLVELEDSCGCDEIELAQHNDQDSAAATALPPPP VLSRGIVICLPSDSQSTPRQRQAAIALGRAHSDFVVGFVTEESWINARKDLALANSLQ DDDDGEDDDDDDEEEDGESLDEVETYAVFSPLENDHVGRGINVQEPLTGEDDGLPELP KPSHPQSGFGTKAQQIFALHQLVAQALSIQTGKSTTGSPGPSGSKKRGVDILYIPVIT MNL UREG_02858 MPFSGPACDFGRIRGGPSLARIPVSTPEPSGPTNTRTGTVHNLA VCGAHKPDHPADAFVFSDFIGIAMTLQYLHSDVQGSVFSCFQLEEHFELLRSTLRSPI LNGESSNGIGGLCTLKPKSSLRQGIWLQQYRLNITTQHQVLSAILARVRLLGVRQTPS TPTTFSEHDPHTAQAMQPGLQIPASTLTSFVSRGQGCTHTKGVPGLGQEELQALHSTG ISRMTWCSVRLQFEGTSQYIEIENCPDRYSDAVLWLVVVIAGGVITVPRYMTPSTSRT FYHSTLSMKPGDVSVKREKDIMAGWSKRKFFGT UREG_02859 MTINSAQDGQARASNGGFADSSTSTMNHIDPTDEKGAGSTEPVT ALVKDEANSELQPATLKASVNNVASVPDGGMWAWLQVLGAHVLFFNSWGIINTFGTYE TYYEIELLPNSTPSAIAWIGSTQAFLLLFVGALTGPVYDAGFSRELILGGSFLLILGQ MMLSLCKEYWQVLLSQGFCIGIGCGFLCVPSTAILSQYFTTKLATAVGITAAGSSFGG VIYPIVFHKLQPTIGFSWATRVIGFLMLGTQCISIAIMRVRVLPEKKRDILDFAAFKE PPYLFFVLSVFIGFMGLYQPFFYVQTFAIKQGITDSNLGFYILAIMNCTSAFGRIVPG ILSDKIGPMNAMIPCAFISALLCFCVIPVHDAAGLITLMGFYGFFSGTFISSPTAVVV QLSAHNRGKIGTRLGQAFGVIAFGLLIGTPVGGVVLHKYGFPRLWIFAGAFVAASGIT LITSRKYYKGLRLMIKA UREG_02860 MEPSTKSIEVPELFSIKGLVAVISGGGSGIGRMITRALAVNGAE KVYILGRRGAVLQETAKPFPGVVIPIECDVTSKESLQAAADKVTKEVGYINLLWCNSG TSGPESPELSSSVSLDQFIEANWKHSVEDYAETFRVNTAGFWYTAIAFLKLLHLGNET GNKAHRSQIIGTCSTLGFGRFAATGRFAYGQSKASQTHMMKQLSTHLVPYGIRVNMVA PGLFPTDMTASMTSTGYDASKLIPEGRAGSDVDMAGVVLFLTSKAGAYLNGNCNLIST TLLDQTATQHLRIFSAFFLSPHPSLNNLGN UREG_02861 MYETQVDLAVVGGGPTGMLTALLAKRLGVSVLVLDAKPQALQLG RADALNARSQQYFEVVGILDDLISQGIKCNTSSIFANGNFTSRQSHWWTGLEYVLHKN FLMLGQPVVEQVLAAELKESICFNARVESVRETKSGVEVTTVSGRIVHSTYCIASDGA RSMVRQALGIPFSGTKPEMTWAVLDTFIDSDFPRCPEIITFELDGESRVAWIPREREM ARFYSLLDGEITEEKAKACVKRHLAPYRVDFTKTEWFSTFEVKERIAQTFISQDGNGR IILAGDAAHCHSVNGGQGLNTGLSDAFGLGWRMAYILKYGDKLAPGAANQILQSYDIE RRQVAESVIRVAARLVRDTKFEATQYVGNVEKNASYITGMGVAYNDLGSPAIRESEVG IWKAGRACPDIPLIVPGTSEIQYLYKMVTYGKYLALVAGDCGGHLDNSKELVRWLRIL PKVEGLKYHLNGESASSYLTDVVKEGDNYVVVVRPDICFGTFPCQVDN UREG_02862 MDPVLVESALHGTPGHVVLPLSSRVVDHSSIDMAAKIIEVIYRY QRAGSGIEGMQSDIGLLTGLTQVYSHVKANKPVQMALPAFPFKSPNRNTKVLGRLPDK AEEFALAHLNGLCAAIHDVYKPGAELTIISDGIVYNDLLSVPDCDVWLYGESLRELAK AKGFSYIKFTRLTSLVPLAIPEDLDEVSYVANATNFRVALMNNFSRKDWDGSNKLVED EDVNMTYRGYLEFLPADLTESYPVSNGFSKSRFEKGTESIAKQMLIRGQAFSRAIREK FANHVRLSIHTSTGQNKVSISILPTDTGYTTPWHCTLAIRLDGTVTSGLAQTFRDDPA WELVYEDGRPSYFRETSDLYTWRSGPINVAPLYPCGVMITPSDGPGKLTMKEIDSRKV RALSELNSPVILRGFAGTGDRERFIEKAATFGTPMPWKFGILLEVKDRGADTRGLNNV LSAEWMPFHFDGLFKTKDEIKEDGTIHKVSNPPRFQLFTAVTPSPSDTGFTLFSTSTF VFKHLPDYLPLETLRKLTWSVSTSSFDSTKLHGLPLVINHPTTGKPCLRYHEPWPEGK TSFEATLISIDGLTPEVSDAVCSQLDSLLHDRRIVYYHSWQRGDVLVSDNVLTMHTRS DFQGGADRELWRIHFD UREG_02863 MDGRVSGSNSQATTLSSGVADIPCTVIAQGDLVLNCVSAARSPS GKRVISRFRTSSAILRKSCDYFQVLLDPTKFQEGRTFLESKKRLEARYGSVESALYQA KIDELPEFTLELPPLSPKVTRHALLCDFFALLATAEDDDPASGQALYEDIAKRPIFFL ASLVALLDRYGALHLFKRAMSIENTDDGPCVMPERRRLRDRLRTFRSHEEERLREVVF LAYSIHDQKAFAFLTHRLVVDGSRMWRRIVDEGQSGIPIWWQLPGVIEQELEFRNQCI LDTISDYQSHLLCAFGASTTTSKQKTTSLPSTKRELQCRRVYENSRACDSFHLGEMIH FFSTRAKTLELQSTLSNHEPDYDAGYTTENEFDDSNKPGSTHARPDAASAAVSLACLT AYKDSRKVVKPSEYVYSTIPNLVCEGHGITTRSATRKACQFVAALYNQLPALIQ UREG_02864 MQLTPSQVILAASICTRGGKAVLSRQFREIARSRIEALLASFPK LADSGTQHTTVEQDNVRFVYQPLDELYIVLITNKQSNILQDIDSLHIFAQVVTNICKS LDEREILRNAFELLSAFDEVVTLGYRENLTLSQIKTFLEMESHDERISEIIERNKELE ASAERKRKAKQLEMQRKEAERMGKGMAPRTPSYPVYSQPARPTIPDTIDSYEAEKKKA FTKTLPSRGKGMQLGKKSKTTDIYEKVRGELGPEAEESPLVPATPAAAVAAPASSRPS LSADREPVHVTVAETVSAKLSRDGAMKSFEIKGDLQLRISDPSFTKLKLDLKANPTHG AQYRTHPNVDKALFTNSKTIQLKDTSKRFPANNSIGVLRWRVASIDDADLLPITFTVW INKGSDSTTVTVEYELSGSESLRDVTVTIPFQTVEPNISSFDAVYEVTGDSIDWNIGN VDESNNSGSFEFESNNPDGDENEFFPMTVRFSKPTPFVDVDVLGVTLVEMEGEGVNFS KDIKCIAEGYMIE UREG_02865 MDVTAEFQELPRDDDIEIDLDVYEDHVEIDDGDIVVDDASATAS NHPDMSEDFDDLSKDADMVDDYSTTHISRTTPPQSDHSEYNAPVPQPDQAVYDEEVED DYEEDIDAPIPGNYEEDGVATTSAQDLSAPNGDEEDVQSMGIQAQQSLAAKSEPVSTD EQATLNHPQGCLTQASEKNEFSQTDYAAQISDEKVYDQKSEYTQADFHSPYKNGEEEG VPEAEPKEPTLTSGQGVTEQLQDTQEDTERIKEEVKVERIAEQGNESIVEPDGIPDEV DNMKKKHPEPVSVGHQGPEVQGENIEDYSPLHRVTVLYQDNEMSLFPPNESDPSEIYL LEDEELAHAPLYELFKAFRKVLGTYIADEDELVVSIDSLYIQLSEIAVKSLEVTLSQI LRLYLDFCRNDDIEDPGPLYLNLSSRPTLKADLAALQTAADNGQGLSQLGAWQDADEA VDADGETELPATVESDSDDHGSSPSEAEVNFSVSAEHIEDSVAGQSYPNEEERGSTLD TRLPKSEEILQEKQLDMVNEKFSDSEKNRATNIPEGDGDEEQEDSWHDEENRHSIEVD SEHQNDIADTLGQGEVQTLEPDQTGVEEVEDGEIQYQTDGTMRARSPLYDDTDRLSTT AASPSAETPLNEEARGNAQPELAQNVQIGADATEELPEHESNINLQVGPNDIPGNLED QRDSTPIPGNGEIPNVSKSPDRPVTPNPNPDDFDIDADLFKSPVVEPYEHANLGQTNV QPFTKVESPARNPTYEETSELMAFDNDRDEFPEWDLIEPEKDHIPPYSYSPKVEKRPR VDEEYNDSEDASPDLKRHRSE UREG_02866 MAESTLRTAIPPQSWDSHMHIIDPDRYPLAPDARYKPQAHTLPD AINFESTVGLSNMVLVQPSIYGLDNSCLLDGLRELGLRRGRGVVVIDPSTAQPETLRE WHRLGIRGVRLNLRSVDRQMSAEELISAVRRHAEAIWPLNWVLQLYIPLSSVPVLLDI VSELGVRICLDHFASPELPVAEANITSSFDPYSLSGFAELITLLRQGNTYVKISAPYR LSDDPDMKQLSVIALELLQVAPNRLVFASDWPHTRFEGLNIAPFIRKCLDWCGDDKGL VEKIFRRNAEELWDAQEDRS UREG_02867 MSETQGLEPSLLEEVFGKETTHADFGSVFPAQSRTPTLSYTKSL ENRIAELEAELEAVQNRVREQEGADLKLKVEEPEINAPLERLTRHPSAPQDGKDTLDL ARDIEGLTMEDDGRISFHGPTSLFQLPSGIPQEASNPVQAEQEMDGRKERLINNAWRE RAFEQLATIPVGVPIALRDRDGDTDLLQEPFHYLLDSHWCWIHPLFNFVYRPAFTRDM KINGPYYSDVLLNAILSHSLRWCKSEPKISRLLDPYDGGTQFFNRAVDGLYESLKHGN GQIPIVQSLLLLSAQECGRGNRTQAWLYSGMAFRLVEDLGITIDSRKYSGSIQFSDED VEIRNRLFWSCYFWDKLVSLYFGRSPIIQDTPKWWNELPVFLRLTVTDLPAYCPPSHI VTLNCIYHITNILLHRPILCSKPFRSSENAADNANHLVQCLSSATSIISLYDLYRRTF GDSHVVLSLAYSLYTAASIFLLEIQALKYASASTLEKLRYCILALERVRPANPVINTV LGLVEKELQKLHINIMEPIHPDPQPIPSRLTSQHTHEARQLDQHSQQHTLHSPATYTL PPHGAFSITPPPFKEQNSDMSFTMDPSLLNPGEFSQQYDIAPELFEAFSYVEPMTTNV GTDEFDPTWSSSTG UREG_02868 MTIPVLAIGHILSASIDSVANCEDEHACADATTHFSGFPRKVRC AKKWSAAPDRQSWKTPHSISRKPGFWASGTVMVAGAILVNDAGREFKKRQSPPEEPLS PIDPLPAGISSSGQESIARMRRLNVATRALRSVRTRPTAQRHTQWGAHRILPLSTTRA CSCTAPKPSEPCDRTTPSASTPAPDHRTIGAAQDLFATSLYSPGSPLFQPNGTHILNK LLAFLRAQYRQYGFQEVLTPTIYKKSLWELSGHWQNYKDDMYEVRGRGATGETTHGEI GEDESYGLKPMNCPGHCLLFKSQKWSYRDLPVRYADFSPLHRNEVSGSLSGLTRVRRF HQDDGHIFCRPQQIGREIQLALKFTDTVMKTFGLGEYKLVLSTRPEKDFIGSLELWDA AEKQLKDALEKSGRNWEINEGDGAFYGPKIDFQLQDSDGKFHQLSTIQLDMNLPQRFG LEYMVPEGEEDYNASTDGKGTPVLIHRAIFGSLERFFALLIEHYNGRWPFWLSPRQAI ILTVNQDDDVLKAADEAAAKMCGYQRIPFHEANELEAPSQPLSPLQPSFHVTVDKSAR SLAKKIREAQIMKYNLIFVIGPKNLADGSVDVDFTGQIARNSEKTQGFLDHTPGWDVN LSPNGKTAKMKMKINDVYDWLIRLEKQFC UREG_02869 MPLFENTLLRNMQSTLNDTWLKLSCQKPAVFVFGVTVFLSWQLV NRIFFSVKAPYAGYESSWEPIWLISRRCSHEAPRLIQEGYRRYRDAMFKIAKRNSDLL VIPKKFVEELRSLPEEQICAMEAHIRTLLGPITGTDILLNGHLHNKALQTRLTPNLGS LVQPLKDELDYAISVELPNCKDEWVEVQMHGIVRRLVARLSARAFTGPVACRDEDWVM TNTMYPANVFTTIGPLRLFPSFMRSTVALFLPSYWQLRSNFATANRVLVPIILQRRRD EASGDLNYEKPKDLLQWLMDLAEPNEAAPHLLAHRQLALSLGSLNTTTTAAVQTLYDI CDHPEYLESLRTEVLGALTADGGWDRTTITKLVGLDSFMKESQRVNPPSYVSFNRVVR KSLTLSDGTRLPKGTHFGMASYSILQDPAIIENPHEFDGFRYKRIREDPKEANKHQFA STDSNNIHFGHGKYACPGRFFASQIVKMIIGHLILKFDFKFPEGQGRPRNLSIDENVY PDPSARLMMRRRQMVY UREG_02870 MAPITPPASYAALPTQHIKLSHVPATSPTPTPVLLLTLNRPDKL NAFTPLMCDEMVSVFNTVDVDDRVKVVVVTGAGTKAFCAGADLEIGFPKGKGKNGRQN RGDVSRVKDHRDGGGKVSLAIHNCRKPTIMALNGSAVGIGITMTLPATIRLATANTKI GFVFARRGLIMEAISSFFLPRLIGHSRALHLTTTGATYPPDHPLLRDLFSEVLPTAQQ TMDRALEIAQDIAENTSTVSTSLMRDLMYRGPSSPEGTHLLDSAVIYSLFGGKDNEEG IKSFFEKRKPQFKASVTSPDDMPSIYPWWDPIDLTPRSEKAKL UREG_02871 MAPIDGEVKKYDYIVIGGGSGGSGAARRAAGWYKAKTLIIENGR SGGCCVNVGCVPKKMTWNFSSIAEALRDGVHYGYDIPKNISVDYGTFKRKRDAVIERL NGIYERNWNREGIDLVHGTAKFVGQQELEVSLQDGSGTVRFAAPHILIATGGYPIVPK NIPGAEHGITSDGFFEIEDLPPKIAVVGSGYIGVELAGVMHTAGVETHLFCRHETFLR KFDPMIQHTMTKRYEDVGMKIHKNFAGIKEVKLLREGKGAEKLLKLTMNDGSEMEVNE LLWAIGRAPAVDNLDLKAAGVKQMPSGHIVADEFQNTSVKGIYALGDVTGKAELTPVA IAAGRQLGNRLFGPPELKSSKLSYDNIPTVVFSHPEVGSIGLTEPEAVQQYGKENLKI YHTKFTAMFYDVMPPEDKAHNPTEMKLICAGPEEKVVGLHILGLGVGEMLQGFGVAVK MGATKKDFDSCVAIHPTSSEELVTLR UREG_02872 MESKATEEVREGPDHDSRQADSVEESPLTSPAESPLDQPENVMV APTALPSLRTVSHPMTPSSTPLGHINAARRPGPGVAAGQSSGLTEDIQAKMKAFSLSR QGARPTQTPRGSGGAGGTSPVISPAKGPLMGGINPNVLGGTPTLAGRLPGGLSNARLP PTGRPAPGNWSSMPAVVGRNPSMAPNQPGGLAAKRGMKQGMKLSDAGGPPRPTFKAPA SSPNVSGAQSNAQFAETQSGFTKYSEIIDTQTGTIKFKDKAVIHGGGIDFTGGRSFNI SLDDVETLDELGKGNYGTVYKVRHCRPRIRKPGVGLRGSMASHQSDTGPVLEENQPNK LSNVVMAMKEIRLELDEAKFSAIIMELDILHRLKICDFGVSGNLVASIAKTNIGCQSY MAPERIAGGAPSAGSDGGSYSVQSDIWSLGLSIVECAIGRYPYPPESYNNIFSQLNSK EEWKGAQRPKLLRKKNRGRAHYPRSLLTLLMKKSLHGLKRPCTNEKMAQWGPMLSRHF IRSLLTQCQAVRYSIIRLKWRPSQDSPATKRKSDETVSSPPLKG UREG_02873 MPFLASPRSFRTANLESYSHVPFNQLNETLLAALAQDSQHLLQN GAPAPHGSHPPLPHLALLVFEAVLEVVCVSLPGYIVARLGMFDANAQKFVANLNVMLF TPCLIFTKLASQLTAGKLADLAIIPFIFLAQTIVSWLSAKLVSRIFRFKKRPSNFVTA MGVFGNSNSLPISLVMSLSRTIQGLHWDRVPGDTDDEVAARGILYLMVFQQLGQLLRW SWGYRVLLAPREQYYRDEEERANSRIQNAQDRYSDHPEDESDPTLIGDASSHSEGSHF ASGDQTPVSETSRSYVKLPQSNRIEIPENTAPLPLDPPLHDRFQGSPGHLAFFPNVEP LEDPSKLGAAKSRLRTCKQAVSSAIHRFHAFVKVRTSRAFAALPLPLQKACKWASHKA YRFLHGVWEFMNPPLWAMLAAIIVASIPSLQRLFFTKGTFVHNSITSAITQSSGVAVP LILVVLGANLERNTLPEEAHHDHEDPREERNLIIASVLARMLLPVLIMGPVLALTAKY VPVSILDDPIFVIVCFLLTGAPSALQLAQICQINNVYMGAMAKLLFQSYVVWILPSTL ILVMSALEVVEWATTS UREG_02874 MAEDAGGWSTIESDEGVFTSLVENLGVKNVQFEELISLDTDTIR SLSPVYGVIFLFKWVRGQAPQTDAPEYGAYDPSATENGLFFAAQTIQNACGTQAILSV ILNQDGPTPADPTGTGIDIGPELRDFKEFTTGFPPDLRGEALSNSAQIRDAHNAFARA SPFVDETSRPPVSDEDAELYHFIAYTPFNGVLYELDGLQPFPISHGECSAADFPEKVI DAVRIRMTRYADSEIRFNLMAVVKDPRIRAAEIGDVETLEREERKRRGWAWENALRRW NFVGFIGEVLKGVVGMKVEKGEGEYEKWVKDATDATAKKANMRRERGNGGEE UREG_02875 MAGPGGGPPRKSHTKSRKGCKTCKRRHIRCDETMPQCRNCTKHN CRCDYMDTFIAQEQAASPRCPDLLMSPEIEMEIDTWQRTGNPPFPELQPSSRTYWYRF SKTDLRLIHHISGLSIDLHRRGYSNCTVWAQKMPIFLAIALSSDFVMSAILALSASHL AWMTQNQDTENLAYHHSGVAMKGLHEAIGSFSRENSDAILAASLLLSWQATECWASLQ QGVSTVLNSMQPWWKDESELARFMESQKAFRSSRTPMTPTYPGAMGQLHSEDLMRLDR ILASLQNIHQRISHNQEHYRRITDLLSFAHRLREDLPIQSPEKAFERLQPLRTWLFWL PPTMLRGGDSDLGALAVLSQFFGFALALEPIFPEFGGSYLGSMSVSPIEDIRKILYHR RATNPFAPDVPLALSLMELPGEIVAEYRSRLQWSPRTSLDAYSSGSHSPYQSLPTPHL PPTPTTAHYPAYTTSPLHSPLTPAIVGSPYQMPTILDSRRHSHAYAASPSLPPESIDD RTTEYKHHHTTVFNPAYLGNLIHPGTPLGIDYSHSAPVDMTGGFVAPELCWT UREG_02876 MKAAGEPERQEAADEGLPRIGMGGRDRISKDKAPAKAKRDWIPS WVYFRVVGHISLGAAEEQEDEVEVLTPANRMPDWGYFPVTGWSSGVAGALSLQRPPRG FLFPNIPVTKINPGCYAPHTPVNMVEAIDSANLEI UREG_02877 MIPGLLLAFTFYLVFRAQIECLKAERVIHRGRELNTTGQKPLHR ANVRLGGSALISFAEVDLLRVRSSIPWRQTGHAAYYA UREG_02878 MTKSLVVLGSFGLPGTFFGGTKPRSQPLHEIPFKLGLNIEPLMQ TNDKENEPWTSVAKQGWNRHGWEGQFPPRALEQEKKQMKPYFDRRLAKDPKMQLGLIP GDRNLGYPVSCRGVDGVETNLTAVCCFVLHHVHHESQGAFAFASHSALTVL UREG_02879 MWRIKITWILPCRSLLISRLRLSIGRFASQVHGFFLRFTSRWNE GAARFTSREINPAPPVPLFPVETRFESFEAPFFPASWGIIRPLLIILITPNNKSRSDI CKLFRDSPGSRIFMRPATECLERPLKLYYLGIDDLSSLSGNFIVVQRSLWKDVQSYCL FLVPSTLGPFKGVITFECNVFGNSGNSGNPLSRLQHRRRQPFGLCLFVKFIVLSLRAQ MTTQLKPSSTHALKPTSFWGAKDGYTGKPSMPSSPSQDFTSGFRCMSKCWGCFYMDGI QLSNKGVY UREG_02880 MITLCLLGIPIRESIRRTFTALVPSGASVGDYEAHELRDEDKQQ YGGNSVHKAVNNVEKVIGPALIKQQFNVGEDLEKIDDFMIVLDGTKSKSKLGANAILG KIPLYEFLRNRAKTAGPYVLPTPFFNVLNGGKHSGNSMAFQEFMLAPVGANSITDAVR VGSEVYQALKDVITKKFGSSAASEFYKSGKYDLGFKTEEPEPLSSESLQELYRSLLDK YPIILLEDPFAEDDWESWRSFNRDCPVELVGDDLLATNVERIELARDREACNSLLLKI NQIGTITESIIAAQKAYSYGWRVFVSHRSGETTDDFIADLVVALSTGHIKSGSPCRGE RVAKYNRLMDIEDELARSQQQHTYAGAGQGSI UREG_02881 MAGSKLDVSGPHGEAESPSARTPDETRPGQSPLFLQVFSWLRTE RAKLAARKLKESDRPSSERSDSTNVDSWAGEMELSLDQLERILAQYSNIRSKSAMAQK QRIKGLRRGSHSDSDYADSDQAVPSADVVLDNSKTLLYVGGEADSNVDALAPKPFPKD RENWLKFKSEIVRLAHTLGIKGWRRVPLESGGEVEVIRLSGALTNAVYVISPPKNLPL ASRSDSSLNSTRRKPPPKLLLRVYGPQAEHLIDRERELQILRRLRKKNIGPRVLGTFA NGRFEQYFNAKPLTCHELRIPETWKQIAKRMRELHDGIDLLPEERESGPALWQNWDKW VDRCEKVTTWLDQEILSEKKASNVPEERWRQRGFVCCVPWRTFRATVDRYRKWLSEHF GGDFELSKRLVFAHNDTQYGNLLRLQPSEESPLLLPANEHKQLIVIDFEYASANMRGV EFANHFTPLIRPAYASSPRAPPFLLDSYIPGLNPPLTDPESRDPVVEAEVQQLLRETR LWRVANSAQWVAWGIVQAHVPGINKVLSGQGSATNATDTTTANPISGKTAASVEQRTP INLALNHDQVKQEFEAEEAADDFDYLAYAQDRALFFWSDLLALGFIKEEELPAEMVKQ IKKRIINY UREG_02882 MAWQPEEGPLRQLAGFLKDSLNGYDPALQKHAEQMLAQATSSPD FVNYLTYLLLTSQAVPSLGFDANQYTVIRVSAAVNLKTKLRVAYGTISPNSLAYVRSS AMVALHDPSIQVRNASGTIIAEMVHQGGVLSWPTVFEELLSLVANSTGSVPMIAQEAA MSALQKVCEDNRKMLDKDYQGQRPLSIILPKLMEFTASPSPKVRSMALSTVQMFISSR PDALMGALDNFLHELFKLANDPDTDVRKTVCQSIVQLVDVAPDKLIPHMEGLVNYIIM QQTNTEDPELALDAAEFWLTVGEQKQLQPSLVPYLGKVIPILLQSMVYDEDDAALLAG EADDAELEDKQEDLKPQFAKTKGARLPGLKSGDHPNGDGKSTREEDELSDGEIEDDSE FGEEDPEDEWTVRKCSATALDVFATVYHQSVFEVILPYLRDNLKHAKWTNREAAVLAL GAIADGCMNSVTPHLPELVPYLTSLLSDPEPIVRKITCWCLGRYSEWAANLEPSEKGR YFEPMMEGILHRMLDNNKKVQEAAASAFTSLEEKSDANLIPYCQPILRQFVLCFQRYK DRNIYVLYDCVQTLADSVMSELAKPELVEILMPALIDRWNKVSDQSRELFPLLECLGY VASAYGDAFSQFAPPIFARCIKILYDNIQEYLHAVNNKTGDEPDKDFLVTSLDLLSCI IQAIDPQKSGELVSNSQPGFFDLLCYCLQDPSSDVRLSSYAILGDCATHLFSHLQPFL PTIMPILIKQLDLDLIRDDDSASGLSVINNACWACGEIAIKAKADMTPYLESLYRALV IIMSNEEVPDSVNENAAVTLGRLGSGCAEQLAPYLAQFAETFLRSMAKVDFTREKSSS FLGFNQVVQQNPKAMETCLREYFHVIAVFPTKSLSQPEFAYLQHSFQQVLQGYKSLIP DFNAFLSSLPVNVTRKLQSTYQL UREG_02883 MPGLVRKLLIIAAVDGLILQPYGNGARNKSSGGFSPLCIEYKSR RIQPLPVGINYQDKHAERLDSHGIVGLLSVASYSFLISITHREQVAQILGKPIFLITG VAIIPLSSHADASKAILQAQRSSKGNNEAALAYDDASDSETDQSETLDQEDLNPDAIT VPLDREEQALRTDARTDTHIAEDVIKKRGRYRRFASQWFFEKLWQDGNTTPRDVTSRN LSDPAASGRQKTDPESCELDGAMELEEFDSSGRKLAHPSGKPSETIENIVRTHELMPK FLRYTKMFFSSRSFYFSYDYDITRRFGLQDSQVSQLPLSTRADPLVLAPSLAVMFFWN RHLMSPFIEGGHIDFALPVMQGFIGQQEFTAEPLSNAHTTTGNSTLTHSIGVPSFLRS EEPIPDSFLLTLISRRSVKRSGVRYLRRGVDDEGNTANSVETEQILSRPTWNPADKVY SLLQLRGSIPLYFSQSPYTFKPVPVLRHSVATNQLSFNKHFLDIKRRYGKVQAVCLLD KHGVEVNIGETYEKYLKTFNQGEGFGPDHVGYEWFDFHSECRGMRFENVKRLVDKLTD SLDDFGDTVVQNNQILKKQTGIVRTNCMDCLDRTGVTQCAFGQHALERQLDQEGYSID LKTSTTTQWFNILWADNGDAISRQYSSTAALKGDYTRTRKRDYRGALNDLGLTLSRYY NNIVNDYFSQACIDYLLGLVTIRVFDEFETELESTDPGISMAKLQQNAIETSCQIVIS DDKEELVGGWTMLSPREPNTLRTLPFEQSVLLLTNAAVYCCRFDWNTEKVTSFERIDL DSITSIKYGTYISSTLTEAQMDEKRNVGIVITYIPGKKNMLRVNTRSLQSLSDQGQVD GNARSSEWDLTSLLKGASQSPSRYMAFKIPQTTSVTLVDPAKIAVTEQVAARAICDDI ERTASKSRGLSHREQLSMVEETQIISLLDAKKQTGYLEHLVFDIKKLVWA UREG_02884 MNEPEVYMFRRDEPESQRLNHQHALLAKFSHRELLHRSIDRSKI VNVADIATGTGIWLQDLSSLLKNVPGTGPRYYHGFDISPSQFPPDEENIKFTVHDTLK PFPKEHWARYDVVHVRLVFLAIKECDIMTALHNMTQLLKPGGYLHWDEFDGDSFFGTQ NIPGSSVIADYARSVGLTMSVSQVIRDAAEKAGLQDISRESYSSSQPVGLTRRCEAMV S UREG_02885 MGTAVEVTVSKTDVQPPEHDPGIRELRDAIPAHCFESSYTTSFY YVARDLAIVAALALAALHFIPSIPSPVYRFVAWAIYGFTQGLVGTGLWVLAHECGHGA FSPNQRVNDVVGWLLHSALLTPYFSWKSTHRRHHMYANHMERDHHYVPLRRDTYAAKV GTTVENLEHLTEDVPIVTFLRIIVQQIFGWPWYVLFNITSGPESTFPRKRGKWFKNSH IDPTSSLFSPHEFGSIVLSDIGLGLTAFGLWHLGNLVGSRTTIYLYVVPYLWVNHWIV AATYLHHTHPSVPRYEGQSWTFLRGALATVDRDVGWIGRFFFHNIIDYHVIHHIFPRI PFYHAEEATNAIRPLLGPKYIHDKPSSFMLGLWQAFTECQWVESDKTKGTESGALWFK GGPSPAPEYSMRSWRTLPFTNSKVWM UREG_02886 MNLLAVISVVISPGPMYIMRQGRSWEAPQSHQQQSNGAGFHLDH VAGAHGLSMHLAFPRHHGFPLRHSASRRDRRRPCRGRQTLRAKRFGSGTPGSTVRNIF DIAAARPMAHILARRYKCPSSGRMIRVRAEIGVRNPIIGSQDAGDNVEIIDMSSSLDK IPLSSFMRVICCVNGYLVQRASHVDRMFWLRRMYGPCRANK UREG_02887 MSTRRSKRFTPPISSEAPSSDRSRRLKVILSITLGTLALFAVML GFCIFWVRRRRYKLLEKDEQLATEPADEVVVLPSASPRGEYPHLLDSKNVRAISPVEL EATPVRQSFHPGIVAK UREG_02888 MVSDKYVGLALAILSTMAIGTSFVITKKVRPLFSPVSITLLLIV GEVANFAAYAFAPAILVTPLGALSVLIGAVLGSYFLHERLGILGKLGCALSLLGSVII VLHAPPDEEIETVDEILAYAIQPGFLLYCVAVAIFSTVMIYRVAPLHGKKNPMIYISI CSTVGSVSVMAVKAFGIALKLTLAGSNQFTHPSTYAFAIVVVCCILTQMNYFNKALSQ FSTSIVNPLYYVTFTTATLCASFILFHGFNTTDSVNTISLLCGFLIIFAGVYLLNLSR TDPDAHSMINGKSDDEAGVPTDGIASLQTRRSLQNRRSMDHRRSSSSIAYFQGPSDRE GLMRSYDVEAGAFGLTDLTEESDDGERRSTSRKGSEYVERQHVSPKSGEP UREG_02889 MSSFPESSGLRPDAAEARPFSPLDEDVPPPYYSDKYGEMDIHHR GFGTNARVADDGRVDINIKEKGRRLSSMLAPTLHPPEDVLEQRPSIPSSLLGAAEAPI PRMNIVIQIVGSRGDVQPFVALGQLLKTQCGHRVRIATHPVFKSFVEDHNLEFFSIGV DPAQLMAFMVKNPGLMPGFDSLRNGDVGRRRNDIAEIISGCWRSCFEAGDGTGTPIAD LDSLTRCMGDQEPFVADAIIANPPSFAHIHCAEKLGIPLHLMFTVGRYHKPVSGKKLG FRADKCNVGSRSPTLLPKPSDWGPNIDISGFFFLEGASKYVPPTDLSEFLAAGPPPIY IGFGSIVIDSPNQMTQLIFDAIKKTGQRALISRGWGGIGAEKLGMPDDVFMMGSCPHD WLFRRVSCVVHHGGAGTTAAGIVCGKPTIIVPFFGDQPFWGSIVWKAGVGPRPIPHKQ LTADNLAAAIMSALEPEMQMRAKLLGAEVQKEPSLERGVESFHKQLHPETVRCMLSPK RAAVWRVKRTRFRLSAFAVALLINEGILEVRDLKLAPSLENTILNLAHGDPISGGGAA LLGSLVDFTIEFADFPARIIRPLPFRHRQLLSDRKGSSGSPTPSSSSSRSSTPRRSTS SSRKRSDTSSSNLPADWPIDLAADNPISEPLEDEKNGDQSPISHSESKARLGLVSPPD LLKQSLLLNHCREAASQVSRITAEEIIGAGRAASKLVTIGIKSPMNFALNVAKGFHNA PKLYGDDSVREQEKITGFQSGVRAAGKEFGYGIYDGVSGLVTQPLRGRKEGARGVMKG VGKGIGGLILKPGAGIFGLAGYPLSGLHKEVQKIWGTSVECYIVACRTKQGYDELNQA TKEERQETLCRWYSLGEDLESKAARSPFAQEWEALSRILFDSGRRREPHQETRGVTSE PAGIEKIAPDNFREAIRSATHLLSSLVNRYKSEPISQNQPHKNVAKNFAQLDSGEDDE QQQMIERALHASIAELNEAQACGDHQHAGDRALQACVKEAQRAQQEKERSASSKEKTR KYEKPEFCQDDDGGREQPRSEKRKLTKEGLDAVAEYAIWKTLTDQRRPPAKQITPSDH RESGELQ UREG_02890 MADVNRQDDPKARQSTEAELPESPSDGDSALALLEGSIDPIYEA KARLLNKAMQDIGMGRYQWQLFVVIGFGWASDNLWPIVTSLIFTPVKNEFNPSRAPYL TLAQNIGLLVGAVFWGFGCDIYGRRWAFNLTIGITAIFGLVAAGSPNFAAIASFAALW SVGVGGNLPVDSAIFLEFLPGSHQYLLTILSIDWAFAQVVANLIAWPLLGNLTCEENT TCTRSNNMGWRYFMITVGGLAMVMFFLRFACFTIFESPKFLMGRGKDEEAVRVIHEVA RRNRTTSWLTLEHLETLGPLRGAGASRAIHRNLEKFDFSHVHGLFATKRLAFSTSLII VVWAFIGLGFPLYNAFLPYIQATRGADFGDSSTYITYRNSLIIAVLGIPGCLLGGALV ELPKFGRKGTLSISTVLTGVFLFASTTAVTSNSLLGWNCAYNFMSNIMYAVLYAYTPE IFPTKDRGTGNALTAAANRVFGIMAPIIAMFANLETAAPVYVSGALFIAAGILVICLP FESRGKASL UREG_02891 MSYNNTGGLNPLSNDPTQGGQYGNLPTATGGPHSSVTGNKLDPT VDSTTGARTGMAGHTGGPHSSNMGNKLDPRVDSDTGRTTHATGAPPAAPYGGTGATTG YGVGPHSSSLGNKIDPRVDSDTGRTTHATGGNTGHHTSGLANKLDPTSGSNTGYSHTA GHTAHHGTTGSAFGATGGTVGHGTTGPHGSSLGNKLDPRVDSDTGRTTHATGGNHGPH GSGMANKVDPTIDSTTGAHRTTGTTGAAGTTGTTGTTAGTSAGKSGGAGGALKSVAAG IHGMGEKIRGATGAAIDKATNDHEGLRKNENIKRQGEQEMHSGQFSKDTKAREGLHHS AGGNI UREG_02892 MCGIFDTEPVLSRLWKAPYTDPYYDIPVEVSFSEQQTIKGGREG YNYAHRIGVLFEFPHNITKVRSKVGVSFISTEKACEFIKEIPSWKLNDTTSAAKERWN EEVLGRITVPDKSNLTRTTMLYSALYRSHLTPSNRTGENPHWESQEPYYDDYYAIWDT FRCLNSLYLLLEPKRATEIIRSLIDIWRFERFMPDGRSGNSNGRVQGGSNADNVLADA YVKGLRAGINWTDGYLAMKTDAETEPYNNFDVADPSGSTKEGRGALPDWLKYGHITPK FGRAISRTVEYALNDFALSQVAKELAPNDFQKYRNRSAGWQKIWHRDIARLNFSGYLA PTWENGTVAAGFDPLDCGECEWTSHCYEALPIEYSWSIPFDMETLISLMGGPDMAERR LDTMFTPGLKSTGVGSGGANGIGTTLFNPGNEPSFSTPFLYNYFQGKQAKTVQRTREI IDTYYGTHASGLPGNSDGGALDSWLIWNFLGLYPVVTQPIYLLSSPWFSNISVSVGEN ATLTITATNLSDQSYYVQSVKVNGRQWDKSWVGHDDIKDGGIIEFELGTKMAAWDIGE LPPSPGHLVPSL UREG_02893 MALEVDADGTEEDGGHLGSYSKQSSQLVDILRKNSSPRSPYPDS LGVFSLVSLSQFPTDISRSNLSLNTVVSNNPIPQLHDAVGSYWRCKTPLSNLLISEQQ RSSLAVQPLRLQGQETPPVVASRDGCLYNFPSCSSYTVTTPSTLQIRTSDGAAAMVPS SLKRVKAIGNLRNSFTIPPGNAQAKYGSSPRRIPAFTSPIRRTRPPFANEGELVSALD QFNAFYVFNAKPRGTPVIYNSEDVWAFSAIKDTAPSSLNIKHSEGNISDFINEIDENG NEVIYLALINRLHTVKPGDRSLAVASIINVTEILEYITFEEMECEKTMQAKPRSLNLP HCPNNRGLEGLPSSIQPSSSSHSTSSQASDTASSTPGKTTTSLVEGTGVLAENEFQDW ITFKDYVECAENGDDISQLADQIIKELSDSLLNLYGDYFILSTPLTNASIYDMSHISP RLCDSGDYITGHLYHTPQATMDKIKLLLMGDERFSMIVKWGISGRRRRLYCVPLFGQP SRPWLCTLIDPSLPSLWPEESG UREG_02894 MPVAASRLALRQSRLLIRRSAFRQNSTTSEAASKTKDAASSAAS KASEGLSKVTSTAGPAIAGAVSGVGNALKRVGGRTGRMISFVESFELKFILPCMVAPI TRLTSTALIPPTVYYSRVAIEVTKIVFQTQKMAPPNLATFQTYLQPLLASLRNPSALL SKLDPAPYFGRIRNMDRKQLAFVGVTAAEVIGFFSVGEMLGRWKIDRGIKLIASSYAQ MDAFKLLTRSTKFKPDSKTGSLSSSTHLPSAGQASTPQLFPERQFTDADGTRGIKRKR NGDESISLSSDLAVPDFFGSGQPATASSTQPSKAKRNEKRTVDRENDLKQEGNNAPMS EAECKSILKAHKIKVTDLRSFPADEVSEAADGKKSKRKKAKRDQEPPAPLTRKQQKAA LRLYPEPLTDFDQLRAKYLISRRLSENISHQGYTVPTEVQLGSLPLLLGGAPTSSRRI KPDETAKSLSEQEPDLLVVAPTGSGKTLSFMIPLINKIIKHHHANPGLKGILAIVLAP TKELVAQIVNEGRKLTAGTGVKITAMRKGMRVVEGAQNIRSLEEESEDDEDVVSSDGE LHAIGGNKEKAIPLTRSDILVCTPLLLANALSQDEAGDMASLPSVKTLVLDEADVLLD PLFREQTLEVWKACTCPQLRVGLWSATMGSNIEELAKATIKARQQSLGLKDESFLIRL VVGLKDTAIPNISHKLVYAATEQGKLLGLRQLLHPTAASNSTTRLRPPFLVFTQTISR AVALHSELMYDIPPEAGGSSRIAVLHSELSDSRRSDVMAGFRKGEIWILITTDLLARG VDFKGINGVVNYDIPNSSAAYVHRVGRTGRAGRDGGVAVTFYTKEDISYVKNIANVIS ASEKLRGTEEGERIPKWLLDALPSLSKKDKEDLKRHGVKTRRPMATNDGSAAKKSRIS TKSGFERRIENKRKGAIKGSQRRKAKEQSSEVDEGQDVWNGIED UREG_02895 MDDDLPPLHVFDLPQLYTKPAAAEILLALDRLAVQPRTFGDETS IHSRIDPAGVAQYLTGIISSALSWLDSDELRESIWDAASTRLCERSGRTALPSISRVF KIPMTDSTDFPVTLHEPSLTSDNLGMKTWVSSYLLARRLGKFLLPPLELLLSTYNDVR PLQALELGAGTGLVGIAFASLWGSAASVHLTDLPEIVPNLAHNVSLNHELLLKTGSAV TTGVLDWSIQGQAEPENREKYDIILAADPLYSPDHPRWLVQTIQRWLRPGRESRVVLE MPLRDAYLSQVNELKKRMRRIGLMILADGEETGHDDWESKDGSPLEVRCWWSVWTWEA D UREG_02896 MYSQRPLSYAPTPYSYTPNPALSASISLDEEVKLSSTPAERDLY ESLAEIYSIILTLDGLEKAYIKDAVTESEYTDTCARLLKQYKSSLSDENVLKEFVDLD TFKRAWGLECPRATERLRIGLPATVEQPSHNPSQALATGSASGSLILAATENFITFLD ALKLNMVSKDALHPLLSEVIQSVNKVTDEDFENRGKIIQWLITLNQMRATEELAEDQA RELSFDIEQAYQGFKATLS UREG_02897 MAGSAKKATKKFGKKHLRDTIDRRREFTKIKQRHQLQDKKKAKN AARQAAQADENGAGEIAEKENGFAAMDVDDFFAGGFDIPGSKTKETVESQGRFRRRQG NGRGRSITRMIKHLKGGEDEGARDEDSAGDSGFESEAGDLDAHIGELEALKEKDPEFY KYLKENDAELLDFGEHGDLAEVDELSEKSEVESPRKKTKKGKPEEQKLDRNLQISTVH QWQKSMLQSNSLRATRQAVLAFRTAAYGDETDSQDRKFTISDPEVYHQVLVTALEHVP KILNHHIPIKETASGKVRVSLESGKFKALTPLIKSHTSSIHELLTNLSDAAALRLTLS SVTPMLPYLLQFRKLLKVLIKAIVGHWSESSNSEATRISAFLVLRRLMVIGDAGIREA VLKSTYEGIIKGSRNTTVHTLAGINLMKNSAAELWGLDQDIAYTTGFTSIRQLAIHLR SSITNPTKDSYKKVYNWQYVHSLDFWSRVLSAHCDSIVEAKAGKQSALRPLIYPVVQI IVGAMRLIPTAQYFPLRFQMSRSLLRLSLATGTYIPLASVLLEVLQSAEMKSPPKAST MKPLDFSTCIRAPKAYLRTRIYQDGVAEEVSELLSEFFVLWTKNIAFPELSLPTVVML KRWLKEVSSRSLGNKNVKINQTFVLLVQKLEANSRWIEERRSKVTFTPKDRAEVERFL KDVEWESTPLGAFVKTQRAQRTERAKLLERSRREERQRKAEESEEADQAMTDVDSEDE ASSEAA UREG_02898 MSSGKGGPNNGSNAPGPPKCWAQALATAPNPSESEAEAADDGFT VVQHGRNRNGRGRGITGPGGDRGRDQMGGSQFGDTRRNEPSRGRGGPGGRGNSHSRGS GQGSYHQRSDNNPQYNNQGYNRPSNDQGSRGAHRAQRGGRAPAPLYKDIKTTLQHAPS QGIAALENSIIEKNRDKLAAKLGNLKLAENKLSLPMRPGYGNCGKPVGLFSNYFEISG VDKLVLYEFNVQLQENMSLRIKRRLFTLLLREKPLCDESVATNYVDKLICTKKLDPQV IFVNYHEENETHTQNHAVTLQYSRTYRLNDLLADLQSHSELYQKEEKNMVIQALNMTV ARFPNNTRRIQYVGQSRHFFLDVGNQNLALGRGLEARRGFFHSVKTSTGRLLLNLNVS TAAFYRAGNLKDVTEEVVPITKAIGDQVETGRLERFLKKLRINTKHGKRSQVRTIFEI AKLKDESVAMPSQVKFWWAQGQPARHITVSDYFRMQYNVRLAAQQIVVNVGSRDKPCY LPAEYCSILEGQVAHQKLHPEQTSNMIKVACRKPTENALDISSNGLKLMGLDQRNGPK EKFGIQIANELLAVKGIVLDPPQLKYKSNFSPVTTNGAWNFSEFYLKQPGVLPGRNPV GYLIIGNLRSDPSRFLAKLKGTLLDYNINWRDGPLNSKNTIWVPHDKKPNDKDYENAF QEFQKLGTPFVVALLPKYDQQIYSLVKHQGDIKTGIPTVCVVEKPIKDKQTNTSYVGL KDDEGTLRNISLKVNLKLGGINHEITSRQEIRNIMQTTMFIGIDVTHPTGTESQSDAP SIAAVVANTDPTLSQWPASITTQGHRKEMVEDDLYSMVLARLRVWKNQDLLPEQILVY RDGVSESQYQEVLDKELVQIQEAVKQYYKTRRLPKITLLIVGKRHHTRFYPWDRSNAD GNSNVVPGTVVDRYCTMERNFDFFMVSHAGIQGTSRPAHYVVLHDSNNFSANQLQSIT HDLTYVYGRASRAVSIATPAYYADIVCERGRCYLYSVYNNALPGEYSGRSGWIRGVHS NLTNTMFYI UREG_02899 MHYLNASRRLVRGGRRRWPSIAQNPPRRNQSCAATCNSVEPASS PSPYTPPPTSPVSLKSSRKETLKQAKPFSAFLTDTFNRQHDYLRISITERCNLRCLYC MPEEGVPLSPPAHILTTPEIVYLSTLFVSQDPFQFQLMTRRKGLDAVMKSIDRILEMN RRGAGIKLKINCVVMRGLNDREILPFVELGRDQPIEVRFIEYMPFDGNKWSQGKMFPY KEMLSVIREKYPNLKKVTDHKNDTSKTYQVPGFAGRVGFITSMTHNFCGTCNRLRITS DGNLKVCLFGNAEVSLRDMIRKGNDGQPIDAQAFESLGLLESARQSAQAEQMGHAVNE REQQLLDIIGAAVKRKKAKHAGMGELKNMKNRPMILIDERQSIHPSATFGMRPGINMH SNSLRLSKPWANIPTHILPWTSPSVCRTYSTKRPKHSPTSSSGSDQPTKAPISDLPIA SHPVLPHLTREENVHMTSISHKPETNRLATAVCRITFSNATPRRLYEERRRAFRRAYS GHHGGEEDGRYRSVGPPRFGNHGDRSRCSCLSAIGVGR UREG_02900 MGATHKEESHIIADAEAMRVASWDEVMHKGPKANLARRIIDGFK RDPKAFISQPLNDKTGFDAEGAAAATAASPLKRKLKSRHLQMIAIGGSIGTGLFVGSG KSLATGGPASVVIAFCLIGIMLYATIHALGELAVMYPVAGAFAHYSTRFLDPAWGFAM GWNYAILWLTLLPLEIVAAAITVGYWEADVSPAAWVSMFYVLIVVINLFGVLGYGEAE FVFSIIKVIAVIGFIILGIVLNCGGGPNGDYIGGKFWHDPGAFNNGFKGLCSVFVTAA FTFGGGELVGLAAAETANPRKSIPTAVKQVFWRIVLFYIVSMIIVGLLVPYTDPQLLN GSSTDVKASPFVIAIQRAGITGLDSVMNAVIMISVLSVGNAAIYGSSRTLTALAEQHQ APAFLAYIDRKGRPLMSIIVASLLGLLGFLAATPKQQDAFMWMLAISGLSSVFTWASI CLAHIRFRRGWKLQGRTLDELPFRAQGGVFTSWIGFAINIIVLVAQFWTALAPIGYGK MSSSERVKYWFSTYLAAPIVLTFYIYYKLRYRTSIVRAKDMDLDTGRRDLNLQHLIAE EKAEYESWPRWKKVYKAFC UREG_02901 MRVRMCFDDLAWEQSENVSDAWISSLFKEETLMAIGRFILRHRK GTPVELCDPKAGAFNASFRMTFEDGGSALIRFPKPGATMFPEEKSLGPFIIMEYIDHA MSMSEALNTPGFAVEDRPILNPRIDEEKLKMLYGQAADILLQLSTLSLPKIGSLSQID DFTWEVTRRPLSINMNELVRVGSLPRSKLPKPAATFGTASAYFSALADLHLDHLIHQR NDAVDSETDCRRKYTARTLFSQLIAEGRLTTTPTNNGPFKLWCDDLRPSNILVNENMQ ILGVVDWEFTYAAPVEFSHAPPCRNIGRRALREQEDVALGRGTLKHNQRLSVPMKQSW ENGGFWAVYAARKNFAFDTVFWKKLDERYLGPRMSNDEDVWRERIELLSKEDRETMDQ VVQRKLDEMKDRILAWEADEVVEPDASGHYGRDGSLIPAIEKMFWFLALSGRTFNGLL DLSSDENFYKVELPKVDEMNPRSVSSSLHQVEQHDIVVRYGGGMLSNREPLTSLGARV KLAVLT UREG_02902 MSTTKNIKSQNALPVAISEDCQYVAVVNGQHLELHQTSQESSHL RTIPLQNDVQDAVKFVQWSRAGDAPKDRGAQHPRVLCMRTTHISVFDAEDEDWAAEID AGDGSGFVHADFTPSGEDVICFLEFNVQMMIFNLNTSEQRIIKTPKFSGFNGYAFRPQ SAHLAILLKLDGNDVLSVHEPESYRCIATAAVQLVDVQGLKWSPNGTWIACWGNSLAG TAVAIYTADGQYYRAYTGGANEIGFGVKTVEWSPDSGVLALGKQGGTVELINGKTFSL AMVLGDPITVPIGRDIYTETNPAAAEREYALAPESPVFPFTYNVPGGTRAISAISFNP TGTMVATIDNGLPHILWLWSIQERTPCLAGALVQKSNIKQILWSTEHPELLMTTSDDD VGTLHQWICGCIPRIARIPHAAGGKNHASWIQLDKREADSLIWFGWQDGYTMGYLRGA GQAAEFIQIKSLEEEWPQLSASDFPCT UREG_02903 MSDLRQRSNPGAAAPSPKTSESLGKQIKRNLTCLQRGPILLTPS ELSLYNGTSPTLPIYISINHTIYDVSASPYMYGPGGGYSFFAGRDATRAFVTGCFQDD LTSDLTGVEEMFMPIEDDDESEAEKRLSKAEKKLRREREMREARRKVDEHVKHWVDFY EKSDKYFAAGKVVRAHGEEKGGAGKKRELCEAAKKGRPKRSKLREEKEKSE UREG_02904 MPPPVPRIVPARHRSTLEPGQERFVFSDSSVLHGQPPIMKTRAQ LSLEQNLQGIQRGQSSSQPATERAEQAPRDKPRDAKPRLLLMGLRRSGKSSISSVVFH KLPPTETLFLESTTRIQKDSIHTNSALASSSFMDFQIWDFPGQLEFLEPSFDIEEIFG TLGALVWVIDAQDDYSDAVARLNRTILTVQQYYPHINIEVFIHKVDGLSEEFRSDIFQ DIVQRITDELSDAGYENAPVHFYLTSIYDYSVFEAFSKVIQKLIPYLSTLENLINIVA NNCGMEKAYLFDVLSKIYIASDSRPVDMACYEMCSDYIDVIVDISELYSWEHPDRKPL GPQVPEAESHVILHDGSMIHLMEMNKYLCLVSVIKKKDAKEVLGLINMNCSMFQEALN EVFARSWERDQ UREG_02905 MVQLFGSQQKDGFGLLFHEARAEMTRRSVGDGVILTPTAGDLLR SSSRRLKAGMSLIPCIKSFVVSLSIPEFIHLHTSPSYLGRESVADLPFYPFITLDQNL KSIGLDLSPLLGKHLNPPSDPVSDGSSHKFQGSLSLLVTAQIIHCMRLTSPGISALST PYLPIDPFGSTPKAKKEDPTGGKRNLAVNDSRYELVTQIWR UREG_02906 MLQLQQYKDVLNNHHVLGRSTAQLCKKPLRSRFDMAASNGCLPI IAASFAIFRTYQGPLQPEPRSDMGRSTTDGYITSTQCLETFCLLHTYPLVAIDARKDV GSKWMPPGPLASTAAWARSIIRLLLDLRRPQRQRRGGQPMLPREYRRSRRGLLFLLPH PGLLLPAECDGRVQISSIESFLRLPERGSESGQQNHPKSIFVFWYAPRNNGDNIVPEI ERWGGNGKLGLGSIQMVKEGCHDCHQPQMTTLLPREAYSKEELEKLYPRGLELQLVQV FLRHGERTPVSARFQNLRPARTRHNGTSFNGVESLKNLGIMTRHYFLWGLMPVVMVSG KLTSCINAHGDDCTDTSLSSQLGELTDKGRQTTLALGRRLRNLYIDQLGYMPPIISNA DHMYLRSTPIPRALESLQQTFWGMYPASARTSNFVAPTIVQRNNSEQMEYLNSLWSKW MPESSSRVAVNSRPRLSGIFDTINSTMAHGPLTRLPSEFYDLQGHEIADKIAMDEWFA GYRESREYRKLGIGALMGDIVDRMVATSVSGGWWPTTESKRENGSPVKFAISGCHDTT IAAILTSLGAFDDGKWPPYTANITIELFKDVDGPGRRRKSRAGDILEELSNPVGENNG NNSSKDHTRLLWREPPLLNYLPLANITSASDITIGL UREG_02907 MKTFIQFITTPTVDTPGTALLLHFDDKRYMFGNLAEGTQRACVE RGFKLSRVTDIFFTGKTTWSTHGGVMGMLLTLADALANTSSSLLESVDAKIAELETLR DTATDSRARTNAETNLLRRREERSRLEAIAEQRSTLSLHGGPNLTHTIATGRRFICRQ GMPICIQEFNGDLDGDTSNASPETLGKPTSSDDHIKVWALPIMPSTRPRINRRKRSHD EFEESVSLSDPPSLSEQRQKDQIFRQAVVSQMFNSDWRMDTLVEMPLAEVSLPATLFI RNPDTQQIQPYTGPKPGDSEPLPDIRVLVRKPWPGALVESLPATSPSECSMSYIIRNH DVRGKFDAKKAAALGVQRGPDYRKLTENQSVLSKDGQTITPDMVLGESRIGKGVAIIE LPTVDYIENLLSRPEWQSPEVTKGLVVFIWILGKGVGSDQKLQEFISTMPQAKHIFSS PDYSHNELTFRAVARSTIEFSEIDSTRYSPPNCSDCHGLKPLNSDIRIAEPGAVVDLE PQFDIHSSQTEREIDIKQIKESVSGLARGHVDAVRQKFNDPSFQEKVEAFKQKIPDSD AEIITLGTGSSLPSMYRNVSATLLRVPGSGSYLFDCGEGTLGQLQRVFSPEELKEVLR ELKVIWISHLHADHHLGTVSIIKAWYEEVFGALPQRQADFETDLSRFLSERRLCVVSD IHMLDWLAEYSHVENYGYDKIVPLAATSYVHPNRHISSILTLHRRDKSGLVIESTGEN RGEWFNFDFPNHPSTTLFRSATGLKSIFTVPVSHCQGAKAVSFTFPSGLKVSYSGDCR PSEAFTRIGRDSTVLLHEATFEDDMISDALSKRHSTLSEALMVGKEMRAKMVVLTHFS QRYREMPNIEKAKKTGFVPSFNQRRSNPPTAVRDIPATGDEQQSAETPEAPDTTDDLA SKGDACLQKSLGDDVPVILAFDYMRLRLGDALHAEAYMHAMKEHLSTSGVEF UREG_02908 MPLDIDQNAGGARQPRYLQLLTLLAFHTFIREEVDAAIFEVHHG GEYDATNVIRKPVVTGITSLGMDHVGQLGPTLETIAWHKAGIFKPGAPAFSVTQEPGP TGVMSKRALDKGTNLTFVSTKDCFPTGGGAVSVPVQRLNASLALELTEAFLRIKAPGH TMSDEDIHRGVENFSLIGRFEIIDERNLQWFVDGAHNVLSLEQTAEWFARNTSAPNTH KTGHTLIFSQLSPERDGVTLVRSLAHALLRNNVKPENVIFTTYQEKEDKSIVPNVQAP ATPFHDLCTLYSSVWKELDPQATVTSEPTIERAVNLARQIGGGREDGMQVLVTGSLHM VGGALRFLRP UREG_02909 MAKDYDFGITIIHDPNASATAGKSQAHEIAFDLVAIHGLNGDPF RTWTHPETAVMWLRDLLPEAIPNIRIMTFGYNPRFKNFTDKSGDLCLATAKALVMGCT EARERVQESVCGILFLGKMFRSSFTPGVSDQHSGTPHKASSPAAMGKVLANIVFAFSP IRAPLALIRTFKEESELLLEITEDFLQRRERIHLVSFYELESTSIGPFLRKLIVEQRS AIFNVPLETTVPQFADHKNIARFSSSEDRSFLPVLSQLKEFARVVCSDRASRTRSFTA TDQNKGRSLGYSVRHADSQTEPSIPFDVDIQPCSSLRGREDIFDMLSRYFRTDQTQAP TRRTFGICGLGGVGKTQIALHYALQNLSKYKSGVAFINATSPASLSAHFDRLNDLLRL GDSRDKIGAVRSWLSRPENSSWLLVFDNANDLNTAPLHKYFPAVNWGHIIITSRDQAV IGSIADDGHVLNHLGENDAVQLLLQKSGIQHPTEDALEDAKKVAGLLGYLPLALVQAG AFVRSRHRSLGEYRKLYMTRRDDLLNFAPRLGTGKAVLATWETNFKQVELESSGAACL LYLFSFLQPFSIPEVLLQRGSCPQKRWSEDGEITEISAENEGVETELVKVIQDVFEFD TAVEKLLSFSLISCKRESGGLRSFSIHPLVQHCAARRLSPSDVRKWRWQALLLVCHAF PRSRYLDPLNGEVGRTLLPHLSRVISEYDAMCLEHGDQVSFRFELASTLLAASRFSNA KWKFEAIDRTKKLLEGNYDPFLNAWLAYRESSVRRMSGDQEESENVLHRFPRDTAAPK GEELEPSRRYNAQRGELIISFAENLIREGKFAEAKTQLLQWEPLGIPPSSLEKITSRA RDITLGKILRFRGLFTEAHTLLDRILQGCLLEDYFEGTGWYRVLLSEVADLLCELNQP DEAEKLLLHELNPMRERGTQNIATGRRLQLSLAETYLQRNMFAEAEELLLELQRAFSL SGEPGYNVKFNNFRVWSSLARNSHKQLEWERALPRWREALSALGALGLDGSFNAGIVR CSIAHALLMTACETESASMLQEAKTNMATDDRVYWIPMFNSNWHEYIIKTIEEHEEKE QDV UREG_02910 MAVAGELSLMSAISPTPNFNGCYDASEMDAYINFEPVYPSPSLS PSAEAKSVHPTPSSQPFQPSNTTPSPVSNSNTFGSSIQPSQQVSFSAPSHQYGSYQQQ TGLPMGGLANTMPLNPGPSMRVGFNDLAFGQPDSFGLINRPADVLSMNTSPMLAFPHD STDLDLESDPAGLSQGTMLSSQSSKTQFVDPNAVGGQELSPVAPPAQVGRVYPGIHQQ QAARARAAQQQRQQEMIRRQQQHQLAQGQPQPSVPQGHSRQSSRSQQGKVSRPVDPLV EERISRLLQQMRQNSVVVGDEQSGAGNSLPQPTKHKKDEQDMDEDERLLASEEGKKLS SKERRQLRNKVSARAFRSRRKEYIGQLEGEVTVKTNEANELRIQNQALMQENARLGDL TRMLLSSPHFASFLNDISVNGLPPLLQKAPLTNQTHMPADMSSDAKAPQLSQEVEVQN TQTVVPSIAEEPFDFASMDSGWNSGIDINFTNPTVLAVLDVPEGPFVDPAVLSGKNSI SVGLPSADEAKSELPALPLSIFDSYSMEEANEPHCPAIEVDVDENDPSFALYLDQPNS ESKNEPFGHPFRGPEIGKASARYDLVVATHPTDQHHSDHHALRQFKRLQASVEGAYQR ISRVTSHLD UREG_02911 MAHNATGAKKLTGREFYRSLGSPKTIIAPMVDRSDGSSNPLLAY SPMFHARLFKDRAAYRSQHFEAVRPSPVAGETAEPVPFLDGNPAIDRPLIVQFCANDP DELLAAARLVQPYCDAVDLNLGCPQGIAKKGHYGAFLQEDPELIYKLIHTLHTGLSIP VTAKFRILETREKTLDYAKMILSAGASFISVHGRRREQKGHNTGVADWSYIRYLRDNL PPETVIFANGNILNHDDIQKCLEATGADAVMSAEGNLSDPTIFSPPPPVGKEGCEYWR GRDGTGGYRLDFILRRYMDIIYKYVLETAPPEREPLYDPSKPASEEEAPKDSETSAPT PEDEGPPKKKQKKGREQKVVTPNLTAMQGHMFQLLRPLVSKQTHVRDALAKSRAGDIA AFENVVSLVDQAVKQGIQEYNANPGLYDGNPEDGRELTGSQATIAQYKRPWWVCQPYI RPLPEEAMQKGALQLKKKDRLEADSSNNGQTRSNGATPGSSIPCGNGVEVEKEIATPK DQLVSG UREG_02912 MAYYDLRSQVLSWQPPSDARDDFITGGRIYQQAILCYLDASFAS PPLTAQTDLPDFICERFETLKHLLDELPVDAPISHTLCWPLALFGSLARKAEQREFII HRLQAMWEILHLGNIRTTMRFLERLWDDHHASLSNNVAACGSVDGTSSALVRATKRKI NSKDIYDNSDMEALMKRYELMFSFA UREG_02913 MPPLSKRNPLPFKPPRSVAVSDEIQPVPRANNARKKTNSSSRKR SKTQMSPEPHTVSPSRMSEPPQAPDNRSPSPVEQDLDPVRRSSIDSLSSDPEYILAEI IAPKEEEKESLETSEPDFPPKLLAAIIHRHMKRKGEKMRITKDANRLYAKYIDIFVKE AIARAIYERKDKLQTDGIQRDRTRTMIDSYLEKRELARSQVTRCPLSTELKKTPSLNI IVALCPAARINAEQDKHLGYIYGL UREG_02914 MGHLTTVATCSLNQWALDWEGNAARIVESIKRAKQAGAKLRVGP ELEISGYDCLDHFLENDVYLHSWEMMARILADEECHGILLDVGMPIMHRNLRFNCRVI AIDGKILLIRPKVWLANDGNYREMRYFTPWERPRHVEEYYLPRIIQRLQGSTKVPFGD AVISTPDTCLGAETCEELFTPAGPHAHMGLNGVEIFTNSSGSHHSLRKLDQRISLILE ATRKSGGIYLYSNLQGGGGERLYYDGCSMIVVNGEIVAQGSQFSLNDVEVVTATVDLE QVRAFRFAPSRGLQAVRAPEYRRIETPFSLSAESDQLDPHLSPSPPLDMRYHLPEEEI ALGPACWLWDYLRRSQLAGFLLPLSGGIDSCATAIIVFSMCRLVIEAIENGNDQVIAD VKRIAGVYEKEGWLPKTPQELSHNIFHTVYMGMASQSSKETRSRAKELSNAIGAYHVD LNIDDIFNAQKDTFTKATGFEPKFKVYGGTQAENLALQNIQARTRMVTAYEFSQLLPT VRKRPGGGGLLVLGSANCDEALRGYFTRYDCSSADINPIGSISKTDLKRFIAWAQRDF DLPILEDFLNATPTAELEPITKDYVQADEVDMGMTYDELSTFGVCRKVLKLGPYGMFE KLLHDWKGLKPRDVGTKVKRFFHYYAVNRFKMTTLTPSYHAESYSPDDNRFDLRPFLL PPQYSSYPFKKIDQLVERIEAREGTGKSSTQ UREG_02915 MEQTRSNDSSMAPPKEIPVLLSPSSYKHRQAKEAQAKQSKLVPK QQESPAIPASTRNDELSRELHRGAPTDDTRLKHSLISSPGQRELARQRNQYFNEAFGL REPYHTSRHRVNQDSIVVVEIKTNLQAEDGVRIVSDMTFSFAQIFQRPESSMMIVLDD RAYLGFGASAEPAYLMTVSALPHMVKPTMNMRHTALIQSAMEEILGISKDRGVVKFIS MNEESFATNGSTIKDEIEQMERTSHEENGLMKTISRSMTRRAKPNTTKNTTPTAQPNH SRRTPVQRTSTEDESPKAGVLIGPSSGDSGRKVKKYKSLAKLFSH UREG_02916 MSQILEREGDLFDAPENAALIRAYRIYRSHCQKYLLEQKKGGEK PSDATRQDSKIQGTALLIPPQPEDYGPFEAAVEPPGKRQRVSRGGQPPRSRPQPAGKK HWIVCLFTSWHFSAKLKSAPDEIIENTRLALGDLKRQLDEMQCLKTGEDEAASVDVRK PLVLWSCRINAGLFGVPWQRTRLVLERSGLEVTVVRPTGESL UREG_02917 MTLRNILLSALLLVAGTQATTDDRLYPLTSRTDDALAKRQANPD SMVNVHVVRVGDMEGGLKFYPDNLKVPQGDMVQFQFYPRNHSIVQSTFDRPCEPISRS QPDIAGIRSGFMPLEDGTRQMPVFTIMVNDTKPMWFYCGQGKHCQNGMVMAINAVDGS NKTVEAYRALAANAGNSSTTNPTNTIPNSGRPTTTGSAAEQNAAPRNVMHAAGFGGML LAVAAVVAF UREG_02918 MLNTPPERLGFRHAANTIPDTDDDGNIQYPEARLEIENGLHQLE TLLEATVDKAFDKFEIYVLRNILMVPDDLVQWMRLSHHENISFDHPPENAPTPESITA QRKKLRETRKLNHLLQQESARNEALISQLRSMLAPTESRAQGQIPADESNSNPLYPQL PNLSFLFTDPAAKRLNVGDDGGPSHTPVTTNTTFLLSQLPALQAVLAQLRPKLTKLSA LLDQAGEYDTKREDRRRYIDSRTNLHLERIGELGGRAAQVRGRKVDEAELQALESVVG MLAK UREG_02919 MSSITSLRGAHAPSTASDEDESTYIKRTRTLHWVRIGLCAVTLA LATAIIATEGHALQYYNQMSKYEKMYLALWPENLELRPSVALVIGGVILALQSLAYSI VALLPSPRSRILLLNSFATAISIIGFVAALIAVVFTLIYTNPHSENGSYRGETINSWT CTWGFGGRDADGVDIDAVADFPQLFRVVSFSGKGLVSRG UREG_02920 MAPALPADAAPPETNLQTLDDDEQYNSEEDSDFDVNAPAEINDG DESASEAEVDNPPRKRRKLTPSPDGEHDGDEYVLDGALDSGDEATIRKAKEKKERRQR KGKKAADNEIEDDFEAGSEDDEGGGGGGFVRTRAMKMKMQEERKPLAKIDGATVDVDA VWAQMNAPDFGAQATNSKNEEQPTAADADKVMLEGDKRPSPRPGEETITIKRTYKFAG DIITEEKVVPKDSAEAKVYLASIEEAKPKESPMDDDQESEQAPTTDRRPLQRPLRRFS RFDPNPPDMYKRSWVKTAQTSATAGDKQALPGVTPTVTGPKLNTVMKSKLDWAAYVDK AGIKDELDVHSRAKEGYMGRMEFLGRVEANREEERRVARQKG UREG_02921 MATAVSAKSAAHAGKLKRPTPSFVQSGPNGMRPSQPTASAVAST SKPASTNQATSSSSMNSAAVNGVSGRPMPRVRKEPLKGSDPSSRLRISTRARSIDASN GDRRSAKRLPEPYVKTTAYLLKKYAKQPPSFTIHLHPTHFRFEKQDGSFPYNSEMKVI IEHIKAGTVPHDMMEELLRGGVRFYEGCLIVRVVDHKSLSNQPSSSRSSSKEKGSLFS IHNHNEHITPSPYTPYPKQTQVQPKANSTGPPDQQLQPSGLHKEKRNDNGSSSGAPKP QVFTTVLYPTPQSLQAELTILSTTPDPRAVAQRQPQAYNVSRPPASATLPSPSTPLTA VQPSLADRGPPTKRQKMMVEPHELSEFEAKLIKATAPPLYLEPVDSFEASQKLLKSLE SPLHRHKPPSPTTRRRTVAELAADEALAAEEERFMLIMDERLEPASSAAAGGNKSAVD EECGAAPFEPRFSRFKTLENIRAQYEEKAKRDHDRKLQQDRAKREQQDADRERRRSEF RQIEEQAREERRKHLAAQQSPQTQAQLVANQQSRRPPSRGNAVGIAGTQAPQSAHLAA VSQGPQSSPIVRNTTPHASSPLAGHVMVPTMSQGISMGMGSSAEAARSPIVATTSMQA GHPNAMSHPMVASRSQQGQNAHETPQMAHGTPAMSHATPIMRNITPTQRMGHGSPITT TMTQTPVMGQTMMAPQMNRMVMTPQQQHAMMQQRQAILAQQQGLNPGHQFNPQQVVQM HANAVAQQNIQQAQQQQILQQQQQHHPQQQQQPRGPQQQQMHPQAFQAQLLRSQLAHM QAAHQGHQQQQTQQQHHSNIPQLSAQQQQMLAAAQQAAAQQGAQQPNGVNNPRKHPLA QHYGNLYQSHLQRLRAEMASKYSSTVGPPHTYPAELHAHFHEGLEKEARQFVSEVMKK DRENGRQRAIIAAQVAQNQAQAQAHAQAQAQAQVHAQAQAMQQQQSHNLMGNGAGRH UREG_02922 MSDRYGRRRRPTGFSSSGRRTALGYWIPLAVTVSVAAVGLVAWA WSERNEDDEDEYNGSPERRTPIGLDPGAEDSGYARGTATGAEHRPLEEDNSVLSRLQG AWRRSPSPQQLLDGASKKVAAGVAAAGAAVGGALSAIREEGSGDFEDHSRWAEETVPP GTSEPPARSVTGGIPPVTPAATEPMAKRKKTVAIVVSSVSSGEPDDDSVSGHASILAH LPEYIEPDTARVFVLIYAPGMEPRTAADGNSSRPTLSMASSYSNISPEEVIAADSKED LKDIEPKPTTDEFPLASPFFKTLYNQAQVLVDKESMIMPFSTPTGHVHIIRHLSPDLV YIQESLTGDKGETVHNLTGWVRQVVVVVGDEGGRGGLVDSDDESSLATKGEKWWQKEG VIGLGKRIDVVDGLRAGDHWKRRVCGHE UREG_02923 MPHSGPPLGFQALILCGPGVSLNTFTSIPEEFPKALVPIANRPM VWYPLDWCYRMGITNITLITPQSSKAALEASLSQNPHLTSLPSPSATILAPESLTLTT GTAELLRLPEIQACIKSDFILLPCDLVCEVPGVTFLEAWMTAHGALDASWDGDWLESR TSSLVGVGGERCGRRGGISVWYPTAPNGAKTKTEVADFVALTPLDKDEAPAVRAGSNG SSSLAKLVYTMPMDSLKDAMEEHQALLLRHSLLKRHGKIKMLTTYRDAHIYILPYWVK EMALMNEKFESISEDLVGWWAKAEWQSGLGEKLGLREIFDPDRDHGNKFGNRRDQVEE DIDLMAMSTTKSTRWLDINPNGTMSRSKPGPLARSDTLQEIEVLPSPDKLIVPPVLAY IHSSKPSEPILRRVDNSALLLSTSLRLAKLEAISDAGESCSSLAHQNKIAHPAGIAQR CTVTKADCLIAENVTVEEKAVVKESVVGANCHIASGARLTRCLLMDGVIVGERSQLTD CIIGRRSKIGLPDDTDAKNEQFMIFEGLDDEMAGLSGGEEGDGLDMSDKFKA UREG_02924 MSGLKGMVKDGWHPKGKEGGRESWRGDFKGINQVAGWVGKGKSS DASQRSEHVPRPLSTLKDPSSFAPPPRRANTAGAASYTPPVSSRQHQVEESAPAQPGP PPVPYRADTTGLSTRHFPTPPTRQGDSSHQGHSSTAKTMPSLPPRLPPRRSSPNLSPQ TSPPPYEAVAAPKNAESYLNQGAMNRLANAGVSVPAFGIQRQGSSNSYLPNTGRTAAS TDNTTNELQARFSRLNPSPSSAISSIPSNTPSPIQGVTSQQLQTAATAASHVASQPAV QQHISHQTSANEYHPGLPARANSFRERHDDQIQAVKGKLNGFNQKYGITKRINDFIED QKSPAHPDPPAPAPPPGHVAVQAPSPHHPYSSPNTSRPDLDALNKRKPPPPPPPPKKP SLHSRPVNHSPSPPPLPLNTKPR UREG_02925 MPSHPSENIPLALSTNWFLTQPPTFPYPELRAHAPISSATYTWE CTECFDTHLSSPASRPSQDWTLIGAVQWEIDMSISKLRIRWNTADIPGTLRADIKHIP SIAATSHSPLTPDQLRLASQWYAPHILSFARSHLYTTVADGECWSLASAALHHARHAA VRDGHEPPRPSTGRVHGHLILDWSVSSHFPPDGILKAADVRAGDIMELSDAHFRHRRA LLGGLVTGEENVRVGEHTAIVEWVRGVEMGVLEQNARVERVVMEGKYDLGEMMKGRVM VYRPVGGASTGVLSLEGVCEQW UREG_02926 MAALVQTIPQQASTITLLQPRPASASGATQLQSQQHHPQYQMAR NTQHHRQSYSGVGPITGYRHPPSSTQPVAPYAFTSTPSLANSGNQSPQLRPNSLSQLK ADSWSHSSQTDLTPAYPGAVPPRAHYHHAAGSVSTNSSASVTSSAHSFRSKDDSALPS RQVKRERAPRPLSTVDLSLPPISLLTPPAPSSPSAKPSPDRYRRGQRRTEQLANSNAP SASVTVGTVPLSPSATSDAALGEKYPALSLSRPENPELKPSFSQHNRNASADNVPTTE KATPELAKRYRLSIGVARANRFPLKTQGSLNVKVLETPSRSSSLANKQPVTSSQSSKS ATTTPRPVEVSQRGTSSPLSKPVVMEADNAKARTEGISRDHPSDQAKFQSPAAKRLSE ISKKGGKAGKSRLRRALSFSSVAELRSASSPDNPEMTRKQQLDEELGAEQAAIAQKQE AGGLGENIYSGQRHFFTGSTDNISVSSTASSASIMLRKMGKGVKRSTRSLVGLFRPKS LHNVSLESEPMVPQVSRVTVEAQLESATSAIPSLPREPPAIERNSVDTANSKRSADRD ENIRSRKSILGGDKERAEILAAVKKGILKKTMPGGCSPVMRPSDSHFSESPRSSAPTT PRDDRPPGSGHRRTDSVMIDGDEYFLPGGRFSASRANSAPATPQNASRNISFSPRIQF HDTWPSGEYDRRGDIATCNRLTPLLAQQIKEELNTFKMVRLFGFISG UREG_02927 MRSWLSSQRPDMPSVHFGLPRRSLLKFPPGSQPHTIPAPPAERS WLQPFTIPPKLYNDALKVQVPITIAAVYAATVILINRVNKKRGYKPWAISKTKAFHLF VVLHNVFLAIYSAWTFVGMLNAFRESMPARSEMHGNGLVNVVDALCKVNGPRGLGNAA VYDTNADSWTILNPEYKLGPGNTPDPTDVGRLWNKGLAFFGWIFYLSKFYEVLDTAII LAKGKKSSTLQTYHHAGAMMCMWAGIRYMASPIWIFALVNSAIHAMMSQYTYYTLTAL KFPIPVRIKKSLTTTQILQFILGTSLAASHLFIYYSIPFPVPHTVTLPPLASVVPSVA AVANATQSGMGSWLKKLALRAAGHEGVAANVVNANGTLFGSDGMRAAEAALGKQEVRY TLEPQTFSCMDTSGQAFAVWLNVLYLLPLTWLFARFFVRSYLRRTDPKAKRSMSHSHA AEKAGLDALKGVTPSSCGTTVQRHHQTPGRRKDGDGQLSGNTHSNRRVGVRGEC UREG_02928 MAVGVTDKTPEVRHEIPKTCKAGVVYNEGPDFVLKVEDVPVPEP GPDEVLIKLNITGLCYSDIHFMLGDLGGPTMGGNNVRSPGHEGAGVVVQVGSNVKNWK VGDRAGVKPMWNTCGSCELCWGDKETYCPKSISTGLQVAGTYQQYITSPASYTTPIPD GVPDEIAAPIMCSASTVLRSLEESKLRPGEWAVFPGGGGGVGIQGVQLAKAMGIRAIA IDTGDAKRELCLKMGAEHFVDFKESKNVANEIVELCDGIGAHAVFVTAPQAYRDAISF LGGRVGAKVMCIGLPSASEYVFGAHPAQFVFKNMSVVGTLVGSMKDTARALDFAKRGL LKPIYEKWPIERMPEAVEKLRRGQVAGRYVVDFNA UREG_02929 MEQHLSGADSPRKRLKISDHQAVVAPGAPATADLQAAPTADAQA LKEAEVGITGFVCPDLPGFSGILKKRYTDFLVNEILPSGQVLHLQDLKVPASLRPDSR ELSAQKASEPPNETPAQPTTETVSEPRQQNDGTSDAVVEAAETTAAADNGQLESQISE QDQKLLEQYLGADVTAKVVKLYGRALAKPHLKAGDLGRVKSEPVPEKDTRTIVHQALR RIFGGRIESSTESDNSLLLSPVPIKRLKSQMKGGVADNRRGKLGWSEVGGEYLHFTVY KENKDTMEVMNFLARQLKLGIKGLKFAGTKDRRGVTVQRASAFRLDAGRLANMNRTLR NAAVGDFKYEKQGLELGDLGGNECYHSTSGEHANAFYIAPQRVWMRRKAAARRRGHLM GPDDILRAEAIHVFQSTGEVGPALAKMPRKFTAESAIIKHLGRKKNDYLGALQTIPRN LRLIYVHAYQALVWNHAAGERWRLYGDRVVEGDLVLIHEFKETDDSRAAMAEEVDADG EAIVLPNTNDSAVSADSVFERARPLTSEEAGSGKYTIFDVVLPLPGYDIIYPPNQLTD FYKTFMGSERGGKLDPFDMRRQWKDISLSGGYRKILSRPGADYSFEVKQYTDDNEQFI KTDLERIREKASDAQGESNNQQQSVQDEQMDAAKEKKLAVVIKFQLGASQYATMALRE LMKTGGAKEYRPEYAGGRR UREG_02930 MLQLSETVQRLASSLTRDMISPPLSPECGFDPNRITPAVLAATE FVANKLLAMSVHVRFIVTRFTPLPIGQGSNLLIIPIAPLDPGTWAAINKHSRRAAKKF GLSSRWMTPIDVGETRTTHWEDIIKRSLVQNDVLFSHEGLTLLNIDHVYLLKQYLNAL SRNVTEHIPPHIYLDACLYLLRQLMRETRGRPFTRGFIHCTYDHLHVRDDLLLHLAKK YELQHGHEAIVMLKQKSKGNERTRFFINRRGSLMRQPALSRKPVTPNTASDVTPITRG EWRLLMEQSLVHLGMTPFR UREG_02931 MSATRSAAARPQRALDSKSRRRRGQDSQRRQVRLHTQGPPPGYS FVPKGNVYITRNSRLHTHRSNQVVYTVQHSKTNRTLGICVPSDVHTRVLGLAAETAEA RELAVAQKDTRDARHASDMLAREFPHMPALDMRAIVNHAFLKGSGRVGRSGTVSSEEK KAELAVEAHIRHVHTGYEGLLETGMQREDARELVWDQVKKVKRAWKEGVP UREG_02932 MDATLLSRDADTTPLAVVGFATRFAQEATSADRFWELLLRARQA ATPFPKERFNANAFYHPDPEHGGTFYVKGAHFLSEDPLGFDAPFFNVNKTEIMTLDPQ QRVAMENVYHALENAGIPMEKAIGSKTSVYASGFNRDHMILMDADLETAMKHKPTGGQ QSIISNRVSWFYDFGGPSITIDTACSSGIVAVHLAAQSLRSGDAEMAVVTGGSIISHV PDIIAMSHSGFLGPEGKCFSFDHRAEGYGRGEGVGTIVIKTLAKALEDGDTIRAVIRS TGVNQDGRTPGITLPSSTAQTNLIREVYKKAALDPNLTMFVEAHGTGTAAGDPIEARG IADGFTSAERDTTLYIGALKSNIGHLEGGAGIAGIIKSVMILESGIIPPNANFEKVNP KIPTKEWNIDFPTECIPWPRPGLRRASVNSFGFGGTNAHCVLDDAYHFLEENGLSGNH NTRQSAPTGQYICEHVSRLKRLYIETKEDIPNGTEKLTNGDATNGDYEIIEAPTPDEP LTNGTTTRGEVPRVFLLSAADKEGVGRVATELNKYLSRQDLPLQDSEGLLDDLAYTLS EKRSRLRWKTCLLANSISELRDHLASENSLSKRINARNVPRLGFVFTGQGAQYHRMGQ QLLVYPVFRKSIEEATEYMTSLGSPWLLMEELLKDKCESRINVPELSHPACASIQIAL VELLASWSIVPSRVVGHSSGEIAAAYCAGKLSREAAWKAAYYRGYVSAKQTDPKGSMI AVGLEQEKLQSYLEKVKVDYEGELIIACYNSPKNNTVSGDEAMVDALKTLLDSEGIFA RKLNVQNAYHSAHMKAVADEYCELMGTLSGEHPDCTNNIHIFSTVTGKQVMDSSLEAA YWVENMVSPVRFTTGLRAMLFQSDEDSIEHKALVDVIIEIGPHGAMQSAVKETVASSG SESHVSYYSVLNRNEPDVSTLLNTVGALACKTSPVNLQEVNCASGQKRHPRLLVNLPP YAFEHGEKSYYESRLAKNVRQREFPRHQLLGAPVQDWTRFNRKWRQYFRLSENPWLKD HVVTDNVIFPGAGYLVMALEAVKQTAGEAVHVTGIRFKDVSIKSALVIPDTNAGVEVS LSVLPVNESNQWTSTVWKFFQLSSYIPSFNDWVEHCSGYVALEYQASAPDLVGNGQEG LAAKQAWQDALDQAMETCQAPMDAGKLYENLETIGMKYGPLFRNLSNVAVSGQGKGTV LGEMKVPDLRSVMPKGYVHPHVIHPTTLDNTLVAGLIAVCDNIGQAVLKRPVVPTFIK EAWVSTGISSQEGARFRCYGQVSVAAYESYDYSSKCWDLIGYGLEWKPAVNVLETPEF LDSAPVIAKTPREKQLDAAVNLQLATILLITDGLADLKENPPAQPLDGHLKIYFDWME RVVAELTSGSLLHVPLELFEKYSENKDLKEELYRSLMTDYDTDGQILIRLGSQIASVV RQEVDPLYLMFGQDDLLARHYEEVILLNDGLETVQKYISMISDNFNGLEVLEIGSGTG SFTKLMLNLLCPRSGAEDDAGNPGKIARYTFTDISPSFFSKAKDRLEPWKDLLNFQKL DIGSDPLAQGFDAAKYDIIVANNVLHATPDLKKTLEHARLLLKPGGKFLIQEGVRPDI HWVSLVFGQLPGWWLSTDPVRKWCPYITVPEWDGFLRDAGFSGLDINIPSSHYLDLAN VSTMVTTAENTSKGIPNANSELIILCHVSERETELISSIKTEIASHFGISNCLVLQPC DLENKDVTNAFCISLLELQDPVLFSLSEDEFTTFQRFLSTCKRLLWVTGDSRSEPAFN MVNGLLRTVRWERDAETVDFTTLAVADFGSVPSNALAHSISKVFRYQFLTGQTEHANA EYYVQNNMIHTNRIVDYAAATNFLARQFTAPAPEPITWKDVGRPVKLENAAPGLLNKL QWVTDTSSSQPLGEAEVEIDIRAVGLNFVDLLTVMGELPWDVVGREAAGIITRVGPAV SWLQPGDRVVYIVDSPKKGTFQTYGRVNQDVVARIPNNMSFEVAAGLPIVYATVIYGL ENVGRLAEGERILIHSAAGGVGQAAIQYAKAVGAEIFATVSTVEKKEFIMKEYNIAED HIFSSRDFSFAKGIMRLTGNVGVDVVLNSLSREALRRSWECVAPFGRFIEIGKKDLVA GGKIDMSPFVHNIMFAGVDLLALAEHKPKVVQQVLQRTMDLWGERKITGARPNTVLSY AQVEDGLRMLQSGKHTGKIVFTPNAEDVVPVVPEIPPPFKFDPNASYVLAGGLGGIGR SLAQWMVSRGAKHLVFLSRSGNITKPVEEMITALEGKECQVKIFKCDVSDIERMRVVV EECQQSLPPIRGCIQGSMALRDAAFENMSYDNWTTTTRPKVQGSLNLYECLPKDLDFF LMLSSVGGIMGGRSQANYAAGNTYQDALARSLNAKGMRAASLDLGSVLTVGFVAENKD YTRHVSRTIGSMREDEVHAMVEYLIDPRYPLTESTCQVIFGLGTIRSFQERGMPPPEC FSYPTFTILRNTITSGDQGSGDTQIYHVQALLATAKSREEAAEVVTSGIHRKLSVLLN VAADQIDSSRSIRANGVDSLIAMEFRTWLSKELGAELPLIEIMAEGSISDLSKKVAAL SKFVQNNFREGNKET UREG_02933 MNWDHPIHSFTDPNVEPQTPTRTPTISSLDDTAFQTPKFESSFY DPRVTWDTADPYASSPELTRSAHRFDQTPGQRVQSPGDGTISRHRPVDIGRADSNFTT HSAGFADSVGSAKRSAASMQTPPPTSTVRRKAQDRNSTNLDLSTPIQPTYSAQPLETP SRVIGFSPGIFGLQGSPDFFTMSGNLATASPYFSRQMPWGHEGTGSQGAVGMSPTYGG SFNLPASSPPGLFDASRVESTNLGTSLLPVVHEPNGFNARSMAHDACGLEASHATLHS SIATSPRVPPVHSEDPSMFLSSPARRFGYSEQTLSPPPRPRVETRQPYHYQTELSERE KTQELKKLHRSKSSSRRQKVSRVESASYAPQTAAGKPAVKRSVTHSGVPSLSNSRPQR QTSFQAAVPVIGGGGVQEDSIQRPFLAFETTSLSALSLPYGFFAGSNGIVGPEDIPRM DWPLQK UREG_02934 MAASMSGSRDLPVSKHDLSTYWGRVKQAAEISDPRTLFVSAAGL ENAKRLLASYKDGQIAAMTPEIWHAKKIVDSTLHPDTGEPVFLPFRMSCFVLSNLIVT AGMLTPGLGVRLPTWALLPPLHDPVEALVANLGQTTGTLLWQITNQSLNVAINNANAN KSTPLSTASIVKSYFLAVSASCSVALGLNAVVPRLRKLTPHTRLILGRLVPFAAVATA GALNVFLMRGEEIRKGIDVYPQPTDEERAKGEVDGGEVQSLGKSKKAATLAVGETAIS RVLNATPIMVLPPLLLVRLQKMDWLKSRPRLVLPVNLGLIFATSVFALPLALGAFPQR QAISASKLEEEFWGRGGKDGLVEFNRGI UREG_02935 MSTPSTATATHPTNHPHYRYPHQTFHANTTPYPAAPAVGAARAA NYHYPSVNHPAALSSASAASKSSQAQPPMATYQTSAILPTAQSRRRKPNWNEFYKNGV PKEIIVIDDDDEDPQNVSSNNSVYTSSTVKPSSLASYGNGVAAPPATKKRRTGAETTY DVAYHDPASFPVAVQPYAEGSSGPSLSTDRTASLHTTAPTSLGSHGSASTNGVYYEDA AIGQKRKRVVTRKAARDEQKRRELEIAGDAFDNYVPPPRPPIKAKDVYVPVIRDPHFT KHSKVDDDDGHYIVNPDTNLTDRYTIIKLLGQGTFGKVVEAFDRQKKTRCAVKIIRSV QKYRDASRIELRVLSTLASNDTTNRNKCIHLRDCFDFRNHICIVTDLLGQSVFDFLKG NGFVPFPSSQIQSFARQLFTSVAFLHDVNLIHTDLKPENILLVNSTYQTFTYNRTIPS SSHNTSRTARQRRVLLDSEIRLIDFGSATFNDEYHSSVVSTRHYRAPEIILNLGWSYP CDIWSIGCILVEFFTGDALFQTHDNLEHLAMMESVCGSRIDTKLVRQVMQARSGGNPA AKYFNRTRLDYPNQETPRASRKYVKGMRQLQDFIPANTSFNKQFLDLLRRIFVYDPLA RISAKDALKHPWFKESIIDDGTEAVRIGQMRDRGSRS UREG_02936 MLFARACVFVLSACAGVTLAAPAPEPEALSELKARDMQGRGTVY QQLGAFGSCGQKHGDGDMIVAISNSFMKSRHNSPYCRKSIRARNKSNGKTVVVRVADT CMGCGPNDLDFSVGAWNRLTGNAPWGTFPVSWNWA UREG_02937 MPITAHPSAPPPPGSMGPPSRPADTKPTDAAELTDVLASSGIDV REEEAFLTSGYGTTPANSSQPPRPQLNTSISFTSLPSPTVSAGNSFNESSFKQPSVPP STPLIGTQPATQTSLEDAKLREDTTASRRDQYHLQAAFLQTAPLGERLQKQGTDLGVR MPSAGFFRPFPNRPVVPIEVVGPDGNSVVRTGKPVLTTDAPLGDIISLVSLACEERLR AVVEQAAVLARHRRTYSHGAVPLEWSDLAQGNNQKTTVGGLGGGIAISTNGTSQKHQV STMNDAASDPRKITFPNTLAMKSRRLIEKDGSYEEGRATKRVKRNTDAILSGDTGRAG SIGASTPGGTTSTEPAGEKAPDVEKKTKKELKKAEAKVNDAVQHQHAVETARMATGGL STSRFGGKKTYSWLSSSGATSTSRTSFSTPRAKPGVGSAGPSSGKPGGLVNGIRAPPG KRLGEWREDKERGAGVQIRDILFTLEIDGKATKHLQKAYSKESKEEVDRASK UREG_02938 MAAKRKAAALSAGHDDEPVDPSDELLFNCLGGGNEVGRSCHIIQ YKGKTVMLDAGMHPAKEGFAALPFFDEFDLSTVDVLLVSHFHLDHSAALPYVLSKTNF KGRIFMTHATKAIYKWLIQDNVRVSNTSSSSDQRTTLYTEQDHLSTLPLIEAIDFNTT HTINSIRITPFPAGHVLGAAMFLISIAGLNILFTGDYSREEDRHLISAEVPKGIKIDV LIAESTFGISSSPPRLERETALMKSVTSILNRGGRVLMPVFALGRAQELLLILDEYWS RHPDLQKVPIFYIGNMARRCMVVYQTYIGAMNDNIKRLFRERMAEAEAKGDKSTTAGP WDFKFVRSVRNLERFDDVGGCVMLASPGMLQTGTSRELLERWAPSERNGVIMTGYSVE GTMGKQILNEPEQIPAVMSARTGIGLGRGRIPDGDDEQKVMIPRRCTVEEISFAAHVD GVENREFIEAVAAPVVILVHGEKHQMMRLKSKLLSLNAEKTVKVKIYSPSNCEEIRIP FTVDKIAKVVGRLAETSPPTADYDDSRLMNGVLVQNGFQLSMMAPEDLREYAGLTTTT ITCKQHITLGTASIELIKWALEGTFGSIEEIGTQRREMGANGHTAKEDHNATAAKEEA DEEIPFDETVAYLIMGCVILKYSPRTKAIELEWEGNLMNDGVADAVMAVLLTVESSPA AVKRSSKKHHHAHHAPELPNPHSYLTPEERFARLLMLLEAQFGPNIAPIERPKITRPS TNHHTNGTAAAKSEPDASGQDAERQPDEDEDIEDLEEAELVRLQALGIPVPGIEIKVD KHVARVWLETLEVECPYAVLRDRVRVVVERAVETVADLWSSKAASPRKADVHGKTRAG EAAEVGVELEMKPVLAVKM UREG_02939 MAESQGSVKDVHAITVQTRVHVAGNTAYTQAMLKEPPNPRSWNS LALFAASLVGFLCSTMNGYDGSLYNSIAANELFLEYFKGSNKGIWAGIVSSMYQIGGI AALPFVGPVNDSWGRRAGMFTGAAIVILGTVVSSTVTGGNVGQFMGGRFILGFGVAIT STAGPMYVTEVSHPAYRGITTAFLNTFWFTGSILASGVARGASDIGGHAAWRIPLWLQ LLFSGIIAICSFAIPESPRWLYVRDKKDKAKAMLVKYHGQGNSESAWVELQLAEYDEY LNMSGTDKCWWDYTALFKTAVASCCVVIFGQWAGNGISGTVPQLNIILINSCQQFIWA LVGASLVDRVGRRPLLLFANIGCCVVWLCICITSSEFARHGGTKDSPGTSPAAGTATL AFIFIFGAVFSIGFTPLQGLYPVEVLSFEMRAKGMAFQNLALNAETKNSTLEELDVMF NSPNPVKYSTRKKQLDLDGCGNVVKVEFEG UREG_02940 MSSTTTHSEPSSSVLHRDLHTVPKRAVGGEGNYVILDDGQRLLD STGGAAVSCLGHGNEQVKQAVKRQIDQLSYCHSLFFATDVFEELAAFLTDSTGGKMPK VFIVSSGSEAMEAAMKLARQYFLELHAPQPQRTRFIARQNSFHGVTLGSLSMGGHVFR RELFEPLLLQNVSHVSPCNAYRGKKENESDEDYVARLAAELDAEFQRVGPETVCAFVA EPVVGAALGCVPSVPGYFPAMKAVCDKYGALLIMDEVMSGMGRSGTLHAWEQEGVVPD IQTVGKGLGGGYAPVSGVLIGNRVVDVLDKGTGAFRHGQTYQGHPLGCAAALAVQKVI KEQNLLQNVRTMGALLESKLKEYIGDHPNVGNIRGKGLFWGIEFVKDKATKEPFDPQL AVGVRVQNTALTPKYSFSIYAGGGTMDGKRGDHVLLAPAYNVTENDIETISRLTAAVI QDVFQDINA UREG_02941 MSYPDGSPPHAAEGLLLGGTTANSVSGIPTEIDASPPHSAPSSN LSVAKPPPRRKHYTIGGGKQITRTRVSYSCHTCRRRKVKCDKTHPVCGNCLKNGSECI YDSRSLLHQNRPSHEPQNRVKRRREAPTSSEASLADILSPYTGLQGSHGKGDQKSGSE EIAVRLDRLTCMIERLSRTNGSLTPQESEPLFQGVRSLYEASEQASPEVRQFQRIPSS TSSRSLSRQSSPRRPAENGSNEDFPIPAGLSTDLVDPVGALNLGHLSLEDGGKSRYVG TTYWAYISDEINELNQLLRDQSRTQQQPLASPESLHDIGSDHTPPLSAHYDAESGHRR MRSKDEPRELFSRDDSPLSSDRPIETDMLEHVPTRWQSNILYKGFMSGIHAISPVVHP PTVLALYQAFWEWYGNRGLTRNSFPDPSFIPLLYAIWYGGSVTISLRTIHSEFDVENR ADLSERFHDEVTRWLKKVSFPRSSTLHGLAAFLLVQTILSKEEEPLTSSLFISLALRV AQTMGLHRDPAQFGIPPCEAENRRRLWWHIVHMDGVVAMSSGLPPLVSDENYWDVRIT SDVKDTLLGTPEAVEYERAVNESRRKPDRPNNPDICGNSLVNVYYICAKGKYVMARAI RKVLRIQLGTKPVTRQDMEDLRSILMDLQAKLHALVDRIPIPKTDASRTSLAYSTSPI GSTSIKPDLPNGGPGCHEQYHTSVLAAFHNALRHCHGFMEKFISLATDPDFQPFQWSW PGNHQPMHATMIMLIDLYERPNTPEAPISRAFIDKIFSLSGPDGGVVGGEDGISTARP LKDGGREAWDMMRRLREKAWQKAGLNPKVFWTEQAQVQAGVSRDFTATTFSTQPRPVS SGTKEQTSSPTNFADSYYAMINHSYENHQPLQRLSMERDRPRESLNIGTSRGDNAPQQ VPALWPTTGPGPNATPQLSADAPLWPSAQPLSEPTAFNPGYGELQAGGSGEKVGFSDS PNDHSHHHHSTHQVQKLSSADSQNAHRPRPNQAMGPLFAPGVAGQIGNQPFDPNVNFD WDQWDAVFGQYLPVVDGFMDLDVEDNRAQRHGEVPLSRLPVMSGEPGLEGCTQSGGDM RNWADFG UREG_02942 MSHARVEDASDSDPEEMDPAEFDPRSIISPADIPMSSASAPRPS PPLPQPQREIPRHFQCIYPIYFDATRSRAEGRKVTKKLAVRNPLAKDILDAVQLLGLN AGFEPEKLHPKDWANPGRIRVLLKHEDGRLINDGSQK UREG_02943 MSDTYKLIDVPGGVLWEVNCKKYPKRNENFELAGANPSAEEGED QGGDDGPTVMVHDIEEAFQLQWLNVDESGNETKPSKDNFKSHLKSYVRRVNEKLKEKG ASAEEIKEFQTGAAAAVKKILGNYDNYDVLMGASMDPNGMHVLIDFRDDGITPYATIW KHGLEEMKV UREG_02944 MANLTAADGLPPLPPYTLTPRAPLVPPIPDNIAVLILPVIAYWV VSMLFHWIDVNDYFAQYRLHTPEELLKRNHVTRWEVVRDVIVQQIIQTAVGLAMAYID GTEYTGKEDYEVAVWARRVRLAQRALPRLLVLLGVNPAGLAGKLSAYPALAAAISGGQ YSFLTQKIVSDTGSVVVAPAFARWELVVAGAIYWYLVPAGQFLFGVFFVDTWQYFLHR AMHMNKWLYTTFHSRHHRLYVPYAFGALYNHPVEGFLLDTAGTGLAFLISRMNSRQGM WFFTCSTIKTIDDHCGYAFPFDPLQHITSNNAAYHDIHHQSWGIKTNFSQPFFTFWDR LLNTKWTGGDVTLRYERSRVAAQRLVDADKVKEEPVMAEPKAAARDEDEATSRSILAK SVCKKTAPFSSRRKR UREG_02945 MKFKKFQNRHAASITRLSRNDLTILSSVLYLPLQASSLEEARLY ISLMSATLPRYLWRSKFCSFLCKPLRLLPTPGKSCRLHRRLNHHLIGSILHLVQVEVG PRLDRLSMHRAHLTVKQRVMLDSLREINGMWMRPAQFEKSFGLPRSTAWSFQGDGCEA CMLARILQNATSIMNLRTVLLSRTRTRGRPGTPPQLIQWVDVGMDCHPEKRLDIFVES ADSAAKLKEVRKEINKGRRSRPRFIVDIGPKTQIPTEHRVRVETETKAKQDGSPKLLP PRPPSSVYSDPPLAETDSTGLGIEIIRLYRSDSQIDNMLSRGEREPLQPVCRLETERL EQEGSPSAIESGTSGEAGPNDPYLNRTLPIDVFASSSNNMTRSDTPKTSTDWADEYQL ILNSDPRVDIASQGSWEDVPVGEREQGVEKMEYGAPNFL UREG_02946 MTPAEGTVHMFANGGAEISDDGSISVLSGSTWGGGGTVNWSASL QTQSFVRQEWAKSGLDLFTSMAFQQSLDRVCDYMGVSTDIEHNFSNNVLLEGARKLGY TAKTVPQNTGNQEHYCGYCTLGCASAVKQGPAVSFLADAARAGATFIEGYEVDKVLFD PRAPGKNKVAIGVRGTWRSRDANSMTHGEPLIKKKLVVKATKVIVSCGALQSPLLLLR SGIKNSNIGRNLHLHPVLIVGAVFNKETRPWEGGILTSLVSDFENTDGTGHGTKIEAL TMLPSIFLPSFTWKDGLDFKLFAANLRSMSGFISLTRDRDSGMVYPDPVDGRCRIKYT PSSYDRKNMLEGVIASAKIAYVSGAKEIRTTCHSIPPFVRPKDPAGSVEEGINNAAFK AWIQQFQQGDPLPTWACSFASAHQMGTCRMGTSSWKSVVNPLGQVWGTEGLYVMDASV FPSASGVNPMVTNMAISDWTSRRLAELMKKEASSIGSPRL UREG_02947 MTAPEEKWEEWGSERMFRAIHDKVALLSHQGGVKVLNCDDEIVL KVGSRVRPSEEIAMRLVKEHTDIPVPEILLAAYVGDEGRLAMSVIPGAPLTEAWDSLD DATKKRICVETWSMIEKLRRIEKPAALQHHFLSLADGSPCINDPVVAGLCCADPPHPP LLDDDAVRARIMDLTAPTKYDLSGIMAARGVLF UREG_02948 MPARANPGRVYVVGVGMTPFLKPNPARDYPHLGLEAGTKALLDA GITYDQIEQGYACYAFGDSTSGQRVFYQFGMTGIPIVNVNNACATGSSGLYLARQSLG LGAADVALVIGFEKMQAGRIKKAFLDRAIPQGLLAEKMFDLNPNSEPGNMSLFGNAGL EYIEKYDAVVDDLNEIARVNHAHSAQNPYSQFRTVYSLDQVKGSPSMYGPVTKLQCCP TSDGAAAAVLVSENWLNKHPELKGKAIEIVGQSIVTDQSDTYGDSAMNLVGYQMSKRA AREALAQANVSVSQVGVCELHDCFAANELITIDALGLCEPGKAGKFVREGNITHGGKV LVNPSGGLISKGHPLGATGLAQCTEMVWQLRGWANNRLAPKTTVALQHNLGLGGAAVV SVYKRADGKQNDARPDEEIAQSSGLGYNPATEARQVAVEEVKKILSRKHNNAWARVGQ KGNDLQGPLLARM UREG_02949 MPTVAGKPVGPVGFGLMGFTWTPTPTPYEEAFEVMKSALGAGAN LWNGGELYGTPDANSLHLLKAYFTKYPEDADKVVLSIKGCVGPNGCDSSPAGVRRSVE NCVRILDGTKGIDIFEAARIDKKVPIEETMRTFCELKDEGKIGGIGLSEVSAATIRRA AAVAPIAAVEVELSLWCTDPMENGILSACTEFGIPLVAYSPLGRGFLTGQIRTADDLP EGDMRRQYPRFQPGAFEINLRLVDALRQVSQRKKVALSQVAIAWAIAQANESKGSSII PIPGSRSKGRAVENSTVIELKEEELQEIQAILSKFNVQGERYPSHLMQLSDV UREG_02950 MPFLPPLTSVLSLYVAGVIRDTIYLDGGELWWRRGLTDGTYDGV DKDASVEGQVFTLPLSTPFDTAHTNLTGLFGRMNAINGASASLTPNYVDGAMLANDYE FILYGGLHRQTDNPPPEDQTLSYERYYSGQAGSPNWKEGFVLTHTNNNVTRYITHGAG VSVPSENRGYYFSGMRGENWGPIAIAGPLANDSANSLVSVDMSKWRDEEWDKSTLPPD IIPRGKAELAWVPVSDSGVLIAIGGVPYPEDVFKGGSLTANQTRDNERLGDSFMKTVS IYDIASKKWFTQNTTGIAPPGRSDFCSVVASAKNGSSHNIYIYGGYGGSDRSEKAFDD VYILSVPSFTWIKASQGQSEHGRRGHKCVKVYPNQMFVLGGEFLGKSICLNGGVIQVY NLNTLSFQNVYDPETWEEYKVPSLVVDEIRNADGGATVNDDLRAIFSRTYSKPIKTYY PYRATETPSEPEPSGGGGLPNWVGPVLGVVLGLILITGLVVAWLLWRRRKDRRYAPSE GATSEKRSRIMGWIYGTSMPGKNIDATTTATTTDHGPPEKHMSAGYSEVGNESVTTPH SRPTIVYSDLNEAASSPVHELQASSRPALGMQPLHADSADRSRNVFSGATIATPLELP TEYNESPISPRPRFPSDAGSFISPVSPEPGPVSPPASPPPQLLSSRPTHNRHNSSLSS MGFPTSMNNAATGEHHDNTDRVINEEENLQRNHFVSGITEDFSSDSESNHGGEPEVKT W UREG_02951 MKLFHSILVLGASLYPQVYGESGVHTNDIGYGPSSPVPTAATSP AGVPADVLGDGDNAALNAYCLHSGCYTSTILITRTFTLPTISKVSWPTKWPHPTKWPY PTKWPWPTDWPTKWPEDPKTVTETVTETITVPGPPTTITVRVTETITVPYTTTVITTV VSTDIRTTVIEETEFTTVTVTDEETVTLPPTTIIDEETVTLPPTTIIDEETVTLPPET IVTTLPPVTTTIIITLPPVTSVVTTTLPASTITLPGSVTTLPAETITITTTLPASTVT ETTTIPGKVTTIIQTVPATRTLTGTDRTVTRTVTQISVCPSRTVNPTFTPRQPLPSNY LWGCPPGSLCRPNRTPEDGDCNFEVGPPSLNFFCSLDECKAIPPLHPPQFWGPPVVGD RVEKFIISPGYYNLDPRKFGLDFDIFVHANSSDSLDLRKRQSNEPVPAVCYEDCNNCA LEGERKNLSPELCESGSIFLNFLEHAKLVLIVIITWAGYI UREG_02952 MSAPFYSRYIPPSSLSSTPVTSPKRKKAAQDAPAWPAAETTHAR TKATKDDSIASRPTSSTSRSDQNPVPEKKTSKSTGKIRGIQSPNSPPAGPRLNELPEN SKQDSVGQDDKTNQGVCKSRPADESSSPLISSGSRPSPQHTEPISQHLKRKRKPADEP DTGDSALPTKHAKIISKYEKYTAKAPIAADARSPDASERPIGSHEETPVYGLSPLPQP APAPESSRSPSYATLPEWLAHPLIVSSDAGRPFTDLGLHSKQISALASQGYSQAMPVQ SAVIPLALNGKHDGDICISAATGSGKTLSYVLPLISTIEPFPVGQLRALIVVPTRELV KQVRKTCDLFVPGTGLRIGTAVGSTALRSEQSLLTDLDQAYDPRFLENNSNIFTSNSD WANFNLQDYISESKELHDALPNHLSTPFANVDILWVVIDEADRLLNESFQEWASTVIP AIESKSSYTTSIPLNAEGSSVGHRSPRKIILSATMTKDVSKLNSLRLRNPKFLEVHAT SNEKISSQGTIGNTQSECYQLPTTLNEIIVPVGDGSDKPLVLLELMISFLNCATSTST PVPTKPAKYHRSSSESSDSFDNDLSCTSPMGRELNSHHNVTCNSKPTTMWPSALIFTK SSENAGRLGRLLTLVNPDLADKIGVLVKSNKSNAARKTLAAYQQGKVRIIIATDRASR GLDLPFLEHVISYDIPLSATSYIHRVGRTARAGQQGTAWSLVTHNEGRWFSNEIIKGI SDTAHRSIKRTVIKPSTDSALQNGYASALKKLEEEVLASGQKVEAVLNT UREG_02953 MPYNIAMISDFFFPQPGGVESHIYQLSTKLIDRGHKVIIVTHAY KGRTGVRYLTNGLKVYHVPFFVIYRESTMPTVFSFSPMFRNIVIRERIEIVHAHQSLS SFCHEAILHARTMGLRTVFTDHSLFGFADAGSILTNKLLKFTLSDVDHVICVSHTCKE NTVLRASLDPLMVSVVPNALVPENFRPLHYLERWDNKANQPLKSAPRLLGPDDTITIV VVSRLFYNKGTDLLIAAIPRILAAHPNVRFIIAGSGPKAIDLEQMLERNVLQDKVELL GPIRHEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTRVGGIPEVLPQHMT TFAKPEEDDLVQATGKAIAALRSNRVRTDRFHDQVRTMYSWTDVARRTERVYNGICGA ISEEEFYGYLPGESWTGARGREHSFALIDRLKRYYGCGIWAGKLFCLCVVIDFLLYVF LEFWRPRNNIDIARDWPKKPTYENDRQHRDERLLSSGTSLRLQIHRDRGKS UREG_02954 MYHLAKSLYFYATSKEEYSVLLLGLDNAGKTTLLNQIKAIYLPT ATSDDETRKSDVGRTVPTVGQNVATIPLPDMYLKIWDVGGQISMRGLWQSYYSSCHAI IFVVDSADVGDDADVSRLGSSNYTPSLEHSTQASDGPLKPIDPSSDFGRLDECRQVLE SVLQHSDAAGVPVLILANKQDREDCVEVVRIKEGFVRKVFEGEEGGGVRDSRVLPVSA LIGAGVREAVEWVRSRVKWNKDSRPPIMR UREG_02955 MAATTPDTPSVDVVIVGNGPSALLLSYILHGNIPFYNPHTPHPD PILHEKLKDAPQLLDLDIDLLTDHFEASRFPYSTQALPVNSLLDSLVRPNADTEDAEM NTCIRWRHMPETAVSHLVLGDAPYPGGQWTECPKWTNWDIQSLSYAGMLSLPGYSFGE YHREHFGSALPAFTRPSRREIADYFAAYPAAVGISDSIKSAETVGNVTRVPGGFYIAS HNLKCRYLVLASGIFTQPKPAGPLLRPLLKTSIAKPKPTAVGAPLLVIGSGFSAADII ISAPSDEKIIHVFKWDNSRPSPLKGCHQQAYPEYAGIYRLMKRSASAVAERSTDHRPR PWFRPATLTPFLKSREWSAIYEGLPNACVVDAEVNDEGAFATLQLEDGTVVRREISGL AYAVGRQGSLEYLSNAIRREIVGHTGQEAIDTGLISASTLRGAALTDLEIAKDIFIIG SLTGDSLIRFAYGACVLRYLPKIGTQDTNLGLTTS UREG_02956 MASSAEPNAPTVDRQTTTPFHLKLFYRQNSFHHLSDYPVPSSFG NSGAPAPANPLPPHLQIYTWYSCSLRELAHLLTSCLPTLLPDPAVGTRLTFRLVYPDT KGQMGGFGGPGAPGADDVRGRFLSKDIGSVIIGPKVDMAVKEDGPSSAAMETNIKLQG EDADRTLQDVRFIIGDYVECAILPPLPDGSVAPPISSRALLTGSSAIGGSMRAFPPAR ENGFGRSRHQGAGMGRGGGPAGFPSGEWRRGERLPEGGGRGYGRGHTRSRGRPY UREG_02957 MASNHNPKITLYWLENSRAQRIIWLLEELKLPYELKMFKRMKDM QADAKLKEIHPLGKSPVVTVETAGASNPVVLAESGVIVDYLIDCFGTKDTITRYERFP SGSEHSVGGESESWMRQQYFMHYVEGSLMPFLQLEAVLTNIRNAPVPFFIKPVTRMIS AKVHEAYLGPNLRTHMDFLEGQIASSPGGGRYICGEQLSGADILIVYALEVEEASGVL NPKTYPKLTAYLNGLRARDAFKQATAKVESTGWSASPLPRL UREG_02958 MQSSKTNTAGQTSLPGNPSPLNSASVTPANNSPTSPRLHHSQLH HVSCQSKQLRPLKSPLYVPAVLRPTERPSRSTPLTPPRSVHDVSDDTHTRGPPQLSRQ STVESFRSEVSKLAEDEWLKEQNLGIVTGSPTREHWKADSSSPSCDSPVCKSFFGLFN RRHHCRHCGHVFCASHTPHTIPLDQNARFHPDGIASRACDLCWSAYTRWDQTRIDQLN QIQRDLASQIERNKSDGASSVVSKETDSDHASVIDASFLPPPSEHQESTIATSVPRDW SWSTF UREG_02959 MIKQFVKSKFKKYHKHQMLVACEKQKTEKKKKHNKEVMQTQEEI KNKIYQKIKIKLAVHSIAVCIQTHIHAMMTALEVKVIVHEHNHLAAADLSKNEMKKKM KTFQSYLQTLLNKKMLSSSEKMNKKKFEIVISFNKEKKNEKKKND UREG_02960 MASDPIFPIIDYSRVVSSDTSISTAEKDKLFRSFREVGFIYLKG YTFPEEFIQTLFSHIHKLFALPEAEKLAIEGGEKQAFRGWFAPVRTARNPEKADQKEA YGLGNDYDSTRPNIWPPNWPEFREDFSRFFEECFNMHLEILRALTEKVGLDRETLIPS VKAKDSYSALLYYPETTAESFQSRVRSAPHTDFGTLTLLFNDGIGGLQVKNKHGQWVD APPLPGHAIVNVADLLSRWFNNQLKSTEHRVVESPAETRRVGESVSNIVPARYAIAWF GHPNRDAVVKPLDKCCTAEHPLQFQPVVAGKHVKERMARLLDEGYLPEKWTDDMHRKQ VHSVT UREG_02961 MPKNSSDSITYRLAGLGAILLGHSIVPHPDGSPRQAIPESIGWT LASGVYSPRQLVEGFSPLLDTVVYRLGKDSPDARPARAMLLDNVASNLALGTRESTMP LPKNMSDVSRREVMQQADRIGKSLVQWAREHAGGTFDPNLNIRSPCEGHLLTPPNVDL MFGRRSQPHLMQLFNEYMHQMVLLRDSLLPFDNYQDVLIPVDNKRSRGIRHMETSRGQ FLATLVTKSVSQASVMAFAKSLLAPHLPATSTGGYGFQYSHGVVLPALFGGNSDCLHL LQYMPLRLDSIKTDILFEYRHTDYYVADRAEIPTGTKAVDADLARFPKNSRERVVQTA SLDVVSSSSPSAVRQLELRLEFHNGKCGAVDAGQIARGHRYAYHALRKDSGVHALKTA FVHSAVDMLLQPGDGLISASNGGVHVIPTTESVVSLALLGRLYPENVILLSAKGNLSQ TNNAGKGFEPKFVIWGGTEKGEV UREG_02962 MTMKAVYAARAYGPTTIKHPVSESWRRNGSIVETNIPPKRPADS FGALHMPNMLEVETPPAQVFGGTEGQYRLPHHITEIDRLRRQHEHIKSSCNGKLISFP LPNSPHPIRVLDSGCADGTWLLDLASQHPQQQLSLHGIDIGSHLFMKNPSLDLHQHDL RQPLPEEWKNSFDIVHQRLLVWGIREAEWSFAVRNLRDVLKPGGHILLVECKWVFPEI WATHPEQHRLALTQIWSTESAGMDLYIGEKLDSLLEKEGFEDITTVCHDLAYGATAKI PENRNPSAELWVESFRHLVTHMGG UREG_02963 MIFDSRLELPPPPRSDVFSYIFHHGRRAYPWNRVLYRVDGKEET LTLAELERKSRQFAHALRTEYDIRPRDVVCILATDRIEYPIAYYGALAAGATIALIPI QKEMSETDVAARLEQARAKLLITDSEVLFLAEVASMLAGVIPLMTMDANNQGWSCMAD LIKIGDPTANVFDLNTEMEANEYDAFINRTSGSTGAMKSVVTSHAHFIATMEATKLTV PENTDPDKDVWLSSLSLGFFINAKLHMGLNILLGIPVIFTQGSLDESNIGVIERHHIT FLFITPPLASRLARCDVSGVNVSSIKWLLTAGAPMHENLRRTVSENFGGVHLTLEWAT SETMLLAIQIDESSKKAGSSGTLVNGIQAKVINTETGCECGVGEEGEILVRNKLARFK GYKNNDAANRDFDSEGWFHTKDYGYLDEDCNVYIIDRIKELLKVGEGYGSHISASELE SVLFEHPAVASVVVVGLRNNESQIDEPAAFVILKPEHAANSNVARQEVEQFAAQKLTG LRRLTGGVHCLSSYPTTGFKIDRRALKSMMLSKRKPVAAGLFVSPAMVKLV UREG_02964 MSSTPETSSESKEPIPKASIPGLGAVQTFIEKQSGSGTHLKHVP VTFQGLSVSAPDSGEVIVKTLPQAILNTFGVDQFNFVKNLLFSRKTAKEPLGQRNILE DFTGVVRPGEMLLVLGRPGSGCSTFLRTLANRTTLSVHGDLKYAGSSAADFGRSHRRD TIYLPEEDQHIAALSVRQTLRFALRMSLAYNVRSPEMVEELVQTMAQMFGIEHALDTP VGGAFFPGDRTTVATLYQAGENIYNHFDKVTVIYEGRQAFFGKIDEARKYFEDLGFVR VEGQTTAEFLSNVTDPLQRRVSPGSRAADLRTAADFVAEFKRSPYFASLSREVEVCHR QHHGQTRQTSTTASFNLPYLLQIWECLLREVQLVRGQRMVHYFKWLTTVILCLVIGSE YFDISNDAQGAFTRGGLLFFALIFNGWLQFPELFDAHTNRPVLERQAALHMYRPSAVA LARVIIDIPLIVFQHIIFVITFYFLAGLQISAGKFFYFFFILVLSTVCFSNLLRMFAY YVPTLDDCFRFGGTASTITMLFSGFLVPTKDMRPYFGWLHYVSPMNYAYESVFVNEFD GLTLECNGKLIPAITNADPDYQICALPGAQQGQQSIPGQQYAEANGLYLSHKWRNVGI LFSFIAVYIVVSVIGSEMMKFTPQGGAPIVYTSRRKSRKGEVTAVQNDVEKLPSTPEL SGDEKMLPAQHHGPSLTLENLTVDIEEKHIVKGITAYVSPGDFVSICGASGAGKTTML KALSQINVTGRVGGQIKFGNEPIGTAYKRATGFAQQNDLHDATSTIREAFEFSALLRQ PNIYSRAEKLAYVEHVLDLLDLRHVQDALIGDENSGLGVEMTKRVTIGVELAARPKVL FADEPTSGLDSEGAANLVKYLRRLAHAGQAVMVTIHQPSTLVFSEFDKVLALSPEGEQ LYFGSSHSVLSYFAKHGAVPPPDTNPAEFVLEAGGAGINARKDDKGSEWSKRWRESEE AAEVMNEINRISTSGKVDSPGEHEDDMFNASTFVQTWLLTKRILKNQWRNPPYMYSKI WVHVVHAVLIGTTIFKLGTSPHDLQNRSLSVFSIILLVNTIVNTILARFFFARLLWEA REGPSRIYGWVALCNASILAEMPGALACGFLYYVIWYWLCGLPTGEAAGYVFLSLLTF EVFEILFGLFMMAVSPDLGFAGNVLVFLVCSVNWFNGIIVPYDQIQVFWRYWLYYLSP FTYLLGGLVTSVVKDQQVVCDQSDVFTISPPGNQTCGSYISSWAASAQAQLLNPQATD HCRICQWTNSNQYLEGFSLGKDRNGGIWGSWAIFVLFTCSSFILVYFFTWATRVKRWK LFYFF UREG_02965 MISEPTSTSGPPDVAVSESVSLSANCPLSSAQDKDNITAFSWSP ETGSMEFMLQNLSDGSFPGLDLTASLDDFLAGDYHTNTIGDPFSTFALPDVKSYLPRT PSLQLLPGERLPPSQAPTIMAPSSASSFNSPPFNGGINGDDDAFASNLVPSLSALTSE SSAELNQELFRMVCEYPKRMLQRDFWSPFIHHRLYRCAKAGMAEPLGIALACISAHDN SVESSSNFVDTMINTQREKLIRGFHLYSDRPETCLAALHAVSIYQILGLFHDSSGSPN KEGDRKREESKLAAEWHSSFLLKVGSTYLIFDARSL UREG_02966 MASGIKTRFFIISDTHGETLTPRISVKADVAIHCGDLTQESKIS EFRTTIQLLKDLDAGLKLVIAGNHDFTLDTPVFKEKIVESCLQSEMHTVIKEYGEFEE ARQLLDKAAETGIIFLEEGSHHFTLANGASLTVYASPWVPSASNWGFSFHPERGHNFF IDEGVDVVITHGPPKGILDYTEARQRADCSKLFEAVARARPRMHCFGHIHEGWGAKLV SWREEPSEVPSHFTDIDNERSVTLERLATLAAKKDGNRSNMVCSTSHCRGDESPLEKG QQTLFVNAAIQSLEGEQQLPWLVDVELPASASTTTSVES UREG_02967 MADTTPSTVTPASVSSGIEKDAPSTASATLAQVRSFVAGGVGGL CAVVVGHPFDLVKVRLQTAERGVYSGAIDVVRKTIAREGLARLLGTSLKTTIPKLLPH CLLISNHQGYDLGKTLVRSFSTVPESHGTPQYSIAQISAAGFFSAIPMTLITAPFERV KVLLQIQGQNPPPPGQNPKYSGGIDVVRQLYKEGGIRSVFRGSAMTLARDGPGSAAYF AAYEYIKRRLTPKDENGNVTGELSLTAVLTAGGAAGVAMWIPVFPVDTIKSRLQSAEG RPTILGTIRGVYASGGVKAFFPGFGPALARAVPANAATFLGVELAHKALTKILG UREG_02968 MRVPGGLAVGTLGGRAIFAFQDSIAKAISGREELTCSSYYNSTY VESPDGDNSVDWNPVTGILHSSLFAPCETSLIFIGILSINNGVDDGALIRVVGLSSSI DCYRLLSEAHDQERVIEPVKDSCSAELRKGIESSRSRFDLDHDLGGMSTARGWGAASF GTWLAVCFTVHPTDMPEHITPSHERLGIVFSPSAGESRHQAKPGANPTTQDVDDFQDD AMRMQQKVLHYIFEESHRAFANDRWLKKLLYAACCCVVVRFRRESELLTRAASALRWL QECTGLNFGEEILLLEDVQLSSEEGVLHPSITVRPTEILHAVGQDIFELCEICDAGID WYSAEESQCLGGHTFGKIPNSTWMIEARHNANYWCSLFFQCAVACHSCQFRTHLLQVV ALGVGGSISTARA UREG_02969 MDARPGECQPANISCVRHPISLATAITVLLILKLQPSKHQNPPA APTLLLAQCVCWLRNTRLIIDWHNFGYSILSMKLGSKHPMVKLLRHHELRVCRFATAN FCVSNAMARVLQEEVGIKAPVLVLHDRPSPLFQPVSSEAERFEFLAHLPETTDFMKAV RDGKRSELIDYAKLLACSSLGVCLHTSSSGVDLPMKVVDMFGAGLPVVAWDRYESWHE LITDGVNGRGFGSAEELCNHLVDLLGEDRSKLQSLRLGARRESRRRWDDEWDPIAGRF LGLPSS UREG_02970 MVVQLLYGIATAVGLSAAVMSKWPPSARFPFGYGKVDTLAGFAN GVSLLIISLEIIFEALERLLSGSKLNRLGELFIVSTLGLVVNMVGIFAFDHAHHHGHG HSHSHGDGHHHHNENMHGIYLHILADALGSVAVVASTVLVHFFGWPGFDPIASCIIAV LIFVSAIPLVKSTAKTLLLAVPADVEYNLRDTLAGISTLQGVVGYTVPKFWLDDTNHD SKHSHGAGHHHHHHSHSHHPCDDSSTHKHDSLAHHHAQADTPDETHTHHVLGVIHVIA SRSAALADVQRRTVDYLRERNMDILVQVEREVTFNDSVMY UREG_02971 MPQASQRLAQVQRQFSSSTARRREIQDAYILSAARTPTAKFNGS FVTVPAPQLGAVAIKAAVEKSKIPMEKITDVYMGCVLQGSVGQAPARQASMFAGLPSS VEALTVNKVCASGMKAVILAAQNIQLGLAEAQVAGGMENMSRVPYYYPRASQQPAFGN ATMEDGLIKDGLWDVYNQFHMGVCAENTAKKYNITRQEQDEYAVRSYQRAQAAWKENK FADEIAPVTVKSRKGEVVVSRDEGFEDLRADKMASLKPAFVRDGTGTVTAGNASTFND GASALVITNEALAREHSSGNRVLARIVSSADAAIDPVDFPVAPAKAVPIALERAGLTK DQISIWEFNEAFAAVIKANEKILGLENANVNPLGGAISLGHALGSSGSRILTTLLHQL KPGEYGCAAICNGGGAATAIIVQRVEKI UREG_02972 MGSDIDDEEGKGIIPRIVEQMFTSIMSSPGNIEYTVRVSYMEIY MERIRDLLLPQNDNLPVHEEKSRGVYVKGLLEIYVSSIQEVYEVMRRGDAARAVAATN MNQESSRSHSIFVITITQKNVETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKI NKSLSALGMVINALTDGKSTHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAE TMSTLRFGVRAKAIKNKAKINAELSPAELKQLLKKAQNQVMTFEKYIPSLESEIQLWR TGEPVPQEKWVVLRTGEGVSGIKLDTRTPRPATPSRLQAEVRSETPSSRPDSRVGDRA GTPTIALEKDEREEFLRRENDLQDQLAEKETQIVATEKVLVEKKEELKIMKETAVRTG KDNEKLNAEVNELRMQLEKVSFEGKEAAITMDTLKDANSELTVELDELKQQLLDARMT AKETSAALDEKDRKKAERMAQMMAGFDLKMDFFSENERKIQKLVERVDSLHATSSSGE VVAPDEFLDLRSSLVETQGVVRQAELAMNDRGNAAADTKLLQGIVKLQQELEAALEQT LDPADAAEIKHRLEQAYAAGRDIDTLKLDSLRNELARKDEELGRLKQSLTESRSQPNG SGNSAPTINSKTLQQQIADFDTMKKSLMRDLQNRCERVVELEISLDEAREQYKHVVQS SNNRQQQKKMAFLERNLEQLTHVQRQLVEQNSSLKREVAIAERKLIARNERIESLETL LQESQEKLTAANHRYGPLL UREG_02973 MGKTPAVIFVQWHLTSPTPYDPPLTYGGWNQSRALGVRIERLLR EREQCNRKPSVSEESKSSPASADEGPSPKAGLLAPGSPRRKQQIIIHCSPFLRCVQTA IAISSGVSQGRGIRSDSPSSTSASRSVAEDGSLHDSSTTPHPQLDSEDSRGEHTKPDT TANRKVKRGCLLRLDAFLGEWLTPDYFDQIPPPPGSVMMVASAKADLLRPAEIIELTS PADVVSASGFFPGGWNRSSTSPVERSVGSSGATQNPPGRLRSGTLDSGSGGIHSGKRP MLSKLTTSTANTDSGYIPPTPTYAVKSSDPIPRGYVSHARDACVEVDYQWDSMREPQN WGSGGEYGEEWSSMHERFRNGLERMIAWYKSRQSVEVQDCKVSDKGEDAADDTETVLI LVSHGAGCNALIGALTNRPALLDIGISSLTMAVRKAEPTSHPGGGLLHQDGPSALVDV SDEYDRQSHFQPGILPGIPNPRSFSSTEIWFDFEFF UREG_02974 MSATEVAGLSTTFNSGRDGPPDLRLLHYNDVYHVETNSADPVGG TPRFQTLVNYYRNHSKFAGLPELLTFFSGDAFNPSLESTVTKGRHMVPFLNRAGTNVA CVGNHDLDFGIAQFRHLRSQCKFPWLLANVLDPTLGEGVSLAGCEKTCMLVSSNGIKI GVIGLGEREWLATINSLPPNLIYKSASETAKELVPALREQGAEIVIAITHQREPNDNK LANNLPEGLVDIILGGHDHYYLHNIINGVHVLRSGTDFRQFSYIEAWRRKGGPGWEFT ITRRDVVRSIPEDPSTVHLVEKLTSSLQAKLQKPIGYTATPLDGRFSTVRTGESNLGN FVCDLMRFYHGADCGLMAAGTIRGDQVYPPGVLRVKDILNCFPFEDPVVVIKITGLAL RGALENGVSQLPALEGRFPQVSNIRFAFSTLKPRGSRVLWAKVGGDELDDQKMYTVAT RGYMARGKDGFSTLLVQSEGGSAEEVVSEEDGLLISTILRQYFLSLKVLGKWHRFSRS LHRHWEGVHQQLHCDGWIRSPSAQSVTPNVKSTTTARSPSPTPSFHYVARRRLAGPAK NIRAASPSVDDDSEGNLMDTESDSEAEILSRPRTYITKLATSPDDADHREHLARQVLR RWRQKVGLNDAKVQTVGDAQDDKFPPWTRVIAPKLEDRIIRAD UREG_02975 MALDQYTYIFVIGTFFAMLDAFNNGANDVANAWATSVSSRSISY RQAMIFGTIFEMLGAITVGARTADTIKNGIIPNSAFRGDAGVQMLAFTCALAGASTWV MWCTRHSAHVSSTYSLISAVAGVGVATVGASQVQWGWNNGKGLGAIFAGLAMAPAISA GFGATIFMLIKFVVHMRKNPVPWAVYSSPFFFLIAGTICTLSIVYKGSPNLGLNKKPT SFIAAVTMGTGGSIAILAALFFVPFVSAKVIKKDHTVKWWMFVMGPLLLNRPAFPETE CANIPNYAVVQEEEGDQSPEDSIRDYDSKRSEIPQSPPANNDGKTLVVTEISQPTYKE LMSQGEAKLHAKLLKKRGPLGWAMRTLRDNPMGAGEIYELDNMKIAARRLPAMIVCGL LYGLHYDIHSAQSGIAGTPEGRRMQRVYAHAEKYPNEVEHTYSFVQVLTACTASFAHG ANDIGNSVGPWAVIFSAWSTGNAAAAKAPVPVWQLAVLSACISVGLVTYGYNIMKGCS MEMGAAITVLVFSQFSLPVSTSMCITGATVGVGLCNGTLKAVNFQRVGLLLLSWIATI PIAATLAGVLMGLVFNAPRFAS UREG_02976 MRFMKAVAFAFELLSAVNAARLLDVANKNDIVPDSYIVVLKKSV SSLDFDSHLAWAANIHHENLSKRGSMTAGGLRHVYRINGWYGYSGSFDRETLGAILEN DDVDYVEPDRHVSLNALVTQPNAPSWGLGRISHRQRGSPDFVYDDTAGQGITFYGVDT GIDIRHPDFGGRAVWGTNTAGGSNTDGHGHGTHTAGTVAGATYGIAKKARIVAVKVLN DRGAGQWSGIIGGMNWAVNHARQNRVLGKAVMNMSLGGGLSSAVNQAATNTQNAGIFL AVAAGNDNRDAANTSPASAQGVCTVAASTEQDSKASFSNWGRTVEIYAPGTNIISTMP GGRAGRMSGTSMAAPHVAGAGAALMAMEGTRASDVCSRLIQLAQGRISNPGTGTTNKL LYNGSGR UREG_02977 MASLEQQSNAHSSLSLALETLTDSVSGALAALPHDQPHHDASDK SSVLAPADGISLLDTKNEVLLSYLQTLAFFLLLQVRRLNAQPLQRASGLSQDVTTQEQ EVVNRLVELRSYLERGVKPLENRLKYQVDKILKAADDAERTQRIAAGKKETKRKDIAG GSDTDSSTGSKMSGASSDEDETEEDEDIDELAYRPNLAALSKGTKDTENPATSTKSTP GDGVYRPPKIKPTALPMEASDRRSDREPRRPAKSRVIDEFVSAEMSVAPMAEPSIGST IRAGGREVRTQRQREIEAERRSYEETNFVRLPKESKKDRAQRGAKRQGGFGGEDWRSL GEGADRIERLTRRNKGAGGALEKSRKRRLTEDGPRGDGVSIGEGFEKRRKKVAGWKK UREG_02978 MENPVAEISHVIHLLTQSTPSVQRQTIEKYYTPSASLIHPFCRT GSFGGSRWLVIWIFRFYKIMSPHIDLKIFRIFVIPFYVAPVTLTSVLTLTTSQGGTPS AATPVTDYSDTDSYSSARRPLDLYEIARPADHGLADLESPSRPTLYYIAAQNDLYETS EFIKFVVPFGVGSTLVLLWQCFATIFSVVGAVVLWPISFAEEAGMLPVAEKGNIQDEG HREGLLWRLARRKHK UREG_02979 MGLLHTLDQLFWRLVTHPIVVLYVFVQDILSLVFAPTPPPPDNS TKLRRPRVAIVGAGLTGVSAAAHCVGHGFDVQIFEARSKAKGLGGIWSVTMRCPKSAP RVNTTSALQIHSVMFRFHPSVRWQSAYPKQETIKNEIVSLWERYGLEERTVFSTPIKS VRKNEDGKWVINDTESKYGNFDGIIAAVGSCGDPKMPSLPNQESFRGQIYHSSNLDGK DAKGKRVMVVGGGASAVEALEWAVEAGAARIDVLSRSDKWVIPRNVIVDVLLASNVLG QETRLSWIPEVLLRKFFYRDLEDISPSGKGVFMDTPTVNSQLFQLIRDGKARWLRGDI LTVAKNGIRFNHRAKGVPKSGPGHEIFVEGDIIIMATGFSRPSLSFLPSEAFEPPYGP PNWYLQVFPPAFPSVCANNSTYVNAIATAGNYHIGIYTRLLLMFLVDPLSRPGEFWMK RWIDMTRLLKRFAPTGAFDFFTYSELMYWFVFVVLINPFRWKWALFVFMGIGQALPMT VVQKEDELRQKAQEIS UREG_02980 MWATPAHATPVLDNFLSSIRDLIHARDGAKLQDFLQLEPPLSPV YLQMVDELRRAYPSDSGKDEQLLSKCEPLVPASEVGSAWSAFPLFMRLYFTFLRDVNL ENLLETYELLRVLLNQCIVALGDSQYGIIVLPTALYLCKVLAKLAIGLDRRPEMIAHL LREGADAEGATEKVTLVEKSANVVREAFIKCLTDRTGTLGKPEGRRTGIYLMANLCLK LLFKCGKLRNAEQMFASINAQSPPLSYFPAPQRVTYLYYLGRYLFSNNLFYPAQTALQ SAYDQCHKQALSQRRLILTYLIPCNIILGRFPSTALIQRPECEDLGEKFVPLCHIINR GDVTAFRAYLAVDSENADWANADRSRKRRELKRWIGFVFPLMPISAPMWVSSQEHFES SNCDDYVNPTGYFDPAGEWVNVTDADHLPEPDLTDSHLVDDGSGETYAYIMGTEEDAE EVDADSPSPLMHELESILASLLAQDLMRGYLTHNNPRYAIPGSRVKGALPTGFPNVWQ TVYSRESQDDQVPGWVKAPNPFGGGAAAQGTGGPVGMGGRVVNLSGARPVSTMAG UREG_02981 MVGFYEDLVASVEGHSLKRDTILSGGDGRFHDAAAGILQRREDE RGSLCCRSPMPCLLVRNRKLSFCWDRFTTNYYLPDGSHGSITSGVYTTPSRDTVNLIL GNFTLASGSTGNIYSSSPDSKPNLSTLHLPSQFTAKGDGTAIPGSELGAPAGTATTPG AGGPGPTVTVTVGGGAAASATKTADAVPIRTGQSGLISILFGALVALVA UREG_02982 MRPSLTPAFFSTLLLLHSLFSAAFYLPGVAPTSYQAHQKVPLHV NHLSPTVSDHDDQLHSVISYDYYHPAFHFCRPEGGAKDIRESLGSIIFGDRIQTSPFE IFMAKNETCKLLCPEVVFDPQSSEFVNQKIWDGYNVNLLIDGLPAAQLGEDLQTEEEF YIPGFFLGSVDKDGTKLLNNHYDIHIDYHQVTGLGKKEQYRVVGVLVNPTSRNPSKIL DGGKKAECSANGSPVSLSETEDTTVAWTYSVTWRESPTAWATRWDKYLHVYDPSVHWY SLIYSAVFVILLVALVSTILMRALRKDIARYNRLNMINLDDLNDNPSSVEDGIQEDSG WKLVHGDVFRCPKHPLLLSVLLGNGAQLFMMTGLTVLFALFGLLSPSNRGFLGTAILI IYTFLGFIGGYVASRTYKSFGGEAWKRLIIATPLILPAIIFSVFFFLNFILWVKGASG AVPFTTMIVIVLIWFVISVPLSVGGSWIGFKQPALEGPTKTNQIPRQIPPAVGSLRLV PSTLIAGFFPFAAIFVELYFIMNSLWTGKIYYMFGFLFLCYGLMIMMSAMTTVLLVYF LLCAEDYRWQWRSFVGAGMTGGYVFINALVFWATRVSFGGLTGAILYLGYSALLAFLA SILTGSIGFFASAVFINRIYKSIKVD UREG_02983 MGKSQSKLSPSQLEELQRATHFDKKELQQWYKGESSGMIDFKEF ICALSITSRGRMEDKLDWAFQLYDIDGDGKISYEEMLAIVEAIYKMVGSMVKLPEDED TPEKRVKKIFRMMDKDENGSLDIAEFKEGSKRDETIVSALSLYDGLV UREG_02984 MSSAAISAAAHHLVKRAVSGVPQAISIAGQPKNGDDSKEASPVV LAVLVATMLAGALAMGALHYTLGMVVPALAIVEDSQPAVYVPIDGEDDDGVPKNTPGS ATDLEGTGPQGPITAKIRTTLKHLRARGGFWAPFRGLAVFIFYFFCRGILISIFSLGA SYSHIFARALATIAADVALTALSVTWIHTVISEPSANARVFWKRIPAFRTWKKVVPAV ALQSVASHIAFLVPLYLGIALHSFRMDDDSLTKLPQLGKPSAGTAAGSVGIFLLTLAL TVLIDIPASVALVRVAASALSPDVDTIVPFDRTFNGKVGPAILGGGCLGLLEAWKGFT WPSRVRLMKLLAKYFAISVAVGAAFVVIFAAEIISFSTKTKLVDGKN UREG_02985 MAGSAAAALLGRQLKQMQTDKDIPGISCGLGDDNNIFEWEVMLM ISDECKFYGGVARLSFPPEYPHLPPKMKFENSIFHPNSAFSSFFLPHKHSLILSPLVY PNGEVCISILHPPEEDKYGYESAAERWSPVQTPETILLSVISMLSSPNDESPANVEAA RLWREDPKEFKKRVRQCVRESLGEE UREG_02986 MKAAAFFSLGLCAVFGAAQNLDDIPQCGRMCIQNMLNKAAELGC AANDIACLCSNRDFGNGIHDCTQQACRDDNLDQIIAAGRALCPNNESDTTSGAPATTQ TIVTSSGTGSATLTETISDTVVTSSSPYSTAPVVSTVTDGSQTMETTVGSTTLFTEVT GSGSVTETPSPTTVTSEFTSTLTSGSNTETVTGTTTETQTNNPTTTSEGAAGRVMATA GPGVAGALGLMALFVM UREG_02987 MQASTEHLQDGAGQAQENAMIHVNPDTPMQNGGWKRKQMACRSD ALSAAIIGQDATVSGRLDSASVVFATGRETMRSLRVGSVEFRDLEFRASKPPFTTSMA SD UREG_02988 MDTALFTHLSAIEQCLNALVSSISSSPTAAGAPAAALALLEADD NLTSALDTLRTHQANYAKILQLRADASSLEGRVREIVQEVGKMGDDISALAGGDDDES DEDGGNASDGEDTAMGGMRQQKNEIDYKLLLDFARRISKYNTHAASAAASGAIPTKRP LQDEQEGPQGPGKDRGEMATITKNSTSQLDALAESIRQGWLLPYPNDDKIRMGVMGKL QAAVAASGARDDRDIEREVDKVILAVEAATTGGADAAKTADAVIRDDSVANTSGQISG PSGQAAAGRTSAVLSGSTMKPATQAKAKLDLDLYDPDEDDGPPTCGRLEIESSARDIG RDSKRAPQYGQAHVHHNGRDKAMPHRPRGDELADAVAPEVLVHSDGNKDTSRNRLIAV DGIGADNGGDGSNLDTCACVADDDYCLKRRHISHVDSEMK UREG_02989 MTLATLVSFSQAVGPLALPPMFPKLMEEFNCDLAGAVQFTGGAH RNHIRPPSRADLLDTTQPQIITDVMFLHERGAYNTLYFTTYFGALMVGPIIAGPMTDY LHWRHFWWLNVALLAAVNIALIFLFPETKWHRAHPDELANSDQASSKGGVIEKESETP SATTVEGVSEAQMEKGNVSRTETQADPYLGKGSPSKKQFKLWQINESPLKTMLIDFWT PWKLHAFPIVELGGIHCVLVGFGFPRCQFNPEPELRRTAVQLFSTGCRLHQLCDFDRC LHRSLYQWPLVGLDLHACYEEEQRHPRARDETAGSDSVCYCRNCLQFGGCFGISI UREG_02990 MASLERLTELYGNISRLDPQLLEGLRQIHAEDPAYREPEVPELT TTDPAEGICIVCRCAWFLPVQFGPCGHVFCAECLWTVLCRSSALPACMLCQSTKTNFR YRSDMHKISTDRSDFDRGRFSIILLYMKLQFLDDAYASWNIGSNDYKAFEADVNTDVE ATEGIDPETLSALEKQEGHCAAGPDEDGDEWVDEDSDEEDSNHLLILLHRVPVRALKG MLRRIRFARVVVQQRLEELAPNYRAW UREG_02991 MPSPSANREPEIGEIGGSGIDASLNNPFITRLQARRKARHSDTK ESQQSELSQASNVDGRSDKNTSIDEDFGERLPDGRIELQESDCYEKLGFCFSTTKKWT ILCVIFAVQISMNFNTSVYANAITPMSEEFSLPEKTVRLGQMIFLVAYAFGCELWAPW SEEFGRWRIMQLSLAFVNVWQVLCGVAPNFWVVLLGRFLGGLSSAGGSVTLGMVADMW EADDQQFAVAFIVLSSVAGSVVGPIAGGFMEVHLHWRWNFWIQLILGSAVQFCHFLLV PETRATVLLDKEAQRLRKSGMTQIYGPGEIHGRGYSFNQLCDIWIRPFSMFVREPIVL CLSLLSGFSDALIFTFLESYQPVFSQWGFGTIQVGLAFIPIIIGYFIGYLSFIPVILR HKKDKKFRPHRVNPERRLWWLQITTPLECVGLFLFAWSSFGPPLFHWMAPMFFSMMIA VANVSFDINHGGSI UREG_02992 MYAFLTPPVPSLSALPPELLLHIIPYLPPDAQLALSLTTKRLYH ILADQVPKWSEITSSEQYPFLYLLEKLKLSTPSNSNTPVACCSGCSLTHLRYNFVDEE LAKSADRRVCREATKSFWLNADTQYSFNDLTTHRDADRGWVSEDGHLRRNSTLSFTIF TTYKILSLPKSTQASRKKIASILHTFDLPSCPHTRLSNPLVLEGYVPNAHPVDAQPLY DTHNAAGKCRFPACKTHFYWITRTHASKPDWKTLYLEIQRDIGRLVNPDAPAWLAQLI APNTDNLTKFWNDRMQWKRQMLVYEERKYEQEQLQQQQPRLSISSSPSPMTYIKSTLA TLPSPQPAPNHSVDTNEGRREEHAPTRPEPVDDETQLFTAIWGAGIPAAHAEFRRKYK RANRAKLWQDTVVQCVGSILTVVGPR UREG_02993 MASTYKLEEELIARASDEYNQRVRANEKVSVATLAREYKVSYHR LRRRILHVPSRSTRRRTNLRLTEEQYKALYEYLELLAKDGAAVTPQMLRDAANSILCE SHTDPNTPPPTVGKGWQYRFIEMHPHYFPNIKVRKNESRLGALRNSLTEQQDQPT UREG_02994 MASDEITGYPGDISVSNNGIKEAAEVIRVLEDAQVPCCMVAEPA LIYYGTGRVMTAVHMPCTPETIEYSHNRIPYPKLPVYTQSLLDTLNLVDLDDLVDGMN LTYEWGDENLDLDRGPDANWGRWRADFLSEGAKAEDEEIPQWCFNPPSLRTIWRKAVS AEAKRNRQSWKYMPHMETRFRKYGQQDPRLRPRAYC UREG_02995 MASKYNLIDYDPEEERDKDPNGAPLDNLISAADYMRDLLCTHGV KFAVMGGFAMLCHGSSRTTRDIDIVVDASMSRLWQLLEPEPR UREG_02996 MELKPERASSRPPSIAIEDHAHQRLPTDSMVTVPLSDLLPSPKE IDRNSKFSEIAISPAKSRTESIVAADFLTLEDEIGSKPVSQTSSRRGSMVNVPAPNDD EENKELDDTSSVDWVELEKTEEMESKSDPADDASTALLLARLEQENNALATDPKSAVS GSPKSKRTSRPPSIQQLKKLVDDPRSSLRYSLLPTPQMTELEFWAALVADYPRTAQRL PTLTSNKIRGGVPPPLRGVVWPSVAGARDEALQEEFEKLSGESSPYEGLIGKDIGRSF PNVEMFRDPNGEGQQMLAKVLKCFSLHDTKIGYCQGLGFVVGPLLMHMSEAESFCVLV RLMDHYDLRSCFLPTLSGLHLRIYQFQTLLSRHLPNLHAHLEALNVEPVYVSQWFLSF FAVTCPLPMLLRIYDVLLLEGACETLMRVALSLMQRNEKKLLACHEFEDVMQLLLSRT IWDTYCCNADDLVNHFVSLTSLVTRESLQRLEAGYKESQASSSSVYLPQLQAVASRFL GRLWAGSNSHNSTRSLALNPGTPFSPSIIRRTPSKQSMASTLNSFESTSDASTAATEF SSDSTKRKKLTAQSKDKDLHTQIEDLLMALTDMQREHANLAKELQREREEREEDQQLA KAMLGYIKDQTENEQTVELVTKANIRFSSTDSRRLSMQQTKQQLRDDITLWREKYEIE LARCQNLGRTIDEHERENARLKEELRETRSRVQDAHKAKQRLERTIQELKSRKLSSSD PSDRRHSTIEDERTSTNGLREFKLGKATTSSTHSTSPKASTFSKRTSSLGAPAMFFPP ENADEDALLTELVIAKTSEAVARQELDEVKAKLEHLRKLVSKTGVCISTATTPQVETV QPLTTGWLALSGTPKTAQSNSSANSANSAGGGFFSGWAKRTMSSGNISITESK UREG_02997 MDSDVGSAFEDDGASSDFMPDPAPKAKAKVTTAKKPAKPKAPVK KATQTATTSKPATKPTAKKRPRKETENASDEDVDMRDDDSILSSTPPQPPKKSKTTAG SKKSAGNPLAAMENEAASTAQSKGNSGDQYQKLTQKEHIIRRPDTYIGSVERTQQQMW VFNSTTEQMEFREVSYVPGLYKIFDEILVNAADNKQRDSSMSEIRVTLDKEAGEISVW NNGRGIPVEIHSKEKIYIPELIFGHLLTSSNYDDDQAKVTGGRNGYGAKLCNIFSTEF TVETADSNSKKKYKQTWKDNMSVMGKAKIADVKGDDYTKITFKPDFMKFGMEGMDDDF EALVKRRVYDLAGTCKVNVKLNGTKIALRGFKKYMEMYTKAIKNERGEEATSDKSEIV TDSPDPRWEIGFAVSDGSFQQVSFVNSIATTSGGTHVNYISDQICAKLAESVKKKNKT GPLLKPAQIRNHIFLFVNCLIVNPAFTSQTKEQLTTKPSQFGSKCTLSEDFLKKILKT QVMQNIMHFAEQKADQILKKTDGSRRARMNNPKLTDANKAGTKEGHHCTLILTEGDSA KGLAMAGRAVVGPDLFGVFPLRGKLLNVRDASVDQISKNQEIQNIKNFLGLQHKKEYA DTRGLRYGHLMIMTDQDHDGSHIKGLLINYLQVAFPSLLKIPGFLIEFITPIVKVWKG DPKQPTATKSFFTIPEYEAWKEVHGHDRRWDKKYYKGLGTSSTEDAEIYFRDLDRHLK EFHALQDNEAQLIDLAFSKKKADERKEWLRQFKPGTYLDHSVEKISYTDFINKELILF SMADNIRSIPSVVDGLKPGQRKVLYAMFKRNVRKDAKVVELGGYVSGMTGYQHGEVSL QQTIVGLAQTFVGSNNVNCLEPSGNFGSRLQGGSDCASARYIHTRLSPFARRIFSTAD DPLLKHNVDDGKIIEPEVFVPVVPLVLINGADGIGTGWSTSIPNFNPEDIVDNLKRLM DGEPVVPMKPWFRGFTGEVTAAGDRYKFSGIIKQTGDYEVEITELPIRTWTQDFKDKL EEIIKAEKAPSFIKDYKDYNTHSKVHFIIQMDEKHMKKAVEDGLEERFKLSRTITTSN MVAFDAEGRITKYNTAEDILEAFFAVRIRFYEKRKQFMLAQLHKELEKLTNQARFVQM IIDGKLVIAKKPKAKLMQELKEKAFKPFPKGVDAAKEGEDEPTVENDESEEEEKKSGS DGYDYLLGMALWSLTQERVAKLLRQMGDKELEIDALIKLSKEDLWRRDLDEFIAEWRY QLEDEERRAKKSRSYGRRTSTKLKTAGPAAKKRKATDGGDSDFAPKAKKTAIVNKVKP KGGLLDYLGKSSAKPKPKSTGLDGASDMDDSEAEVMPRVKARAAPTKKPQAITDFAFS DSEHDKEEQPPKRPSSKAVKSRVSASPEFSLSPSKEDDRSESEKGSKVESEKAKEEKE EEEDSDLEIVSKPRQRAARMKRKPVVLDESSSSENDDGDDLLGDVSKMVKGLGSKSAD PVAGSPTLFSSEYARPGAGVGSKPMPKALKAATELDLDETDYSKLIPLNSPRRSLLVK PKDGKDPKMDGEEGEKPTTTKAKPGPRAPAKTSKTAPSTGARANTQKAGKRTVAPTKK LQLSPAAKAYASKKTKSSKALADELSDDDIDAMANDILESSGSEQEPAAKAPAPTRAR PARRAATTTKKPTYVIDDDSDDGFSSPSLDDDFSDD UREG_02998 MLSRIYKTPSAINCQGQKLIKEQAKGAKNRQVRSIDETTVTVAR GNDGPYAIKVIPGRGLGCVATSRISKGTRILAEAPLFTVPKETANLDSVESALLKELK NLTKEQQHDFFSLHNAHKGKCSPVIGITRTNAIPFGSRGSAGGIFPRAARINHSCKQN AQNSWNYNIGKLTIHAFRDIEEGEEITIAYIDGSEYFETRQNTLEEAFGFKCRCEICD ISAHETKKRDRRLEEMARLDNSLGNGRRIMSKPLDCLHDAHTLFRMLIEEDMIGSRIS RVYNDALQISIAHGDEARAKVFAQRAHAVRVILEGEDSPETIRLKGIIENPSSHGLYG SSTDWAQSVKEIPTGLSESDFDDWLWRQKAWKQTK UREG_02999 MASIASLLNPEPVTYQGSQQLPTPCSSRSREFATQPQRKKQKVS KDAAIFTRGKIRGELRYPPCEYQDKVLVEAHRELEIHPMGHITEFPRHIPYNSEKKSF LEKTGRESFEGYWMPFEAAKAVAATFCWKIRYALTPLFGVEFLSLCVPPNDPKFGRMT IDPEIIQTATVTAHQFRLLEMNENSHRRNPSADSYPTNPAALKSASAKNLRPRSSNRP GLENQPEETIHAGHYQSRASPGCGWTPTNTPRSAGLVSFVPSPQEILVSLSGFHEKPG KPPVSYGSDPITDGDTSSANSALSDSGDDSYTDDGSMADSSESEMDISTGAQSSPLPL TNDARAAYMLMQLHMQENAGLDNEFPRKRRRASA UREG_03000 MRISPRLFGATAFCLLASLGTALGPIWSTLQRDLELAALKGLDA DAVFNPDWKDVKEGFFRGAPEAAATPEYATIPIDHNNPRYTYRNRYWVNDAYYRPGGP VIIFDGGEGDAQGLANYYLEDQTSYIVQLLQEFGGVGLVWEHRYYGQSNPYPVNDNTP ASQLQYLSNEQALNDLPYFARTFRRRSISYDLTPRSTPWVMIGGSYPGMRAAFSRLKH PDTIFAALSSSAPVQARIDFSAYYEQVYRGLIAYGYGNCTRDMQAAYQYIDSQLAQQN TATYIKQLFLGPGAERNTHGVFTQALLAVWVTWQTYGPTGEVAQFCNWMETDPRTGRT APAEGWAPTRGVRAVVERFAAWPNFRSRVNAAFGSNCGKGDCDLKLTATDPAAISWAW QFCSQWGYFQTRNPSGIISIYQTDDYFQRELCYSQFPDGVSSGHLPARPGVDQANNYT SGWYTRPSNVFFTGGEFDPWNSLSTLSTESYAPRARVTTRIPQCNQPTPRSEVFGYLI PHAEHCYDLRTDVRSGEYPRSLFRSALRQWLPCFRRRN UREG_03001 MRDVVINPAAGGKGGKPFELYKPDKPVKSIDIWSNNGEGGASNY IVLKGLAINWDDGSEFRGQKKGPRTHFTFDQEHVRGMNICAAPSGSEGRCDSIDFTLE HNEELFIGGEGGHKNTEQVGNGVLVGFVGAAQGDIDRLGAVFQK UREG_03002 MAETGHLSGHPQSGNTSIEIAALTVTFTGVVDRKPTNGHSEKPA SGQPLTHFHSIVYSLLSWERPQTTAISYASVAGMIVAGRYLPLLRWALKLLYVSLGFT AIVEVIGRTVFGRGLMSGSRPRKYYTIPKDTVESLLEDLEQLMDFFLIEFQRILFVEN LSYTLATFTAALVSYWLVRFLPLWGLALIGVNIAYLGPLLYLSNKEFIDEQINAVQDL INAQAREVKHMAEAQTSQATDIVKQYVGEYRAKAHEYVVTPLSHQPSPDANQKQISDT PVKTESQPGPTALEHADFPETPQSELLPHVRDEAKGEDAGPELVPAM UREG_03003 MAPKLFPRSLRSLASRRFPASQHAAHGQSILRSSLPPVRAFSAS SPSRIMDVSCFRPDELFVRDAISKICAKFPDEYWAERDETGEYPHELHAALAKDGWIG IALPEELGGAGLGIAEATMMLQTIAQTEPNTGLETLKLRTTAVRDGDYYKITGQKIWI SSAQVAKKMVLLARTTPLEEVTNPTRGLSLFFIDFDKDAPGLELRKIKKMGGRSVDAN EVFFNDYRIPADTLIGKEGQGFKIVLHGMNAERCLLAGEALGLGYVALEKAVKYAKER VVFGRPIGQNQGIAHPLATAFMNLEAAKLATYHAARLYDENRGEQTATPTTVGVACNS AKFLAAEAAFAACERAVLTLGGMGYAQEFHVERYLRECFVPRIAPISQEMIKNFVSEK MLDLPRSY UREG_03004 MASAALKLQKPVGSSEWIAAEKENIMQLVEQEMEEVEFPVRHEL DWLNEHMAEVFRGNQLNVAEIFKTPGKLRGRTPRTIRKHKTPAGQARVPLSDIFSSQN ARNSPLPTSRFHQAISKLRSPPEPLQTRQRPLSAQSQNSNPNFNTDSGYHGMSQGVNM DMDMISSEATQTDPDLVSEPELGHEEEDGELEPEPELGQETATQRIEETTLLADDPRG RIPSSPHHTTEDSFHSAREIAASKENTMESMDLEYLQSPQRDQSIEPMYPSIPQAKEN INSGPQLTPNPKTQGPTDHDAILDHFDDIGSPSEKSTPGRPFIRKSSLTFASLPAREP LTKKSMGGGRISRISQVDPAKSAFFGRQTGGVRMTQFLTEEETGQFNRPKNMDNNVKT SSYEESDSDAKAAKLHNKSSTQLLHEKINMLGKAQSTRGTKSIAAAVPPVSQQITYPE LQTARREPNDDALESFTREQSSKLDDVSRPPSSPFIVRQSQFARDVSDKPKSSLPPSN QDQYAKLRSLRTGTPERRSPAPRSFISHGKSASVSSLAGSPRPGTAGSLYKSTTIDST PKSSTTPVNSPKRYEGPLSASKSKLQSIMKSAKGLFSSSAGASAAAKEAMSPNTTLSK PTGYPSVYGVFGHNASRDSASPIKQEGRRTRSSTEKEKEQKRKEQELKDRQRTEEQLE KAKELEKAKNSEPKPTHKPPTIQRLDAVVPPPLKVKSSPQHLQPLSKPASRELGAGRE EETKSAVPTLSQIQAKRNDRRPVKPTRDMPKARPHPVSIKIGTLSQRIPLASGPSSAP STQDPAPTPTLTKQPSIKKSSIASSQTSTSTSSFKSSIAASSAKSKAVLAGERREQQR REAQQRREADRKQLEDARRQEQLRVEAERKERERLAAMEDPKSTAKKQAIEKRRLENA RKAQQQRVQQPLPVNDAGFVPQEKASFQSQRGDMSLPRAPSRLNSVQPPNRPQPMINP AKPPKRANEEEAARSESGKRRKTDDDELAIEAPIRPTMAPPIRQSGVRKDLKTQAFPT SYVATPNMGHTQPSSSMFKPLSSAARPLQTPGPLQPGPSRPHPLEISKFANGKIPFAE SNQPPPAAHRTPGYKQTQKAVRSSPAYPNGEQIKLPEIPTDSEDEDSEAEPYHVPEWA KGDNLRNLLIEQEGKDGEMVFGPTAPLLMEEIFKDNKDRLRKFRDRTSSANWAGTDGL TTEEIRWDIEQREALKRNGGWMFDT UREG_03005 MDLNGAPPTSKRKHSDSPLSLPSAKHRRPDPAAPSSPMPSADQT PGDITMYSYDDADSAAEEAAAAAALQMPLPAVETDSAEWQETIETVVKSVVSIHFCQT ASFDTDLSMASQATGFVVDAERGYIMTNRHVVCAGPFWGYCIFDNHEECDVTPIYRDP VHDFGILKFDPKAIKYMPLTELKLRPDSARVGVEIRVVGNDAGEKLSILSGVISRLDR NAPEYGEGYSDFNTNYIQAAAAASGGSSGSPVVNIDGHAIALQAGGRADGAATDYFLP LDRPLRALQCIQQEELVSRGTIQTQWIIKPFDECRRLGLSPEWEAQVRKEAPKETGML VAEIVLPEGPADGKLQEGDVLIKVNGELLTQFVRLDDILDSSVDGNVHLLVQRGGEDL EVTCTVQDLHAITPSRYVTVAGATFHDLSYQQARLYAIACKGVYVCEAAGSFKLENTF SGWIIDSVDKRPTKNLDEFIEVMKTIPDRSRIVLSYRHIRDLHTRGTSIIHVDRHWHP KMRLAQRNDRTGLWDFADLADPIPAEPPVPRKADFIQLDGVSHPAAADIVRSFVRVSC TIPVKVDGFPQARKVGFGLVIDAEKGLVVISRAVVPFDLCDINVTVADSVIVSAKVIF LHPLQNYTIIQYDPTLVQAPVQSARLSSTYIKQGAETLFVGFNQNLRIVVAKTTVTDI TTVAIPPNASAPRYRAINLDAITVDTGLSSQCTSGVLLGDDGVIQALWLNYLGERTQS SHKDVEYHLGLATPPLIPVVSQIQNGIIPRLRILDMETYVIQMSQARVMGVSEEWIDK VAKANPARHELFMVRKVDCASSLSTDVRPLEEGDVILTLNDKLITRVSEFDMMYDQET LDALIVRNGEEMRIKVKTVPTEDLETDQALIFCGAVLQKPHHAVRQQISKLHSDVYVS ARSRGSPSYQYGLAPTNFITAVNGIKTPDLDSFIREVIKIPNNTYFRLRAVTFDNVPW VVTMKKNDHYFPMSEYVKDTSAPLGWRTVNYDRGKEGLGTGDVANLNADAMDEDCEEG GNDAEPDARVVRGSEC UREG_03006 MISTDPDNLADESDQVWMAVFFPLLRLLQDARHPPERIVQLAAL FRRHVLPTLSRSHLSFMTDDHTPMAMSIRPDGHKVSFAVEPAALPVGPAARDRWVRTL ARQSVMHTATDLEWYNICSELLTLPEGANLSTIQKLDQAGYSQFFLGFPSPTSPLTLK GLILKPYFMPSLKASYSGATVDSIVASLIPRLYLEEPWSQVNAFFSTVPSPLRPSPFI VSIDCLAPSLRRAKIYYRIKENSLAALEKYLTLYGRLAHSSMSSGLQLLHKLWKRLLS QDPSENISKNAKNPSHFTVMPLIYFELREGHPDPYPKVYIPIRHYSETDKSIAAALDD IYTDLGAVQSGEFINLISRVFDHRELGEKQGIFTYLSVAMKKGKIQQSPDLSPPLKVA LDIKHGLRLIRWLNIFTIKLRTATSWEDLSDLFTSNCRWSDLLSHSSRFCKLSGLHDV AKFILQDVRQSKPRGFCLLPGVHRISYAENPELITARAVWNTTNGECSAAITFVITPT GQCKALSVVMQLEALPRNRPRAVKCLSVGYHAVVVGGGLAGLSTAARLGDLGMKVLVV EKDSRVGGSWRNRYTNLKLNTPRVYSALPFFYHPDNLPEFMPATSFADRLEAYSRSIK TEFRHSTLLCASNYNPKSRSWSLSLRDMEGSVFNTSCHHLIIASGIEKPRAVIPDILG LKDFSGHVAHASNFRSSKSWAGKRTVVIGSGCSAHDVAKELYTAGAESVTLVQRSATA VMSRASLIAAFPGLYNGENYPPVEFADRLHMAGPYLHFKEYGYAAMRETEVIDRELRR NLTKVGFILLPNTEADNFMTRLLVRHGGYYIDSGCSQLIIDEKIGLLTGTDISHISGS DIIFRNGTSIQADLIVFGTGYTGFCAKELIEDMSITHPIASTGGEVPRVEIWQKSTCG EVFTCRVFSRLLALQIQAEQLEIELDDNWKLE UREG_03007 MGQTSLPQTPHNHNIHNGAGAFVQPPAMTTPSASRPPFTTPTRP AQLPGQMQAQTPPVPHQLQSSPSPHPPQPTPAAQAAQAAQAAVSAREKARVTVLLDIN SALLQEVVNLQSSGKTGVSNQPATTPAQDQQTTASPTEPSASQSQGSGSQPAKPATKS TQEYVDCMRRLQANLAYLATVADRAKKTGVAAPLAPAILTPPPSMPSLIAAYTQLNEL FPDAAKLAAHAQAQQRQMPTQNIPQLMPQTSQPTHISDGMVPGTTGAMNG UREG_03008 MAPPMPACGGTAPTTRGKGCCGCCCWWIWGGMGSWGYRPGFWGN WRPPEAAAERPAGTGGAVGSSLRVAIESTLARRYDLDDDDSAVAMRVVWRKADGRVAC PGTLSFKDLQHPSTTTRLGAGLSLGDNADGGQCLLQQDVFAGGCQVVRLDFSLEAFPS RRLQENNDLKNSCWRYGARNYRHIS UREG_03009 MAEQPSGPKRALSSISPKPVEPSSLAKPSTTPSPLPNPTKPAKG PSTRRALSCLPCRRHKLKCDRHVPCYSCTRYRREDLCRKHPAPSSLLEGVRGGPKAQT PAIAAVSDNSAASATSQIASYSHATQISAPAPFPRPETFPNTSSAAGLDAIPPASLSV ATTLSLRNPVGQYSDTVQRLAGTSILPRSLPFLLSTGQTSARQAEVALFWKTQLVSFL PSKYQCDLLVMYFLEHINWVYHFLHPPSFQDEYAAFWNTRVQDVNLIWLSFLYAIMAS SAVFLPFDAAEKAGFPRSKLRNKSHEWYSASRQALHAGGFESRPSMTQLLTFLAHQLY WLATKDVEALNSFCLTFLSRIKFPC UREG_03010 MDTISAIPGCGGMERMRLRIQGYAKVTAVMHFQHLNEDLSAVYC NRDIVISIGEVGNHHLNIFPYMVRRKRALDKQETG UREG_03011 MTDIDQAQQDSAGEENVFLEAIRALQHEGTRAEVAEGFRETGNE LAREKKWSDAREFYGKSLATIRDVEEGKWEESVDVEGDKRRIRDTEEKVLVNRALCNL EMRNYRSCILDCAATLKLNPQNVKAYYRSARALLALDKIPEAQDAADRGLALDPTNRS LLLTTQQISARKAILDALAAKKLAETERAQRIKLTLTTALRARGIKVRETKQPPDLED ATMHLSPDPLSPTSTLVLPCVLLYPMHAQSDFIKQFDETQCLRDHLEYIFPLPWDNEG EYDVDTVDCFMETINGGLIRVGKKVSLLGVLNESGGKVEIVDGLVKINVVPVGKSRKW IEEVKARRTPG UREG_03012 MAPFECLSVELLGYICDYVASGYKPSVDCEKLSEDLDRWNHILQ ESSGFGCVRQLEIGPRADLVREGDEKFSVHDNNLDFGGQCHSPVISKRDDEWKPEPLA RFLIQLPALRDIVYRSDYQFSPFLLDTLHRSLPRCRLHFLSFHLCSLIQHRDRLQDVD PHEYAIATSPSLHCITLTHYPFNTDGLLNYNDVALRKIVAGLAPNLKRVQLFSVHPGS SPQYVNAFRMGRPKWPGFFQGHPSQATDIGNLEVLDLRFGILDLEDWARYTALSSLHV LKTNCEIRSLDMLRWASGCKFTSLKVLELNLTSIHEVQNEFMDATASIFLESLLPLES LGLTGFFGHETFQVILNHHSSLYKIRLLPHRDTAFEPFVLTAGRIGELMKRCPLLKEA TLLVPRTQGDTEEVNIYRMLGSIARLTNITLHLDSSDYSDPRLHNRFSVPLEYDPDLQ AMVHPEEIKSQARRALINAAVDEPLARSIYQEIIQAQAQHGKPVLQRLKLQVIGDGDF GGGVTDGDLIEILNVISCNWEFIKNPSQRDDRDDDVVVKKLSPRNSERADMLYDPWEN TGRKMSFYQQIFRKIWPERTNHWTNDWHSLPLVLG UREG_03013 MAEVNADDCSLGGLPFGPPTGGAISLTGLENLSSSEKSARIAAV VSDIYASIIFISRHVKAGTLTKHHCKPLYNFMDNIITTERKQKRKVVRELRRQDGRME RMASRHQRDVEKLLGLARSAIMHLRRSMERLQMELDELKGKRRIDLGDIEKRLPVKMA DETGPVGTDELKNGAEEVACPGDKQVEDECRVRSPLNPDVSETA UREG_03014 MSKPGDFEAVRKDIIAQMKQPGYDDGSAGPVFVRLAWHSSGTYD KETDTGGSNGAGMRYEKEGGDPANAGLQFGRAFLEPVKKAHPWITYSDLWTLAGIVAI KEMGGPEIQWKPGRTDFVDDSKLPPRGRLPDATQGQDHLRRIFYRMGFNDQEIVALSG AHNLGRTHADRSGFNGPWVNNPIRFSNQYFKLLKNLEWKPTTLSNGVKQFTYVDPDVP EDEKEEPLMMLPTDMCLLSDPEFAKWVDRYADDKELFFDHFARAFAKLLELGDSARRQ WKDYQHR UREG_03015 MSSDDPPSEVNENYPDFDYNCLQPPPEEWELYDIPDKTHLRNRA LDLRTFKKLVKHHTWGIYVVIGERRTKERLLQVLKRIIFNQSPNLRPTWHEFISRNCD AGPRLLRRSYNALQISRNYPNGIPGESIYLQPSATHHQPQLMIPHGLQPGAFTHGPIG LLRQPGYPAFSHGGPNIPQPRQMEFHSGPIPDQNRMFEHGHSRILLRPNSDIQQSSVH NLLPHNPNTSPPYRPVSSIAQSTPSRNQTASSASARSVTEQRSKQLPSRSSEVFEAVE RTIMSFPYRKLHRHRDAPPSTEPVRNLVATSNVHLALNTSRTESTISHIQAIITGKIP IFSFDPDGVRYPYHGRGPVSRHDTSAVDCAIVVGKLLDAGTTIADRGDEVDWAYRLTA LENAFIDASNVNWDILSEAESANQRDQFQEVVANCFSDKGLSMIPSNVWAACTESFSQ FHVRYNEHLYPCPCQRVAPTSSADQTVNLIAPDFQEGDMAGVGVAELFYRFFQCPQRF NCTKCGSSDTNYVEKRFSQLPWRLAVRPDQRTVLQSHSLQNITFEYTSHDGSQHQATY RWLGGIYCVLIGQEYHFRVYWNDNGRGEADDGALRFYDGTQLSGAIIGGLHATGSERI PPTWWVGGAPPVLFYEKVVNPEQDVLSAAHRAVQIMQECANSGRLILQYHRGWAPYPQ DPNAKGQTIDRRRASIAQSFNQFPSSNEPRRPQHYSGVPEARVQSLNQSAVRLQSRTA EITQQPLVQRRRSKYSQQGIPASHQRQPSRSGNKSDFVAAPPNHGYESREDAQARQNL QQASLEGGSGVRASTGWHAHCSDTCSNVPELPPVTGSACVPFTDREILSDSIFSTSWN TGPSASTMTTSSDSIRDANTNLLPHRSRGSGSWATVLEECLTVEKPGVSHSYLDHLCA AGTTAGTEVDHNSNPQLPIGLANLPISIRRPGTATEIDDAGAWAFDFDAASAELPNLT LPATAPNIGTAAMTPTLNAFGNILQGYGEHPDHLQEPRVSQATGQAQARPDAFASEPG VPSIPAIDSDPDGDTGEKRKRQQSTEDGHGLSKRSKQCFV UREG_03016 MVHLAAVSKNADTLPLRMVKRVDTIPLDEPQEHDFFSSVYGSRF AAEDLPTNEMPEKEMPREVAYRMIKDELSLDGNPMLNKNFIDYEEYPQSAEIQNRCVN MIARLFNAPMSDTADHAMGTSCVGSSEAIMLGTLAMKKRWANRRKAAGKDCSRPNIIM SSAVQVCWEKAARYFDVEEKFVYCTPERYVIDPEEAVDLVDENTIGICAILGTTYTGQ YEDIKAINDLLVERGIDCPIHVDAASGGFVAPFVNPDLEWDFKLEKVVSINVSGHKYG LVGSHQSNTP UREG_03017 MAVGTTQANGGTAAEVTQTATETRWRPSSPSAPRYASETSAQQQ PPPPLRSSRQRSLGVHDEQGAAVAAAASAYCTKETAPRKVIERPSVEEHQPPFVLEAA EEGHVTEVDGVGTTEAVDEEYDRTEWNDSELIEDDGLLSALPSNPRPQVEENSEQWAV PPSMSTPAIVTTGESERAAMEHSAIPRGSSPLRHETVIIGNATEIPYIPEEERVAQLT HGQGSAHPAEATPVYSVAVQQAEAERAVLEEHKRHPEELAEEDWERHREAETPRVILA CESAILQAEAESCQEPGEELRVAGGISKPERTPEYFRAEQPLKMVPGTREPLQEAEQV DQGRPFRKEEGGKGMVAGIGRLDEREGGARETEHLVKPPEQLHVSAMPPVVMPPEPTT FQEHEAPIDMQQQLHDDAEIRAAPAPVGTAPILAQVEEPTEGTEEFHEAVERPIEERP QAPVQAPAPAAAAVPERPGAAPRKLSRAERRRAEKDAKSREKRRQREEKDRVNEEKRR QMDEVQRRLEEQKSNNGGTEQGPGERRDSKMESGGFFTRLLRRASSKPTDAANVAGQS NGGQQAGTTAAPAMPAPAANQPPVLAS UREG_03018 MGTFPTRGRLNRSNESDLESDCSWSSTAATRDSESPERPLSPTA NIGFEWIRMRWHNLEIDLLRIGAQFESKLKRQDRTYTFKS UREG_03019 MPVGINQPSNQIKFTNVSIVRLKKEYRTGAEADLDNVLQVPTVF LSVSKAQTAPAADLAKSFGSNAKREDIVQEILRKGEVQVGEKERKELLERVEREVLEI VSARLVDPVSKRVYTTGMISKALDQLSAAGGQQGGKPKPGGESPAEAPLRSESGTPVP ASEELSSQRKKPLWTGVVTTKSAKSQALDAMKALIAWQPVPVMRARMRLRITCPTSIL KQAARSTTNPASGAQKNSKGKGKKGKKADDSDEEAREIGGTQDTATGTGTVKDRILHY FEQVESQEILGQDEWEVIGFAEPGAFKGLSEFVGGETKGRGRVEVLDMAVTHEE UREG_03020 MKTFTAALLVGTALAAVPQQQPLQTQVEDSAWAKPLEDLKDTIK SMGAEAKQAWDQLASAFPDALNEYTLFSAPKKHTRRPDSHWDHVVRGADVQGIWVDGV DGQKHREVDGKLENYDLRVKAVDPSKLGIDPGVKQFSGYLDDNENDKHLFYWFFESRN DPKNDPVVLWLNGGPGCSSLTGLFFELGPASIDKNLKVIHNPYSWNSNASVIFLDQPV NVGFSYSGSSVSDTIAAGKDVYALLTLFFKQFPQYAKQDFHIAGESYAGHYIPAFASE ILSHKNRNINLKSVLIGNGLTDPLTQYPHYRPMACGEGGYPAVLDESSCRSMDNALPR CQSMIESCYSSESAWVCVPASIYCNNAMIGPYQRTGQNVYDVRTKCEDGSLCYTGLNY ITQWLNQKPVMEALGAEVESYDSCNMDINRNFLFHGDWMKPYHRLVPGLIEKLPVLIY AGDADFICNWLGNKAWTETLEWSGRAEFASAEMKNLTIVDNKSKGKNIGQVKSHGNFT FMRLFGGGHMVPLDQPEASLEFFNRWLGGEWKA UREG_03021 MNLALVSGEKTNFQFILRLLNTNVDGKQKIMYALTKIKGVGRRY SNLVCKKADVDLNKRAGEITTEELERIVTIIQNPTQYKIPTWFLNRQRDITDGKDSQV LANNMDSKLREDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKG UREG_03022 MSLVEKLTASLEMNLKDPAPPGLENGVSMIVELAIGIAANIPLE SRDIVVEYFLPGAPIAESHMKLETTLPPLASLAPENRQNSEPSSAATDRGDQGSLKEL AMDSSEVDTIKDTSSSSTANSGYSSKERKKSVFGSLISKKPHPSASQSQPESGRPASA IPRESKERDETDRDETMRIRFAAFVAVEVRSKAAGNIIVKAPVYGLV UREG_03023 MRLFHKNKSQPSLPEVFEASGEDNSEQPPPQQGANTHPPVRYSL VIPGDHSYQPPYPYANDQSVHPQTQNYEENYTHPAHAVSRFSHELLDSAPTSSHHRGR PTLNLVPTSPSLGLGSSSDLSSTEPPYTPHSSEASPLAESQPRKFRKGLFGLSSKHKD KDSSFRGSKVLGRTISVRQSKEEQPTQSRMPLSKKTSQPPYPPAVNRSSSNIEGQPFD PPRIQRVSTEPLSQDLYPPRNSSVHQYQEGPHLSEAPHPQLQIDHAHLQHPSVNYQLS GPQAFADQVSGPRSQSPQLDPYLTPRPPSQQSFGPPSPLNPHYQGFESNQHKSHFRQS LQPPTGSTQSGMERQTGLRQPSEPMGQNPQPQPTQPPSVTPGSSFKGNVPQPGSGEVD RDTPLHPPTKGRDEAPEIDVRALTQKYEELRMCHLSFSF UREG_03024 MATIRRALPFSTATLGCVVLIAALSYLLYRLLGIGRRPAVLPPG PPTQAIWGNLRQCDSFFPHHQYTEWASKYGPVYTMMQGGQPQVIVSGLAEAREIFVKQ GARTQSRPPSRFKLLMRGGYFPSVMNGLKWQHARRMWHAVLNSSASSKYLPYQELESE QLLLDMLNKPHCWYDHLERYTNSVGMTMVNGYRITSSDDPIVKETIEDLYELSRVGVR GNVLDLWPVLWKLPIYLLPICREARELAEKHKRFIWRNYTAVKESVKQGTTLPSFNRT IQERLQSGWRGVSEIEGAEIGQHLLSGATDTTVSVLTTFVAAICLFPGVQRKAQEGSE RLPQYEDTASLPYIQQLILEAQRWITSVPLCLPRVANGTVRWGNYEISEGTGLIINAH AIHSDPGMYPEPHIFQPERWEGKLDATRPDNQLLFTFGAGRRHCPGQHLAERSLFMVV SRWLWALDTSQAKDKDGNDIPINTKDLRPGVINRLKPFQADIKPRSPERATLIRQVWR ENCEYLLDQKHQWKLNPDINYKRVK UREG_03025 MSAGNIQRDPGPELQDAPANHGDPHESLPCTMLIRAKLAPRKED VAANRN UREG_03026 MYPLMFFLAAILSTAAADLFWELGFKPSGLVGLDTTKRCRLWVN EITTKNACPLILEKFELSQYEFTSWNPAVKDDCSGFDTNYSYCVNAPPPPPPSSSTKT QSTHISTTLTTPSSRSSTLVPTVTQTGPSPTQSGVAPNCAVGIRLKRAIRALNSLES UREG_03027 MAHGRAMSPPINNLTILAIDRGIEKHEPLESDPSDIRHFLFQVH GFILAVVFTLAMPVAVWVIRLGGKSAFSRHWIVQIAAVAVAIGGMSIALLISKKWIQI GDRHGTHKLIGIFVLCSLLVQPCIGYWHHLAFIKLKRRTSITFAHILFGRAIIILGWL NIAL UREG_03028 MRYTFASRRLPQCLQYSSRGQVQYRSSSALRPCSLSARCQSSLL RRPQLYSPLRPHLRVTIRYRQIHIPIPPPLIPPIVFTGLFFTLWIYKCIMMVVFQNRI IYMPSMPPGARREKIEDYAGLCGGVRWVERRTRAADGVELGLCVSDSGSEKGDIDVDR HVVVLYFQGDLERTSSRRVKYTLVALSYRGYWTSRGRASQKGIELDARAALSWIQSAY LRDNSRLSSSAQKKHEDILVLWGQSIGAGVATGLAAHQAELPASEQTPIKALILETPF LSVRSMLVTLYPQRWLPYRYLWPFLRNWWDSETALKTMGEAFKRREKLQERGAKGPEK MPVLIVSAANDELVPVQQADRLEEICAEAGLEVERQRVAGALHTDATIRSEGRNAVVR FLKGIGES UREG_03029 MPSSLASRSSGPFEPFARKGRPSVQITLRDELPQPKINTYTTRD TIKGEVTLSAETDIRFDQVAISFVGSTRTMIERPGYAGPTVGQSSAFHTFLRLVQPIE DSQYPQPRIFEAGRKYTFPFTFVVPERLLPSSCKHPVNHVQVQAAHTHLPPSLGDPML AGRGSKLLDDMCPQMCQTQYQIRVKVQKLAPFDSVPRTLVDTGKKIRIIPATDEEPPL EVSADSEEFCMRAEKDAKKGALRKKFGRIAVAAAQPKAFRLPPVLSEDDPSTTMATLH LRFDPDNEHQKPPRLGSLWTKLKANTFYSVQPWSDFPSRSAKLTWNHHRGVYTDTLSL ASRCVASASWEKHTSPPSSPSSSSCTSSRRSSIQSTSTSASLPGPTACFSGNTYYTAT LLVPISLPPQKAYVPTFHSCLASRTYSLDISLSYTPNGTSSFAARQTIALRIPVQIAS AESLSAVLARAQEVGVQGEEGEEFFTPRIITPPRAEFVEQARLDGLSMSSGSAPVEPP EYANVPRSGAMAAAF UREG_03030 MRRGALVFIIANIIVIAFLVNSVSTLLSLLFEDAADDAISRTEL PAPNSTFIDQQEPLIPKIIHQTYKNESIPAIWLEAQQTCLERHPDYEYKLWTDDKAEE FIATQYPWFLDTFKNYPYPIQRADAIRYFVLAYYGGIYIDLDDGCNRRLDPLLVYPAW VRKTSPTGISNDIMGSVPQHPFFRRVIDSLQTYNRHWVLPYITVMYSTGPLFLSVIWK EYMAGRPTGSERVRVMMADEYNKHSWSFFTHHPGSSWHGKDARLIFWFFVFEDGWSLG PTHRPWIRARRYRRLLSMVGISTARYLLFEILPPVFSRPQPPIRRDLELSTFVFAVPQ TWVIAGLPAATK UREG_03031 MVAITVPETLADYNLSTFEAETEVGPTIYGVYRGIFKEEWDDTE YKSDGPILVIKLLPKTTRLFVPDIPGYGHSSPCQSDHSKSTVGRAILDGLSRLINEAK AAQPQRVILIGHDRGARICHRLTVDAAEYASSLSILGTVLMDIVPTTVQWQGMANPAE ARGTFHWAFLANAELATQMILQVGGDVFIRQLMERWMGSNEEGRRRLEADDAMEMYQR PFRWESVVRSSCLDYEAGATVDVQMQKADQEQGRKIEVPVLVLHCAGIGRRFDMNVWA EWVADGKAAELLTVVELGNGVGHFVAEEDPEGTVGAVVDWMQRLGVQVA UREG_03032 MPPPSNLRADVEPPDQVTEEGIKGFLTGAVRFGSLSILAHMLLV LPHPLHFANHSPAPPTRSSPSSASSSFRSRFRRAPINLASIYGPLTSLSQSLAPISKV YRGLTPQFKVFLQIAAMTFGGCIWAERRVQEYMEVMRKVKRAEKRMERD UREG_03033 MASLPRRTTSLTRLTNETKVQISLSLDGGVLLPYEPCAHFPQTS PEEATQIVPSPESAHATQFTSTQQITISTGIGFLDHLLHALAKHAGWSLAIKIPFDTM HCFYPAKSSSTTPTLPKTLPRPGHRFTRALALAVSLVRFARGDAPLDEALSWAVIDIS SRPYSVINLGLQREKVGALSTEMISHGLESFAQAAGVTLHVSWCVGEHRSSSGGECDQ GDGGGGARGDGEEGGG UREG_03034 MAEEAKKVKRRKSFGLLFGSTGLLGSDGSSATPRPKSHGHTRSS PDNFPQCNVNNGNSGEDEALQGSNITRRRRGSRPRSSGSLLGIIGLKGHYSAAAGETL DMAKTEHRYANRGRVLSNPVMLNDLSASVIDGGLRHAPLGPIPRARSKSLQKSKRNSM FASLRSFGTPADDDQASIRARSKESSEEDDFAMFLGFGYNNRLSLGTQVLHHGEVQTS VPMWRKKSQYLVLTDTHLVRFKSRSKAAEAFPTIPAQWGRNTNSTLTSNRLSVVSLAS LQDSPLPGGGEGGSGIALNRILALHKLDDGRPFFSVEVCYMEERPSKISSLQIQLTDP LDAELWRSGIRDAAAEARAADPVPFEREAVEYISRALDQERDYDPYTMSMHRVVRRVP VKPSGRSSVDDNIKLASTPCYLVVGVHKLHILPLYKASNRASMVSLNELDMSQSYGLL SLAALYMQRGDDGIQLVFRLPFKPPTQLHLSSAFSAEIALHIRQRAEFLRPQWIQQPY VLNVPQWLEDQFTPPPPKSEEDDGCFDRTLTAYCAAYDIDASNIRYSIDYACEDAPCF QLLPPEGSKDGKGYSAFELLAVMRALRYNESFDTISFGGVNLDVLQSLYDPYGFDHDA MQTRSNVALDIPGQEQMSVLTQEIRALALKSKTLRRMNFAFCLTRIPTTGKDWVVLNG IKLGDSDLDYLIDAASQKMSQLRALEISHCGISIHDLDLILSTLTAQEATLEAINISG VQGRLSAELFQQQIGYFAHIRKINLSHISRNTGPEPLIAPETLLNWELEELSLSHTVV NDKTVDSIAAYLASGKSSKLRELRLDHRETSHLHVSENRLHVDYSLLFESITQNKTPT HLTMRMMDFQKEDHFRQLIEAMRKNVSLKYLDISKASLPYDAGPETCKALQMMFEDNT TMEELDISGEYAHLDVARFGIGLNLALTGLKKNKTLKVLRIEHQKLGLQGANTLASVL EANDSLLEVYCENNEINLQSFTVLINGLQRNKTLLHLPCMSRDREQTMDKVRREIQSV NKEPKRRNSNVSTAGSIRRTIQAAVNGAGVSGTKLTKPNKNRQSSAHPTLPSPLPLAP PAASELGSPQVQAILQSLGQKWDLEVERLQRYLYRNYTLRDGATQQTGENGNGAADWD SLSDGRPRTATSLSTFLDQFSFETNQERLFLDGDAERKRHHDEANGITLLRPPPAPRK LSDIMSIPSPDPEELINPPRAFTFSTDPDTTDTTHTLAAPIPAHPRPTAIRTETSSSL LSGISSNASLNTSSASATTTHRPGSTSKVPSASSSLRGILSPRTQADRVMAKNKAGNR VSVTFAQAPRLELDLPSLDLS UREG_03035 MSFRLTNTPLLFQMMMNVVLIKYTGIFYLMYLNNIIVFLKFMKE HLKHLKKVFNKFHAHKLYIKMFKCIFLKNKLEYCGHIVRKEVI UREG_03036 MADVNSVVEADNTNKNVSDDDNSGGLFALIEKSVVSDEPVALTA PAATLIKKSVVLLEELNISVKKLSVKPIIASVEKFILSIKKSLVKSIITLTEKSVLLI EQLIIPVKKSVALLPATN UREG_03037 MHTQYMDAGCIRGQEEASYQDLLPQLPLCSLKWEEAPYNFSQAR QEANNAKTRIMKWWSLKALLASSTLISRLFGKPEKRRNAMNLPNSPVEIILQISNYLT YASRFALTLTCKEIYFKTTDPNQLVPSARLTTIMPNTKAYDIEDLLEIERWPVYTTFD HGLRELNCFACHLCLKIRSAGQFSNAMMKGKRGKFGGLSADRFCIDCGVYYKRYARGT TMRFGGVCGGVGSVCQRCGRFRAHIGVAQNTQKCMCSSGFDFETMYSDPFEISEDCGD WRDILM UREG_03038 MVMKHFTTNALEGLCSEDQLDLLNSIDTLRSQGISHYISLPQII VCGDQSSGKSSVLEAISGVAFPVKSNLCTRFPTELVLRKSPEVHVSVSIVPHRSRSES EQHSLESFREDLDSFEGLPELIENVKTALGISTHGRAFSNDILRIEISGPDRPHLTIV DLPGLIHSETKQQSASDVDLVQDVVQAYMREPRSIILAVISAKNDIANQIVLKLARGA DRFGRRTLGVITKPDTLIPGSATESIFVSLAKNQEVDFRLGWHVLKNMDSEKGISTLA DRDVEERQFFSQGVWKDIAPSTMGIARLRGRLSKVLLGQIATELPSLVGEIKVQIEAC CGKLERLGEPRATLHDQRLYLFHISQAFQSLAKAAVDGTYNEPFFEGIDSSMGYPKRM RAVVQNLNQNFADTISRHGHYRHISEDGGGKRLTKHQISITREAFLGYIESLLKISRG RELPGTFNSMIVKDLFFEQSAPWEKIAQNHVKEVWTAAKEFLHLAIAHIADTGTAKAL FQTTFEPALEQLLNKLRDKTSELLIPHREWHPITYNHYFMETYQKIQKERRREDYSTV IKKFFDAPDLHTEVNIGYRNDLHHLLDSLVGRTELDMSRYASIEALDCMVAYYKVIHL AAVALKRFIDDIAIEAVEGKLISLLGSIFTSVAVFEMPDTVVTQIAGESEENKTERDQ LNKQLDILTRGSATCKRFIGDDSFESKSGHQASIQSDAADSPLDGSEPSTALSIECAS SSVRDNAYDEIPFPEPVAELELEPPAEPEVYELPPEKLSKKTKKKGSQKKYNSFWREV D UREG_03039 MIAPSSHLIHFQLIHTQRLLNASPRISRELLQSFITRNLSTAQL AYQPPSIDNIEDVENYRRGGFHPVQLGDAFSHGRYRVLHKLGFGGSSTVWLARDEQSQ RLVSLKILTAERSNTCPEPEILLQNKPSHTSGHEYILPLHDCFHTEGPNGRHTCLVSR FAGPSLSQLSESPGQPGGCRRLRGHAARQIAKQLGLAVEFLHSQGVVYGDLTASNILF QLADVKWSDQDIAKQFGPPVTESLRPLSAEQSVCAPELLIAPLRISDLDTRHFTGNIQ LIDFGESFLLNFPPATGARTPFSYLAPEGIFDAKASVWTDLWALGCIIYEIRAGTQLF ASFFGGPDEILRQIVQTFGKLPEPWWSTWTTRQTYFNNDDGKPRDSWPNGIPLAVEYS LDQQVRDIGAEDGQVDLDFYSTHSWLERLGTRLT UREG_03040 MFSRSLQIAARPSRSYVCLFCVSRTFERSFTASSTRHDAVTDSK SDLDQKPHFPLGAVPLKLQKAQSEVPSTADPSVHESAGPQHISTTKKKRKSKKGRNGP EEPTSKATITPRKVKSKLIRKTFPSKSFAPPPPVAESTSNSEPEKHDEFRHVHAGDLE AASGILEPSEEQIEALNVESEAVPSLAYGLDRVLFNPGVYHLRDPRSRVYNFDPYLSS IMPVSEFDFEALGDYITSSRDVVLRNMARDHGKKYIGSSSSMTGVLSHFHFLLSAWRP LQMQALSQGFPDRLRSFTRLTRAPAAVFLRYKDGVYAIDADKEYDTANILMNLGKSME KLLTMPMDEFERYRRTNANRTPLGEAAPETYHYSTCGDFLMRAQLDAHDSRLPGTGMF DLKTRAVVSIRMDIENFPKGLGYEIKSRFGDFESFEREWFDMARSAFLKYSLQVRVGR MDGIFVAFHNIQRIFGFQYLPLEDMDMVLHGQSDTVLGDTEFVHSVRLWNQVLNRAIA QFPEQSLRFHFECREGVTPFMYIFAEPVTEDEIDAIQNSNKEKIEKIQDRLLYPERYQ EDAEAANVEPSPSEEAAAYPAESSEPDKPTESDSENARSKPVLGMTLRICNVVDGEIV TRPNNLKKDQRWLVDYSLTTLPENRARALLEACKRRREKQYLPTDPEGSHYSRKLWQI SDRGRKWRNAQDELDEKYGIVTLNGN UREG_03041 MLQERLRILVVGSGGREHALAWRLSKSPLVDAIFCAPGNGGTLG TQSSRISNVAIKADDYSGLVSFAQKERVNLVVPGPEAPLVDGIQGYFQAVGIRCFGPS KAAARLEGSKTFSKDFMQRHDIPTARYQNFNDYKAACEYLDSINHNVVIKASGLAAGK GVIIPTNREEALRALKEIMLDREFGDSGDEVVIEEFLEGDELSILTFSDGYTVRSLPP AQDHKRIFDGDQGPNTGGMGCYAPTRIASKEVIAEIDRTIVQRSIDCMRKEGFPFVGI LFTGLMMTKDGPKVLEYNVRGGDPETETLLPLLSDDTDLAEIMVACTEHWLDGVTIKV DPKFAATVIAVSEGYPGSYPKGRDIKLGALAPDTLIFHAGTTTVDGKLKTSGGRVLAA TATASTLEDAVDKAYSGISTIDFQGMYYRKDIAHRAFRNPSTQQEGLTYAAAGVSIDA GNELVNSIKANVARTKRPGSDAIIGGFGGAFSLAACNSGFHSSSPTLIGAIDGVGTKL KVAHEMGIHNTVGIDLVAMNVNDLVVQGAEPLLFLDCYSCGKLDVEIAASFVAGVADG CVESGCALVGGETAEMPGLFIDAAYDAVGAAIGAIDTNKNPILPITDQMKVGDVLLGL TSSGPHSNGYSLVRKIVERSGLSYRDPAPFETPASSLGAALLTPTRIYVKPLLSALSS FATGTIKGLAHITGGGLVENVPRALPKNLCAEIDVTTWPLPSVFKWLKKTGNVSSSEM GRALNNGIGMVLVVSAGEADKVKGHLEKQGETVYVIGALIENTNNSDGCVLKNLETWD N UREG_03042 MAGTTTDVEARAASGLRSVVYFVNWAIYGRSHNPQDLKIDQFTH ILYAFANIRPSGEVYLSDPWADTDKHYPGDRWDEPGKNVYGCVKQMFLLKKKNRNLKT LLSIGGWTYSKNFKAPASTEAGRKMFADSSLKLMKDLGFDGIDIDWEYPEDENQANDL VLLLKACREALDAYSAKHPEGKKFSLTVASPAGPQNYNKMKLADMNKYLDFWNLMAYD FSGSWNKVAGHMSNVFPSTTKPETTPFSGDKAVKDYIKAGVPANKIVLGMPLYGRAFA ETDGIGAAFSGVGGGSWENGVWDYKAMPQPGAQVTELDDIAASYSYDKGKRYLISYDT PKIAGQKAEYVTKNGLGGGMWWESSSDKTGSDSLVGTVVGKFGGLGSLEKRENEINYP ESIYDNLKNGMK UREG_03043 MSFEQPRDAGMPPAPMHGVQFQDANSMNGTAASSTHHNPFYVKD GDTPRIFTVSQVPHPPFEKLGNPGPLGLLSFAVTTFVLGLYQCGAGLPHSNPRGDVGP DAAIFGLAIFMGGMAQLIAGIMEFRVGNTFGTTVHISYGAFWLSYAMFHVPSLGMAAA YRGDERAISFAIGIYLILWCFLTFLFLLAALRTNIAIVSVFTTLVLAFLFLSIANFIA TTHPDAAIAVNKTGGAISVVCAFLAFYAGSSGLMLPETTFIRFPLGKFKSPEDHPHTK V UREG_03044 MDQITEHKLNAACNDFVAGIDPLKVCDLATSCHPKKVACHILGE WKRGSYNICIPVVFEDDGKSEKWVVRIPLLPRLAFPEEKMRSEIATMKYITEKTTIPI PRIHGYSITGDNILGLPFLIIEYIEGNTLHSMKFNDFRRKKREHLYAQLGDIYIQLFR QQFDRIGALTLTEGGNWTFTNTYPLTIDINEQEISGLDARCFLNLNHTFQSTIDYIYS IVRLISNDFHRGRESILNENDAQLYLYSIYACQSILMEWVQPEFNHGPFVLMHGDLRP PNIIVDDNLNIISVRPRLGMEPHSSSSNISDKISFIGYLAAANSFCFELGCQEYTFHN PEKLPRQELPLTKHWWDLSSMQDYLIPLGLLAPHYFSNIYWKALDSWYYGPNGKERVQ TFFGLDIRKPDHQAVRKKVEEYESYKKEREELGIEATSVRIATTAEELSQLAKALKKR EIEKAEAISRNAHSPQQQLPFPQLAYQSPDISTTEGRRAVGTWLFIAFSTMSAVCIIS GRLIK UREG_03045 MEKIWHHTFYNELRVAPEEHPVLLTEAPINPKSNREKMTQIVFE TFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVTHVVPIYEGFALPHAISRIDMAGR DLTDYLMKILAERGYSFSTTAEREIVRDIKEKLCYVALDFQQEIQTASQSSNLERSYE LPDGQVITIGNERFRAPEALFQPSVLGLESGGIHATTYNAIMKCDVDVRKDLYGNIVM SGGTTMYPGIADRMQKEITALAPSSMKVKIIAPPERKYSVWIGGSILASLSTFQQMWI SKQEYDESGPSIVHRKCF UREG_03046 MDSQMNNLLKWSIENSGPAQPSDSEQVKQDHSRSRLDADALQRL IGNAPSDAELMKAAMEVIHSEDATLENKLIAFDNFEQLIENLDNANNMGVLGLWTPLV AALGHGDAEMRKMAAWCIGTAVQNNEKAQDKFLDFKAIPTLLSLAQTDPETAVRRKAI YALSSAVRNHQAALDELQKHLPSDYAPDSGKLNASDMDGIDAIMNKLKEVPA UREG_03047 MDDSDTRLFRFSKPRWLNSANARSAGVYSSGALFSLGFFFLIDA AAFSHSSKNGSVVHVKFVDWIPGICSSLGMLVINSIEKSRLTADNLSYFGSGVAWKVR FVLFLGFALLAGGLAGSVTVMVLKYLLKGYPLQTLYFGIANVIANGFIMISSVVLWIS QNMEDDYTYSLAL UREG_03048 MKVWSGSVSAGSLLLDGICLVDPSSSGQCKISKAKLSVRSLVDP TLIPLFALTGPVLEVHVSNAFARDWIISKVLRNIASDPTFFLDDDFCTTKQCPVGILL VVEHARNIANIRRVTDILIYGTLSLAASRPPQAQAAPIKELRLHAAPICSALIPKANS LLSPSLTADSDNTETAEYAEFLSDPFAPSPKRKRIDTLFEAANEYHNKVRRKGMIAVS EYMEKSREVSPMVQFPYLKMKRESQNDANAIPSAQSGHEKRRLSTAGGVNSSLSRQIS AAPRLRSASVSSRKLTPLLSAPVKPPPILDNASAETTVSTNKALLTRTILTCLRLYGY HRTTNAARAKVESTSDATTTTKNPTTEPLQSTAEAEEEDEFKTMYHATYRASTFALRK YLNTKCPVPATKSGFDASSTKPLEVGTIAVPVLDKEKATDVVDAVLKIFCEEPG UREG_03049 MTPGLKTIISLSFVLAIGFLLVILSAALWHNYLPLLVVATYVIA PLPNWICGRCANPDDFMEAPGSGVVDFGRWLTGFFVVMGIALPALLAHSGAIQVPAMV MSVIGGLLIYGTIISFSMFFQEQEEF UREG_03050 MFRNNYDNDSVTFSPQGRIFQVEYAQEAVKQGSVVVGIRNAEEL SSYQKKVIAIDSHMGIAIAGLASDARVLSNFMKQQSLSSKMTYGRPIPIERIVSRIGD RAQTNTQHYGKRPYGVGLLVAGVDEAGPHLFEFQPSGMTQEMLACAIGARSQMARTYL EKHLDEFADCGRDQLINHALKALKESLSQDKELTIDNTTVGVTGVGTGAAKGKTETFK VYDGQEVTSFLEVSAGEAVPSTTEPQEGESMDVDS UREG_03051 MTIPMEQKRPVVCVFCGSKPGNNPAYIAAARTLAEIFHRENITL VYGGGTSGIMGEIARTLVSLAGPEAVHGVIPSALVRVEKGYNASAMLAGNGNRQEEKL SKEPERIIPQSSSTEKVNLEYGITTIVPDMHTRKRVMAEKVMAGGPGSGFVALPGGFG TLEEAMEIITWNQLGIHSRGIVLLNIDGYWDGIMKWVEQSVKETFITEANSKILVECK DAEHVLQALKQYKLSEGRYTLEWEGPREEVKPQ UREG_03052 MADIKPYQPPAGSGPWAYPTVHSRLLEMEPSPDVALEEITWHSP HHIQMMGGFIHSNNILFYFAESPFFDPTSNNASLALQAMHNENFRPFIETREAFEGRL KTMQGLEFIVARDPLLEVAAANTAAVARGEQPKEASNVWVIRKQMRRRGIGGQDDVQI LATYFVVGDSVFMAPSVWSVVGRRMLSTVTSLTKVLSTASPLLTFSPSYGTATAHTSQ KSLEPSQPGQQSTQQSKETTPLPDLQQTPGDKTASKSSFTSTSTSAALNASALQDARN FAETLNLLARYGDEYIDETPLVGEPGSFIFTKTAAPAQEQLSVTAREHAPRQNIQSVA GTPAPPGPGRPGTPSSRSVNMQSDALKGKVEKHNDKPTTAAKDKGKKKKAKAGSLSQ UREG_03053 MEIFCRNIPEQVQDKHLKREFTPVLAKFGITTFTCRRAAKKNAF LTILDLHKAQKLLDVHGQDEERKRKPAILLKLFNQPIYLVKARNEPDEFALRAMKFEE EKRRLAEQRRPVFQVTMNGTFIPRAPASDAGSTGSGSATSTRANRPKSFNVNTMSCGY WDYQLGHPVFVEVYRCPGFGKVVFGKSLFRVTMTNVAPLTDYYLEFAYSIIRSVHIGT AQQASLTLLTNTAPRFYISDPLEKMKAQTAKAMKKYIPTRRRVGHFGGDHDGASGICF TYRFELLDSRDISAIRNLHGLEHVPPIGRWVDRRVACSDSFSLVKAHFEYYLEGWPIS FILKFQIAKFVWNGDISPTRMLRFYPCIKQLAGIHGDEIVAEGLNKMISSLEYPSPDT HERDVDINALEELLLEKIERVLSQNEASRNLTHPNNVKVNRTQVTPSGIYLYGPNIET KNRVLRQYDNHINHFLRVEFVDEHGDRVYYDPQASLEEIFYQRFKGVLKNGIQIGGRR FSFLGFSHSSLRSQTCWFVAPFITNTGERFDVESIIQGLGSFDHIYSPSKLAARIGQT FSETQSSIKIPEDAVYFDEPDIKRNGRVFSDGVGTMSPSIMYKIWKEYALRERVKPTI FQIRFAGNSLPSTFPCYPTANTVFCIILGAKGMVSLDSRLKGDQLKLRESMIKFKAKG AFNIEICGSGTRALPFYLNAQLIKVLEDLGVRPSAFIDLQTDEIDRLRSVEKSVIKAG QFLEQTNIAQGVRLPWLIQVIRGLELHHDQDPFLRSVIQLAVFLKMRDLKYRARIRVP NAVTLYGIMDESGYLKEGEIFCTFLTEGGVREILVRDRIIVTRSPALHPGDVQFANAV DVPATSPLRKLHNCVVFSQHGQRDLPSMLSGGDLDGDLYNIIYDERLMPRRTIEPADY PRVEEKLLNRRVVRDDIIDFFVTFMEQDQLGRIATIHQALADQKIEGTLDQDCLLLAE LHSTAVDFSKSGIPVSVYLVYDPEYGKTRALA UREG_03054 MSLNLNPRRVKILYLASCEGESLVPLLRRELPALVEVWHPETYL DFEDIVNHCLAYDAIVTGPGPHHRAVGGIIFHLWRVEKPLLGFGKSFHPFVILHGGES ELFADPVPRTRTIFKHSATDIFTNIKEFAMTITQTASDAKIRHPMEEPDADTDHPERW EPSSQCPNLVPLAWCPLPESNSSKLMAARHKSKPLWAVQLCIETSKSWKIVDSILKNW WEAVKPHISLCPTGMFVDTRKNKVARGLRKWCAPFNKVLYRVMKLENLESESICEVMG VPNSQNFLIKTPRYSIIPVVSDGSWTLEYSVMGGVALVRKAAQPKSGPVQEDAWDAIG VEIFDALRFVIDRSKATGGHPEIPFWGGFLGFFSYEMCIDKWEINVKPTHDEEYDAVF LWTERSIVINNETGEIFIQSIRRSDDEWLDSTARRLVEFSKHPGLNNILFKLTGTSQT PAERANNILRMKYSQDELTNMVVEHSEIVTPNKARYTQKISRCKQYLEASNPGELHLA DQTVIKLPACKDDGWLKLRAWILYKQLAKLAPGPYSAYVGLEKVRVISSSLERHIQWD RAHKVEVKPAESSGAKADDPHLKALSDSFERLTTAVASDHENINQQRGNGARKGGSGR SNDNTGSHVYSREIGSKDGKD UREG_03055 MAGNIDFNALKARAIDSGVDEEAVTVNTRALIDKVLARYSGEWT VLRELLQNAADASATQVTIKLETTPSTTVPAPSIDDETGAIKHVISHHTLKSLILKNN GVPFSANDWSRLKRIAEGNPDETKIGAFGVGFYSVFSDCEEPFVSSGKDAIAFYWKGN SLFTRRLQLQEQDCTPETTFVLEYRNTTTPVPALLTLCQFLASSLTFIGVERIELWLD GWNLLKLAKKIAPKMSISIPRDVQTKTSEGLMKVKDVTREVAQIDATWMSAIEWKPPT NSSRFEVARGEDNTSSLRSFFSRLTRAAGGNSAIKPSEHNKDSQALLSADLISTSSSS VFFHISTAQIQTSVGQSFGQELLRATKKPAPKTTSLAILTTSYASMQTSTGAGELVAK PIFATVLPSKSGRVYIGFPTHQTTGLSAHISAPSIIPTVERESIDLNARWVRTWNAEL LRAAGIACRIAWAAEMVTVKDRISSQMARAGRSAPRLEDIEPIVPEAAHICNQFVFRE STPATQVGHIIENSFWTCSQNAYLELLSTCGVLASYKIRLAPKNLSFMDSIPVVPDAL MSRSKEFITRLIDFGLITDITVSDIKKELESKPLSSNQLTEFISWLSEKATSAEFDRA TIGTLLGVVVANDESNGVPCGLLLLRDITEFLNPSRIPADLPMPPSVIPFKYSKTLQT DQLVALGWHELRMDNWISWLIDSDVKALLPQEQRITRSASFSARVLPVVSKQWDNLPP QSRMAVISLLQQHAVIPTPSGMKKPSEAYFPSVRLFEDLPVVNGLANVKEKVLVALGV RKTVDLNVIFDRLLGGTGDTKENNFNSHKPQWSHVELIKYLTSVRNDIPNSDIAKLKQ AKICTAEASTTSNKRYRVCELFEPKESLRELCLPMLYWPGQYPAGGAEARFLTLLGLR SFPSASDLIKLIARTIPDQNLNLRDKALAYFISAHVANGYANFEYATVDIPFLPLEDG THGTPTQCFTDRGASLLGFKLIRKDLEPHASKFGVKPHPPIQDCVNILLRKPPQSTKQ AKQLFGYFAGRLAEINTSTLHRIGSAPIVPLAREPAFNDKHQQPALTYIAPRDCYLGE SDDYSNIFDFVDFSAEANLFLLACGSKREPTTVELANMLVKDSAKIVARLQSPDKYLK LLRGIADNMDQIRKDRNLFKEMKKAGFLLASKELAAKAGSGTDDLNDEEEMPVKEWQL SRAADAVIVDDYASFSLFKENILAAPQEETLEDMYIQLGTPNLSSIVVEDVRCGYAAP DQRTAAKLQKQICERTRIFLHDLPKEVIKHDARWLENHLTIQVVQSISLRRSLRGRNG GHVEKRNAVISQKQNNSNPILCISLGDVNFYQVSQALVHIILLRPKLHSTLTLEMLLK TDLLELRLRGYNVERILRQKAAAAKMAESQRQQQIKDERKLQEVEQERRQREGKEAVD GNPHPMPGVFPDFISNSLPNRSEDSSQPPSTNDRGMGGFISDLGRRFGLDELLRGNTT ALGDRTPDTPPPPYPGKTTNNPGPGCHVSPSMLRQNLETAITRCRPHGQAPIQSTGQV TQISDAKSYCDERPGHDLVHASDIAGTSLRLFLPRSHEEPSGFYKQNEVALNKFAGIL LDCISIFGVRKDSVSIFSEPNGKTIAFNYRGSIFCNYHYFQQLHFAKVLEGNRADTLV YWWTIFCHELAHNLVQAHNSEHSYYT UREG_03056 MAVDPISPIAPARLRTLLLPIGRIRRSRFLELVKRLQAQNVVRL GDVSPVGCPNRNTFSPLAFPSGMIIFDLSISVPPTSHLDLFPFELFREPLAILAIADG KELAVSQEGNGSLLEAQVNGASRPQHPSPPGLDHLVAELAELKGSYPRSLVQQLLIFD HEGVNNLVPGPENVIWVPSPVASRTTTIKTLMCDVSSLLLKELGQLAESMQEWPSIES PKASSWGPRRTVDSRPADKLRHRMTMPAQLPSQPTAPTSHHSDTELTAHVHDSPTTFE EITRSIQLANRTTAALKFNSKPGSKEHSRERMSMQGLANLNERSKTRFHARLKVVVGF LHLQAGIWPEALKELVEGATAARTGSDYIWHAKALEGILICLLLHGWIGMDFQIPQIC FPSTDKSVSKLLPAAGQPDSGTTSESKEACLKNLAIILTDISNYILNLYNRASNITDE PLPQFIYSETTIRLAKLLTTAYVRDGLLDNNGLKHIVMNLPLEPIRISDRPRGNMMFR RTEIASFLFQALPPSPGTEIPVTDSVQIYVGMAAVLSGLGLERKRGFVLRELLTTTIT GLVQARKLGAAEMGIHPAAGLSALNNEAFDLNALDAGSGNTEDSMRSVLTLVTSTYGA ASAINHKDDRPSSGNTEEQSKYDFVDSIIERAKSDSTLASYGDIALKVDIFKACIDFC EALPDFRGVLQFTVGLLRTIKGSVMLTPTNDNTLPFLAPEEQVRFYNNVKRTVGAANR LGHPNMEAEYWDDFMVRNVEIHGTADVKQPMQRSRKEFGISTVSEERGNKSPFIYSAF SKAAIRRSESLVIAGEQSAVKVVLQNPYEFTVEVESLILEGRGVEFEAQLHGFWLRPF SLEEKIVPLRAMSEGTLEITGCVAKIKFCRRRYFPIFKKFWKPGYKPKLKRTGLAAKD LASERPLSWGSNQSGVASVPVETGPEPDRVAINVVKAQPLVEIESSSLLQNAIMVLEG QTTTFDITLRNLTSCPVDLIFFTFQDSTIRRLQTAISNKDNLPADVYELEYQLTEVPA LRWKVPGNQDERPTIGPNETSSFTIEVFGKTGLTEAEVQIDYGFAGISSSGIPETFYT RQLNFPLTVTVNPSIELVCCDILPLSPDFAWTNKQGGKVAGPGDKRTQSTRNTSKSEH QFSTLLSRVGMEPYGSDHCLLLLDLRNSWSKPLITSVLVAENIDKDSRESAIHEITDT LQPDRTTRMVTLIPRLFLSHPHKPIPSLGAASKRQFVVSARQVSYETEVAGREAFWFR EEVLKRLRGTWKDEVTGHEGIIGLRGVTLTSTMVDSLRMDDIEITFSIHPLRDEAKPA SLTSTPTLQTGYSTFTIPTNSFLTLCVTLFNRSLKPVHPLLRLVPSLRHQPSTVALEL SKRLSWTGMLQRGLPILEPGQTVEARLGITALCRGEYEIGALVEEVRRLKPQSASTVA TKDGDVGDNANNRSGTEHSTGEYDMLLENLVANGQNQRRIWHARAPCFLSARD UREG_03057 MSFLSSFLPNGRVAFYHAPKSVLLPRKNPGPGDSKTISLAELCK ASTPSTCRLNPLLFNGHLQTAWTVIKNDDVPVYYRRWRFEANNPNYSGTFEVDFVVRP YDVASVSQQSDENRGLPSRTTFYTEKEFAELPSDDSKPMLVVLHGLSGGSHELYLRHV IAPLLEQGWEACVINFRGCAESKVTSSILYNARATWDVRQMVKWLRKIFPNRPLFGIG FSLGANILTNYLGEEAEKCELKAAVICSNPWNLEVGSLALQRTWLGLEVYSKTMGDNM KRLFERHVAAVEKHPRVDVERVRNIKYLHEFDRLVQVVPQYLRQSV UREG_03058 MAPNRGTASLKKSNKSSSKKPSSSTLTSKSRVGKSSAKKAAKRP PPKEMKTKARTERDLQKRKKKREYTEKELNLPTLNMITPVGVQKPRGKKKGKVFVDDQ ESMMTILAMVNAEKDGQIESKIMKARQMEEIREARRKEAEARQEQKKSKLDETKESLK RKRKSKKSENDQTSQKDSNQPPPTTKKSQKKRVAFA UREG_03059 MVLNPTYLAQRTRSFPPFFPFSGRPGAPSVSSILKANRAIDTLF QSPEIQTMYSLNLPVSAIRTKIRQEFERHRYVNQLPAVDVLLFQSHAEFQETLNFWKQ LSHVMKYFRAEEDPTARLPKNFMQGFLEVRTTHFKLPGGPNYLPDFRAGTKLCS UREG_03060 MPSPVNPNDPDQSMADATPLNDAEMQEKSPEQLEEELRVAELRR QRIKVLPGASETAASFQFEKEDHTLGNALRYVIMKNPGVEFCGYTMPHPSEERMNLRI QTYSPANVFEVLDKGFSDLMDLCDVVLETFTAARDDFETNKMQ UREG_03061 MIAIGLEGSANKLGVGIILHPDDGGEPQVLANIRHTYVSPPGEG FLPKDTAKHHRQWVVTLVKGALKEAKIGVDDVDCICYTKGPGMGAPLQSVALAARMLS LLWGKELVGVNHCIGHIEMGRYITGAQNPIVLYVSGGNTQVIAYSSQRYRIFGEALDI AVGNCLDRFARTLHISNDPAPGYNIEQLAKKGKRLVELPYTVKGMDCSFSGILATVDG LAAAYGLRGEQSETENVDADTKKAALKLKVDSLDNEEGGTPTRADLCFSLQETVFSML VEITERAMAHVGSREVLIVGGVGCNERLQEMMGIMARDRGGNVFATDERFCIDNGIMI AQAGILAYKTGFRTKLEDSTCTQRFRTDEVFVQWRND UREG_03062 MVHEQLHHPMDHSADDGFAEKSDGFMNWLKSHPGVRVSFKIRIA DLRSNAAGRGVETVACEEIAQDEELFAIPENLVLSVQNSKLKDHLNFTDKELDSWLSL IVTMIYEYLHGGASRWSSYFAVLPTDFDTLMFWSQDELRELQGSSVLSKIGRQEADEM IMGKVYPLILDYPGLFPTPKELSSFNSQQGKEAILHLAHRMGTLIMAYAFDIENEMDR EEEDQDGEDGYITDNEQETAKGMVPLADMLNADAHRNNARLFQEDGYFIMKSIVPISM EEEIFNDYGELPRADLLRRYGYITENYSPYDVVEISLEAICKVAGVEKNCPQLELLET AEILEDGYSLLRPETDANLVEAISPELIVLLRTLTMTPDNLNQMRVKGKLPKPQLDQA SAKLLIEVLQSRQNDYPTTIAQDDELLHSTHSHRREMAIRVRKGEKEVLQLLLNGLHT YLGEIAESPKHQPKRSNPDTGKGRKMPKLK UREG_03063 MRSTRPKKLTSKQHIPIYRDHQLDLTDDDLQTTLQNIETGVEKA EESEYHLQAAINAASHGHASQKAHIPTPETVTSSLQYGKLYPAAFSQPATYIRFSSTV EDCCGHPYNMVEEDDVVLKIMNQKADASTQCTEDQFEELMSFFEETAHTKQPFAAVDN PPVVPYEEMEECFDGNIDESLRKFSKAIYEHWKSRRAKLANCPLQPNLKFESGQDTDD SDPYVCFRRREVRQARKTRGRDAQSAEKLRRLRKELEDARQLVALVRQREMARKEQFS IERQLFMQRAEVKEMKRKLGIKDDDEDLINQKPKKRPADIAATARPAAPQLRAPVRPT GQPTDELRLLEDVQADKENEISRDIKQNVIKHAKWNEGYVDMTRAPLTPKPPLQTFDG SDFRPAITEYLPTPPTSENSDPMQDVSREGIGDKFSVVHKVTDTTEEQSRRGMPCFRR RIGRGGRMMIDRRNLPFRGRNDVDPVQLDRFKYDQDDEDDADPVYERDEFNIHIMQHR AYLHAKTSRDQAIQAQLQAQAHAAAQNGRRLQVGSQGGTPVPSNPVPKGAQPSSLNSL PS UREG_03064 MAEQNITDDATRQPGSSSQVINDIVSGSNFDHEEVDRLWKRFMK LDRDQSGTIERDEFLSLPQVSSNPLSTRMIAIFDEDGGGDVDFQEFVSGLSAFSSKGN KEEKLRFAFKVYDIDRDGFISNGELFIVLKMMVGSNLKDMQLQQIVDKTILEADMDGD GKISFEEFTRMVENTDVSMSMTLDQF UREG_03065 MVYNIAPQAPPHSPYDTASHYQPRHSAAIEVLATQFGVPQYYPT DESPAPESAHYLTTQVQHTPYSQSPSAARPHIVTSFSETMAGFNAPVAPESLNTSERP REPSSGLEDAYNQYQQALRQTFENIRIGRLIPASRSLVEMSEWLLGNAVDLGLVRDEE HLHTDRIKLWDEFNTCWLALCQKQKDTTQSMFDSGAPTQEMLTEEILSKMGRDLVRLC DRIEQYGLVDYQMGVWEEEILSVISQCLDLLEEYEETTSTTRSQLGAAGR UREG_03066 MPKIKNQNHGFSKKFCLPVRDLETSPVGTSHPASRRRQMDTEVE IMPLRAIIPTFEVAFDKYAFKRATNCREWLRLDRRCPSRRGVLVHSAPKSVNSHVAFA AEKVANINYADSRQRRLLLSRTEFFGPVPEISPSKLVKRRGQIKAGVGHQVTRSLELR LKSKRESQNVFVEMPRKIERRNQ UREG_03067 MSWQWSEHAFRALRPSQCFSQLGLRALRHQQSSKSSRLPVHTPF RICQRRLMSTPSSEAPTEEPVHTPNNSFKAAPPINFNESNGIPARIVPASPGYFTSMP KFTDDLLLMERLWNKYSSLATIPEADVRRAAWLRLSQYNDLFQERIGNSRYEELVKIM QNLSRISAAMMPEEVKIALVPFIRPGEIVQQKTIPPTVDHLGRARGVAKRKTSTAVVW LVEGDGQIRINGKEITHAFSRIHDRESAMWALRSTNRMDKYNVWAVAKGGGVTGQAEA ITRALAKALLVHEPALKPMLRKAGVVTADPRQVERKKPGLRKARKRPAWVKR UREG_03068 MSFGKLYGAPENGRSTACRIAAKANDLDVELVNTPPGCTDAAYL KVNQLGKIPTFVGANGFVLSESIAIAVYLASQNEKTTLLGKTKQDYASILSWMSFANQ EIVNNIGEWFRPLIGLSPYNKKAVEDTSKRALKSLAVFEKHLTNFTFLVGERITLADL FCAALLSRGFQFVLGKDWRQANPATARWFETVINQPAYKAVVPSPVLVEEGLKNVPPK KEEKAAPAKKEAPKEAEEEDKPAPKAKHPLESLPKPSMILDDWKRKYSNEETLPSSFS VFNVNKSKGGFFARLEASRKYLFGACSVYGESNNSIIQGAFLVRGQEAEPAFDVAPDW ESYSFTKLDGSKAEDRHFVEDQWAQDKPITVDGKTYEWAEGKVFK UREG_03069 MPRRNPRRARGRQPEQSKQDDTDARVLVTGDTWRPGRASTAQYE NGYYAQHESFAWRDRDSWRPGMNASTPPGNQGSTAGGFGPYSQPAPYWQTGMPLHNQR QEQRFQASGQRQHTYYPPAYAPGPFPSISPAWTGSPSPLLIILDMNGTLIHRKRRSAI SFVRRPGLDGFLNHIFDRYTVMIWTSSKATTVREVLKRLVPSNMQSRFATIWARDKLD LTKEQYNEKVQVYKRLDKIWNDTFLRSRYPKSKAGGPAENHGWDHTNTILIDDSRIKA AGQPYNIIEIPEFTNDASVDEERNMKIVMRQLRILSRQQDASRKIRQWNEMMKTADPA LSTTEFWEAELSRDEERLGLEPDVTSGVRKRRRSKKGARRLEADVEQEIEETQSALDA RVHITEDSQLEDQCSDVTDDEPEISVPKDIIEQDPAISTTPSVPR UREG_03070 MALLDALNRRIKARVDEDDSEVFSESSSEQADIEVDSDLEESES ESGSEAEIQPDEESSDASASEPEDVNTTLNQISFGALAKAQTSLGHDTTTRKRAHTTT TSPLDDIRARIRATRDEKASKPPSSSAKKETPPTRSSKHAPTIQSSKHAVSRRRTVVD AGATAGPKPRDPRFDSAVQHTGNPHAAKNYAFLDEYRSSEVSALRRQIAQSTDAAEQA RLRRQLTSMADRMRAFERKRQAEEVVAGHRRRERGLIREGKKSAPFFLKKGDVKRKVL KKRYAEMGGKERTKSIERRRKKMASRERREMPATRRVVEG UREG_03071 MREQLQRSMNVREQQRSIIEARLQQSAKGDGPDSSKGDTTPFGP QRTGKRRPPPGLSIVPPSASQFANERVIQSAPLNQTFTGRRQPQPLTRHFLNHPADVA ASPHIHHTPANQTNNRLPPIADVFGADALSLRDRDASGRGPFGQSNPAQSSALAPLPS PGPARWPAPKTAPGVPFRRGSRPRTRRAGARSCSRASSITAAPIAPPVRALRPASSRT TAATAPPVRSTAWRI UREG_03072 MGRGMVRNLVAKASLAHPLTLYNRSPARAVDLAASLPPGTTTVA ASIADAVRPASIVFTCLGDDTAVESTITAALAADITDKLFVDCSTVHPDTSRRLEALL QSHGAHFIACPVFGAPPAADAGQLVCVQAGKKALVARVQPYFAGVMGRANIDLSAASE DPGRAGMLKVLGNAMIFQMVSAVAEGMVAADKSGLGVDPLHRFLEAVFPGPYVGYSTR MLAGDYYTRDEPLFAVDWARKDVAHALDLAGGVGVEMKGVERIDDYLKEVKDKCGEKG DIAGIYGIARERAGLKFENNPFSSKPELCLYSLVGRRSLVKHSNSDHGLLEWQGHDTM QATDSRSSKDKQRDVLVLPKSQSSHLMRKDIKGLQAPFDQQLNPTSNPLNTPNTSIHH VVQNPPHRRRGPGRNHPRRARRRRDPGAPHEGRQM UREG_03073 MSNVQLIHVPHLGGIDAAYRLSQPFDASKPTLVLINSFTTSSEL YAAQFSSPALTSKLNLLAIELLGHGQTRAACEHWTYWDTAIMNLQVMDALHIQKAFVL GTSQGGWVTVRMALLAPERIQGIIPLGTSMDSESPRTRALGCWDPLQSCSDLIDSWSS NTPTPDFSPDQSFCDFLVNSGFGANCPADVRAFWSRTLQSNYRGDDGRKRIRMAAINL RDRDTLHSRLPDVRCPVLWMHGTSDVVYSVANANEEIKLFVNSPEATVDVVEGGQHFL SFSNPEEVERKDMGRAFAASPEPHQT UREG_03074 MGNTPSKPPGPSPQWNDKKVNRRSSIQALSGSKSAAVDPSASKE SATGQYSQRQHTPAHQRLQSRTIADTPARGHDRLERRTSKKERDYDARNIPIHPKLTD APEPARAVQVPTPASSQQYPYVGGYGPSINTYYATPSHLSRPPRLPLPIGDAMSVPGS PITASGSLAAAAAFDRAEAHPDKSALGDIVDDEDEVVDELEPFATTGLNKAVSTPIEW RGGGEKVEIESTLFSTTLKLRPGTHHLKFIVDGVMRTSDSLPTAVDFTNHLVNYIEIS PDEMPPSRGSEKAPKIVIPPGLYPPQVLPDSLPIEEPEKEVDEEIQIGDFPSKMACSP RA UREG_03075 MPSSVSPSSLRQPSASRENANHEDEEDDRQCLCSSLKPLKMTKF RPRSSVRLKLFVTIFLVLVFLVYLPARWRRSTGSESIRPWSKTNRDIYGQHINFWRSF HPILVANSPNCTSPKRSEKASSTRFDPKNGVERPDFMSMPREDVEKMKLMHNSFVNTL KDRPLHPYYVPGTRGLVSTAGGQFLPILTISLRMLRHYGSSLPMEVFLASEEEYEPYI CEHVFAELNAKCLILGKILNEVPGPIDIKQYQYKPFAMLFSSFEEMLFLDADAFPLHD PKTLFSSEPFRSFNMVTWPDFWASSASPLYYQIASQRIPPTTARASTESGELLISKKT HKKTLMLSTYYNYYGPSHYYPLFSQGAAGEGDKETFIAAATAVDEPFYQVSEPLRAIG HRAADGGMDGSAMVQYDPVEDYRLTKKGIFRVADPSAARSPKPFFIHANFPKFNPATI FEKHATDPVRDPKGNYIRQWTIPEDTIDEFEEDVVKQFWSEIKWVACELEDKFQSWKG KRGICDGVKRYWNDVFEKKS UREG_03076 MSGRRLLDAIAIFNATRNVAAKHIALQRQHLDVHARTSSLTKGA KLQADRLGLALKAAADLARKLDDIPTHNTVARENAGAAPPATDKNGGGNATEGPRFGQ AVKDVASGENWAEAEIASIKPAERKQWEDKGNTSPLAKDINEEKPRDPLEQSVNADSA TSSQSPENPDGEKIGSQNELPNDMMKQLFRSRKMSSSLFAGRHGANLGSMDERAKSRT SSPEAGGMQPVNSSPSADAALTDSEPRPASPGSASAATQNWNQADNAGIFEQIPTTEA TETPLKQGHGMIESRVPSSRLGRIWEYSGLATSMAFGVMGEGIRRVTGNEDAGTGSLL LSPANIERLVAKLSRMRGAALKLGQMLSFQDSKMLPPTIQEVLQRVQDRANYMPASQR NKVLKDNLGPEWRNLFDTFDEIPMAAASIGQVHAATLKETGQRVAVKVQYPGVADSID SDLNNLSILLTASRLLPKGLYLDKTIANARTELAWECDYIREAECALKFKHLLQDETA TFLVPDIIPYASGKQVLTMERMDGIAVTKIQNFTQAQRDWIGTQLLRLCFREICEFRY MQTDPNWTNFLYNAATNRLELLDFGASRAYPTSFINTYVRILVAACRNDREVLHDLSI KLGYLTGFESQAMVNAHVESILTLAEPFGQNAPDVYDFSDQTITERVKQLIPLMLRER LAPPPEETYSLHRKLSGAFLLCARLGSRVRCRELFEDAVSKVEWSEGGGESRQSAAAN UREG_03077 MAAQRSQQELENEVKNLERQLEKAMSLLNRRNDGDVIGTNECSS GASSHSLLLLSDSALPLGAFAYSSGLESYILHHKPLPAGVTPISSFHNFLNLSIISIA GTTLPYVLKAHRCPDQLETLDDDLDASTPCSVARRASVAQGMALFTVWERSFKASSDA NWSPAGNLRNTGSAHARKAVVALHAFSESMKQPPADGDDESLLNSHTSGHFGPLWGAV CAAMGVDLRQTAYVFMLNHAKAVVSAAVRASVMGPYQAQEVLAGRALQELIAQRIQKE WNIEPADAGQVAPVIDLWAPSIVLKIQQNSEIYPVVHRVSWTPNKSDYPKQRDTLNTP ENSQAFPAELKTKAPCPEKNALDLISTEKR UREG_03078 MTVIEASTVPLSQSVLVSKMEDRKRPASLDPSDSTPPSKRQATS VNGGGKPHPDADMPWKDDLERVQKDAILRQMQEYKREKATLETRLKQLTKSVSYHDEH LRVIDAWLKQLLDEVKVLVGPLDEGSLDGPIPESALLFADHENFQTHLNDWRDSIKST ISLLCARAPKASQDVTDLQSRLNKTLAEEKATTVELEKALADKHQLEERLEAASLRYM VAEKKIDRAKSSTVAKLEKQYLFGPQRNSGGKSSVRREESSGPNGSPDASEKLVDLES SYNKTLAISEVQKDQLEKLEAENTKLLTQITDLNVKLSKLSDEDYAQTDLFKQLKSQH EDVIKRINHLEATNVQLREEAKKLQAERTAYQIQVENEAQTSADEKEAYLSKVESDLA RIRNARDELLADQQMRKAAQDQEKFSLVQMKELLEARDARIASLESEVERLQLQTDGT KSVRPSTAKLSLEDLRTKYETLDKQYELLNTELSSMQAAFKRTSKLASQKINDLATLE EKVQRLTAEKSKADQKYFAAMKSKEARDLELRTLRVQNMKSSDIISQLKETEGTTRNL VSNVEKQLFETKEALNTALAQHRTSQQQVTEANLAIQGLRLQVAELKALLANRDASLA SANSNCRKAESAVEGLKSTLADTKKSMESWKAKGLGNSSSEYEMLRSLALCTVCRRTF KNTAIKTCGHKMP UREG_03079 MASFSSSVINKSGKKFAPKIPARRAPAASVTPAAPPRDANSRAS QTPQPAAPVKESTPTQPPTKSPSSPTVKPPEPPKPRSPNLSPQLQTRRSPVAPRAVRI PLPLQRPPVPTTTRSEVSVSQSQAQGPVTTEPNKEPPPLITLPTPGPQAGPSSQVLRP TASPEPRTTQTEPESNTRPAKRIRVSDPETRIPLPSRRDSRDQTAIPTPAASTRQSVD VTSHDETSTTTTKKTSKRETVRPKRKRIAEDEAAVVAENAVRTRKPRSRRKREPTPED AESIEIMSAVVKMSDLCKDLRTGRKSRREMELRNMEAAELTKKKTERGEKSASQQPQQ DPREKTPGSGKGRGVDEELQASGPQMRLVNGEIVLDTASLQIDRHADAARNAEDMEEV EENPLTRRINQASFGKKTKHEAWDEEMTDLFYRGLRMFGTDFMMISKMFPGRTRRHIK LKFCNEERKAPERIKETLLGPREVVDLDDYSEMTNTVYDDPKGMGM UREG_03080 MHSITAAFLLLTSLLDPVAAYNTNKSPSRNAVLLSNIQTLTLYA NRKTSHRRVSAIPQLTCVGPSKRICSLYTPDVMRCKNQGFDYDENDIQWTCTAELPPE FKLGSTEVVCEGYRDSNDPWILKGSCGVEYRMLLTEEGERKYGHMAAQGVLDDRSWKE ILWDFVKGVGTIFIVMGSFIALMSYCNRFTNGNRRRSGGWFGGGGGGGGGWGPPGPPP PYDYQPGRYTKTETWQPGFWSGALAGGLAGYGLGNRRSSGRRSEPYTPSSSSSASAGP SGWTRESTGFGGTRRR UREG_03081 MSRHNDFNYRMYSSRTWLEETDKPVAEPALLVAEVYELPGIFRK GKVRESVGHQGSLEYPKTPTMKQTQLENMRLEPDSDLTRPIEKNMSTGPSPHMKGWGG VLTDIFQQL UREG_03082 MEQITYGATSGIFKTEKSTILKCPFPGSEDDLHCEQQAYERLRR HPRIARYYGRFNNVGCELEYYRNGCIDKVMITMHGELPYLKWAEQIVEALWREGIYSD QHSKIPPA UREG_03083 MSSVLNPSSPHSWDLCCSSSSNRSYHRPTHPIVGLLVGQLSVVL LIGAFIKFFIFGEAPPSPSRSQTHRTSQHKRSYSIHGARDLSPRTLKEKPSSNVLRPV PSSSTNTRSILRKTYYSANPTNFPSKHGRHRPHHSTHQPESLDWFNVLIAQTIAQYRQ TAYILKDSPTASILESLATTLNNPEKKPSFIDEITVTDISLGEEFPIFSNCRVIAIDD PNSDGGRLQALMDVDLSDDNLSLAIETNLVLNYPKPYSAILPVALSVSVVRFSGTLCI SFVPGTTESSTNLTPTSSNIDTNLRSNELRGKTAPQESSTTDEGSQGGATSTTGIPKT SLAFSFLPDYRLDLSVRSLIGSRSRLQDVPKVAQLVEARVHAWFEERVVEPRVQVVAL PGIWPRMGRTGVRGQEEQPDVSSSDAAGVSGAKVSMLGSRDTGAEMLHAAREVDAEGL RYRRNPPPGDKGSSSKYAQQNQSSRERGRADDPFRIPGSLPDAVPIT UREG_03084 MKAYWYDDEEGDQRAAHNSGRAVDESYLSKLGVLYRNCPNISDV DRLATERGYKNRDEITVSPEKMGQSYEQKVKMFFHEHLHEDEEIRYILDGEGYFDVRS EEDAWVRIAVEKNDLLVLPAGIYHRFTTGESNYIKAMRLFQDEPKWTPLNRGPDLDEN KHRKAYLESRAVAAV UREG_03085 MLGVRLTKPLLGVRALYIRQPPRLRTFATVKDGARPFDVVVIGG GHAGSEACAAAARSGARTALVTPSRSNIGVCSCNPSFGGIGKGTMIREVDALDGVAGR IVDKAGIQFRVLNRSKGSRLSGAQGSRLIRDLYKQYKLGRVVRPIQGLSIVEGKVADI VLSREDLENTPGRKRQNCRRQVRVRGSHSNWACVFPSGRMGEAATFGLSKSLRDAGFQ LGRLKTGTPPRLDRKTIDFSTLEVQPGDSPPPPFSFLNDTVAVGDEDQLACWSTYTNE KAHAIVTANLDKSVHIRETVKGPRYCPSLESKIIRFKDKLRHMIWLEPEGFAPNDVIY PNGISMTIPADAQYEMLKTIQGLEHVKMLQPGYGVEYDYVDPRSLKPTLETKLISGLY LAGQINGTTGYEEAAGQGILAGINAGLASQSKAPLTLSRSDGFIGIMVDDLITKGVTE PYRMFTTRSEYRISTRADNADLRLTEMGRAAGVITDKRWKHFSETKEQLVELQTLLEN TKYPSTIWSRKGFRVHTDSSLRSAFDLLCLNNANIDTIIQHLTSPTGLPYTTDSFDPK IKARIAIEGTYSPYVKRQANSARIFARDEALLLPPDLDYSKIHGLSTEERHALERVRP ESVGMARRIEGVTPSGALKLLLHVRRAGAWGSMEDIQATEADREPVTSLPTDTPVV UREG_03086 MVGSNGKNNGITLPSAAAQELAIRTAYEHSGPLNYESVAYVECH GTGTPTGDPIETTAIANVFASGRDWHNPPYIGSTKPQVGHGEAGSALTSVIKVVLSME NGIIPGTIGIQKLNPKLDLRGSRLSVVNKNIPWPADRAKRASVNSTGYGGANGHVVLE SLDEYLKDIDLKRLPSRLTFDPHSSILQDLFLLPVCAHDEYSLTKNVENILQVVEKST YDIHELLYTMTQRRSKFAHRAVLPITISDNTPVTVGEPLTGRANLSVPTVAFVFTGQG AQWAGMGRELLSQYPSVRGTFRKLNNALSQLNEAPSWTIQAEDAIIAAYLRGLHATLT QEPGAMMAVAMGAADAEKFLAEQGIVPEDAVVACINSPESITLSGNPNAIDTIQGVLR SRSILSKKLSTGGKAYHSLRMKEVGSGYGEAVLRATTQPQMTNGVKSQTSTNGIKNHG GLNGHSNGVNGAAKSSSALSRMKAQKTTSASPVMISSVTLQALKATDIDGNYWQKNLE SPVLFNPAMKSLLGLKFGPEDRHVDCLIEIGRNHNAEEDMMKLATSIFIKGYPINMLH VNGQNSYRPAKPLVGLPMYPWNHTTPQPLLLNRITKDYKFATHPRHDLIGSRLPGGNR MEPIWRNNLRLKDVPWIQDHVIGNTVIVPGAAYIAMAVEAAAQFAEDDELGGPSFDFN DARFHLHTVAVKSALVIPASGTADIMINLKPTDASATHNRFDFKICSVTDSRWTEHAE GSISYELTRGTRAIASNFAGETEEPIFQEKIGKDAWYDRLKYRGFDFGPYFRVIDEIE VLPYQSRARAKAPILLTRDKDTPFESRYAIHPLTIDAVLQGRVVAVYDSRAEKEEATQ IPVFVEDMVINPASWAKNQTGIIDSVAWTEGARTGGSHSTLTTEDGVEIISTKRVKWR QFENQGSQEKMTVSLAREPYYRLHWKPDVDFLDSEKATRLYHSDFQSPSGAAYLDEVF HIRTRLETVTVLYICEALAHVKKEELPTDPSLRWVHGYYNWLTYVRDQAANGRMVLCD SDATQLSADQRVSRIEQLLSELPGDFPQLEYNAIIRKNMAGILNGSVSGVDLAVKAEI LARVYADGSIHDAARKNLASVVDILAHKNPTMRVLEVGAGTGSCTSVALEVLNTYDKL TGHAKRYTDYTFTDISPSFFEKAEELFGEYPALIFKTFDLEHDPANQGFNYGEYDLIL ASNVIHAPGNTDRLLKNCRKLLKPGGKVVMLEITQTESLTPVQFAFGTLPSFWGCLED ADGDRALGPFRTLPSWEGQLLKSGFSGLDLILRDFPDPLALESIMVSTAVEESTVVKA SVPALDPVVIVHSAAQNELAIAVARIIGESGTKVSHCSLTELPGLAANGQLHTYIVLE ELESPVLMDMKPQQFDGLQKLVHTAAVILWVTGGDLMVGGNPALAMAHGINTTLMNEN SAKNLRFAVFDLDKTVSSEILSIANHIVDITSKVCKAASREECETDFMLKDGVIYVSR VVPDMQLNEEFMLDSGDGRVDQDFPTCGNVLLALETPGLLDTIYFQETVSCATELGAD EIEIETKAVGLNMKDYVIAMGNFESVKSSNESTGIVSRVGANVNGFVAGDKVICLERG YYDTFLRSPANKCLKLSDDEDLEQMATVGIAHGTALYALKYLAQLEPEESVLIQAATG GLGLAAIQGVDVVLSTISGPGFHESLSCLAPCGRLIDVGRGNVLDKGKMALHVFDKMK PIKIDKAFHITEMESALRYFGQGQHIGKVVLTYGPTENKVKLKGVLKPKGINANNSYL LAGCHGGLGHSMADSLIRHGARNLVFLSRSSEQKREIASFVARAREQGVNVVTIQGDV TKVADVERAVRQAVCMGPLKGVVHAAMVLQDAFFDTMNLEKFNIAVRPKVFGALNLHN ATIEADADLDFFFMTSSTVTYVGHISQSNYAAANAVLDNLARKRREMGLPATTISLGP IKGVGTLNRKPEYAENLLRSGLIEAPESEFIYHFERLIKEQPDSKHFDRNTQAHILTG VEYTKHDLSMVQVSRIEQDRRSALLVTTLAARKAAVGDGNAAADTNGDEIPEIPEDRN KAIIVLADAVARRLAKLLFISSDDIDITRPLSHFGIDSMSGSEMIHWLRQKFSVGMSF LEMLDPGCTSKHLAGVIYDTAQKAKTAAVPVTNGVNGTSHATSNGVNGHTPSEKPQAA SEEYVNLLGSHMEEFSKTLKKAVSGPKPVANSYVCSVIDKAGTQLYSQAEGFISHDSS KEVGFDSVYWIASMTKLITTVAFMICVERGQVALDDDVLPILPDLCLLPVLDGVDNVG RYRVKKRTKPITFRSLLTHQSGCGYHSSPRLTKWAKENDRKESVFDNDFEVMKSFPLM FEPEEGWMYGSGVDWAGEAIARLNNTTLEEFMQTNIWEPLGMTSTTFHPDKHPGMLES KVTMYDRDEATGALIPGIAMSRIPAVHECGGHGLWSTPRDWTKFISMLLADGGPILQK SSVDEIFKPQPVVSGELQELLSGPLRPFLRATVDEHAGRIEIALGGPLYVDPIPGKRS AGTLQWSGRPNLFWWIDRSKGVAATTFTQTISQADPRFEELTSTFEKAVYADFGGFLL N UREG_03087 MAAEEEGEQGAPNQTTTPTKKDWRFWAIFPALCMTTFLSSLDTS ILSTALPTISKELHSGTLYIWITNSYLFSSTVVQPLFGQMSNIFGRRWLMILSVVWFA LGSGLAGGANGNTLIIIGRTVQGIGGGGINTLIDIVISDLVPMRERGKYVALMAAIWA VGTVVGPVLGGALAQHASWRWIFYINLPLSAISLVLLYFFLKVSYPRGDDLLKRLSRV DFVGNSILVAAVVSILLALTWAGSIYPWSSWRIILPLTLGFLGMIAFYAHQMSRFCLE PSIPLRLFPNPTSLCALILGFLLSILVYWVGYFLPIYFQAVLGTSATKSGLYVLPITG AITPFGIVAGILIAKTGKYRALHFIGFGLMSLAVGLFSLLDSHSTTGLWVGLQILFGA GAGLIFSSTLPPIQASVGEADMATATATWAFMRSFGSVWGIAIPTAIFNDKIESLLFR ISDPSLRQQLSSGGAYALASAGLSTMLGSNPKLLAEVIGVYVDCLRFTWLLAIPFGVV GFLLCFPIRQLELSTHLETEFGLQQAASEERRDSAS UREG_03088 MGGAVQFHAPLRAMRSTYFSPLLRTGLLRRSASLNTTQPTRRIS SGSCLGSRHAMLLRLRYAVSMLTGRVRSFSQPWMRMMSSERPSENGGRKSSLDTTSTL IRKTLTSRLPTRENIYTIPNILTFTRLVAAPMIGYCILNSNHVMALSLFAYASITDLV DGYIARRFNQQTVVGTIIDPMADKILMTVGVICLAMKSAIPVWLAGLILARDVGLAIS AIYYRWISLPPPKTMARYWDFSLPSAEVKPTQISKVNTALQLLLVGSAVAIPVIPEAV LSAWSVHEGMTGLQ UREG_03089 MGNGTTAVLEPTFTGYVATTHDALILFEACLTGVLHHVPRRPHD RERPQLVRSGSVFIYEENASGIKRWTDGVTWSPSRILGNFLVYRELDKPFPPGEKKRA MKKNTRRPTQPTRAGEPYPRPQTESNGQTYSPSATSSGGSYGERSGQSELERALVGSL VDSYGFKDSGLVKKTMSVTVSGVTHHLVSYYSVEDVMRGVLSPPSTVDSLKYIRPRPE LTTKQSFRAPIDDLETGNMEESDPNQAYYGYRRPMVAQGYPIPNPNYYSIPGPGYVPH PQQPNAMPGYPPMPSHPSYLQNPPPHSEMPPKTEDYSTFRGPPAGYAPAYDPTNQQLH HMPSPMSRPQHAPNPPIYRTPSLPGRSVQPEMPQSVDPHAQQTPTSYQRGSFSVPTPM DGNQAMDGRNVLDNRNVQSEIPQAVDTNPQPNPPSYQRNSFSVQGGIEGSAPVDQRSI SQKFPSY UREG_03090 MSARGNTTTEQDDESRENEQMRAAWLGLAATVLLLLLLLLLLLW SLERGVGVGIQSGHGSQRREETAERSGPAHFHRQEEKQQPLLLLHTPRRDRGENAARV ETSKQGGNSPHRFVYNFPQIKRTT UREG_03091 MAESSNILQAIIQTPDGAAVRLRDQFAGRNYVFLTFHSSPPSRE KVVSPINSTAEKWDTFKEFSKNTRVQARSRWKLWLETESECAVEEPCVTRNSSRRNGH LMAFFPDCHGGRMNGIPLRGLARRQAEFRTRQSGGKQHAAAAA UREG_03092 MVDSSDEDDAALQAALAASLRDLTASSTSLSPKGPEFIDLTADS DSSPPTTARNAPVPESPDDDGDDDDDDDDDAELRAAIALSLQGEPSVLNPRHHLEEDI QPQHPVMAKNNANTFNLLGIDRKKLEEERLSRVAKKRKAEEASSAQPSKLQVKKDGQA PNDPSAGSSKESYDAALNTLPPAASSTFRFPQGAVRKTWALGRRRENDIKFQEKKAFQ QDFADIPSVRLCFVPMEPHVLFLIDLPVVGGEPQTPTQFFNDLVSFLKASTVHDRVIA RLSYYDFTATAKFAFVHTIGGSHMGTARSQTGHWDDGLKELTLRTSKTFPCQKWGVTV KKTDGAEWKNNFLVYFPSLKTVKDSLAGTMGAGTICFHSKWYNRSDFPRNAMRDNINR RKGLLMHSKILFVRPEDGKIIDDANKTAYRGWAYIGSANLSESAWGRLVLDRSTTQPK LNCKNWECGVIVPIADRGPSATEDRGNDVDRVFAGSVPVPMEFPAPEYGPDTKPWYFL EDD UREG_03093 MQLTKVFFAVALVVASAYAAEPAAADAFEAEAIAVRDENVDAVE PETEEQRRCLRVCWPYIQLVHAKSLILITPNSILRDSVNAGLAAVARAKPKPLSEEKE SVHSALLANGSLFSAEIDRPVWKLMTRVACVGAEQSLFGNRFEVAVVIRSFIILLSML SIEITFRNLNTPALDLQGQFDKSSRPYRNSNLIPNNFALAMSPYALFPLVLHTHGMAH PSVARSPDYQPEPKKRKVRKGTQSCWECKRRKVRCTFVAPLDAICEGCRRRGTTCVSQ ELPEEPSGTRRLEDRLGRVEAMLEQLAKETNLHTQPSSASSTTLKKRVPSCTTYTASS SQHGPCQSDLSAILDIPASITWLFENPTTPFSNTRDSDALSPRDILQLPPPGSHPVLI ARKLLLLGMFLQGIRPFSHCTRGLSLSYRDSIMSRVALPESENNGYLLMTRVPEVPMD SVLYGMTSQERDQVVQDLGQYVSQYQNIPNNHKHFICDTLGGPTVGHLHGYSDAMWPP UREG_03094 MARGSLSMGWNAKSEPRKQKVLIIGAGAAGMAMSLAIDGEKHGA DWVNQGTQGGTNLFRHTYNFFREYGYEPKEIRIQLSFGKGVEGFWTNMFPSPLLQKHQ HDIKKFGKALRTVRRFRFPLWVIPLKRFFRLFGISKEFAQRIVYPLASVLMGIGNEAE DVPCGVLQVLFDDPELKIWDFDPVGFMPPRPPMFAFPNMARFYMDWAAGLRAKGVTIR LNTQAINIIQRNERGIIVETRHMDEDARLTEERYHDRSVTEAFDKLVLCVPGDEARRL LGETATWKEKAILSGVKYFDDITITHCDHHYFESRYEPRFKESLCGKPVTKAQEEQIR FAKGEAGIHSGFRPSYCTYSYQSRPNKNELSYDFSNFQYQFLPEPCSGKAPLPLDRHV FQSRFMGEKFKDLWTIDQINDKAVLERRWIHQPSQGWKHYVRVLPYLRYINGTKNTLY AGAWTFAVSFRFFMAKQSS UREG_03095 MARLHQRALDIEAINFVQHALNQPFNRIFRRTIRSQARDPERPR GRAKNQVTAHAPLTEMRQRALHNIQRAHKIGLELIAYIVLLLVFTRSNHAVPRAIGDN VDAAKVGDRLVDCGLHRLSRTNIAEETKAVFVFGLEAGHVGGGVLKGAANRCNEVIMG KGGLHEGATHVSGGAEYL UREG_03096 MDVVAAVSGYISKMVSAGDQSAPGSSAAKMKILLLDSETVQIVS SATTQSSLLNHEVYLIDRLDNQSREKMRHLRCLCFVRPSPDSIQYLIDELREPKYGEY YIYFSNIVRKSSLERLAEADDHEVVKAVQEYFADFLVINPDLCSLNIGFPKQRIWSHT PDLWNSDTLQRTTEGVIALLLSLKLKPLIRYEKNSLIAKKLATEVRYQLTQEEQLFNF RKPDTPPILLILDRRDDPITPLLNQWTYQAMVHELLGINNGRVDLSEVPDIRPELKEI VVSQDQDPFFKKNMYSNFGDLGGSIKEYVEQYQAKTKNSMSIESIADMKRFVEDYPEF RKLSGNVSKHVTLVGELSRKVGEHNLLDVSELEQSLACNDNHSNDLKALQKLIQSPAV TIENKLQLVSLYAIRYEKQPSNALPVLIDLLTAAGDVAPHRINIIPKLLAYHHSLQAP PVAGGFSDLFESASFLTGARDRFKGLKGVENVYTQHSPRLEATLQNLIKGRLKELQYP FLEGGGHTRDKPQDIVIFMIGGTTYEEAKMVAQVNASTPGVRVVLAGTCVHNSATFLE EVDDAVGNWPEPTPATAAGRLRREVNR UREG_03097 MTFVPLSELTGASIDELKLVTSFLLSYPLAAILKRLPDSKPWQK NTFIILVSIFYLIGLFDLWDGLRTLLYNAAGAYAIAYFVDGSLMPWIGFLFLMGYMSL SHIYRQIVAEPSAVDITGAQMVLVMKLSAFCWNVHDGRLPENQLSDAQKHAAIRNMPG VLDFAGYVLFFPSLFAGPAFDYVEYRRWIETTMFDHPPGVDPSKTPPTRKKRKIPRSG RPAMLKMLTGLLWVLAFILIGPWYDKSRVLSLEHLGYGFIKRVFILHMLGLTARFKYY GVWTLTEGACILSGMGYNGFDPQTGKAHWNKLENVNPWGLETAQNPHGYLGNWNKNTN HWLRNYVYLRVTPKGKKPGFRASLATFFTSATWHGFHPGYYLTFILGAFLQTTAKNFR RHLRPFFLTPDGSKPTPLKRYYDVLGWLTTQLTLSFIAAPFILLKFTDCITAWAHVYF YGIVGIASSLVFFASPGKKLLTKKLNARNKGRAAITGTPPRGEKGREPARPPTLGLPE DPERDFDEAVAEIRGEIEARRRKGSVVTMPSGQELRALIEEKLKRR UREG_03098 MSLSIPPLSPSSKRRRPLHERTPSQSNELPAASTLRVVMDKYSD DDVDVYSADPYPTKPEHILLPTAPANQFGPLTSNPVSASFHNDPADSPSSAEYTSRNF PEDNGGSVSELSTLVQEGNLSSFIWGESQNSSNTSIPHFATPVIDEGNESGEEKSASS DGTSLPPLNTTIKPVPRDSCTPVQSDSGSVSDSSLNVVQLGLTSSPNIVPLNSSSPNF MPVATSSPYYVKESPSDSSLYSANTFGTARRYVNPRQQNPAFLDRDSSQSFSFSSSPP SAILRSHQSASSFALSRGGSTHTRTASTSTRSGQTPSEVQAALDSGTLIRYPAIRSPA STGSLVEGSSSILPSQSTQLTRYQSGRNRHLSIVPSEWSAEREVSCSTASLQVDQTES TLGPSKDNATGGRPSDFSIRLVARSESDEGSDTLSRLQPCHLRTKRSGSLSNRSMVSR FDSFRLMSRPGSSASSVFNALPSWAKVYYRSGGTGFQLSALSLVEGSRPSTAASARPA AHLAPLEVARTRTRPRKNTNTRLALPTVDTRDPRIHWVGGHQHAQETAIRTPSREATP PNWSPHLFPDHRTGSLRRSLWNPPSLDESAEGIISWRNVQIYLFCLGFLLPLSMLFLY AAFRRHSFKVVTNFFSAWFVGSFLPLPPKIFTPSEEFTTDQPDVERTFSNRVKHIDQI RYENARWWRRLNRCMTPVGLVIIATIVTLSILGVKNII UREG_03099 MSRKAQCPFAVRSGGHSTTPGSSNIEDGIVIDLRGFNEVELSRD KKIAVVGSGAKWAEVYQFLDQHKLTVIGGRDPHVGVGGLTVGGGISFVSSFGGFACDN VLKYHIMMADGQILKVTHQSHPLLYFALRGGGNNFGIVLHFEFQTYSLGDFWGGRLMY RPEANEAISRGLALYNERAPEDPNLAIITTFGTRAGNPFNNVFFHYSKPIAYPPIFNE TFKDLLEFEPVMNRLRIASMSNHTTELGAGGMTGNYNQLFLTLTIKNNAEIQDRMTAI LAEETKKAETQIFQSIASFQPLTTPISSHFSKKGGNALNVSPNDGPLIGKFHISTLCW EAKFHA UREG_03100 MLFFCCPEGKKCARCKAQHRPCLPSPSSPELDRVIALRGSLAVA AILGRPCVADQEELVKAMEKVLAPIKAERSAKASAAAKAGIEKRRAAKAEAAEEDEED EEDELVVVEGFDDCRGSGY UREG_03101 MGIIERLRGLYSKKVPLYMSRASRPSPTLSRDAPSVIKYRVRAV MASCFGCSLAALYLIVVKGHTTYLEALRLLGWWPIGPLEIIKSLFLTAILFMGFLFER GIAEGEWRNWIRGRGFFESLSGWVGWRNFVAGPITEEVAFRSIIIALHLLAKISPARI VFVSPLYFGIAHVHHFYEFKLTHEHASLAQMLFRTIFQFIYTTIFGWYAAFVYLRTGS LFAVILIHSFCNCCGLPRLWGRVEVEIPLRAFDVRRKEDEDLPSQRIHQKQLGIEWTI AYYLILIVGAGAFWSQLWPLTESPLALASFARSAIADLESKATAYFSHALTPAMIPHS FFKARLPASRISFVQCATYTTSRRIQIPPPFPITPTCPAPTCPCAEMPRGLEIDHEQD LNGTMAAYAQQVLIATGQSDWRSRIEEDGQDQGWGMLGSGLKKLVTRGGKYADPYNSI MITNSSFKPRADPGGETKTASAFLFPSFKYLPNIPLDEEGLERFTKAFLLPHKVHKAH DVLPASKREEMKRQSALQSSFPGLIELRHSPTVLICGHGHRDQRCGIMGPLLQAEFRR ALKNIGFTTDGDKVDGPGHANVGLISHIGGHKYAGNVIIYLPPSMESNALSGKGIWYG RVEPKHVEGIVKETILDGRVIRDHFRGGIEESGVLRL UREG_03102 MNILDQSNSPTTPPYSAGSDGRLGQASLDATASWTHLVAGASGG MATALVTSPLDVLRTRLQSDLYSSPSKTLRSPAIGTQSQSLLLLSRSAVLHFRETFDI LRSIHRLEGWRSLFKGLGPSLTGVVPATAIKFYTYGNCKQLLPGILQCDKDATLVHVL SAASAGIVTGTATNPIWVVKTRLQLDRSRSSGTAQYRNSLDCIRQILRNEGLRGLYRG LGASYLGVIETTLHLTAYERIKDSLTSRGGVPDRFADNEVVQGVLLSGAAGISKLVAV LIAYPHEVNDDFRFIAEVAAFLGCPSTNTE UREG_03103 MAEQQPLYKIGPFRSSSDNTDTQMNVSVSDKRFKIDLFTANFES SPVLLAEYLRHVQRLDPGYIPDDAEEDEEFEDPLDQMHDWVLQPFLPIFRKLAPLDQS RKYTLADCLFAEEFHYTVQVVEESLVPVYVGSSQAKEHHLIGAHLPSSIRMDYSMFPF YHPSAIQIPIDSTSLPAIPHKVFIHGRPNPSFFKIVYRGDVGITLKELVAYSKIHTAA FDAMVRTSRLEGLAVDDDGRVLGLLLSYIDSRGTLWCVDGRDPSFSELRKKWLDQVTV TLKHLHSRGIIWGDAKAANVLTDVNNDAYLIDFGGGYTRGWVDKENANSIVGDLQGLE RIKRHLFK UREG_03104 MRLQLILGRGVQFHRPIAVRPLSAFRSPQIIIRAASTAPARKLD LLAIDRKWKTKWQTRAGPKFSDAPPTAREKAYVLPMFPYPSGTLHMGHVRVYTISDVL ARFKRMKGYDVLHPMGWDAFGLPAENAAIERGINPADWTEENIAKMKEQLKALGTEFD WDRRLFLMLHEKGLAYQAKAMVNYDPVDKTVLANEQVDANGCSWRSGAKVEKRELKQW FFRITAFKEALLKDLDSLADGWPERILSMQRHWLGKSVGARLTFKIITPDENLHVQVF TTRADTLPGVHYIALSIDHPLVTKLAKADAELKAFVGSASSFPPDSKDGYRLSTVEAV NPLCAIESHPGIPAHLPVFVAPYVLGDYGEGAVMGVPGHDSRDFAFWAKNMPSAPIVS VIEPERKSGALEEQSHLNSEPFVGEGYLNSLCGKFAGLSSKEAREAIVSSLRDRNGSA DFTESWRLRDWLISRQRYWGAPIPIIHCDDCGAVPVPVDQLPVELPVIDRGMKNKAGN PLESAENWLNTVCPSCQKPAKRDTDTMDTFVDSSWYFLRFLDPSNKTIPFSPSLARPV DIYIGGIEHAILHLLYSRFIYKFLATSGMVPDSLQGKSDGAEPFLTLLSQGMVHGKTF SDPATGRFLRPSEVDLSDAGSPVLTGTKIAPNVSFEKMSKSKYNGVDPSLCIEKYGAD ATRAHILFAAPVSEVLEWDEAKIVGVQRWFSRLWKVTCDLQTFLASEPGLNLADANGL ALPPLDRLSDKEAELLLLAHSTISSVTTCLEKNPYALNTAISDLTKLTNAISTSPLCP TASSSASRTVSYIIVSSLLRLLAPIAPAFSSECWEVLHASVWTNHEAEFSPSVLSSPW PKPLLSDAQVDALQKRGAQTIAVQVNGKTRFTATISRFSPGSAELSPLSQREGEGRRK DAMSEEEQSWILSRILETDKGKLWLTERNEWEKRKRVVVVGGGKVVNVVF UREG_03105 MVLPKAMQDFPMNTSQHNTIKGDDAGRISESDEGEEARAWWTNG GVPSVSSFSHSDRIVKGEKDGRTCKEGKGFQKMKAEHENWCIYFHWFDSVQALRVSVA KLEH UREG_03106 MELGCTGCWISHSSTSSETANASASRTKIPPRGETWSHGTQEHL EGSVHNIGKLPHAFADLSTHTAENGVDWSSGFLGLLFIDES UREG_03107 MGKVLMVMYDGGEHAKQQPGLLGTTENELGLRKWLEEKGHTLVT TSDKEGANSTFDRELVDAEVIITTPFHPGYLTAERLAKAKNLKLAITAGVGSDHVDLN AANKTNGGITVAEVTGCNVVSVAEHVIMTILVLVRNFVPSHDQIAKGEWDVAAVAKNE FDLEGKVVGTVAVGRIGERVLRRLKPFDCKELLYYDYQPLSPEAEKEIGCRRVENLEE MLAQCDVVTINCPLHEKTRGLFNKELISKMKKGSWLVNTARGAIVVKEDVAEAIKSGH LRGYGGDVWFPQPAPKDHPLRYAQGPWGGGNAMVPHMSGTSIDAQIRYADGTKAILES YYSGKFDYKVEDLIVHKGDYVTKAYGQRNQIKDEQRS UREG_03108 MGSSLNLAKTLLIPALISLALYLALFYAVIPFIRRYRQRYAQYL PLHTISARTSSLRERASDALMHFVLPSAWRRGHFVEGQDDAGSLLDEEGEGMVGFEMD AARRAALERRRDDPMGTEARLSRELEEGFMDDSDDEAGSREAQHLR UREG_03109 MPRPPTPSDKPKQSSSKSFFGRKLHKDRSGDSRAEGTSDTLSIA GSAAGSRSSRHSKRDSVYTLDLLNEMDPSSSGLITSIPYDSVPPNTKSPIPVDYLPKS DSSARKELAKSSYDYPQHSPFGNTTVQTVSPQLAAPRPPPHSSMSYSDKISKQFNYPY STPDSSTNSRTSLDQTSVYSSVSSATRASTQDTSGRLLSAGYPNERYPPSSSATGYRN ADPYQNNWPTYTTPLQLGPDGNFQKPKDDRIVDQMFYELMIKRGWQNLPEQAKRQMLA YPTSKKWTLVHQDRLTQWQGEQKRRQHARQTYGSSDGPRGLLDRADEEGSPEWYVKKV MDDTITAKELGSLSVSLRTQPISWVKSFVEAQGQIALTSVLLKINRRKASGPAPPTSQ SVEKDLDREYDIAKCLKALMNNKYGADDALAHQQVIVALASSLISPRLTTRKMVSEIL TFLCHSADGQGHLKVLQGMDHVKNLQGETGRFDAWMRVVEVSIDGRGKMGSLVGASEE FRSGGIGMENLLMEYALSTMFLINMLIDAPQDDLQLRCHIRAQFTACGIKRLLGKMEG FQYEAIDKQIERYRENEVIDYEDLLQRDNSSAKDGVEGEIADMTDPVQITNAIVSRLR GSRSQDFFLSAMQHMLLIRENAAEDGQRMFQLVDAMLSYVAMDRRLPDMELKQSLNFT VQSLLDKLHTDSEAKRAFDESLEARQIAEAAIAERDAMKAQIELGADGLVLKLQKQIE EQNGVMDLQARQNEALKADLAELQRLRGQELQRNELETRELYLMLRDAQDVAASKARP GKDAISEMDTAQMQGILDREKLMERLEKQLERTKTQFKLEGKVWQQNGPSDRLRELRE KMDSMYASDNEIDEETRRQFTNSTFGTVSRKRSQLGKDSNGDLQVDSQLSDVEEGEEM IQEKARLVEIKRPRMNPEQATGLLNELTAKAQTKAEGADVEEKAPEPPLAKEEGFNGP PPPPPPPLPGFTGGPPPPPPPPLPGFAGGPPPPPPPPLPGFSGGAPPPPPPPPPGAPP LPGAWKGGYLPVVQTTGTTGVGLPFIRPKKKLKAVHWDKVDTPEITLSSLARLRMVKD DKNDSTFADLVERIVRNQYPEWEHFQDEIHGVIIVQKANIDQLRSDAQRTDENARREF FGKLAGFLLEWKKSKEKNIAWEDNRRRMEASLARKRVNPALTNGSDGPSSPTAASSGA MDSLLEKLRAAAPQARDQRDRRRRARLKERHQVRVASGQRMPELPVEGDEKASENTTT NEEQTQEPEPAGDATTATPDIRVSDGEDVADRAASMLQGLRENKDSDSARARRRDSAE EARRSRRMRRRIAGTSSVKENGHDSNPLPPVPEPETLTDTDNTEKHNSGSTGESDAPE PGESTPQPPPITDDAEKKEET UREG_03110 MAGHEPRTDGDVNWGPVINSIVWVEFTIALLLVISRIFSRTKLN RFWGRDDVFMCLTMLNAASHSVLLSISVRHGTGRHEVYLTDNDRIQANRFNWISQGFG IMAANWGKVSVVLFLLRVVDRAKEQKKAFYGGAILLTIVNILCVYSYYHQCKPTAALW DNRIKGKCWPPEIQRDYAYFQGCLGCVTALGIAAMAATTARTVLLARLSVQNDYTFNS IALTIYIATEQYLIIIAACIPTLGPLVTALRERTSASSPNGSLTQRPNRPHGHHQHSR SKNRSHGGKLMLTGSDAQIYPLSEYQGWVGGSRSSSSGSDGANDSTENIVPGYITKTM EVRVNSFQELDESENDISLARGPSNEV UREG_03111 MRVLRRKRDWRGEAVVKLVDAGVKRAVVQQAVAVVEEGLAQHEG DGEVSGDYGESGQRGFDPDEEGAVADFLARGLFGAGLELVAGGEGWGEDVEEEEEERG EPEGEELDGESAEELNGWI UREG_03112 MASEGVSKISSDGDSIREKQPVDHGTAHIDLETAGEKEGYVLDA AQLGNAELKTAKDGHTVLIPQPSSSPADPLNWSDFKKAVILIIISATAFLPGLWKCNG IWGMTEDEVNHSQVGNVFMLGAGGVFVVAFSAYFGRYPVLFWFLVIALATAVWCAAAL SFESFMGGLMFIKDMFFFHEHARKINIWSSFIIVSPYMGPLLTAFIINTQKWQWAFGI YSIMTGLCLIAVILFVDESYYDRKIPADQQPQRGSRWKRMIGIEQWQSHLMKNTFKDA MMRPLIVISKPPVMVTMLYYLFTFAWVVGINTTLSIFLGPLYNFGPKQIGFFYFTPVV AAILGEIAGHWLHDMIARVSAQRNNGRFEPEARYVATWVSTPFLVSGIVLLGFALERG YHYMLASLGWGLYVFGIMITTVAVNAYALDSYPEASGEVAAWINFARTTGGFVVSYFM VEWAGKQGAIRQFGTMAGICGFAFVMIIFLQIFGKRMRMWAGPVNFKTV UREG_03113 MSPPKLGANEPQICRRTPGRAAIAIVRISGPACPQIYKGLSFDS SLPKPRYASLRTLYEPGKPPSTNTVLDSGALVLYFPAPKTVTGEDVLELHIHGSPAVI KAVLNAIPKCAGNGSEAGSQPSIRYAEPGEFTRRAFLNNRLDLPQIEALGNTLAADTE QQRRLAVRGTSDTLSARYEDWRQQLLYARGELEALIDFSEDQHFDESVDDFMLSITKQ VRKLLNQINVHIENASKGELLRSGIKVALLGAPNAGKSSLLNRIVGREAAIVSSEEGT TRDIVDVGVDLDMAGLRSTPSKVIGETDNTSVIIGEVEREGIRRAKARALESDLVIAV LSLEQCPQRGSSLKIESEVVDAVRDCLRLNKRVIIAVNKTDKLPVNADREYSRMVEQI CSTFQGLGRSHIYPISCKQAQGKTLDVPDPGNIQILLRGLIDAFEEMAMPAQSEEADA QYDFSYYQDSLGVTHRQSSNLQICANHLKDFLSQTMPAQNANADDNTTLQTPDDALAK DKMLDVDIVVAAEQLRFAADSIAKITGRGEGGDVESVLGVVFEKSVSLHCVLPSNVSL PGCHN UREG_03114 MSSLNAVGDDLCIAFPYALKPSPKYKRSITTMRIQQESPNNEST RPPVRVPNAPTSGSQQIAAQSPSPQRTLVDRNFPRDAGSPWPLNKFS UREG_03115 MGELQGCYDNPFLNNCPIAQAANSFDFLQQDKSLAHGRAISSKE RTTQINLRLPGAIFQRNDVGRRNGGYAPPPPVVPDSDDFSINCNPHPMKLVWAL UREG_03116 MVNLGRYVPISYDSDDSDFPPTRFACAFCCKEFGTFKALHQHCR MTSRHEWCARCDRAFCHERALAAHVRYSSSHHECPRCDFDFTTAAGLNTHRAEAHHWC VDCDEYFQNNNSLRMLIPHPPLASINPPPPQPPMLRLLLAIPLLFRNADPPRSRDVLQ RSQHRRR UREG_03117 MGSYQQLLHRQLRGIATRASLGSRRPLTRSLPFARTPNYRLRPS PRPLTFGCPRYFSSTPCALSPDVFHAQREDPASAAILSAIESSKAVPQTLTEKIVQRY SVGLPQDKYVRSGDYVTISPHRVMTHDNSWPVALKFMSIGASKLNDPNQIVMTLDHDV QNKSEKNLKKYEQIETFAKTHGVDFYPADDVLNHAIEFTGPEETMRSLQIDDRLTIAN MTTEWGALSGLFPVDSMLKGWLRSKATTAAMWGSTVPELESSAAERFNHEALDQLFEE PLVADKGAKYAKELFLDLSTLSPYVAGPNSVKVATPLNELESQNIKVDKAYLVSCTNS RASDIAAAAKVFKDAAEKNGGTIPKIADGVKFYIAAASIPEQRAAEEAGDWQALLESG AEPLPAGCGPCIGLGTGLLEPGEVGISATNRNFKGRMGSTDAKAYLASPEVVAASALS GKLSGPGWYEKPEEWSGVVRGEGDGIEMITAEQALEKVIGQLDSMVDDAEKLFASEET SSEGALTEVYPGFPERVSGEIVFCDADNINTDGIYPGKYTYQDNIPYKQMGEYCMENY DPQFSKAAKAGDILVTGYNFGCGSSREQAATAILAKEIPLVVSGSFGNIFSRNSINNA LMGLEVPRLVTRLRETFGSDGAESKQLTRRTGWTLTWDVRRSQIEVQEGPDGPTWTQK VGELPPNVQEIIALGGLEKVCDVTNVGSIFVYR UREG_03118 MPSRSEVAYFGAGPAPLPTPVVEAAARAFVNFDDSGLGLGEISH RSPTANKILAESKEALTTLLDVPDNYEILFMQAGGSGEFSAVVHNLVSVWIERRRRKI NAATDEALVAELKKQVEEELKLDYIVTGSWSLKAAQEAARLLGEKFVNIAADARKDNG GKFGQIPSEDTWNLTKTKKEGGRAAPAFVYFCDNETVDGVEFPSFPKVLEPQGSDGED DRIVVADMSSNFLSRKVDVSKYGIIFGGAQKNIGVAGIAVIIIRKDLLPPHTLAPSPS LLRQLNIGGLPGPIVLDYATIAKNNSLYNTLPIFNLWVAGQVMINLAHLYGAKKVSGQ EEIANTKAQSIYGVLDKYPNVYTVVPDRSVRSRMNICFRVHGGDADKEKEFATGAEKR LLQGLKGHRSVGGMRVSNYNAVPLENVEKLVRYLEDYANGRV UREG_03119 MAELFETADRPIAPQYHQQRCKSLAGSKEKQLAANHPYHHCRGV FTVRPLTQARNYEVYHEAWRKGLWSVQFKQPQLQVGRDYTPLPPIREDELSIDDAVKD GDNMQFLIRREPQGEISGYLHSLAPGAILEFRGPQMDYELPDDVQEVLFIAGGTGIAP ALQAAHTIFRDRQSKNTRMHVLWANRRREDCLGGQSDVPDTTHSSWWSRIYFNLAGRK ENTRTNDESNFTVSLLEELKRQSRGRFSVDYFVDEENTLIGKQPILEFTGHGEKDQLD QRPKRKLILISGPDGFISYLAGPKLWRNGVEIQGPLGGLLKELNLKDWEVRKL UREG_03120 MAPVAFSEPLRPGADAARDSLELASLASSFDSVHHSSRSTSPSG VSSSRRASLENQDPLSDSPYDATRPRHARSYSVSSAFDFGNNLFPLSQTVGGYAPLGA PSVSSLEHHAGLIDGSLEKRKTLTYLNGLSLIVGLIIGSGIFSSPGRVSTNTGSPGAS LIIWAVAGLLAWTGAASYAELGGAIPLNGGAQVYLAKIFGELAGFLFAWCAVFVLKPG SAAIISIIFGEYVVRAIVGADVETVNPWINKAVALLGIIVVTVLNCISTKLGTRIGDV FMFFKFIALLGVTITGIIVACTGFSYDGKPNTDWKTTGWFEGTNKDISSLAVALYAGL WAFDGWDNTNYVTGEFKRPNRDLPRVIHTAMPLVILCYILANISYFFVLPSSTIAGTN TVAVHFGSKVFGPIGALVLALVVSGSCIGALNATTFTSGRLVYAAGREGYLPSVFGKI GIGGSANPTSGRLRTRSWFRKALVRLFGDDIGIGYTPIYAMVFNSALCAGYIAVGEFG TLVTFYGVAGYTFYFLTVLGLIVLRIREPHLERPYKTWITTPIIFCCVSLFLLSRAVF AEPLQTLIVVGFIAAGVPVYFWRISQRDGKSKLGKGWWKFWKRWGRS UREG_03121 MDPLNQLKDILHGHGLDNQVAIEDLWRLFESIPQARTQSQPNTV PQQESGSHRSAAPRRQRFIAGHTLRFTPEYARIRVNPSKGWDFSGPSGPAPPPRPAEH HAAPLKVGISIDGLAPLSVVPSGTGTVIQFNNANEPVYLHLTVEAPGYQLRDSLGRIV FNQYVRFDPQYNSENALLQAAQLQRAEELEVNTQLWNEFTVQMRNWRIAHRNLAIFPP DITRAKLQAAFEHLLRENERDRAGWIEPDCIGRPFPTSMDPLPTVPQSQSQRPRSAHS TTERAPVPEARNPR UREG_03122 MPRLPSTLLRRAYTVDPLLPLLLRECRDLDSARNELRWLREHAQ SRDAANIRGDAWQQRQLRSMVRDRSRGKPLQPETETYTARTARLILSELGARRPSTAP FRILDLCTGTGCIPLLLHSLLAPSIPNLTIVGIDISPKALNLARRNLDYNISREHLLP RAKQDVHFLQANVLHDEETQRLNGVATTVPGLPTVLLDFPPAEANSGAKNADWDVLIS NPPYISTADFGDGTTKRSVRLHEPKLALVPPPLTSSGQSQKMAYDEITAREDSFYPRL LDISEQIGSKLSIFECGDLGQARRITAMVDERKRSGSLSRKVKTEIWKCDGFEGNDTV TSGEDIGNQSDDDQGARAVVMYRNTVSR UREG_03123 MREILCWSLAIATTFLQTTEAVQLVKRSTPAVVGFELERKKIVN PVDYDKRRQRRQNDKPKVVNQVLDNEKTLYFCNLTLGTPPQQLRMHIDTGSSDLWVNT PGSTICRDRRNLCEVGGTYDPQRSSTYKRINDDFNITYADGSGAVGDYVTDTLKFGGV TLDDFQFAVGYQSTSREGVLGIGFTSNEVQAVRNDQPPYPNLPQALVDAKLINSNAYS IWLNDLDASKGEILFGGVNKAKFHDSLKSVPVVSRRTGYQDLAVALTGLSVKTADGEQ RFPSANFPFSVVLDTGSSLCYLPNAIVADIYDAVSAVYDSQFGAAYVPCDIASTKADL VFTFSEPNISVGMDELVINPGPNRNGETLQFDDGTDACIFGIAPAQGSVSILGDTFLR SAYVVYDLENEEISLAQTRFNTSDSEILEIQSGRDGVPDATGVASAVSSAGITPTASV QTTLTPISTATVGMDGRPVSSSAGAVPTMKPEYPLRMFAGLAGAGIVFAAL UREG_03124 MPSSSAARVEIPSLQKLRPSTPFNAATTHRDLLQRLSQESRPAP PLRPNLPSVAFRAARCAGLKPHESHKLLAEPQSTSHRPSSRLGCQAWAWPITTRHTRA GWAYISADADCGSVSAFLDSGAKPRPRPANHGPAILPAGPFPNGQQAASWSRFPDQSR TACNQKRERGLSHWRKARPVPRHLLDRGDIAYKERGGSASWTLSRVPALSHLITLVLT LRQVLRQMASLHGAIWMLISSFADECSPC UREG_03125 MAGAEDKPVLSNNIPREALLITIWVFFTVTTMLLIARYAIRLWL HRQLFWDDVFAGIAYVLLLGHNIAATLVAPAIYLMISTYFGVPKPPDFLDQVTYLVKL MFSANILFVLCLYSVKASLLALLWRLVKNLPRFRKAWWAIAAITAIGLVVTIILAPVA CSDLRAFGCTAPDDIERDLITVRFTAASDILTDLLLMSLPVAFILTSYLPLPQKLGLV GLFALGFTVIAMSILRIVVNDNTSKHPPPSWLIFWSSMESAVAVMTSCFASFKSLFTL RKRPSAYARTSSYLQSKNKSGPLDASIALRSRIRNERSPATTKTDMNTVVISSESEAN KWNDSDSREEILQRTEFEVRYERASTPGKSMATTTG UREG_03126 MTPEQWVRNYAHAWETRNTYEIVSLFTPDASYYSYVFDEPHVGH NAIQLYWETAAGQQREIKVRMAKPIVSSDGEEGTNRAAVEWWTTMVDPEKGPVSVTGV MLVKFTVEGLCWELWEYWELQDGMREPPEGWGGID UREG_03127 MVQAESSDAAVKNALRANMAGAPPDYELPWVEKYRPVFLDDVVG NTETIERLKIIARDGNMPHVIISGMPGIGKTTSILCLARQMLGDAYKEAVLELNASDE RGIDVVRNRIKGFAQKKVTLPPGKHKLVILDEADSMTSGAQQALRRTMEIYSTTTRFA FACNQSNKIIEPLQSRCAILRYSRLTDTQIVERLDQICKAEDVKHSDDGIAALVFSAE GDMRQAINNLQSTWAGFGFISGDNVFRVVDSPHPVKVQAMIKACYEGKVDSALNTLTE LW UREG_03128 MPHVRLREYKRPSRDYELEYVEVIHRHHKRTPYQSNTFPVESYP WNCDDQGLYFYGQPIKGRQSANAYWKGYQNPINPYEVSGFKGTCAFPQISKEGLDDSW QHGRDLYRVYHDLLRLIPRRLDLDRVSFRVTTNVITSQVAGMLINGMYGISGNVPLNV ESSSIDSLEPSYSCPRASNLFSEAKSQPNTTWAAHLSRTKELFSSLDSVSGVSPSDSG WHASFDHYFDNLSARLCHAKPLPCSIEDPTKCISMSQAETVFRLGQFEYSYIYRDAPS SLAASAASQGVWIAELAQHLRDQIAGHDGKMRYRHNIAHDGSVSRVLSIMQLDQMVWP GMGAEIIFELYSRKPKHGWGNRKRQHFVRVLFGGQVMRSSNPDLGELDMIPASKLIDY FDGLVGEKAKLVPDLCQK UREG_03129 MTGIGNMLKLFQDKGVLPVDGMVDPEDYEAAKANSMKFKEIFVG LAKDEEERDLFNKLWPYQDQESEKPEHHRGVDSQAFSHYWETLKGREVDANKCAGLGG ERGMYPSGRDINDLCRVDLSNKAFVKLTGDFGDTTSFTQWSG UREG_03130 MSWKSFQQAARLNDSILQGYRKRMSFFSFLSFQRGRDTPAQNPE LYIEKPDGTGKSCKRHPRARFSASISHAFLEKRATEEDLFGYSRHRWIFNEEKELARR YRKFDLQKLIEVAIDTAGDGAHGYTKVLNCVEGMHNKALLLTMDNGKEVFAKLPNPNA GPAQYVTASEVATLEFLREVLNVPVPQVFAWSSDPANPVGAEYIIEEKAPGTRLESLW HDWPRKSKLQVIDQVGKIEHALTTTKFTKHGSLYFKQDLPTLKEQNDTLLVEPLPSVQ SADLDRYVIGPLTSAELWSSGREDIDLDRGPCGYKQQSTSGSWLI UREG_03131 MSAILMHSPASITMNRLMTARDESGIKISHPIVSIAQPLTIVNF QLSYNLTATLTTPSSTQPRRSPSWHRAPTSPASPRNHAIPSRSLPPAAPSTIRRNLFH AHLSRRQHTTSTTSSSGTSRSRTHTHTLSGSGDGSGLSSALGGGISKSRLMTGVLSRG GSIADEGDIVARDKNGSYRVDIPTLRLGAFGEGAADGDEVMEVVEGCGHAGIEHEDGM SSMDKSSVFLLFAGELAFLCFVLTVCFYRNRCEHRGNDAAQSEQTTE UREG_03132 MTSRIDKTIARQREKIAAGAYYESHQQLRVIAARYLKQSNYDAA ADILAGGAIALLKAGAQQGASASGGDLAIMLVIDVYNKAEWELSDDEVGKRRRQRLIE ILREFPAEEPTRKRYVSEMISWSSKYGDIETGDPEIHHAIGSIYAEENEVYDAERHLA LGTVESAEVLARLEYRWYTHDAVHTAGIYAARAVFPYLLTGSPRNANKAFLIFRNQLS SSPTSQALGVQEVSSQNNDVRVYPSLPLLNFTNLLLLAIQRGHPDLFKQLLRQYATYI KEAGDWDQALAHIGEVYFGIRIPKQSNPLMDMMGMFFGGGSQGGNRSPTPQRPKQPKQ VAPPASMDLD UREG_03133 MNSHTFDIQPLHRFAGSNAAIRRPKEIAYFSYDDEHKFRLDESS LRYYYPPKLPADLNRGFETFEKLDDSKDEHLDALLDRIIALEQNTGAKCEADVITWRG MMTKYEWVTTNDFFGQLISCMPRRSGLEANNLIFFKGSIFIEENNTYKNAQKQKQLQQ MSPAGAPSQTRMMYWGYKFETLSLLDQPWAATPRETIESREAKVVNNSSQYCSVVRTG IGGTKLVIGGEVDAVWDCKPEQKEDPINWVELKTTAEIRNDKDMWKYERKLLKFWAQS FLLGVPKIVVGFRNGDGILQRIEELSTHEIPGFVKRNGKGTWDGNICINFTSELLHFS GLTGEGLRNVITTEVFKLEESGHGGILSDGFIEWRSRT UREG_03134 MLEKLRELDPVMAERWHPNESRKIRRSLQICLQTGRPVSEIYKE QKMQLRKTLEANTGQAGTGDIVEPSHLRFPTLLFWVYSDKSVLRQRLDNRVNGMIDQG LLSEAQQMFNYLQEKEAKNIHVDRTRGVWVSIGFKELDPYITALSSGQTSPENLQKLK MECVESVKTATKQYSNSQLKWIRGKLWNLLDLVGASDHLYVLDSTDVGDWDNAVRLPG ERVTEAFLSGKPRPHPSEVSKIAKELFKLKQQRNQSPSEDLEIRKSCDVCNLPGMTEE QWHIHIKGKRHKNAVKGAEKRAQRERYLARQRECAEGEGLGAQNARAEMPNRLNPSGQ VEIRITEHELTIHTEVS UREG_03135 MASTVPDSSIHIHNLNYKFPDGTLGLTDINVGPADGESHLIDRS TLLRLLSGKRLAPSGSVIIGGVDPFKDGLEGVTYLGVEWVLNPIVRTDIDVPTLLASV GGDYYPDRRDELVEILDIDLSWHMHAVSDGERRRVQLAMGLLRPWNLLLLDEITVDLD LLSRANFLGFLKRETESRPCTIVYATHILDNLAHWPTHLVHMHMGQVRDWGSLEKFYA GKPRVSENSQLGELVLEWLKRDLKHRGPRPGRPSESKPEPGYNMEI UREG_03136 MDTYLNADVVANSPRFRRKSSTFVDAIHDLRDKPEIAPAQLYST ESGRLFHSGRIVIITVGLPARGKTSQDICAGSALKLAYSILGIIDVRPLALDKTCRRT ISSSKASVSFNVILIGHLSGSIDILPKPSASSVLMRQKIVKKCRDDIYHFLSDDNGQV AIYDAVNPIAAGRRSLAKEFGKYGIETLFIESWCDDEKIIEENVQRVKISSPDYKGWD PKDAVKDYLARISARIPQFQTMEEKDLNYIKMINAGDKMMINNCSFGYLHHRIVFYLL NLHIQNRRTYFARAGTSLEADSYKADASLSPQGEDYARKMTQRLLEYREEERQAAKEQ GDLDAITRPLIVWTSTRRRTTETAQFLHKKGFKTRHRSQLSQLNPGVCEKMSERRIRE EFPDEVAQHDLDPYHHRYPRAESYHDLAVRLEPIILELERERNDLLIIAHESVLRVLY GYLMACNAADIPFLSFPRDEIIEVIFRNIDFFCDNRELIRVQNVQIIPGSYSNEAKRI HVPDLPPEIIPGSPEDIKIPVPPSGAVSPMPDGSSSALTRGGTPQSGFRTPRDPERIS QLHVEDVVNEVLEKMDILHRVIQHEQLPPFIFHDVLTEVLDEDPNRRGVYALLVGHTD TVNAVKFYTPPSGNPSRHLLITGSVDRTIRIWAPNGSTPLSFTLATTLQGHDNSINCI AVAEKSDVFVSRAADGLTLVIPVEALVLALGGTRSIVQVYVAQDSSKEVHFELKATLT GHEGWIRSLAFTAVEFPGTQDFLLASASQDKYIRLWRVHPGKSAISAAPQNAEDAILG GMQALSNKAHTFESDGAVYSITFEALLFGHEDWIYSIAWNPNPEKLQLMSSSADNSLV IWESDPVSGAWYSGSRMGEISVQKGSTTATGSAGGFWVGLWAPDGDVVISLGRTGSWR SWRYESDADAWVQIMGITGHVRSVNDIVWEPSGGYLLSTSADQTTRLHAKWSRDGHDS WHEFSRPQIHGYDLNCITSLGPTRFVSGADEKLLRVFNETKSIAQLLERLSGFAQSSQ EEMAEAANIPVLGLSNKAMDDADVEEGDENETNGMQGGVPSQAIPLDLDHPPLEDHLA KYTLWPEHEKLYGHGYEISAVAASNNRSVIATACKASSIDHAVIRLYDTQSWREIRPP LAAHTLTITALKFSPDDQYLLSVGRDRQWAVFERDAANKSLYQLLTSNLKAHSRMILS ASWAPHPTTKVFATAGRDKSVKLWSQEGGTFVCKSNIAIGHPVTAIDFLPTVVDDKFC LAVGDDSGAISVHVIAMENLMPGNSVAIPKFESPSKSITQVLWRPSSTFSRGDVIAKY QLAVASDDSSVRIYNIDGVPS UREG_03137 MADFSSSLGFITDNAVSSMLKDAYDAFSERREALGLTNPGTVEN VSREVQKDVLLSNFMFTGLRADLTKVFGVSPLFRVSHAFTMGSQGNLPPYAFSSMFGT PNVLMQGNIGSDGALNAVCNYRWNSALVTKANAQIMPGAAQGIIQIDNDYTGSDFSVS IKSFNPSFLEGGLTGIYIGSYLQSITPGLALGLEAMWQRAGLNARPETAISYCARYKG QDWIASAQLQAQGAINASYWRKLSDKVEAGVDMNLQFSPSGNPMMGGGLQKEGTATVG AKYEFRASTFRAQIDSSGKLGCLLEKRVAMPISLTFAGEIDQVKQQAKIGLAVSFETA SEELMEMQESGEMSNVASPPF UREG_03138 MAIRENRARARGELAIFKNWFGIVSVMNILDLAWGSLNTHWMPF RFRVSLRGINSGFGQKILKSSFRMIPSNGSKRSSAVTESRWRGSIQGHTLRFNQTKPS RWDPLGISSPVLGRSR UREG_03139 MFSSLRMGEVIREKVQDGVTGETREMQYTQCKIVGNGSFGVVFQ TKLSPNGEDAAIKRVLQDKRFKIMRIVRHPNIVELKAFYYSNGERKDEVYLNLVLEFV PETVYRASRYFNKMKTTMPMLEVKLYTYQLFRALAYIHSQGICHRDIKPQNLLLDPST GVLKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKIDVWSTGCVMAELM LGQPLFPGESGIDQLVEIIKVLGTPTREQIKTMNPNYMEHKFPQIKPHPFNKVFRKAS PEAIDLITALLEYTPTQRLSAIEAMCHPFFDELRNPNTRLPDSRHPNAPNREMPKLFN FTRHELSISPPLNQRLVPAQAKSELFASGIDLDNFVPLKKEEMIARLD UREG_03140 MVDHEPRRGGGFNRKRRHREDDGGERQRPRRRYEESLSASVRRQ MLTIAESPVRRVEEDVAKIAKTVCEHADDEEVKNDFRELALQLVMEQPFKTPFIAAVV LLVNAQKPELADSVLNKAGELLQSHVAAGEWREVKLVLRFFACLQGVFEGEGVFPILE ELFSRAVDLQTASSDDSLGLELVKIILYTIPYVMGSSASGFEAHATALLEKTDIIAST PHSLEALVDPFPAEDKTEGERLSALGLLQKQLQDEAQNSWELACLPRPWKMPTKEDAE EDPLSTASKHAFPTITVPDPVRNGPRAIFPEIYLSVYSDQAVETVPPITNIASSLIRD ALNDTINILDYNRIAAARFLIDVDCYFTPNTFIKRATAFDKLRDVPEGKVTWKPEDVA LDAVFSQFLQLPTPEHKQVYYHSVLTEACKIAPAAIAPSLGRAIRFLYRNVERLDLAL VYRFLDWFSHHLSNFGFTWKWTEWVDDVDLPVVHPRKAFIIGALDKEIRLSFAQRIKG TLPEPYQALISENKEKDTPDFKYAQETTPYSKEGQEILQLLRKKAPDEDIAPVIASIE EQAKAHGLADPTIPSTDAFMTSICCVGSKSLSHFLSSIERCKERLLGIGPRSAAARRQ IITSVMEYWVDQPGNAVNIIDKLLNYTILTPLSVIEWALVDNLSAGSILAKPHIYEMI AATMGKVTNRIRQIVAARTQPGLVEPQLSVIQDTLARERADVQAMFQLIDDSIVAVAS GSNDVMMERADDSALALENELIREWGKRWLRVFRRKGAVEEAFINEAMVGAVPVGTMA PPQVQQQLQPQPQPMQDAMDQDGANGQKME UREG_03142 MSQRGGMLSFHQMICTWTYVAEFTQDPNFFYYTRGRFVRDEASE IARRSICFNVDELASVGASAVGSTACVKFEKYPDGMYNKAFLLTMDNGVQVVAKLPNP NAGHPHFTTASEVATMEFMRTVCNIPVPKVYAWSSQNNSVGAEYIIMEKVSGVPLASV WSSMRLDDRFSLTKTIAQYQEAWMKVSFGHFGSLYFAEDLVRVRHVPLHDGFSNKKFA IGPSTGREWFDAGRATVEFNRGPCQHWRNTWLP UREG_03143 MKQSSPTKVELLQAELDDDSESFVRLLVDGKLIKYVIIAPGLFS VEDMAFGPSLITLLPPFPQRDWNEGLVEKDPHTGNPHFARYTRKEFPGVKHLWHGRFV DYIDLKIGKKLTSGVYEVTSSKLDKAAVAKFARFDWEIQYMENETTAYEWIQGSGVGP EFLGHITEEGRVIGFLIERIDYSHRVGPGDLTACQNALAKLHSLGIMHGDVNRYNFLI LAGGETATLIDFETARKCDDEDLLRKEFEELLESLLDMSGRGKGCLPAVN UREG_03144 MKQSTLDRFGVILEQRPHTGLTFLDLPFNVRCRVYEHVGLYRTC PIDLNYEPTKGYDAEDVLDHDEEHDKYADVDPKYQCYVRKYFDFCDYLPGLVKRLLAL HNLSPETLSHITTLAIRINFCVKHPHGCPYRYNLRPIPKTRPLQRIISRHEKWMLADW TCLCMRLAQYIQPGRLRLSFICDTENIETAKAFLAPLQAIPTLARCGIRLSRKEKPEL QRLADETVAHLSTPRVAPFRFSVLPTELQARILAHTDLVAPHAIEWSPSAGYEPWQPM LQPEKCYMCIDVRESCCTPLEEGSFASRCDCWKPPKALFYLNYRTREEALRIFFKENH IILHYNTGPRLDLVPFLLSIPRQARKYLRSIQFEFPDPRCMTPGSKVSQDLAAAVTIL VADADLRRLTITIDVSAGWHSGEDFEVDESLNEIRWFNYKQMILPMYLFGGRLKDFFV HLPWFYLSYDLARRREQEQDLERRIMGSAEYDSIQRGKFKRPCTAPISQLSAFIALSQ ATADFTLDANEDLERLCPLDAGRRHANPVHDLGQLEQLPLELLNMVLLGLDLRSLMDF RRVNQRAMEVVGSIPQYATILMHAKNALRGIISIGSARWISCLDIYQELRRAECDCGD FGGYLYLFTCRRVCFLCFTSESAYLPIGRGHAMRKFGLQGAQVAALPAMKSVPGFYSG RLLKCRTRHNLVDYESARQAGIKLHGSVAEMERHCSEMELQRREQYLQRKSEFKSGGG RAPRRPPLVEPFDGRQSNPRRYMAIVPAPYITTGKVIEWGFHCEGCRKHNYSRPLHWR RKFTVASFEAHLRECGNIVAGKHI UREG_03145 MDVVLEVFDTFLFDYLYAYAVPVPQQASLVSSQIADGLNKTASA VGNGFVYRPASQYLNFEPSAYAYMSTMARDNVYRQILSMFFITWVFGVVVYFIFATLS YVLIFDKTTVNHPKYLKNQMRLEIIQTLRSLPGIAILTVPFFVTEIRGHAKMYDTFEE APFKLYNFLQFPLFFMFTDFFIYWIHRGLHHPLIYKRLHKPHHKWIMPTPYASHAFHP VDGWAQSLPYHIFPFIFPLQKFAYVALFVAINVWTILIHDGEYATNNPVINGAACHTM HHLYFNYNYGQFTTLWDRLGNSYRRPNEELFRRESKMDKEEWNRQVQEMEALVKEVEG EDDRQYEHEENVKKNL UREG_03146 MYNRAMVPAPNPRLTELFDQLRQEYENQSRSHGECEHQLTGQLQ DVEMIRQRVSQLEQAHMEMKQKYEAEIRNLRLELESRGIHPPPSHVGGPPTHGGLSQA PPPALGHGPSNLFGGIIANQGGGPPALAPPPPQEQQQPQHPMQQPASGAQGPPQPQQG GFGGYQPSSAVNGYGPPPPAPTSSPGPGKRSARAGPATPQQNQPVTYADPRESPQIPQ PTPGQQMPYRVGNALGELEPEKLPLTQKKEGLDWYAVFNPEIPRVLDVELVHTLSHNS VVCCVKFSSDGKYVATGCNRSAQIFDVASGQLVTTLQDETANKEGDLYIRSVCFSPDG KFLATGAEDRQIRVWDIANRKIRHIFAGHENDIYSLDYSRNGRYIASGSGDKTVRMWD VYDGKQELILTIEDGVTTVAISPDGRYVAAGSLDRSVRVWDTTTGYLVERLESPDGHR DSVYSVAFAPNGRDLVSGSLDKTIKMWELTPPRGIMTGNAPKGGKCVRTFEGHKDFVL SVCFTPDGHWVLSGSKDRGVQFWDVMTGHAQMMLQGHKNSVAPSPTGQLFATGSGDCR AKIWSYGPWGRR UREG_03147 MDQITKHRVDRAAEELIASIDPKKVCELASSFHPSKTPCRILSV WKKGSFNVCFPVIFNQDPKSMEGEKWMVRIPLLPRLAFPEEKMRSEVATMKYIAEKTT IPIPCLYGYSINSDNILGLPFMLMGYVEGKSLAGIEIQNLERSTREHLYSQLADVYIQ LHHQQFDRIGALTLDENDNWVFAHNRPISVDINEQEVAGLDFCSRFLPPQQTFTSTID YVYLIFRIIYNDYYRGPDSIMNEGDARAYRYGIWAGQGIAMEWVRSEYNHGPFILMHG DLRPPNIFIDDNFNITSILDWEWSHTVPIQMFAVPPYWLTNHEVLELGNASLSAHYTA AALDFTTSLYERLDKCHNPKRLPRLELPMSKIWSKHADDEVNRSVAYGLLKPHYFGNV YSKALDRSYYGQDLVGRVEAFFKLKIRQPELEIVKQKVVELARFEKERQELGIEPRLT LGAPLLPEEEAERLKWLEMGGEEGYKAQIQKEVDAKMARIHELLRRKRELDELNALAT RPHSWWPLIGIGAYLVYIVNMKGKLSYGRY UREG_03148 MDQLTRHLLDKEVKKFINSIEPSLVCKLASSLHPEKKPCHVFSD PQKGSYNICFPVVFTDSEDQISDVESTQSAERWMIRIPLLPRLAFPEEKMRGEIATMK YIAEKTTIPIPHLFKYSINRDNILNLPFMALEYIAGKTLHGIDVPCLPDELKSHLFDQ LADVYIQLYHQQFDHVGALTLDSNDNWVFQHNRPLTIELNDQELSGMKSSEIIPAHQT YNSTIDYVYAVLKLIFNDFYHGKDSVFNKEDARNYLYGIFASQGIVMEWVDPKDNHGP FILMHGDLRPPNVFVDADLNIISIIDWEWSHTVPPQMFVPPSWITGQELPAATKKPYD FAFTVYVSQFQRAAREWEDKHYNPDKKLKFILPLVKLWSKHLMSDTFFIAYALLKPCY FGNVYWNLLDNMYYGEDSDKRVESFFKLRKKQEEELQRVLSNLEDFEKELALEGLDPL KPPTLDPAKGKEVAAIHQVWRKPNLERIVGLFRRWLPCSLVGVSVFVCCIIVKRRR UREG_03149 MCFLIYPHPLLKNSYTVRNIAREGYFLAQRDAEAESGAAGGDKA ASTEHERIKPIIVTAAPSLGEGLDSGSSPSWYLALACGIGIRTIGWLGAYHVRNDARG RAQDEESMGHPGFVIVEAQSNSPRLTTLDDDFQAERKNVPV UREG_03150 MIERYGMPLLCRRANIVLPTNFGYGSTSPRWASTVDNWFGFKGC STRNCAVPSREPAFERLKETVRLKNRRHYNVNLLNMPEFSTASRPYPSRGSLFSSERH WEYQLFRYHRLGNLHCRFTSVISDPVDYHNSQFIVPKEAESEHLIPLSLHVRFIEAAN RGWLRGNRTGARATRAPPVDSVILRTLYFNGNTLHFDLKPVTRFSSNFRSPAERVWEA YGSNTNRETIVLAERDINTAKMTVFGLQNSCGDGKHLPFSDDRVSMLDIPNYELIGRR IPELRYLEDHWDEFYDDYMTEIEDYNRKYLNDRIAMIRDAIHGLSNRCAAMVRRSLNL LEDDIQPVFHEEGSMIIAAGLERNRCPEHYWSLPVVTGPVLLFSFLEWFFAAVTLQSP DVDSVSGTLNEGCFKKPHVYTLLLGN UREG_03151 MGSERDSGKKQKKSKITKRAKNQQGKTEKKKIGTHRPGSRELGK WHVFLQSLGYRVVLTWAMLVI UREG_03152 MALFPRHFNQLIPGDKAFFAREWLKAPLLSCLNLAPTPPRSLAL RILPARNAEANPFCALKNGMSHSSKSRVTKAILRKKLKTLAILSISSIPHRLAGVQDI ASFTRRF UREG_03153 METAVPVTYGFGNHDLTGVSHRRMMAPPQDQGMTYYSNQPIPYA ASLHSSSYGFGHILNTSHASYQGFYGSAPAITSHNNRLPESAPVQSLSTVAPARNGLP RLMEPNPPKFEPEQPPRLPNLPAKPEDQPDAKPRLKSEIEFSTEVDTLMKAIQSKPKI TQPQLPPLHQFTNGVPGWSHPAYAAPMPGGQGLFAPQQDRNLSTKQKPRRKYECTLPH CRKSFYQKTHLDIHMRAHTGDKPFTHERRHTGEKPYSCEICNKRFAQRGNVRAHKITH EKAKPFICRLDDCGKQFTQLGNLKSHQNKFHSQTLRELTMRFSTLTDVERLAPHDVEL WEYFATLYKNSNKGIKGRGKDRRVSSSAKLRGNTGPASVDEMSTTTVSSIEEDKNRMN MFRPSYMSTPSSDDVDFHEQMYPRGP UREG_03154 MSSYLGFRGPVGLYHVNPEAEQTEQDPSEPGSDSQHDLQRGTGV GKEEGEEKREYFPSINDVLCVREFLSHLKIGSESKPQENGRSKAMRAERLPVEIVDII LDHAEYWPSIETTLDKARIIRQDQDAEILRTGGLCYAPTTPAIKPRILPHRTVHPCRK IIFTVTSHDQGWGGDHRGQSLYEHSYSWFDAYRIPAFHPASNETQQTPADLVAQYQKI YEEEHRPRFEASANFLPSKMAVRQAQTQHIIWHYRDGMESDSTVAREIEHAEGRGRET LNGKVSSPTVHLLEELAD UREG_03155 MVQFSEETKATPIVNQDRISSRSIIGSHSATAKEVPTIHLKLSL LLYSLASGKLGNIHVYRVTLFESSVKSPGAREGFPHSIAASHRMLSGTLNLKDALRRL YGREDGLGNLDYFVASGTAANNAYLKGALTAILTNPIWVIKTRMLSTGAGVAGAYPSM THGIRQIYQSEGLTGFYRGMIPALLGVGHGALQFMAYEQLKRYRSLMVSSDLTASDSG AGKLSNTDYLALSGLSKVFAGSVTYPYQVLRARLQTYDAAGTYRGFIDVISQIWRREG LTGFYKGLGPNLFRVLPSTWVTFLVYENMREYCLHL UREG_03156 MSGARHWEQDKEATVYVGNLDERVTDSLVWELMLQAGRIVNVHL PKDRVTQTHQGYGFVEFISEEDAEYASRIMNQVRLYGKPIRVNKASADKQKTIEVGAE LFVGNLDPMVTEQVLYDTFSRFGSLISMPKVARDDANLSKGYGFVSFANFEASDDAIA NMNGQYLMNKEISVQYAYKKDGKGERHGDQAERMLAAQARKHNVQPQTQVVPPQLAGG AVPPLPHTPVMNGDVSRPISTGPPDFGAGRGAPMPNGPYANMPPQPPHRPAPSLQPLG GPLNAPPAGLPARPPPSQAGFGGPPPGFAPPPKFGQPAPPPGFGAPPPVGGHGPPPGQ LPPGFQQAGYGRGR UREG_03157 MAYLLYSLTLFVLVLGTSTIPNLIINLTSPGLNPANPLIVLYFS RTRWLPLLPVPDYLYQRLPTTFRGDAELGLSSADFSLASNIEEGDNRGGLDERGKREV LKIMKSRRVDFNEARKIYMERRFTKNNIGPDGVPRDPKFVSFS UREG_03158 MAATARPNVSEDLVWEIVRSQNAYLVNRKSGGGVRFSRDPLNLT NIHSRKYSGFSNNKALGIQAAENNGLTVSSKKPGSSNQPAKNISNTSVSGTTHTRKVY KGVANRTALGGYRPDLRAEAVSRVSAIKLSQRPKKDTPERKPRGAKARKAAEKEE UREG_03159 MVKAAVLGASGGIGQPLSLLLKICPLVEELALFDVVNTPGVTAD LSHISSIAKTSGFLKDDDGLKKALTGTDVVIIPAGIPRKPGMTRDDLFKINAGIVKEL VQGVADHCPKAFVLIISNPVNSTVPIAAEVLKAAGVFDPKKLFGVTTLDVVRAETFTK EFSGQKDPSKTVIPVIGGHSGETIVPMFSQAKPAFNIPADKYDALIHRVQFGGDEVVQ AKNGAGSATLSMAYAGYRFAERVIKAAKGEKGIVESTFVYLPGVQGGEEIIKQTGLEF FSTPVELGTSGAEKVINILGSATEKEKQLLEVCFKGLKGNIEKGIDFVKNPPAQK UREG_03160 MSFDTTPTPSFNASDPHARFLNSSCLDLLFIELVPMAERLVQEL EANNAPDGEGVAGGKALDEEELREATFYKLESLGYRVGLGLAERLLGRRIQRWRVLGH GCGRSMTGYSEAVVPRPRGRN UREG_03161 MPAISDAEFQSISALVKSLLNTQLKSILRHEHLAVSGVKNTLQF RVLNHLQQISVNPTEFERLKRYIYYVAQHPMPSTPTLSPPSYSQPPQSAPQPLPTHRP PFSTTMTPSRSVAGGRLSFKESPFYTILEPLSSVVECKVRENTRDTVEAMVKLSENIV ARLQNEPDLRVMVYCAADNGLNQYSRSDIAFPHQVELKKFYLVVNLVRKHSVDELVQK LQNRTVISAEQVIREMKAKAEDADIVATSSVMSLKCPLSTLRITVPCRTLLCTHNQCF DAASFLQLQEQAPTWTCPVCNKSTSFEGLQIDKYVDNILQATSPNTEQVTIEPNGDWS KPEDSAAEIQGPTPTGDDDEDLVEIPDSRPLSLKQEPTPFQMPIQSSITPTRSSREPS SVSSAARPSTNKRTASQIIDLTGSDDEEPPRPAKRVAYNNGPLNGFRRQSYDYRANGN YNSTQSPRSNSYGTY UREG_03162 MAKSARSSIIKRNKAKLRASVFGPVVDRRTERLSAKLQELASKT SVKDADMTDADFTLTGMDIDQTPSSSKSKSAEESNTANRIQKKVRRKTRPSITFKPHP RKAKKATTKKR UREG_03163 MPRVKAMTTGSVPSFLDVILNFAESDTTSTLAHQDTWLAQIKAR PGGKLLMYGDDTWLKLFPGIFERSDGTTSFFVSSRKLNDFQDFFEVDNNVTRHVPVEL KNEDWSAMIMHYLGLDHIGHKAGPLSPHMVPKQREMDSIVKEIYTAMEENDHLSSTVL VLCGDHGMNDAGNHGGASPGETSPALTFIAPKLRRLHQGKECPTVASSDLNYYDVVEQ SDIAPTLAGLLGFPVSLNNLGVFIPELLPLWPQNIGVADFVLDVERLQLLLGNARQIL NVVKATFPQFNEQSAVYCGEKDLSTSLSILECQWRKASQLAVDAKDNATLLPDAEASL VEFCRTAQRIMSNASSNYTLSRLYQGTAVAAIAVLLSLVSISQTHFNLTAALKYFIGV VVSYFFLMFASSYVEEEQQFWYWVLTGWIYYLYVKRYNKSGGRFEFGDALCVATLSRV VRRWNQTGQKFATEPDIGTTFFSKYPAVLWILALFAYTDVYQRLRSQDPREKLNIIGA LFLPLTSFAFIYKAAFTSADAPELIRHAPLLSSLVRSVKGFSLIFQARVIFCGLGAAA VYNLYTKFKSSRELQSRSRRAFETLTRTLDWSATFHHLLSLFLLTQSRATNTPLFGIF QLQADFLSSMDLTTTEIAITSLLFQYTSFFACGGSNAISSIDLSNAYNGINSYNVVLV GILMFASNWAGPIWWVSATHCMLRAKKQESKTRRDVHVQLWTLFMATGLLAVMVASFP LTGRIRTSCWRNVQISKLFRIVPPGITSASAFEKAP UREG_03164 MRDARLSSASPAQILPASAFGTVRDTLHLVYHHNKNQHQSTKWF KWLGILRRQTHKLIVELQAAEHHGHLDLTFDDHEAKSIRDAMIHLDRDIVPRCYGAFS SVILDKQFSAMGMVLLAALAEISGLINKSGLDYASCHPSLKSEAFHTVHDTGVATLQH GHRSQEDIGELVGRSEISESLPPRKRGGEVNETEPHISVKGPGPQANKGRKRGLGQED RETRGTLMQESPGGENPQAPDKVEVENHSSKLRKKKRRKKGNAIDDIFGDLG UREG_03165 MAPEEVRSRVSVVHGDATDVEGLKAAIREHNCDAMVDTAGNQVW PWKEHQLQKIARAASRAAVEIGRERGTPMRALFLCGIGELDYPVLGNKSRGERPAATI ASYLPKLATQQHLETRSVVTAIPLSELRWTLVCIAIMRPLREGIELLSQPDHHSLLTS ADTPPAWPHRWVGKIPWVGPTLEIVLNMAGYTTKLEHIADFISEDLENDSQDWVGKLV GFREQEKSQ UREG_03166 MIHGNGSPGAASSNHSSDGAASRHGSPETKLTAFSPEDVRMKSL LDNGAGIGLRRDEVMAFTSAHYPGHSQDPFMTASGANARVQLSPTASPFTPASYIQSG FTGNDRISAFLKQNEMQQSRIGYLAANSVPETPFTPNGSLTRNSPPRFGPIGPGSLIP LGYPKQDEAAVGKMRYLTATSEPETPFTPNGSLARNSPARHGTIGQPYVVSAPIQIMY CQIGIFDKVNRNRAFAIDGAPMDLAYLTIVSLFDRHEFSTLKGPILTELGTHGRIYAG FTDSRDARAAFDKIQKTYPAWYLQPLTAKEFAGKHDATHVATTSDYEGYIFASVYFNG LQPGADGRVISHNFKDILEKFGDIKAFCTIPTEQNHVIDFIVEFFDTRPAENVASTLN GSSVDDCILEIKLYRPDVLENHYLDLERKDTSESFPRQPLERRNSIENQYVELSPTGR STIPIGDPVSELTWLRRSDNNLSSRPRHDFGRRHEPRPNNQNYVDIEKIRLGLDVRTT IMLRNIPNKIDQMMLKDIVDETSFGKYDFMYLRIDFANNCNVGYAFINFEDFASARAG RTWYGVF UREG_03167 MRPGCLAKRSQFHHQAPLFGSTLDNAVLIQGWIIQIVNSSSDTD YLDQLIPSIREYSHGNRTSQLLQSLTKFANDREAQIESICTSTHQEFVTSINQLLDIR EGTVSLTSEILDLNQSIQASTKRLAEQKKALVESRSHRQNIDETSKALQDCLEVLLLA NQIYDLLAKKNHYAALRALDELQNVHLKGVTQYKIAEVIQRSVPVTQKAIADAVMADL NTWLYRIREMSQYLGEISLYHTDLRRARLKERSEKMPYLEHFKLNSAIELISDEHEEF DLLLNDDLQVDFTPLFECLHIHQSLGRMDRFRVEYATTRRRQKELLLPPSISLLDEEG ACLHTLLEEIAGFAIVERTTMKKIPDLRSPVDVDELWDSMCQTAVGLMKKALPSVNNA ENLLKIKNLIALFMQTMDIVQSDDYMPMAIQNEEEYDKVLNMYPLCCIDIRNFLNQFY FFSNDDFQHPNVIDETLKTSLDELLSDKVCETLVERLSAQYLGQIVQILINLEYFEIA CQELELLLAAARSPSSGDGPVTLGATDKFRNNKKVAEKRIFELVNSKIDDLIETAEYD WNAPKLHKEPSNYMQTLTRFLSNIMNSTLLGLPTEIKELIYFDALSHAANMVLVRILF PPGSFTHRSNSFEQALPLSPDVKKINPNGVAALAQDVDYLTKFVEGLGVPILLENLDE LQQTVQLMEADNTEEFYDISIRNKKYGRVDAMNGPVLIEKYGRWLAIVFEMG UREG_03168 MPIERPNGQLTTIILLPSRLGTNPTHTRRLPQPAQSHSSVHHHS PPRTSAGHTFEHRIATRTPTGLPSQSLPNRNIKNGAESRIMEQTPSGPAGAAQQAASS RQRHHHHQPQDNQGQGGQQGGQQQQQQQRVYDIRNGGHYVLQLSAQGYAPAPELYTGT WANVNQGLTGTARDILTTYWQHMITHLETDNHDYKIHQLPLARIKKVMKADPEVKMIS AEAPILFAKGCDIFITELTMRAWIHAEDNKRRTLQRSDIAAALAKSDMFDFLIDIVPR EEATSHTKRSAPSAPPQHANAGQMPPQQPGVQQPHHMGPPDYGSLGQPPMGQEQDYRQ QPPMYGGAVQSDPAYGQPQPQMFEGMYGYSHLSHSRLVLSFALCKRIVP UREG_03169 MATATAQAREILSKEEIQALLLEAEQRLLRNSSDNSKVETEQAD HLALTEKPQPEARVRIPTLKVERSAKPYVSEVNGVALLDEARAVPDQLKKLSETIRSV EQPKPEKAKEKPTAGPDWFGLPKTVLTTELKRDLQLLRMRNVLDPKRHYKKENGKAKL PEYSQVGTIVEGPTEFFSARITKKDRKKNFVEEAMATEEENGRFKRKYNEIQDTKTSG KKAHYKALQAKRRRGRK UREG_03170 MAESSIFKQAQAALSYLNPRLPEALQKPQVAVICGSGLGGLADS IDSKSKVEFDYRDIPHFPASTGNSHLRVPVVIPGHLGKLVFGYLGENTPAVLMVGRAH FYEGHSIDRVTFPVRLFKLLGVEIMIVTNASGGLNSEYEVGDVVLLNDHIFLAGLAGL HPLRGPNEDEFGVRFPALSDAYDIELRRTAHRAWTKVISVESRRRIHEGVYAFCAGPR FLRQLGADLVGMSTVPEIIVARHCGLRVLALSLVTNNAVLTPVPRGDDHLLQQTDKSN LDKIAEEGRANHEEVLEAGRQAAVDVQSDRRS UREG_03171 MFSIPYFRSALRCSKRLKISSPIRPLSTTSPRLNDVQPPEVRDV DASKFTPEQISYAENPDEDPAAKQWMERLESIGSKFRLPRSVQALYLEPIKREATFGL PVCDLQIRSYSARHVEFFADFALRAAYYLRLPAAGPVPLPRLVERWTVLRSPFIHKKT PENFERITKRRLIQIKDGNPDGVQAWLAFLRKHQFHGVGMKANVFEYSSLDLGKSMDK AGMESLKSLDEEFGKFGARDGAPFSESIEDILERERFTRNHAPLDEVRKYTRLRAPKS EETENPQKPQKRHRRR UREG_03172 MSTTARCLRTRAGLSGCSSVRATQNPPPRRQWLQRYPITTSAAS TSPTSPSSPRTIFSGIQPTGIPHLGNYLGALRQWVKLQDEAGPGDQLIYSIVDLHALT LPQEAEVLREWRRQSFAILLAVGLRAERATVFFQSSGACGVDVDIEYGFLDGVFVEDD AVEAPAKRIMSLKSPDQKMSKSHTDPNSRILLTDDPETIHKKIKVALTDSEPGISYDP DNRPGVSNLLDILSNFDDTSRLSPAELAQEHKDLSLKSLKEHVAQKVAGHLAPVRERY AELMADERGREYLDCVAREGADKAGRNAEKTMKQVKAAIGL UREG_03173 MTRLPVSSLVSPPEAEPLDSFNAPCYQPPFPSSNKLPPIAPERA QSQTDMDLPSPPVTPYERSRKINSAQQPDANAVAGPSRDPVLFPSDRRSSAFENEPLF GPELAPPAAQALVEKHIAKRKSTGRVKFQPPTRDEYMLALACASRVITNYNRNPGAWA KKERQIFDRQWDTLHPKTHESNSKFKKLAPAPGRKGQSSGGRVSKPQRAKRTPRSTPK SKALDSFESTPARSAPKPRVIGTNRDDTDYNSLPDYSPPLATLRGTKGLKADWKGQVL DLSNDPDRNALDSSEISLAATLRLSCATYLCSKRRIFEARLHALRRGKEFRKTDAQQA CKIDVNKASKLWTAYDRVGWFNADYFKQYN UREG_03174 MEPAVDAETLFDYLGKRYEDAYVNSPQLEAVVQDVLKQLKPGSR ILDIGCGTGKPVAAMLAAAGHSVHGIDVSEEMLKIARAQVPGTFEKMDMRKFVPSYKF DAIFAIYSLFQISPSETHAMASRFAEWLQGDGGILVLGVGVTPSTSLNKVLIEDKIWN SVRWVGKPWMTKTTDETLMSRQGWRDLLRGTGLVIEAETSYTFHPDDSEHKVPEDTYL LVAKRLPLVCPFLGPYPHPEVHSKSRTRNASAWKEFQSRFAFEAGQEAILDPLKDGTK ILDIGDGLGCILKEAVDIVSKATKAPLHTLGNLPFADRSFDTAFALLTLDYADDLEKT LQEIARVTDITNPNSRIIVVQSAPESESQKLMSAICTPLSAQDEARHQGYILHTGALD VLPKMGFGDVCARRVRSNLHFREEEIPRQYDRAAELFAGLWYREDPNFEQMKEALMPQ LKLMFKDHPNIIRSELVVLLARPTQK UREG_03175 MKPTLLLLGLAAESLAAAVLPGGAEEVQTEVTRTFEFPHLPTKP PHHPTRPPHHTNTRPPHWPTKPPHHTHTRPPHQSSTRTRKPWPTYHVDERDVIDDIVA RDDASSDGPDPDHVHVVGITYGGSGCPQGSARTILSDDRETVTLIFDQFIAAIGPKIS LEESRRNCQVNLKLQYPGGYQYSVLGVDYRGYAKLDRGVEGVHRSNYYYSGETNDFAL TTWFDGPKNGDYFLHDDTDQTSRNWSPCGSSRALNINTSIRLSSRDKNASGLLTNDST DADFRQIYHIKWRKC UREG_03176 MSQTLTRADSVEEQDPHNAKEEKKPKSRRPANTAFRQQRLKAWH PEKVGGNQGSNETETFHMTNEGISWASDRELYRPTEYNFDQVVPPPNWKELYPDGYTK DYPPPNLQTWEEFQVWMRTAGLPTFSKMARRDDNRTMAAGSYRIDILDNFRVEKYDGT KSIVLTTTTVMGGKNPFMGIAYVVVGGLCIVLGALFTLAHLIKPRKLGDHRYLTWNNE QPSTAVATGREARFND UREG_03177 MGEEIKIDKATFSNRLSTLYSAWRSDKRSANPVFGGATSIVILM GKTEDVAAFQKNNAIHFWLFGYEFPATLCLFTLEGMFVVTTAKKAKLLEPLKGGKVPV ELLVFSKDPESKTKAFDKCLDLIKNSGNKVGTLPKDTSSGPFADEWKRTFAESLKSLE EVDIGPALSSVCFSVKGQEELILMRNASRACSGLMSEYFVEEMSQLLDEEKKMSHKTL AAKIDAKIDDVKFFNKLAKLPAGFDPQQIDWAYGPIIQSGGTYDLKFSAASDTHNLQA GIIIATFGIRYQTYASAIGRTFLVDPTKSQENNYGFLLAVYDTVMKEIRDSAVAKDVY NKALGLIRAKKPDLEKHFVKNVGSGIGIELRDNNMVLNAKNNKTLKSGMTLCISIGFT DVADPDAKEKKDRLYSMVITDTVRVGESGPHVFTKDAGIDLDSVSFYFGDEEEQEKPK KSRNEPHRSSAIASKNITKTKLRAERPTQNNEGAEARRREHQRELATKKTREGLERFA GTTGDQNGVAQKNFKRFESYKRDSQLPTRVKDLAIYVDPKASSIIVPIMGRPVPFHIN TIKNASKSDEGEYAYLRINFLSPGQGVGRKDDQPFEDPSAHFLRNLTLRSKDKDRLGQ VAQDITELRKNALRREQVKKEMEDVVEQDKLVEIRNRRPVKLPDVYLRPPLDGKRVPG EVEIHQNGLRYLSPLRSEHVDVLFSNVKHLFFQPCAHEMIVLIHVHLKTPIMIGKRKT RDVQFYREATEMQFDETGNRRRKHRYGDEEEFEAEQEERRRRAALDREFKAFAEKISD AGKDEGVDVDIPFREIGFTGVPNRSNVLIQPTTDAVVQLTEPPFLVITLNEIEVAHLE RVQFGLKNFDLVFVFKDFHRPPVHINTIPVENLEGVKDWLDSVDISFTEGPLNLNWSA IMKTVTSDPHGFFRDGGWSFLATESDSEEEEEEEESAFEIDDSDLDESEESSEEDSEF DDDASASASEGISGDDDDDGEDWDELEKKAKKKDAENKLDERDSGKKRKR UREG_03178 MSFPTDDPSQLSFETDIPSDADSEGAGRRNPRTNAATGASAAGV RAISAQAVAFYFRAPVKAFFRTRVERWKLVMEDDYSRVAGPRSPHIWLDLYSISGVTA VNGECHILSNLHGPTLVATKRIYPPPSPVDTFMAGFAAGTIQSVIAAPLDAIQARFRS DELLNGQHRSAWHYWKSKLSELGMRGIFSGWTLSFLKDSCGSALFFCLFETIKSQGYY SFVTRYYGSLQPRVVEKLSTSASYPGDIPVIRPHYALEPCFLMLAGVTASIGQQVVLH PLGLLQSVYYKRLEHLDGKLSQNQSNRDLMRVRFNAYQDTFERCRRKAQRVGGWRAWL YRGFLWNTLRQVPSTSTGLVIFELVRRKYGLPTEAVHIKKDGYDILLT UREG_03179 MTTTGPRTSTSPITNCTTPAYPPTPLPVNRPFLGCFGQPASTAI ISSSNPPDSSAPIPLRSITSHSRPSSELSSSLIDSSREKQGARRSPSSSPPPSTPSES TFSVWSDTGDLAEQLANEEDPLQIQLRKSLDHDAFSRTGAARRSRSRRVRYAEHEEER NNLGPRGISKEAICIPEPPPRKPSRVETILASIMSPGSRRTAQMHGLVGKPLLYFTSV FVSLGVFLFGYDQGVMSGIITGPFFKDYFNQPTRAEIGTMVAILEVGAFISSLCVGKV GDIIGRRRTILYGSIVFFIGGAFQTFATGIPMMLVGRIVAGLGVGALSTIVPVYQSEI SPPHNRGQLACIEFTGNICGYAASVWVDYFCSFINNHYSWRLPLFFQCIMGALLGVGS LIICESPRWLLDNDHDEEGMVVIANLYGQGDIHNDKARQEYRDIKMDVLIQRQEGERS YADMFRRYHKRVFIAMSAQAFAQLNGINVISYYAPLVFESAGWAGRQAILMTGINALT YLASTVPPWYLVDRWGRRPILLSGAVAMIISLSFISYWIYLDIPGITPNLTVIFVMIY NAAFGASWGPIPWLYPPEILPLSIRAKGASLSTAANWAFNWLVGELTPVLQELIHWRL YLMHAFFCATSFVVVYFLYPETSGVRLEDMDVLFGDATAAMPTPVTPAERSALMGQGS PVPSLDIRRSTEGRVPPEYAIPGLNIEPPTSSGDNGKTGKSVEESGRSEGIGGWISNM VRRGRRGKKNDDQGEYRRVDQDEEG UREG_03180 MANKDTGFATGASPGADVRRRNVPSSDKSSAGNGIPEILVDGKK APAKLTVWNWQKSSFLDVLDEWEFLIAPLIFTALAFFTRMWRIGLSNIVTWDEAHFGK FGSHYIKREFYFDVHPPLGKMLVGLSGYLAGYNGSFEFKSGEKYPEEVNYTYMRIFNA FFGVVCVPLAYFTARELNFRRATVWLVTLMVLFENSYATISRFILLDSMLLCFTFTTV LCWARFHRLQRQSFSAEWFAWLFLTGLSIGFVCSVKMVGLFCTALVGLYTAEDLWNKF GDLKMPKTVLAQHVVVRVVGLIVVPLLVYMFSFYIHFLVLENSGPGDAQMSSLFQANL RGTTVGKDSPLELAIGSKVTIKNMGYGGGLLHSHVQTYPSGSSQQQVTCYHHKDTNND WFIYPNRTQPEYDPEGELRFIGDGDIIRLIHAQTGRNLHSHTIPAPITKSNWEVSCYG NTTVGDDKDHWVVEVVNDVASRDRTKIRTLTTSFRLRHQSLGCYLRAANVNLPQWGFK QIETTCAKDSKPYDVHTHWNVESHVNERLPKSDPGAYKSPFLKDFIHLNVAMMTSNNA LVPDPDKQDDLASKWWQWPILNVGLRMCSWDNDTVKYFLLGNPFVYWCSTASLGVFGL IFAWYLVRWQRGYTELSQADIDHIHYSGLYPLLGWVLHYLPFIIMARVTYVHHYYPAL YFAILTMGFCVNWFTQKLNKPAEWAVYFILYAAVISLFVVFKDIVFGMVGSNEQWQHL NWFSTWRIANPKSS UREG_03181 MSHQPPWDYIAKLVCIGDSGTGKSSLTIRLCEGRFTTTHDVTIG VEFGSRVVPVGPPASDALDISFEHNTQFLRSKSQSQSQPEATSKPSSRPPSPSPSSSL HLSPGLPPPPKRPTTAPVQKRMKLSLWDTAGQETYKSITRSYFRGASGALLVFDITRP STFSSLSAWLQDLQQIAEEGIVVVLVGNKSDLVKRDHAETDGEEEEEEEGKGYITRAQ AEAWCKANGVVRYVETSAKSGENVERAFLEVAERIYRNIEAGRYDLNDRRSGVKGYGS TSGTRNGKVPKTVTLGVNDAMGRRGSAWAGGCC UREG_03182 MFSECNPYHQAGSSHLLPFGRGSTQQAPLFHSATDQFREEDDEQ EHEREIADYYALQRSRRNFGAIQMEESSELEGDEGNNSTEHSAGLGQGGGIRSSWRGG KASGGLSRRTGVETLHEQEEDKADEDDDGASASSKGKNKMVDIRLEDSVPNDMVNLDN EVPSEFRDDSAPDIQQFRQSPLANDDYRTGSSFIPQETDKQTLLDHPRPPSPEQSEAI ASAVYGSEPPMHDTFWGHLFLLSVAGLFASAFMVYLHTSEPSSKPGLGDTIYSTLHAS FYLLGTYTVVSIFVSLLWLALLKSYVRPLVYGMLIAVPVILYSFALYSFVSSFKGSWH GISVQDKVMRWGSLVPAIMATGWVYSAFKGRHSTGKAISILEFSCRILSANSPLLILG FATLVVIAIWTWLWILMFTRVFLGGHLNKTKSSFIINPESWWLGAYYILVYLWSLGII AGIQRSVTAATVSQWYFHRLAVPSITSRQIVPAALSFAVNTMFGTICLSSLLSLLIRL PLIVLPRRLSYLISLAAYSIIPTPIAALTNSLTLTYASIFTQPLTSSARDLSQLTFLA PSSAATTIHPRSFANNSRNEAPTLVPYRLAKLLLHATRFIMSLALGFGGWVSSARKLQ VSDGNNTLRGSLYAYVVGMLAGAIGWGVLAAMEGVLACVLDAAVVCWATEVGTTRREV RYCREAGWLFGGTNDRSREEV UREG_03183 MTQADLVPAPADAVPVSSPVDPPVENKLPPTPEGYIRVRNLTDC PSDWDPDELVQETFIKGPPDGERLVVCGVGCLYYWPDDPSRVVKVARSTPEANAQIEI EKRIYSRFEEKGYHPNIIKCLRYDDSGIYLERAEHGSLTAYFEEGGDASLTERLQWSY DLADAIQYLHDLGIRHADLVGRNILLDSSRRVRLCDFGGSGIDGEKPIVVSSVYYGHP DDDERTACTVKAELHTLGSVIYEISTSRMPFHDKRGYDVGQLFKKGIYPDVKDIVLGD VIAKCWAGEFTTAREVAESIKFAKGRALQET UREG_03184 MAVLFPDLTQERCLLPFTTRWLRAVAPAIWHLSRPSFRDRFRFS PAVQLVQRNFSSSSSTVPPSKETENQNAFDYEYDWIDGAESLERYRPGGYHPIVIDDV LHDRYQVVDKLGFGGYSTTWLAWDLRLQKYAAVKVRSAGSPSNEARVLRVLSSSLGST SPSSSIHSSSEIRGLDSVPTPLDKFKLQGPNGSHPCYTMVLAQCNLKDASYSRLFPLD VARALSAGLTLAVAYVHSQGYIHGDIHLRNVLAKAAPNINELSIKQFYQKYGEPETVP ITERHGKPLPPNAPKQAVIPLYLGKYAEDFSVADTHVLLSDFGAATPASNPKPGKDCH SPLPTRPPEARFEPQKPLSPAADI UREG_03185 MSLDHATPRPDSNKAAPKSAAPSKKRSHSEYLRDTNSRFKRLTE DVFPHHPYLLTAPSDKPFRLGSRSVTNWAVGKGCLFAPEEEQLQYMTFLSRQTEDTLL VAVGGWSDRNGNIIEEDEPSRTGLKMSSNPPQKGQQKKKISFNDYKKKALEGSVPATP AAESSAKAGPSPSNTRNECSTKPAAKDDDDARAQKQLSPVSLDEPSSKIRQSGEESDA DKAPTNRKSAPVVPELLSPTLPPATRSPRLPPLLSPTLPSELEEQLLQFSDESVKDTS PTTGKTTPIPSKSDSSATKSKSKQTDQQQGDVSSSTKLKVPNAKGGIKKSPIPAPPAQ LPIKKVAVDPLRNAISSTSTPKPAITTPAELKLLIKLKYGRRNAKRVEALLKIAKRRA TAEKRPVRQKLQEDMSDQKDTPTPLASKTVSKQREPMSGTKRAQAADDISPQGPAAKR PKTAATTPADSSQIPMQIPKSTPPTCKNSGQKPKPQPAASNKEPKVSPKGASMRRTES GDSEIGAPARNSINTNEEKPVNGPSPALSTETQSAKLEWRAWRDEWKKYMDLGRELKY ACNPQAERGKSVDNKLGTVMAIESVLCFALAFIADNKSNSIARQAVVSSNWCSLIPYW QAVTHRAAPYPHLHGLCSLLGAIIYEAIHVLDLDTLAAIALPGRPSTNSNEKDKVVAT PGCDDPSSPPQTVTLTENSEVIRSLIDLRKRLPESHREANRLWLEGSRLLPDVVLARE YKDTWLRRSRNFEGRGKQVLKVGKYAGDFFLPLAKSGAMAPGTGTTGVIEAIRFGCVF LEDWCNKEGVKWASKLKL UREG_03186 MPPSDADTAERWRDGEMRREAARRSQSALGSAQSRRQRELIGGA HDLRKRVQLAAARPWDIGQSEAGEQECRVAAAVSPGFGEFGLLAWGCRQRTSEQNRRA EYNHPS UREG_03187 MAADMPHIKLNDGTTIPALGYGSGTKWFRGGSDGLNRDLIDAAK SAIQLGFRHLDGAEMYGTEAELGAAIKESEVPRDQLFVTTKVHDSIADIPRAIDESLK KLQLDYVDLYLIHAPFFADSDEQLQKAWAEMEKVKESGKARSIGVSNFLQSHLEAILK TGKVVPAINQIEYHPYLQHGGLLDFHKSKGIAVAAYGPLTPTIRAKGGPVDGTVEALA KKYSTTEGNVLLRWVIDQGIVAVTTSSKESRLAGYLGALKFKLTSEEIEEIKKQGAEK HYRSFWTRIYIRTSNRRRVDETTTSPEPSS UREG_03188 MASHLPNGPRRHSSQSGGGVSLEDLPKTNNFTTKLPPDPAFETP ESSHNAPREALGPRMVKGALYTYVRPEPQDEPELLGVSPRAMKHIGLKDGEEQTQAFK DMVAGNKIFWSQEGGGIYPWAQCYGVELGRDNWVMGLFESINPETNKRYEIQLKGAGK TPYSRFADGKAVLRSSIREYIVSEAINALGIPTTRALALTLLPNVGVRREKIEPGAIV TRFAESWLRIGTFDLLRARGDRDLTRKLATYLAEDVFPGWESLPTARVFPKDSSPPAD IADPPRGIPKDEIQGEEGAEQNRFARLYREVVRRNANTVAQWQAYGFMNGVLNTDNTS LFGLSLDYGPFAFMDNFDPNYTPNHDDQLLRYSYKNQPSVIWWNLVRLGESLGELIGA GDKVDDETFVTKGITEDFAPVLIKRAETIIDNASKEYRAVFLNRYKQLMAARLGLKTQ KDSDFEKLFSDLLDTLEALELDFNHFFRKLSGLSLSDVESEEKRKESAGVFFHKEGVG GIGNTEESARERLANWLSTWKDRIVEDWGNGSDAERQTAMKAANPKVRTCLSKISPLL TRSSSFLDPGFWMK UREG_03189 MEEDEYLRRALEENLRLNEQRQRTAEETERRHREAEERALQESQ ASAARVRRATEDEEDQLRLAMERSAADEASRARQIEEARHRLLQMDREFGGGSGATRP ANNTSRTGANGPGNNNNGSTARATPASTRSRSFSGRPESNAVRPERAYQPRRQGTAPS TATQAQAPIHRGRTAQTSANTSIRSRSATADRPQHTSPQAQPTSQPRRQNTAPSHVVP PAPAATGTNPPSRLNSIRRSLSRRLSHRQSPSPPSTNTSTPQPRAPPHPTPNPASYSL REILSRSVTDAFSFPAPEPSFDAALQAAINESAEQARDEEEEAVQRSRGVPTYEEACR MPRYRAPRGARYRFQGPKEVVLEGEGGGGGRVRVVGEMDLGEAMRVANQNVRRRGGG UREG_03190 MDSYQRGCFNCGDSAHQARDCPKKGSLVCYNCGGRDCSEPAKEK SCYRCGLTGHISRDCPQGGESGETRSQECYKCGQVGHIARNCSQNTGYNNSGYGAGSY GGGYGSRPQTCYSCGGYGHMARDCTQGQKCYNCGEVGHVSRECTTEGKGERVCYKCKQ PGHVQAACPN UREG_03191 MPKRAPDDQAPEGPPQKRSRRSTEPDRLSPLSDELALHILSFLP IRSLITCQRLSRRFHILAGDSELWKRKYYSRWILPRARRVRQLSNIGVSGGHTAYSSR VVKWLDRGRSWQEGREIDWMKEYRLQHNWSRGNCRIRELEVAQPPIPPVLVNLHNGIV FTADVRNGLRAWSTKDTERLLAGVSFADELHLAIPTAITVSSDNGKVNVVVGFQNGLL AIYTLDRDTKMLIRRPAHFKSEDGEITALASCPDYLLLLRKNEMLSLYRVTADFALRL VETLQASNIRAPLTLSMRTVGTQVIASIAYSFPRIGCGWSVGLQELRWDESGENLGSH LATPLDTQHTSFPGKLKGATKRFENTFAAPQQVSFQYASHMQPPTSLSYSHPYLLAAH ADNTLTVYLVLSTAEGLTISPPRRLWGHTSAVSGVQVGGRGKAVSVSSRGDDIRIWEL EELVSSPLMAKRLRLRDRGIQLSPERVKSESTSLISPHSTQNVLLDVGTSLWLAQRDT LSELTKINGLVGFDDEQVVVLREQDLGTQLLDCYDFT UREG_03192 MVDDFAHDFKRHIKLKEDRIRELEAELEEANNIRAQLTSRIAWS GKEDDASWEQNREAKDALRILLAAKDPKNFICESPTSEPITSINYSILASAYRSTYQE LSTLARAFWAVRNKLETTQKKASAWFRSFEQDSFQVNIKGEVITFRRAAACGISGEGE RETETAIPQQRVLDNTRSPPPTLSTNSNRSITRSESEQDQELIPTQSQEPGFSPVHPP SLVHSSSGGPEFLSSRPVRKRNVENVAPIQVAASPVRTGSFTRPVTLKSEPSSRETQS NTPLNNCPDEMQHLTTRTVGVPTGIAEEALLAGETAQDHRQQSENRQRTSGTKRRQAL QEVDPNLPVSPRQGAIASGKRRRFASRGAAAIPSVAEDGEPEGCSYEHQNGQGGGVAG PTNRFTVARRVQDLLDTPPPPRPVLLTTPRTALRAPSHARTPPAKSPNKRPSTIDRDG AGDQVLPEEEPLRCRPLHRLGLEHFRLNPEMNQGLDYATSPDTRTTPWR UREG_03193 MVSSQRPETRLPAALTQPTKLVGMYEEFVTKNSSSVSQVESALR SLTYIIPVHSGVQLLSLYHDSLVARVISRLPLTIPRPPPTPHARYTKYWISSSSLYHR VALALQMIKYTELLWEMVARRRGEKIRWRVVVLIEGLKALCRLILLRLTNSRPLVNPP LPEREVDPRPAEDEDEAGDWNGMETPKSEKSDSDASWTMPRTGFSMPSLPDASDVSNY LISKVLTADDVKPPKTLLHRVNGMGQLAEVMFILRPLIYALALQKWSGKKKSWTPWLI GFGMEYGCRQLAKKDFRTRVAGGLRGLTGLEREELKKRGWSMGWWTMRGAFYENVTKS WLQGMTRRLKGKPLLDLVGGVIDDYEYLWDNYYFSTATL UREG_03194 MANSQDDHVNPSQGSSSNAFIPPSIHPSSLLSGQSYCHYSPCPE DITSSLNVDSTTPIPDPSIPTTPCVLGVDEAGRGPVLGPMVYSAFYLPLSLHHSLLAE EHHFNDSKVLTPGVRSNLMRLLCTPGHSLQKSCGWAVKLLSARDISAGMQRPGAGMYN LNAQAMDATVEIIRGVVEERGVDVKEVYIDTIGNPQSYQKKLEMIFPSLKITVAKKAD SLFPCVSAASVCAKVTRDSALDICYEALQKERVGKGMEHMNDGWGSGYPSDSKCTSWL KKEMHPLFGWGNECRFSWGTAKELLEVKAGLKVDWPADEDEEATKMTQYFTTASAESN DTQDELRDWYGRRPKEIF UREG_03195 MAARRAPPKAREMDFGNVGVAGRRTGVTLEKGKLDEHGMEEIDG MFSSPEKSPARHNTNGFANDTILHSEDMETGGSSSIPEPADMFSSRRAARNSYVLPPR SRSPIKTHLSGSPRRTPGIRSSPIPHSDQISSPSSRTVAKRSLDLSISQTQLGKSPLK QVRSTSIPPELESPAGRGSVGEIDDVGETADFSDDGNYLVEEAEEESLFVESNILHHE NGGQDSPGNSIQNDIDNDEDELREAEQEEAASPPVVTKAPSRGIKRKKGPTEFGNDTV KAASTSASKSKRGRKPKAAREEINGANEDDQDSRPAKRTKKAAPNELTTNLKMSSEQE SDLNRVIGNITKRDGPLKKQRSLHILRRESPSDGAVRHTRSGRVSVKPLAYWRNEKCV YGTGEAEPGQRFPLSTIKEIIRIDEPEPIYTKASKRSSKKAKAKAKAKRSREESSDEE DYMEPWEVDEGIFYGPVKTWDHELQTGTQEEELMDVAYAPSAIQTHEVKGSSFRFAKV LSTPFLGSGFVEMPPGAAKKQKNSKRMHMVFFVYRGRIRVDVSGLVFSAGKGCVFQVP RGNNYSFANEHDRPAAIFFTQGCIPLDSDGNVDTGAPAPPLPDPAQQIQPEDQHEDQP EEQGEEQPQEQGEGQEPEEQSQQVETEKKGKKGKKKRGRPKQNAQ UREG_03196 MAFQAPADVSVAISIATDDSSGKTRPAFATERRVTPTWTVSLLK GKLETMCGIPPGSQRLLLKAPGRENRWIDGDDQLVGDWGLVRGCEIEIHDLRPPAARP DFTDVSSVEKYTLPTSTYESLPNTVLAWKKSQKLGRFDPETLTPEEIAKKQAEKDAAD IKNRGLELSKRAIILPSSPPHIRRGTVRFIGPVPTIPSGNPKAAEDPEIPAPLWVGIE LDEPMGKNDGSVGGRKYFTCPDKCGVFVKPEKVEVGDFPPLGLDLDEDMEEL UREG_03197 MATGNDRGESYEEEHVHRVYQEIAHHFSSTRYKPWPIVERFLKE LPPGSVGLDVGCGNGKYLPINSNVFIIASDRSEALVEIARQHPPHSTVVADTLNLPHP NAHFDFAISIAVIHHLSTRERRVKAIRAILDTLKPPQGNSEGGKALIYVWALEQKNSR RGWDAGDDQDVMVPWVTKQKNADESTETSQTFLRYYHLYQANELKADIGAAGGRVIDH GYEKDNWWAITTPLP UREG_03198 MACSYHPSNYGVDHLPDYQIQIHVRRPLPSSFVVWGELDNRHSS AGVRAPVHALALETTKPSPAILSNINHSGHGSLSSSRFGTQRSRYGPNIIEEVMTSLE ASGVRRLLATPAEKGKALVSRSLEILKLILSSLGSEFGECRSDVTKLI UREG_03199 MAALQRGPVSPTRDERRGRLTVMFTDWPFDCWRSPEPMGFDNET GVVCFRNCIFVILMHMPALVHWLYHHLQTPCVETTQTGECVACLLGFIAQKYRAYGKL KNQAELNSLAKETFECCQRKFWDLEVSAQQDAEEFFSKLLNHLISRFEHSQQDDRFLR HMFQTVYKIRKECEKCGIVSYEKEYDYIARISPLEDTASTLYEALERSLTGDVSRICE SCRHSGLLTRRTFVRDAPEILVFQINRHGEKEGKLMGQIRYDENIVLTELLEPYAREK GEVLTYELYSAVLHKGEDVSCGHYTAYVRTPEDKWAHVNDDEVQTAALNDVLCTKGSP FGEPYILVYMRKPLQCADNNITKLTVDDSLFNGTLPPMDQSTLRPTRGAEVPPQTETT SAEQDQEAEAAMEASFELPNLEDDPNIEIGNSPPIHTAKVPLATRWEGQPAEITVNVT MGEMVLTGVLKANGFNPVTEAKGGGKAAKAAETSRPPGSSSSSLEGWGIAPASLGCLS TLVPLRPSTSHQNQANNPLSSLPPEVLSKIKPLLLTLHCLFPNEFLLALDILDRKLVR RYSLADDNTAHGTYFVLSASSRTATGSDTSHTQARLYLPEKYYQIHLHSWNCTCPAFA LAAFSSLEEFAPEQNSEQVDDPWLPPSLSSALRAGDAVWDWRFGGTLGRKVGSSHGPP MCKHLLACLLASQCSGLFGHGVEQVVVSEAEMAALHAG UREG_03200 MPAATTADALSLVNRTVSVAPLVLLSVADHYGRTAKGTRRRVVG VLLGQNDGKNVRVSNSFAVPFEEDDKDPSVWFLDHNFVESMNDMFKKINAREKLIGWY HSGPKLRASDLEINELFKRYTPNPLLVIIDVQPKEVGVPTDAYFAVEEIKDDGTTTSK TFVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIGQY LQKVLDGELPVNHAILGNLQDIFNLLPNLATAKQRTLANSSENSQIENTELTRAMNIK TNDQLMSIYISSLIRAITAFHDLIENKIQNRQRQEEQEAKSEEAKDEKATAKGDSEEK KGNNTESKDDSENSKNKKKA UREG_03201 MAPITDEAVDSLKSIIRDLENRVVQLERKLVHGESSSKPNSLAE SMRMVLMGPPGAGKGTQAPKIKEKFCICHLATGDMLRSQVQQKTELGKQAKEIMDQGG LVSDEIMVNMIKHELETNQECRNGFILDGFPRTVKQAEKLDEMLNARNQKLQHAVELR IDDELLVSRITGRLVHPASGRSYHKIFNPPKVAMTDDITGEPLIQRSDDNAAALKKRL VTYHSQTAPVVGYYKSTGIWKGIDASQEPSQVWKSLLQVFEKN UREG_03202 MGSLISLCCGDDSQDERVTPHQCHNPPQISLPFLNQQPASHRRD PNVEAQPISEIKRAIDEFSEYTAPSYPPRREATAGPSNQRNQVAQAAPPAQAESQQGA KVDNASPSTSGIQRKFSFEAGDDTI UREG_03203 MSMARNDPWKVNWGIMATGGIAKSFTKDLLIDPASRGAADILHI VSAVASSSSKVRVEQFIAELGIPSPCAAYDSYEDLARDPNVDIIYIATPHSHHFQNTM LALEHGKHVLCEKPFTVNAAQAKILVETARKKGLFLMEAVWTRCMPLCVQIRDLVRAG EIGEVLRVTADTSVGSDLEKDWSLDHRMVNMELAGGALLDLGIYSLTWAFQILYHTLH QDRAPPSAISSQMIKYPTTGADESTSILLEFPRSTPGGKFKSHAVATTSIRVSIDPDE KGTAGPSIRIQGTDGEIQVYGYAFRPTKYKIIPRKIAGQPVKDVKEVDAVFPGTGRGM YWEADEAARCLRAGERESAYMPLSESLAIMEVMDEVRRQGGLKYPESIESTQYPLQL UREG_03204 MSGLASDEVAEDYKNSLEDLTTNDKFQISNLTVIAKENTEHAMA ISRVLENHIRTTPPSQKLPALYVVDSVVKNVGTPYTLFLGRNLYQTFMNAYTLVDSQT RKKLDEMLKTWKEPVPGSLDPRPVFPVEITRSIENALIKARTAALQQQQARQQQDLRT WNRGVSTPPPAWKSVLTPPQGPAQQAQTNGQKPSDPYQARYTQTTPSQYPPITQQQQY GQSHPEIVDLASLHRDIDNLIMSARNDFANRPLDSSVQQRLKALLDLQSILQQQKLPQ EQLKLIRDQVSKLAIAAPPTPVPVPAAPVPTTAVQTPSIPTLTTHTPPPNLQALLNPT TLASLIKATANSQNRTPPPPPPAPLAQVFPQQAPASSSNTPSISAPVVTENPLIASLR ARGLLPPVLNTPPQQPPANLPFLLPSQNGYTPSTTPQLPTQTQTDIKISVQMSSASIK VPRPNLIFRLYEEKPNRCGTCGRRFAADDAGKEKKARHLDWHFKTNLRMNEASKRGQS RSWYVDERDWIKSREYDDDVDITDAMAASAGKSGGQDGAAQNAPQQRWIHAPNDAALR NTPCPICQEHFESTWSEEAQDWIWRDAIKVGNRVYHASCYSELTKDGTTAAIAGSATP LGRTGTPDSVLGKRKAEVRLYGCFMMIATNGS UREG_03205 MASSTPRKSVIVTFPEGTPDSVVEDAKQAIKQNGGTITHEYKDL LRKHPRALWTASVPLGQNTPPPLRKTRWLPSTTPFSKYLNAGKYEQ UREG_03206 MAESTGNVGQAVDDHLEVDSQATENDSIYGSELSGYTASLTSSV VNYRQENGRRYHGYRDGNYLLPNDEEEADRLDMIHHMMLAIMKDKLFLAPLNPGLQKA MDLGTGTGIWAIDFADQFPSAEVIGNDLSPTQPSLVPPNLRFIVEDFEDEWVYPSNHF DFIHGRFLAGSVKDYAGLIKQCYKHTAPGQWVEFQDWDPNPYSEDGSLKGAALEKYYD LVVEPFLKTGYVANAGPHLERWLREAGFKDICVQKHIVPIGSWPKDKYYKKIGTWTIL QYESGGFEAGAMAVLTRHAGWTEQEVKILASQARSDMRNRRIHGMFDCYVVYGRKPE UREG_03207 MSSDLETATLLEWINSFSLGTVIRSSSELGDGSILWEILQDIDP QYFLGNLPENAPADHWVPKWQNLKHMHKLLISYIRNQNDGEVPAGLSTVPDLKAIAEN SSVKDTNRLLKLFLMAAISSPHAESYITTMQELSTQTQEGLKDIIQEAQSPSEDRLEQ IHYEQDEYRARRDIAMDPELQFEERVGKLLAENDKLSNEKRELGKALEDLHDRLAKLQ ENNDTLEAKLSSTEDRLATLKAGKGDLGPDATGFESRSRQQEDIIASQETRLLAAQDE IDGLRMSLESMRQKNERFQKLQDDYDEIKNERDQLSRKANAAEKYRQKLQASQDYERE NQTLKLQVKDLKQQLIESDSNQRLSSEHNKELQKYKQLITQIEQDHHEIQNLKKQLEF DNHALTERLQGSEEQHSRDEATIAELRERIGELEGLDSPGTSTPSGHGTLQRDFDEIG KRGTQLNSENERLRKELDEIKDDSEFPDIEIESEVYRKLREEYASLRNKLSETETRVE TSERELSDAKTDLSLVDKDKLDILNGIKESTASELIKMRTEWDTLQNRIRELEGKLDV SRTIVREVCSERNALRAARDRREEELRDEDQETLHEMKKLLQELTARMNGTSDEPELS PLELLKQFADTTENSADKLAKRAEVKSSTIRLWHWSFSLPLFAIFVFTAVYPQSMTTL TQLFSVEVTLHRYVRTQKKLYANGSLLPPAREKIELNIAHTTAE UREG_03208 MATPRLYSRCWRAFRSFEIQRSQCFATTRSQRRQLSSFGFRPSE NASRGRQITALSIAAVATVVTGATLLGLPSEPLLRLDAPSIADLDDKTKRETTITSSS PMRLRMEKLIKDHQKKIVDELSRIDGKQFIFDEWNRPNGGGGISCVLQDGNVFEKAGV NVSVVYGQLPRPAIEKMRADHKSFVATDVDSLDFFAAGLSLVLHPKNPMAPTVHLNYR YFETSDPKDPLHGPKNWWFGGGTDLTPSYIFPEDCKHFHQTIKDACDRHDPSYYPKFK KWCDEYFYLPHRHESRGIGGIFFDDLDTEFLSHSSSHSSTNAQETLFAFVSDALASFL PAYVPIVERRKNMPFTQAEKDWQQLRRGRYVEFNLVYDRGTSFGLRTPSARVESILMS LPRTAQWVYMDPISGTRMGEEKKVVGEEVGGEEKKRERELIEVLKYPREWV UREG_03209 MRSKFKDEHPFEKRKAEAERIRQKYTDRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDDD GFLYITYVSSISTSRAVALKNG UREG_03210 MAPALVAPVAGSAAKDVKRESGTARLLGSGRYNCKAFNEQSNKR YAAGYKVLQRIYKYGGQPFVRDYLAKHYGTEFDNAFGKGTGKAIMHATAGSLIGIGEI VLLPLDVLKIKRQTNPEAFRGRGLFKIISDEGMGLYRGAGWTAARNAPGSFALFGGSA FAKEYLYKLTDYNQATWTQNFVASVAGASASLIVSAPLDVIKTRIQNRNFENPESGFR IVSSMIRNEGMTSFFKGLTPKLLMTGPKSFLMLGFRMCIYRNLPALGLFGLFVVMGEL SLGYESGSENLLFRQPPKSQVSSTSDASLRSGSCCPSMLASNHGANGGLSVSDFQVRF PPFQSAPFSKICAQFTPPTVHNSPASFNISESRFGDPLFALFIGTSAAYIRIRREYRE QYPDLPSDMVSILSLGKGRMVRWWNGYQ UREG_03211 MKILGFTLLLLQAMLVCVQATTPRNLEKFTIASGSVTHSLDPSQ AHDKETRTIDEDIELDPSSLIPAIAPEDLDRGNSTNRTHARDLSKRRRSCPIGLPWFL PDYQSQKLIVDILMIQE UREG_03212 MRSKLRRQTPPPPVSVVPDEVESDDSDNSDDSDDGIESDSDDSG DEDDLEPPSPTAANAPSNTQSIPTTLRSSTITRNSQTVLVTFPQSTITAVVSGSQSTG LFIRPAERVTATPTARRGEVGAERTAPANSQSSTSQVTASPDNEPMTDMGRPQGVAPA LIGSLIATGLVVILVAIVAGVLIKRRNRVRIGPPPEPATDTEPPMGQTDVQQRDAENP FRDPPRPPTPGTYYI UREG_03213 MLSLPLIEPRDSHHLWLTPSQRSRRTSHNHSHGQGSNPRRNGQS RTSFIPSSSDSLTALMLEERALRIRKQNIASFGYSWIRPAGYPKTMQGIREEEAEREE AANAALEGEMDFIGEAGLDGGVAGVDIDDPEALEGMERDLDDDIPDADGGLVEEGEDG FDEDDLEDEDEEILMERDLDDDVPEGFGIDEEDEDDEVDEHFDDQPDLDENIPSGSFN GEGDTMMERDLDADIPEQEEDFNVHEQEWEHTDTDADEEADEDEDDGDSFSNYARYDS VDRHFNPPAHRLRSSISPRVENSPPLPPPVTRHRETEAQRLFLDRWSGGAGDDVEDSM AAFRSSGMSDQNLRIPRARTRRRRSTLSEDSLNMP UREG_03214 MASRFPHSALHQRDPRSSSSLFDSYSGSKERNRPASRYQGYGGG GYNAAESSNGQINGAFRSATPNKKGQYSDAVLSSLESQNDSEVEGITAKVKMLKDITM AIGDEIRESSALADKMNDTFDNTRVRLRGTMNRMLRMAERTGVGWKVWLGFFVAVFML FTYVWLF UREG_03215 MTLMAALRCLTSDQNVLDVAWGRVEEKRSHVYVVANWKTKESAE MFLTSERKRDYHAMLSSASDNAQNPITYIVNFKPGFWIPNLLNRRTEVAKVFFQAPMS VELQREISAFLGKAGEHSSGSSKTIKAPVDCLVSQGWVDGLTEQNGKTLKGSLWLHGW RNSERERVWKTEYRPGVDPADQSKFLKVDEYWKVMLEHFGAVRWEEGHCNFIRIPDAA FQQPEN UREG_03216 MSSTRSVAKELPLLLQTVDLQTALEDDENMLQKLTYPGLRDDFM HYLFARRSDIEAIVARHLGLCTGSCQVAEEGWLHGSFNLCIPVNIRDPHYAARRVLFR IPLPYKTGEMKYPGNSEEKLRCEVATYVWMQQNCPTVPIPNLLGFGFADGLGFSPLPA APFVHRMFWYARCILLSIFCRSLPCAYVKQTLPKLGHGYMILEYVEEASASMLSKTWS DFRHDDTRRANLFRDMSRINLTLACQPLPHIGSLRIDDQGFLHLSNRPLTLRLQHLEN EGIPTHIGRDQVYFTSESYVLDLLACYDNKLRYQPNSVLDKFDGCAQMAAITVMRATH PHYLQRGLRRGPFILTLTDLHQSNIFVDQDWNIKYIIDLEWACSQPVDMLRAPYWLTD RPVDSLLPDDGLEEFSKTHNEFLEIFEQEEKAIRKAESHRLLFCHSLAGIIRNGLENG SFWFFYALENPKGLFSLFFYHLMPRYGDSTNPNFYDVMSAYWDVNAANVVERKLKDKE DYEANLRKAFTGDDP UREG_03217 MSPGKAIYINLPSKDLEASKRFAIGLGLTFNKTWCNDMKTLAFT YTDSIQFFYHDHSTWEKWLFQGRKTADAHTTTQALLTLAAESQEQVDSIVQKAVEAGG KRGPNMVPEGADWGMYSRSVEDPDGHVFEIVYSSHSCP UREG_03218 MSANDGIAPHGAVEKGKGKLAEAEDAFMGRAAEDTDSGEESEGD DIEEEEAEDDNLERISADNIIEGGRRTRGKVINFAEAASKVDEDDDAMDDDEDDDFRP KDEDEEMRG UREG_03219 MAPAIGIDLGTTYSCVGIFRDDRIEIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPTNTVFDAKRLIGRKFADAEVQADMKHFPFKIIDKAGKPVIQ IEFKGETKQFTPEEISSMVLTKMRETAESYLGGTVNNAVVTVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKTEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK STAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLSSNARALRRLRTACERAKRTLSSAA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTMEPVERVLRDAKTDKSSVHEIVL VGGSTRIPKIQKMVSDFFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTNEILL LDVAPLSLGIETAGGVMTPLIKRNTTIPTKKSETFSTFSDNQPGVLIQVYEGERARTK DNNLLGKFELTGIPPAPRGVPQIEVTFDLDANGIMNVSALEKGTGKTNKIVITNDKGR LSKEEIERMLAEAEKYKAEDEAEAARIAAKNGLESYAYSLRNTMSDSKVEEKLDAGDK EKLKSEVDKIVAWLDDNQTATKEEYEDRQKELEGVANPIMMKIYGAGEGGAPGGFPGA GGPGGFPGGAAPGGHGGDDGPTVEEVD UREG_03220 MLFKTSIVLVGTLAVASQAAELARAKYESNDSHSLFKRQDRVCK PVTPPVTCERSCGPGFEQCVFPLMCYNPTVGDSCCSNGKYCPKGEYCTDGGCCPDGMS LEECGATRTLSVVPPGQGQSSTEPAPTGEPTGEPTETVSEPEPTETETDTEPTPTGEP TSRTIIPTGTGGPGNPGNNATVTSPSPPQQTDNAASKLQGAAMALGLGAVGLAVAFF UREG_03221 MFTQLWALFHSFADWILGFCKLCQNREVGWQTLDRRTGKYKREQ QPLWKKFKLIMLFNPIMDWIDSTSFVRMWIHEKTVNSGKKEGTLASHSQIKSFVDFFH INMNDFEPSEISKYRTFEDFFVRKHKVGARPVHKASDPSEACIAADSRVVVYPTVTAT RSLWIKGKHFTIGNLIQDEKAAEPWADGAVASFRLSPQDYHRYHSPVKGTVKWYKRIP GDYYQVDPICLQSGVDILTRNARCCICIDSKEFGSVLFVAIGATDVGDVEIRPELQKP GYSLEKGEEVGLFQFGGSSIIVAFEKGRIEFDDDLATMSRRKIMVDVEVGMSMGRVPP KGI UREG_03222 MITHLNDKRGIRTLAPFETWNIEIRKRELGPGSNIKVVPGWSCW LIVLRSTSHHLQQSFDEYAALHQTGKLAFHREKKQLKRNFPPEDIIAAMQFDCTRDAH QHRLMEDWANKFDSSLLEIGEMAGKYRQNPRCLLVSKHCEEKLRGEVAVMNLVRQNTS IPVPEVIAYGTAAENPTGLGPFIIMTWVEGTRMKELLETKVRVPSGSEESLLNPEIDV TTLRTLYGEVAGILLQLWALEFDKIGSIDFDSQSSSWDVKSRPVTLGMNELVRYGGIP EEDLTCGTFGSSLGYCFHLCETRELHLRKQRNSINGSRDCRAKFTCRRLLKSMVPLFT SNHDINGPFKLFCDDLGPGNILVDPITNKVTGVIDWEFCYAAPAQFSASPPPWLLLKP ISHWVEDEGLQAFLDAYIPKFNVFLQALQEHEAQQQFINPDKILSKRMRDSLENRTVW FNFAIRNGWSTDNLYWNVLDNFVYGTAPQAERIARTTGEAQLRDHRESFVRLKVQELQ UREG_03223 MPRYEEGMDVRYKPVGGPDSQTSVSTGTVMSIATEPTQQAGRNV SASDADPRYEIRNSNTGKTTTVYEKNILGEA UREG_03224 MHFMLYLLGAFCAAQSVLGHMEMRQPYALMSRFDPHNNSSQIDY DNKSPLSPDGKNFPCRGHHKTVEFRPVATYEAGKDYTMILDGTATHHGGSCQISLSYD KGKSWKVIKSFMGACPLAPDQKYSFRVPADVDNGKAMLAWTWFNLVGAREMYMNCAHV MIEKGKGGGIKKLPTIFEANIGNKCHTSERKETVFRHAGPAVEYGPGVNPTSTPFPDC DGNTKGMAQYIDQLGQLPLWGWF UREG_03225 MSDLQTAKERVSKPVPPKARFSLLSSPGQVASLSRTLNKEMGAK EWEAAESAITSHKKKDTPSHRRHSLSVTGGRMGLPGKTYDLSLEEEQPHEYSEYQAEK GYGWAEALPQRQGLYDPEMEKDACGVGFTAHIKGQPSHKIITDARYLLCNMTHRGAVG SDARDGDGAGVMTSIPHKFFVKHFAREVGVELPPLGQYAAGNLFFKPDVDNVINSKAT FENLAESLGLRVLGWRDVPRDSTLLGPAALSREPAIVQPFVVLHSAYGDGKAPNSDHG EIFDENTFERQLYVLRKRATHVLGLANWFYICSLSNKNIVYKGQLAPIQVYEYYHDLL SVDYEGHFALVHSRFSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVLKSD VFGDELEHLYPIVEDGGSDSAAFDNVLELLTINGVLSLPEAVMMMVPEAWQDNPAMDP KKAAFYEWAACLMEPWDGPALFTFSDGRYCGANLDRNGLRPCRYYVTDDDRIICASEV GTIAIDPERVIEKGRLQPGKMLLVDTVAGRIIDDAELKRTVANRQPFEDWIETYLLSM PEIHKQVSETGSLAPILDDITIQQDPRLKAFGYSFEQVSLLLGPMAADSKEALGSMGN DAPLACLAQQPRLLYEYFRELFAQVTNPPIDPIREAIVMSLECYVGPQGNLLEINPSQ CDRLHLPSPILSINEFNALKALPSIQSEWSVKTIDITFDKLKGIQGYLDALDDICAAA TEGIQNGDRIIVLSDRATSADRVAVSSLLATGLVHHHLVRNKWRSLAALVVETAEARE VHHMCVLVGYGADGICPYLAMECILKMNRENLIRKQLSDEQVITNYKTSCDGGILKVM SKMGISTLQSYKGAQIFEALGIDDVVIDRCFAGTASRIRGMTFEQIAQDAFSFHERGY PIRKITHIPGLPESGEYHWRDGGESHINDPVSIANIQDAVRTKNDKSYEAYARSEHEQ IKNCTLRGLLDFDFEQRAPVPIEQVEPWTEIVRRFVTGAMSYGSISYESHSTLAVAMN RLGWKVQHRWKEEKPPNIASGRFGVTSAYLADADELQIKMAQGAKPGEGGELPGSKVT GPIAQTRRSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSEVGVGI VASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGRVI VQTDGQLRTGRDVAIACLLGAEEWGFATTPLIAMGCIMMRKCHLGTCPVGIATQDPVL REKFEGTPEHVINFFYYVANELRAIMAKLGMRTINEMVGRAELLRIRDDLPSPKLENI DLSLILTPAHSLRPGVATYNVRKQDHRLHVRLDNKLISEAELALEKGLPCRVECDIVN TDRAMGATLSYHVSKRYGDAGLPQDTIHANIKGSAGQSFGAYLAPGITLELEGDANDY VGKGLSGGRLIVYPPRGAVFKAEENIIVGNVCLYGATGGSCYFRGVAAERFAVRNSGA TAVVEGVGDHGCEYMTGGRVLILGPTGRNFAAGMSGGIAYVLDMSGDFHSKVNMEMVE LSGIEEPSEIAFVRGLIEDHHHYTGSEISTRILVDFTKALSHFVKVLPTDYKRVLDEE AAKKATAKRVGFTPLKSPDHAAKEKLEHEHREAAKKSEILDIEDSITDTKAEKKRTAL ILDKTKGFMKYHRRNEKYRSSKSRTRDWAELSQRLNDDELKYQSARCMDCGVPFCQSD TGCPISNIIPKWNELVFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINDDP VGIKSIECAIIDRGFEMGWMVPKPPPNRTGKTVAIIGSGPAGLAVADQLNRAGHSAVV YERADRIGGLLMYGIPNMKLDKRIVQRRVDFMAAEGIKFIAGTAVGPDCDVTLESLQK QNDAVVIATGATVARDLKIPNRELKGIHFAMEFLHKNTKSLLDSELADGAYISAKEKD VIVIGGGDTGNDCIGTSVRHGAKSVTNFELLPQPPAERASDNPWPQWPRVYRVDYGHN EVKAHFGKDPREYCVMSKEFVGDEDGNVKGINITRVEWAKSNSGGWDMKTVEGSEQFF PADLVLLSMGFLGPEDRVLGDRIELDARKNIKTAPGHYATNIPGVFAAGDCRRGQSLI VWGINEGRQTAREVDSYLMGTSSHLPITGGIVRRAAIDSVPKTPQTQVQAVAA UREG_03226 MGWWWSSSGQSQQPSQASAPDNKHDSLPRQAEDLPPAAPPPQPR RPVTRDELADAELQAFLSGMKAPNEPSQPSQSQTPPSSSSSSSSSSSPSPAPALPPSS INPDALYPSTMSCRDAFDYAFFCQSFGGQWVNYYRFGELRDCSQHWSDFWFCMRTKSY SSEDRARMVVDYNRKKASRWKTGPTSQDVWDIRTEPVKGAFEGDFAALEREMKLAEQR NDEQKSEMKVTGVA UREG_03227 MDKEGVSKSITAYLEQNPIKPWFSPFRSVKAAMVRGHPWLEDLQ RFPSSRVKVEFLPTASGTSPAELTPELLYSLTRRYGKLADIIPQPSDSKVQPRYALLD FRSTGYAVMAKNCLHGYKVSAAEGGGSAGTLLKMSYEQKIKPHLIRDWIVNHPRIVIP IIAALLATLTVIIFDPIRTFFIKIRIAPPLDVHNSRMWLWVQRQASKANDMFSFRQRQ RSDSGGLQAIWEDRKGDIQQLQNWLIEATNTFTIVQGPRGSGKRELVLDEVLKGYKHR LVIDCKPIQEARGDSATINAAAAEVGYRPVFSWMNSISSLIDVATQTLGANAGLSETL DSQLGHILQNTANALKKVALEKKKMNEVDADMTDDEFLERHPECRPVVVIDNFLHKAN DNQMIYNKLSDWAAGLTVSNIARVIFLTGDISYSKTLSRALPNQVFHEIQLGDCTPEV AKQFVLDHLHTDRSNDGHKTKVQLETLEGGHMNELEKCIQTLGGRLSDLEFFARMISR GESPEAAVNDIVDQSAAEILKMYIADVDNAVRNWSPEQAWYLIRNLAEAENDSVLYSQ ALFSDMFKTNGENTIRALEQAELISVTTLNGRPSTIKPGRPVFAAAFKRLVEDDVLRC RLGLRTLGQQIAMENANITKYEGELQVLGSLEKEPKEIRPRVRWLLTKLENSHANIER YEQESAGLKKILQSKM UREG_03228 MENAFGARRSTRAFNRASFHADSGPIQFLSKDPWLTDVSSSLVR EVQKAMPNLLSFSPSVVDQSTWERLSDVYYKQEDGGPVCEVGLFSLVRNFVGMISTTV IMGTAFTETFPYALNELWAFDSRFNAVLLGIPRWVPFPGLVTAYAARRRLLLALKSFH NGLAASETGVDAGFDWRDMDDVSEVIKARSRALTDAGYTAEQAACEHLAFFWAMNMTT NTMIFWNLVHILLDKQLKQEIMEEIKPHSKVTRSDWGESGFSIPEPPRLHIDAAALVS ACPLLKATYYESIRVYATPLSYRQLINDITLSEPTATTTVATSRPNTYKCDAGSYVAV PHFMHNMDPKSFPDPEDFRPWRFLPEKSEETSDVSDEDLSLEDEKLIADDESISQKMR DVWPREGSTILSYSPEFAERQALIFTAAFLTMWDIEQADGKAWKVPRPTDGSATCVPR KDIKVRMKLRV UREG_03229 MGAEDGTVWQYGLPDGQMDKMLVRCSLPVRDLAISKDKDWIAVA SDDLTVKIVNIEDMSHVKYLRDQTKGVKHVTFDPSGRYVTASCSDGVIYVYSFIETEP TLVKKIDGVIQRLETEDEGTSQAAWHPDGTAFAAVGATKDIVVVSSRDWTTQKKFANG HTADITALAWSPNGALLASAGADGYIVLWETRTQNILRKYEFSNVINLAWHPVDNELS FTTSDGELYIYANFVPSEYQRLLEKILEVAPLLSTGVDVTTTLPNRIISNGTNGAHSG RQIRQGTPDSLDDILGPMDEDDDFVEDDDGAGYTEGVNAYGKRTNDHLANPYGHDGKR RIGASWRPHKQEAFQPGSTPWRGGRRYLCLNLVGFVWSVNQETHNTVTVEFYDREQYR DFHFTDPFLYDKACLNEKGTLFACQPLDKNPAVIFYRPHEMWTTRADWRTELPEGEHI TGGDGITKLTYSIENVRKDQICQNEDTVALTEGLELRSVFFSDQGDPCIYDSSGVLLV LQHWRKPGQARWVPLLDTKQLDRVASGKKQETYWPVAVADDAFHCIILKGGDRYPYFP RPLLTQFEFNIPISSTRKAPANDEDGDADMDGSTGRDASRKLQESYVRTSLESSLLED CIEAEEATSLQSTEQRRKDIEVDKIILQMLAVECREGEEKGMKAYELVTLLGDRTGKM LEAASKIAQRYDRSILDERIRKLAEKRLMGEDDNDDLA UREG_03230 MPKLLIGPSAISAPPRVSTASLLRRYSSSTIPAAPSPLQRAQSQ DTTPPYPSISQRSVARLRREQAPRSELWFTSSRPALISRSDARALNGRDERVEGHKPV DERILKLGKTLRILTTHLPTLLVNPVPQEILSPNITLHLFPSTHPHLPTVKGRVAYRA ALWTAPVAWGSVPIVGNVRLQIMSERMVRGGVMSDFNSEDSNGDEKLVVRWVTEGGTP NPSSGSGKRVGTGDYASSGSSGGIKGAGNSAASASSASNGTNRGLSALLGGDAPIFKL GKEEQFTGLFIFSFDEEGRVSSHTIEHADENSGWDRTAKVVTLTDWLLGKARWGSREP SNPAPALAVGSRAVSAHCVRTRDAGRPEAVP UREG_03231 MATTTISSPQRKRILKVLFVSLLLDLISFTFILPLYPSLISFYL QRDPSPTSLLNRILHYLNAYKNAFARPIDSKYDVVLLGGALGSLFSFLQAIAAPFIGY ISDKKGRRTALLWSMMGNIASVALWVAATDFRTFLGSRIVGGLSEGNVQIANAIATDI SDESQRGGTMALVGACFSIAFTFGPAIGAALANVTGVAENPFGVAAGCSLLLILIETV YIYVYLPETHPRYSRLAEDQPSTEKRTAAQVSEQPQEDDKKPASSPPRKHTNNPSLLN ITHFLFLLPFSGLEYSLPFLTATLYSQISPSHSTTSPSALNGRLLSVMGLLSSILQGS IIRRLPPLLTIRIGVLACTLSFFFLARISTLSGLYAASCLLAVTSATVVTGLNSLGSL EAGEGERGVFLGRLRSWGQAGRATGPILFCTLFWWGGREVAYNVGCAAMMGVCAIVFG ALRSPVRPKVAKK UREG_03232 MHRQPIPKGSKKKRGKTEAPAAPSTPAPQESTSTAEPTANGASY EFPFVKELQKSLRNATKKLNATAKVDAIVAENPGKSLDDLVAAKKINADQKAQILKKP GLQETVASIEEQLLQFKQYGAYYDDRLAKQKDELDKAHKEELESIKQSIVAETMQAAE QDFKERLLVLSKFLRAAAAMRRSGDETSNDSRAFEGSLFQVYGGSEEAVDAMTKLING ADEKVPSVEGDLLEVAYSRVKQASLDYMPPSETWTEEAQQPAESTPTAETLPASDPTI TNAGMTELRDQSLAEQASTTNGFAPPTSHPAETVPAQTVVTDGSANPMAQAAWDPQPD LTKTQVTEQWVNAEAEKAAEIPASTQEGPRPPLDSTNRWADDVPVTQATPAEGPRQGD GFERVFHHTRQNSGRGRGSFRGNRGANPHRGRGGQFRGDRGDFRGRGRGRGEFRGGRG RGSFHHPGGEATPAQ UREG_03233 MSAFLLRLFRVCALTIRLTVARGYSLGVTTMFANEAITGKQAAA ASPAIAATSFAWLTGELLLKREGATTSLDNHTRTQAEHGKPTMRFGKTLKTSIYAPWK EHYIEYHKLKRLLREHEAKVQGDQAQWTEEDEENFVQELVNVQLDKVNEFQGKQCEAK LEPLTLDADVSHIGEEEKETIAREALEKLDGITKELSELEKFSRINFTGFLKAAKKHD RKRGARYKVRPLLQVRLSQLPFNSEDYSPLLYRLSAMYSFVRQILDFSPSFVKDMPSV DVRLGHDVYTSHKFWVHADNIMEVKTYILRRLPVLLYNPNASKDLDLGQGDPTITSLY FDNPRFDLYTQKIERSTGAGSLRLRWTGNLNDKPEIFLEKKVMGEGGSSREVRISLKQ KRIQSFLQGNYKMDKIVERMKRQENADQNRIDTLKSDIEEIQGFIRDNQLQPMVRANY TRTAFQIPGDDRIRISLDTDLALIREDALDPERPCRNPDDWHRRDIDDNEMEFPFSDI KAAEISRFPHALLEIKVRGDNQRRASAEWLADLMSSHLVKDAPRFSKFVHGIAQLFED QINSFPFWLSELDTDIRRDPQTAFEEEQEKIAKRAEDQIAIGSFLGNKTPIIQPVVGS PINNRFPSEESSERTRPRTLQKLEPRPIPADVPEEEEEQGEVETRAQPGEPPAQQSAS APSSVADGLRSKLPRFSMSRYARAHRQRAAPLPPGVYAPGTWIKDAGPVRVEAKVWLA NQRTFIKWQHISILLAALSLGLYNAAGPANPIARALAIVYTCFAVFAGGWGWSMYIWR SKLIRQRSGRDFDNIAGPLIVCLGLAVALVLNFWFKYATVWNARPSPSPPPVQGASSG LATGVSLGTGVADEPVLQFVKQVGNP UREG_03234 MVQEPLLPSQSRACSLHQKRDTPLISPWISTSQLIVIPQSPKLN RDKQHPDLFRKHSIFSSPFGLIGLTSHPRRVIGACAQENQTAENPPDSPEMSQYSVLA RTVVLTNFINATTSMVEQSTPSTDNANTSSTGSDSAPSISPPLSDQEQDDSDSLMPPP KTVVGRALGNECLSGGSQNASTHAGVGSALTDTPISTAPPSPQINPRSHTSGTSTPGK TRATTLDIPGLTKSKVSPDGRIAQRDVGSKLVIVMVGLPARGKSYITKKMSRYLNWLQ HDTRIFNVGARRRVAASGEGPDKHRAKVPELHEPEKEPEVKPDDETDKEPEQEPATVE EELRDSLRDIVPERKPSESEEVQKQVDATLAVPPARILVNGRPLEDPRLEMHAASPMT DVGSRTPADKSPSASSSESATRNGETQEQEKPDNEAHEAMDQSAQFFDPENQRALQLR ERVALETLDELLDYILEQGGSVGILDATNSTIKRRKGHHGPHPCTSRSRFETSCSLRA SVWTQNLFRLSGPDYKGMDPAVALADFKKRVVLYEKSYVPLGEYEEANDIPFVKTIDV CRKVVAFEVNGFLSSQVVYYLLNFNLSPRQIWISRTGESLDDVSGRIGGDSQLSEHGV RYARALAAFIDFRRGMWELARKTKAKSSHFPPRPGDSTPPNPQRSLSIVENDLNFCVW SSMMQRSIQTVQFFNEDKYDVKEMRMLNELNAGKMEGMTYEEIREKYPEDYASRRRQK LHYRYPGVGGEGYLDVINRLRAVIIEVERMTDHVLLVGHRSVARVLLAYFLGLKREIL TDLDVPLGTIYALEPNVLKRSDAATNIALPLKKPYGVEFKVFRYNPEKQWFDEVPNYE LKHETYY UREG_03235 MFERRAGERYRLRLHRARSVILTEQEMIEVRAAQRTFEGAYIRT SLSQFSFALVVLKIFTTEFYSIGALFAVYGAAVMVVGLFRRQQGNKSFFQEVDEDGVN RKKFRTSGNVVVVLTALSVAAYACLMALTLTLKI UREG_03236 MGLTGTSREAQHRQQLLGGALWKGVRCANIILNLAGKDATEEYD PIHPSGTLEENLQPEACLGTVDPATLHKLTPKKAPEPPKTTEGRLPLSSLLNLDDVEK AATSQISRKAWGYYYSAADDLISKSLNNTVYRSILLRPRVFIDCRKCDLTTTLLGHKL SSPVYVSPAAMARLAHPVGEAGIAAACSEFGTMQIISNNASMTPEEIVKNATPDQVFG WQLYVQTEKRKSEAMLARIKKLKAIKFICLTLDAPVPTKREDDERTKYILNTDDTSDM LRNAGASSISTRGGGIGEQLFGGTDASLTWKTTLPWLAKHTDLPIILKGIQTHEDAYV ASLHAPQVKGIILSNHGGRSMDTAPPAIHTLLEIRKFCPEVFDSLEVWVDGGIRRGTD VVKALCLGAKAVGIGRAALFGLGAGGTDGVKRVLQILKQETKTAMRLLGVEKVEDLGM QHVNARAVERFIYDGPAGLDVLGTLLKAKM UREG_03237 MTSIPPSKGRESPGRSTSPTQSTAAPAAVAGAKRKRGSGQKIYA VKNGFQPGIYHSWDECFAQVKGFKGAVFQSFLTQTEANAFLTGTPLPASATSASGNNK FYGVQRGRQPGVYTDWAVAQDQIKGFRGPKYRKFATWAEADEFVREGREPGDGAGGNE SSKKDKTPSAPPGMMAEPLRDEAGNVYPAGTGPLPPGAEDGFDPNVLLNPSTGRLVYK TAEQKAATKLQPKQSTLGNMLNIYTDGSALGNGKLRAKAGVGVYFGPGDERNVSEPLK GNRQTNQRAELTAISRALDIAPRHRDVTIYTDSKYSIDCVTVWCIRWQRNKWMTADSK PVENKDLIQSILTKIEERTSLNVKTLFEWVKGHNKHPGNEAADRLAVRGARVGLQAIN DGVGENGGGQNEVNSNLDDNDQMQRE UREG_03238 MPTLAVTNFNVVCATLGGFISLFGLVSYLFKERFHLSEALISLL AGVTFSPHGANFIRPQEYALGSEEALEAITLYFSRLVLGVQLVLAGVQLPSRYLLKEW RSLSLLVGPGMTGMWLCTSLIVWGLVPNTPFLHALAVAACVTPTDPVLSNSIVKGKFA DKNIPRALQRIIIAESGANDGLGYPFLFFAVYLIQYTGYGGLGQSGGAQRAMGLWFGE IWCYTILLSVLYGITVGWLAKELLHWAEERKYVDRESFLVFAIALALFIVGTCGMIGS DDVLACFIAGNVFTLDDWFRLETLDDSLQPTIDMLLNLAVFMWFGAVCPWSTFLSNDI IPIYRLIFLGVLVLLFRRIPVVFAMHSLEYLKHHVKVDGEIREDAKRLGDTMFVVVWF ITICSIVVHGLSIPLGKLGFYLPRTLSNAMSTSADLDPDPFPIPFRDTISAAASRVRN RVSSKQRSRVTTRDRSEPPRPIAFRIGRTAIPNNQSGTASPVPINFPISPVGSPAPPT APPACSPPLLGISLAARKSSEEDPKDGVTIDGNSARNTNENGYGTVDRSAGSPQLGLA SHSSGDVTNAVQL UREG_03239 MALALQGFTFLQQYATSWMHGKTKTSSMALNLGVLSSAQINAAG VIHPAETHPDVNLYAIASRDASKAKSYAEKYGFKKHYGSYQELLNDPDINMVYVSLPN SMHFEWTKKALLAGKHVLCEKPFTSNAAEARELVKLAKEKKLVLEEAFHWQFHPAAHL FRSILDSGKYGNIISTDAWMTASPGIPEGDIRWQFELAGGSLMDETYALSFTRYAIHT PHPPKTVLSAISRPSKQDPRVDAAMHAHLLFASPTDPNRSIHSRIYTDLSRSWTFFGL VPRLWELPSIEVETDTATVFFYNAMMPHVYHYIAITDKRTGKTEYRTQSFRRAGVGR UREG_03240 MSGQLKDKAGEPIHEGDPVETKIRGGTHTGTVDKIVTSQEEAQE ENVKNPPKVTSQAVVVWMRSS UREG_03241 MTLTRSQTGKTPKKVQPPGFVETPTRRMTRSRASKSPEYDEADP PAGHMHLSDKARKRGEAKVETKVEDHKPNGNAKTNGIKAKTDVERTEHFEFGGSLGVL AMMVGFPTLMYYMWIGAVYYDGHLPLPAEGQSMVSFVKHMGQLVYEGAFPSVKAWTIY WAFFIFEALCYMFLPGIEAKGRPLDHLGGKQLTYRCSAVASFYTTIVVAIGLHVSGLF KLYTIIDEFGPLMSVAILTGFIISFIAYFSALARGVQHRTTGYPIYDFFMGAELNPRM FGILDFKMFFEVRLPWYILLLVSMGAAARQYENYGYVSGEVGFVLMAHFLYANACSKG EECIIPTWDIYYEKWGFMLIFWNLAGVPLSYCHCTVYLASHEPETYRWNKYALAALYI SYVFVYWVWDTTNSQKNRFRQQQRGDMIMRKTFPQLPWQTIKNPRTITSKKGDTILVD GWYGYARKIHYTCDLFFASTWGLITGFNSPFPWFYPVFFAIMITHRATRDIQRCRAKY GDAWTQYEKEVPYLFIPYVI UREG_03242 MSASGPSSNPPKSVNTQSQPTPAPAVQTFAGGAESSSQRRAFGN PLPARNSSTPRNNQTRKQQHKRHRRPRLVDEDAFAESVAVNNTMSRKGQTSITHLMNF SLPPRPRNSFTSQRPPRRSHGWSPRSGAVDKARYVHANYRFIVDPTKNYHSQATNADV HLDWDTVLQVLVSSEIQTTSCPICLSIPVAPRMAKCGHIFCLPCIIRYMHSTDDSNPT PEKRARWKKCPICWDAIYISDTRCVAWYTGQQADMLMEGGDVLLRLVWRMPGSTLALP RDGAVMGPEEDIPWYHIADVPDYARIMKGGEDYMLAHYDSEMDDLRKLEHEDELLFGE DSTWTNKAIAAIKDVKEKVKGIGNPPELSRESVGRRPVKSVPSFDEPPKDTPDMYHYQ RSLRSGNSSSSPSITATNPEPSSLNLSNTATVEVPSFASDLSNLTITSNGTSAAAKPD LSTAPNFEKPKSSCAAHPSDQPYYFYQALPHFYLSPLDIRILKAEFGDFSQFPSTILP RVEHISTGHVVDDDLRKRAKYLGHLPYGCEVSFLECDWTDLVGPSILEKFAHEINRRR KRNMDKAAREEKERIRAEKEEEDKRWAAVWRKRSSISGLSDRPLSDSDFHPLTTPSRT DPNASKSDLTPASPLFGPSRGQNHPSLAALASPSSSPPSHRTVWGTTAVDTNSSAKPL PYRSENEPDDGWLQGWERELLLAEQAEILASAEKPAPPTSSNKKKKHKKITLMSTNAR RAA UREG_03243 MNHHLPPLPHGQPPVPPPRRQHDMPYASAPPAMRSSPAYMGYHH PHSHATGPLPPYAPHQYQQQWYPYQQMPQPPPPPPYQSYSPLIVSSYPRSQHIAPGPP SFPLQTPPTSSTPQHSSFTSTPPPAAPTSTTSDLQEDVSSARQGEAQPTKVDETLTSP VAVRTPSSVIPQAPTNESLKDQAVAREPFQPPLPWLSVPEVPFPQRSSRRRRKPRVTT QSVALSFKANDATNEPPKTTSELVSPLPERSVTPTPTTSHPPSEPASTQPTTPLSAAN PQAAIHTRQTSQTNASRPIVPIIPVVPIVPTSPVVPKQGQQVTRKPNGSAKPSGSKDT DEPTQPNESKIEKQDASIVPPPRLQPKSWADLVRTKKSTTAHNVVSSSGTSGLAPSKT ESLVDVINSLGSDVDLYNNKITFIEPRGLVNTGNMCYMNSILQVLIFCVPFYDFLDRI SRRAAHSFKSELPFLDAMIMFMREFRVIDSATSVEQLRLRLKQNELEQYGASFIPEYV YQVIRHLPRFRDMRRGHQQDAQEFLGFLFEELHEECLHAMKSSATTSETASVNDVDAT SMTDDSTTEGWLEVGHKQKPAVTRSAGHIAAESPITKIFGGKIRSEFRVPGNKNSVTL EPYQSLQLDIGSPQVNNIIDALKGLTRPETMHGDFSSSRGTKATATKQVFIESLPPVL ILHLKRFQYDNVTKGTQKIWKKVGYPLELEIPKEVFPPHRRNILAAQGGGLPKYRLTG VIYHHGKNASGGHYTVEIRRQDGREWVRIDDTVIRRVRSEDVAFGGNDEDPKALAAAL EQHKSMEDGQSNIFRHFDQDDDQDNDRGWNHVNGSGSGHASKKSVSTLANGTGTQNTA SGDASGKGTPSSRLAARENKVAYLLFYQQI UREG_03244 MANIELDDIATDTSAVLRSGRGEGSGTQLAATQLHASPADVDFL SFIYTLWQLNIPVLNPCLLDTQTAISASGTLGRGGQSVVDAVYENQRYSNKYDESSAS KVIYKRTVKRTAQGEGDPSHDTAALESFVREVSVLGRLRGHPNVIQLLGVAWEQAEGC EIRPVLVIEYAPFNSLAYFLSEQTATALNFHQKREFCADIASGLSSVHENGIIWGDCK PENILIFKDDSRPGGLRAKVSDFGACEPEVTIESRFRGFSVPWTAPEALTATGFAQLV RSEIYSFGLVVWSIAMDGRQFQKRYWGEAMSKNRRIDDEEVGIEHIQRLKATSSEAAG ILNIAVSSTTSYLNCSGTGAHPLASHIEIETFTRVLESTLQHNPNHRTQDMASVAQRL YLLSPKSVTKPISISRFNGELSLEYLLSTYGADSHLKPVASLILEKLINLNSVAENPQ SRFQASLCYLVGFGTKVDLGKAAELMKSSAFLDYPKAQGMYATIQAIISLKQECRDHT QENSTTTEQNADLIMFDDLEIENNQETASSPPVQVHTDEEMEWLKDASAAGSWLAAAE LHNRSPTDFQIAVSRFRSSLVDFPSAKEECDEFSTLSERSGSLKGNSALHLAALKGSE TSLKRLIDIHDTLELVNGIGETPLICATRAGHAGIAAFLLTHGANINHADNRGITASH WLVFMNASELQLLQCRISDALLDAQSISRVDCSEHWGATLQPGTPLDWAVDRRNFDAV DLFLERGANPQIQTLGRPSAINRAAGRHDFQMCDRILRKYPCLSLDIFDSHGESPLSY CFQTDYTLERLLISGTQSEAFLEVLELFARHGTDFTYINAHGENVLYSAIKRGNLEEI EILLSWLEKRQIHLEFIFSATGPNRWSSFRRALYASDKRIFTTIFSQIDIGELNALHV DESPDGLSIFHELAFVPESNAREIGKVIFSGKGMQKTRLRKALRNCRLPAWGGRARMT AFQLAVLCQNFSLADFWVKMGANPLAGLERQRFLGYLISYQKILAAEPSKWLLYMRDD GVPNVLRRFPHPAAIEKSITYLLCSDSVWWSMLRRPGWSVYWHGPEFDRDSEHEPLIA WRPDMFTALTALMRADSSNERSMNRHALQTYGTSGYLDANSLAFSHRVRHTLDFGSFL ISYEANSQKHARSGHNLVTALDLAFDMVLHSSYSDQAERIFLAILDKYSGPLHCNFPY FHYSPIGRSWMRNLTRRETLLHRAIRAGKITIVERLLEHGADWNMANTNWQSPLRLAN LLFYNLNPDDLANHGSFLQRLGPAVPPNSADIETANTVDNSDGSASVILAILNEHARS SPQHKLWGPIRAIREVHWPWSKYETDDLGIRFIIYYVFVLTLVALILGIPLYVWNSIA STTSVKLLDVLVNLQLVGDCVMLAKRNGSDPRAQCSVENSEAVRAFQDVALPPVASLR NLTTAVVDTFSGCYNGSLPQRLHGNSTCPWPSTIGVPGCDDDTDQGYFDAAEGEFTSQ MEYYLKKMVKCKCTWRGKPYREEP UREG_03245 MCDCYENWAKEVGLDGQDPEEFEKRCQGNVTVINIIVDILSQLC HLVTMKDELQKKYGLQVLDKSSKPLGPAYDSNEDVPVKSTPLLWSNTVVNAERAMCLA QFQQKLGKMHKLKWAIRGQGETTTASAETCFDAPCLCSRMADFLEYSASQRNLSKKNW VSQNYAAAARFKSISLKRASDATKGAALPAAVKLDETQISTSNSQSERALATFKGQKV LIEFKLIQESDRAVFRILEDRLKDLISLLQVTPKPTNYRVLDCQGYIATSKEANKVAY GLVFSLPDALSTSPEPKLNSLHSLLRGTTDNKVPVGVPLEARFRLATLLASSLVEIHA AGWLHHNITSRNVLCLAAEGEGVLSRPYIGGFGYARFDDPSEVSEMADLGTVDNLYRH PDYQSPRAQGRKFRRSYDLYSLGIVLVEIGLWKRIEAFRRSGMSHPGAFATHIRESVA PMLEYYMGANYCDAVACCLDVRKLNVGDDEGRKLSDAVSRKVVAVLESCQI UREG_03246 MSRYAPATIQGLTGPVARFARLIAGHGYICAAPSSYHEFTGPEP LKYDAEDTDKGNEWKVSKKLAAYDEDAKLCVDYLLSLATCNGRIGATGMCLGGHLAYR CALDERIKAAVCFFATDIHSRTLGKGKNDDSLQRAGDIKAELVMIFDKNDTHVPPTGR DLIRQTLHEKGVCFSFYEAAWAQHAFIRDELSKGRYDPAVTKVCIEMMFEVFGRTLKV ELGESDGKDFKVEDVC UREG_03247 MGSTQFGNFHIFVKDNQPPNEKFNPIMLFRDFDGRVLDMEVGEK EGCRGEKVGYPLRSNWLETGDTCVDTGPRREFQIFLAGYIIIEICEIFTVGGFPLNDN VRKGFTAVHLAAICATTWMLFINALVGYQFLDDGTPISVGLLIASATVLFIGTGYIAL DTAFSWTGHFDSSLSGENRNIGLYVLYLLFPLICLFGFFSLKTTVYLSAATLLFAIGQ IFNFVISTHLCQAADGKINGALFETLFTLLSVGMIWVFWSSITEDDWPVPVNNGGYS UREG_03248 MGFSHVGFCVPQHKFDETVSFYLAALQPLGYKEMMRPVDNAVGL GVYYPDFWITGVKPEAGSADGENEGDEQETQRKPIHIAFSASKRELVHAFYDAALKSG GKCNGAPGLRPQYTRFYYGSFVLDPMGNNIETVCMWPAWTHWRYWFGMGVFKKKKTE UREG_03249 MANFCLESSWLPLLFIPTNASPLFIVSFVTLTYFLHRPCSYCSA LLFILFISSCNWSDRCFVDFKSDWFSPRFATPSPTAANNIPEDPTAGLTQYVLEAVNS TATALATAAVEETKRRVAPSGSVPLGNGQHPELTGIGLEWLRSLLGRKEWTLPCVDVK VRL UREG_03250 MSHTPPELRALFDSLMSNPPPGGLSHAFSSANKQQQQQAGAHSS SPGLTHQHPRYAPPSVSSPLVSPPVAGTPPHHGSDIISPNTFTPRNEPNVSLNSAAGP SSHLLNLFKFGNPESGTNSPRPQQPAGGFLNGNEQGPLNNGKSQNGHNRGISASDLIS SFMPKPDTKSAVAADATSASPATQVESAEQMLLRLLNRPKPHENVSPEPTKTAESKPV VPPPVAIERKFSENESIGGRKPSPARTFGTRESRETTPFEPPKPQSPAQGPMFTYLNP FEQLASASTRPLSSQMNKSGPGGAVSGAAVKKGKEPQLKAANGLTRSREDTSSQAEAE ATTSQESRHEKKKLKTKETVPEALIGVAEKVEGQVEAALAQAIGEEREPSKSESKGES TPQPSIESATEHKKAADVVPEKLEKEPSDNVPAKPAETKKDKDLIESWENETERIVPV YSFPLKPFVSITWKGVTTDVVRVREDGFMDIARLKKAFDQVDRSLTSADAEYIIYALS KSTGMRIIRQDDGRDRQAFRSYNDRIFNVALCRAGPNPLKGRRRRFWALASAGDDNLF EKDALDTRTLLFPPFPAFDENTSGGQLKTRARPSSRHPEFFAIGRGKSIHVIWPHAAL SPKYGVSGSDRKVDTEKFYKERALKISTGKAGKDFAFSDDDTIIVSLDKTGRMRFWDI EEVIDADPEAPKPDIRVPLLTLVTAAPSEKSWPTSVLFIDKLRPYLKMCAMRYMLLGF RQNHTLQLWDLGLGKAVQELNFPHEKESDAICSVAYHPGSGIIVVGHPTRNSIYFIHL SAPRYTLPPMSQKAYIQGVVDKDENLFGPQSTACMSGIRELSFGARGQLRSLELLPLG KSSSQRGIEDDAGLFELYVMHSRGVTCLNIKKADLGWSMDNKVIQHVDALEKNLIELK DLRTLPSPVDEQSVNGEGSSSAVGNNKDSTKKSEIVAEKTSETPSSRSESPIKEGKKK SILQQEPGPVEKVEKKKKKKGAADNVAQAKELVEPQKSVADKGEIQASQQNDASAKDA EQAQVDQPLVEKPKVVKTSPPESISTGDLAKGISDVKDALSAEFSKRLSNELGDLYNR FNDDRRAQDELSISRQDAMLRLVSSTLSENVEKNLARIISGNIESTVVPTIKSVITAS LEKRVTEELDKNIRAAVPQSISSVLPEAITRELQSPNTLKSISDLVAPAVVKSVESEL ANVVRNKIMPTIKNEYTRTTEKLIGDVEHMFASKLSQYENQRITDGVKIEQLTTQVSS LVQVISTMAASQTKFQDEILEMNRRFEEMQVAAQEQRSSASALGREDHPGPELSPEVL ELREISQLMEEGNYEEASVKWLQSTQQAEIFDKLFVNYNSDYLTTLPPLLSLSVSAAV TSSLKTNVMARLDWLKRVFQTVNVRDPDISQVVPKIMDILIQRLQELYMSVARDSPHD PIIPKIAPLTRWARDLKESSYGQA UREG_03251 MAEVACLRDIQPLTGRLVPLPTVQEVHPFHEEGEESMLVFLVGK LLDAKFPRDPSLNLAFLRRFAKPEHLPDHLKREQEADVASEQGASPAKSIYILISPPL PDRLELESLLGPYAPTPSGTTTEAVSSEGTRTLSSTVRLQSTKIPLQPPTSREQAEDW SRKYWPTTYNPAAQPSSHSPSPVTLSRTQSSISPHAGLYLSLAKKLAHESQQSQRGRP VGAVVVDPTLLESNRDDPLSAIVGVAGDSRRYHNPNHVPPGQPTNPSPHSYSPDFEGQ PSDHALMRVISLISHKRLAATAAATATSPPTDQPLVPPDPFQPPTPPLSPLESHFFHL DNLASPSGGGYLCTSLDVYITHEPCLCCAMGMLLSRFRTIIVGKRVRGSEFGSLDAEK GYGLHWRRELNWRAIGFEFFEEGEAADEDNGDGEESGHGLHFHP UREG_03252 MRCSYLAARLERAGSVDPSLLASCAACHNGLRSGPPQEGMITSS PTAAALLCASPNPPPAAATDLASPSPFFDNHRAPPLRSPAASRPPRPAPCTRSLPGLQ DVQVAFRRQTVDAGTQYSRPATPTSQVVPTFNPSSSSTSSLPAAPDPPVTTTDEPSPS SPAAQLSQDCASPEPGSRMPSTAAGTKRSTPDTRAISNSGSGSGSNSGDGDLPDGSVS KRLRQAKSAVKILPRRYEQADPKDLVVLISSMLMELIRNNDQIPLRDGRLTRFHSRSP PRISVQDYLQRLTTHATLSPPILLSMVYYIDRLCALYPAFTVSSLTVHRFLITAATVA SKGLSDSFWTNKTYSRVGGITIAELALLELEFLWRVEWRIVPRPEVLVDYYQNLVERC DEYAMEPEDIDSELSARNSSSRRGTGATGQRSPS UREG_03253 MSTILHPELLSQIRNPESLKSRVASLRVLKNDLIGHEHKKQTAV EEGIVPVLSAVLASPILAGKDPATAQANGNGIFSIQHDGSADTSHLEAVIYQQSGARN LSLGEPKRGPWGQPIGLVIPKQNSSNPIDSLLPTVPFPQPTGSTSFPPLGSHASFDKP SQFFSPLSAYSESALPSDEHECAIVSWLISIARAESEKDYTAPEDFDPHETDTVSHTS RVMEEAPAVLATLIMDSRELQRHAADGGAIKKLSQMLKDSFITAEGSGKSLWSPVPNG HSQKSLQPEMLPGPPGVSPLVLHKVRVREGVLKALAALSLFREDYRKAICDNGVVPFV IDALTPHEPESIKSNGESKSTAVEGNPIPTLLAACAAARSLTRSVSVLRTNLIDAGVA NPIFKLVKSRDVEVQIAATSVICNLAMDFSPMKEAIISANMIPTLCEHSHSENTKLRL ESIWALKHIAYNSTNDVKMKIVEALEPTWLKRVICEDPADPSIRRKVEEDIAGMTSNE MEVTPSYGERTDLQSLVQSYNSKDSEPQSPDLRMTDTIMPAKTSLDTFLTDSARRRKL ALNGDLDHTKQMRRDDIQVQEQTLDLVRNLICGNGAPEMIDFLFQEVTDLFDILADKL RPRLLPSSSRKDSASKAASVPTEILCSVTYIMIHVAAGLPRHRQLLAQHPELLKLIMP LFSHANKQVRVNCVWVVINLTFVDDQCDHNCCRERAAKLRDLGVLERLARLEEDPESD VRERTKTALHLMGLLLTG UREG_03254 MLSSSWELKKHDDGLRRNLFKGLSRILLDIAKVPVPLIGSFVID DNGFLVLSNRPLSPIITEMEGRQIPVDIPRNMTYSSVDSYVTDTLAIHDSRFTHQPNA LTDRTDGAFQAAALTTMRTVAACFFKRELRRGPFVYVLNDLHQSNILVDEDWNISCLI DLEFACSQPIEMLHPPHWFTNRFVDQIDADHFTSVHGEFMAIMEQQERDLYPETPISV FKLL UREG_03255 MTKAPFAYTAGRWLHLDKEQRQARYLRFDFDALCEKVLSLSPLA ASIQSCQKLEGGFSRAFIFTTDDGRRVVAKFPMPVAGSPRFITNSEVATITYLKSNTK ISIPEILDWNDDPSNPIGSAYIIMQHAGGVSLQQAWPGMSSLQKMHCIRDINKNIMQL SKLDFPAYGSLYFSDATFFGSESKFKLQDEKYCVGPHCKTTYWDCNVGEPRYYHFKGP NQGPSLLNQGQAVFPKLIQHPQLQSNAAPTIFHPDLHKRNIFVSEDDPTVVTNLIDWQ SASIEPAFYYSDDVPDFAKPPSEETETPTGEDYCSKVYAAGLAFLAPRLTAARNIDEA LLRPLRYCHRTWRDGVVPFSHGLTELRERWEELGFKDECPIPTPTVEEKRVYEERLDT YNKFLEVRQDLVETLGVEEDGWTPLDRREETVEAHRQVYEIIMSGLDNDQDRAEMTVM WPFDSIKT UREG_03256 MASTYPAHLHHAATGSAKKLADEHSGEQPLKLYAGWFFQRTWIV LEEKKIPYQYIEVNPYDKPASLLSLNPRGLVPTLHCPQPGGQAAKPLYESNIINEYLD DAYPDHTPRFLPSDPYERARAKIWIDFASSKIVPGFHRFLRCQDEEGISKARAEFLGY LKEFTLAMDDEGPYFLGKGFMMPDVVLAPWAMRLWSFEQFKGGLGIPDPGQGGPDEEV WQRWRRWLAAVTGRRSVQDVMSDREHYLPIYKRFADNLA UREG_03257 MRQCMSCNLVLQNSQTYLDHIKPGPLRQCSTCELIFEVQCEFEL HQLVEHPKRKAIGSAAMTKESPLPNPAVTAREQGFRYIPLDEHMETHTLGNPTVETAE TQTSQFPTDPRHMDDLLLGNLVDGSPIPAPSGTASKGIPMALSGPPEGDLLDLSPPNE ESGTLSKAISNFQDVLKNRPALERTVVKDTPSQTQEVQLKKRVPVTSPATSSGSPHPA TITPESSELIKTPLQIRPVPEAQQQPNVQTSGILCFECGATFTNILALHQHQMVSKHN YCHWCLGFFADRSVLQKHKEQVHNFRCTSCNAAHFNLEDLITHQRLKAHCYCKACNSY FLDLKSHQQHMATFHDNSRHGPSRAEPAGALKPKAPPAKEYSGTAAGTSAEREP UREG_03258 MAFACLELAGRLSESRLEDVESGSEYEQWQTSRAEVMETMLDLL ELYTHHRNSTSIGRDFSIDTLLNIRIPLNREADSKKLTRYGYYNKRKPDTNGVRAING SGKNRDKDNRNATGSAPKDTTRVNPLAPTAAHDPARPSNERGRDSTIRFMLDPEQARA EKETVEGYFKVEMEEYEVEE UREG_03259 MGRTRRNVRFQHRSNSEVSKINSDSDVSEPPSPRKDGSGGKGEV SHEKQEHLGDQISEYEKKKQTFITRTIWTFVMIFGFFVSMFSGHIYVIAIVTAIQIIS FKEVIAIANVPSRAKNLRFTKALNWYFLATTMYFLYGESVIYYFKHILLVDRVLLPLA THHRFLSFMLYLIGFVFFVGSLQKGHYKFQFTQFAWTHMALFLIVVQAHFIMNNIFEG MIWFFLPVSLVITNDIFAYVCGITFGRTQLIKISPKKTVEGFVGAWICTIIFGFVMTN ILLKYKYFTCPVNDLGSNVLTGLECNLNPVFQAQPYRLPHWTHVNRTFYIAPMQFHIL MFATFASLIAPFGGFFASGLKRTFKIKDFGESIPGHGGITDRMDCQFIMGFFSYMYYH SFIAVHKASVGGVIEAAITGLTVDEQIDVIKGLTKYLYNQGAVSEKLLECFNGDFKLH R UREG_03260 MDDEELDYDGFEEEFAADELIALVDTADAAAQHHLAGNIPGEPH AESVVLQPPSKLLRNPVSFGDTDTQNLDASVLGDGGEPFLGDEQHIGLEDGGNISRIL LETELAQARSTEVPMQMSALLGNDASGDRVYPLSEGDKRRLYTDDETMDVEAMMEHIE QLTREREQLIQELTSAKSAADTRAGEIAIIRANQMKLEQSHNRQMGALRSALDEEVKK HQSEIQAAMMESKRIATENQFLKQDLREESIKLNGLHQSRKAKAKADDAAPMTPSKSR MLPLRDGFDDDEIMASPSKSAGRRSKRGTPSAPGKRKRGAADDSPVVRPLELSQSFES NLLDTSGNLDHMDIEIRSENPPPEDRNIQFIRKVLNHRCRLESPRDLEVFATISFPLE PNKMFSSFILEAMARPSENYPLEYANAILSLWSRALKEQFYRPVSMFMSIIEFILNLD TTTVAPLLVSQLVPVLQSSGFVNAVPRFTNSPVSHLNYGQVKRTPQTDLHPQVNGTAA LELLYLAASGCCHDDRALRQFWRSVNYDFILIMLNAYQPIKEIILVLNLLSYSIFPTN FGPILPTEAEQIANENYIIDRIANLLHEQPSADEGEPPYTTQQIITLRIEAMSFLLEI AFSSPSSDPERNHAGSLLATHPSALARVFRAMHDELDALYSHPPERELHASLVNGLTR LAYGIITTFPDKVDLPSKLRAVPGAVQKHLVVLTRLAFSDGPLLESGITDDTVEMAHE MLEDAVNPQEAEALLEAFRSSKGEE UREG_03261 MKFTTIALVVGALGNANAAPSRGHHGSIRNIQVGVRPDYLVSMM DDSPLKRKLESCSEKPLRKTDFSVSHRGAPLQFPEHTKEGLYAAARQGAGILECDVAF TKDRQLVCRHSQCDLHTTTNVLAVPELAKKCTKPFTPAKDGKPASAKCCTSDFTLAEF KSLCGKMDSSLSSAKTPEEYLGGVAAWRTTLYDQTCSTLMSHKEFIEITNSLGLKFTP ELKTPQVPMPFEGDYTQEDYAQQLVDEYKAARIHPSRVFLQSFLPDDVFYWIRREPAF GRQAVYLDERVDTPKGYEQAIADMNNLARKGVKILAPPIFALLSTDSRNRIVASEYAK AARKAGIKLITWSLERSGPLKDVAKNKEYYYQSVLSAVKDDGDMYEVVDVLARQVNVL GIFSDWPATVTYYANCFRL UREG_03262 MRNRCTTYLKKPKVRQSWSKYNLYNLTRIQAPYTQNKTFFQQKW AAKSLARGYHGEQVREGQWELMFKRRLRSVVPMDARYLARNDGTVESAGRGSGLERSQ DRGGEDGKVFRRSTPPIPYMQMTFAPLERRLDVAIFRALFASSTRQARQFVVHGWVTV NGKKMQYPGYLLNPGDLFQVDPERVMYATGAPKDARERRAGRIRRRLRGTDAEKSKKK DEAKDEAKSDEKESGKPEEEDPRQTLKQLLSKAKGIMSANKHTIPAKRRQDIRTFQLA VRRVLSRSSASTILTENLEAQFLELKAVLSKDIEAATPKTPETSETSKDSKPQNSQPS RKDSSSTNKKPTNSAPMDNLTKAFQEATLNPSQPLDPNTVSALSDSDLEVLKQALVQL HDNPIDSTKPYATPWRPRDYMSAFAFIPRYLEVNQNICAAVYVRHPVARPGLSEVPTP FNETIGGAAFAWYLRRR UREG_03263 MFSRLVRARPLGQLGRFSAPVARRTVTTNAASAHAEDVPTEDDK PFTIKLSDESFETYELDPPPYSLEVTKKELKQMYRDMVAVRRMEMASDRLYKEKKIRG FCHLSTGQEAVAVGIEHALNREDKLITAYRCHGFAYMRGGTIKSIIGELLGRREGIAY GKGGSMHMFAPGFYGGNGIVGAQVPVGAGLAFAQQYEGKQNATVCLYGDGASNQGQVF EAFNMAKLWKLPVIFGCENNKYGMGTAANRSSAMTDYYKRGQYIPGLKINGMDVLAIK AAIKYGREYTLAGHGPLVYEYVTYRYGGHSMSDPGTTYRTREEIQRMRSTNDPIAGLK QKLLDWNVTTEEELKTIDKETRSYVDAEVAEAEKMPVPDATPRILFEDIYVRGSEPLW MRGRTVEETFYY UREG_03264 MSLSIPNAPNAGLFKQGYNSYDAEDGAVVRNIDACRTIAQTIQT SLGPYGRNKIVINHLQKMIMTSDAATILRELDVVHPAAKLLVMASQQQEAEMGDGTNM VIVLAGELLKKAEDLIRMGLKTSDIVQGYEKAQNFALKCLDDLEVDRLQELRSTPELG KALKTVIGSKQCGSEDILAPLVAEAILAVLPKNPAQFNVDNVRVVKIMGGSLEQSKVV KGMVFGREPEGSIKKARKAKVGVYSCPVDISQTETKGTVLLKNAKEMLDFTKGEENRL EAAIKELYDSGLRVLVAGTTVGELAMHYLNRFNILVIKVLSKFELRRLCRVVGATPLA RLGAPMPDEMGSIDVVETMEIGGDRVTVFRQEDTSSVTRTSTIVLRGATQNLLDDVER AIDDGVNAVKAITKDPRLVPGAGATEIQLSERISKFADTTPGLAQYAIRKYAEAFEVI PRTLAESAGLNATEVLSRLYTAHQQQSSSGNNEQESEASSEDDDEEESSEEEEDAYWT TGVDIQASTPSGTIDAVEEEILDLLVSKQWAIKLATEAARTVLSVDQIIVARQAGGPK PPGQNPNWDED UREG_03265 MFNLDENVPPRAPGDPDGSIFAPIVDPRSKDNTNTFPLDGVDID RVSDADLIQLADTAPLLYQIGTERVLRVSRHLVLKCGGYILPSEVNALELVAAKTSIR VPRVHRSFQVSDSSQRFGTKGYFVMDYIDGENLGDCWKHLTTHQKQDVVTQTVAMIKQ LQDLVIPTAGPIGGGPCRGRFFTVYSAGPFSSAADMEAWFNHKLTICKHYNKAPKDLP PFKFTKFVLVHQDISPRNMILDASGQVWLIDWADSGAYPPAFERAALADQPRFPEFNG MMLERLPKQELEEQLQSIAYGLTVASFA UREG_03266 MSFNPVHFVPASEKASMLALPVRSILAVGHRQKADTNHWCLYLS TPQNTSVRIDCQPSYSVPSTVLPGGSKANVVISRLNCPVSMEAEKSFDLAVKPNLTAA DVYNTIIEHNRHKYEFDSEGVGCRCWTSGQLDFLLQQQILTNASEVAAVKEGILKLWP AGTPLALDQGAYYQ UREG_03267 MSFPLQISARCCRNALRQAPVVTRAAPTYISRYGRRWESTEAAA TPANPKITQIVDQISQLTLLETADLVSSLKSRLNIPDLPVGGFAMPAGGAAPGAAAAE EDEPAPAAQEKTLFNLKLESFDAASKAKVIKEVKSMLGLSLVESKKFVESVPKVMKES VPKEEAEKIVETLKALGAKAVLE UREG_03268 MDVERSRTRRERTFVGSECSVCEEPLEHTLRGERIIQFTCGHVS HEACFYEYIKEYDSQYCLTCNAPLSLDSSRGGNLLDLEKLSSIVRSVSDVSAHHTTPN SPAPAWDSGARMSQTPNEFSTSSLNRDSQHSRRRDSRDTTHQRERIERLAAPSRNKHV RNDSEAAGTATSSDYPDTQRSSFGRKYDYDVQVMESALSPRPGVMKNPIPAPIVTVRS EFPTLNRSRQQQSLTCLVTIEVPGGKWHPDLETLRLAPLTPALPREELQSPLKSAHLS HQIPSREQFELHQAFDEITEELRTRVDNWHGLEFDRFGKLKLHGKLKVGKDRRSWQEL ECYLFSEMLICVKEKKIADQHKYEDGSISRKSARSTLKGSILIKKHLKEVEVSSEEPV LTLNLSVNELPCFHLQFQSHNQLEVWRRALLDLHHPDPPVRNPEYDVEHSGTEEEDYR TLQTKKRVSSTTSSYGAGKSSATALTDYTMSIRDGRPASFHVPLDTVVVIPISSSMQG LKITLLRDTLRFLVQNLGPRDRMGLVTFGSSGGGVPLVGMTTNAWSGWNGVLNSIRPV GQKSLRADLVEGANVAMDLLMQRKSSNPLSSILLISDSSTSEPESVDFVVSRAEAAKV GIYTFGLGMTHKPDTLIDLSTRTKASYMYVKDWMMLRDCVAGCLGSLQSTSHQNVKLK LRLPEGSPARFVKINGALQTTKRATGRDAEAALGDLRFGDKRDVLVQLAISPDNSSPD HVPQDPWESIVSGLEALGGPLDGEDQRVTSIEEVPLIQADVTYGDILRDGHLTHSPRP SLLAITMLPAHHKPKSIGRPITPPIPPHPSIVQRRMELLTSDMLMRALTLVSRGQQDR AHHLLTETRSILKGLGKGSLPPLPPSATMKSLADSNGDLHSPAPSSPRSSDIPDGRQS SHSSEAATITPVAAIDSNTISALDSDLEAALEWISHPAVFGRDSRKAVLQAIGVISTQ RAYTFRTPSEAQWAGRIFGIKRLTEKSRDWREVGDSSLTEE UREG_03269 MRFPYRATAPSAFSRLTSIQCRYASGQAFKAPSLRGQGRRRMPD YGSVPSPPLELFTSATESGFNLQWMEAIDIARKCISAAKESTETSTPNLVKDIHSDLH KITMVAAILQRSPRHHIVSEWLLPKVAHAGEPMAILILSSRLLNSKADNEAWLLRKLE VLAQQAKIWPAMVLYGSILESKGQLQSAVNWYQKAVEVAELSEPSETSNYLFSTSISR IRQPFEAYAELKLKLGEIDDAERAAELGALRYDRSQSFAILLATLLKTERGSDKIEEY LTKCAMGDGNMEARYHLGKIYLLWHLGLERFEAISVPDEYLSRPILQKLLSVSSRYYS PNDFCNMAKEWFHLAITQGHVLSMLQMAFLLREENKNHEGTLYLDSVEEHPKYAQMVV KLRDIWDKSDVDVSAITRKSVEP UREG_03270 MLTATSKACRLPGNIRLKPALAPFVRHSRTSPIHRRELSCSVPQ LPRAQLLRYALTGSTAASAVNGQLSQLDTQLDQLFSTPLLPKGLDESSNREIGSPFRF RAQHGHILSSIQSEIETKITDKRSGDEFVGSFPLFREALGRCENATQWEDLIATINGT LARLQKLNVINTRELLLLGMNYAAQCFSVPALRHYFKRYSEGGYEHLSPETANQLVNS LTKGLEMRILDNPSIDKNKMRQVIMGTNSSDSGSGAFHSLLDISHPSENAFLGAYVNL LGDLGEQKGLSEIWQVIRAQFVRSRQTTHLKKAAANCLQAMVKSGNPQAAIEAARDVS RQVDLNSFFPVHLWGLLIQHDQDGVLCGLPIDKTVQSVLDRELRVMEMKLGVRWSGKE NGSHSYVRDTPVWCNNGSPEDVYEWLGTSNMIPAASHLLDLFGVANSSRSIASISSMA DLLNEYEGVEIPLCMAQNKQFGQLELAWITQCSPIEITQDKEILAEEKYRARQLSSLG LLRVRQDCNGVPRKVGPHVHLMQVGYVAMRRKSTLAKSGLPTAEPEQWTPTGHVIGWD RRNGKLVFLWVGKGYGAMKAGLVQPKVSSCLPHACAEVRNLVELKNSIEGKRSLETDV SALGLDEMFKNTTGLWIDVDPCFDLQT UREG_03271 MTAEERSSTMPEDPKILRESEERIPGSSTPSMDADKELAAPGEQ QPADDPTSKNQQRLDRFKALQARAKSAAKSNLKETAAESQRLKTDPTLLNSISRKHAF ASHNLLKADIEASGEDFERKRAWDWTIDESEKWDRRMEKKQKHRDDVAFQDWRQDAHK NYKRQLRRMEPNLDAYETQKADAVMRAAANGGLDLVEGEDGELVAVDKNGTFYSTADS IEFAQNRPDRAAVDRLVADLQKAEEIRLKKRRERGLGEDDADVTYINDKNKRFNQKLA RFYNKYTAEIRDSFERGTMI UREG_03272 MPAPAVFGSEAQPFDPNKRPPNIPEDHTHQWRVWVKGVNDEDIS YWLKKVQFKLHETYAQSIRTIEGPPFEVTETGWGEFEIQIKLYFVPESMEKPQTLWHS LKLHPYGPDAEAKKARRETIISQNYEEVVFNEPVEQFYNLLTGGALPAQAPARGKAGK GAKQQQQLSGRTAEIPYSDSPKNPYSQKSEAKELDKLGEALTTVGRLLKEERTKLAER EAYLATLKVTETLPAVQKKR UREG_03273 MKLSAAALSLAFFATLAAAKPEPVINEDFSYTYADRIEYETCTG YRFSNERCQGKRLGSRVTNWWDCQDMGGKCCGLKRNGVGGFLIDPEKQGGGSYRYGGC DYCFSGGCVAS UREG_03274 MAPMQLDTAASSFSMPIPELPADTKLVYLAEGGANIVYRMVFPE TDRTSREAGNVGHRTIFQGKLLRLRKRIDSGTPYTETARNFDTQIKALFRDSELGFAV ACNERLREDEANGRRPKARHGVYLSTKEPFGLLITDMSPAPGSGESLWEFKPKWLLQS PSAPPNSKRCRTCALRERKNYQAWQKGEKTRRGFCPLDLVSGDFEAVLRAVQSMKGPQ HGRVGVAKFLHQNPTLLKLRESQQRMNAVGLPGLEADYRDRAVSMTLRDCTMFVKVPR DENATPEVRLGDLDFKSGIGGKLEYWRDVETQLINEGWYNGIDTGIENGHCSLQDLHK KAKAE UREG_03275 MFNWKSPKIIHQREQLGLVIRTAERLYKKGFRPRPYGRDIDEHF YRSDRFNDGPELSIPGGLGLGDTIGIINSFVVGASQSKKAAMQAHRDTKLWLKAVFSP TPSAQHANSRTSKTSTAYLLKSRQRFAIPKMTTNPGDYSSPDHCIADFCLIPIGTSSP SVSEAIADVERLVGNSGLKYLMHSCGTTVEGPWDMVFKLIGQAHSMLHKQGMVRVHTD IRVGSRVDKKETIEAKVKVVQDILARES UREG_03276 MTKPLWVDEPSTIGASRRGSGKVADSSRKSSGHHLCAIIKFAQV VGRGEASQLATKVTSAAGHDSRRAEDADWNRFSTTKMVKLEGVISLRCLFANPKSWSC SSIHDFYLLHGRAKFSIFHSKFQQRTASARQHVDIFDCERDHERDGKEDYTYNVAVTE LENQSIASTCPSEYPTESSPLFDSSVRSLRDPSEPISDFIGPLPRPSKFQLDPLRLEF ESDIGNSEKIGSKLLDDSRYKHDFGYWKELLDYRQRHYGDRGVVHIWKGLTERCAGLD LPVEGADADHLWKIFIAVAVKEERLMKEVQLYAEDLWTRTGKRWRHFYKEAVGAYFRQ GRSRKAAEWHIRLKQVHFRWPNEILTVLPQALSAEGGLQSFRNICRDVEGHKIYGSVV PLLWNQGRIRDALAMHDFLMSRGDGPGAISEIEPLLKYVELYGSEKQYSYFARELVKA GIMRNGSPSDTETAPSEADKQTDTNSNPSKKVVFNDEFGARLFATKALTFDLILAGLI MFGVETIGPLTLREMAIRTRSVEELVSQLVALKRAKISTGESVFSKVVVKLAFGKSTK LLEDVLESDQHPDVFEDMRIQESLLATYTLAEDWRQVNKTLAIMSAASNEDPQNHNVL FRNAVRVGNWDAAKQQFETMREQGVHLSKMTILWLAREILPWRRRSHRPRKDRASVDA LRRLIWIYQQVIISGGRVPPEAWTECIKRLGMYDLWDELEKLCFWIVPFYAPTRAARP GWVHSSKPPSLFSDGDAQSLERLLPTAHSSSPLRRIFSVRVQEAIIAWGFILRPHPDY RNRLLPNPFSRGEYLIPWVRGITLLRELRRKGVVVQTNTVKRACRARLATLFSNYRRS NRQRNRMLRRENPWSLNEILLDIRKAWGKPMFLEYGSDYRKLVNPRTHAVRPGRWTRR ATEDFENRRQAGFSHYEGPG UREG_03277 MSGLFQNILKGGSKDSTAGAKQDDTDFAEFAASPQPPVASVSTA PAPDSTVAAPVNTVPYTKWYRVWERTSPKDFLQEAFVLPFILFIVFFHVWGTRKNRRK AKAWAQAHIPVLHNEFAVVGYRGVRRGAPLKDMSSSKDLVIDDDLLKEKSPNEFTTYA TGRQNVAFVDVSIQLLKRYNPMYIVGDTIFGLFFDSLAPATEKMEITAYPFDGKEKDL VPAPPAEKEQLSKGNNSTYDGFVFAVAHKNAMRKLRQDRYDVSLTFTKDNPKLPGWVT VMSESAEITDNILTSELIKAIEQAGDLFEYLIITDQPVDKPTKIEEAVSNKRTILSIR VPSSTSSTAYASSLPLFHLFLRLTDRLASTGRLRQEVLRKLRTTREEELRKLRRVAEE EKAEERKLTAERLKKEGRDRMLRGMSAEEQRKYLEKEKEREAKKELKRHSRRA UREG_03278 MAFNFNWSPLMADAGFYTRAQDLLTAALNKSPKPPIIVDDIAVT ELNLGSIPPELEILEVGDLAEDRFRGIFKMSYSGDAFLTLKTRVQANPLNTFLITRPA YASPKPLAAASGLTIPLQITLSNFRLSGFVVLVFSKQKGITVVFRNDPLESLKVSSTF DSIPSVRDYLQREIEGQLRILFMDELPAIIHRLSLRLWVPEYRGLEAGIPETAIPSSL GPGEDTLLNPPKDPVDASGNLLSSAEIASLSLDSGVEMHSLFSQKNLLRLAALTNSQR TLSLFTPSIREVMFRARTGLADQGEGLGSGLMSPGSPALSRTHSHISSPLSSFQDSSS VLSLQQRSTTAASSFSGYGLSLGAGRHTKARPTKKRKKRVVDLRKQSKPTDPEITSAD GGYTETSTASTTFSSSTVPEEVNDDPVTPPLSPETTIRFPNRQHRFSTSEGKVIARAP AQQLTYSEPSHSALHTQTANPVPAVPLHAEGAAVPPQQALVFGDEHLGSREKSMEAQS SHGPNPGLSITDAAPNSSILEQAWMMKMGK UREG_03279 MIESQTFAHSVSPWLLKSPRKNQGRILRSKKVYGKRLDSANAVL ERDQLPLQRRNANAKIQAVDVTEVVAALQEKLAGFTLDEDLFISGERNMDPDIAREIE RALLEISGQPIQAVSKRAETKKSQEPAAEEHQDPVVIEPVKTKQKRIVSKKEAPKTQP PVPTENAITKYATPILEEAMSNKTVDNFDTWANRAGDMFDVEKISEGSYGEVYQLPVR TDYTKRELSQTNLMTLKEYDNGVFKIVPLRAQSGAGSKKFTSIQEVVAEVQMLKLLDP IPGFARFRDVHVHRDLHLGNICIKSTRPNESPDEPFKLPDNVGPGFGLSGMETTIIDY SLSRASINMHDTSMDEDTVWSDLDKKKLFDAIGQDDDEKLLRDTYRLMRREVYRDQDP GHSRSEPWRWKESNPRTNLIWLSFVLTMLLSKGQTDGILPVPQSHLTPVSPRSTNTGN EKQGENDDDTDSLDIQLELLDRLQTVLDVLNPEKEEEDVLLCAGDLVAFGIGSQWLVE SDFLC UREG_03280 MSDAEYQNLGFIGIGAMGRPMVENLAKKLPANSIISIYDVSEQV LDDVVAGNGGKIVKCLSARAVAERSDVIITMVPEGLHVRQVYLDRNIGICATDVSNKL LIDCSTIDTATSLAVKEHIFTHFPSASFYDAPVSGGVIGAKKGTIAFFLGCAEGDPNL PLLANLASMMGKQIIPCGGPSLGLAAKLSNNYLSGLIAIACSEAMNMGMRSGLDPRVL ANVYSAGTAQNTICDRFNPVPGVYPDSPSSHGYKEGFKVQLMKKDFGLAVDMATRVGA QLALGAAGLQTYTDASQDPRCKDLDSRVVYRFLGGVEDWKGRE UREG_03281 MGFIKSVAAAFAALSVVDAAQLLGASSKDIIPNSYIVVMKDSVS STEFDSHVSGVTNLHHEHLSKRGSTNFGGLKHMYSINGWQGYSGSFSRDTINEILKDD NVDYVEHDRRAKILGWASQPNAPSWGLGRVSHRERGNSTLVYDEMAGEGITFYGVDTG IDITHPDFGGRGVLGTNVVGGAHLDGHGHGTHTAGTVAGNAYGIAKKASIVSVKVLNN RGSGSWSGIIAGLNWCVTHARENNVLGKAVMNLSIGGGRMTSVNQAATNAANAGIFLA VAAGNCNTDARNISPASAENVCTVAASTEFDRKASFSNYGATIEIYAPGNNIISTVPG NRSRAMSGTSMAAPHVAGVAAAIMASQNIAPSEVCAHLAGMAEGKITNPGRSTTNKLL YNGSGE UREG_03282 MQLLRSSLLLLLPFVVANPIPQEDSDIIPGQYIITLKDGLSQAD VESHKTWVSSVHRSNLAATGRQGIQSGGIAKAFQIHDLNVYSGNFDEQTAEDIRRSPY VKSVTPDRKVYLAETVTQEDAIWNLGHMSSKGQPSTTYKYDSAAGEGVWAYVLDTGIH ISHEEFEGRAILGYNAVKNTPHEDRNGHVAKKATVVSAKAFDTGSSSYTYIFDAYNWI VKNITESRRQTKSVVNMSISSAKYQPFDDAVERAYRAGVVTVAAAGNDGRDASRNTPA SARNAITVGAFRADNTRSTFSNYGRVVDIFAPGELIKSSWPSPTNNLTNIASGTSAAA PHVVGLVAYLMSLETFTSPAAVARRVIQLAIPNLVKNPGTGSPNRLAYNGIQERR UREG_03283 MSTDPALTPLHQKLFEEGLKVRREVVGNEYVDRALAGGSTEFSR AGQELVTEFCWGAPELAVHIRGAINNGLTEVEIREAILHATTYCGVPAGVDAMKTAER VLNEMEEKGEHKRELGKRVA UREG_03284 MCYQSFDFNRQTWLLISCSDLLIRHERLSHNKFGPQKRGRGSRS HAAKVDTPASTFPETPQTSVTAFDNHHRASPAAPRPNIECQDDFPLATLSMAAEHVSL QLPYGTPSSHPAPNSPHVAFAQGGTMTTSEPPTQLDANIHTLELENSLDELSAFLDNG SLSSYHFSSLVSAEQPLPLFSPESVTHLPEIGHVEHSIFHYDHSHHHTDDPGSFAHFG SRLPSLQPEEQPPQIGRMHIPHRPLSEISLNDRKHILSKLNEFSSVIQPSFQLPSCLA LARYVAAYINGFHEHLPFLHIATMSITHCSVELILAIAAVGTQYCLEGEKGIDLFYAS HAIAMERIRRRDARRNAVHHPELPGPTPPVDGLGQNLNSCHSQPLSAQPGSGSIKEAL SDTVAEEEDLIQTIQALLILMAMATWAKQKEILREALGLQSILATLVRDHGLQGEAMP DNVSWEEWVRVETAKRTKFIVYCFFNLHCIVYNIPSLILNSEVHLLLPSGSAEFKAPT GSLWLEAKQKASPEVNFQDALNRLFSRGANDVSEPNSSLGNYILIHAIIQHIFFLRQV ARGRFDGKRDMAYEDVCALERALRNWQIGWKRHPESSLDPQDPNGPVAFNSTALLRLA YIRLNIDIGPGRALDTRDPMQIAKAFRASPPIQRTPKLVRAVLHSAHALSIPVKIGIR LVAQTQTFIWSIQHSICSLECAFLLSKWLEALSMPNPNPPISDDERRIAALVKMMLDE TEFPVPADLAMGTQELNQHLNAGILRVWAQIFKGSQTWAIVGVIGNALNICADLAQQG UREG_03285 MATVFVGYIFAVILTLILTLFSSLLSPSPPKATNPDKSQQISTM VNWGAMRAVAWVVAAGVVVVALAVYCPMQVQLYRWARRDQQDQLQQTAADPQQTITGK IGGCTPYSLILCGGGHFPDTMCTRAEPAALYKAIAPAAPRKSNGCKQVTEVWRPQVFV LQELEIAQRPGVDWVFVVPIVNSFAHSKVPAHKEKSYQAPAIEIHLCFASLAFVPIVV AFEKELCNRFIPRGHMWAQRDIQTLAYIGKIAARR UREG_03286 MLRSRLERFFEPRRSYEQLGGEDSEQDEIEGNAVREEPASSPFS WLEYGIFLWMGVSMLWAWNMFLAAAPYFQRRFESNSWIETNFQSSILSVSCITNLSTV LALAKLQKNASYPWRIRASILLNIVVFSFLALSTVLFRNVAVWMYFVFTLVMVFAGSL ATGTNQNGVFAYVSSFGRSEYTQAIMVGHGVAGVLPCIVQMITVLVIPDTSDAVDQET VQYQSAKSAFVYFATATGVSALALLAFFYLDGSRKTIALEESDADVPVKQSIPLRTLF RKVRFTAYALFMCFTVTMVFPVFTAKIHSVWKSDDPPPRILQPAAFVPLGFLCWNIGD LLGRMSAGMPLLARLIRRPFLLFMFSLARVLFVPLYLMCNIRGEGAKIQSDFFYLFVV QFLFGVTNGALGALCMVGAVRWVSEEEREATGAFMSMMLVAGLTAGSLLSFLVAKL UREG_03287 MEDSKLQPQSSSHLSKFLLVIPAAQQADDVHFRNLCQRPITRAA FEIAQIDRNVKRTPFIRGYGLQRTLISAASFVVVRNKFESLSLTQNGLKQICWFSAAR SVRKQERSPTPKDFNNGRNESENTVSSLQDDLHKGFERSEKASQAAQINLSARLAKDG LAHGKKAGVREIWRLIKIARPEAKILSVAFVLLILSSSVSMSIPFSIGKILDIATNPD SNQLFGLSLPWFYGALAGVLTLGAAASYGRIIILRIVGERIVARLRSRLFRQTYVQDA EFFDANRVGDLISRLSSDTIIVGKSITQNLSDGLRAAVSGAAGFGMMAYVSLKLSGIL ALLFPPVAIAAFFYGRAIRNLSRKIQKNLGTLTKIAEERLGNVRTSQSFAGERLEVSR YNHQVKRIFELGKRESFISATFFSATGFTGNMTILALLYVGGGMVQSGGITIGELTSF LMYTVYAGSSMFGLSSFYSELMKGVGAASRLFELQDRKPTISPTVGSKVKSAQGPIKF ENVRFSYPTRPAVTIFKDLDFEVPQGANVPLLRTYLMESQRATRAEIIAAARKANCQF IGDFPDGLDTHVGARGTQLSGGQKQRIAIARALIKDPDILILDEATSALDAESETLVN SALAALFRGSNTTISIAHRLSTIKRSDTIIVLDSEGRVAEQGSYKDLSSRPDGAFMKL MEWQISGGESGMTPVAHYEGSTVRGPPSEAEELQQMLQDGEDEEYDESGDVEEEDIQA KKLGPAESDEEVGERASDTVKR UREG_03288 MVLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQHQIHQQRLQ RRNEIATLKYERIINDGLLQRIDSLLSALRKHEDSSRHPDELVFQALIESAGDPEKDQ PPAPPEGVHTQEKETPKYSQMMGSLVDQVKNELDQAKPENRFQAFIAGVEGHRAKVLN LQQELLVKLAQLEKEESSKITSDSIHTGFDSSYISKPRAESKPKKTEPQVELLNSGLN KNEVGAVSSGAEADEEPEPGDEGDTSVSPLAKKFARLKYGDYTGTLQFITEHPDIVAE RKTDELLMEAFNAQIAGDEDYARQCVHQGLLLQYCRSLGPDGVSLFFKRSPRKRSLPA TMSTTPTTRSKCAPPELHKQPAGVEQIQLHAVDPSTKINISIPPADSTDPQHIAARET FERFPPGLQRALQSESLDEVNKVLGKMSVDEAEEVVEKLGESGILSLQEGILDATTEE GKKRLEEIEEESKREVENQEVVGDPE UREG_03289 MAQVARSRRRNLVLLVAGVLLFLIFHRTYAPGSLRQTGGPSTAD HGRFWVKFHDILKVTAPDCNSPKRLEDHPTAIGFKPDEADSVTLPEHIVVEEEDKEKL KKAHSHFMSLLSAPNAPRLAYKPRTRGIVSTAGGSFLPVVVTSLHMLRQTGSDLPVEI FIADPSEHDEYICNSLFPSLNARCITLSEILDHSPLAAGLKKYQFKIFSLLFSSFEEV MFLDADAFPMHDPGRLFAAEPFSSHGLVTWPDFWQVTYHKSFFENHVAAHSPKVSRTP LPSLKSHAKMLLLSAYYNFYGPSHYYPLLSQGHPGEGDKETFLAPARILNLPFYAVST GPGVFGYKKPDGGWEGGVIMQADPIWDSKLQKDQSFGWGGKPNAPPNSFITCHANLPK LEPVRVFGDKGLAWTEDNKPKRMWGPAEEMIGRVGHDIEKDLWKALASTACVLEGKYK PWDGKPKLCEKIMNFISDMNGK UREG_03290 MHGSKANSWDEHWLHAVPAAPNPRANAPSEPTEIRGRATSREKS GPHARKLARFAGPNETGHFIAEQQAHYVWRTSVPSVTRFGSRPWVHPESSESGRVHLR GPTDGLSRCAEETRPLARTAYLDGIRGLAALGVVVLHMVLGFFPNSSYAYNGTPGRDS IFQMPFVRLLYTGQPTIFFLISGYVLSIGPIKKSRNQAWGPLHQDLSSKIFRRIFRLY IPSIIATFFPMFMVSFGLFPGVVKFNDEMPHHEFNMAPREATFFRQFWLWCKTIPPLF WPFDWENFSQNSPYAYQLWTIAVEYRCSMILFLLLIGLSRCQSKTRLTINSLFALYFL ALDKWDVFLFVAGAIIAEVDIIREEAAESQLPKSETGESKPVASRQKSPKWLLVAGVI LGLWILSVPAADIWKSWTFTILETWAPKNYKGIFRFWDAVGTVILIWSITGLPSIQRF FTRRIFLYLGNISFSLYLTHTIALKFLLYPIMPWLYTIFGYQTDFQYGLSFGIGGTLT VLLSFWLADVFQRHVEEPSARFTSWIDTKCSKG UREG_03291 MPPKKRDDNWIDGLRGVASFTVVTGHLCTAFVPYLHSPAISENG PMILFQLPFFRLCVGGRGAVAVFFIITGFVNSINPVKNARNNNTQVALTNLARSAFTR SGRLVLPTSIATIIGWFLCQIGAFKLAKRTDAGWISVGGHDIDPFGVSLMKLLRSLTL FWHSGGGEYDGTNWTLVYFLQGSFRVYLALLAMTLLTPRFWRIITAFLYAYAWVTGDY LVGINLYAGIMLAQLQVDYGARATSLVPKFVPSMLIILGLFLWGYPQDNPHWAPWSKI LRDSFVAVTPAYTDTSRYCVSIGITVLMLGIFFSKNARKFFTSPLLNFLGRVSFPVYL LHNTVIRTILVLMVYGPSASKTPEKDEQGKPITLKRISPMGFLFVIPAFYAILYLIAY LWTIYVDPLCARIVDGMKDRMFVEELKPQEKSVSVTPLAPLTHVT UREG_03292 MVNPRRRLPAWYYRLVALTIREARDVSAQDFDEDLSSLSSAPSH SSVSGLSRFSIDSESDDEEDGEIYQDDTVSSRSYNGEDADYYYELKAERKARKRELKA IREYDQQSKDSERAFDQGKEKEVQEMYDSLQNAEKQSKTPLGSIVGRFYLHSSNHVDY CPGSDRFPSKYVEFYHLDKDGAPSRHGRQMEGYISINPATDCYFGPFSPPKHTGLEEF QLTSNCRKHNLVFQFLSHDYIKLKVPCEVAFKRGETPTDAPEVFEFAGIRHDFEKAKE ERKRKRSPSPRETYFEMNHPQGWWNQNRGWP UREG_03293 MADRFPSLDELGDQPLPTTSDGPPPTGDGDDFLARERAALGDDA DQFVSANDHIKSPTVEDDGDDLLGDGEFQQGDADTAGFESSFPALESQNEQVAPGGTI TGTDTFQQSYSTYQEPEEEAEAVREWRTKRDEEIARRAAVSSERKAATIKKAQEDIDD YYESYNKRTDKAKERTRTEAEDFLGKPGRHSGLAGTSWNVLPN UREG_03294 MAYTDDAVKAKLSALNETQESIVTVAQWVMFHRLRLGFPEVAQQ SKARRKEDFLIAFSPIIADATATAFRGAPSEIQQKLRRVVEVWRQRRIFETPIQDAIE ARVEDVDKSRNSGKKQLLGGSLFSSSSGSVPTELQPLAPLQVAVSKSAVSSNTAVTTA NAEYDKMNDPTATVPTPPVHAARLSQLLKSLASAESSVSEIIKSRQNLIEGLEKLLDT NRAALTTEKAQFEKISARKTETENKKREVEDAIMRGLAAETPQGNGDGTWMGPGHGTG ANEPEAPAVEELTPPPVEALTPVGSPLLNPVAETQQEQYESTEALPVQVPTRDPRQLP VMDLPVVTSNSSTGHDPFTSGSGSKKRKVTHSGDDFAATFEGADAMADLDADVAELLR QESDKY UREG_03295 MWRDRTNLYISYRQSFSHHPAKKPRYIGSSWNDDNSEERRGLIS GAGAGFEDDGDAVIEMDLLPPRWLDVQDEVTELLRDIAHKSSQLDRLHQKHVLPSFGD EDVRREEEGVIERLTQEITRAFHSCQRNIQKIETMVRDARQAGTVSRGEETMAKNLQI SMAAKVQEASASFRKKQSTYLKSTCLLQFPILEPSDFANVFASELRGLDGLSSPLDRS PTPILQQQNPYIDPSLLESDADKSYSQSTLLQTSQHQQQQRQLGRSNDAVILQREREI NDIAKGIIELSDIFRDLQTMIIDQGTMLDRIDYNVERMTVDVKAADRELTVATGYQKR TTKRKILLLLLLLVVGMFILLLVKPKRHNSAPQPPPSSNPPPAIVQEIEVRPPDRGPR QGRRGRRKKPSLGLETRSADDAVNDFSHDIWRDPLSRKM UREG_03296 MARKMKDTDSEEEIREAFKVFDRDNNGFISAAELRHVMTSIGEK LTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK UREG_03297 MPITGVFFIPANPKLVHCCYYRRWGLEHKLLRDTPSCLPPSAYA PLPQLQPRYMHFLSLSHFQSHGFVYISNRPSPDPWGSPGPHHTHPGIPAPSAQQQVPA PQPQPINEKDGQQPSRFPPSSPWTMITPDPASFNSFFAMTLRACEPLWCHRHTTIVSG GTVFEVGDFRVRVGDVRQAQPAQRVRGCIVEIEYRGPGQTSISADGDWRLSAFESGTE EPLAMGDGFSELSNTMVDGEEDLPTEEDWDVGEKLIREFWSGFAVPGAREAIRVPGLM TEVNKARHKGMKRVTTADAGPSNTGIKGADLARQYMELLRFNR UREG_03298 MLRDSSIDHSTHVFGKDAVRYQHGRERRIVVAHGLNTMFGIGSG RAGTVQFVLLWHGDAEETMAKVMARKREKASKYGDRYRFPSPDGKLMFSSVRRSEGQA RTIRFVKTKNLGSGQFGEVFKALDVDTGKLMAVKQLKTGKVATEAERLKKLQQYQLLE REMHAIRQARHPNIIEYLGSQGWGGGNVKIFTSLKDGDLVSLIQAGEDAEQVARRVLC HMLRALDYLACIEIIHRDVKPDNILYTFEPNGRAVFILTDFGCSSRANTAKSIIGTRI YMAPEMSMGGLQTPKVDVWSLFVTMMWILDVHGFRALERRITCAVEIQQIVAFAAATP WLSGIQEMAAPDPLHRASAAQMLVGLYGGKGLTTPVDRIPPMLGRRRGNHHFVF UREG_03299 MANSTVTTSIPTAIPSANVDVTRKDRSNVPVSITSHPDNPIPEE PSAVPHLTLLHPDSMNSLGSQSSLPSFTTGSEVSSDSEAPSTPATEVLPDDIADRVDK LDIQGSNGPSQPKLATHRRRASTVLISQNSEDVQKILGNVGAGTRRVEKLCCGGGCCK LQPLKRPVSVENPVITPKNDTFDSLQLYLGTLSHGSDLTNIAELPEKTVSFSPVPTEV VGNQLGPSDHPPSFVQPHPPYEVYRAPLHHSRELTKSGAEKRTFHFDIDITDYPTEGG DVDFVVGGAIGICPKNDDEVVEDIFNCLGVPKTVRDKKVMLRTTKGRWPTVWGDDQPR ELVTTRRELLSWCTDFQSYPPTKPLLRLLAEYASDRDEKKILMYLCSAQGQGSFCDLR TSQYITVSQLLHAFPSSQPPLDHLLSVLNPLMPRFYSLSQDPLLSQTVNDGKRRRLIE IAVTVHDCPDWRGGKRTGVGSGFLQRIAQKVIDAENSGVDVGSLDLHIPMFRGLMANP LAREFVLDGPMLLIGAGVGVAPFRGFVQRRLKSANCANKVWVLQGIRDSLLDELYSGE WGVHEETVKKVVQSRRGEGRYVQEEVRNQADLVWYVINALDGRVFVCGSSKGMGEGVE AALVDVAMAKGNLNREEAQQFWEGKKSAGQYIAETW UREG_03300 MAALRSLSALLQQSSVVDHDEIIKACNLILKKSKTDLEAQHVKT VALLKQDRYDDAIRVIEDGGDALKQRAPLEWAYALYKTGRLDEAIEAATNSGKGRGAK HVEAQAAYRVEHFQRTKAIYAELYGDQGASAQEHTDLRINMTATEAQQQWAGHRGSTQ RPKPSVDDLQVFETTYNIACEYIARNEFDQARLLLGRAKELCKSSEELSPEDKKAELL PISVQQLYVELRQGNLDEAAILVEEINTSEISERSTKKIGQNNILLAAHQDSNPYLQY KLFHETPQSTQSDKLFGYQSATLNQNTNTIELLVHKYDGVVRSTSRTLSQQSFPTTSK DINALSVFNVAAHTQNQTGRAALKQTLSLLEKRPHDVGLILLAIQLYIDGGNVSSAVS VLESFLKQLDESISESEQEVRYNPGLISILIALYKLQGRKRQINLELSKAATYWRQRS QQDQPLSLLRAAASSLLQSQDSPDLHNAAEIFETLHAIDPTDPIVKAGYVASHAVTSP SKVQTDIPALAPIQNLVSGIDVAALEAAGIPSATTATTSTSLKRKAEDDKQQQTAPKK KRIRKSRLPKDYDPDKKPDPERWLPLRDRSTYRPKGKKGKQRAADRTQGGIANDQETG TPSAGVVQQKSQGGGGGKKKKGKGKR UREG_03301 MKYRATRLEDLIRIRIDPSLPLAKPDTSIIDISLLGTVYTVDLA IQHFRRQRKSLILKASMSSYLDHRKGLLPVKIWGERVDALAQAHPMAPPDSSQHGISL EDACAAVLKIASDRSVNRPSLGVFQKDDCAGLELEQDDRPGGSILQKLQDMANPLEER NADTFLQAARAKGMN UREG_03302 MAVSYSSGHPPGHAPKLPSFRELLPDYLHDEIDSASYHLHAGSN HQGSHLPPVPGRDLASKRGSGSRHHPGSVSRGQDYDSPHVRHSSYLEGQSRYHSHHLP QERQYYDDRMPLTPTSRSSRHLDPSDHANYLPPLRNYEPVTGPISSSARRHEERGYDL AYDTERAGYASSRSSTIGSMAHGTAGPYPSAYNSPAHGSYQSYYGGEHESAAQSHFTD AKSTKYDSFGDSADSKSKKRRGNLPKPTTDILRAWFYEHLDHPYPSEQDKQMFITRTG LTISQISNWFINARRRHLPALRNQGRAPESERVRPSSAMSEDDPDYDTSPSRR UREG_03303 MVKSQMDNLAILPAPMTYTQTPSSFSRSGSACGDGNLDKFTEKP QYRTPVHVPEPPANFKKDAQLPIVDVKPQVIGQAGRSLIHDQMFYDSAAPVPMQRPQL TIDFDRDFVLNDLKELRGQSCIIDWPTIASQLDVSHKKTAIARYRHVLQRYRKEKDNH FQDK UREG_03304 MAKEHRRSENMVERYGSETLELRLREEDLKLQKNTSPLFYSPGL GVRRLELFTWEVVSTARRFDMTGSNGSTMNLA UREG_03305 MAQRYRHYCGVDLWESPRRQNAGHNIDGIDLDYPLELQKGRAGP MSWRRVIPKFVNRRGRGLRDKDSVATVDIIKLG UREG_03306 MSIPTSLHQRRIHLPSITLSPPYAAFLSLVCPLLCCLSLWILRI LCQLSCLSSAFFDRNYEWPTVLRTAISKSGSTALSDRSEPAATTVVAAGASMDVLLSL PPLPPKHAISKVPAPIRVSHSAINSLNIKQGYQPPPSYGQQTRLWTATNTNPQGSSSN HRMEIKNMEPPLHKTLMGSLPQQSPYGQPYPQQQPQPYYGQPPQQGQYPPQSPHPPQG QGYPPQGASGYPPQGSPYPGAPQYGAPPAQPPTPQQLGAYKQSLLATINEKGLQNMYP ANSPVLDQIVGRITNQIDQLCTTWRVPREVGQDIVKLALFDVILYIDDSGSMQFEENG ERIKDLKLILSRVAFAASLFDDDGIQVRFMNSNEQGDGIRSEGQVEALIQRIQFKGLT PMGTSLRNKVLEPLVVGPARAGQLRKPVLIITITDGQPAGEPQGAVFDAIRYASSELQ KNPRYGRGAVSFQFAQVGNDLKAREFLSKIDEEPGIGELVDCTSNFEVEQDEMSRANP PVDLTPDLWLAKMILGAIDSSYDTRDEKANRPSGPPQGAYGAPPQGQYGAPPPGQYGA PPPQQGYPPYQQQGYGQPQGGYGQPQQGYGQPPQGGYPPQGGYGGPPPPQRY UREG_03307 MAPLRTEARPLSFFRARPQKFPETVGAALPPDEPIDEEICPGYD SHLYYPVKPGSIFNNRYLPLVKIGWGGSSTVWLAQDLARYRWQQDRFVTLKINNANSK EAAHEVDIEKYVAEKAPHHPSMQFIRTHVDSFVLSSSGGDHLCLVYDTMRKPAWLFRQ RLKGDLKLDNILVTFENEDVLKNFVKAQRSLPMGQKVDGTGRTVYLSHNNFGDLHEAK CLPKITDFGSACRIQPHEHMLHPIQPDVYRAPEVLLGCGWTTKADIWNLGVMLWNFAQ IEDLFRHVHDENSKYSAKAHIAEMIGLLGPPPQKIVTMSLERSQWSWGIEILNSEGKL CQNARDYFGGPFLNDNGKFRYPDLVPKMALEDTLPPWGDEGKREFLTFVKKLLTWDPE ERKSAQELMKDPFEIIMRG UREG_03308 MHRDILSLLAATSLCSAVPPPEKPCNPPATYPLTHNSSAIAHDP DILKHEDYYYLLGSGPGIRFSRARSLNGPWEDLGAILDKPSIIPKGDRAKPWAPSSGS NDSAIGVATSKQMKPGSWTDHGALVHTGTGPGSQREPFKGSNAIDPQVFIDPKDGQAY LNYGSYFSGLWQVPLSDDLMSLKYPDKVDGRHLVNKPTGVEENSFITFKDGFYYLWFS YGQCCNFDPGNLPEDGKEYSIRVGRSKNVRGPFVDKCSRELTSGGGHVVYGSNHNKQV YAPGGQGVLPGDPQDILYYHCLNTSVGLEYLDAYMGWSYLEYVDGWPVATSDPV UREG_03309 MVPNGFPRTTLQRRRALWSASGSMFAAYLSALRRDSLAKFAVRV ITRPSIASFPKGAVASNPTE UREG_03310 MAAEPEYVPRSTETVYHIAREVVQLLKQSGETLAVSESLTGGGV MATLTSVEGCSAVFRGGVVSYATPVKQHLLKVDGDLIAEHGVIHADVAAQMAAGARTV TTHQDMAPTSWGIGTTGVAGPDPQDGKPVGMVFIGVASPSGSKGFGPFHFPGSRERVR EATVGEALSLLRQELLRAKLQN UREG_03311 MRDREHAVDYLDFWIDVAQHETLCRQYAQDLLRRDPPEGPLAEG DNGNASNDSESRRFFAKSDLQNSAMKLLYTYLLRGSERHIALPPSITDEVSKAIEQEG RDDPGVFAQAKEYVLQAMERDSYPRFLQHQALGNIVLANIKIRMTVGLLSTLGGFWAS FMLAILGYSRPVRCWVRPPIPTAF UREG_03312 MDSAGSTPHNSTVKWVPSPQARGTWDLLYSCTFTLVLCIATALH LNVPKPGQSDRKHLLRKIKWMACGLFAPEIVLYVAISQWWVARLVRDDANTPNLSYFK GAGREDGGLDNRPIATLELENTDLEMQRIDRDSGKQSQAVTKSQELKNRTWSLVEGFF VVMGGVAFDASEFSDYHKRLLVTPTGMRLLNCLGCAPKITREAIEDKSKGDILAKGLV IFQTAWLVLQCIARKVNALPISLLEIHTMVHVVCALMMYSFWFKKPLEVRDPLDLYAE EYKGILAYMAMLTPGLGFIHEHRDAAESSWLIYEPATIRSERAPAPSNEIVMLHSRRA ADEALNLSTQEPSPRAPVSLVETETNPGLQLRKNEIDSYSRFGFKDYIFPSGDVPRKM SEKDVRRWELAASAIRRYPQLKEIARFGREFFTDEDRDFVAEYLPNIQFSASAGAAGQ RVQLFAVSFLAVCYGAVHMSTLNYDFPTATEGVLWYGSCLFIMTFSAVLVAWWQHPNT STRMKKVWDPVISLGQIILIHYIAARGFIVIEAFISLRKSDADLYKTVRWADYIPHL UREG_03313 MDPATYVDPSLTRQDLLVLKSLLRDINEAKNPTAQPFLNGHGTT NRHTKSTIKPPPRTLDGPVADVPLNGSSAADEAAIRTLDALNDPTHRDFEPTVFFFWD LKDLKRFPLVHGYLIQPYIKVASKIVRVETDIVMLTHLLLYFATSVPSAIYLYYNYHW LHGVVHWLMQAYYAATYTLMMHQHIHMNGVLAKKYGWFDALFPYITNPLMGHTWNSYY YHHVKHHHVEGNGPEDLSSTIYYQRDELFDFLCYVTRFFFLIWLELPLYFVRKGKLAL GAKAAFWEVANYIFLYLLTRLHWRATLFVFLLPFLQLRIALMIGNWGQHAFVDEADPT SDFRSSITLIDVASNRHCYNDGYHTSHHLNPRRHWRDHPAAFLKQKARYGAEHALVFR NIDYFMITVKLLQKDYMHLAKCLVPLGDQMELNFDQIANMLRTKTRRFTQAEIRKKFG PQDN UREG_03314 MAADDDRARDGRLAPQGPRRQSSGSPLRISTSGDDDNGGAEEER ILLQDQSEQPPAEQTGNDMIQGLDGDQGRRPSEVDTSTLRRPSQQRVRFSTDIERGSE SDSHQDTLGDLGERPVSRSSRIAASGLTVETGHPPLSSQAARLSPSGNSSPVVSPSSN NSPISPPVPSPASRSRGYSLRRTLFNRNLHQTNSPVNDTSIELGQNATDRRNATVTHS RSDSGSSKGEMVEIGEVSSSNKDDDSAFASERTDTLKGVRTYRASRSYGLAKKSFTQW ILSLLESIGVVFREHVLRIKPIPPSKDGRHIDLDAHRTEPLIDERTGKHYISNYIRSS RYSLWSFFPKQFIAQFSKLANFYFLVIAILQMIPGLSTTGTFTTFVPLMIFVGISMGK EGFDDFRRYRLDKEENNRLALVLRPNRQNGITSDPLLGSSQPISEEADVWAPTKWIDI QVGDVIRLERDQPAPADLVLLHAEEPNGIAYIETMALDGETNLKSKKPCIPVAKTCAT IEDITANKSTHFAVEDPNIDLYKFDGNVTVAGEKLPLTNNEVIYRGSVLRNTHEAIGM VIYTGEECKIRMNANKNPRIKAPALQAMVNRVVAVIVLFVVVLSSACTIAYRFWSQDV ESKSWYLEQAMVSYGPVFTSFLIMFNTLIPISLYVSLEIVKVAQMFLLNDIDMYDEDS NTPLEARTSTINEELGQVSYIFSDKTGTLTNNSMRFRKMSVAGTAWLHDTDLNEDGEG KMLLHKKRKGKKATGRKSIADEARRGSRLSRLSNVSNLKDRTGVSPSRWRSNRRNRQY HVTPAGEDPAFRAIQRSAVRESIDVWLRDRETDGGMDLRQDGNENYSPRPSAQFGGRA SFAYSDGRPSFQTDDGEDLVEEALVVNEAQVFERCFQHLNDFATEGLRTLLYGYRYLT EAEYKEWKAQYMEAVTSLSNRQEKIEEVGEQIENQFELLGATAIEDKLQKGVPEAIDK LRRANIKLWMLTGDKRETALNIGHSCRLVKDYSSVIIVDDEAGKVEETIRSTVFDIQN GNVAHSVVVVDGQTLSAIEADPTLEALFFDLAILADSVICCRASPKQKAFLVRAIRKR VNKSITLAIGDGANDIAMIQEAHVGIGITGKEGLQAARISDYSIAQFRFLLKLLLVHG RWNYIRVCKYTLGTFWKETLFYLTQALYQRWNGYTGTSLYEPWSLSMFNTLFTSLPVI FLGIFEKDLAASTLLAVPELYTKGQLRKGFNIKIYLGWAFMGSCEAMIVYFVMYGLFG DAIITKDNGVFALGLLTYSACVTIISAKLQALEIHNHSVMAAISTVLSVGGWFLWNLI LSDRYQIDEIYNVRDNFIHRIGRDFLWWTTLFLSIVAVILFEIGVSTVRTTLFPTDVD MFQEYEQDLEIRKRFEEAAAMELQQGWDRGTKKSSAELQREAAIEAARELQVQELLDR RTNDADTVIKGEAVATATLRPNDETEDVSLVDGERRQSSSAAGPRRSLDIHEMFSKGF GSIRKGPDLR UREG_03315 MANAPANSNALGGSASAQQQSRSTRRPPRKSTLTQQQKNHKRQR ATQDQLVTLEMEFNKNPTPTAAVRERIAEEINMTERSVQIWFQNRRAKIKMIAKRGIE TGEDCDSVPESMRQYLALHWDPSKSRSLFNRGYGAGDPMLNAPTSSGKIVISHISCRS LRIGTWRRVGQNTMDLVIFYSPDKSCMTYYINNDSAGYKIEYPFSHIKNIALEPTEPG PNVDGAPPKFGGIVIELNRPPNFYMDSSNSGGFYQCGDFTEDQQATKSLVHHLGGGHP KVLSVQLAKLVSLESFQNRHIHFGFNGFASAPVSPHIIHRPASQPNQFARRQSGIYQE NHFGMSLHPPRGHKRQRSRSVPVPVDFSALQTPMPPFHVQRLRLSFQPNPNILAPVPQ THLPVNGVTGALQVDTSPGYNLDFRSYPMSATTGSPSEFASPSLFATTSPPGATTTGS LGSPADMFPVSNDPATSLPDDGLLLSEMYSKQNLNISMPSPPMDDTTFAMTLQGLHDQ GTPDNGVDFQGMLAFETVDPMSLGAES UREG_03316 MGKLTSTIGIPIKLLNEAQGHVVTLEITSGQVYRGKLLEAEDNM NVQLKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRTRGQRGRGVGLAR GRATVNRARGQRRG UREG_03317 MSSTPTQATAPGQKNGARISNPKRLLILTPHTHSENTVPPFLHR LTGTPISPHLPTEPPSKDDGSPESQNQPAGTFAGYTTHAPLQLSTKYYTADIPIWVDE IPMAASALPLSSNSQPKAEQEHTTPSAWSTTFLAPQAAEVRSAIGAMLILLQKPVTVS PAIPAGEAIEERGRVCEAAAALKTVIEEERGEGGTGEVPALLVLVGSGDKEVRKILGE DFEGGYGGAGEEMGLGEGDEYGVRWWDEELAAMGIYDFEVVGWDLAVAEAEQEKRRNE FGELEGMPRIREVLEANEWTMFASHEDLANPASGSLDDFAEEGLDIEVGELEREMFGL RMAIEKGEGDGTEGEEDDFDEDDLAVEQLEGLMLRVQAIRDMGADLPESERKRFASKA IRDIMKDL UREG_03318 MSAKPPASSVPTKTASTGTRGYLFLYNAVSFTLWSIITLRLFLL LCLLVPTGHLSAIYNALFPLLKLTQSLAMLEVLHSLTGIVRAPVMTTLMQVASRVVVV WGVMWMFAEARVGRELGILGGKSADGGRLGDWGFVGV UREG_03319 MDEPMDHAMGEAEADAQPPATNSTQSLPLKKRMAFEESNPVRDT KRIKTSATIWRVAFPEKPAVLEEQNGEIEFRVVNNDGSRTSTIILTGLKCLFQKQLPK MPKDYIARLVYDRAHLSIAIVKMPLEVIGGITFREFRHRKFAEIVFCAVSSDQQVKGY GAHLMAHLKDYVKATSPVMHFLTYADNYATGYFQKQGFTKDISLDKSIWMGYIKDYEG GTLMQCSMVPRIRYLEVGRMLLKQKATVQAKMHLLSSNHIVHPPPPQWANVDKDEVPD YYKVITSPMDLSTVEEKLERDDYAAPKELVHDLKLIFSNCRQYNDATTVYAKCAVKLE KYMWSLIKEIPEWYALVEDA UREG_03320 MPKTNIVNKPLNPELKEKDINRKLQIYGIYSAFKNGKVPSNKQI DIALNSALESKPFARPSNRLSEDGRIVAQDISNVITSARNLVLVKNQGNLLQEFIWDA EHLQRPDVGTTEKPVQREGAKQDGQQVAEGLKTLGTLLVTNGEFRKLLNDATLLLRDM ASDSAQKAANIMRPPEEQLSQIDKPAAENVWHEKPDMSKDAVRSRMKSKTQKDKGVDG TAGTAHTNGAAAQTNGTVNGEVTEEAKTRKNEFTEKTKQFLASKMPKERREQTIWRVK KMIVEIQGHSDFLTGNVTTDQQAIETLLSIAETYTGHTKNLSQQGAGNLKGARDESPG IKKLETNLRILIERCANSTSLDDLFDAINKIYRDADNDPRLREWFRSVNTFIRRCLQE QGFVLQDESNEEWSRLYDEGQYLFRDRYREDTNRVIGEMKFIGEQFDQDPLNKRLSQS LQKLFIDLGTDMQGRVVFKKHLLRDFTNVVLPGIFESLHYIPIPRIEVSDPMVDMVVE NLAVETDNLIPNVLEFGSDNYWRWGRKKISNKHDNKVMISATGVQTDLKDVSYYIRKK KGFPRISDSGIMDIYLGGEGFSFRIAGSTSQTHDRQHFIKPEIVDVTIKNLDVKLKKS KHKFLFTMFRPLLFKVMRPAIQKALEKQIRDSFTKGDAFAYDIYMEAQRTREAARADA EDKRSMYAHYIAATRKKMAERKEQAQKKAEATAKRDTKVNMAVTQHDSIFQGISLPGG ISTKATEYKELAAKGDRWESPVFGIGSASETTNLPQLPPTTRKPHQIVVGSREDDAAQ RRKREEQMYQRDRTAASRDYGEPVGFASQPPTTYPSVPISQPVQPVTHAVPSVPGSAL VDETARGERTGGTGTTGMLNGPHTIPGTRAPI UREG_03321 MGPRRQTRLNFTPLPPSSPGPTHSSAQGTDRFANIRYAPTSSSP LGSKTGVKREPLSSSPIPSRPIKQETSSGDEGEDDDEPVSLVTPAFRRRHTLSRRARV EAPSADEDEEEDDAVRVPASSRRTAKRPIFNDEETEDSDSDDIIGASPSKPTTKRRTR GSAAESVAKLKRKEQLEALRRRRAGERPKSLSPSESESSEDEVDRSLSISSDEDLPED SDIEPAAPVDLDQYEDDFVLHDDDAELGAPADLVDMPFEFTRHRYKRLRDHFSDVVEW MVHNKLNPAFPREDPVYTVAFDKVNDEVMGVTGSQLVSSVWRPDFIRALEARPEIQVL PSIEVLSGLCDACNRSKHPAKFDVRFTGKPYSLATLEPIYDEEDSVTSDDVEEDDGPV DKDNINSQGHRIPDQSTHFYLGSVCKSNATMAHALIHWRFHLNEWVIGYLERKGVFSG EKILERERWSVRKRTRYANQVMDEMKALETDRLWRDFNLNLKTAKDQRVERRWR UREG_03322 MVKLTEVEDEHFKEKPTTTKNDALLLSDDEDEDYTDTESEISTD SYATLEEETLFERIAALRDIIPPSSRRKISSAVSCLTSFTKSTFSFGGKTLWVVSTSA FLLGVPWALALAEEQQYVQMEREQDMIKGANEMLAPGTTSALPSPPSEARPAL UREG_03323 MAEDYVPDIPIPPPKNRGYLEPFVIPPRRPHTHSIILLHGRGGN GRDFGVELLTTKLLSSGTLTQCFPSTKFIFPTAKLRRSTQFKRIPIAQWFDLTNLGTE NERRDIQHEGLRESSQFIHRLIDEEAALVGIRNVVVGGLSQGAAQALHVLMSYDDGGK GGLGGYVGMSGWLPFQKEMAELANEPSQNGDGVQPEDLFERDADASRQQNRFDDRNIA LGVRAVDFSRQCVLDIEPLPPRDTPPGCLSTPIWLAHGAMDEKVRPQLGELAAQTMEK LGWDVTWMLYDDLEHWFAPYELDDMAIFLNTRAGVPED UREG_03324 MRRFALTPASLRPLTRSNPAQRFNRPWASRLTQAKAASTQTSKD PVELDQITTLSNGLRVATESLPGPFAGIGVYIDAGSRYENESLRGVSHIVDRLAFKST TKRTGDQMLEALESLGGNIQCASSRESLMYQSATFNSAVPTTLGLLAETIRQPQITDE EVRMQLEVAEYEIRELWAKPEMILPELVNMAAYKDNTLGNPLLCPKERLEQIDRTTVQ KYRDVFFGPERMVVAFAGVPHGEAVRLTEMYFGDMQRKSAVADSEAKVNGFPDERVPT IPAFSPTSTTPAETTPASSTSSSPGVFSKIPFFKNLSTSAASHASVSPLSPDILKPDS LNLTQPSHYTGGFLSLPPIPPPPNPSLPRLSHIHLAFEALPISSPDIYALATLQTLLG GGGSFSAGGPGKGMYSRLYTNVLNQHGWVESCMAFNYSYTDSGLFGISSSCSPPRIAD MLEVMCRELQSLTLESGYPALQPAEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVH GRKVGVMEMCRQIEAVTVADLRRVAKDVFFGRVKNKGDGTGRPTVVVQEGEMEGQRLS PFTWEEIQQRIARWQLGRR UREG_03325 MPFIDHANGMGDYADEARELWRPANPEATSIHEFKTIVASKHDI ALSTYHDLWQWSVDEPNKFWEEVWNYTGVKAHRSYDKVSEDVGLLFPKRPFFDGCLIN FAENLLFPSSNPHEHAVAVIAATESTREHISWKDLRERVRLCATSMREAGVVKGDRVA GFVGNHPNTLIAMLATTSIGALWSGVSTDTGVHAVLERLRQIEPKLLFADNAAQYNGK VHDTRAKVMEVAASLPCLQLVVVFDAVRDHAFDIQSVKPPSGTSISYADFLAPARASN APLRFEYLPPDHPIYILYSSGTTGAPKPIVHGALGTLLQHKKEHVLHCDIGLGDRLFY FTTTTWMMWHWLVSALASGSSIVLYDGSPFRPLDPENGKGDMAMARLIDELHITHFGT SAKYLSVLEQAALNPRKHPHRPVTLRTLRAIFSTGSPLAPSTFDYVYSSLHEDVLLGS ITGGTDIISLFGASCPILPVHRGEIQCRGLGMAVSVFDHAGADISKADDAGDLVCTKA FPAQPVMFWPPGPVGEAKYRSSYFETYGDHVWHHGDFVKINPITGGLVMLGRSDGVLK PAGVRFGSAEIYNVILKHFADVIEDSLCIGRRRNGIETDETVVLFVKLNSTSSSSSGV STPTGVVKEPQSLPAGLATLIQSVIRKELSPRHVPAIIDSCPEIPVTSNGKKIENAVK QILCGLNIKTGASVANAACLEWYRKWAEEH UREG_03326 MTRSMPRFEDYLRYFPTNDRLHLHLVNIYETFILFCAKAFKFLN SRRITVVVWATWRSLHKTFEDAVQRVSSDKEDLEHEARAANIEVGFVREQAAEKRHQA VMAIIPTALKTAKLIKPTFIVPEARNDSFIGREVELASIHQHLIESRKAGEINLRTVT IRGFGGMGKTQLALEYAFKNRKVYKGVFWLRAENTTIMQHDFAQIGRLLDENTTGMDL NKTVQAAKDWLLATSQCKIELEGFQPAEATKLLTQIYPQVPETDKTREVLSELGGMPL GICQMGIFMRQTKCTMDQFSTILQTNSERFYSDTASMAGSQYVNTLATCCDLSIGLLS EKETYLMGVMAFFQTDKVQESLITGGCQDNPRLKHLADIFDWNDEIRILAKHDLVTPI HVDSGRNLRMHRIVKRRAIHVLEPPKRLEAFQDAVDLLNRTFPARPPNGGTMCKDWAD CELWIPHVLSLKTTFGWSGLCEQDVPRAYIEMLCNCAWYMWERGTEHALEFATHTLDI SEKVLGKDAPDALQSDILTIVGALKLPTYQTREECVELFQRALNVRQKYMAAKTNPSH DDQRMLANCYSNTGAGRLVLEEYNEAMPLFQKALDIKYTLGDETTIPYDIGVTLYNIC RVQMGQGRVAEAKTTAKKVIDLVETHNGPDDFRSNQFRFTYADLLVACGEVDKGLEVH ERTLAIRNRAMGEGHNDSGVSHYGLSCVYQQLGRLADALESVGRAITIFHSVPGAEDR VARSYFRKHLILKEMQQDGEAKKALVEAQNYRKALVGHGQAGTDSMADYDSLVSYYNK UREG_03327 MLTSAAFLLCPLAATALQYPLTHSQPSFESPGRQQMQKRLNEIL PKIEILRQTGGTAGISVGVISHGDVVLEHFFGFADVHQRLAANSSTRYPIASLTKAFV ATTIAQLVDEGSLRWDEPLTTYIPELNFHADPSLAARLTLIDLLSHNTGLLRLDALWL GASSQTVISKEFTVAVCNHLAPVYPLRSKWLYNNWMYALAGEVVERVTGKSFGRALAS HVLEKVGLTQTTVIESEVPPDSTAVPYMTLDDKTPVRVPKSELTDGTFMASAGGVRST VHDMLIWGNALLSVFRDEQSPLKGLDTVLSGHSFINKTFLSDELYALGFSKATTPTQL GKVGFNPGLVDGMPVLGTKSNRETVFYHSGGGTGYNHCFMLVPGSQTAIVVLTNAISH GDIADWVAQTLLQTVLNIDSPVDLVPFAEQAASNWRALYPKMVETLEQGRTPDTREPP HQELLGKFYHPTQALYLEVSIADGALRFSINGRSEQEHKLSHYHYDSFIFLPSDDERV RRGLVHYSAAAWLLHFKRDSTGQVTHIVWNLDGQAPGGETFSKAKA UREG_03328 MSNDDTTRPQVGPKTPYLVYGTAWKEEKTGEFTETAFRHGFTGV DTANHPTAYNEPLTGDAIEAVLKSGVKRSDLFIQTKFTPLWAHDKSKIPFNPDQSLEG QIRQSIQQSFDHLKVDYLDAFMLHAPFQNENDNLVAWKVFETYVPDKIRCLGVSNFSL PQLKRIYENSTVKPLVVQNRFHRDTSAPELRGREISGREGTCILPFNTRPRRYPGA UREG_03329 MDAQPQSVSTPETQTTFMAPPARPGQAQPWKQWYQPEELDTEIE GVNDKMPQQCSHLTQAVTAV UREG_03330 MGTITAQLNFLKPIDSYKTEKPYWLFIGKPETAPDVDLTNVVTE TVTDIPVHDVRGHEEKYSLDEHGFQFIKHNQTFQAFEDEERIKTEFLPQVEKVIRENV PYVERVLVYDWRVRKEITEGDPEFITPLQVQDRNYPLAPSIIVHTGKILEQTSCESTA NREDTTEFTILKRLKAELPEEAERLAKGRIQMINFWRPMYDEVKNWPLIVCDGRTLQT DSLVAVDQVSRRFVGDVYYATYDPQNKWHYQSSMGSHEGVLFKSWDTAKNIPSKGEGS WSSPVAIHT UREG_03331 MPRRPTSSFVNKSSDSPSPSSTTLPKKDAPKPTNHNRNRFSQLF LNSTRSKLEAQQAALGGQQPSTSTQSPVATGSTPSSLPVISLSTADASTMDVPATTLF QPPSPREARRVAKQHAQFGPLGHPTHRCTSRHQGAFGHVRDFFGKRFKEKDYRHLKPQ NGYGALNSDFDNFYVRRLKLRINDCFERPVTGVPGRYITLIDRVSNDQNRHFHFTGTT TDTLNMSSYNYLGFAQSEGPCADAVEQTIKKYGISSVGTRVDAGTQDLHLEVEDLVAR FVGKEASMVFSMGFGTNAGIFPALVSKGCLIISDELNHASIRYGARLSGASIEMFKHN DVSALEKKLREVISQGQPRTHRPWKKILVVVEGLYSMEGSMCNLPGILALRRKYKFNL FIDEAHSIGAIGPRGRGICDYFGIDTKEVDILMGTLTKSFGANGGYIAADKTVIDKLR ASNAAVFFGEAPTPPILAQISTSLRIITGELVPGPRGRETPELKFSSGVAGGALPPPD GVSAEMENDWYRQQSANGQIIPPRWRYEDVIRRGVQDVKRPLR UREG_03332 MHPPCLWDRMSILDIVLTVSLRLYMSSIVLSCGPLYLPGAEIRV IPLYILPISKSLKSSLLGATGDKRNASGTPKRSHPPKVAGVSLIYVNFQDSLVLSSPS IDAECRL UREG_03333 MENSKIFTIWWSATETAQSIEEKKNHSSNQAVKNCHSDFWVQYD QAAEISSNNPVMICKNCWVVLAGIHNHPIPHGRGIAKGEELSTVDSMAGYRLFYDFPP IFFGPTLIIGKPYVGNKSLERGGGGLNICFV UREG_03334 MPSSWVSYPSITALPEHCEPTSPLLATISSFLRICLPTPLALLS STLGTLSIVSWLFAQIPQIYKNYKIQSTAGLSVWFLVEWCLGDTGNLVGSVLTNQAGW QVTIAGYYVMVDIILVFQYYWYTYIKGWQFSRFGYIRARGDDGGEGTWESALPSETSS CCQMAASSAASPIAAKFGPAETAQSLDTLRGNSLSYANEKRGSSSRSIQRTGGSGSSQ IPLASPRTLLTITMLCVVLSNAASIPEPHARPITPSPPGTDAKVIAGRIASWTSTIMY LCSRLPQIFKNHRRKSTAGLSPLLFFAAFCGNSFYSTSLLTNPNGWYDFPPYGGGGWA GPEGNDRWEWIGRAVPFWLGAAGVLLLDATVGVQFLMYSEKHDELVVKVPDEGRGRSK WKKVTGWMRGWIPSMSPERDLSAVTAVVAAENQALLAARGSDRYGGV UREG_03335 MTRPQMIRADTIDLQDPLSPSAKDHSRPSTNGTRNRHGHAQHQD QALRIAERDMLDEISRGPLSPDFHKDDRGIDEDYAYDGHNKPDHVNGLQNDRMGDYEH HMEGDAADGDIDDQLDDDMLDKISSSPSIDDEDIDFEFVYALHTFFATVEGQANATKG DTMVLLDDSNSYWWLVRVLSATMLGDNAEKSKNPLKKAMRRRNAKTVTFASPTYFEAS DIDYSTEEEDIDDPFFEEEEEEEGEGQAEGDDAERSSNRDTQAGSKNSNMTVEPLRPK PLTDKEPAKAQDEEPVKLPSESIREVEEPASQQEDFRHGRSRNGVFRNTDSFFKDDMV ETKKISLTPNLLRDDANETSQVTESKEMRGRGSFEALTGDRNKDDKKRKEKKSGMLSG LFKRKDKKSKAPDDDGEEHEKVSEESARSSTERKMSVESLKEETRAPKLQAVSTRPAA KLQKQPAVAFPQTPDSTSPSKNNVTPSVRAVAPDLNEDVIPLTIRARVPEIKQSPPIP TDSVSNSAASPTAASSVQNTSAPSTDIADKPIDIPTPTLSPVMEESRAAELHSEPEIR KPSLDMQPVESPPVASARVLSPDETSVSPHSLSQPSSDILDVQQPKTENTTPANAVSS PPQSPEWNDAGLRAYLDDGSEIRDLLIIVHDMSNVPPAGPDHPIVGGLFKDESKSLND MSNRLDEMLNGWLARKSAVAVR UREG_03336 MADTEIVPFENQTIDTPYPDSPIPVHEENNFAYDGGRKLAAQNV LAPESSKPQQRSEAWEENNPTPPITVPYSEEHPDHEEFADDSCYAHPSPLGEFSRKRR PSISFNPEVTLDCGTHLALDEPLRNLRLEEQAIVESPCSGDSGESGEDQTESHHDYHG ARPLFPRGFSQQEYLSPGSDNFSVDTELPRASSLTSLSTASPITDELRTPPDGRRDVF LPTLPSSPLYQPHSLDGAEGWFGRHGLDGKPRSYSGRNGSLRLRHGSRRSTNSGGKSP ASAFLSMFGREASAPKPDDEGQLVGTDYVLGKTIGYGGFSTVKEAFKVKDDGKTERFA VKIVKKNLAGQSERQNEQFQAEFDHEVRVWRYLNHFNVLPLDAVYETDYATFCFTRMT NGGTLFDLVKRHHREGLRMDQAKHYAYQLASAIRYLHEDARVVHRDVKLENCLLQFED PNDKEALGKLYLCDFGMSEWMMTDNGANAPAPYDDAADRPPPKKFGPSNMSTSIAGGV AGSLEYAAPELIHSKEGVLEPAVDMWAFGVIVFALVVGSRPFDHIFQPKAQANIVNGR WDRRAVFKGETDLGQRRDALALIQGCLELDPIKRWTIADVLECRWFDDCVGKIEEHIH PQWKY UREG_03337 MVNSKLLARPALRLSAAASRRPLSALSQHQFTRLTRPILSSAIA QAVPRRTYAQAAAPASSPAPAPKKRFRLFRWFYRLILLSLLGGVGTLGYSIYSLRHPA EQFVPDPEKKTLVILGTGWGSVSLLKKLDTENYNVVVISPRNFFLFTPLLPSCTTGLI EHRSIMEPVRNILRHKAATVKYYEAKATKIDYERRVVQISDESEIQGETSKTEVPFDM LVVGVGAANATFGIPGVEEHSCFLKEVGDAQKIRKRIMDCVETAIFKDQTPEEVKRLL HMVVVGGGPTGVEFAGELQDFFNEDLKKWVPDIKDNFHVTLVEALPNVQLPCSPHVLQ AAHRLHRIYFQRRSPLRSAPRPWSRMLQRNTLKPKFRTPMAPRKLKRFPYGLLVWATG NAVRPVVKDLISQIPAQKNSRRGLAVNEYLVVNGTENIWGVGDCAITNYAPTAQVASQ EGAFLARLFNTMAKTEAIEKDLKKLSIAHTPTRAVWRTSGKERAVADISWLSGNIASG GTLTYLFWRSAYLSMCFSTRNRVLVAFDWIKAKLFGRDVSRE UREG_03338 MAPAAESSYPTAPHAPAYLPLIKLFALDRLESGTESPSGHKYER FRARYAPLCPSDFRVAYGGYVFGHAAYAASKTVDRGMVIHNITGSFTLPGLRWRVSRK EEHPWAPSVDSPQWTDEVERGVRSEVRFSGTDIRKVKMQRYNASVGAQDDPTKYRQLH FYRLFGLPGDDSDSDDSSPRDLATLRARDEAGEFDNLHVCAHLFASDRNSLYLIAWAL RRAHRIKRIASLSHTVIMHTHGPAMRMIDWDRFDREAGADGTADLGEGKGRKWFTIEA GTTRSGENRVLHQGQIWGADGTLVATTIQDGLLRVFDDGKGVKL UREG_03339 MIDTLLRRPPSPRFSVSTPSARTLPPCPVGNQPDKLLSSANAVT SSSNFHYGLSTPPEDMTDLAYPVSHGGHVYRFPGSLHSDFNNLPMAPMANDNTSVLSQ GSRQQQPPSLTSQLPDCEPKPAKESSIAIYLQVPSSICENGGSLADFAAQITCLFWFA KASKVKQIEDGASSPFLPPPPLDPESIPSIGFRKWMTTILSTTQVSRNVVLLALLFIY RLKKFNPSVRGKRGSEFRLMTIALMMGNKCRWWPFLEENSGESG UREG_03340 MDRRNCSLPVANISSNNPVFNDLKPPKYPPIRAIRAGSIGAAKS SYQWVAVKYMYHRKSYWFVMIVAA UREG_03341 MKKTLLLVFIHGFKGGDDTFGNFPAHLRAITSHVLPNIDIVAVT YPKLQNIVIDIEVSNHTPSPTVDPSVHVILIGHSMGGMVGAETINLLASEQLLPSTKS SSSAAETQQCHDRIQPNTFMFPHIQGLLAFDTPFLGISPGTVSYTAENHYKTASAAYG AISEVANVFGWKASNTNTSTSTSTAATARPQGPGNNPPSLPAPATTHDAAATPSWQRW GRYAMFAGAAGAVAAGGAAALYTQRDRFLEGWTWATSHLEFVGCLARPEELRRRLAGI TQLRRERGLGCANIYTRLGRGAVTVPSDVTGEKGDGGQRFSISRHILRSKVRTFCNLP RDVEGGEEEERTRGGESKEMGMEWIEAVNDRAADETKAHMTMFLPPDNSGFYEMAHRA RDLVAAWVDKGWYSTTGVWDNGSGNKEQGAAGGDLVSDDVAIL UREG_03342 MGFGEFDSLCRKAAIPLCSLIGPESSFSGTRGILPNCYARNIEV ANTIIFQGATDVMHIIALGMTAIMIIHVRSKFTAVGRKEIITFFYLYMALTMCSLVLD SGVAPPGSAVFPYFAAVQNGLASALCTCLLINGFVGFQLYEDGTTLSVWLLRLTSLGM FIVSGAVSLLTFKSWAGLSPTNTIGLFVVVYILSALCLFVYVVMQIILVVNTLQDRWP LGHITFGVLIFVIGQVILYSFSDMICEGVQHYLDGMFFVTFCNLLAVMMIYKYWDSIT KEDLEFSVGLKQNNWEVKELLPEEDRRATVYLDTNSEYAGSVYHHRSSTYGGQSNY UREG_03343 MASVPFLPQHLNPDEERCIELSALYGPRGHAGSFAIPRRITSPI KRVARLCKVRTLYLDLQRVRSRARSRWSRGCYQLLWFLALEFALLLTVIFILVSISEA ILYPSYQNPPKHYEKLRRRILNTYHSGRGNVNNEKVFIAANIINEELIRGPWGAALVE LIEVLGEENVFVSIYENDGGNGTSAALQELRQRLPCNSSIAAGAHLPLSQPPTVILPN GERRIKRIAYLAEVRNRVLRPLDPFYNLEGNTDGFRRALIRFDKILFLNDVYFSPIDT AQLLFSTNVNAEGRANYRAACAIDFISAIKFYDTFVVRDAEGYEIGTPLFPWFSTAGT AKSRRDVLAEKDAVRVRSCWGGMVAFDAQSTFQRPLMTTTTSRGLAHQPLSLLFRHEP ELFWEAAECCLIFADLERRLGKPDADTGSEVFVNPYVRVAYSRIIWEWMRFVQRYERG LVNFQRIGSAIGYPRYNPRRLHDPGQLVREKVWVADGSKPENGSYQLIERSASPGGFC GERRMFVMQKDVEIANRYGGGKNWEEIRSTSSLMEPTGIA UREG_03344 MRRVRAEIGTAGVNEDDDAAQYLLEELNSIRRSKSDRVSQKVTS RGDLWPQRASLSLYSFLEGSRSWASKLVGGHNRNPANHAGRRRPLSVRSVNELGDSDI SLEIRKRRGPGNAGNQSPNRNGKGPKDKSDFANPHGNWNGNPPGLAGPADPPSFGPPP GIQPPPNAPEPPPPMSLTDQITASTIVPIGTQTTQPSVPTLYIQTKTVVSKMGETTGP GDIPSSPFDTTPSSTSSPGNSNNILHDPARVASLAVGVTIASLMLLTVIGWLILRCFR KRKYRNKYPVYQMTRPGELDGSWANEKDQTSKTGGGFEWDPESTFAPPFSKQQPFGHS RGRSSASLIFQRILKHTRIPSQRRSAQFNPLIHDKPLPKPPDTESQLSQTEHDSIVND LPDMPEMPEKSRATISTRSSEAFHLDLPSPRHYFQQDRKGPGRGSISKFSWSTPTTKS TSIASVAPTYRLPSLTSNYAGTIFSDDSEPPHFRTTSSWVLHQQARISRRKWVDLDYP MSPTAVASTPRTRQNSDCLTPKERPPKRLSEETLN UREG_03345 MSIEVSRMTVEDIPGVVQCVQDAFEDDPYFRWVFDPVKFSKQRN TISIRNRCLWGLNNGLFYVARERIPQHNGKDVSEKSPSYRVVGVSMWLPPETPDHSGS WTSRFQDWLLSVRQLITNIQFMGHGGLLVHRYWIWKDSQLKATQKFWTDPRGYYHCNV VAVLPGMHGQGIGKRLVQVVTDQADKEGIKCYLESSKAEPNVKIYERLGFRFVGDLDC NDNGILCKLYCMTRDPKPSTSDKAE UREG_03346 MRSRVVYQRGGYRLVAVWVQTAVCLDEPSRCNGSTIYVKPRARL SRDLLRREDLGHFDIWQIVLGRLGEAKNSGWWDTITLYIPGLDLQPWEKATVVWCTFP LPTSDRRGGSWNQRLFGSASNLAPDLHSPLDVMSLLLVRATAHMVHDGSISSSAGLDV ELCVADLRHRAGLRRALSIIDGPPGTPKKSVDCVWQSAHGRGRGARVLVKARQTLGLF LARFKPPKHSTSRSGDNMQACGELEGSHRPAPPGRCEVHESWFACPWYRVYVFLLPVR STN UREG_03347 MVAPPHMIGFEACHIFPYDRESEWNTRRFGTTVVDDNAPTSKIG QSKIHSPQNGILLEGGIHTLFDAYIVADNYKVTCFTEDSHNVDGRTLGFQCRDPNNPL RVKDDLLRWHFRTSVSAIMRGAGEKPWEYDFPEGEDIDEIISGPHPEERMELELHHRL GVSS UREG_03348 MPGQSWTLLVLFWGWEWLVQWYQDGFQIEKNQARRSSGNIPGVA LWDVYGKRLGEAFGSNDRIENGSPRDVFVPHFRGIGEPLAEYVAISNGGIDAICIAWI AMAWNDAKYGWIGDVGQACGSDWYYTQTLLKESGKDGKREHRPRCVWIDRDGSNGFNA QGFSMHIIDFDVSGNDRKTQYQIYPETMCSRPRYHTYKHMVTQDGTYIFSPPLEYGPG LVDKDIKKVLVPGKPTSEKSLPLMVRDNFHGAPGRPRLTRRALERRSKFMRDVLVVSG WPQHKAEELCKSETSVGPDFASLSDKLFCDMEHKELWPLCTNGTITNACFDVSTKTMR GGGIGARDLISGRAIPEKSYSKIRVETMRLLRTLILYSSSIVPKYTFLASGLTVLIAN ISQLRAEYCRA UREG_03349 MRLNFSKLLFLACLPLLEAGIIPQAVSVRQPTDIQRVDGSPASR SREFWRSPRNTEKVDLGTHIGVLKNRGGGTGGRVSHPVVEGRPKPFEDGSPGRAGAGT LDIPVGGKNAPDSAGLGRIQEPKAQPRPEPRVTGDWGYFHSAGKETKIWADKVLETKQ KDVATKSYENMYDLTVADRKFIINSDVADLIGIERKFDLRLHTLRNKKDFGNSYEDGF HKDGKFIMATAIYKGSNTKVQKVNWGDAVWPSWKSVAGDKTGDLRWVIQDSIANVDTR EIIRSAHGKVGYDRQQPGARFFYEGADDTMKEAFLALSGTDNVRGTWRLTANHREALG DKTVVNIYSFPKGPDLVMELGQAPKVTPPQKRATISPGTTTLPLRTDQRYRVFHRGRS LLPELDAY UREG_03350 MEVDAIDASGEDFEFIFNNVVFPPKLPQQGDQDEWKHEDGLLAI VEEAIQAFCAEGPPKSRAIWSSVAKTVENMKTVSRGGLVSESNLCSVLQTVHESGVAC YVRAQNCGVILQYNPRTRSVVVDAFEASSTCASVLQAEDCLTRNFPGRSVEVPFETVA NPDFCKYLARELARYSAERVDDMLPSTRKARQHVTEQRDTASPGLVTENLMNQLLAVG SHSAHSAITKCYKNFMLQLITRVGTLALGLGDATTPDVLSLIATKIGRRAFKLGDQIF DFVSGATRTAVRQINTRLEEMRHGTRTFIPYLPTEAAPEDMSISLRHSFGYFQRAMNP SAEASTTNYVVAPGRRRMHFDPCTLPKLHEACDYIALVDFEQWVESNLDTWVTKKTAS DHTLRSIAIQLKLYLRLASEIYSVSNREMSLIFLVILELWVAMDRMAVRLYPLLEEYS PPIPADFLEPLLLPEIKQMERACKVEHYLYERHRNADPTNPPILSDPIKDSFAVRFYD RSEEHQELHETIEQWATDQKADKEKEWKSVTAEYRKLLAKAHHLPHQYTPIRKQHRIN RCTKCQLENEAANL UREG_03351 MAHFALAKILNGIISFRELASSNLSFNEGAVSVLLRQAAWELGS RNSDSIRRVAHKVLEDESFVSRLIATLEHRLNSIRSNWKEQETLSTLVTLALRALSLS EDPEIIDDAISFLRDAREVAYLWCEKLSELLNSTEGSDNDSYQHRILLASAICQRTYD VEANHMDNLLSTALDTERLVRSSVIFYETSPSESDGTAATELRETLLHGRRILHRVEK RLRELIRNDPSGMNAAIGTSMEGVTLLGQWQFIAADSEIAYKTLGEDTQTSKRIIRYD LLTGELLINGHPPGRLPKRYTNTNLYRMMFNAGLLTVIPSDLPGAVYAATKKFGEYEL HFGFHEGVLRITARSSDQLLQLVPRDRLERDFPRSLVDNYYHWLDPSTGVVEFRPIAD KWNPSKDNWHLSLDLHSPENCAMRRGDITLVDINSKLYQQIAEILARLDSSEHVHVTK LANGYIEAELVRLKLRLFVNDEGLLECRELKAIVDLDQDIGCLHRLYRKLVLKSFDSK DAIRRSVVIPYGEVSILPSSHGAQVFVELPPAECKRVRYFRYWLNDHLRTLQGPHDML ATLYQCYLHAVTGYVLPDPFTGRLGTDEALRGLRQQRLFTSMALDTDCILILKKISEL TPSRHLHPKNLRVMQTVTWNLHLSQILQHDDFHPAATAIKDHASKFSAFRKDTESAEQ LGEHGDQLLMSRARVINSRWRVPDFGGSLAPPRDERPTGEPRDRDYQNDRAQRVYEIA VLIRDWPSKVHHLDIYDQAKGWTWASAKPFDGTCLGEYDLSISDSFGSLYDFCRNASR DDTFKLMSRFCMITYGGKINVGLLRSFLAIAFSGRFRDLPIPRYPGRESLYRPNSWRV AGQG UREG_03352 MGEGKTSVITPMVVSKLADGKNLVRAIVLRPLLRQSINLLSHRL GGLMNRRIYHIPFDRKTEIGVSAVVGLAKIYTECKKEHGILISLPEHILSFRLVGMDL ADKDRLAAEVVLKLERYLQNTCRDVIDESDEVLHTRFQLVYTMGNQEIIDGGVDRWGI SQNVFRLVEKHAHTADPFGLEIESDEIAFPKIRFLHPDAMGKLADAVMGSIEKGELSG VPFNHWRPKVRESASSFIRCYKVSKGDADTVLEAFKDHICFNRLLILRGLFAHRVLAF ALQSYYYQGLTSEQVQTCFSLLAKENDGASEYQNWISRGKKRLSVGLRDLTGVNLDDV QSFKCHLYPHLRYQKGIIDFYLSNVVFPREAKQFPMKLSTSAWDIPSRTKTRSTTGFS GTNDNRSMLPLSIEQKDLPNLAQTNAMVLMTLLKPENRSFVVAQDDTGRQLGTVPLLE LVQSLTPRVQVLIDVGAQILELENCDVAKEWLAITPGAEAAIYFDDRDEAMVVDRHHH VESLIASPFNDRLDNCLVFLDQHHSRGVDLKLPVDSRAAVTLGPRLTKDKLVQACNRL RKLGGGQTVVFLSPPEVSSKIRELSGMLEDHQLNSSHVIRWTLAETCENMDSLRPLWA LQGLDYCRRWEIWKELEASDYAGGVVYDMQECESRPLKEAYGVWQTHKPLATTLETVD GSDPIARKLQKAWESLDLDEAMGHLLHEEQEREVACEVERERQVERPAAAMGARHQLH PDLRHYATHGTFGEQNKSKAVELAFDSLKMTTAARYLPRRLSSNLFVTHDFANTVKNA GRDEFVKPVNWLLSSVHNNDLIIISQYEADRLLPIIELSRNTTLSAYAPRLTQTMASF RDLDFVAFEQQPPSARALAALPLRQLEFFAGNLYFDSFALYQEFCGFLGLVTDQTPDS KNVTVSDDGFVDRQGRSVLGWPVACPFTSSPLQLLNTLVAIQRRGQAFQRSHVACILD RKMLTEEDFAAN UREG_03353 MVNQTVCSLCPLSLPPPADSPSSSRPAASFAPAARSRPAPSCST TCRPSTTATRPPSTPSPSRFHRIHHKKDPPAPPSRTPPPTTSAELALPATAPVPPPAP PGSPPASDHDGQPHSADTTLDALLPGLETYANAGSDEAAVEPSDAGRADLAMDDGLGE STFDDLFVGAELAGEGDDLLLHGAEIGELDDSWFASANRLLFYGTPCTYVNASANFLN LPALLYPDLLLPFNLLIISALLAQKLNPPENSIIAPSGVPDRLLLRLSSANPSSSKHK HHGADMRVDYEAAGHHHPGLLRDRLHHLDSASRRSSLASDAGSSVLPSLVNEKVVASG NGITVSIAQAEPVIYLEGFDRREPSKTAMLRGHLHVKVTKPTKVKKIYLSFKGMVSSS WPEGIPGKKGQYNEDRSLMTHTWPFFNAQFKSAEHGYGTDSVQLLHRSKPFSTVDLMP KSGSSTSLDRFPGDIKRLSLQHTPSRSFVKGDSSQPGQTVAQRGYKLFRPGDYIYNFE LPIDSRMPETIKTDYASTKYWLDASVERAGVFRPNLLGTKEVLLVRTPSQGSLEQVEP IAISRNWEDQLHYDIVISGKSFPLGAKIPIAFKLTPLAKVACHRIKVYVTESIQQYTT GRTAHRMNSSRQLMLFEKRADSPSVSTYPGSKVRVTAGGGIDWDRRASAAQGHEVVNS LRTSLLGDMERDFGASPPELEFNIVLRLSKPDENAPKKRRHFEISIDSPFHILSCLAT QSNIYLPSYTSPSSFPSEEYECGCPGAQPTSKSPSRTNSSGLGELSMLLSLSNDPSFI PPHLSLPRPEHAHFNVPDYNSNSTNNHNPTRPIHLIRLPSFAPPPFEDIPPPPPAMSP PPPYTAATQHDDGGALDYFERLHRTEREYDENTRGNARVDIPLTPGGRVHRSLEIPRE AVRVEALLE UREG_03354 MADNAPPTTSSSQPAAGMPGQQQQQQQQQQQQHQTPNGLNYGFV EYDDPGAAERAMQTLNGRRVHQSEIRVNWAYQSNNNNKEDTSNHFHIFVGDLSNEVND EVLLQAFSAFGSVSEARVMWDMKTGRSRGYGFVAFRERADAEKALSSMDGEWLGSRAI RCNWANQKGQPSISQQQAMAAMGMTPTTPFGHHHFPTHGVQSYDMVVQQTPQWQTTTL ALSLKTRFQADRGFAFVKMDTHENAAMAICQLNGYNVNGRPLKCSWGKDRPPTGQFDY SPQQGASPAFTSGTSPYFPQYSGPGGPMTPQGPNSAGRGWDQSGGNFGPGMPGQGYGQ VPGGAAGYGRGQNNPNPGWAQPNAGNFGNGFGGYQA UREG_03355 MGKPTWRPAWETERRSPSFITSDVNTGRLSDQVSCGAAKYLWGN MPALDLLNLNQNEGVDDTSQDFHLLFAASGDLRNLMKTVAALPESYTGTLNVTFNDLD FDIVARNVVFLLTALTLPTEKATPIILHLWYSALIPAEILTILDKEVLPLIDDVCKKI STKPVESLQAKTWKCGERSLHLVLQKHRWVEIRNSFTVPKGLSLDKARSVRKAITMAP ARVDNLHRGLFSQPPFRRVSKIKFRENGILLPFGSCRARFDTPNPTHYHGVESWRMLD SADPLDGWKLEEIVGLASQAKNDIYGALFFHVKDLILKFCRRIMNMKFHIDLYQISNI SDGGYLGLNCSLATFGPMLKRASDNPRATLLLLFMNAVEEMSTPGDVLSLTNPAFCKV FEHYIPECRQAMHSGNREQDAALLKGFEALTLFRDFDQVFDRYMHYVGPIPEEYKMKI KAKHTIVPRWPLRLRKNATKQEFDMEQASGQVSSSRYLEWVRAE UREG_03356 MRFDSSGAVQQEVRRTLGLDPRMIRFSVVKMGEKLGEIKDVEGR IQWNDRQRLQDEI UREG_03357 MSGRLLSRSSTLLSRRSALLARQLHHGGGLQSGGLLRTHGNGYY LRARLPSAARQNWIHNVPAVRTISFARVIPKLAAKFVRIPAMFGGAMIAGLAYIQYQA AQAGNYALDLVKTASEAAGGFASGALLGIQGIAEQTQKGWQRTTEGVELPEWAQKMLR LDEYARSEREGGGSSGSGGAGGSGGSPKQSRIGAAGVAGAATGSALAYDEADNEAAEG RRAVDEQMMTLTRKMIEIRNMLQRIGQSNSLTLPSIVVIGSQSSGKSSVLEAIVGHEF LPKGLNMVTRRPIELTLINTPNSQAEYGEFPALGLGKITDFSLIQRTLTELNLAVPEK DCVADDPIQLSIYSPHVPDLSLIDLPGYIQVAGRDQPMELKQKISDLCDKYIQAPNII LAISAADVDLANSTALRASRRVDPRGERTIGVITKMDLVDPDRGANILTDKKYPLRLG YVGIVSRIPTTSGLFSRGTGNITTAIVKNENAYFSNHPQQFGPGSDVSVGTTTLRKKL MRVLEQTMASNLASTRDAVSQELEEATYEFKVQYNDRPLSAESYLAESLDSFKHSFKE FTENFGRPQVRELLKAHLDQRVMDILAQRYWNKPIDDLTPPLPDPDPLAELPRADPES LYWHRKLDASSSALTKLGIGRLATTVVANALQNHVDDLIASSTFASHPYAQKVIGDAT TTILNDRFFSTSDQVENCIKPYKYEIEVDDFEWTKGRESIGKSLKEELKACESALKHV EDSVGKRKLRDVMSFVDKVRKEDVQIEGDGSAGAGGFSAALIQKGREGVFLRDRADII KMRLMAIRSKQCASQKNKYYCPEIFLDVVADKLTATAVLFLNVELLSEFYYHFPRELD SRLGRHLSDAEVERFAREDPRIRRHLDIIQKKEMLELVLQKIESLRQLEGRSKAKSQR GPISKERGKGWRLF UREG_03358 MCRFLVYKGRNDILLSKLITEPAHSILTQSYDSRLRLDTRRPVN GDGFGVGFYTDPKLGRDPCIFTSTLPAWNCENLERIASKTCSSLVFAHVRATTEGALA DNNCHPFQHNTLMWMHNGNLGGWKYIKRTLADSLADKWYLGVKGGTDSEWAFALFLDL MQKEGVDPSAAPEGGFGHVLLRSVMDKTIKRINEMVANIPKDCHMTDIETRSLLNFAV TDGHTVVCTRYVSSKTDEAASLYFSSGTKWKEGKTKGHFKMERHDKGADIVLVASEPL TFERRKIFHPDVNR UREG_03359 MENGSTPTAPAPRQAQNDDSRSRSSSVSHKRSISSSLFSRLAFT RMNQSSGNVAEHGSPGPWMQDEDSRNGQLSGRETPESRAGVGGGRAMASAFQHQIKTR RRRGSLRKTALLGTGVLRMSQKGLPSRATELSRDVSPDKENRQDNEEARRPDISQPAG RHEEAPPSQSSRPPTPPRPPTPPVRPPQPVWPRPPSQRGDRQLQDQKQSIPSTLTSCP TLESRSTASSLRDDAPTDDEDLVSFPPLTNALSRASSQTSTSSISALSSLPPRRLPLS IPTPPSSSDSYFRIADAAQSRPHAPSQRVRSPLATNPAEMASSPEGWDYSETEWWGWI ILIVTWVVFVVGMGSCLGVWSWAWDVGETPYAPPELEDDATLPIVGYYPALIILTAVM AWVWVVVAWMGMKYFRHANISGEDI UREG_03360 MSFAAARIASPLRSAFVAAPKVRVCSSSLRTASKPAWNAFSNTR RGFSQSTFWQAKKYTEQHEWVELSADNKTATIGITEYAANALGDVVYIELPSVDASVT AGETIGAVESVKSASDILSPVSGTVVETNTVLEDKPKTVNDSPEADGWFVKVEVTNPS ELDGLMDEASYKASLEEDE UREG_03361 MKRALWHWHQKGWRRTAVINTICIFIFTVLTISLLAWSSSRSGS VNASLILYRGNCATSKNINLWLHLVLNVFSTGVLASSNFFMQVLSSPSRSEVNAAHKD DTPLEIGVPSLRNLFYISYYKKVLWALFLISSVPIHLFFNSVIFATDYQGGHWHLTIA SEDFVNEAQYFQPGAMLLPAGVSEGVEGFGQLVPVTDYFNPESNASQYISSTARSAQT WKRIDVPECRSQYEFCSARTTYGDVVMVVESHDPIFMFSQNNRLGWTRDGIFGPLDPD SEDLWGPHIPASESNSLWFAANCSTTADLNPRTHRIEGCFQTCNRAYGQQDAGAEPLS ADNIQSNRTFRFLVSQSAKIPEVRYNEVLDLKLKYCLVQKVEPVCKVGLSNSILLTVI ICVMIKTCLCIFMLAKPLSQEPLVVLGDAIASFIITPDERTAARCTLNHSSTTSIWAA RPINAPQPQPQPQRWHHRNCRWFAAVSKSAWIRSYIIFAGVIAFVGAMLGSAQSSNPL QRKQTLSHSPTNGLFNANGYRGAGLRMAALQANAPQLLLSFSYFVYNSLYTRICIEKE WNKYSRGYHPLRVTRPQGQQISTYRLQLPYRYSIPLIVISVLLHWLVSNTLYVFVLEG GK UREG_03362 MGFFTCLAFAGLLSSLPVHSLRAPADTFVFPGSNSKPWVSNPAE EFLTGGYLVEYHDNTKQFSALSSALEGVGLASQHRFDYNHKFFRGSSFVVDEPEDQER TMAKIMSTKQVKNVWPIRLIKRDPGQIHSKLPAGIHKSPKLAKRRSEDKWSTHVMTGV DRLHAQGLTGKGIFVAILDTGVDYNHPDLGGGFGPGYKVAKGYDFVGDNARFGQDLQP DDDPYESCGLHGTWVSGIVAANSGPFNAVGVAPEATLGMYRIFDCLLWTSEDVVISAY LKAYDDGADVISLSFGSYSGVPTDPLGRVVSNIVDSGVICVAVSGEVGGPPFQANSPA TAHNTLSVGTVENTVKPIFRFLGEYSVDGGDSETFEYEPTDIPFKESRELWTWNYTMD YDDPYSLLTCPDFPPGVPGVSEKVVLVPFCLGTMLDAVGLGAKYLMLHDNGDILPSVA VADTDDDEEILLGFGIVTREQGDAWQASFLKGANATLSFTNPDDPDFRYREVPNNLGG SMDIWSSWGPSLEMDLAPMLAAPGANVLATLPKKDGLYGVLSRAGGAAPYAAGVAALM KQHDKRINPKELVRALTTTANPMKFNDGRSKDDTFAPPIQQGGGLINAYSAIRSTTKV SPAHIKLGGRNKAAVVTIRNNGRKFQTYSISHEASHSVYTVFSDLFERTTLPEIAPST ARVLVFPPKIILPPRTSLGILLHFSSPSKLAKERAPIYSGHVFVKEKHGAEFSIPYSG TPSNLEEIEPFNKRLTYLASTDDISKGVEDGRIFKFPKENVTDIEDFNLPVMMVGLDF HAREIQAELIPESPIEGFDGRLGGSEPFGPLPPGLWFPIPVFGNLASGELLPEGKFQL SVRALKYGGEQDENSAWQQISTTTFGVEFVGGGD UREG_03363 MDSRGAFIIFVLLFFLFAAPEPHQPAPISKAELQKQLDAEQRAL SWLNSSSYGDLDSQHDRWLPLAGLTKRDGFAWGLLPIVQDRARQQLQFILGASGISVS GSSASQPHALTADSNLTALALPVYRNVTGRIRGDWVRWRKPELANRPNLNLTHIATKH DYFTREFGRNITTNNGHLVLDFQEEVERGKIIDVNGVPVREIKVQMLVEANDGLGESW TLALFGVHFPTTGAIVLTTASEKFAGVTALPHFTLSRDSFRISRQLLSKSLSDALKAK QSRPEHYLPWSSLPHGPSTVAFPSPKCEYIAYLQQHPVMIGTAPAQEPILDAIENELR FPRGAPIPNPPPMVMSAAMFSPDCGFVLESKGPPAYAPQDNLYLSGLKREEQARYAKR FIVIIAGIFILQINLLMRQMKESSTPSTRSRVSFYTIAMMSMGDALLISFVMVQLWSE ASFLLMTATSFLAFFSISFLGMKFQIEIWTIQEPERRGSDSPSSTQSSSEQASTTLPP PAAAATPRDTGATPVILPPDQDGPDNEAPSAQVQTNNESGTSAGAMYTRFYFTLFSLF FLSSWVTFWPTRLAAIYADVLIFIYLSFWIPQIHRNIMRNCRKALRWEFVIGESILRI FPFVYFYIFPRNALLATLSSTEILVFVLWVWIQNWLLVSQDILGPRFFIPKTWVPPAY DYHPILRDASASGSGEDLESGDILPISSLRAEQRDVSEDAKNSDRPSTRDRRKKVFDC AICMQDIEVPVLLTPGSGVGSSGTSVTESAASLLSRRAYMITPCRHIFHSPCLETWMR LRLQCPICRESIPPI UREG_03364 MASNLSIPVPPRTPTPPPDEPAVDSYAASMDVSSDSNSLSPMVD TFASGDPSWNPQRRASQDLSGPFNFKPTVLAKTPIAKSGVGQRRGHKYKHSSVSHQIF LEPPPRAPLALPNSLPIPTLKECRGSMSREQKIRFWWSVCHMAVAGYTLWSAHGSMAM TGLSHLMLFDSLGAMLCVAVDVLGNFEVWKRSTVRHPFGLERAEVVAGFALSVLLFFM GGDLISHAVSHLLETSDHGAHRPHSHERVSPGSLDITVLLAIAATLVSAIGLKNHARI GKAMRFAYMESLPSVLSNPAHFLTLSCSTILLLLPLLSVTIYKWMDRAFALAVAIAMC ALGIRLVSTLGSMLLMSYSGPGVSNVMKDIVSHPAVSGIEEAKFWQVHHGLCMANLKL RVSGTEESLARLRERITSMIKEQLGGVYGAGGQKWEVSLQLDAEQ UREG_03365 MTPFSHVDTGEPVETPVHSQQPHFFNLPLSLRKKIYHFTLVPGR VFIKPFVPTRYKPGNNAQKKYDAPNLVILRVCKQIYEEAIVEFYRENTFSIVTPDLLL YAIQRYPRLSENIRYIRSVEVIFDICDFRYLTSVFSTQLAMMEQVITRQDNFSAVRPM AKQISALRARLVGAEDHTVGMNLNNIECDERNTGEDDDINHQRYQDKEQARQVRRDEA QLQVQAQIRALSSGYTTNTAFSITHNTEHETDMKMLNDILWGRTLSFIRQYLGLAHIS LDFKHCVCAAGCCRLADQVMKWGATKHFRFGLPSYLSISGATEDERGEIVAQMLSAED NGNKPKEGRQGKDGCGVGVDNRHDTKKELERAAGRVVQLEMAREIEKAMKKQILGLLK GREKKKDRNNNKKALDNASQKTRLDGKK UREG_03366 MPVHKVLFWSGFGIAVRLWQLGIEMRPFFNKESLWVYPLFATVG GSFGYWLQGVESRQLKMLSDRKEMLLEKRRRRAEREANEVGINKVEEGGLLASTS UREG_03367 MAASDIEMAGTKRPSDEIENVYRKKFKTSELPISSAQRAAIDSL LYSFKKKGGFDAIRKKIWAEFNGSEAKKSFTSALIEMAESEIERDPSLLSRERGKAAT LIEGAVDRSDLYKSVESAVDALSANFLGEILDVLRSIRRQEVGEETARAEEQKGSTTD EEYARLVQAKREEREEARLKELETKRKLKEERAKADAEERRKQREIRRQQKEAERKER EEREEKRRAEREARREEERKLDEQRDKEREECRRRRDEEEREYRERRREEREKERDRE RARDRDDDRRRDSDRDTNRNRDGRSERTPGKETSRTPKAPTPSPAPVDEKALEDQALE LLLKEGKELAAKSKQRPEFDFEKAEALEEEQQAQAHPPSVKASMPSRPKNERDKANRE VAENRYDKYRARPRDRSQSGSVTRRSSHYDDERYRGKDTDKRDREYRTPRDRHDRDDR STTRRRTRSPVQERSRDQTETGIGTEKTDENETGRGD UREG_03368 MSNPTIQTTGIDPEPEASSNDTKLPATRKTLHQNIIGKLRPLPF QYRWVVWHEKHVESANYHDRLYLLHEDVADIATFYRIYNNYPWEKVRLRDSVHIFRKG TKPIWEDPENVNGGCWTFQVPKAKSQSFFHELAILCMANELQAAVQGEHDHVLGVSTS VRFKTHLISVWNKSGRNEKSIRALGDTIIERLSEDLRPASTKSYYYKRHDEHDGFAAA LEAVRKSQENSTS UREG_03369 MPGLSQKRVRDGSRCGSPPKRLKVGSVSDGNSLVAAHSDGEKLL AETHLNTASRQTSPATSTSSSASTLSGSSSRPPSSGEDEDEPNPVSFDHDTSQSSSSE SDDEPIIVTGRKPSMYQMPTSDLSSRLAAFLPAFKAANDHLEKDIASGKVISVEIPDG NEGAGEGGHEEQENPNQYIEMVCITLCFFAQKANLGLGVLEENPDNQSETSGDHDSHD EGNQQSCKNANILDKLMGNQMASKRPTIEEVDR UREG_03370 MTEKRKGGAGLSSYGADAEGAAKSLDPLMQVALDTVPEEYRSCS PVAVKATAGLRMLGPDLSQKILEAVRHRLETQYPFPVVSKERGGVEIMDGKDEGVYAW ITTNYLLGNIGGPGNNPTAAIFDLGGGSTQIVFEPMFKVPEKMEDGDHKYELTFGGRK FTLYQHSHLGYGLMAARNAIHKAIIDAKHSSNAEDKSWLSTPIVNPCVGPGMEVHVNV TLGEDHALGEKVQVKMAGPTGAPSAAQCRGIADKVLQKDAQCALKPCSFNGIHQPSLA KTFAREDVYIFSYFYDRTAPLGMPDSFTLRDLQHLTNTVCAGEGSWGVFEGIADALEE LKGRPEYCLDLNFMLSLLHHGYEMPLDREVKTAKKIDGNELGWCLGASLPLLSKDSGW QCRIKQIS UREG_03371 MSHTRPVFSAAQALRSAFLPPVQVHARRPAIGSLNIYRPKGRNI SYRPRFDHFNTEPPENAPRLSVATTPSPNAPADSAIRYPIIQTMNEDGTLNPPTPLRQ ALRNVNQLDESLILLQHPTDDYPAICKVFSKKTLRQQQYARTRTAKLKLAKQVELNWA IDPHDLSKRLDQVESFLAKGKKTELVLTPKRRARRATPAEGEKVLAIISEWIEKVGVI QNKPKQGEFLGYMQYFFEGKK UREG_03372 MSNISRSLNVLLRTSRLSLQRPRAVNPVQHVFARDRFAARGMAT AFERTKPHVNIANFPKGTIGHVDHGKTTLTAAITKRQSEKGMANFLEYGAIDKAPEER KRGITISSAHIEYQTENRHYAHVDCPGHADYIKNMITGAASMDGAVVVVAASDGQMPQ TREHLLLARQIGIQKIVVFVNKVDAVEDPEMLELVELEMRELLTSYGFEGEETPIVFG SALCALEGRRPEIGNSKIDELLQAVDTWIPTPQRDTDKPFLMSIEEVFSISGRGTVVS GRVERGILKKDSEVEIVGGNAEPIKTKVTDIETFKKSCDESRAGDNSGLLLRGVKRED INRGMVVAVPGSVKAHTEFLVSLYVLTEAEGGRRHGFTNKYRPQMFIRTADEAAQLSW PGEDQDRTAMPGDNVEMVCTTLHPVPAEAGQRFNIREGGRTVATGLVTRVIK UREG_03373 MARVHNIPSSIDTLFAAPEAADLANVSDAAARSVELLPVGALAA PVVTAELDDGSLSVGAVHAAATAALGVAFCRNGAGGEGGEGDGDDGEEMHVCWFWLDW VRKCACLNSNIDIG UREG_03374 MVLTKLLSVPPRYGLTPVAAITVLVLAVSVYFCAPRRNKKSALP VSVNYHFSRQCNKSCGFCFHTATTSFKLDDERAKVGLTRLKVAGMRKINFAGGEPFLY PKFLGTLVEFCKEQLRLESVSIVTNGSLVKKDFFQKYGKHLDILAVSCDSFKEQTNIE IGRGSGDQVRKLFEIRDWCLEFGVKFKLNTVVCNLNYREDMNATIAELRPFRWKCFQV LMVAGENDSEKTLRDVRKFQISDEQYAEFCRRHKDQPSFVAEPNNLMAKSYLILDEYM RFGPGWARPIGLDSRY UREG_03375 MSHTILFLIGDYMREICRSTDPEAEIVRDHIKNAKLLPGEIMLP LLQRKMKKEQCEGGYRAVLVDGFPRDFAQAISFEETDKAKQRFLNRKLPERPEDTDDM FEKRYRQHEENNARIVNYYHEAGILEETPFRSALDMHPATVGRLRRSTIEVERKFRCS DKSIEQFRRNTGSPPFRRLDHLGKRSFEDAYFDRNKILSTNGIWVRQRNGQWQAKVRP DAKQGSFTNSQFEEFTKASQIAQMIRRYIDPSLVSSTGADNFGLAQIARFTTYREMWK VDDKFDVVFDRTDFGHVVGEVELECEIQVDDDDGRSLVQKQAAIAEMDGEIGLFMRQY SWAFPIAIDARCRRIGEWHAGQIPQQRRHVYYAALRSESSRSVPRQSIVIPHEGKCAV TQDTQRCQEEPFDPGENDAKTVYRSGFGGSLLFAATAYTTGHGPHLIVIIIVSSLPVR VPRGTGQVVVSSAPPALTVLLCGIRAAAFLFFANDANHNCSMFRGTCHVFRLWIPLGA RTCTIVAEDQADAAKAAHARRREQVRRAQRCIGASNHRERKETYIKALEQEFRTLRNE EDSIVMETQKVADENKMLRDIMRANGLAFPGKPLAPGSQNRPPTIVKVIGDPGADQRL QVAVDGASDIPRIFPPDNMSPDDRKTTSSDHTTASPQPNIKHESPQSLSQSPQDQTVG PNHCNHGSHPLPSIKHPLGLDSTQVGVDFVLFLERHCLQHARTSCAKSPFSGHVLTFQ TPLLANGPATLHDNDTWEIPACHLDRLFELAGALDLAGDITPVQAWNRIRQHPSFHKL DAESLRNLTVLLKKDVQCFGFGAVIDEELFNMYLEQTFHSL UREG_03376 MPITEIALLHLKPTVTIDDAPVRSSLRAAKLAMESFTGYPFHFY TQVDDPSYIYVVGSWATVAQHAEEWIPSQKNQEILASVRDLLDVDWMFHVDIDQFATG DSPAGVMPVLAPTLEITRHFVQAGKAQVFLAKFEESRESLAEFTAPQPVCGGWRIDRE REAGNNGMEKEEFLLFCGWRDVAHNLEFAQTEAFEKYKETREYLTAIETKHVTRWDI UREG_03377 MTPSIADSGTRRPSSVSSDSTVQGDLGAIPSKFEKSGQLQLDDT DFPKADASSNENAADDGMEYPGPLALFFIVIALILAVFLMALDMTIVATAIPKITEQF KSLDQVGWYGSAFFLTIASFQSTWGKGYKYFPLKSVFVFSILVFELGSLVCAVAKNST TLIVGRAIAGAGASGLVSGAYTIIAFAAPPRQRPAYTGILGATYGAASVVGPLLGGVF TDKVSWRWCFWINLPIGAVSVAILFAFFKAPPAASPVKATLREKILQMDLVGTSIIMA AVVCYLLALQWGGVTKSWSDGKVVGTLVVFGVLVIVFVINEIWMGERALLQPRLFKNR DIKVSLIYIFFFAGPFFAMIYYLPIYFQSIKHVSAAQSGIRNLPFILAASIFSIVAGG LISTFGQYSYIMIAASALVTIGSGLIYTFEIDTPTGKWIGYQILMGTGAGLAIQVPVI VNQALVEPPDLASITAITMFLQTMGAAIWVSASQAGWVNKLLDRLPQVAPNVNPELVV ATGAAELHKVFQGDDLQGVLIAYMDGLKVVFLLCIAISAVSFVASLFMRPKNIKGKAS MAGAA UREG_03378 MDPLATHSASFIYRSAPGAMLDSLASNYEPEPPRKKLRKGTKSC VECRRRKIRCKFNASRPGRCDECFSRGVSCVDQENAPVESYKPHHRGTEPSYSLRERV AVLEDTVEKLVKQLGDGDAKNRRDGSQRSPLASESNSGYPTNCAPTPSESAESSDGQT EQAPLLSLFNNQIVYRSRDTDNDKHALQNLPAKNIAMQSLLFSVMPPLSDIKKIFHNS PNFQVHLLRKYDEFRDENLPSLLTDRPEGAQAEALGQLAKLLVFLVSIVHQLPTSFNY GDLQVPLCPTDFIDKALSALERTAFSDEDIGSTIAGMECIFFAGKYYVSAGRPRKAWR LFRRGIEFAQLCGLHLSTNRPIRPDDVMGRRRAFVWCGLVCSERYSSLILGLPYAVPD RYVLPHIEHLANTNFMTATEHYMFRICLFAGGIIDRNQDPRNISLSKTLELDQELNAL LNQMPQFFSSADYDGTVDALICQFVHHFMQVMVHLPFILNSDMDSGSQYCRDVALKSA RNCINTYVNKRLGNAESKFTVCKMTDFQMFTATIVLSVHLLGRSNFPEFTAEENASDW ELLRQATQIFREISNSPCGSVAAQSANVLEMLYGCSTPAKECRKEHPNGCKVTIPYFG TFFLRPRNSFRPGEPSHQAFSPEGTKQRSLFYVGSSAHITPSTSTPSSEVTPPERTGG NSSPVWEDPSVSFGNILSLPNVDFDFGGISKADEMGYWRMDPSSGLDIRLDQGWNLDW LNPNDFPAP UREG_03379 MVSNGHFASAGDDSFATSYEHGVQVVDEDKEFNRNLSKYLAYEN VTPAGFNYHLISVFGSQSTGKSTLLNHLFGTHFSVMAETERRQTTKGIWMSKNKRQEP QRENSLPHVQNPNMADNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWE HQVGLYQGANMGLLKTVFEVNLQLFLKDKRSSPRSLLFFVIRDFLGTTPLQNLQNTLM QDLQRIWTSLSKPSGLEDSRIEDYFDFEFAALPHKNFQPDKFVAEVKKLTLRFREGHR EPSKHNKTEGGIFLPEYHRRIPADGFAVYAEGIWDQIVNNKDLDLPTQQELLAQFRCD EISREVLVAFDEAIVPFETKQAEAAQSGNPEVLGGLGPAMKNARAKTVKGFETEASRY HKRVYQMKKAELEEKVDTRLKALFAGQLAAAHKSGISQFSDAVTAAVKAGQKKGASYD FADIVSKERKLALETFEKDAKATVVDGTSWSNYTQELALYQKDLEKISAQLRKDEMRR LATRVERWVRSRLGESVGLEFNALGSGRGGSGAPETGDKPSEDTIWDRIWSIFVDTVL DAERRFTERASSFDASLEEVDVGLWRLRRKAWGVLRSKIDEEMMEGNLLLKLRENFED KFRYDAAGVPRIWRPTDDIEGLYTKARESTLTLIPLLSRFRLRETDTPPQLDRWVGYT PSSATPADEEDLAPIGGVDDDGMSLEEEMTMLSESKRQDLTVRFKKAADGVYVEAKRS AIGGMTQIPVYFYILLLALGWNEIVAVLRNPLYFFMLFLCAVGAFVTYQLNLWGPMIK MAEAASHQALEEGKKRLRDFLEPSEAGPHAARYKNSTEEYEMSNVKAPQRTNSGDDDD EDEGSW UREG_03380 MKILTKEQEDAHYNAVLKGGSVGGVLGLAAGYASVALASRRWAT IRNLTLPMKAFLVTSAGSFAAVIAADVSSRDFDYSVHQEKRLMSERQARMRQEERSKM TTMERVLDTARKEKYKIIGLTWAASMIGSFALVGRNPYLSPQQKIVQARVYAQGLTLA VMCATAAFEISDQRKGQGVLDSLKAKKEREAREASKVKDGDDLWKDMVDAEEDRIKRR EEKAKAGH UREG_03381 MENETNLDFAMSRLVCSSIFSDASAGKRHVYPNPKLLANNQACV EPHLHPIFIPASVTSRAPLWETDYNCHKSNSTYFSDLDVARTVLVTNLYTPGFSILQK EMDGEIDEHGKRKYPRGRLAVMLGSVYCTFKKEIKPYELYEMQSKIAGWDEKWLYVLT FFLRPEKRKGEGKTLLAVGLGKYVTKKGRLTVRPEKVLRASGLLPDRPDGEVSVTASS LETPASGEAINAEDGLEESGIREVLTLGESTNLDQAVLENDKKTRSVSNGSLWTWERI EQERLRGLETVRGFINMDAKLYEEATLV UREG_03382 MSTRDHVKQSPMPVQEGYPRSSKEFSPPSSRSRKRTWVRNLTMS LLIAAGAATFSKYIFPLGSILGAGSLQPIDPHDYAARADRILSTTPLIDGHNDLPYLI RLETKNKIYDHEKLPFEAGLLSHTDAKKIRQGKLGGQFWSVYVECPADPSAGIDDPSW AVRDTLEQIDVAKRLVDEYPDLLEYCETASCARSAFKKGRVGSFLGIEVHDLGVRYIT VTHNCDNAFATAASTVAAGKPDHGLTDFGREFVKEMNRLGMLIDLSHVSHQTMRDVLS VTNAPVIFSHSSSYALSKHLRNVPDDVLRTVTKNGGVVMVTFVPLFLKVNDPASVTIH DAVDHILHVAKVAGWDHVGIGSDFDGTAVVPKGLENVSKYPRLVELLLERGVTDEQAR KLVGENLLRVWSKAEDIAYAIQASGQKPNEETWSGRKWTAAADIPMPSMFNDSAERRK QLE UREG_03383 MTSENRANQTLVGELFEESFTTIFDGNEENEQRLLDFNNMRRSG SDLKMDEESELLESSVPKSVGGSVDRTPYNPSSGKDGEAEANSKSEPVQNLRKRKAKG GETSVKFRQSPEPKVVVESADTQLESSQVTTEDQSSLFAEKDPDSTTEDQDQAQTPQK TYEPTGKVHRGTPEQAIATRPMSKLAKQLTAPLPLKSRQPTEHEAQQPPLQQNSPDPQ VEQEALELPQNQEAQLSKSTKSAGHRAVDAVVLPPIVPIEKEEIGPLAGASSASESAE RKDISSDVANKPGRCYIL UREG_03384 MGSVVLPHLPTAWHVDQAILSEEDRLVVIRFGRDWDKDCRPYHP DLLISFQSSNSRPGMAQDEVLYKVADRVKNFAVIYLCDIDQVPDFKQMYELYDPMTIM FFFRNKHMMCDFGTGNNNKLNWVLEDKQELIDIIEAIYRGAKKGRGLVVSPKDYSTRY RY UREG_03385 MAAWITSPRKLRLIYFALVFVTVVVVIYSDAIDRLRFEFVVRKY SPCLPQIDESSGPSGPIQNGDQSALPNCETFSTSPFARDGIQVVLKIGGAESRERLKS HVDGVTACIPNLLVVSDMEQVVGPFRAHDVIRDVMNVLSREDRKTYQRQRENYCYRND KEPKPTPGGWKLDKYKFFPMVEYAYRQNPHAKWYVFTETDTFVIWDNLVQLLGRYNWT DPLYMGSPTPGRTLGQEWGGRKSFFAYGGSGFVLSAAAMEILLQGQAGDNTDGSQLLT SKYRKMIRGDCCGDSVLGWVAAQHRINVTGLWPMFDPAAVHDTPLGRTSWCQPAISFH RTKAPDTLKLWRWLQEKRKDGMSLGRPILFSDLVDFLGILNVAFREDWKNTNAATFEK QFLDSFESCRAACHDHPECLQFSYHHRRCHLVREIDLGNPAEPEGDGDSQHNRWLAGW DVEKIKRFQEAHPCGQVDWPAPSLDRIF UREG_03386 MDKPGAPKHSQPKSKDERPATCSPLHAGKTEHASQQEAAAAPGG VVPVSSTIPRNDQENQLGPQLSQLDSRTITFPEGGLSAWLVVFSSFCLIAASFGVATS MGIFQSYWQVHQLSTYSSQTIGWISSVQVFLTLSLGVQVGPLFDRYGPRWLTFAGSVG CVGYVLLLGQCTQYWHFMLCFGVLGGTSCAILTTVALSVISHWFEARRAIATGVAFMG TSLAGISFPLALNPILDTFSWAWSMRLLALLVFVLVLLGNLFIRGRLPTGKQKGVISL NCFLDPRFAWATIGISCFEFVLYTTFGLLPTYALQQGFGQRTSYNSIAVLNAGSAIGR FAAGFIADRYGRYNSMILSILISIFATLALWLPVGHNVTLFYVMVPVFGFGSGSVVSL SPVCIGQLCKASEYGQWFGTSYSMVALATLISIPIAAELQSRAGTTAFVAFSGGILIL GPHRHCDREMGLPLDIGGEDEQKYGIRPHECSMFNELV UREG_03387 MVAEKISSEDHPQVYEEERVESNTGKTGQDGGRFNKLISEAFKG KADLDTIHTDIGFELYQQSLQYDQEELAKSVDKVRWKLDLMVLPMMMTTYMLSFLDKQ TLNYSNAFGLQADTNMTGDDYTWVASALYLAGCRAYPSNLALQRFPIGKFVGYMLFVW GTLCMLQAAVFDFSGFFAIRFFLGAMEACVSPAWLLLSSTLWTREEQPLRTSFWLSSN GISSIIGALLSYGLGHVDNLAVPNWKLIYLVVGAITIAWGIVLVLFMPDGPHNAKMLT EYERIVAVWRIRRNKTGIQHPEFLVYQVKEALTDVKTYLCLLMGLCYGALNGGVTNFL SALIKGFGFSGLEASLLQTPSGGIQFVTGIVFGYISTIHNFLGITIIISCLPGMAGLL GILLTPLKHRYALVGCASLQTIVGSPIVLTWTLPALNVAGHTKRSTVMGLYFICYCAG NIAGPHLFLPSEAPRYTSALRGLLGIYAGGILVQIAYLLLCFWDNKRRDRQAQPTDEA EAAREGFEDVTDKENRGFRYHL UREG_03388 MSASTEPQAESPLVDSPTVENEEPVANEVISTDHLAAADLSDDE SVLSDVDEAQFEGFDPTNIAIDDRPALAIDEENLKLIGRHKRRRTDEDEDAQRKKKKK ESKRERKSRRKQRDSDDAFSGGETLEGKRSRKRKDGRERSSKVVEEVNEEDLDPATRR RRALDRVMDEALKKPTKRRARKADGIDLADQADAEIEDVRRRMTDAARLDSIARRENR PAQHKLKMLPEVSSLLNRNQFVSSLVDPEINLLEAVKFFLEPLDDGSLPAYDIQRELF AALAKLPITKDALIASGIGKVIVFYTKSKRPQVGIKRQAERLLAEWTRPILQKSDDYS KRVYEEVDFDPSQLALRHRQVSAQVTAAEARARELLPPRLANRARAEAGYTTYTVVPR SVMVQENKFARPLGASGEDRFRRMKARQVAASKASRR UREG_03389 MSLFGAQKPMFGSSLTTSQPQQSGNLLNSSQQQGTSPFGAIGGQ AKPGGLFSGFGQQQQQQPQTQQQSGGLFSGFGSSTQQPQQQGGGLFPGLSSSTQQQPQ QQGGGLFSLGSQPQQAQTGGGLFPGLGSSTQQPQQQTGGLFSGFGATTQQQQQQQQQP GGLFSGFGSTAQQQPQQPQQTGTGLFPNVGQQQQPFGTTLGGAGQQQTQPQLGQTLGQ TQATCSTIWTPGQGMTGVHRTVPMQMMIVKDKWDPVNRTSPFRAYLYNNVGEESAPFF QPSPNDDENKWEDALRKRPGPGYVPVLVRGFWELGKRAQRQRDFLAMMQTRLHEINTA LTDLLSRHDLNISVKIAACRRKHQMLSQRCLALAAKTQLLRNRGYAMDDVEEELAKKL LQLERSMFDPSLNGRSEEIWARMLAIRENTKRLQIELERTGGGTAEQEDDTLSDTQLK TAKKILDDYASQIQHLNKELAALQKDYETLEQTAAS UREG_03390 MPSKSATTPAKAQELAKLWLTKGGISHEDSDDELGCEDLPWEWI YESDTATNDSEQLQDDTPRKKKGKLSNIARTKKVIGARMGSFECRLGQAVLLKSPEAG KDWAGIICEFLEERDSDEEDNLGRGPVKAANIMWFASPDEFLSTRKKKRSDALPNEQY ITVDFNVNPLASINGKATVLSKDAFYAKYPNGKPPKGRAALAEYNKCIICRRGVNQLQ GRYTEEFVWEEVFKENEQGIFALIETITAGLKRTRKRKVDEPDTQIHNTAPTTPKKKQ KTTSVAGTPQSQRRKVLMTPTQKRYLRTSAFIVSLEDIDSSNRILVKKPLEFTPLGTR VLSPSYFSSPYRQARNLLHVSTVPNSLPCRDAEFNTVYESLRVAITEGTGTCIYISGP PGTGKTATVRDVIAHLNAAVLSEEMDDFIFVEINGMKVTDPHQSYSLLWEALKGDRVS PSHALGLLEREFSRPSPRRVPCVVLMDELDQLVTKNQSVMYNFFNWPALRHSHLVVLA VANTMDLPERTLSNKISSRLGLTRITFSGYKHQELMEIIGSRLENVPGNIVDSDAIQF ASRKVAAVSGDARRALDICRRAVEIAEQTSQSIQANANSHALDVDEDLPPTPSKSTKR RGQQKVKESLPISPSKENNKPPQPALLPRVTIATIKQAIQEATSTPLQQSLRCLPLSA KVFLSGLLARVWRTGITESTLGEIQDEAKRISEAAVAIAGVASAALKEYILSQSCVHA MGFATVELMNAGILVLDGELGAKSAYGMGYALGKGNRSGRVRLRISPEDVKAAFRDDA EAKMLGIRFEN UREG_03391 MDVQNPITPDQAVRTSVKRTIDLFGSDYLLSAPSTSADGDSIGV SYRRKVEYNDVQTLPPSLAEKQAKATAAGRIKRPKTRTEGGAASSTALVKSSPSTGGQ NNAPTSLVRRPAVSQQPKPEWHAPWKLMRVISGHLGWVRSLAVEPNNEWFASGAGDRT IKIWDLATGSLRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNKVIRHYH GHLSGVYTLSLHPTLDVLVTGGRDGVARVWDMRSRSNIHVLSGHKGTVTDVKCQEADP QVISSSLDATVRLWDLAAGKAMGVLTHHKKGVRALAIHPKEFTFASASAGSIKQWKCP EGAFMQNFEGHNAIINTLSVNEDNVLFSGGDNGSISFWDWKSGHRFQTLETTAQPGSL EAEAGLMTSTFDRTGMRLICGEADKTIKVWKPDDEATPETHPLDWKPTLGRQRY UREG_03392 MDPDYHAKFAIHEATHLSTPRKQHCDLTKPPVAEVESFLNANPK LAALKDGDERLPVHWAAAYNQLPIARLLVSQKDFDPDVTDSSGWTPLMIAASLKEGEG DPILQLLLQKGADVNVKSVTGQNAMHFATSKGNTDIVRTLLANKCSARVRDNRGQLAL HRAAAIGSVPILNLLLEEGKSPLNATDMDGLTALHHAISEGHGEAALVLLRAGAEADK KDSEGRLAIELAPDSKVRKFILQAAEREGIELP UREG_03393 MSQFPKSSKWSVGSFLQQAVAGVESRLDTILAENDEETPPRNED NTGSPAEQPAPGIGKSSAKPTPVGISRSSSSSRTNDRLQERLARAMVKQGGSSTNVAG TSSPTGIISRTPSPLPVTESRTSVDSTAGKSDISMKTDAPSDSVTFTPSTPAQRTSQE ILERLRETAGSESRQSTSSDATTLSNPITDEFHNGPIPAIGVVAPPRDEGNETVDTAV SQLQAEHSAAEAQWQQEMHTYIEKIDALQAKLKYLAKEAAESAKNAAASAAPGSIEKK LSEKDERIAALMEEGQKLSKTELEHRATIKKLRQYISESTKSQADTKRRMEKMEKDLS NAENKAQLFEQAEKRALSKLNSQSKAEKDLESANAERSVLNAKIANLNLQLSKAVARA ETAERKIKSESSEAESRRVAELKDDLSSAKIEREISEEKLRREIRDLKESLEREKERG RVQEMELRGEISVIEGKMETLRARAEEASSNATGDAQAKLLRQIERLQTQYAVARENW NGIESSLMSRLANVEKERDEIARKEGDLRRKVREANLKSKRIEGEAENSREIVREMER DLEETRQELNKLAQKLAKAENDLLEAKQEFITQKELQEATWAQRLEEEKSKWHEQLQV SPSYLHPHSESPIALSRRSDTLGTFPDRPSSRRSPVLTLFPHGQNTPPRQNSYSSLNS NTGLRHGSHDTSSTSLEISSTQVLEPEEYFAGPMSPPTPSAPGTHHSRGINDIVSVST VAAGPSVQLVERMSATVRRLESERAASRDELVCITSQRDEARQEVVELMKEVEDKRAC DKRIQELEAAVQSLDERYQTTLEMLGEKSELVEEQKADIEDLKKIYRELVESTMK UREG_03394 MSLDSPTYLNSLQNNIRARPIPWEGAVRAGSITEEQLQRIKEVD KVRKDQRQKNAEKDLSAYTTLLTGGSSGKSILESAARRTDIIQYVLVLAGDLINDVPA LKEALVADSGSYRHFLPLLHHSTNSEDPIPLLTSAFLTNLISASLLSSPKTSPKDEEA LPRLFSYLATLTKSADTGLQDIGVQGYSELLRTTRSRELFWNQRTATVEPLMDILRAA AGSGKENGTTTLAGSSIRTAEMKIGGGVGIQLLYHVLIVIWELSFEGGLVGEQLESEN EIIALYTHLLRISPKEKTTRLVVYTLSNILSANKACLLPVAVFVGLPALLTTLAARHY VDEDLLDAMAGLSDMLDEYTKTQTTFDTYSAEVMSGHLRWSPPHKNATFWRENARRIL DEERGQLPKKLAEILSKNWDTDKQVLAIGCHDVGCLVREVPERRHQLEKLGLKARVME LMADRDESVRWESLRAVGEWLRYTFEN UREG_03395 MPPSLDSPGAFSVSSVGRSSVTAATSIQSRDSLRSLQSQKFSYP RSPGSPGFASSPIRRKPLPPHVAPLTSKTGDSQSPLASSTITTASFSSAAIINSANKT TSPIYPPAEPFTVRDLDKFPHGQTPLHTPHGSLGVFPIPEVSNTRAVNVSSLENPVHT RLASQPVIPTSNQDPAIRQEKDHKRSVTMALHPPSNRPPPLRIDLNSRTMSSGSNDYN KQLPKTPGNRITSFFGWKNATSPGTESNSTEISDSILSPMPSPMIASTQPSAQSSRFP SRDSFAAPSPKLPPYRAQTMPVDHAVTSKLAELETELREISCELAGSIRREMELEDLV ERLQIEMNLPDANHRGSDYFSDSGYGSVKYALSDAGISRTEDIEKMKRSLEQERAQLK VDLSQKVQEERSRRQVAESHVQILEGQVNQLRREKVDASNAAARAKELEAALEDTRRR LTEERQLKDNFEDLLTAMRVELEQNRNERDQLEARMQQEIQVLRNENSLLSQSRKMTA EIQPVQSRFNSIAEEDGEPPARMAGLSRSNSLARIPPSRPTGLSRSGSLSRPSSIIGK DREFRESLADKVKDVEMQRDALHQTVKSLLEWQAYQAKAHEKRVRILEAEINRNRQSD TPRRRGYEREVGTLREEINILRRRADDALEQKWQCEKGLAGLKMDLDRAEQETSSLRA LLLEHAVNPADHGLADDLTDFQATAKSLEEAYNQLRLSSHERSLSAKESGAEDDLISQ VLQQVAMNSALRNRLAQAIGRGEQEQKASAERINDMQTKLKFLEDTLVLAQQQSEDEM AKHEQEISVLKENHNAQLFRARNGIRSPALLSPLLPTSPFSGPRSPRLQVTTSGPGIA LNQAVHVADLEVKVKELEKALRDADKEMEQVVGKMNKAQIEVFELQTDRDEALRQTRK LQAQILEQINNRDA UREG_03396 MSSEEIFNSTFSTEDLTELKAYPLRWIVFSLLAIRLVGTIGMGL LLGFSSIWLRRNYYEVFLLVHISLSAVVLVGLFIHTSVFTGGYDRYLWPVVIVWLLDR IVRIIRLIYCNLYIRQNGEALLSSKGTASYDSASKLIRLEITPGSGALAPRPGQFYYI YQPLRWRGYESHPFTVGSWSQITDNKGFSGAEIVHSEERKSGYPAKYTLVFWIRPFDG WTRRLRSQCIHSEGNKIETTFLLEGPYGTSIPLYNFENVVLIAGGAGFAGVWPHIEDH MRRSQSLDSQQTHVGVHSPAAPLVRTKSITLVLASRQSGLFNELLETETVCRLSKLGV KIEFYSTSRSTNSSTNIQEPDENTRLLLEENETLSGQHAPTFIPIKHGRPNIRKILNQ ALCNSCETEAGSSNTNMRAAVLVCGPAAMSDETRIAVRELLQKERRGIEYYEEAFNW UREG_03397 MELIEEYLIPVLNTILQGIPPSLYLQLASYVTAANDYFNTLRFA YAEPYIITPINTLLNSPPDLYSILILFIILVISLKVLDYARRVITFWIILFFRLVFWG SILGGGYYVYTVGWGKASQDAAWILGLLEGFIQRVLAENDASGKSGSRSRYNSAGRGR R UREG_03398 MGSIANGVQRREGTFLFTSESVGEGHPDKIADQVSDAVLDACLA VDPLSKVACETATKTGMIMVFGEITTKANLDYQRIIRNAIKDIGYDDSAKGFDYKTCN VLVAIEEQSPDIAQGLHYEQALENLGAGDQGIMFGYATDETPELLPLTILLAHKLNRA MKEARLDGSLPWLRPDTKTQVTIEYAHDNGAVKPLRVDTVVVSAQHSEAITTEELRKE ILNKIIKKVIPSNLLDDRTIYHIQPSGLFIIGGPQGDAGLTGRKIIVDTYGGWGAHGG GAFSGKDYSKVDRSAAYVARWIAKSLVNAGLARRALVQLSYAIGVAEPLSIFVETYGT STKSSDELVKIIRDNFDLRPGVIVQELDLAKPIYYQTAKNGHFTNQNFAWEKPKTLKI UREG_03399 MASHRSVAVLEPATSASLNVQYTSPASPLKRRKISHGDRDDSLF PPTITIHPHGPSADRPQTLAPIALLPRSKLPLSWLDPFPGSSQSIQSGKLFISNIFAL ETALRNKNEGVVLAARLAGNFSNSSGSVDDTSCREELYVIERVKSCLYAVYALAQCIQ EGDLVVASKGWKPKAEANYSFLEPKTGKEDWFERAQIPGAFEHEDPMNSVTGNLQLVF GQATEDTSINGPSHCTPAEAAAIELASLESEAQPQFLVPEKTEAFQPKDATTMRLSDL YYFYRECIVPVKRIDTKYRDSIPQIIRNLPLDMCQGNMLHPSSNGRRRKSKKRKLGRD GLYPNEEELIAKWWKHRSVTESALDQSFGDGELKRLIADLRFRETQLQILLILETISL ESSTGTMEGNSNSEPQDSKPKKTSHKKPEDLSVLLELLVDRLCIWHTVGTDDAMPLDA VNKQDKSQPGKVENDKLRDFCTEVIIPFYASRVPEQCKSLSRKLGGPVSTSPKRPAIS RRKCSTETADGTVNRPIQKPRRTLQRVLTDEKMAAARVVPSLTRSKTDTCARELKRES SESASLSLISSGRGAIQKPKRRDNREVDLDAVAKQHEMKLKKMASLLEQKQELDAAIN ALRKPNRELVSRDWVDSAAKRASTGSLRKQKDPIRNPFGEKVQVMATPRGARKKDLGM VRVPSLSKKWERLKQETASSPSLETDEQTVPSSVPRHSFELGRNTSTAIPKFVQETPS KPILQAPGLVQPDFLNTGENSSKAHKIFRQSQHSLPVANITTPTKPRGSGSTDEVSAC YVAHGIRNGNPFATPCGIKETPPQSLLRRFNTSQSSISASASPLKPADPVIFSTPAKR TELVVSQSTSNKFQGTIGLRHGQSESIYNQLGWDGDDDDDDDDNDELALPWSNGVNEY UREG_03400 MERISKPEYIQGWLESLDNTTDTPHQHFASCLEKKRIKSSSRLR QFHKQQQPNLSTQSNMESNNRHNLRPRPRVIYTEQQSRTARQGKPLSKALLPTAPTRG TKRRRTNEETEFPDEVAGYSTFATSAKSSRRQLLMLKYAQSSVKNLFQQRYPMEAAVS ELDRGEQAVPTAQGQELFRAINTAYRLADEAFQDLGDEDTWSISASEILKRFISTDET VPSFLSVVPVHNISIAPSDLMPKVDHLIPFKKVDYLISFSSKHGDVGPLTSAALKSQR DLSLSQTLDPRVGSTPHFVAVEVKTPDGAYLPSSVQLVTWMAAGLEKVRQLKEEAEQI SKEPEQGDILLPFIGISVTGHIWNLLITAKAEDGTVTMYGPIIMGDTLTFEGVFRLFM VIDEIKSWGENVYWPWMKGRILEPLANIEAIQQEDVASASGDRDS UREG_03401 MAKDDPVALEVWEKVEMFALVATQDVETMSVWMSIWTGIPAGAR IAEPRVMGFSVAKFGNLSWETHHGQDRVFASILTLSQERSITSACTPSIQSPGPDMPS LAESSNAMAARFQSGLAQGVHESGRRRST UREG_03402 MYTTSTSVQGSLNGLGSDLVDGSGTINPAALNNPATLHSSPLSA PVAPSTTNDVPTTDNSHVSGLTLTRGIKRGRSVDQNDEHAEGDGVEDDEQVRRKRGRP PKHPRPSGTTPTSSPLASQKQTRPSLPQQPQTPQLSTQRLPHMANTPASQSSPPPRTT PTKPVIKALPTVRDHTTDQLSPEGDEYIPKEYDTNGEKKVDALGYLQNGRTYKCRTFR VHNRGQKLFMLATECARVLGYRDSYLLFNKNRSLYKIIASQEEKDDLITQDILPYSYR SRQIAIVTAKSMFRQFGSRVVVNGRRVRDDYWESKARKQGFTEEDLAGEKRPGGAKAR EAAEAANAGALPALGHGDVIYSNAPPPDGLVQAPGLPPGLTTSLAPLPMISPVPSDDP RMREYSSMPRPRQEMAGQPYQNRIQSSATPELINQASHTTEFSKVLNQQRGFRGRGLE DSWFKSRDLPTSDQNLPPLSGQIEPNLTTSQTLQSPQLTSSGILSSTPIPQQPQHVLT PQPSFTQSSINHSMRGISQSIRPDQLHHRATNNTLSSVGTSQAPLYTYQPQQMWGQPP PQPIGTPQFNPQLHSQQSPSPHLPPHQSPRHLSRPSPSPQLHSQAQTHGQQNLVAAGY PSNTGTLYPSMAAPRGSYSSAATVGQPYISSQSPQPNIGLGMATNATLPGWPSTAGGS LQPGQSQAGASQTGWPSTF UREG_03403 MDYMNNPFAYGEHNVGLLDHPPIGYSVPFSFSQCNQPTDPYFAS YHPAEISAFPGFYAHSGPFEDYDEYVENLSRPRLTKEQVETLEAQFQAQPKPTSNIKR QLAVQTNLTLPRVANWFQNRRAKEKQQKRQEEFKRMQAMKDSEMPKVGESSTSDGKET LSRTEGNQTVDNLTPLPTKSQLSTQNDCNSDSESRSGSSTRSHPTYQEDTSVSGQSCD SHGQSEKTNTTKKANGDYGCLAREDSVASEQYTSSLATDLPISTPSTWDVIHSSNASS TGNMDASQSSSSPQCDDFSSTTGWSQESSHVGKPLFRVSYNTCELPSELPKDSLYPNG GSFMESYPASEIPTFGVSGLAVSRQDTCPPDHIDHSGPGNHLESVSITEKSSEEESHG PKISPSNTSVFPHRRDKKLDLAARRKRPRPAAIGIGGPNRALIGPSSMSPTARTPSWG VSHSLRHAKSSQSLGSNLSPRYAGVRKVSAPLRSPLGMAASLDITGTSYPGADFMVPP LVATSMAPPTPLTPEGLQYLLPPTPNDVQYCLSPTDEMRCHRPFPVQHYIENQSPPRT PQPPNVLSQLHYQSLAPSLSASTVSTVYENYSLPISHDLMQNNLWTEPALVPGNISMP EIHMPKPTYISPVAHQSHFYGLHIANGEDLSTSFPSSPSQPTSSSLAQKPNAELLIKD IFNQQENQGHTTPAF UREG_03404 MATGSLPPFDLSLKGKYTRKVANNSALHCANLFAENLSGGNTTG ESKLVVRRVFSVRGFASVAEQGLKLGWLAEVTGGLFGGGKTSEMMTWIASRKGPAAVL RQ UREG_03405 MVKLGKTSKRTPVRLRHKIEKASAAKQRKQRKLAKKKKPSDYVR ARQRNRAAGTEILPDAELVEDEQISQDDDLLDEDMADDADESNPMAALLASAKARAVE YAQQNGNEGLDDEDMDGMDEDDQIANGDNSVALGPSSGPRSKETSRRAFDKMFKQVIE AADVVLYVLDARDPEGTRSKEVEREIMAMDGGSKRLILVLNKIDLVPSPVLKGWLLHL RRYFPTLPLKAATNAANAQGFDHKQLTAKATSETLFQGAQILCTQQAVEALYISWRAF HLHVPLELKAGVTTNLREVKIDSKLKLIDSPGIVFPNSDTSKSSKRINEEARLILLNA IPPKQISDPVPAVTLLLKRLSTSEGRLSKMLEFYGIPPLFPLNGEKTNDFLIQVAKKR GRLGKGGVPNIGSAAMTVISDWRDGRIQGWVEAPILQVGGTENVQVGSTGVGPDTKQV VAEWSKEFKLDGLWGDKEIPHDEME UREG_03406 MAARKSTDNIERDTASPEVDESQLKSGKEPVESGVNVERLAKGV LPPNTSIQRDALLAITKAATVFVSYLSSHANEETEKKTITPQDVLSALKEIEFDSFCP RLERELAVYTEAAIRKRRSQTEKKSRGKPPDQDKPRANEGEEGPIPKRIKRDDEKHIL EKEMGEVPATIEDDTEGSRGKDSHTEEVGQRTETEGHESMDDEDDEDAEDAEDDEYDE DDGEDDDGNESTDVEGSQDEGTGNGGFATGAEELSEETDSTGAAKYPDLDSDLASGSD UREG_03407 MSYLSDSSSDLSSPPASPSPPPDFYPSPPSSQTLQGTSPAISET YSAERNETEKRAPQPKPRISRHVNLGMSPGRDQSNDLNMLISAIQNKRKIVVVAGAGI SVSAGILKRVEDTDTVFPVPDFRSSHGLFKTLRKGHKLKASGKELFDASVYLDSTMTS SFHEMVRSLSGMAAAAQPSAFHHLLARLAKERRLMRLYTQNIDGIDASLPPLTTEVPL DFEAELFQGPDPPLCPKCCQEDSHRISAGQRSRGIGKLRPRIVLYNEHNPDEEAIGSV VRRDLRARPDALVVVGTSLKIPGVRRIVKEMCRVVQGRKDGIVIWINHDAAPVGRDFE QCWDLVVKGDCDEVAGLVGLKQWDDSGDDAPIKCPAPDTESLRKKYGSNIAVVVPAST ATNQGEMIHSAPIAKLTNSGNKRSSLNEIPDRKKKGPSKPRRTSQNKKAPRQNQIGSN FRVSKPSKQGAPKGVKPLPCDGSISMAMCPLSPGEVRNNGPLSPGLVVPSTSCSPSWK DAEIIATPS UREG_03408 MLIDLPYETGGAILIVTYSMQGKLCVYRVQVKWETAPVDPGQQK QPGFSPPHAPVIQIIHVKTETPKTVFTKPIDHNVNFTSMIKPISNLTHLEIINVSSDS SSGGSNEPYILAVFSAPIPTLETQQQPNSASSILVRWDLRSASQSLHDSFDEVPPRRP THHLKHRTELVRQNDMYLDQRVLSIDHFEAGNVIALTFDNGNIKFFDAKTVLPSESTD NLTVISNLPQAGFSFPTIGSATCISFSPCGCLAVTLDENSALQLHAMELSLATEDGLY RDGARNGFVTEIYQALSMNTDFTIEQDKLMNNPYIQKCLSMQAALGFNGQFQPRSLTA ALSWFILQLRQISILFAYFLHFNKSGSDSECHEPGMVPEHHELFEK UREG_03409 MSTAKVKTGQLWGKSKDELVKQLDELKTELGQLRVQKIAGGAAS KLTRMCVKRAYTEQILNCALKRLVS UREG_03410 MRKYFNILQFFDRPISHSLCLSCQSLNQRQTARYISSTFQRQKT SGSASTSQLDEFTPQPLSRPLGLPYPPEIGQNTGNDTRTLGQRTNDFINREKHMERRV QLSKEFSKPYFRDWVRMRHHKGKTFLSNSRNFRRDKALYFPNLFGRTLDRLSPMQNTT PVLQGKISVVSIFSSLWAERQTASFIGEKQNPRLHHILQESNGVAQEVAVNVEDNWLK ALLVQSFMANLRRKLPEAKHRRYFLVTKGFTDHLREQIGVMNSKVGYVYLLDQACRIR WAGSSIAAPTEIESLNAGLKRLVEEHQQNSITT UREG_03411 MAFSSAMFSAEAERILENAKERLTHMEGNLSKARKSIGLCLSAT STTANATKANCYPKATLEVGRRAHSSLAGTLLHRQFSDDFNNSNLRDVQESNNSSNYS GSPGRPPETEVKHYRPFSALASASGCTTETVRTGPSDWGDLNRDHERSHRFSTSLHHP HLSALTTKDCREPFSARSQPITLTSKARLADRGERLAFLEDFNNPYASNPPSRSQSQF EIRGLQDQMHGLRTKLSTLKDKTLEDQTRRHSLQNFAESGCYFATPGSPQEFSEQPTG GEVARCNAGTDHEQEDPALLHVSEKNKNCQPESAYDDALSPDEFQQVDPDDFAGTISP RSWVYTKKTEKVRELVPILPVVETLPHEDRVDAFDYERFILHSALGNYDGSKSCRQSY SSTESRETTKPHPHDSDYPDYETAKYYSSSNSSSPVSTMVTTLGTSTEGSDPDDSEQH RSDDISPPPYWKWENTDFKMIEEDMPPRTRLSSITCNRSPFPDLEKHSETDTRTEINS ASADISKTNNPRSTAPAADGTFFLLKSLLEHTADRPNCEIQNMLFHEDAKLMRLVFHS LGRVGLQLGSASAASKAHCDEATASLLRNRLDTALRVLEGELDI UREG_03412 MVYPRPIAINGTAQDHGGEPVPAELRRVAKFKSRSAFSEERRKE VQLVRKMGACLRCRMLKKTVRIRYLGSVHHSLDVCTVLSRYTMFPVYKPSKSASLDGM LCQNPAYDTPRGLFPLHSILVYHAINDIKSQAQFELSTGRIEASHFEANPSYFVTFGT LSTQKQTLPNLDSQLPITVHDAELGLHHQDIHILDGETEELSEKLDDYMKRMSNRFYE NEKCVFVKETLLLAADLAKTNQDELLTGVLDLWVATSILVGPLLPWKLFLNPTLPPAS LHSLTSSSNGWRISIGEGGEFESYALICGQLKAAVEKRAAKTSKAVLNRIEQRLLQKQ RDGNFQTFLASVILLNCVERMSWLFYSWEHGEYSNKVCCPLQIYFI UREG_03413 MGFWVDWELWEKMCFVLGCLIVIVVAYGLGVQIYNSRRIKRMAA TEREVKSQQGATFVLDDLKNDDIPFGSRAIESGIEVEGIWISSHNSPRRSPLQAGTPQ ETRPPSLGQGENA UREG_03414 MSGTKRARDSNKSGTQKKLKLNSSLPPSQYQTDIEQEEDQTEDH GFQDSYADNSKDLISKWQHGSNGLAAKTRIDDHPSKVVSKHAHVKQKSLTRERKSAKP NSQLISRTKKLWERLRLKSHVPREEREKLVTELYAIITGRVRDFVFKHDAVRVIQTAL KYGTAEQRKKIALELKGCYRDLAESKYGKFLLAKLVVHGNSEIRDMIIPEFYGHVRML IRHPEASWILDDIYRIAVTSSQKSMLLREWYSPEFAIFDSSHPTPTTSQLSEILVEMP EKRGPIMNHLLELINLLVQKKTIGFTMLHDAMLQYFLNTKPGSTDAADFMELLKGDED GDLVKNLAFTKSGSRLMCLAFAHSNAKERKSLLRVYRDMIPMMAEDIHARTILLAAYE VIDDTKLTAKLIFPRLLCEHLPETQRHNNLVERVNHISSRVPLLYLFGGETLSWLLNS VDREILSEVRQARSETSKKDPVVRRNELIKAASPIMLQFISSAAEALMETDFGCRCIT EVLFNATGEKGPALAAVAATVTAKPERMEAPFVGRMLKHLVQGGRYNPNRRTVEKLQT PLGFDVLLYECIKHDILSWLVGPNPFVLVAMAENCAFGKRDELLKTLKEHKQTLHDLE SKTDGLSEKAKVEVQGANGAAAKLLLHVIDQKS UREG_03415 MGTEDEATDYGDGNSVAPGAPTPLSTLEGVNGLTVKDIKLLIDG GYHTVEAVAYTPKKVLEQIKGISEQKAARVLAEAIKIVPMGFTTATEIHARRADLICI TTGSKQLDTLLAGGIETGSITEIFGEFRTGKSQLCHTLAVTCQLPFDMGGGEGKCLYI DTEGTFRPVRLLAVAQRYGLVGEEVLDNVAYARAYNSDHQLQLLNQASQMMCETRFSL LIVDSATSLYRTDFSGRGELSSRQNHLARFMRTLQRLADEFGVAVVITNQVVAQVDGG PSAMFNPDPKKPIGGNILAHASTTRLSLKKGRGETRICKIYDSPCLPESDCLFAINED GIGDPTLKDVEKDA UREG_03416 MSWVGSWLYNKNTPVSISAPSLDVLSEVQEPATLIMNDDLDGAE HGLNEGDSSFHKLGKGIIAFIRATLGLEQDIMREAAAQLAEADASASNDQRKSQHKAH TLNAYQSPIYSPGTEYLLCQIMAQLMAALVGVLNENLMESIKAFYKLRKAYIALDGIM HMEKDYLDRTGRHSATAENSKATTYNPLGIPTSAARSPTVEGSNQQDEFIHSGANLCF GLLLLLISMVPPAFNRLLYITGFKGDRSRGLRMLWRASKFHSLTGAVAAVAILAFYNS FVRCCDILPDSSSQSEDDVDGYPRKRLSALLTEMRDRFPRSQLWRLEQSRMEGAQGNL ENALQLLMSPVETPLKQVEALCVFERSMNAMYLHQYELCAKSFLECVELNSWSHALYY YIAGASHLALYRQNLGYAQNVAAGHAAKAVELFLKVPQYVGKKRFLASQLPFDTLVAR KIAKWQSRAKQWNVDFIDAIGVDPIEEMIYFWNGHSRMSSVQLEKSLRNLNWFESEQN KTWGREDLDERAILALLRASIFRFLERHGEAKEVLQSQILCHDKANFKGHLKDDWTCP SAHYEMAANLWMERRYLLRPTEGIRSRLLRVFKW UREG_03417 MLKIYKETKVNVSPTTTIVHISVPSPQHPHGRIQCLAKTRRSQG VVGQEWDIFAAEALATLGAVYFCQRKSYPRSISWKVVDFDRTLELRSVDLTKSVHIAQ EAAVTLRIEFPDAIIPHGVALTDREDLDLLNIFVITTSRQLYTLDLRFDYFLNSDEID GNVLNWCRPYRPAPLNFTHPHRLYASSPLELYISLQSGALLRLTRKSDDDGSNWSLLT LDERSWGTSLRGLVKWSNSNSIQYHGRELDSNTANAIATTPDQSFVFVVALNHSIKAW NLANHRLVASKDLLNRTAQQQDALPINLNAADCSFIRIFTAPKALAGGMYYMITYSPY DDGQFKFWVVKGTLTSQLDLEDLFPDTKLTVMDPLPSGSLFWNIIDFEITPTDDGRDM TLWVLWRSNTFYQLYTLRFDLLDLPNAWLKNWTKTALELHVEPPQTILQPGMADLTAQ WLEYIFSTRAYPTVVLEAALAAFSQSDKPRVGHDNGDQSDSLQQRLCQAIQSSITVRK FSDATIDFSQYFADLDAAWHQLWHLVEGVNQRRFEPISLAYDRLSNLPWVTFTDGYSL VRECTSTEILLHNEPSSLSNKLSLAALNWPHRNLAFELGGCPDQSTKLISLAASFRKA LTPELDQACQNALNTEILMEPVLSAVDRILAFHTRCGFVNLLTDRMYDIVSENIERSI GFDQLHADAFFTVIETIPSGFSGKNSSCLSTEFGRAAIMAGTVENIHFTRQILYDLLL LTVFIEMELRRDGIIAEFNGPELFTALITLLREYEVLSWLGSKARASSPCRSSEPSPH AKAESQSGIYQQLNKRTISILEDLFAVNIKPCIFSGISQMHGLTQQICDVVSWTMLPE SVALDNALVFIQCNLLAHSNIQLAMDFSCFQPDTGWSTYIKGRLHLARRDFDAAALSF QRASYMLSYGKPIGDIQRMSSGLINGLATSHFYSGLPNYFIHILNLFEQVRSFTHVAY FARLSLEAAEPIKGDSDQPYSLRMDLLPRVFHSYLRTCQFDEAYTTLSQYRDPAFRRP AIRSLMASIFHAHGSTTAGLRTTLALPLSLEPGISQLDDIFGSVTEQHRAGSPTVVLP AEPADYLSTLKALHIARNDMQSAAKVSYRKIRLLREANFAPNTTEGAVVNTGSQENAA QFQNTKLLSELLALINLLASMGNMSVNQMIGMKMQKAFWVLHLIQTSRDL UREG_03418 MNMKKEEFKDDKEKMMAAASYLQEAAFNWFNIYLQNYYKKEEVD QDDNMLKVINHYNIFIKTLKTTFREVEE UREG_03419 MSSQSAPIRSQTGGQLHDKTANHLKTSNTPLDEDDEFEDFPVEG RLAIVILLQLLIPSLDWPQEDAEALGSTGTNNDHLWEESWDDVDSNEEFSQQLKEELK KVEAVKHQ UREG_03420 MSLSNKLAITDVDLKGKRVLIRVDFNVPLDENKNITNNQRIVGA LPTIKYAIDHGAKSVVLMSHLGRPDGKANGKYSLAPVAPELAKLLGKPVKFVHNCVGK EVDEAISAASDGQIILLENLRFHPEEEGSYKDQDGKKVQADKSKVDEFRKALTALGDV YINDAFGTAHRAHSSMVGIDLPQKASGFLVKKELEYFAKVLENPQRPFLAILGGAKVS DKIQLIDNLLDKVNSLIICGGMAFTFKKVLDNMKIGNSLFDEPGSKNVHHIMAKAKEC NVEVVLPVDFITGDKFAADAKVGYAIDSDGIPDGWMGLDCGEKSIRLFQAAIKEAKTI LWNGPPGVFEMDAFAKATEETIKAAVSAAQEGKVVIIGGGDTATVAAKYGVESKLSHV STGGGASLELLEGKNLPGVSALSNK UREG_03421 MAITMRLAAKFNSYYAERPVLTTMVTNASITAVRSRAKSSRRTR GSDDSFMSLEIHDLHQGKKPTTDLATPKRAPHPFDFERLTRFMAYGFFMAPIQFQWFG FLSRIFPITKKHATIPALKRVAMDQLIFAPIGCLYTDSESQFHLMAASANPEFPHNAY SIPNR UREG_03422 MSAADFLSNAKIHTIIPQASEVNLEEALKLSLERDVGSCQLPFT TLPQRSLLFFGENSAAACRAFLSYQKSIDELVTVYIALQLEKSTDTTLKSHLPGASIR LDVFTAHAEGLQPNFEDASTRELICSGFVQDVDDPLVIIHTVDSDEYKHDYIYVIWKV DAYLRRPRTRLQQPCVVFMASATFSPPKVVVEDDYLPSRAPASLNIFEALRHDPSLHA SKPHLPTSRLLHVAPSKAEGRAYRISQPLHNPIRIIPVASAKVRYSRLNTYSSTAVTM ASLDFEVTPFTKLDMVLESVDLSLSAGTVTNMSLACGSGLPIVCRARDDITLVFKLIP DENGCSSVSTTATHSALDISIRALVLLSQDCRPKILMRWKTNVDFSLPLNPTFGGPSQ VLQRNSRPTNLSMAPVDEAFSKIHPPSFAASSAISHDVAMSLYAPRHIEASQTFDWDV FVVNRSDKPRTFAMLVLPNKSSRFQNFIPNRAPLSILDAEDVANAVTNENHILSTTQS AATSYETRLLFLSADLKFT UREG_03423 MDTMGSNPVSAFPLWQEARNADGRVYYYNVQTKATQWAKPFELM TSQERALANQPWKEYTAEGGRKYWYNTETKKSSWEMPDIYKNALSKGQDLGRLTPGTA DFVAGGVTTLSAYPPHRDRADHERNIGERRTLYGTAEMNGTVGSQHAELDYPTFEDAE AAFMKLLKRHGVQADWNWEQAMRVVIRDPQYRALKDPRDRKAAFEKYVVEALTQEKDR AKERLAKLRTDFGTMLRRHPEIKHYSRWKTIYPIIQCETIFRSTSDENERRQFFEEYI LELKKDTAEAEANMRKISKENLAGILRGLDLEPYTRWSEARDLIYSNGQIQSELQSKI LTQSDILIAFENHIKLLERTFNDAKQQQKANKMRRERQNRDNFVDLLRDFRNQGKIKA GSKWMDLFPLIQEDIRYKHMLGQPGSTPLDLFWDVVEEEERSLRAPRNDVLDVLDDKR YELTLKTTFDEFALIMKADRRTERIDHETLQLIFNRLREKVVRRTEDEKHAASRHQRR AVDALRSRIKRLDPPIRVSDTWEQVKQRVEKTEEYHAVDNEELRCSAFDKAVRRLKEK EEDAERDREASDRDRREAYDRFDRLDRSERGHRSTHATYRAERRATSRLSRTPEPDAY EADRRKAQADRERSYRKASGLSPVPTSHREKDRDRERGRGRGRDRDRERERERERPSS RHVGHYDRERRDWEEEREKLYPSRADPRSSREELDYGVEEVSRSTSSQMVGERRRRRD SETESVGSRGAKRYRRDGRDPDRMADRPRRDKESQRLLTKGSCMDIASENSNTECFRG KENPAVHSGSEEGEIEED UREG_03424 MPRPGQVLGLVGTNGIGKSTALKILSGKLKPNLGRYDNPPDWEE ILKYFRGSELQSRDFYLRFSPSLHLTEAIDYFTKVLEDDLKAVVKPQYVDQIPKAVKG TVKSVGPLIKARAQMGNMDHVMEVLVRDRDIGLLSGGELQRFAIGLVCVQQADVYMFD EPSSYLDVKQRLSAARTIRELLRPDDYVIVVEHDLSVLDYLSDFICVLYGRPAVYGVV TLPASVREGINIFLDGHIPTENLRFREESLTFRIAEAGDDFIADQGRAFSYPAMEKTL GSFHLSIEAGRFTNSEIVVMMGENGTGKTTFCKMLAGVEKPDGGKAVPAMNISMKPQK ITPKFQGTVRQLFFKRIKAAFLSPQFQTDVYKPLKIDDFIDQEVQNLSGGELQRVAIV LALGMPADIYLIDEPSAYLDSEQRIVAARVIKRFIMHTKKTAFIVEHDFIMATYLADR VIVFDGKPSIDAKANKPESLLTGCNKFLKNLDVTFRRDPNSFRPRINKDKSQLDQEQK LGGNYVGD UREG_03425 MVIPSTTTSALAAIEHTIEIVDKLANLEEATLMSQGNNHESDPE ASYDPNGTSAGAHQITPPDVDDAAHRITPESPSDYDVVSSRQGVSSSVDGDGDSHMED VSDGPEIVSGSSSSSDLRSKRGVKRKSPFDEGDYIRNNPSLYGLRRSSRTRPARQALQ TATDSESDEIARPIKRRRPQLPSSRSSPSHSTHSDSDSSSDIYSDSYSSRKLRRHRLA QSKADLVPAHAELRFSTRRSNKILNYNEDADDDLFGDESDMMTPLDWANVVDDNRPAI DVVLNHRLKEGMDSTKPGLDRSDFEFYIKWQGRSHYHATWETDESLVGCRSTRRLDNY VRKVLVQEVSFINNLDLAPEEKEKWNLDRERDIEAIEDFKLVERVIGSRKVDNTTEYY VKWKRLFYENCTWEPAELVSNIAQQEIDRYLDRCSRPPVSNRLESNQATRSAFEPIHG TPDFICNGKLKDFQVKGVNFMAYNWVRGRNVVLADEMGLGKTVQTVAFINWLRHMRNQ QGPFIVVVPLSTMPSWAETFDYWTPDLNYVVYSGNEASRNIIKEYELLVDGNIKRPKF HVLLTTYEYVLVDASFLSQIRWQFLAVDEAHRLKNRDSQLYAKLLEFKSPSRLLITGT PVQNNLGELSALMDFLNPGLIEIDEDMDLSSEMASFKLAELTKAIQPFMLRRTKSKVE TDLPPKSEKIIRVELSDVQLEYYKNILTKNYAALNQGSKGQKQSLLNIMMELKKASNH PFMFANAEERILQGSTRREDALRALITSSGKMMLLDQLLAKLKNDGHRVLIFSQMVRM LDILADYMEARNFAYQRLDGTIAAGPRRLSIEHFNSPDSTDFAFLLSTRAGGLGINLM TADTVILFDSDWNPQADLQAMARAHRIGQTKPVSVYRLVSKDTVEEEVIERARNKLLL EFITIQRGVTDKEATELKDKMVRAGHHVNEPTSSEDISRILKRRGQRMFEQSGNQKKL EELDIDTVLANAEEHKTEQAEGMEADGGEEFLKAFEFVDVKVDDLTWDEIIPKEELEK IKGEEQKELEARHLAENFERGQPRKRKEMTDERQERQAKRRARQQVNVDVSDGSDASP PPDPKRPLTEKEIRHLARAYLRYGDLDDREADIFREAKLQDRDPSVVKRVLKEIIESA NHHLQRANDEIRALEREGKTFTKKERKAVLFNYGGVKRLNAETIVERPNEMQLLRQVT SGLADPKSFRVPEATKAADYSCTWGAREDGMLCVGIARHGYGAWAQIRDDPDLALADK FFLEEHRADRKSELTRNGEGMKSPGAVHLVRRADYLLSVIKDKMSNGTSPAAKKAVEN HHRNNKKQGYFRQLNSGSISASPAPSTSRRIRYRQSPR UREG_03426 MAESPGSPLSSLASEEFVEDLRFEDRAQSPSSTHLPPSKRRKTG PTAWDRHTPISSTHEEIGPTSPSSSISSDSSAELPPSPGLLSLIGSGIDEDYSGVGRD QVTDCPRKGQTHASGYALRAHMRSHTKEKPFYCSLPECDRSFTRSDALSKHMRTVHET EALKPFDALGKHSAQSGSAGINLLKLPRIKLKLSHSSRDGILESGKEDKADAPQRNEQ AQSPPADTGLEFDAHELSLPLSQLHRLLRRQIYWAEQESRHLESEWRTIIIKRRKAWR EKESILEDVIHAEVKLFRSTLTAEDLALGQSIIQPIAP UREG_03427 MSAPAHKFKVSDISLAAFGRREIELAEIEMPGLMAIRQKYAQDQ PLQGARIAGCLHMTIQTAVLIETLKALGAEVTWSSCNIFSTQDHAAAAIAAAGTPVFA WKGETEEEYIWCIEQQLFAFKDGKKLNLILDDGGDLTSLVHKKYPDMLKDCYGLSEET TTGVHHLYRMLKNKELLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKI AVVAGFGDVGKGCAQALHSMGARVIVTEIDPINALQAAVGGFEVTTMEEAAPLGQIFV TTTGCRDILTGSHFEVMKNDAIVCNIGHFDIEIDVAWLKANAKSIQNIKPQVDRYLMP SGRHIILLAEGRLVNLGCATGHSSFVMSCSFSNQVLAQIMLYKCQDPDFAKRHVEFGA TGKLGVGVYVLPKILDEQVAFFHLEHVNAKLSKLTAKQAEYLGLDVEGPFKSEM UREG_03428 MPEDENENEMPEDGSFFGEGLISNDGATEGQEQEDAKVRIETME FTGVNDIRNVARLMKTLRPILDKISYYQSLPAQQQTTPTGSIEDNPEYHLLTESNSLS TSIDNEIILVHKFLRDHYSTKFPELETLITNPLDYAKTVAILGNGPLNDIKSLASSTD NLVGTNLRSVLDGPLLMVVAVEGTTSRGKDLSDSELQTVLGACEMMLSLDKAKSILTD YVQSRMNIFAPNLTALIGSLTAAQLLNYAGGLTGLAKMPACNIPPLGSKKQTQVGFAT NVGIRHQGFLYNSPIIQGIPNDLKRQAMRILSAKLVLAARVDRVHSSRDGSTGEELRQ SCLERLEKLTEPPPNKGPRALPAPDDKPSRKRGGRRARKAKEATAMTDLRKAQNRLAF GKEEKEVGYGTGEGTKGLGMLGQENLGRIRAAQIDQRTKAKLSKSNKGWGATSTVGGT ASSLRAFGHGAGNASVLRAQGLRTGGVGPSVGSGTASTIAFTPVQGLELVDPKTQAEL SRKRKAEEDRWFKSGTFTQIGNQASENGGFKVPPLPPRKKATTDNGKTTAPPPLP UREG_03429 MESSRGPPRVKNKAPAPQQISAEQLLREAVDRQEPGLQAPTQRF ADLEELHEYQGRKRKEFEDYVRRNRINMNNWMRYAQWELEQKEFRRARSIFERALDVD STSVVLWIRYIEAEMKSRNINHARNLLDRAVTILPRVDKLWYKYVYMEEMLGNIAGAR QVCERWMSWEPDEGAWSAYIKLEKRYNEFDRARAVFERFTTVHPEPRNWIKWVRFEEE NGTSELVREVFGLAIETLGDDFMDEKLFISYARYETKLKEYERARAIYKYALDRLPRS KSIALHKAYTTFEKQFGDQAGVEDVILSKRRVQYEEQVKENPKNYDTWFDYIRLEETS GNVDRIRDLYERAIAQVPPSQEKRHWRRYIYLWIFYALWEEMENRDFGRTRQIYQECL KLIPHKKFTFAKIWLLKAQFEIRQMDISAARKTLGHAVGACPKDKLFRGYIDLERQLF EFVRCRTLFQKQIQWNPSQTQAWIKFAELERGLDDLDHFEEYEGEYDRTRKLYERLLE KTDHVKVWINYARFEINIPENEDEEDEEKPVSEEAKSRARKIFERAHQVMKEKELKED RVALLNAWKSFEHTHGTPADIAAVEKQMPRKIKKRRKLEEDRYEEYMDYVFPADDEST ASLSKLLQKAYQWKQDQAYERGAEEEPTPWGVSRFRACTLLAAPVRTKTNAMGLPKPP SSLEGHCSIVFNNTLYVYTPTTFMSLPLARNASWSKLPMGQSVEGAACVKGSADGDPN NLALYLVGGKSMAPDYLGLQRYSFLDQKWETILPDTNDIQNRIDHASIYLNASASLLV YAGSQNGETNPSTQSFTVSVTPPYRVQSFNSYVPPAFRPILLPWSEDNALMIGGSSQN TKAFAFSAAQGWHDTGATLANPLPEISKVQCAILFGADGSRILEVFDMSISPNSVSRY AMLMAGGAPVSPAQQIETRHNLSARKKSTIRRQKRGDPLDAPSSDNFTPTATRNGFSL AQDVNSGLVVISGGNPEEPLAIFNQSANSWVDTDEFFARSTSVVSSTSTPLPSRTLDV PTNNISTTLPAETSSTLQSNNDDSSGKRTLTMIGATLGALLGIAALLIVILLLLGWRK RKNKRAKPEINNRLSNDSNFGFHDQGMQPLTRNVQPMARGPVPSAHSWAMVTGEAGGS SSRSLFTSFTEVPPGDLNKKRDPLQTIETGQPHSSSVSKPEVTGVAKSTNHDLRCDRF TDEDWTKYFYGNRPSNGRNDDALRSTVSSQDTKSDYRENSWPHPPNGQPSLSIGTVGH TQPLGVVTSGSPSTEHVPEFETYIAQHGLKAKISSGDSISIASDESYDDKADMSSSHM PHNTGDGFNMISLRTSFGDNRVASSTYSASLYQPNDSNSFVLSGEQDRPPTLWPRDSP RLKPSDQQTPGAQLSSDISWLNLGKHK UREG_03430 MAVKDQFQLKPDRYPETQIERQGQRQPDWCWINSSLQVSGDNST QFLQPTARDRLSAQVDPLWRTMDDIQQVASTDVDITLDYLLAKTS UREG_03431 MDYGQGKRISILNNDDNPSFAVPRSSPKARGSILSGERHPSRLS SILPENDAPRKQLLAEPPATFGCTFSHGAQTSSFQSHPPCPQSTLGGPGDMRAHKPSK KNKYPCPYATSHSCSATFTTSGHAARHGKTHTGEKSVHCPVCNKAFTRKDNMKQHQRT HRTIDRENSPSSQHGKWSENDWPKAPTARTESYTFSQTNIESSLTSPISRPTSWSSGS MNHLRADPSNYEF UREG_03432 MAASHYYQNHPYNQPPGSAYPSGPYPNHPASGITGDSRYDTNPR RRDGDVLRSSSAPKRSRYDGYHSSNGGEYRRGRRRKIQDDYRPPRYSSSSASRSPSPK PRRRKSLGEQALAALGLGGSDSRGTKHRRTGSRDRSYDYYDPRSHRNYDRTRRRRDHR HRSRSSSRSPSPGRSGKEIRHVITAALTAGAAEAYRARKEPGGWTGEKGRRVLTAAIG AGGIDKLIERDPSKHGKRHIVESTLAGLATNHLMNGPSRSRSHRRHGRRSRSEDHGGM RNLAASGVLAAAGKKAYDHYRSKSRNSSAHSDYSSDGSSQPRRRRKKRSQSVTDYVTK GLAALGLTDDVDAKRRSKRHHERNYGSSDDDGYSEDYRPRRREKHSRRSSFEPLEGVK AGTNAEPVKLL UREG_03433 MRFTLGPIPFRSRDQLFLMSLVTKRAIPTINSFVHGGGFGRAAV DTAQNEVTQLRIPFGISNWTRPKDGGGNDCGRPTSLETRKSTGVD UREG_03434 MQAGKDIVSFEVFGFVKATLAMLLTPMWRLVVYGYRHLQDDLES AEVFLGMS UREG_03435 MVKKSPFAPIPIPSCNVLSFLFPPDSPLHSSTKPIWIDAADPSV HLSTGAALGWIKRLGSGLENLRDPTTGDQVVKRGEVVLIFSPNQIFVPVAYLGIVGSG RIFSGINPAYSVNEAVYQMNNTEAKVILVHPSLLETAVAAATEAGIPTERLFQFSETE VATRKGVRDWRALLASPEASRNYRWLELSETESANTVATINYSSGTTGLPKGQYGPEG PLQHRWIGFLPLYHAYGQMMTILHAVRNQVPIYLMKKFVFEDYLRVIQDYKITYLHVA PPVMVMLSKRPETAKYDLSSVVEISCGAAPLSRELQNEVAKKYGVSVKQGWGMTEVTT GAIHVPGGVDDKTGSVGVLDPNCECKLLDDNGNEVPEGEPGELYVRSPNVSIRYWKNE AATRETMLPDGWLRSGDIAICRGDWFWIVDRKKASKKRPLLPVNYQTNARSQELIKVN ALQVAPAELEAALLENDDIADAAVVGLKMNDEEFPRAYVVLKESAKQRKNPLTPEQIQ EWIKPRVAKHKWLTGGVALIDEVPKLPSGKIVRKVMKEWAARDAARLQGISRAKL UREG_03436 MVLERYEKHPGIRRLVDQIQDPPLLVLRYFDDNLLNLSKSRIIE GRELKLVARTVLQALAALHGDGFIHTDVKPDNILVNYENDSKAICEVALGDCGDACNI DTLADSEDGHIIGAGIFRSPEAMLNLKWGPPTDIWSFGTTLISLIWGKGWHIFKPGNI DPADEKYPAYIMIKQINLFGPLPKKFAEIADEERADMAMQLNDYVERMSSKQRKPFAL AEDARLSGENREFICKIMKLDPRDRPTAEELLKDKWFNGA UREG_03437 MSSLLKNCMYSSGRLGEYLESTARYGTGRGLYIPESLTILVIRN GQGRPEIIVAPKRDAKNMSNRKTKHPRHPMPEVIESLPIYLDPVPGAAALWSRTATRG TTPFCEAISEDGPTGKILTAAWFTHQLPALGRRAGYNENIRTHDIRAEALVKADENGY SPDQRMQFGGHSDPRIFFNDYMSSVSSVQGVGNILNLTLRDDISQHFRGLLMRRHPQM WQSLPAKLRQDLEGGGGRSAASDAERQDSKIERQSVYVQKYKLIAQELEKWQSRQARE RKPGVDADTENDYTITSHWRWFERASHLMPERKRLSTMLFLPVPLRSPEGRQVLLDMV ALCRQRSNVSDHPALVAGEMTAALQLSVRLLSKSWSPSHDLGQWKKANQILACVLTRL PKRERWRHIYQCRRARLRRQHGFAGVCFICFEWFTSAVEFEQHCQHHLSHPETIPMDH GYLEVSGMLAWPAYCPRCLGDTGLPCSRRLKAFTNQQSFQRHMEKHYTELHGLKSIQC GSPHCDSVYSSVQELQCHYDDTHSIPAPTRRAEIGSRASQPAPKSCPSGTIHVYECFE RGIMQKLGRSRPVFGV UREG_03438 MAVTATIQSPTDIGGAEYGDFVDSEDDLDVTEYTEWPYEYEFYP IRIGEVINQTYQIDHKLGHGGFSTVWMAHDLKRRRDVALKITARNSGEYEYKMLEEIK CTVKDTSHLVTSIASFILRGKDSDHRVLVFPLRGPSLNPLYGLKGITMAARMSAARQL LEGLANLHEAGLVHRDINGGNVMWGMAPLENLDRAAKYKLLGRPLKMKVPDNPWKQGE VVKPIEIPDELRTEKFYLGDFALAMKPGSPVTQPAASQLRSKFRL UREG_03439 MYSRGFSSVSPMAGIGKIWRASRPLGFPFIRFQSSSVFRPGYNF YTSAVHSHGKYTFGGGGSLSTSRYGDLSFERARLCGSYPGSSIERQYATRSKGGVTAD EYIQEIQDLYEIAKDEVLGLFNVAFQNRLLTSLQLEIATESTSASTIYAASDREALRE AFDDLDQLYTAYTGSRPHLPSIEEQQAEDKGRDEKESKKGTTFDPHNISPDVREEIRR RVGQRIRELRNAVEVLEESSRSE UREG_03440 MDDCSDKCTTVCDGFVDCDKSTICSESYCHNSQCQNPTTACFDE HCMGSHYGDVQHGLENTFLNQDGSINWDCTSLNPEHLNCGFHGQNLETSFPSHTHSMG APATPSSELPGSVKSLGHGHYYSQQPFGPRTHMVPGSCLTHGLGSICIGSAQELCNSA DDCTYQQKLDNGLTLENCSGMSAPFASLQTSRVLHHRYQHGSLQALASQLCRQHEAAR TVTTSTRTTPSLSIQSSPVPTSSHQEMSSASAFASPDFMGTDGLHICRWVGNKLENTI CGAIFPDAGSLQKHLTVAHADPSQGCQGQGYYCCWEGCSRPDEPFSQKSKLQGHFLTH SNYKSFRCSICGKPFARQATLERHERSHRGDKPYKCKECGKQFTDSSELSEKPFKCNH PGCNFETGDHMVNENTNVLILDVQKALLDQVYFRRT UREG_03441 MGNLCSKSSNPSDAFAQPGRVLGTAPDPAPSRVPVPQKKVNSSA GRTLGSNPGTGGGDAEARSAAARAAEERAAKQNAASNKGKLSSQLAAQKAKTQSRTLN ESSQNERAAREVDAAETSRRWD UREG_03442 MARETRSPSPVGSTHSSSKRSRRNDDYYDRSRRDDGRSYRRSRS PAPPFRANINIIIICQRRHRDKDWDRHRDGDRDRVRDRDHRDRDRGTYRRIDRSGDKR DHKDDVRDDTSYRPSRRDRSRTRYHSRDRGDGREYRHRSRDRRRDDSRDRIRRRRDDS TGSKSVSRSDESRDRPPNKASVSKPSTPSAPAAQTDEEKKAERLAKLEAWKQKQAAEK ERKQKELAAAGGARSILDEIDKKSNLRHANAPQEPVSTSTPTKLEQKVTGKKVTNQTE NVSLLGNDISVPNTGTSVITDPSSTGPQTDPAKIVPLKARGNVSGFGFGAKSAADSEK ASHKRALDFEDEESSRKKLMKLPDHSLEEDQHIANGADNGVDEGDDDGDVDMQDGGTE EENAAAARAAAEKREERLQNEISTLQSQPDQQETETKVDIMPEPDLSAEPMDIEEEID PLDAFMSGLKDSVAAKPSKSRTKTSKSKQEPEAIFGDEDDVNLKAMDLEADDFLAIAN KTRKKKDLPSVNHEKMDYEPFRKNFYTEPVDLAELNEEEVAALRLEWDGIKVRGVDVP KPVQKWSQCGLGVLTLDVIHKLGYDQPTSIQAQAIPAIMSGRDVIGVAKTGSGKTIAF LLPMFRHIKDQRPLENMEGPVGLVMTPTRELATQIHKECKPFLKALNLRAVCAYGGAP IKDQIAELKRGAEIIVCTPGRMIDLLAANSGRVTNLRRVTYVVLDEADRMFDMGFEPQ VMKIIGNIRPSRQSVLFSATFPRNMEALARKTLTKPVEIIVGGRSVVAPEITQIVEVR PENTKFVRLLELLGNLYSDDANEDARALIFVDRQEAADGLLRDLMRKGYPCMSIHGGK DQVDRDSTIDDFKAGIFPVLIATSVAARGLDVKQLKLVINYDAPNHLEDYVHRAGRTG RAGNTGTAVTFLTEEQERYSVDIAKALKQSGQPVPDAVQKMVDAFLEKVKSGKEKASA SGFGGKGLERLDQERDAARNRERKTYKTGEEGEEEEEKEKKEKGEDLFTKAASVVQSS SAPTPSATPGVPKGIDLDGKITVHKTERATSTATGTNPLDKVGSAVADIHARLNKAGV MRSGVPIDNKGPDAGAFHATLEINDFPQKARWAVTNRTNVAKILEATGTSITTKGSYY PTGKEPGPNENPKLYILVEGDTELVVTNAMRELMRLLKEGTIAAADSEARAPASGRYN VL UREG_03443 MNTILAHRGPSILRRFIARSPRFVVRTSNDARLALSAVVAGTVA IQIQLRNAYANAATSETHTRRTQTSKTEGKTTKSKANKKKSATKTKAKQKPKKKLTDN QKEALKKKAQRQEIKELKIAALTPPKALANNPFGLVLQQNVGETLAQKIETYRNMSAA ERDRLRELAKANTDTNKAAFEQWVKSHTPLQIKEANAARRRLRLLSGRPRSFADIPDP RQVKRPGSAYIIFAKERLNSGEVNHLTANERISHIAENWRKMTQAEQEKYRELQKEAS RHYAMQYESVYGESPKHQVSK UREG_03444 MICTQRNGVSNNTDLIRAVADTGRIAISKGISGTRYTRSISASF QDSTICDEPMPKPGLYDPHFSFWRISSLLEELGLLLAYTPVPYCTAPPPTYHDALRDA PPEYVVESVSHLQREAKPFTPSSALESSPPKSPSIFSKATAGLIDWNDSSRFKVCKAG NSDNQNNGDDDPPPEENEPNDGGDTGAGAGGDGGNGGGGNGDGDGGGDDDDWNMSSGK KKKGKKKTRAEEEKEEEEKKRKEEEEEQKRKEQEEEEQKAKDQASAAQTSGDLSWADA DGTTQDDGWAEFTIPKKKKGKKGKALDPAPPAVDKTQSNSFQDISLSDSAPQIGISFG EQSGNSLGLGGWGKSWGTGGNFGAGNAALDLKGNEKEESGATNPWSTKKFAKANSFDI DFGAMETEATTEPPANGDAFGNDNPTDNFSWTTPAVSKKSKKKKKGAFADMTMEEEKL PEADKVDIALDDFNSIPTDQGNQKEIDAAADGDDWNDWATSTKSKKKNGKAADKAKPE PPAAEPEPPVDDMRSSIPAKKGKNKKQVTIAEEIPVDPVETYLETKVETNTERGDDFW ETSGTKKSKKKKDKAMLEETSPIPDISETAPEPESVDDIVAWPTTTGKKDKKKKAKEA ITVDEVPPPPPEPTIEKEPVDDWSSWGMATNKKGKKKKGKGPLEPVPLPQEPDLEPEP EPEAELKLEQDPPTPEVDPQAAFDASPEGDWPSWGTTTKAKKGKKGKGPIEPEPVIDV VPAPETESPSVDDWTSTTTSKKKKGKKGKEPNEDSLQAKKEPEMETILEPEPEPQSQP ADDWASWDTSNTKKKKGKKGKETTVPMPLRTEPEPEPEPEPEPEPELEPEPELEPEPE PTPAVEPVQDPEEPTGKKKKGKKGKAVWEEPVQKEYQTEPVAEPNTELIDEWSASTKK SKKKKGKAVVEEPPPSPPSPVPEVKEPDVAPADDWTDFISDKKKKKKGGLGYTAGESE GVLGDLLGEEGLPLSKKEKAKARKGATQSYDFGSFPEAAETITAGEELSKIDTKSEAP HEDPSGDILGGSAKKKDKKKKKKGDPDPVADEEANGNLPGLEEQTNDLKDSLPQKSDD PATVSTDAWAFWGSTKKGKTKSTKANNPDVDVLAFETTGSLEEPTLSTWAQDWGLSSK EKQKKDTLKEGKDQGMDKELTSPVSTDLVPRAVEDGAWHVWDVPKGTKKKKKGEDEGG LPPPAPTPPAQGLTPEPPVIPNFEDTGSTTWNSFSGFGGKGLKKGSLSRTTTSATKAS KIIENSKLSKTKTRGLEVVDVTDEPGTNPVVDTKATKPTDIWGVSASAGKSKLNKSKK ETADTADPKAETNDISGAAADDAPTKTKSKGKLTKSSRTSAKTADELLVDVSVDSPEA ASAEAADKAPAPPKAAATSPSTLRLTGKSSVAERIRILEQNKRLQREAAAAKEREKAQ AEENRDPEPAPAAAPPADEPLEPPSQEPPVPSKRGNPSSKTTSTLLRSKKKTKDAPIV EIPVTETPRDWVPGSFPSVDDDLIDVPTPNPIAPEPQATEFLEKATRDKKRTTTKKKP DSAMASPPPTPGDEAEAPPATPAPKPARKERARVERTAGVASWGFWGATPRKSPKKET KTAGDGEASAPAKREKDKSAPTLKRSTSSATRREKATSPEKSPEKSSTSDKEKEKHTA TRPRQSRGLGFSGFILGGPPPTRTKSSKRNGNASSRPTSRRHSVDMDDAGFPSPSEDK PEISSKAAKVMGIGDSKRSKRNSKGKKKAPVAPDPYAIDDDDIVMVNGVEDTATPSPP KESRSKRKSKRESKAKPTDIPDDIVMVERGSSGNGAEVVSGPDDIAFVESPHRSSLRR SNTLPKKSEGIMGLFGSFRKHNGRTADTRERSKSKSRYNDDDRRHTDIEREDSKRVKR EERKKRSPKVDDGEEFVTDGMHTGAVNTDAEDAEARKAERRARRAAKLAEQEAREAEH RRASKKEADRHRVREARDKRAREEEEREDKRRKEKKARRNSRIIQEEYPKEREDLQHA DSRPPQRPRHRATDADLVDEPHQGGKSHRRRSYFGEKHRDANGPDEYPTDTHHHRRHH RSDDDGTKPKQRKSVSRGDGRHASRKSRSSRQPPDLPYPVMVSGGKDKTSSWVHSQFT DPPEAPPIVPTVIDLPGPPAGPSHSLSSDEETRRAIHRRSRRQSKYDDQYPPEKERRS RHRESRRAERETMRSSDDSAGADRYMDYRYEPDGRYAHVNGQAKKTSWFKKFTGF UREG_03445 MAEKEQPLKAVQVEALVIMKIIKHGTQNFPTPATGSLVGMDVRG TLQVTNAFPFPIVDIPADSHLDGAPPNAAAAAPRAKANAVYQSEMIKMLREVNIDANN VGWYTSANMGNFVNLNFIENQYHYQKELNERTIALVHDVSRSSQGALSLRAFRLSPQF MSAFKENKFTTENLQKSNLRYQDILVELPVEIHNSHLLASYLHQLPSPPPAEDLDFPP SLAALTNDPLASSSLLAPNFDNLSLSIDPFLEKNCDMLLESIETHHTENNNFQYYQRS LAREQAKIAAWQAKRKAENATRTQLKQPLLAEDEWQRLFKLPQEPSRLESMLNTRQVE QYSRQVDGFVSATTGKMFAVRGNLLPGESQI UREG_03446 MSIPTSIAPVTERFCYADKSSLQDVSVYMPRPLPEKQSEIGYWV IQILCDGDPRIASDIIAYASINYRLTSHPNFPQDPLSVEPTKYRNAKHPDHLDDVVHA LAFLQAKYSFGQRYILVGHSCGATLAFQTVMKSITGVVCAELAQPLAIVGVSGIYDLR LLRDTHEHPAYQQFTEDAFGGDEEIWDRVSPAKVQHGGVVEGWSAGKLAMLASSNGDE LVDPPQLKAMAEVLDQWKTHDGPDGREVLIIDDLEESHDDIWKNGVELAKVISKTIEA LQRK UREG_03447 MGETKSSPNAQHQPQCQTCRKRRLRCDSTKPACRKCISKGFECP GYGTKKPLVWLQGGGNQNQYLGEQNVAPFERKARKKGRPKLLVAEDTPVVHEDKASKL QLSKIRGLKSSSDSIASDITIFYPPDVKLIVRTLWYFAIHKAVRSQSKGQIEFSREVY QYKDRTFKSLTKDLLNPQARLSDDTLVCVLALFLAEMQQSATGEWWPHFEGAKKIIDL RGGLRSVSLQNPGLKATLAYFMLQVHPLFPLTCEPPC UREG_03448 MACSTTSGHGDHDNHDHGKSSGAGCCAGDAKATRSGCCAGPDPA SAAGSLAFEQCCQDPSVEKCDMSSFEAGREKLMSEHVHEHDDEGKHQNLPCKSHLHAA FERYNSYLESARCICRSVLTTQTEACCPRQPSKLSLFGTKKGPRSLHSQKDKLAALEE TRGLLTSNGHDHDHDHDHDHDHDHDHDHDHGHSHGDSGCCADDHGCKPHDNAIITGEP VDIEKAAGHEHVMLSVSGMTCSGCGNKMAKTLRETPGVLNVRVNFVMGSADFDLNSHI IEVEELIRRVTRATGFQCSRLASNDQYIDVLMPKEAIAQVSEDMPHGVVDVTALGKKA ARITYDPMVIGARTLLDSLGHFSRGLAPPNYQDPTATAERRRLNDMLIKTVVSAVFTI PVAVLAWGHTLVHSDIKAYVSLALATVVQAVAIPEFYIPAISSLVYSRTIEMDMLVVI SITAAYVYSVVAFGFDVARKPLETEEFFETSTLLITLVLFGRLVAAYARRRAVAAVST RSLQPTIANLLEGNEHREVDVRLLQFGDSFLVMPHTKVPTDGKIIDGSSEVDESMLTG ESLPVPKSVGMSVIAGTINGSGILKCRLTRLPGKNTVTDIAGLVEEASNTKPKVQNLA DRVAGWFVPIVSAVAVIVFITWIVVCIKLRNAAAGNAVGTAITYAIAVFAVSCPCALG LAVPMVLVVAGGVAARGGVVIKSGDSTERAHRLTDVVFDKTGTITTGELDVLAVEVLS GDRQEILSMAKALVSDNKHPVSVSVAKYLETETADQRLENIRSVPGAGVEANLGPALL RAGNPRWLQVEQDPAVVRIVQQCMTPLCISIDGELVALFGLKSNLRPEVRSVIEKLRT RNVSLHIVSGDERKAVEDVAASVGIPKENVAARKTPAEKRTYVKNLMDQGKIVLFCGD GTNDAVAIAQADVGVQIGASSDVTRATADVVLLSGLEGLVFLLDVSTAAFRRILFNFF WAAVYNVFAILLAGGAFVKVRIPPAYAGLGEIVSVLPVIIAALSMANMKRSRLM UREG_03449 MKEAFASISGDRIKVSIQESPIPTPNEHQVVIRVKAAGLNPKDW KYLTETPTDQGDDVAGYVHAVGSKVTEFKPGDRVAAFHQMGAAHGGYAEYSLAWAYTT FHLPEQTSFEVRSVEAATIPLAAMTAALGLYQDLGLPLPWNPARTSIPLIVYGGGSAV GSFVIKLARLSNIHPIIAVAGKGTPQIEPLLDKSKGDTTIDYRDGDEAVVAAFNKALN GKKIEYAFDAISEHNSYINIGHVLDPQKGKIAVILPWQH UREG_03450 MSRLAHRLLVFSFALRHTFISSRGNEYFCEIDEEYLIDRFNLTG LNSEVDFYQYALDLVTDVFDLDADDDTREQIEKSARHLYGLVHARYIVTTRGLAKMLE KYKSADFGKCPRVMCEQHPLLPMGLTDVAGVKPVKLYCAKCEDIYNPKSARHASIDGA YFGTSFHNILFQVYPCLIPEKSLRRYEPKVFGFRVHASAALARWQDRKRDEIKARLRR VGMECQYIEDKEEEEEDEEDEDDLGDEGMALDPQRAQTAADNGRMDVGA UREG_03451 MAPNGFQLLPKVCLREASRTVRARLPEPAQAINSQLQPVYARIQ HRQPINRIAAIRQNQSRRFSTFHISRRFATGSVRSSKIGTAVNRLTTRAPFASTLRPN LTGGAFCRTAGGYAVGAGRVGGARYFSNGPTCPAQVVHNVSAGVRAFWLSGQRARFDG IDKKTGCKRYKTVTALQQEAGRKMNAVPRVAPGSSIDFKLPPVITAFGCLEQTQASSV SQIYEGINLNTPTLMDLLSVDFARALKEFAAVLNDLKKLSTLGDLPVSLQSQSTIRVR FPGCDAETVERLCVEVGVQRGIIHQDSDFEARNGTDMALLFPFAPSHQESEADLFSVY DPPVAMPDKVDWRQMMLSDATRPSTSSNCGDYQYISSIEKNPWTRTHSGYSSMDISDL GDRAFFPDVLEAASHSAGSDFGGFEGIYKFLEECDRARR UREG_03452 MSSGFVSGDTTEYTPANDGEWQKVKQELEASRKRRAEQQGTQEG GKSLYDILQQNKAAKQEAFEESIRLKNQFRALDEDEVEFLDSLLESTRAKEAAVQKET MEQLELFHRQREEAEKKAFLEENGPDHPSGGLATEEEQWVISNKKRRRAKENDTLQGV KLRKSSTTTSLPPASQRATAAPGVKIVAASDPQAKEGSHCGGNDASKLIEPQENAASL KAPIGTKPAAAVSSALGLGAYSSDDD UREG_03453 MPLVVPEISENDQAAWAAKLLGKKLTEDVTNDVSFATKDLPEKH RVIKPGYAMTMDFVPERLNVYVDDSDKVVKVKHG UREG_03454 MRLFLSANLFLLQTWATALEPAPASPAPCQREKFLGDCVSHDTP PILERRQSWSTDELRHKIPTITAAPISALPHNLERDEHENIDVRQLGRPRKGPRPPVR GGGKGWANGKGQRTRQKELKKPSDDDPDEDPPDEDPPDDDPDDDGDDEDDIKPPAVPN QHLRFNFGNRAYHDAERVISFRLLDCFGDINITNLSFDSNAHHGFVEPSTIKPTVVSQ PGSTISMATTTTPTPTPSPSGSAVPAPKGDGMNKAGIAAGSVFGGFVVIAVAFLALLY HKRWRNHKQQKEDERANRKSQYLAISVSDNGDSQSETPEIEPDYQGAAQHSRGFAWDP DMSYTPVPPYSSPEIQGNFQPHTPVMAHTTNTRFYPSMATNDDANLPFNASATTRNNA LPESLRTQQPVSMTQPTTSDIYSASRSHSIGRKPLPSTGILPAALPPVSEFSSNNPPT PPSTETPPSGPSSATRSTYGARRVSRSSVSSLNQYSNHRASQYSPVSSLSPVDSTLAG QSYDIMQTDYRLPSQYSSSQPQQVPRPEAHGVHLYPGT UREG_03455 MRSASKSRSLILLVPTTESLINARDRDSNTLYVDLVHSEEFLGS HVLRIPVSISAKEALASARENRGKARQITTANGRTVAIRESTVYSNKGFKNLNQATLL SDILYYSAGSESQPWLIYYISKPLLGSYDPSGIVQAVVPGIPTNALGLSGLSGKQNND GPDFARKELQSFGELLANFPMIARQMQPGLERLFREFGKELGKPLPPPPSRSSSTKSE NGAAGFDETASTRSNSPSNHKALPFNSSEYFEDDEDLMRRSLETAVTAAIDLFRLVDR QQLSLLGATTNLTGPMVERLIERYVTEQVHESLLFPRICGCHKTEDGELDIRMRQMES IDVSQVGIPIECGRKGKDELIRRLGRGVDEFRTMVDAKCPQEMLRILLATIKAVTLEY KSDSQGRDVSEKEPSVLTINADVLVSLLLVVIVRAQVRQLQARLSYMQHFIYMEDVES GESGYAISTFEAVLTYIRKDSGGLRKASAKNRRLWTATKSGRIAEMKAILEPEKEDAT PADILCDGGQDNSSQSSISKEDGEQATLKEPNINGSISPHVTMEPIDIPRVPETPRLA HVFPFQTWGSNSPPKSQTRLPKRVSMDVGSVSESSNFSFLSRSTTFGSISSCMEGDIS LGVLTKTQDPAGDSVPMMAVEGCQPEALGYLLSLEEYYPVESILEDVNSDGTTLLSAA VQLAHSEIVELILGFISQVSGPQVLTAYLAKGDNRGRTAAHYLFSVPTLMSRIGSLLP WRQKDKHGQTPLFALCRSYDHPDYNTMLNEALTMAQEAQGDGEPLRLDDHVDVKGNTL LHIVSDPQVLHRILRECDCDPNATNDKRFTPLMVASKYGRVDLVRILFADPRVDSNLR ESRGLTAVELAKDDEVRNRIDDLILFSNPPSSPFDSSGRITAVVRSVFVEDASIRFII KSGAPNPPSPSEEAHPRKMISFTVTTCRRGLADFENLISSLKIEHPASYVPDVPQFRS PLQIYSKPSRAVLHEIQERLDKLLKILLAHPTFSTHELLWEFFLMPEIQTESINERSH RKATALAERIADDYQPVTAEDIRDVEQIVGHAQEAVRAVSNNTKSIIRRGHRLHNTTT DFAEAISMCAYAISSLQAPTNALPQSHANAIHRFAACYTSSSLDSSALTHYLRALTSL HTATTAMLGSLSRPGMLISKLNSATRSLSRCHSTLASNSLPRKFNFPGLEESRQRSIR EQEQKIVELNAEIEQVGKEIAWNKDVVVGELAGWTDWRGRLGKRAIREFARTSLVREK ERGKRMERCLKSLRS UREG_03456 MNSVVVKRLAIALKRPFAPQQTPTFSSIFRPFSSTAPSRDIGTP SGGAPSTSPSELGKLSEDTAASSNGYTTEEIGARIAETLANPYRMTPPYHLHVYAHKH NTHLTLTRPNRDPMMSISCGSIGFRKSHRSGFDPAHQLSSYMMAKIQERGFLMDIKQL EVVMRGFGPGREAFTKVLLGPEGKKIRDKVVRVTDATRLKFGGTRSPTVRRLG UREG_03457 MLRRSLTRSRLLSPACFERPSPDGRLSHRALIPVISHATHYQTN LLPFLHPAKRGFRTTSAYWQQQQKSEQSSKAEESSSEESKQNEQKNDDEKGDKGEKKE KEAPPPPPPHGDKTPWQVFTETLRSEFKASKEWNESTKALASSANQFTENESVRKARA AYQAASDAASSKTSSALKQTGKAIGQGAAWTWDTSVVKGLRKGVSVTGQGIEKVTRPV RDTKAYKEMKEVIDDGSSSRYGGWIEKEERRRQRELRELNDLKRGKMHKVEAMEEDPN AGTNVTLHKDAAWKESWREFRDSSRIMQKYFNLKNTYNESENPLISTARGISDRVAGF FAENETAMVIKRFRQMDPNFQIEPFLREMREYILPEVLDAYVKGDTETLKLWLSDAQF HVYAALAKQYTTAGLKSDGRILDIRHVDISHARMLEPGDIPVFIVTCRTQEVHVYRNA KTNELAAGMEDKVQLVTYAIGVTRIPEDVNNPETRGWRMIELQKSGRDYI UREG_03458 MVFASSLAISESKKKLALAVIDYLATSAKDGTLSSEDAESMEIA QSCIADAFNVDPSDKAAMQDALGGQSLLSIYTVYEKLKGRGAPAVPGKSTEGESKPAA SPKPADKPIPGGPTEESDKLKSEGNAAMGRKDYTAAISHYTKALEIAPANPIYLSNRA AAFSASGNHTKAVEDAEVAVAADPKYVKAWSRLGLARFALGDAKGAAEAYQNGIEAEG NGGSEAMRKGLETAKKRIEEMEQKGNEPPAEEVDDASGATRGAGGMPDLSSLAGMLGG GGRGGGGMPDLSSIMSNPMFANMAQNIMSSPDMLNNLMSNPRLRQMAENFGGGQGGLP DMSSLMNDPNLAEMARNLMGGGRGAGRGQE UREG_03459 MYWPNGVPRIYAVNGPGIELSEVDDGVAVQDEKLDGSAVEEESP AGDKTAQHFDSGHRKWANEPITGLCASKSGLLFATMTQSSLAIWQTKPTAVIAAVKRS SLSLRNYGPNVALLLRPDAAIVVVQTLHGYLITYSIAVASNSHVYQHRFRHTQPRRHR QFVADDSNSIREASIRFRVVIKVDAGISKALALDNELMVATVKPAAIQSIRWTPDRNG HQTTTELLHRIPWLSKKAAVVDMVYDRAMNLLLWITGDGEAYAVQHSVEESQHGGPPR SNFKGHRFHVPEDNGHKAAQVAVNARFSLLAVSCVNGDIVIYSARDYVGNIPLSHKLD LPASSASMGTLSFMSYSPDGYCLFAGYTHGWATWSVFGKTGGNSFTADSSLALRNDEA WLTGVSMGSWIGYGSDILLTSQNDRRLWLLEFAKSALTGCFSSANLARGLLQTGTEII LYRGHDLPDLTTISGKDSLWHHAQYPPRYLHSQWPIRSCCVSQDGRYVAIAGRRGLAH YSVYSNRWKTFDDQRQEDSFAVQGGMCWYGHILILAVECNAAYELRMYSRELALNGSS VLYTEALPSPAVFIGPSGEDSLLVYTYENILYHYVINTTGTRLSLVQVGQIAFHGIVR APTRVRAISWILPEDQLRNGDPSQDVAVASVLFLVDGKLVLLQPSVSESGDLKYDMRI VAHDVEYYNLMRDQLSFNFGPLGDESLPPSPSVVAALNNVQSDISLRDSLWAFGGKDL LVWTDVQDVIRPKTLSNDISKPTPIPVDFYPLSIILNKGIILGIEPEVTQRRDVTFTL HRFAIRSHLFLPYILQYNLSQFDTPSAFSICHHFSHLSYFPHALEILLHHVLDEEVDN PHHGGNSRSDERHLLPSVLSFLQAGNPLELYLDILVQCIRKTELRSWQTLFAHLPPPS ELFEQALKFNSLKTAGGYLLVLQALDNPDDENNDEKIEESAIRLLRLACQKGEWELCG EIARFLMALDSSGNMLSRAVVRVGLRGEQLSPPSLNGDGVPNKELKSLRLGSPSSGSE SSSPSGSGSSP UREG_03460 MPSISSPSRDERVGLANVGKSTLFQAITKCSLGNPANFPYATID PEEARVIVPDARYDWLCEHYKPKSQVPANLTVYDIAGLTRGASTGAGLGNAFLSHIRA VDAIFQVVRCFDDAEIIHVEGDVDPVRDLEIISEELRIKDIEFVEKALEALAKQTRRG GQSLEMKKLKEEEATVAKILEFLKDGKDIRKGDWSPKEVEVINPLFLLTAKPVVYLIN LSEKDYIRQKNKYIPKVAEWIKKNSDGDPIIPLSVAFEDRLTRFEDDAAAEEECKKLG TKSALPKVIVTMRQVLNLGSFFTTGADEVRQWTIRKGTKAPQAAGVIHTDFEKTFIQC IVFNYDVLKEYGDEAAVKSAGKILTKGKDYVIEDGDIILIKAGAAKG UREG_03461 MEVAARSVLSRRLLVSQGQQSWRPSVSLVATMLPTENPSFCKRN FTSSRTLRADNSFLNLSSSAGGSSVPPTYFSNRQSLPANTIIRFVPQQTAWIVERMGK FHRILEPGLAILIPFIDRIAYVKSLKEAAIEIPSQNAITADNVTLELDGVLYTRVFDA YKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERANLNANISQAINEAAQDWGV VCLRYEIRDIHAPEGVVEAMHRQVTAERSKRAEILESEGQRQSAINIAEGRKQSNAQA AVSLSVAEKYVDAFGKLAREGTAVVVPGNVGDMGGMIASALAVYGKVNEGQAKAIAAK AILPQETGQKDAQSRRTDLNRHQEEAVQRAQQPDSSVAQNEVAESVLESFEKTSHRRQ SLFRERRDLYGIINIAIPTRGPRQIGRFAGFLNCNLLCDAQRLFAFPLLTRFRYPPLR RSNHALPCEKKDYEVYSCDISPDGKRLVTAAGDGHVRIWSTDAIYNAADPEYADKPKQ LASLSNHSGTIHTVRFSPNGKYLASGADDKIVCVYVHEPNAPSHTSTFGTNEPPPVEN WRTIRRLIGHDNDVQDLGWSFDSSILVSVGLDSKIVVWSGHTFEKLKTILSHQSHVKG ITFDPANKYFATASDDRTIRIFRFTSPTPNSTAHDQIQNFVLEHTVKAPFVNSPLTTY FRRCSWSPDGNHIAAANAVNGPVNAAAIINRGSWDSDINLIGHEAAIEVCAFSPRLYS LTPPVKGAVDNQGHPALSLVTVIACAGGDKSLSVWITSNPRPIVITQDLSAKAISDLS WSPDGRSLFATALDGTILAVRFEDNELGYPMPIEENEKSLTKFGTNRRGAGIVESTDG LLLEERSKAGEIKGVEGRMGALMGDNHASTEQGVNGTSGDLTKNGTTSVGTATPTGAQ KPQQNGASNGTPGEQEKPDPYAAKLERLKQRPTYTKDGKKRIAPLLVSGAGATQSSLP QSRLVATSAGAQVGRAEGPETILDLSKPFDGLPKGGLAALLFGNKRKFAQIEDNEENS VEKRVAAASHNGSIPILANGPDGLVPATPAPDSLQQTPEFIRPAVVNPVMSVSQLRLA VPKVRSHILQGNDSFGNPTEITAGAGASHQSKADTIFEARNPSSASLTGRMADREPAR ISLTRGDQPLWQDFLPKAVLLVTGNKKFWAAATEDGSVYIWTPAGRRLVNALVLEAQP VILECKESWLLCITAVGICYVWNVSTLASPHPPVSLASVLDAALHTMTPHPTTAPSII AARISSQGRVIVALSNGDGYSYNPSLYTWQRLSEPWFAVASQYWNTTDSSVGDLQLAS DQTGQNSKVPVSAGIIPFLERNTTHEILTRGRGYFLQRLIKILLSKEGFESFEAGASI AHLENRVAAALSLGAKEEFRLYLSMYAKRLGAEGLKLKTEELLEGLLGGIFSDCEKEK PEGSNEGPWESESDNLCGWPRRELLKEVVLALGPFALMTPPLLKPAGYTDS UREG_03462 MPTFSYAQAAKGLVSSTPAQSNAEKQSKPASPSNGQMLDDVPSH ESNATMTQLSSKEQMDPLGNRKLPTENESNKGIPSGASSPSVATASTETLPKEDDMSL TPNGSSDSTWDKQSERSVSVSKSNQAPEGGAEETAENGTKNVTNLKDLKPASIPAVNI WQKRLEAQEAKAKANAAMKSTSPSSKAVPSKTPATQSGSESRPESSKSNSRRKSSESF LDKKKPGDAPKKGSARGHRVAPESDSTEPLPPVGDAASWPTPQLAQGQEHLRAQEKID RAERVDRAKPVSSRSHGKEKWMPVPYVPTAVFTTPLPPAARRGGRAARGGRESSASHT HGHNVDSERQNSGSSRAGNSKHPPVTDRRTASDSKPVPGAKSNGISTSFDERHSSLNE GRASGSKDTEETYVAKENHSQINGAEPQYRPDFKSFTKSQDQLNGPVSQASRQGAHHG EGQPRYSQSTERRFESGPRSADPFRESNSFTARDRELGRDRDYQRGDLHREREYSREH RGEPRSERGRGSYRGRGGHSTYGTQNTPFHSAPLAQHPFSTPKNFSFNNERHRMQPGG AQNGSQGNGRVGLRSPSINPAVYASAPYPLQTDFTSMYGYPQMPQAPMTAVPYQPYME QYSLMSMISMQLEYYFSVDNLCKDLFLRRHMDSQGFVLLSVIAAFKRIKSLTEDLELL RLVCRQLKNVEFRPGEDGLDRIRKRDKWEQWVLSMDMRDPSAQNEGPPPATTLSSTNF DSLNENGITTQQDGLSNITNGPAHATASTSPPTDPLSSDALNNHVPARSAKLSSTAAE FSPLAPSATSSEHVSEENPVSENTFPDEQVGNLVIVVRKPGIPDPTQSPVHRPPSRSF SNGFVDGYKAAHGQLSAGNRSSFVPHGILPASERSAIHPTPFTMAAVLIESSADLDQR RRAAGLTNSSLVKSSVQVGGQTPSFWIKSKNNTPIESLSTDLVHESYTIFRKQALDKR LSDSSSETPVDMDVLYQFWSHFLVRNFNSRMYDEFRSLAFDDISSRNSSTGLQYLIKF YAGILSSDKVIPDGIARDLVSLVQSEMNTNEKPTFGQLRRAWRDGAFNLKSRKKVDGL LDESLRAELER UREG_03463 MPCSIRLLFLSLTVRANTPRHITSKQLDLSTSSLSSVFPVKHPT SLSTSILTRDSIRFVFTDNRVHPRSTPSTSGSPSPSRLDCAAIGSANTLSLGHHNVAG FFNRPPQRTI UREG_03464 MNQATHILDSGGEVKIILSDADTPFAVWDEEVGTDTLLCASAPL DISSQSAPATPENLTVRMSSKKKEKGGMKKKKGKGKALFVDESNEPQPEPEPEPEPEP EPEPEPEPGPAPESESEPEPPIEQEEDLTERSITCIQVSAKHLMLASPVFKKILTGAW KESITLLEEGSVEITTSGWDTEAFLILLRIIHCQHYDIPQQLSLEMLAKVAVISDYYE CKPVLGFFSHAWIRSVERSMPTTYSRDLVLWIWLSWYFRLPTHFKSATSVAMSQSTGP MTSLGLPIPGEILDEMNDHREQAIERIISMLHQLRQALIDGTRGCSFECSSMMLGALT KEMQSHNLLSPRPKAPFMMLSYQSLKSTVQSFRSPVWKHNRNSDYYNYRCGDSDFTGL FRDLKDGSEGLDLKNFYPLISLSYAFLCSGLPHRQFDAA UREG_03465 MSSVVRPPDPCLVAIILITCSRAGPRFVYHYPPSPSVASASSRR SSRAKPARTSDSSPSSDNDEGSSSDEDEPNHTPRLGSPRPTDRNLHRLSSGSHTIKSS AQHKKRSLESSDQDDAENNRDDRRAGGANGPDNPPWDCFLGLATNTWEKLLCPSSSWH KRRFEVGVNDLAFVGWPVFVREDGRWRKKWKKKKKKTPAGYTVLDEASLGADYDGHTN DGEDYEAEHSHNMPDQPDNDDEPSRSSMETNTEEDESVTDGNKESMTMFNVVFVMNPP ILEHNSRIKENYDNVIKKLGKGLKSEQATANYVWKEAQTILQIKEKARENMASLLALY EELLSKSSLAQAIATVYRSISTSKIASITLTPHTTMSLQIPPLTSTPYLPGPTEPAYP GLWLTTADSVSATDEAPEVEYSGPSKVLAKHFALLLLSDEDTILKDVEASMGTLGPPL AHYIRSSKPTKSFAQISARSSIPLSDIQVLATHLIYWRRARAVPPLNKQDTYIVSPNC DLSKLPLATAAYEAAFPTMPSLPKMLAMLSGTPRPYAYFIPSREHKDVYYDILAWLMR GGWVTQLRTFGWLKVDPEVKSIVEEAMEREEAKEREEDLASSTATVIKAKDFQINDNV STSSSSLDSENTTLSSWTGESCILPATCKGLWFTTNLIVDSPTASGFSTGGSLAG UREG_03466 MPMLVHPLLLRPITPFLIYRPSLVGKSYSNIFTTTANTRTQTSG RKLDGSCQCGAVEFTLQSHTPVPYQLCACSICRKVGGYSGSVNLGGIAESLQILKGKD AIKKYKAIKDRGKPNESMCSSERSFCSNCSTMLWLWDNHFPELVHPFASAIDTDLPVP DEMVCILEDSKPAWVRWPEGKKTVYKQYGDSLEGWHKKKGLFVD UREG_03467 MQFKTIAFLLLSATTALANPKPQEIDIDLGDITSLIGEIPTSLP TDFLDDLKSFSKYIPPPGIASVLETALPSEVRQSIEANPTNAYKFNTDLYSSLKAGQT PEWYGDLPSDVKSYLNMVGGLTGTANGPQATGAGAGGEPASASGNYAARPTGAVAASL AGAAGILGLAILL UREG_03468 MSRVPFAIIYFSHSRLVHRLWHYCSVAWAGFRQLAYLPFYLPSR FSKYEKSDDIEASSATGTTHNTEEAPRTLTLSNAEAAQWNRELLLEFKAALEKNPAVD LISMFPDSYHHEHRNAQYPQLSYAAEINLHALNELHNALRRKPEVNLLSAFPPNYTHR ITMATGPTTLSSAGKSIPQRSAPEFRKRLDLAVTASVIFPLSEEVLSLLARSGGSAYS GPADAEESLVLSLKNLLWDSTKLWENPVRGVVVKCSEGLVAKVITGNKDYTEYTSLKF LEEWAPEIPAPRPHGLVAFGPFRVIFMSHIPDMTLTQAWPSLSHEEKLSIQHQLDDIF RRLRTLRQDDGKVLGGVYGEGVKDLRVDECALFKGITTAIKFNDLQFSARHHGSSTYV EFLQSFLENDYSISMNGSVFTHGDVRTDNIMVKQDANGCYIVTGIIDWEYSGFYPEYY ECTGLTRTLSLVDENDWYLYLPESISPSQFPESRYPILLVRRV UREG_03469 MVRYGTEKAIGRALKRSNLSREQLFITSKLWNNKHHPDDVEKAV DQTLKNLEVDYLDLYLMHWPVAFARGDEPFPQDSQGNPKTADIDYVDTYKAMEKLAKS GKTKAIGISNFSKAETERLLQNCSIVPAVIQMELHPWLQQNDYVEWLKSKGIHVTQYS SLGNQNEVYSGREKYGRLIEDATLAKIGKKYEKTGAQIALAWGINKGHSVLVKSKTPQ RIQQNYESDFELKPDDMNAIAAMDKKLRFNDSSAEFGYNFFTDLEGKQK UREG_03470 MENSTQIQKDTQRGPERQTAPSSAKFPALLFVYGSLMDTDVIQA VLRIPKPPPLRSAVLSDYKMKMWRIYPTLIPHEGTQVTGKVFMVDDIDQFQRLQKYET RAYSWTECEVELEDGTVARDCRVFIWAGDPDSADLRDGSFDLEVYQKEYKPALFGRV UREG_03471 MSFSPIPYSPSNPQDTHVLHGTPPPPPPKPTSHEASRRGTPLLG QQFTTQAPSTPPKEALPPRPEAEMSADSKHPTSPHHPSPINNLPQPPGLDELWIPDII KDKSTKDLQSILSDPSLLNALANTHPSHSASQSHLLALLDSNKALAAHVLDLESHLSA LRASTESLLLQHQSLELSWRKKQTEMDTALAPWSPKALYQRLVAAIAEQEAVVRAVEE SFLDGDLQHGVAGEREVAEWVKRLRSEGAKLEFRREARTRWDEGRVGGWR UREG_03472 MSTPNQYVLAADNSPSLLPLLRSNPSLASAQDAHGYSLLHAAAS YGHLDLLRSLVREFHVDINLTDEDGDTCLFVAETVELARCLVEELGIDKTLKNDEGLT AEEAISSDGSFPDVAAYLRGTPVSTIGDSVTRPGPSLPPNVTVNFGTMTESAANGNDM PDPEFKRRIDELASRENFHSEENQRELRDLVTAAIRGVNAETQQKDVRRRLD UREG_03473 MVQQDPSEVTQLVHALKTAQGPKSGGKKFACKKSTFPVAGSDIT VDSWKFRDWDYKRDDLPTYARGLFTTKTRKGANEIAIRGYDKFFNVDEVNSTKWENIQ KNTIGPYELSVKENGCIIFMSGLEDGTLLVCSKHSTGARQDADLSHAIAGEKWVRRHV ESVGKTERELALELRRMNATAVGELCDDQFEEHVLEYGEDAAGIYLHGINYNVPFFAT LSSAEVHQFADKWGFKRAEFVIKNDLSEVRTFLEQCAETGSWDGRDTEGFVIRCKSRE AQSGPYVDWFFKYKFEEPYLMYRQWRECTKAVIAGRAPRYRKHVKITEEYLQYARRQL QRDPEIGKLYAHNHGIIAMREGFLKERGLKGSEIIAMEAAEGDVAPPTSQNIVLVPVA SIGCGKTTVALALARLFGWGHIQNDNIQGKERPKKFAQGIRRSLLDHKAVIADRNNHQ KRERRQIIDDVRSIVPEARFVALHYVHEPKGRLISTIRKVTRQRVLERGDNHQTIRAG SKSPDEIIGIMEGFLNRFEAVDPDHEPDINFDEVIDLDIALSSRENLDTVVSALYNIY PRLFDRPKPSASELDEAIEAAIKNYAVDLKHDLSFGGSRNRGPQQGPSHEVQHQQNTI GGKPVSNLLKKIEYFRISLPHSTVNNLVASLFPPSTPAEKSKLYNHLKNSRRIQPSFH VTLIHRASSTEQSNIWEQYKELYKKALDKQLQNPDGNARRQNPPLGAARVRLERLVWN DRIMAFVARIMPVDHQEPLNSDIPTDMDSWACANAIAHVTVGTVAPNVKPKESNDLLK VYMEHGANEGTGIMEAEIGSMQVLDGTVGVVLS UREG_03474 MASASFRDSMNSLGWSRRPPEPPATNGSASTPVLSTLQSLNPFA SRGYVQLPEGSSAPLPAPTRREEEEGWFALSRWDRMLIFAGFNIGALVCFAICMCFII FPALMFVPRKFAIL UREG_03475 MDLPCTPFRAPNANLGLSRLGEVRCLIIRNHTQAGGRADKTPSA VWIPSRIGVTEKRYFHNAYMYEPPCEDVLSRCLTPGSYETRANMFHKGGWGPKHSLDA TPSAQPIANQSDARV UREG_03476 MDVGRRPHFERETDAGRKEWRWATAVWFGMVWYGGVTVRRVRSW TCSTSVSRSLLQPRNTKCGSESPFLGGFEEKLKGQPEWPSNGCRERRKRRCQDGDIYP VQIKETNYTDQMAFIYLQCCNKLQAHTPLRGEEHFEKSMAMVRLEAREISNQMTRLPE KATAQY UREG_03477 MAEATFIKTEPDDQLNNSSHFMMSNSTYGMPSPSFSNQFGNSGT NDGIDPSELLMHNGGFMSNPFSSPQNLSSSFNHGNSGIDTEELLNLEINGQNTLRDGP FNLTSDQPQHTGISMSHQGQMSHIYSSTPDGGPINSPFIRGGFGYDQFRPIQQHTPQD ASPHMNGANVQFDQNYLAGKSRAGFQIDRNSVDPRSPMSPKTSAMAGLNIATPESGSF PSQPIRAVPLQTQHQKNMANQWDGTPGSAHSLIESPISSPGHPSQHLGISEILKSGKH ASLPTKVGHHSSAQQALESQEAKRKRRRQSHNLVERRRRDNINERIQDLSHLVPQHRL EDEKIRKQLLNNSSLSGTVGGSGISPTSAATSLLAGGCGRRATSGNITMGLPIEEKEK GPNKGDILNGAVGWTRDLMWSLHRKLEQEDQLAELITSLGGTWPFEQTEEDKRMRTEL LDAMEKNNANTFAYSRAPGSGLRVPKHTNIAGEPLQRQGLNSQSLSPGFPNGGSGNTS GGSGQAQFWNTSGHAGMSFKEEDEYSMDMN UREG_03478 MAPISPPNTLAYPSPFGREKGKKKRKKWHPLTKKKVAGVPSATF PLHQAYYYSTFALQRLLFFPRSVSRKELSRQHRLCYLARPIKEWAG UREG_03479 MSQEKKPLPFAYQFAAGAVAGVSELQQGAGTGAEAYNGMIDCFQ KIIKNEGFSRLYRGITAPILMEAPKRATKFAANDSWGAFYRSLFGMEKTNQPLAILTG ATAGATESFVVVPFELVKIRLQDRNSAGKYNGMMDVVRKIVQQEGPLALYNGLESTLW RHILWNAGYFGSIFQIRAQLPKAEPGNKTQQMRNDIIAGTVGGTIGTIVNTPMDVVKS RIQNSPRVAGQTPKYNWAWPALGTVMKEEGFSALYKGFTPKVLRLGPGGGILLVVFTG VTDFFRKMRGE UREG_03480 MFDEPAVISAASKSKLNSFRYINNPLSRMSAADCDTGTLETNKE NGHPEAPETKHDSNYPQTPTDLISNTEDAFSKAAGKVPTPEDHVYWDHRNPSSKKNMP RSSARRCKKRNHSSSPTRSPLHRDMPVDLQAVPPIMKTPQHDIAADLWNKYVAKGNGI PGAEPSNFHLSQLGSSPHTPAPLPRAARDGSGLRRASSCNIDWPVSNNKRRRIDYDQQ RTDIIRDGFSRSRSSFLASDRPKSSKISLLVEKIQETLSKYPKDDPDAPSSSSPLPDR SDGMDECNAASPPKQPDKDQLIETPSKPAPAPCYDGQTAGNDGTGEHQEQPDSNGTPS EFGDEDLDRDFLELAVVSPKKLFPLSKNSPAAIDHVPTLAPTAAKQHSFEEDDFQTIN DFNEDDDEEFDDGLEAIMAQYDKSLSPQRTAAGNKQMQVSGQETPGSEKTVTKTETRN DQNMVTKVAIDLASDDEFDDDFDLEAIEDAMRKDPEFAASSSKHFKHSRTIQRYLILD CAENTYITSNGRPQAEKVLLVQEEKTKLNRAITLRESWFDMPCKKGFYLHLIGEFNTA GQCIVDDANNMIIIHPDHLISATVVADSFSCQRRAVLQDRVKATSEASKPQVYGHILH EIFQQAMKANRWDFAWLKEVIDETLSKYLESLYEIQVELPEAAAYLQAKMPMLKAWAD VFVRYQPTSESVIEDRNGTTAKLCIDKLLEVEEHVWSPMYGLKGNIDATVEVVLQDGA EQKTLTVPLELKTGRNNTNEAHRAQTALYTLLLSDRYDIDVTFGILFYLEVSKTHRVR AIPAEIRQMIQQRNRLAGYIRERLDLPPMLKNPRMCNQCYAKSACFVYHKLMDSGDGE TSGMGKSFVELAGHLSPSHQAFFKKWDLLLTQEEKETMKFRRELWTMLSDEREAVGRC FGKVVIEPESAFEDPEGPKINRFRYTFVKHKATPGFSFTDSQITVGDPIVVSDEKGHF ALANGYLVQASSKRLTVAVDRRLHNARTRMPGFDPVRNQSYAGIMEVGNRGRADYLAA EEDVVLYRVDKDEFSNGMATVRNNLVCMMDKTPLRSSRLRELIVEGVPPVFKSLSSVP KLSASAQATLNVDQKQAIEKVMSAKDYALVLGMPGTGKTTTIAQIIRAIVSQGKSVLL TSYTHTAVENILLKIRDDKIPLTDAWARLVNTDLLKPEAVESANGSRIVNPIEALLCT QLVEALISTGISPREIGVVTLYRSQLALLKQKLRHHLPDLEMHTADRFQGRDKEVIIM SCVRSNADHNVGELLRDWRRVNVAFTRARTKLLIVGSKTTLRDGNELLGRFVKLMDGK GWCYNLPPTAVESHVFEDYGPTQISPKKGRRESASPKKRSALTKSAAKKQPRAVLSPV KSRGNLFGMGGRKAEKAGDKVAHAEKMLWS UREG_03481 MFDINDSALDREICYTTIGHLPHYVEPKQIPTKKSPFSAFSGHP FVHSTELILPEEIYQTVWDTVSSKISSCQYAKVFMSLADILEGDFFNKYLKTGNILLI SEGRSGVDKVYSLRNGMLGPIAFSGPPRLTTARAAQAFLDWSSTKAHTNEQALLANQF VVEVKNT UREG_03482 MQGVQSTPGELNSKPRACYEPGHNENVLVAYDKQYSERGVYTNM TFSAFVRALSLNLHGANCDSSLDIHALSQKGNSIVVLCRPAGVGEFRLKCSPIVDGIS MAINGCVASSSRVWAYTQKASHDRGDAGNISNSPTLRVHEIVAGHGKIVAAFDFLGSQ MPLGRNCLSSIHAVSLE UREG_03483 MASELVNLSKTAINSGDFSVLQGQTFSAALNTSADAHAGLRLIL TRLLLDISQSYRAFSDRVATLQKEQKNKEALQQMIRAASVEFQKNAERLIDLAGYEAK MIVSHLPANAQASSQKYFDAGLARATVYLSKIWTERVNAVFAALPKLLEGDLSVLTVS FDYVVRETDAAVFAN UREG_03484 MPPAPPSQLIPKDRFSYLFGRLRTQSYTDPNARGPGSHSIRTLA WNPTGLLIATGSADRTLRIWNPERPEWRYSTELRGHSSGIEQVAFNPVKESELASCST DGTVRFWDVRSKSCVSRIDVGGEAFTLSWAADGSALLVGRMDDTLVPISVDSTSSPII KPPTTETAKGTLSSPLYKSLPPHKQPVRTNGTAFSYSASNPDLFLTTGDGTVQVVTYP SFTPLHTLNAHTAACLCVSLSPTARYLAIGGGDSLISLWDTTDWICKRTVSSAGGGAV RGISWSWDGRFIVGACEEHDCAGVGLEIFHAETGDSVFTVPTDASHVVPAVAWHPSRY WLAYSVYADGLGASSNGLRIVGAAGGGL UREG_03485 MNTVKSSQLPLPRDLPVAQQTPSTYAAPDRAVGNETEDARANAT QPNESTPRRKLRCDGKKPSCGSCARLGHECAYNEARKKSGPKRGYVKQLEARLAQVET LLKGQDVADNSQNPASARDTSYQGLLHSTNSDPKRSIGSDSPDQGPPIPTFVDMPSNS GAFSEPSLSMSDEVPWGMIGLGVEESLPAPEIIDDLNEIYFQKVHPTCPLIHKPRYYA AMSLAPLMRPPICLRYIMWAHAAAITERYQSLHPHFYQRARKYVELDEMKGLGESILK IAHSQCWTLIGSYEFKMMYFPRAWMSTGRATRLSLMMGLHRQDGLGLDVKQCLPPPRD WTEREERRRAFWLAFCQDRYASIGTGWPMMVDERDILTCLPASDEAFLNCREEKTIPL SDALSGQGFSTLSSLAAIVIITCHLGRNLQHLHRPSANDKDHDLNGEFWKRHRALDSI LLNTSLSLPSHLRLPEGINDPNVVFCNMCLHTSTICLHQAAIFKAEKNEMPNQIAAES KRRCIIAADQVTTIMKMTSHFDLTIMNPFLTFCLYVAARVFIQYLRSRSEDPTIRSSL QFLISALSALKGKLPLSQSFLVQLQFDLESCNLDRMGSTMSCSLHTDLNSNDKNQTNL DDTTKAQSLHSGNACGNPPGQTGLLNSTPLACRQKATPKQQAQGHVSHSPHRHLFTSM QTSTDFTTLTDEHLRAFGLELDISTDISISSEPQPSTTNHSSPNTHNTSSNSSSSPHN VEMPSPPQQQHQPPQSCSHIGFSTPSSNLGNLGISSNFMPFADTQNATIPDTFTFPTT WIHTQQEHSKNPTQTPVQSQPQGGSSFPTTANYSSENIDLMSFDDLSWMQNTNMPSDW NH UREG_03486 MNAPGGDRTELLYELGLSEEEELLLLEKESFEAQYWLERRKDQE HRDESLARAIQDSLLESDFPPQSPAPPPPAQSTVNREPAPSIRTSSPPALYIPQLSMR GLSSNTSHRPRNNTARTAADIQQDLIDLTGSGPAISGNRAIPLGFAPAPARGSQLPQQ FPSPMYDFSDPHSLPSLPPGHAGLEAYRQYASMPGNFPAPAANFNPYYQLNSIKGQEP PLPWNASAIHPPGNIIQDYDGPSNPAEMKKELESLLENIRPDEDLKCNGQSTPEAMKF KLMEHQKYGLAWMKAMEEGSNHGGILADDMGLGKTIQALALIVSRPSPDPELKTTLVV APVSLMHQWKREIEQKLKQGRHQLSVYILHGDKRGTSFSKLKRCDVVLTSFGTLASEF KRKEELEKYFKENPARRDDHSLYAQMPILGILSKWYRVIVDEAQCIKNKNTKAARACY AIRSTYRWCMSGTPMMNNVTELYSLLRFLRIGPYNMAETFDATFTRPLKSTEKEQELA MRKLQALLKAILLRRTKSSKINGRPILQLPPRTTEKVHAVFSEDEQLVYSGLEAKTQI QFNRYLDAGTVGAHYSSVLVMLLRLRQACCHPHLIQFFNDDNNVNLSNVDLKANAKLL SPGVVARLRENGNSECPVCIDAVENPIIFFPCGHSTCAECFAKISDPSRGVAEGTDGA FEVKCPNCRAKVDPKKVTDSLSFRKVHFPGDDDSNEIDARSNCQEGDSEDDDSDSDGL DDFIVDDENEDEDADRSGSKKRKRKAKVGNKKNLATLKKESQKNAKAKKRYLRRLEKR WETSAKIEKTLEILRETETRGEGEKTIIFSQFTTLLDLLEVPIMKEGWKYRRYDGSMS PIQRNEAVLEFTDSQDCKIMLVSLKAGNAGLNLVAASQVIIFDPFWNPYIEEQAIDRA HRIGQTRPVIVHRILVENTVEDRILELQEQKRELIENALDEKASKSLGRLGTRELAFL FGLASRR UREG_03487 MATQEQAQGQQPIFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIA TLGVEVHPLSFTTNLGPIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYK NVPSWHRDLTRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNF EKPFLWLARKLVGNQTLEFVAPPALAPPEVTVDHALLEEQRLEMERAAQMPLPDEEDG DL UREG_03488 MGMPMDDIHAPTVPSGPTSRLGGERDISKLSMNELFKAKEQIEE ELKMLSEILQSHGVNMETPLTTFDGYPRDDLDIAQIRTTRARIIYLRNDHKAIMAKVE QGVHAYFADIRNRDTCDKSTGDQQPPPTTHDATEEAPVDQSGLVETPFAKVSSVADGS PAAQAGMKVGDKIRSFGTVNWMNHENLRKISEVVQSNEGMPLIVKVARSGEPSQALVN HTLQLTPRRNWGGRGLLGCHLVPL UREG_03489 MDNYPDDTFLEALEEIPPETESPAATLTQSRANLRFSQWIKTVR GSKPNLVQGPSRYVADWPEDEDLDDSSHTVPQERLNRDDASIVSSSSFLHSVKTASMS LASLSIVNRRRSNTQTSSHHRSSTFSGSDPRRSIDSNKLGSTLSLDESAWTFAIQRFQ IIQELIDTEASYISTLKNLSQGLSLVLIAPSGVHQSIDKLITFHGRLLGGLRNSSAAF FSTNLLAKERVRRTKQENENNANQSTIRARSSFLAPGKPREQPRPRSRSSTIVAVAPK AASAIARTLLAHLPGFYVYKEYLAKYPLLQGEIDHLRQSKENWQAYDQGLEALLRAVQ PFNLREKHANHARTISDLLIQPVQRLCKYQLFLKDLMRCTPETQCTMTYEALKLAFDE MGKVTKEVNSGSADPIAMDRVRKTLELQKKLEFPSNQEYCDVLKQYGPIKVCGVLHIA YQTGEAVTGSYMMCLHCSGTPYSWKLVFTFQQNLVELVFSACSEKEETEWVRHLLLQI APEQEAQPEAAAEPVGESVIYFTLNPLQAVVLDDRLLNVARRSSVHGTLVTVANPDYL RIHIKGTAAFPTPNQPTCPPLGRSQSVQNPRRDVVLAPKRQKRIKMEKRLADIWTRDI IPYPGMPLESFMRTSTDVLMGKFTSLPPFARRTNSNRTSTRTKSVEQFSIPKYDLIKG DIEDDEIDPCPSKESDQNEDEDKIDLKSAMIEASNNQRRGFMSLRKKHSNLIPRAMGE APNEIKVKGSKQSLRKRLSVALFKSNSPKSRRFNSVEV UREG_03490 MARGNVDVYKVFYKGDNDDFIVFVEDVAAVRNWKKDRSIPLAQV VNGFKVFVTHRQGAQGIHDGASKAILECEFGTSDVEEAIAKILERGEIQESEVSLAVP RFIKLLFG UREG_03491 MEHSAWKRHFGGSKILFYFLFHGMQLGVFIYGWWKQAGDIRLAP LNTLQYSVWISRGAGLVLSVDGTLILLPMCRTLLRYVRPKFRWLPLDESVWFHRQVAY SLLFFSLVHTIAHYVNFFNVEKSQVRKETAVQIHYTQAGGITGHIMLLCMLLMYTTAH ARIRQQAFETFWYTHHLFVPFLLGLYTHATGCFVRDTLDPLSPFAGKDFWDHCIGYEG WRWELFGGGIYLIERLYREVRAARETKITKVVRHPYDAMEIQFSKPSMRYKAGQWLFI QVPDISKTQWHPFTITSCPFDPYVSIHIRQVGDWTRALGNRLGCGPEQAKDIDGLDPL GMYEIAVQNGQTMPKIRIDGPYGAPAEDVFDNEIAILIGTGIGVTPWASILKNIWHLR AGPNPPTRLRRVEFIWICRDTSSFEWFHALLSSLEAQSAADSRSGQEFLRIHTYLTQR FDQDTAANIMLNSVGQQVDPLTELRTGTKFGRPDFKTFFSALRNGLVDQTYMPGLDAS LRTDVGVYFCGPNVAAKDIRKAAKECTTREVRFRFWKEHF UREG_03492 MPVDVPSSNDCQWLRDTFNPPSRSDPLGSVCSFPPDDVNGMGRA SNLIEHTKLWLGLGVEGGQIGIVQRLITAAERQPSPTTAETGPRSSARYPDKRDTFLT RTIPIRRLEHPGSIGLFLFGNIPGSRSIPGSNSTGYKPAS UREG_03493 MGKLIKNHLARLIVLTAAIGQFAAGIHGFFWPKVFWDFLTKNLD GAVKPVPVLQIFNVIFGLIGIALEWPLKPIAGTLVHRSIEARLLVFPVSTLLAALLYQ GTNPAIYYIVGMIVYFWGYSEGEIVCPEPWTLPKRPGRVMKV UREG_03494 MTPSTADLSNCETVISFNGSLDQYLHVSPTKNENTRSETASPLK RKLNSATDEQSNPSSPTKRPSPSALPIPSPTRRTTRSQSTQALQTTLLTRPSPTKRTR SSKSTTPASPAPNGGPVCLLRDTIPPGLILLFIGVNPGIMTGQTGFVYAHPSNLYWKL LHSSGITARRHPPSDTYRLPELYGVGNTNIVARPTRDASMLSKAEMDAGVAILEEKVR KSRPEAVCLVGKSIWETFWRVRKGRNIKKEEFRYGWQDETENMGVIARGEGEDGEEWK GGRVERTGSVGEAKKG UREG_03495 MASGEGKPAIPKFASFKPKVQAETKAQEPLKTSRDAVRHREHDG ARYASRAKREGKRSDHQPAGHPTSTGYSDTRHYRGSGRPVEQTEPVQHKPDFFKIDTK GDRYNVEYGAPHRYNVPSYHRVGAGRILGLPNSFAIDRESSLGTKIVVRPRGSHAATD STRQKYSSSVWKRVSKLKEYRRIRHDPRGETDSESQQSFIPLTSSRGRKRRRLDRASS EDSGPNYRSIEGKAKPVDEPDLDGDLTSDSDLELEGEAARRRNATLSARVSSHPDDVN GWLDLINHQSNMVGTADSEGRRTHTVAEKRSIAEVKVSMYENALRKIPADVPRDGLLL GMMEEGAAIWDTKTVADKWKNVLQSNPGYIALWVKYLDFQQTRFANFTYEACRQIFLE CLNINQTQPDSLKQGAIDIYILLRLSLFMREAGFCEHAFGIWQAILEFNLFHPSSLDL KSNLSNARRAFSEFWDSEIPRLGEVGAKGWGAENEPPEAKSDPPLGNIATRAIFESWV NTERYQMHYSRLPARTLDEVQEDDPYRVILSSDISDFLLFFPEEQLQSLLLDAFLLFS HLLPQSFEQNGDILKEWCEDPYVRNLALEQVNASSQWFKALSHDPEASSLPLLSSFPY SNFIPTEDSIFSDGSAWFSAFESWKSTYVDTTSSLDAASVRRTLRQLVDRVSASDTLA IYSAGLEYICNPNDAIKYAKSLLRKRPSNIGLYNAYALIESRRGREAAADKVWMTTLS MSKSFPEEVKKTCVLLWRSWIWEALNKNNASKAIQVLLAISENAIDADRLSSHAEQVK DLSPAEFLKIQKVGLTSP UREG_03496 MEAVTGSWTQANIIPGLTCLSVLSQQKQEQFVPPKTIKAILNLD NAIQVLYELNGRHSMTSLILSLIRGCIKRSGKRSDPAYISFVSQVIERSILSGAETTK ALSILLEAFNGLRRQNLVADGMGKQLSDLLLQLNESRTFAPLLREAVKDSGVDITELE MSLATVLQTDVVPGEIEDVDMVDSTEISQVQDTFANTLDSLSQASAKEHSFLLDIPSP LFGQLAHAFVHGASMRDKVSRFTKLPVLRPDKVVDEPLYLSFFIRIFSGPYPATARAV AINIVTCQVDEMANKCVDMQAILPYAIASLADPSERVRREAASLLAAIDRQASKLKDM DVSQVHSWGRGHIYGQAERTGPVQWLSPTDIYRVTHRGLIPGLEEYVLDPEHVGRVVA QMIRGSRSLEALGGTNPNLADMDFKKSLRRDIFTFLCSHINGTPLYGVKLRLLKALNK VGKASSIYRSEALRQTFDHWRLLPPEDVNRIEDGEQVSAKELENQILLIIHPKEKEAV ELLFSSLTLNPGSNRQSFLVAGFNRLKDIWSSLEENFELALADQLFEISLAPSPQNIS LANASRSLLRAVDLSGPVILQFVHKISSCTADLGSRGPPSKKRRTSQNNMVPMDLMDK EIDDLLQKLTFVLELVDGSHAENNPELIPGLFQMLAAIHHLKLQTRSEMSYLLSLNLG ILLSIINNWKGTPTRKINTSAIRADLIIDCVRTSESPQVQNTALLLIAGLATVAPELV LHSVMPVFTFMGSSVLRKDDEYSALVIDQTIDQVVPPLVQSLRNHKRDVVSGTSELLL SFTTAFEHIPSYRRLKLFEALITKLGPEDFLFAVFAMFANRYAMDKDVLAVMTALASN YEAELQLITYARYLNLVKDALQPKPTLAKTLLGVGNEDERDPQKVAVDLLQALSHLLK FTTLRTKIIDCFDSGTNDQVDKVHALFSSILEQLLALSESFRAVKSINSACGEALGTL LGTLSLVDFVDTIEVLLRRPSDDLRRKVLKLLESRLDSNNDRDKTSQIRVLSFLPVLV GIIETSPDILLKHAAVACIEKIGEKYGKKDPQQVVAAAKVISGEHCIGLPDGRIRVMG LLCLASMAEVLGEAMIPTLPEALPRSFDLLQSALENRGNGSQVHDAVYSLVSALLIHV PWMISGDYLDRILQLSFKSSGADLGEESDSNRLEALQLLAKRVDVKEVFSAVERNWEP AVEQGPSAVQDALDIVKTAIEKHAKSATVKNVSALMSLLCKAFDLRRTQLSSLKDDGF DEVDVEEIENQANDVAIKMIYKLNDTVFRPIFIDLTEWATSGLSKNDTTGRVARLTTF YRFLEKFFGTLKSIVTGYSSYIIDSAVEVLKFARCSDKATKALWVSGLRMLRSSFEHD QDGMERHFAIV UREG_03497 MSQKLKVAVSQARTRATLQETLEALEGITRLAASRGARIILFPE GYLGGYPRTCTWGAAMGGREDSGRQQYLHYYQAAVDVGDTPAGAGDDWVHRRLPLAEG KNHRGDGTREVLERISRETDVLIIVGLIERCGGSLYCGVVYVDPKRGVLGKRRKVMPT GMERLVWAQGSPSTLKAVTTEVDGVKLTMAAAICWENYMPLLRQSLYEQNVNLYLAPT ADGRDTWLPLMQTVAFEGRAVVLTCNQCVRKSQLPDWVQGNDNSQRKGTEDSEDPILT GGGSCIVSPLGKVLAGPIWNVDDDDAEGLQIAEVDFEDCTRGRLDLDVAGSYSRNDSF KLTVQGLDLTPPPV UREG_03498 MATTSSMFMYSLTIQPPTAITQAIIGQFAGIKEQQIVIASGSRL SIHEPDSHQGKIRALYSQDVFGIIRSLAAFRLAGSNKDYIIIGSDSGRITIVEYVPSQ NRFNRIHLETFGKSGIRRVVPGQYLAVDPKGRACLIASVEKNKLVYVLNRNAQAELTI SSPLEAHRPQTIVFALTALDVGYENPIFGALEVEYTESDQDPTGSAYEESEKLLVYYE LDLGLNHVVRKWVEPVDRTAMMLFQVPGGADGPSGVLVCAEGNITYRHSNQDVFRVPI PRRSGPTENPDRKRYITAGVVHKMRRAFFCLLQTEDGDLFKVTIDMVEDDNGQPTGEV RRLKLKYFDTVPIASSLCILKNGFLFVASENGNHHFYQFEKLGDDDEETEFTSDDFSS DPLEPLAPVYFRPRPAENLNLVESINSVNPLMSCKVANLTEDDAPQLYTLCGTGARST FRTLKHGLEVSEIVESELPSVPSAVWTTKLTRNDQYDAYIILSFTNGTLVLSIGETVE EVTDTGFLSSAPTLAVQQLGEDSLIQVHPKGIRHIHADRRVNEWPAPQHRSIVAAATN ERQVAVALSSGEIVYFEMDTDGSLAEYDEKREMSGTVTCLSLGEILPGRVRSSFLAVG CDDSTVRILSLDPDSTLENKSVQALTSAPSALSIMSMIDSTSGGSTLYLHIGLYSGIY LRTVLDEVTGELSDTRTRFLGLKPVKLFSVSVKEQRAVLALSSRPWLGYSDLQTKNFM LTPLDYVPLEWSWNFSSEQCVEGMVGIQGQNLRIFSIEKLDNNLLQETIPLAYTPRHF VRHPEHPLFYVIGADNNILSPATKAKLLEDSKAVNGEASELPPEDFGYSRGTGHWASC IQVVDPINSKTVLSRIELEENEAAVSVAAVPFSSQDDETFLVVGTGKDMVVNPPSSSC GYIHIYRFQEDGKELEFIHKTKVESPPQALLAFQGRLLAGIGTNLRIYDLGMKQLLRK CQAEVVPRMIVGLQTQGSRIIVSDVQESVTYVVYKYQENRLIPFADDIIARWTTCTTM VDYETVAGGDKFGNLWLLRCPQKASEEADEDGSGAHLIHERQYLQGAPNRLSLMIHFY PQDIPTSIQKTQLVAGGRDILVWTGLQGTIGMLIPFVSREDVDFFQSLEMQLTSQTPP IAGRDHLIYRSYYAPAKGTIDGDLCETYFTLPNDKKLMIAGELDRSVREIERKISDMR TKVAY UREG_03499 MPLPGAGLFGPDGGMGPPPNTEQLINMLENPQFSSMMNEALQNP QLLDMMIRQNPMLRDMGPGVRQMMQSPAFRRMLTDPNILRQMAQMQTQFGLSPLGGAG GENASFPAPGVTNTTPEEHRQQESTQGVNTGNNAGNAPNPFGLFGFPLAQNTAGNPFA SLFGNPEFGGTATGNDTSPTGATGNSTSRETTSAGNTAPTGATGGTPSSQNQPNPFAA LFNPTLSAPPAGQNPSQQPQHPSAAIANSPFLQDPALLSQLLQTFGGNQNPSNTEAGA NPFGMLFPGLMGQGSPSPQDNRPPEERYAEQLRQLNDMGFYEFERNIEALRRTGGSVQ GAVEYLLNNT UREG_03500 MAGYSIRSYRDNDAIPVFVNKIFSDDSQLQYAYFDLPFVCPPSG KKHGTSAFASGHSISLNLGEVLRGDRIRISDFEVTMGKDVSCQLLCHKEINRNDVKRA RQLISDGYVVEWIMDNLPGATSFVSVDRSKRYYSTGFKLGYQDFSPSSRHPRYFLHNH FTFVIRWRNAPGKAGAHGGKVIVGFEVYPKSVGDVGRSADGCPKEIHAKQERLELYIA PNNTRLAEKYPGSSYLPENDDDADDGASITVPYSYSVYFRKEEHIGWSNRWDLYFYTH QDGKMTHWLAILNSLTISAVLGFMVLVIWGRTLADGNGSVEEGKLKLSGLRSGARTPR TPRFDEKLPGGLLDQGIEDDLNDRFSDDELDEVASWKRLHGDVFRVPAYSGLLAPLIG SGMQLLFMATGLLALSCIGVLNPSFRGGFVSVGMGLFVFAGIFSGYFSGRLYKTFGGR NWRKNTLITALLFPGLLFAFVFLLNLFVWAQASSTAIPFGTLVGLVALWLLIQVPLVY AGSWYGYERATPWEHPTRTNAIPRQIPPQSWYLRTIQGTLLTGLPAFAVLFVELLFVF RNLMQDKSGYYYVFGYLSVICTVLLVTVSEVTIIATYAQLCAENHRWWWQSFVTGGSS ALWIFISCVWYYLTKLHVRGFVSSLLFFGYSFLGCAVYGLLMGTVGFLTAYTFIRRIY RVYVRPSFLNRQPPTFSPKDHLAPI UREG_03501 MAALWGNGGQPGQFPLEQWFYEMPPCTRWWTTATVATSVLVQCH VLTPFQLFYSFRAVFVKAQYWRLLSNFLYFGPLNLDLLFHVFFLQRYSRLLEESSGHS SADFSWLLLYASSSLLILSPFLSVPFLGPALSSSLVYIWGRRNPDTRLSFFGVLVFTA PYLPWVLMAFSLIVHGTIPKDEICGVIVGHIWFFFTDVYPPLHGGQRPLDPPRWWRRL FEGRRSGGAQENGGTDTRNINNEFAAAAAPEVR UREG_03502 MAPRGRPRKTVPGRATATQSGAGEGSSRDIAPAAEATAAPAAAA SASSKGGRSSPAAQRGRGRGRGGKTVPARKSDVQPGDPLPPPRKHRYHPGTVALKEIR RYQRSWDLLLLKLPFARLVREVALDILPPEVGEELRWQSQAIQALQEAAEAFLVHLFA DTNLCAIHAKRVTIMQKDIQLARRIRGAWGGIG UREG_03503 MAYPPPHGGPFFPGQPPLQEAHQQPNRPAASPLALPALIKPEQS PQIPLAQFTPYPSPQQHLQPQPFPGSPSATTYPPRPVNHLRPAPPKVPFQYGAVPAAP GAYYSLPHPSDMYPPPHPQYQAPPPPRPQPQSQPVPSPASKSPYPQIQIRPPPISQVQ RLSTSSKGGNNPTAPARSRDRAPQHMPPVAKQPTPAKARNKSEKQSIDYQVLLLSLAD EYFDAAHSQGTILAASREEGDVERYYKLVATGLCCLEAVLKNWRLQPRTEALLRLRYA RILYEEANNDREAEAALSKGLLCRILYKSNPKAAMKAVDGIIRDLEAYRHIAWEYAFR FLRVTLSLSSPSHQDFVAAVHNLQKLSSMASRTGDKAVVVVSSIIEALTHLQHSSNGD AIEQAQRAIATARSHQTNESVAAGPHVDTMFQVADICCSILEYDIAQASQKLQVLQKN MDQNINSPLWQDDGSFSISLSSEAIKQSTVEFGDILRASNGTVELVLNWLPEADLYAL CYFLSSVTLGARNSQDGHKAEKYLQEGLRMIRSSLESPQEVPESFTLASTRFRWRRLL YCHMLLQQIFLACARTDWPLATKMLKEARATSVELGNEPYETINCLIQYATGVIAQAT GDLKGALAIFKQPIFSLSQSTNRTCRNDPRRDTAILAGLNCVLIYRDTSQPSFSIAAN ALSSLEPFCQHSPNKYIQAAYSLVSATIHTESTMQTKRNLHQSLRSATAICNSQVTCL ALTFMSWKYFRGVVGEQSEKSAMAAKAMARKTDDRLWINVTDELLAETLDRQGKASEA RALREKADKDLAKLPPVLTRTARPVFGQNGTKSTTI UREG_03504 MPKFVPRQRKHKVLARENKPSTPQEDSNAVEVLPVSKDIPTLGL VKGAQTGSGLKRPLEIGADGYPVLKKRQKRAPKIKPVQEPAEEPEWEGFDSEELSADE GQMSSSDRSGSSGDEEALENNSEPSDDDEDIGSSELEDEDEDEEISNKKVAPRQSAFK SWAIQQINEAAGFKPTDHLPAPVSEEVSKAMKATKSAPVEEEPLPPELRTTNGDPSRK AFSVPVNRPDEIQEARLKLPVVGEEQKIMEAIYNNSCIVIWGATGSGKTTQLPQFLFE AGYGNPQSDNPGMIGITQPRRVAAVSMAKRVGDELVQFSDRVSYQIRFDSTVSSKTAI KFMTDGVLIREIAQDFSLSKYSIIVIDEAHERSVNTDLLIGMVSRIVDLRKSMSAENP SIRPLSLVIMSATLRISDFIRNPNLFKQGPPPLVQAEGRQYPVTVHFSRRTHRDYVEE AYRKVCRGHRKLPPGGFLVFLTGQNEIKLLAKRLKQALKPTGASHNLEAKVQISPAEA SLEAEDVEFGEEKLKLQGEEDDESDIEIQGLDDDEEDDEFDEEEGSMGSTAKVHILPL YSQLPTKEQLKIFDPVPEGSRLIVLATNVAETSLTIPGIRYVFDCGRSKEKQYDVSTG VQSFQVGWISKASASQRSGRAGRTGPGHCYRLYSSAVYEEAFPEYTEPEILRTPIEGV VLQMKNMGLHHVINFPFPTPPNRAALAKAEKLLRYLGALAANDQVTEIGRYMSLYPLS PRFGKMLYIGHQHGCMPYVIAMVAALAVSDLFIQESQLDLSPPSKDEEGGVYSNADRL EDTAREQRRKAYNKAHRIFSKYDDKTDTLKFMAAVCAYAFAPNGETFCSQMFLRPNAM KEAAQLRRQLSDLVRVNNPGLLGSFEPRLREPSDKQIRALKQITAAGFIDQIAIRADA APMPPAMERKPKRAIDVPYLTLFASRDGHARELDEKAVYIHPSSNLAKLSPSELPQYL VYSHLQQSTATYIGHVPKVRMFPLTPVSGLQLSALAQGTPLIQYGKPIGKTESLGGSP ERRECWLIPSLVGDPGSTGWPLPAKKVIQKKDRKEGWVIEQFV UREG_03505 MSMNVGLSTPRGSGTSGYVQRNLSLLKPRDRSYGAPFQSDANGD DRPAFKQRQPDKQILEHDRRRQIEVQVLEEQDRLEEENEKLEERIADDKKEDGEEKKY KLSEEEIEQRLEELRTRLTKELEDELAGKSRSDPRDRDYRGEKRKQGS UREG_03506 MKPPNPKDPSGSAGSRPAAAAQPSSSEYRQIKAQWANAQLLAEE EERNAARARMLEARRKTRHGAASEEELIKAVRQRDVQRNKAKEKGFKTVHGGVLGLFG WLMFIHLVGIFFFTKGFLLTRLVLDTKSECSVLPFDTADSSIRSGAADEGCWHPKSFD KAVVVIIDALRYDFTVPFHPTVEGKEAQLFHHNIPVLYETSVQKPNNAFLLPFIADPP TTTLQRLKGLTTGTLPTFIDAGSNFAGTAIDEDNIVAQLWSMGKRVVQLGDDTWQALF PGYFETNLTHAYDSFNVWDLFTVDNGVTEHIFPLLQAENVTKWDVIFGHYLGVDHAGH RYGPDHEAMAAKLKEMDGVIRQLMEDIDDNTLLVVMGDHGMDSKGDHGGESDDEVEAA LWMYSKRKLFGRTSSNSVEPPKTAKERPVPQIDLVPTLSLLLGLPIPFNNLGSPIEEA FAGPDGKDWRNLVAVNRLASAQIERYQHEYALARGLDQSHTSGPLSLWYQAEERWKAT KNIKDSNSATLREIYDGYREYQKDTLNVCKALWARFNVPSMILGIGILTAGIAILVYY ARAIRGDRTDITFPTLKRIGIATAVGVLGGIGSTVLSLLQMPLIEGAALGAAVGGLLA AFSTVLWVPKRIQSPLPQSLWSWLAVIFTVAQSAGFASNSYTIWEDQILLFFLSTFGV LAAVSSLRQKIKENAVLGLYHSLLFVVLGRIASLSRLCREEQMPYCKSTYYASGTSST SAPWQLLIPFALSLVLPSVIRSYYQGTKSYEGSAIFWIGFAFRMGLLFLAMYWMLNAA DDGNWLVLQSGMIKTTKVVLAQIVLAIAFAAGTTTFVWAKPCVSIAMTPRVQPSPKTS VTIFGYANIYGTRFFILVINFALAIILLQKPMGGGTIALQIWQILSLLEILDTNGLTT SNSSIGPIVLAILGSFHFFTTGHQATLSSIQWDSAFIPLNTVRYPWSPILIVLNTFGA QILSAVATPLTTLWKRPIDSRGPEGVKDADDTPGKSTKRLVADVAQAAATHTLYYATV NLATTIWAGWLRRHLMLYRIFCPRFMMGAAVLVVVDIVVLCFAVGGVRWSTISVGEVF GWG UREG_03507 MESLRKLVRREDDMEELSSTTVNLLISLLVLILLAITLTASLLI LRRKRRAAKKANLPMYNDHAAGSGHHRRLTITTTPYNGNESVYVIDEKRNLVENSSSP PSSPVPEIRITFPEEEDTHGKRKPGSVVVVRISEKGGIGLEPYSESLPPYQANTADRF QSLDLERIGGLKDKDDMKRYS UREG_03508 MAVTPAHASAGWSPSDPTRMAVRSAGPSRGGSVPRKKIRVSSAR DPGRLLAREERADRFLVEGKTRCSRGARRSDAVAVMGRFGESLTLDRSQLGRVEVDDA LK UREG_03509 MASGLSNGMGVHSVRGQSQRPHDTDSSNIGPAFGMTKPNWKGDL WSNPRLTDAFPDNTSKGSSHDVIEGKSGSGCLLATSESDGWDSRASLPWNVNGSHGHS LTPPGAKAQSRDSSPSYFASSQPAAIGTAAKTSTQRPLYIHSDPQSVAASTIGTPTPN GFINNNTPPRDTNMTFNHPKCWCQIFEQVNISCAGRAIATGTIMSTARWGLCNLTSNV GQPGKSMGGLYSHLSSNSLSTFSQRPTHSPHSSFHSNSDGNETVGSRSHHDLAQRFSK LDLEANGYVIQSQSASQRPAYVARSSFDGTFTSLQPPFIADELSVPAIKDFTLEPFSE IPMYQRSITSRHGERIMGSPSQNDYSRGKNPGFYSTHGTPPAPSRLVMSPTRLPGHYS DSQAAELLDRKLRSLQSEQQEYLHSSPMPNRRGLQQPQAFGYTNYHTGQMSQFANLYG MTPLSGISTAVTTRSQYREPDASQSMRSPLLDDFRANSKGNKRYELKDIYNHIVEFSG DQHGSRFIQQKLETANSDEKERVFQEIKPNAIQLMMDVFGNYVIQKLFEHGNQAQKKA LAQQMMGHILNLSTQMYGCRVVQKALEHVLLDQQAAIVKELEHHVIKCVKDQNGNHVI QKAIERVPQAHIQFIINDFKGQIQRWAVHSYGCRVIQRMLEHCDEEDREAILAELHVC SGNLISDQFGNYVIQHVIENGKEKDRAQMIAVVISDLVTYSKHKFASNVVEKTIEFGR NSDRLDILRIFTTLDERGDPLLDLMRDQFGNYVVQKVLQVLKGDEYQTLVDHILPLLC QLKKFSFGKQIAAIEKHLGKQTPSVSSTAPNSNTMCPANDVDSSCEDIGSFTGGIPSS RGSTAPSSSTSIDLVDTADNK UREG_03510 MPVVMIPASTMEGRRGSRFGLDSLHRSSVAAESLEPPNFEVVLR NLSHDQPVSVAAEEAERAARHLHSFSADQAVAIWDAGSHLLDVSSSTDAKKSGSSLLE AISGRQDLPLLSRRALYTFLSRPCSPDLIPARVNSLVALSDHGRKIDFSDNSVLPTVV SWIVPLYDVLALIRAKNKKLGRPYNSSALEETAFSDLFQLIIDIITLQRQSPAIADVE SLLNNLFVVCRSTHVTGDIKHSLAIFDAVISSLTIPPSTFSPLLDVLCSIHASIKTLA GPTSRVVRNMAKSKSQEEMVGLLHAFLDNTPAGPDRNLNVTRGAVDIFRDLVAAYGQE GMPSLSFDLLISSLKHATDKNDGRIDTDILEVCLNMLQGDYLDVALENRWTNLIQVIL TCSRRVISPRPQSAEPSIPSNINTSDDVKSNISAHIARIASSIEATWPSLNENHKTDV LHLFMKVHMLLSPSQSDLALQLLDSQKLCHVGCDDWISTSWELVHNFIQARDKAPEVR ILALNTFENAYLTGGASSAFDSEGFIDALLHGFEDEGSAMYLEKLVAFLVTVALRSDD QLFKRLIDALSLPMKADEVKEDLSPTSVSSHPVSQSISFTNVLLPSLSNLACVGLVQI FLRSLLKRPLIDLSLLFERLIDIARSPQRPSDARLTALKLLFSIRCDSTGSIYVVPTI DSSFLFTVLSRTIDVTSRSSVTEDSSSDRKWRNDSQSGRLSLKDPSSGPLMSESPHRT TENRTLKWNSPVWITDEGKTLPEEPPVNPSSYVCAFRAHSTEEQHGQEPHVLLPINFW VETVIALLQREKNWDIYSYVLAHLEPQLMNRELLRNSMPQIKLLRSVLCEQIKNESFH EPLGWTGVKKGDIAVCIFAALTSLVSFHQSFAKSEQDETVRTFMLGIGSWEATSRGCI HALSVCCHEIPLSVTKSLHAILDKMSKVMTRTHIAVHILEFLALLARLPDVYVNLRDE EIRTIFGICLRYIESSREQRYRAIDSTGSRSASLPTRFSGGPKDTASTPGVPTADANT SDDLSRYVYHLTYHVMVFWFLSLKLQDRSNHISWITKRLVFTDEMGKEVIEEQSQVFM DFMQRVAFSDLGDTIPFERFPPAESDGPTSKKTWIVGMSIVTVETAGATGLSQITKRQ ASGTTYATYRQLTAPVLPHQIPVSPSPHSIADEQFSRILPSHILIQLTTSAFPTPVVT QPLPLPEDDFTRRAISTFDRNDIVDGHKIGVIFIGEGQTDETQILANSHGSNDYEFFL SGLGTKVSLENAKFNTQGLRYGDDGEYTYAWRDRVSEIVYHIPTMMPTNLETDPQSVK KKMHIGNDFVNIIFNRSNKDVAPDTIRTQFNFVNIVVSPVCRVSAEETPVNSIEDFHN CFYTVNVVSKPGFPDLSSAANRKVISGKNLAAFVRLIALNASAFSLVSSRGGEHVSSW QNRLREIRRLRDRAFAASVGSSDVTTETVYLPQRRHTKAVNVQSEEATPTQGLRANFG TERNLYIDNNIFQNLDFSRWSNSRT UREG_03511 MADNLAPIVQLLQATLDPRQHKQAEAALRQAEKKPGYSLQLLHI TANNALPYNTRLSSALYFKNFIKWNWTDEDGNYKLEEKDVKTIKQELISLMISMPPGI QTQLGEAVSVIADSDFWRRWDTLVGDLVSRLSPDNIIVNIGVLQVAHSIFKRWRPLFR SDELYEEINHVLERFGQPYLALFESLDSFIDQNRNDKEKITQAFSQLNLMIKLFYDLS CHDLPPMFEDHVGAIASLLLKYLTYDNPLLHTADESEAGQLEFVKAGIFEALVLYVQK YIDIFGDHVHQFISSSWNLLTTIGQDTKYDILDDAVLSQVTEKVILPNISLRESDIEM FEDEPIEFIRRDLEGGDSETRDARPTDFLRQCLENFEHSPSLRKGVATATHGVTTTNP LVSITDFFQKHLASDLVATTGVQPLLKVDAIKFLYSFRSLITKEQWREALPLLVQHLG SSVYVVYTYAAVALEKALCLADNQNQPVIPASEITPLAPQLLEHIFQLIEKDPSPPKV QENEFLMRCIMRVLLVIKDSVVPIIDPILQHLVNITKIISTNPSNPRFYYYHFEALGA LIRFGAPSQPSKVENALYTPFVNILQSDVQEFMPYVFQLLSALLEAQPSNTLPENYQS LIAPILIPTMWETRGNIPALVRLLSSILPRGAGMITQNNQIEPILGIFQKLVSSKLNE SYGFDLLENVISAFPSAILEKYFATITQIILTRLEKSKTENFTLRFVRFYHFISALNE NGYGCDFFIQVTEHIQSGVFTPIYLNIILPESRKLARPLDRKVALISFTKTLANSDAF ANRYKKGWGFTCEALLNLVSQPPLPAAKDDIIRENDVEDMTFGVGYTQLNTIKKAARD PWPQIGPNLGAWVATYLKEADTRHGGRISSFAQERLSPEAKAGLANYLSG UREG_03512 MALPSLIRAAPRSRCFIPHFDTRLFSTFAALNVKQMPARPTIDE SEITGSYLKGSGPGGQKINKTSSAVQLIHLPTNTVVKSQATRSRSQNRKIALQILAEK VELLQKGGQSRAAIVAQTKQKRKASKTKKSKRKYRALEEEKRRRSEDAEQAGEEVSEG KE UREG_03513 MSIPQSNLRDFSAREYDYVIVGGGTAGLALAARLSEKTGPQSRC HRGGTSGLR UREG_03514 MLCAFNPFTYTRSFSANCYYLPVCKRPNLFLLTEATVKEIVIEY EGEKCAARGVLVRCDGEDFIVRASREVVLSAGSIQSPQLLELSGIGNPEILKAAGIEA KVCNPNVGENLQDHFMTKTVYEVQTPPDSEGSDLPPSQTVGSPVEISNIKAPAKAYLP CPVAYCPIFKMVTSQELAGLTAHIRQKIMESKDVREAKLRQSFLPGKMLGAVEFVWDG EANWNPDFKGEPGKRYGTLLQMLQYPFSRGSVHIQPMRGSKATTIDDKPTIDPRYFAG DGKIDFEVMKAGPDIR UREG_03515 MARSQSSSPSILTSFIRWLRLKNYQYEVTFALYMLTPMEKFIFN SLLLLVVSMFLAAAYIYLPNHIITAIRSPERSCPKFMADSNLSLMSNAEKLGQQNVIM ETASIIAEATGKRLGEL UREG_03516 MLNSVLRRLQGGNLEVFKFGLYVLFPIGWMYYFGTNLEERFSIP DFWPKSEHSHKIPLEKSDIEAELARMNREKERKRLRRLELEAAAATAGNEGSQAERQ UREG_03517 MSSSNDIQLAYKGRIAIITLNRPSKLNSLTGDLYYELGQKMREV AERDDIFITVLTGNGRFFSAGADVHTTRPAIGNDDVRRQLARNFAINNLDITRTFYNH PKILVVALNGPTVGLSAALTAFGDFIYAAPHTFLLTPFSSLGLVAEGGASRALVERLG ISKANEALIMSKRIPIEDLVSTGYVNKVIKPASGRPDDSEGFLKLVLEEVEERLGAHL NQDSMVKMKSLIRMHEREILDRQNVHEVFMGMERFLSGIPQEEFRKLASGEKKHKL UREG_03518 MELDRQNHDSHDADQGIVQQQRSPPMYRSITPKARLIALSDTFL QYLRADGIVLPPDNTLAAPEDDSWVVSGGYDDEDEGLPDPSKEWPEIHARVKAAIAEL GGSVSPKLNWNAPRDATHMILSNRLECRTPGDVYLVLKSSRFIANNLDRAFEGCIPES GKQGDLAGAVEKEAGIYHDENQIPYHLVLRKYVNVNPALEFRCFVRSRRLVCLCQRSF KYYEWLYEIKDKLLRDIQKFFDKHLRDTFPDPDFVFDVYVPRDRVWLIDFSAFSQNID PLHFSWEEILNMDRPDEGDSRTIPEEHMMRAQSQHRRQSSVDDDGRPNRYFPEEWPSV EPPISSFQPQFILAGNTDSAQLDFGLEGNSAPTSYSLIKEDRVPQDVLDAAETPGGTA ELLSNWRETLARTIKADQEYQSDSES UREG_03519 MAADVPDAHALKSWQEAFQYPIPTVRRIEHELRRDIVSNKEKLR SLVGVRYRDLLDTAQTIMEMNEEMKQVERNLSEVGRLCNPATISRKSDGLHKLREDNL CKVSSDRTFAAQLTLLFNCASAIARILREHGSSVVAAKLLVISRLLHNRLSQCARATS FADELRNQLAHFRRTLLNRIKVRLASIDSSVQDIIEALSAFCLATSSSTHDAFGHFHK IRLDAIASKFEQPGAICDAALGALMLFSNTLHRTTDMLSGPLFDAIKKLCIHPLLGDS AIQCMSELGIEMVQSYIPKEIRNFTPWIKHEQIPKEAAGTIIKSWSKEAFDDLSARAN SKLSSCENFQEVLSTRNRLLKEWLPGLNSTPCHNPMGILEAIRTMANDQLISIIRAQS EGITVVGIEASRIISDWHDTQDQDRELSLWDSELVFADFSDGATTFKRELMRRCQGHG AHVGQVLDIYRSWLATVTNRCTMVQELRSLRWEDMMEDDVDEELLEAIPDLLNTDDPH LLITEHTNALIKGYKLLETSLEEAVKGISGSHCAMKTAFLLRIIRGIRSNVPKEVAHQ DYIFAQDLISRLHSALAEEVVSRTSPSILTKSINRLGSRCAGRTLWEGNPSLPTQPSQ VLFMLLRTLVMEMEQEGPDLWTMAAVDELKSRFMGQVVSANNPKLEQPPTCGGTEQDS PNGTEEGAEENQDKRLENTRDHQIQLLFDLQFLDQALQTTDSNTQSGLSPLIDVLLKE LDFSEESMVTVKKRSEEYWNRTRMLFGLLA UREG_03520 MPESLPLLNGSITLSTALRKDDDYLLLLSYPKKRLEFYLYLYQR RSTIKTIAARHLGLPEDGCRLGEVEEWIHGSFNLCIPVYIDDSIKFRARRVIIRIPLP YKLGETQYPGNVEEKLRCEVATYIWIRNNCPAVPIPRLFGFAFSENQSFVALEHASLL TQIQWYFRKAISWVMGSVSPSPYIRCKTSNPLKTGYIVVEYVDEGEMLSNSWETQRHD QHRRANLFRDLSRIILSLSQTPLPYIASLTIDDDCMLSPTNRPLSLVLQKLENESIPT GIPRKLTYNATDPYYLDLLACHDNIIRHKKNAVHDKEDGETQLSALMMMRALLPYFAD RDFRSGPFVLTLTDLHQSNIFVDKDWNITKLIDLEWACSLPMEMLHPPHWLTSQYIDT LTDERLDEYNKVHKEFMSIFESEEKSLANGNTPITNIMWNGWKLGKFWYFSALNWPKG LCNLFFEKIQPKFAQHGDKAYDDFEEVVAPYWAADTDKLIRSKVTEKEAYSEELRKLF AHSAESSASNSGDEKAKKTDVAATMDSKDGPTDELEDDQATSDLS UREG_03521 MSEDTKRLTAALTDQTTIQQLSSSGYAVQQDREARRKRKEEAEK KKEDEKTKQQAGEASKDAKSS UREG_03522 MAMATRMVLTKPRAGATDHMTCDARGELQCALSVQMATSRLPFL YPNLLRSVRSCEPTTYRSIRFPPAQHPAAAFHSCPRCEQETSYHQRYGPAAEPHLRPP PWSTGKGDILPPDSISKGTEKALNKDDQMQNSKDSSKQTASSATGTPDSCQSPPSPEN SISASSTVLASELSPQGNVDAGPGSVDPKAADGPSESKDTNHNSATDNLGSVFQMSDA SMASSPSSSSPQPESMSLMPSPASESHRHPHLSPPPYVHHFDSYSLVKDLNKGGFTEE QSISIMKAIRGLLADNLQVARNGLISKSDFENETYLFRAACSELRNSIQASRNAEIQA QRAQRAQLQHEVDILTQKMTQELSGLKDDLKEMFNNQKISTKELQRSQDTGIQELNYQ ITVSLNSDGKRVVESLRWILTRRAAVAIATSASG UREG_03523 MSAPGASASQAASSENVIPRAELDVSKLHALPSEQQDLYLLTFT ADLVQYTAKLDKDEISSQQGFIKQELFKIIKLPSPVPSRVIRNNIGRCFGAIFTRGNR AILYDTVNELIGILNAGKNELDLKAKFAAAVALGDIFVAAGDGIINQSSVTVSALFKL LKSSQNHAGLRGCIYSAVRKVVSGIGIPIDESTAKDIWKHARNAAVGDKAYSVQASAC LCLGQLIRNTPYFNNANDFENLKSTIWKVMDSPITSVRHASAACLAEMLVKCHSARGH IEVPIVKRPKKPLKKAGPTTVEDEVPERPQSPSGRKAETILAFKLPDLLRQLSLQYCR MSTGNRARAGIAVCYKLVLRALGEKLVEERYAEIAGHLLVTLLNHATIVNNRYRLLMT RKFIKHILEDVVGSEILSENGQLNAARWLVNDLLKDYPQVLQERREPSKHTLTCAVSA LSSLICSLGSAVGSIADSCREALLQDLQHSSYTVQIYVAHCLQNFVLACPQQLLSCIT ICMNSLHREIGQLSTPRQSSRRCLGYAHGLASMLSTSRLQPLYGSVDIYSQVLSQATD LLKTSGSSELRIASTQIQVAWILIGGLLPLGPNFTKIHLSQLLLLWKNALPKALPKDD LSKRSPLELGFLAHVRECALSSILVFLEFNSKLVTSDGAKRIATMLQNTIIFLENLPR IKSTEDISQRLSPSLHLKDFPILVRRRVLQCFAKLVNLSHTNVADLLSLSNVLGLAIS SFADPDFMSINPLDSSVASVATSFENLWDLDDNFGFGVTGLATAFSRDSDHRNESLGA RQLPTNTDKAIDSMLISPICQGREHDSVLLYCTPDIKHSSLPDPPATEVVNAAIKLFA TTLPLQAPKVQESSVEQIATLLASQSLARNPGRKAAMTVNIAVALLYTLRVAVKETDF FPGSLKNPGTEKIVGELLQTFITDPDYIVRTIAFEALGRLCNSAGNSYTNSQINSVID TIVENRDPNARAGCAAALGSIHAQVGGMAAGFHLKTIIGVLMSLCSDPHPVVHFWALE GLLRVVDSAGLTFSAYVSGTLGMLARLYATDTHNEESSLIATSNYETVFSTPLAISRC VDALINVIGPDLRDITKTRELVFTLVKEFQLETDISMVAVSSRCLDHLSLYASDHMDF PGYVHWLQQELNSKETPVREAAVRGLNNIMKRDPERVIRTASSTFEDELWMAFDSIPD NEPLKDLVRNWLHRTGLTDTVAWVQRCHKVLTKARPKAEEASSPVTAVNTTAPDVPDD EVAGFASAAATENRDSGEPDSPTGQELLKWQTRNFAMCCLSELLSMVSAEIQPDQTIP SEAALQEKIGEIVRMAFSASTANVVELRIWGLKILDRVLKMFGKTPDPDFAEASLLEQ YQAQIGSALTPAFATDSSPELASEAINVCATFVGTGIVTSVERMGRIFKLLVVGLENF ANNPETTDIGDLKGLNSNARVMIKLALYSAWARLQIASAEQNYLVKVIQPYTAMLTPL WLSSLQEYARLRFEPDISSTLGSIGVPDNLDDTYAALNREILLNFYQDSWLNFVDAIA SLVEKDSAFVFDALDNKEKAKPDQTSGSEPVMNGTSGKGNNINYRDEPVAFFFVLFGL AFEALVGQSSAPSSQILEILQALQKILRPSVAGNAVYQDAVFSETIDALDRLVMTEGH NIQSVIVEISRNLALHHQAAMRTELRTDSLSDDIEQLFELTRNIILVLAGILPNLSDS SPKARSAISEDSIPLIQLALSSLVDITSVFPSIIRSDLHACILHIFGTILATGSCQTS IVPPALPIFRRFILDLAAPSRHSNEETTIISHQIRGCITRFLSILRVAQQRESEASLP CAKNTLLALTILFTGSSHVIPSQDPLIPEVLQEMLDCLQDLGLASVAAGCIRSLLLST PRSPTDDFIARFIFPRLIAFIAGIPTGIDGGPPNDPEHVKASIAHILVSCVDSATIPA SAVPNAMALLIPALLQRAQNDGPDVYKDTAARLLELAQVDQISFRNFASSMEPSLRTL TEDILRSTGSGGSAGRARRDMNDDGAAAPSITLRMDF UREG_03524 MSKATIDAAIARGQGISSSGAPLEQLTIEAMLPGSVAVVIVCLT DQKARTMQDVRTILKKSGGTISPVTFLFEKKGKVVFEKDPQGKSADDYLEDAIDAGAA DISADSEGRLILYTEPTMTKSVGEAMSATAGLKIESSDIIWDPNKDTMATLQTEEAMN EVDVFLERIHDEPTVQEIYMNLART UREG_03525 MQATTSPKEDSGLASRSWTLEEAIAQLEDVAFLPKAQRHVNVTD VAKTIASLAYESGIGTDLLDRLVTVIVKSKNLDQTTTTNLVKNLYPSERVQLGIVAKI ACALGPTKTKPSPATQNLLLRWLILVYDGLEDQSYISKLYAVLFDNLDMISLRRSLCH ILSLATRRKHVKPFRIQALMELIRNTGDEEKELMGLLRVFKSYYPEIIVGETGSLRRR ATYIFKHPDTEWTAHMRALQENAAKAAANSAQRTFQVVRREGVKRSRIEVVIPSVQTS KVHQGYASLEELRSVRDFVQKLDKVQLPNQIASALADPLVQKYLLLVENTQATQRMES WLASFFEDELDIISSGDMDTSEHLEYVLYLLVGYARFTKVGSSDSQLIVI UREG_03526 MPLTASLDGTHVVEVELDQCYSDSELAPMQTVQTFHLRIRNPSP GDLRRTAPCSPPSSLSGGEMIQKTTPSPLSPDPPPASGQLNLKPQHNQKKRLASSNDF RTSRTFLLTFPEEYECQYCQEVSEFGAGSMCLHSQVARNPYRRISTM UREG_03527 MKSALILGLVSSALGAAIPEDVPTRTLEFPQFPGSGPAGPTRHA EARGEVEWGGAAPDPDKVQIVGLTYGGSGCPSNTVSHILSDDRQVMTLIFDEYVAAIG PDVPITQSRKNCQLNINLRYPGGFQFSIFSADYRGYASLERGVTGTQKSTYYFSGQTQ QTHTETNWKGPFDDDFLLHDEAENSSTVWSPCGTNGALNINSQIRLNSSNRQARGIMT NDSLDASFKQIVHFRWQECTK UREG_03528 MYIPEQLYDIESMHFHPDVCELLVCRHQGTHRSQNAQPSSSQQA VTPPSLRAVTAGSKQISREKGPVERHVSMFGYRPEDTQQPKRNIAEWSLLSRTSTPST QASASPRICTPGNAIAAKRSLVTPQPLDFIDPSNCNPSPCLPRFDGIP UREG_03529 MQNQFRNSEVVGGEYQRAYDCVFLESVSSVFYSRPMTREVKVIQ SGRIQSGESTESTAIETDSKTRFCRANGLCQDYGAETCRNITERIQQREFSSGVRTVP YPDFSSIHQSKGIWNGYEGLPGYSQQQNWDTKYMAPGGARSGPKVGSRDGNTFCHHNI GSSRIERGAAGSGKEPSAKNILSQGYGSSEGVNPDE UREG_03530 MPEQRQAGREPSFMNSVFKTAFQGFAIFGLSQFVMQKIFPPKQT GGRLAIPTWEGRPDQSTIAHRAEVPQFVAPLWNQDKYDLDIEIFLSPYVTLPALDKVP KDMKVLEVTNFTVGDWNEHREVATEITVPKAVQNNGTLWAHFYVARSGHQIDPMEKDY NPETAYHFIRPLTEYLPKKKIPKLRNLLDTTDEMEPVDESPKAVQVGSFYHTNVTLSV IPDSGSLKYRAMHPGVRQFIPLERTSARDVSGQNGWYYPIIFVNTFWQLRSQMIELNS TVETLPLRISLDNLKNWKFSIYASVDENMKQTQRQAAFGGPAPPGKDGTEFEMVKEIL LDTNSYLLATTGIVSILHMIFEGLAFKNDISHWRKKKDVVGTSLRTILANIFMQGVIF LYLLDNSEGTSWMILAGQGLGVALEAWKITKTVNVRVRRPSPGSRFAFLPYHVVFEDK HVLSETEKKTKEYDEIAFKWLYIIAVPLLVAYAIYSLVYETHKSWYSFVIETLVGSVY AYGFLMMVPSLYINYRLKSVAHMPGKALTYKFLNTFIDDLFAFTIKMPTLHRLATLRD DVIFFIWLYQSWKYKVDYKRVNEFGQGGDESEETAGEKNQADVANIEKEDEAAVAATS LSSPSGSSKSTTRRRK UREG_03531 MTDDPSPSPLAPGSGPLPPSSQFPNHDGPRVWVLSAGDSPIGIS LTRQLLAHGDHVVTGITPSNPEREDPRRVNFDAFLEELSAEEGQNGYRERLEPVELDI RMMSDCQVAIATAVAKFGKVDILFCCSSQAIIGAVEELAVSERTQALVRDQFETNYFG PVNLVKAVLPQMRKQKSGHIMALGGITGHIGTPGLGVYCAAGWALEGFCDSIAYEIAP FNIRVTILQCNIEIGILSNLVTSVPPLYPIYSRSINHAPLFRGIMNDILSRLPGISGH VETPVQSPMSGPSPASLSSTSTGNPETHHERSSVDSDLYPLSAGNIVSLYPPLSPAHL DVLTAETIHAITAIGGHENPPARHIVGAESVASVKEKLKTVSEELEDFIACSSAVDIG ADENAMRVVSEETTAQASS UREG_03532 MHASAAIFLTFLAGAAFAKFPPPPGKICDTDKECSFACFKDGCM PVACNGLECTTESGFHYKWTQEKKDKFMKEGVCRTDPATDDPDFTKCFRRCDSTSDCL NEEYCNLSRNSCEPKVKDEEECKADEDCETLSCVKGKCGSNIGKDCKRDEDCPAVNGV QGTRLCSKITKKCLEGNIPTDKGCTENAQCGSGCCSKDGTCQYCKKCNSDSECPFSLV CRKGSDADGQKTCRSPPPPPKPKCYRRKDCSGKVRCFTTPTRTIPCNPGNGSRGSPCT HSAHCHGRMNCFDGKCGYFGKNCGNRRDGCGYPWQKKCCEGLQCRQTTPGRISGEYWC M UREG_03533 MPRGAKRKAADVGNTAPPNRRPRRATTSYNAKAPKAPAESSKKM NPSGARKPAGRRSGPGRPSKKWSEPFVLTSDKSPLIDIDLVKLLSDPRAWNCLEEEEK REILSLLPDTAHPDPHGNPEDPDSKIPPLPHEFLRYSIPWRDAVRQFQVDLQNGRYDP EWQRQARQAVKERAEGKFDNWKEEQFEQFWGQKQKIDWGLIAGESSKIKLETLVKNQL VQVGDVWKFTRVFGRGDDKILVEKEVMVTGFDGSCLTFAIPSGQNIFLRGHGSHTGEC KPPTVPENQNEATDGSDGGKEAPLPSRFADTVNVKRDASPRLSHNGNLVSTLQQFLLD MEPDSHSGNLSNGLQTDGTSGSPVPKLSTVNTSLDECADTPVHDLKKNPLDSFLDSGS ELSDLESEFEYGDIDVSWDVYRFQLDSKAKEAKHAELETVKTSIIDPSQGEGSMDVEM VEIRPKDPSADIADPNASVKVGPLSETPEATTTKTDVCLASTDAEQEANGTQMLQSPA ELTLKSAQPESSPLVAPDIVFGGVRGPNSLHTKILKIDGRITNPPNGNAWKDFRCYRN NQDMGSLWEIRQAWYLRTRS UREG_03534 MVKSTQISRLDGLMLSASVDDEQAEAQLAEVKGQAKMIFRRLNS NSEPEASIESGQYHLHYIIKDDICFLCISDRSYPRKLAFAYLTDIANEFTTTYPPTQY LSSNLRPYAFVEFDSYLQRKRKAYQDSRASANLDRITDELKDVKKVMTKNIEDLLYRG DSLERMGEMSGRLREDSRKYRKAAVRINWELLIKQYGPFGAVGLLIIILLWWRFF UREG_03535 MKSVDRCCNENLCLFTNNSDPLKQPAGGYGAHKGPRAQFFTKAP FESMIVGDLGVEIIYPVSDSQYFNHWFIDYKMAPGSNISRRRAHNLLLLSSLLNLRDT TSPLTLVLDSLEQPATPLLKEYIRRAKLSKSHITFVSFETFARPEGVDSFISAIRKNP NDVAKEVVSSFPPCANKLHISLIVVYHQDIPVSGTSRPYTPTLHSLLTYLATTIFTVH SLPHVLARKAAADKSMVTPVFGLDEEREGIILGRQDSGKNLGNHDGIVLEMEHRRKSG RGIAEWYFLPFPAFYARGSAREVASLLDDHPLYKREEELGPGETEEEPVSTFELGLTE RQRRDRENVVLPYFDAQKGEGPGEGGRILYEMGEEDDFDEEEDEI UREG_03536 MWDMTDRHSKQADYASDAVDGPGRRDKDYAKRPTTSSRAERRQR SRSHGRDDRRRDRSRSRERRPRDGARDAPRDSRRDDGRDARGPRDRDRSRSRDRSREK EYSRRDYHSKPSRYWDVPRTRSKSRSRSPTRNGTKMRTRSPPRGPRSERKDAGRRSAP PDDDRRPNGSDRKRPSRPQDASNMDMDVDMEADGMEEMMLKTMGFKNFRSTQNTKVPG NQIYGVRKEKKTEYRQYMNRVGGFNRPLSPSR UREG_03537 MGFLFKLFASTLAVASAVNSAELLNFENERDVIPGAYIVVMKDG LSSSNFRTHLSSVPQGNEGKRGIQGSDGLQFSFDIEGWRGYSGRFDNETLSNIANHPD VKFVEPDRMAKASILKFQKAAPSWGLPRISHRFRGFRNYIYHRSSGKGVVAYVVDTGI DIHHPEFEGRAEYGINVVDEVEGDENGHGTHVSGTIAGKTFGVAKGVKLIAVKALGKN SRGPDSGIIAAMDWAVKHAKEKRTIGKAIMNLSLTGDTSTALNEAAERAVEAGFFLGV AAGNNNRDAINESPASVKSVCTVGASTINDEKASFSNFGARLDIYAPGANITSALPNG RHGAMSGTSMATPHVCGVAALLISSEGIRAKHACDRIKQLATRRAIKNPGEKTTSRLL YNGSF UREG_03538 MHGLLLAAGLLTLPLRALAHPGHQSTSILSRRGAVDLDAYRVSA KAEYSNVNDVAENPPAVSLMSSGSYVDIATELVKTTLPGVTFRVVNDHYVGTNGVAHV HFRQTIHGVDVDNADFNVNVKDGKVFSFGNGFYKGEIPKENPMVKRDFSDPVHALKGA CNALKIPIKTNKVSVKSGKGQESVVFKGTSGALSEPKGDLVYFVKPDGKLSLTWRVET DVGDNWLSSYVDAKDSSKIHGVTDYVADATFQVYPWGLNDPTEGSRQTLTDPWERNAS EFTWHSDGNTRYPTTRGNNGIAQDNPSGGTGYLNNYRPQSSALRFEYPYSTSMSPPTS YKDASITQLFYTANTFHDLTYLLGFTERAGNFEVNNNNQGGRGNDFVILNAQDGSGVN NANFATPPDGQPGRMRMYTWNRSQPNRDGCFEAGIVIHEYAHGLSNRLCGGPANSRCL SALESGGMGEGWGDFLATAIRLKANDTRRTSYTMGEWASNQRGGIRQYPYSTSTTTNP LVYTTVNRYNRVHDIGTVWATMLYEVLWNLIDKHGKNDGPRPEFRNGVPTDGKYLTMK LVIDGMALMPCNPNFVQARDAIIDADEALTGGQNKCEIWAGFAKRQLGTGARYGRTNR VGSTEVPSECR UREG_03539 MERTIVIVGGGIIGCSTAYYLTRHPSYDPAKHRIILLEATQIAG GASGKAGGLVALWAYPSSIVPLSFRLHAELAKEHNGKEKWGYRKVHCGQLMAHVLPKR PDSTSGSSATGGKEAVSLEKRTDDAIGLLRAAGIPADLDWFDPFTLSGYEEMGDPGTT AQVHPYLFTTSLAKLAEDKGAEIKLGSVTEIEQLDNGTSSVKYTNKETGAPEAISATD VIISAGPWTKAVFPRAPISALRAHSVVIRPTRTVSAYAVFTSIALPPGSSKHRPTMAA PEIYARPDDTVYACGEGDKIVPLPATTADVEVDDSRCQTIIDSVGAISTELREGEVVA RQACYLPNVSVRGAGPLIGPTDIKGVYLATGHTCWGIQNAPGTGKLMSEIIFDGEAKS AKISSLDPRQVL UREG_03540 MAGYGWDEYDIRKGGRQTIHDAGNTLDLTIDFIKVPGGGHGGSW GLRIKGQPRPDAPPNQPTTVVFYAAMEGLGELGVANEDDPLGFKGDVKLSGSTADLGD FTIDITRGPDTNQYPPKTHPSYDEKPQDRTFVASLQLQPEVLWQAKSILFAHLKQEID PLVQKYGTENPPPPSRLFTIANKPAQGNFHAIQKVFQGPFEFDVLFSSGSASQPLTSD VLSKGIKDSSQAFAERFKEIYSPVKPFDSPEYLPFSQAMLSNLVGGVGYFYGDSIVDR SDAPEYEEENEGFWEETAEARARALPVPGNPAELFTSIPSRPFFPRGFLWDEGFHLIP VADWDLDLSLQIVKSWFNLMDDDGWIAREQILGPEARSKVPPEFQTQYPHYANPPTLF MILDGLVNKIEASMARPDSDDISAMCPKDLEAAKSYLRALYPLLQRQYSWFKRTQWGD VKTYDREAYSSKEAYRWRGRTVEHILTSGLDDYPRAQPPHPGELHVDLISWMGLMTRS LRRIAGVLEEKSDMETLAKNENAIKKNIDDLHWDETQKTYCDATIDEFEEDVHVCHKG YISLFPFMTGMMDPDSPHLGHILDLISDPEELWSDYGIRSLSKKDKLYGTGENYWKSP IWINMNYLILKNLLDLANGSGPYKKQAGEIYGKLRKNVVDNVFNEWKRTGFAWEQYNP ETGHGQRTQHFTGWTSLVVKMMVMPDLSAASTGSLRDEL UREG_03541 MDLVAGVRKEGSRGGRDSFKWSDVKDSSHRENYLGHSLMAPVGR WQQGRDLSWYAKDDDAKSEEAARKDELQKIKEAEQEAMARALGLPVAPKTATGANATA VAGKEFQKFIKDSIDVADEGRVEGVKGIGFGGYDGTKHASDVADKLEASGIFNDRRHE LRSSSKDDTPVRRKDRNRQRDKPRDRSRERERHQDRKERSRRYDYDYDRHERRRHRSR SPGRPRDRRRSRSVSRDRIRRRWEDKRSSRRDRSRSHEAQRDERRRPDDHDHRRHR UREG_03542 MEASSMRFKYEEVFVGDGAQDQNIRLNEHRVECGNRRTALSQHR NLYFVAFLHQIYVYQPTVFSVGTTPKLILTPTLKNPAAGGCISPSRPHALNNIVVGDL GNDEILLLATDSGNVSAYRTEHIFSAIEQSADSKRQKPEHLGANVPCFFSDWVRESAW GLAIHKLARLIAVSANTEEITVWAFALIDSPPNGRKAPHPYQHSYLNDWSNITTDEQF ERLRNIAPHHRRCCNLRLTLQGHQNNIPCISFLNSELDPHGELLLSTDISNRVFGWRI WESRTPAVGWNLNPVLRNRDHDYIADSYDRGWGVLALDPRMFRKKLSVAEACGGQPVW IDDCSAYDLTSLVDRVPRASTIYTVLPQMHHNAPQHPSSANTASVEFGGSDEEGNFGG YVDLEQVPDGNETDGPNENEVSAATNQPNGEHSQALVPFQTSPDPPGVGSNTEASFAP EHAGTEVQGLYGPGDLGHDESLGETETEDQPMEDDDDDPSFLVDALLESLYGPTDTSS QYADAFPEMSIYAAVNPNASGIPYPEFPILHFSEADIRMILSPYSERGSVICRMALRQ VFQTLGDL UREG_03543 MDAGAEFADDERAVELASIAAIYPEIVIDPTLPFKASLTVPVSP VYPVTVAFENAPADAAAPSRSVNLNDANNMENGVQSNIAGTERIQTYSISHFPPLLLK IELPELYPAQDAPKFTISTSPEWLPVPTIFKLVSQGKKLWAECGKDLVVYSYIDHLQL EAESVFGLLPKQNSALIFPEKLKIPLLDFDFRVKREKFEQETFVCGVCLEPKKGKVCH RLQQCSHVFCVACLQDFYNACIGEGDVDSVKCVDPSCGKEIKPTLPNDQADAQRIPRR HRKPDLCLTPTELLEIPIEPQVVQRYAHLKRKLKLEADKSVVYCPRKWCQGAARSKRF PKPTDPMNISNLELSDDEDEAKTFDPLGSEDQLPPMEKRLSICEDCEYAFCSVCKRGW HGPSVYCYPRRTAELTLEEKATEDYMQLYTTRCPTCDFRCQKSTGCNHMICSRCKTHF CYLCSSWLFGANPYQHFNERSSGCYMRLWELERGDGAGGPDQEQPANDFWDEASDNEE DEEHGAWQFALGLNHNNVHPPAAPDPPHAGPPRAIPPGPGPVQRQQPEPRIEIVLPHR ERPVVDQTPDRAEPPPAAARNEAGGQGQARAQHPHAPAPEQRRGLQRFLYLVQHDQED EWDSDELDDDF UREG_03544 MGSPLASLSLTHVHYNPEDPISYLCAFLSLVPQALVVIYVTLVW SSREVEVLLMLAGQMVCEALNFGLKRLIRQERPQQIHGKGYGMPSSHSQFVAFFAFSV TLFLLLRHKPASSSAMPNDSPSTLTQRAALSLLACLGAAAVASSRVYLNYHTPKQVMA GVAAGIIFSIGWYSFGNYLRQSGWIQWFLETKISELLRMRDLLLGEDLAEAGWQRWKL RQRYGMEKKLH UREG_03545 MASGEGNQTGQHIVRADEVDQTGMDISPMPDEQLMPNVVRDLKA EQARSQDEKLSEYPQRHPAQPPRPELRRNTIAPPPPLQPPPPAPTPRNLEPATDSLSL AQLRKIVQDLPKTEEHPVYAFSYADSQPFPEELDEWFQCNEADRLMLLGSKASFEQNW SQFVQSLHGNVPDITWLNAAENLKKTFLEGLLTNLTRNTDISARIEALNCICYLITGI WGITAGKTADDYPTELSEKEAAETPGEKSLQIQWMEKNADLIRHTKALVPLFHYLKEV FDSNQSATYDDIKGFDGDTGATAYCAARDREMNLTVTIFYFLVEIARRQQARQPDRPF LKDEFAALDPNYLVIVAQIIARLRWEDVTNFPLTRRAKTVLEPKYDGQASNSKSSLPA LTASPLDYHTFRQEITSKYPSYNPPPALIPLELGHNSILPPLPTVSNRVNTSTLFSGV SASSGTGNGSILHKSVHIATPAPSPPPSPGGPGKAGKKQNYQTNQNFPFMYPPLDSLS NNIGGKGSSDIQDTLVGQKWEGSDVPASIIEAGKLFSSRMRMTRAIRQLWEERERFLK YDRGWGANGATTQKQILPNLQSVVIVLLKEILTNITADAGQSNGSSQNGQKSGVMSNG INGAQTSTAGAYSMPDHVGNLEDLDAVRSREIKSKGISGVLLLLLKWFKRSHILQFEY MTQLLLDSNYLPLILKMFIHQDVDRAVAQRNDRKDLSFFHFCHVHSDQPPLALSEPEP ERLEDSEEEAVPPPISRSRSSLSVLNAAATPSEKAQDHFTDGAFLPEVDELGFPTAPL PDTPITEFSFRNFFSAINYLHIMQKITRNKAHRCLLLRRATKRN UREG_03546 MASSPSTVLVSASAARHFVQSLLQRHQVPSQNASIVAKCLVDAD LRGVDSHGINRIPSYIARIRHKVLDPLASPVVTQKTPVVAQIDGQNGFGFITAHKAMD TAIKMARDYGIGMVSVKHSNHFGMSASFVQQAIDADMLSLVFTNSSPALPVWGGKEKL MGVSPIACGAPAGEKSIPFILDMAPSIAARGKIYKALRREERIPPDWALDEHGSPTTD PASALRGVMLPMGGPKGSALSIMMDVFSGVLSGSAFAGHVTNPYDPSKPADVGHLFIA IKPDMFMSMEEFKARMDYLYRRVVECKRMSGVERIYYPGEIELLMAEKREKDGIPFVL AEIEALNKEADLVGERHLEASS UREG_03547 MASDEIVWQVINQQFCSFKLKTTKGQNFCRNEYNVTGLCNRQSC PLANSRYATVRSDPATGAMYLYMKTIERSHMPNKWWERIRLPSNYTKALEQIDERLIY WPKFLVHKCKQRLTRLTQVSIRMRRLAKEEERLGEKLVPRLAPKVRHREATRERKAEA AAKVERAIERELIERLRSGAYGDRPLNVEENIWKKVLRGLERQGEGERDEDLDVGIEE ELEEEGEFEEEGVGEVEYVSDFDEDEDLEDIEDWIGEGSGDDSLDDDDDDQSSEEDEE SGEDEGPQTKDAPGAKRKRTAPAPKPRKKGARIEIEYETEAPAKESIFA UREG_03548 MAPKYTRLDRENCAFLFIDHQSGLIQLVRDFEADEFKNNVEALV DIAKYFKIPSILTTSFDSGPNGPIVKEIARGLPDAPLIRRPGQINAMDNEEFVNAIKK TAHKRLSQHGVQLLNWVAIAAELHRDWRNDIEGFGKIWTDHVPGYWCLAQSYEVAKGG K UREG_03549 MTSLVIFDFDGTLFDTHGSIEHSIKLTFADLLPSHVPPQSEIHR LISSGAGLSDTFRALHPDAAAFTASETKWIDKYRELYAVHGQLLIKAFPGAKSLLEEL KAHHIPTAIISNKGVTAVQTALDRNGLGECVPEDLIIGDKTPGALRKPDPSSFAHVLV PALKARGITDVRPGQVLVVGDTVADIQFAKNIEGRACWCRYGYGDREACEAMKPDFVV DSLDQVVAIVKDN UREG_03550 MSHSTLQNIKQIALQDSNLTSKASDTSREAAEENVVRNVNKSVD SVEFKNQPMETQQHELLDSDSNNNNEIDLINCDIHGVGGAP UREG_03551 MYQYCALMFVVLNHMNALCDKIYSGKTCMNCSCDKKSCIDFLKN LISVVIKLLKIIKVFNNTANKMIKKSHLSTAVCIMGMLEDELERKAEISLISDIEQDL IFSVSALSLFNLTMFKKLIELNEMIVNQLMMLNTQVAVSKYIK UREG_03552 MSSYFSSFTTSTAISNISTRFTSLRRALSSGDETDDPDHEDNSH ISHVLRAYYTEKGRRLPPWLPPDPKSSQPPPPAVATQTSFQGYGGQPSAPAGRGGGGR GGLGDLWGDTAPASAPAQPQTSSLRLGRGANAPASGLPGRPSPSGTPRHPFDRSQSAR QPGSAGNVGSGGARPLPSQMAGSYQTSQAAQTRPGGPDRTLSAASAQERLRARLHGGS GGAGYGGGNMARKPLAGPR UREG_03553 MASSHSHRPLNSRTPSVLSDSDDDLELEELGAGTSRPTSREYTS RRTHERNWSSPGIALRNIRASARNRLRSRGQRSSAHRERDEGLDALLFDHEDEGQRSS RAASSSADDNAPLLSASNQSGPSPSRPSRADALYASIGSKLRLPRFAAASSMGATGNV FGRENSSEPKPTRLVLVGQQQSVRYPANVVSNAKYTAWSFLPRTLYNEFSFFFNIYFL LVALSQIIPVLRIGSLRESRDDPSNEMITPFEVTKKSKDLKVGDILKIRKDQRLPADV VILKSLHSESAIPATSGSSCDGGDAPMPPMDAHSIRADSDNGDSVDAFIRTDQLDGET DWKLRIALPLTQALPLADLRRIRITAGAPERSVNDFVGRVELAPVSQSYDPPIETGGE ASKALQHQSAPLTIDNTAWANTVLASNTTTFATVIYTGSQTRSAMSTSPSRSKVGLLE FEINSLTKILCVLTLSLSIILVALEGFQPTSDKKWYIAIMIYLILFSTIIPMSLRVNL DMAKSVYGRFIERDKGIPDTIVRTSTIPEDLGRIEYLLSDKTGTLTQNEMELKKIHVG TVSYANEAMEEVAAYVRQGFSTSSASDNSDTISLVTPSSVNAIQSGMAGTTRTRREIS SRVRDLIMALAICHNVTPTSDEKDGQPITTYQASSPDEIAIVKYAEEVGVRLAYRDRQ KILLRSVETGKIVVKGRILDIFPFTSDSKRMGIVVQFSHTQGADESEDEIWFFQKGAD TVMSSIVAANDWLDEETANMAREGLRTLVVGRKKLSQQRYEEFSTSYKHASLSLSSRD SLMAKVIQSHLECDLELLGVTGVEDKLQKDVKPSLELLRNAGVKIWMLTGDKVETARC VAISSKLVSRGQYIHTVSKLKDLESAQEALDFLRNKTESCLLIDGDSLSLLLSQFRSA FISVAVLLPTVIACRCSPTQKAEIALLIRRHTKKRVCCIGDGGNDVSMIQAADVGIGI VGKEGRQASLAADFSITQFHHLTKLLVWHGRNSYKRSAKLAQFIMHRGLIISACQTMY SIAKHFEPKGLFINWLLVGYATVYTNAPVFSLVLDRDVDEELANLYPELYKELKSGRS LSYRSFFTWVFVSVYQGSVIQGFSQILVDATSGPRLISVSFTALVLNELAMVAISITT WHPVMIFCIIGTALVYAASVPFLGDYFDLRYVITLGWAWRVAVVCAISLVPVWAVKFI SRTWSPPSYRKVRG UREG_03554 MAEVSVLGHGNGSLPDALQYGAWNPAHRPEQLSNETDRDDPPAS SPTFGQIGESAESSPGNTSSKSLEPEKPVVEPLNSDSTHLGELSKVAVESRNHGIMDS NIIEAERFGFKQNVDLAHSDSPLIDSFQGSEAGLLANSFSDDSVDFQAMIGATLKSKQ DNSDKGDEESHQPLSDPQPPNNTNAGDSPWNNTFAADEEGGAGFFNNISSQTKPIYNP PEPESRFEEGLPLIDNSDAQSLVNSHPVNAIDTLFEADEATGDADFFSSQMTNQKEST WEQPSGLERKSTSQVLSGLNVTPYANAAPQEPAANEDVGEEELAERWKAFLDDDDLLI ENEPLDASTNDSGAEMPKYRTEATEHLATPNTSQFQPQSSTANPYAPHQPSLSEMLAC LPSSNSFSAPQQVVKPEKGPVESFVNQPKAGYQSPFDLPFEMRPKHAVTRAVKHPPGM NPPPRSSSMTGSRPPSSSYMPSSPEGTGGLPNAAPPHISNSDTVSPSTKAPVRTGSFF EELPVVTRSRPSTRGRHASQPNPAEQPPNAPIGPMVPPPASHPQQNRDPYSQFQLQPP APVDLYSTLPVPPSQHPPQSSRYSPQPPSQPATKSAPFPRYSPAPPQLAGTTGPPTYV SQSPTVQTSVTTRPFQPRTSSPLAYHEPTMPYSSPPRKREPLPVTSTVPPTSRLPPLG PDSQIIPPKRSMTQSPGKMNPLQTSAGSSQNAYVRPASAHGGRSSMQTFQTAYTPAVH NRSVPVLDFIPPTDGREHDPLQRWKGAPIFRFGFGGSVLSTFPKHIPRYSTGQMVPRI KPTLGDIKIYPINQLLPHDEPLDKFPGPLKSKSKKKDVLTWLSTMISAFETLPVEGPA QTDLVQERRREDTILLWKVVRVLVEHDGVLGGSTAADASLQSVFSQNDATTEAGPLDT QSGYPDANRPLAGSLTSEPASSLGVETIHKNLVSGDRQKAVWDAVDHRLWGHAMLISS TLDKSVWKQVVQEFIRREVRSLGQNTESLAALYEIFAGNFEESIDELVPPSARAGLQM VSMHAGPGPAKDALEGLNKWKETVNLILKNRSSQDHQALLALGRLLASYGRTEAAHVC CLIAGAKTRFGGVHDPQADIVLLGSNHRLNSSATLTDRKAYLLTEVYEFATSVLATAP SPVLPHLQAFKLQHAMHLAEEGHKSEAQHYCEAIVSIVTSKSNAKSPYYHQTFFAQLD ELLQRLRQAPADGSSSWISKPSMEKVSGSVWAKFNSFVAGDDNEVASNGSGKAGEGDI GPFAKIAGTPPVSRSPSVVEGYGSYFPSQQVPPSSSSSRYAPNNQHYPPYSSPEQSRG RRSLDSQRSPSHNAARSYSQRRNSQDPMTPLENNGYGLASSNIHASPAGISSHFTPPQ PNYSPLAPVKEIYSPQVQSPTSETPAAQLSTTSFGISQESSLPLPATAADDHEAKTGA MVPEQPQYQPPTYEPPSLSTGYEPPSYSTDVAEGEESEEVKPKKKSFMDDDGDDDFMA RAAQLRSVEKVRINREADEAFKKAAEADAKRPPTAEKKGWFSGWFGKKEAGPVRADLG DDNAFYFDKELNRWINKNDSGGTAAAAATPPPPKGSAPSSRSASAAQTPTTPNLVNGR PGPSTIAGVALSAPTGIPPLPTPPLSSLGPATGSPRPIPRSVSAGAPTGPPSRPSTSL SNASSIDDLLGAPQARKAGTVKARKKGRGYIDVMAK UREG_03555 MRFRGQLTNIGTFTKFTASLASLGKICWVRLEEDAVRFTIIPDQ GTQVWAQLPIETIFDSYTLSAAAEVINLEVPIAALHRALRSATDATSAALRLTKKGSQ PVLALTVVTSSWTDGKNALGITAGPGSQTRDNDEHATNPTALEGIQLGARERETLVTQ EIPVRVLHPSVVETLHEPRCREPDVHIVLPSLIQLKSISERFTKLATDTMRVSSATMV GGVNSSPKLELGANMHGSLKLGIATDTLKISSVWTGLVNPELDPAQVSQDEAGQRPSE RMRELGGEHGENEEGWATVKIDGKDWGRVLSVGRLSPRVVACFIHNTALILYVYLQNS WSGDESCLTHFWGTALPGRGIHLKRPGKNWLELSQERKIIGGKRERNLNEVTYLEIAK GL UREG_03556 MADNPFKPLTRALRLAPSTPRSRSQSPKRTRSPQRRSQFLALEL DPLLSNLSPQSTLKALSAADAVPSNRSTPSDALTKSIANVSTVERAFGIRAALTAQKL REWYTEVLSWSWPSRREAGLGKGFTPPIPPTADLAAAEIHDIYFGSLPAKIVEQYENR SDEIRDAMDALDVEMLKEHVLNVHIPSRSRPISSHSTASIEMKPLSYVQLSDFTAVIT ATILQALPILSRLNSLLATWEVRFIVLRQIPELLQGLKLARLDIDAALARLSSGLLPD SDDRLFSEESFTAARQILEQKVLTVGRQMDRILDELEGRSDSLPENWIDDMEAMESDF ATWSSEAQKKAMENDWKRSLQTRGSARMSEMLPKRQPDASNMPPKVPNPQTTPIQASK QGVSHNTTALIDNPPAALTLTIRTSTTDMKTLVSAKTETQEYGLTSQNAISDSKASPC ADPGNTLQLNASDNPESDPKPSKKERLERGSQVCDDLHPKAIIPQPRIQRTCDTSFAK DPTLSNLADPPKVAKAVLEQDDGPSQSTKEPTAGSKDTERGSQIIRRSKSLPLEQYVN RQALSEAKNNDSCTPIVPLPSNQTTHDFGTQPVLKRELNAPLNRPLLLKSSYAGHISP SKNAQNIDENHRSKSLHKFSPPSPNSEVYSSSPPDSSSSTQDMSTSPSPSPTIPLNSI HPITPSNSGSALPPSTAIKVTSRAPYITPDKSPEDHLEEKISSILTTIPARIRLSSTP IDGPNEPNPGSRLQIERCPDRRESPPRVSTRPTTPTPTLTLTPAYKRPKRRAPQEDNP VRLYHLHRGGKQPPLKLFVRTVGGEGERVMVRVGGGWADLAEYLKEYVMHHGRRRMSE SKLEVQEIPHTSPTHRSSPHRSSPHRSPARTINNGRTTPISRPNSPFDIRPPSPLAVR KTRLSNANTVARPTLTAANIEKVSQASDPGSLLFPNRRLSISSTTSMFSQSIPLGLAG PTPKSRNVSMSPESEAWVEDVMGQARKSSATLRSKLSTGSLRGYRPSHIAETTSHLKA RTDVNSRRVSDFAGGSTNKRVFLKGLGKGRA UREG_03557 MAGRSTQFTPPPSPPSPTASFYDISDDEEGEYNTITHTKTGKGV KLLFSKSKVYVHPSPSSKDNIPGFIALIEQKPSPLDDGDRPSSSSSSKTVNASSYLLA WVPESSLGDAHSTYVKVDLSDSSSPPRQSYLVPPLPTITSHGDSIGLYAFAVPLSQIY SLLKKKRTKESFDPFEDGHMFWGGDEVLRWLKRYVEVHRSGADPNVYLINPSQDDKTS FGHLPGIDKAANSPSHAGTDPKSQREAGMDPFTKALKETRWKVLEQLSKITTFTRRTA QDLADNPRIPPQVRRLMRNPEIQTLQDEFDSARLYLARWAMGIAEQSERERNQRIWTA KDVLAMEDSSVGEFEILNMEAANMTLSDKRKCVTKSEWDSWFDTDVGRLQITPDEAKE RVFHGGLDPNDGVRKEAWLFLLGVYSWDSSEDERKAIMNSKRDEYVRLKGGWWERIVE GTSTEQDHEWWKEQRNRIAWRLTRLFLGLRHVEKDVHRTDRTIPLFAGEDIPHPDPDS PFAETGTNVHLEQMKDMLLTYNEYNRDLGYVQGMSDLLAPIYAVMQDDAVAFWGFVNF MDRMERNFLRDQSGMREQLLTLDQLVQLMDPQLYIHLQKTESTNFFFFFRMFLVWFKR EFEWVDILRLWEGLWTDYLSSNFHIFIALAILEKHRDVIIAHLHHFDEILKYINELSN TIELIPILSRAEALFHSFQKKVETIDKKYNFPSAPVRQRKPIPSDSRNQSLPESPSQR PTRSSMSSSAGTRNASTASATVVASGVQNHAEGSGGAQRPSDAAPDAGQVISPLLRDL LSREVKGLRKHDLNA UREG_03558 MPCSDYLPNHPHPGSHLDNEGSKKQQIPLPAAWSKNLRSPSSHI SLRMSSKSAPRDSKNPKKNLTGVQKVEIVKSIWQVNMPFLHSNAPVDMAMEHFDKNDY QKKPGSRLEPPRIDTQFDQRGSHTKSLYGAPMRSASRRETPTTESGFFYEDQSTGSRR HQPSRSRTTHGPAPSYHPGKWPKGTVSKNNAADPQMLKTNIAAISPDSTSNERMWPLL PESGSVCLSPLAGSASIITPLSASSTQPLYFVPSNLHRSPVQDRPQNSPFHSSPGLGS GTSTSEFSAGVTPNLNGSRSSSSSLEWFPDAVSPVHATNEASPVRGYDLISPISAGVF DESPRLRRNPTFRKQRSMRESRMKLLPPAPDMPVAPVIDLARNGCQSH UREG_03559 MANITGGLTKMKEAVQGMTQDKKIKDLARDTSDVHAPATITTDH GTKVSNTDNWLKSVDDHRTGPSLLEDQIAREKIHRFDHERIPERVVHARGTGAFGHFM LLESASDVTSAGVLTDTSRTTPVFVRFSTVQGSRGSADTVRDVRGFAVKFYTDEGNWD LVGNNIPVFFIQDAMKFPDFVHAVKPEPHNEVPQAQTAHNNFWDFVYLHPEATHMFMW AMSDRAIPRSYRMMQGFGVNTYVLVNNEGKRHFVKFHWMPELGVHSLVWDECLKVAGQ DPDFHRLDGQMRHRIHARHSELLALIDSKPFPQPTSKENSFVTYPSKVSGIKARSLSK KFREHINQAQLFYNSLSEPEKLHVKKAFAFELDHCDDPIVYNRLVERLTEIDLDLAQS VAVLAGAPTPQKETRPNKGEKTINLSQAELNQKYAATPSIKSRRIAILIGDGYDAVAF VSAKTAIKAAGALPFIIGTKRQAIFADHEDPNKEKGVIPDHHYDGQRSTMFDATFVPG GSHVKNIGKIGQIRHWIAESFGHLKPIGATGEAVNLVAQALSAVPEVEVADASDGQVV ESYGVVTSGRLHEPESLTEGIKIIKEATDFLGKFFYQVYQFRNFDREMEGLVDRVAF UREG_03560 MADDPKPKRRRRSRRGSAVKTQGTSQASPKKNVQCPDCSKRFVN IQSLKQHRAEKHSEMNRSEAIVGNYAGRAEGVKHPRDITAEAGAIFEARTIISADEHA GLLALLEQQCHSLDELPKMYRLKQYSEEEIDGVSVCKRCHGMKRDIEGRKSCTYHTEK PKPMPAGTSQKKPVYKCCNTNSKGCVNVPTHEYMLPSKGLASRLRKCQLTPLDQSSTA PKRAAIALDCEMVGTVAGDYPVSLSAVDYLTGEVILNRLVRPLVKVTDWRVRITGVTE KTIAQDRAALEGWEAARAELWAFMNPQTILIGHSLANDLKVLGMVHTRIVDSEILTKK AVGPTCKRVWGLKTLCETFLGIRIQAGKKGHSSLEDALATREIVLWCTKNPEHLATWA EQKRVQLAEERALIELAKNELKQKKMEDGQKEGRALPILTRRSEVLGKRKAETLIIEI SESEDTADDLDF UREG_03561 MHRTPFQGPPPAQSPPLHHPVPQHVSTVPMMRSPPPPTTQQPQQ SSYGNPYQPAPAQGGSGTFAPGFGGFITDPTAHMGFQVGKSAMMAGQEYMEQNFNRYV SIPALKHYFNVSNSYVLKKLALVLFPWRHKPWSRQQGRLSSSTTGPNGQISQAQYTSI YLPPRDDINSPDMYIPAMALVTYILLSAVLAGFRGSFHPELLGSITTTALAVVIFEII CLKVAMYILSISNDSQLLDLVAYSGYKFVGIIITLVAAESLSPGRGTGGWVGWAVFTY TFLANAFFLLRSLKYVLLPDSSSDGPIRGGTMPTVARSQRNRRTQFLFIYSYIMQFLF MWVLSRLDPVTSKSAPKIKPPTVPV UREG_03562 MDEVVYQSLLHLTWIDELLENIKTIFIDLYKEQLKGPRCRVVEY PFDPYFEQQLQELERAAGSSIEDGPRLIVEDKKDTLAHADTGGPPPPPVPGLLPVQQR AAQVSVVSEDSTPSMSPGTSRSASPARNHILTGKSGPGGRVSRRARKAASATNSAQNG DTPKKGKTPKGGQKKLRKWGVDGLAEEDDGEILDYSASDANAPESAPGVQVEAVDSSK WGTKTSKGQFVLKDLGDEVHSILEKADQQKTKSSTSSGMVSSGLGAISGLFRNVIGGK VLTKADLENPLKAMEDHLLKKNVAREAAVRLCEGVEQELVGKKTGSFQSIDAALRSAM ESSLQKILTPTSSLDLLREIEAVTSPHDRQQARRPYVISIVGVNGVGKSTNLSKICFF LLQNNYKVLIAACDTFRSGAVEQLRVHARNLKELSERENVGHIELYEKGYGKDAANVA KDAVAYAASSNFDVVLIDTAGRRHNDQRLMSSLEKFAKFAAPDKIFMVGEALVGTDSV MQARNFNQAFGTGRGLDGFIISKCDTVGDMVGTLVSMVHATGIPIVFLGVGQHYGDLR GLSVSWAVGLLMR UREG_03563 MAASFPETEHSKVGMYVGTIASSFAFAQFVTNYFWGWLSDRIGR KPVILLGTILTAACFGAFGFCRTLWQAVLVQVLMGAVNGNQGVVSTCLGEITDRSNQS RAFTYLPVIYGVGGITGPVLGGLLVSKKAILDERFPYLGPNLASAAILVFDFIVASFF LEESLENAVTLPAIGKKVHDMFTWLWQFTCSTRPSYLRSRSSSARSRRRRSYNSDTSA DTSNVESTTAPLFGHRRNHEQLNSDEVLNRDVILLLITYLIFALSNVSYNSLYPIFGQ ASPPAGRGLTPQEIGLSLGFAGVVTIAFQVCIFGKLRDKMGNRWSYRAGLFGFVISFA LMPFVGYKDKAALEGKMSRSGILLAIELCVVLLLKTISAVGGLTSALLLITNSAPDNS VLGALNGLAQTLSAAGRAAGPFLSGSLFSIAMKVKPKGEALAFGVFGGIAFLGFLLSF GIRSRNLEAEGWREPSDGDDDDDDINKSDDDENGHATV UREG_03564 MTQIDIKTSSWKLVEVGRVVLIRSGPYSGKLAVIAEIIDHKRVL VDGPSGQENKVVPRHAISLAHVTLTPFTIPKLPLAAGTGPIKKMWEKAEIDAKWAQSG FAKKREQQERRRNLTDFERFKVMRLKKQARYEVQKAHAKIRAAS UREG_03565 MANPRVEELPDDEVPKTTVEDAGESSESEAEAGEEPTIPGGAAI TVHSRNEKKARKAIGKLGLKHVPGITRVTLRRPKNILFVINQPDVYRSPSSNTWIIFG EAKIEDLNSQAQASAAQQLSAAEAGNGEHAGHEHIDLGKGKAAETEKKEEEEEEEGET DETGLEAKDIELVMAQANVSRSKAIKALKENDNDIVNSIMALSISVWAKTRDSGSRDS PAGLLATISLVQRLANRYHPSTTTHTPHIVAMAVARSAALKLDWAKVSASLGLRGGTA ASLQAFKKRNDDARRKVQTLSEAPQDIDFAHYRGVLKNKAIVDEIEKQMKSFKPQTYD VSRQLKAIEAFEAQAVASAEATKGKVEEELKYLEKTLDNIETARPWEELSVDDIVKAQ PEIEKKTAELVKRGIWVPPGYKEKYGDLSFL UREG_03566 MGIVGSLLAAGIQSAALETERCFGIADGVIVPTIPCQSALSNPE KLLDAGSFKHQTQKLVRRPEPAVQSCAAGMHEIRAHRLQPGVQSSRGKPICTLQSTST RMTKGKRTSGVQGSIRFNGAAASTDSVEARDPTNGFSGRVWLESL UREG_03567 MDLRAPSHRASTGWSPKSSSPVDTRAPIERLPVELIHKIFFQSL EFNFPRASIHVAAALANEVIYSWLIRLAFSSNNPSSSSGILIRPFVPMSYFSIGMKER TDLQTEILRCRWCTISLMRKCQREYVEHVLRQKCRDLVMSDADRAQLDNLDDYWQNID PFDNATHGKRGKGDLVLSARHPQSDVNLKVSIWFNFGAVQIREPSPVFQETDVFRLPA CSLTDPCRMPDRLLRTPWTEEKLELLTLLSNEAYIDDDGNFERSKAVVRQLIVDRDFA TFQRLLSLHIRVKIYSYPLRWPVRSNNFRVAARYAKSDKDPFLTLLFSEHRDEIPTSD KSIRALLAKYEQS UREG_03568 MAPLFSNAVHRLPTSELTPVKVRGKRKRPRKELEPMGVADSPSS SRDSLSRESPISLERKGFRATSKAKRNRSKSRDPRRFSRLESLPAELIEQIFLECLEF DLPRASPYLGAVLSTDLIYRGLILLSFWSDPDPADRTATAMLERMLRPFKYNPMDALQ RQALQILVLDCRWCTFERIKSLVGDMIELSLCRWLSGADAIPTADVDFIKREYKKAPL SHIWQVHLDNFVNPRHKFDILQPYLSPLQVLALPDKVLRGTPWTDEKVHFLEFLRKHI RNVKTLKVWDALASRESIQEGIRSAILEHNIRALRALIELDESYHSLLDYRQLYTLPG EYFVLASRQGGHAITILVLLVRAASMSVPPDDPELTEWAIELQDKGVAFGGWLLNFMR DIPLFSQEEREPINRRFESYCPLYGPDYEQVFGSKWTSWLHELQETSYKSWSGWD UREG_03569 MGAIVSVFHAIGDCLMAIVSGIASVLTAIVGGVVTVLGAIISFL TCGWYSRRRTRRTHTSGGMGRRHRWGRRPMRTV UREG_03570 METAAPSRVLRSKRKVPNSIEQGPTPTAAKKTRKGQDQRADTQA KVIELPHNLGTLAVPAPIEGFPSYDTKPISTNTNQQAGASWTIVDAPPQDSDQAFSLE SPQEELIAREVGIAVEISNSESVKGAKSTTLKQETASKTTAVRRKPKPAFNETPFPDW EYPTVEQCEEVSRLLSSIHGEVKAPETIPLPSLTISGCGEVPSVLDALIRTLLSGATT GNNSAMAFQGLVKRFGVLQDGVGKGSVDWNKVRHAPVGEIRDAIKSGGLADIKSKHIK EILTMVYEENIARRNELQTDGKAKHGNRVDHADEHMLSLDYMHALSKDEAMQRFIKYP GIGVKTAACVVLFCLRRPCFAVDTHVFRLSKWLGWIPSEKVNEITAFRHLEVRVPDHL KYSLHQLFIFHGKECPRCRAMTGVSSEGWEKGCVIDHLVKRTGKRKPSESLFLCGSSK SSFRYDKFPKISRGASRADHLEMAFDGAVIAKPRCDEMGTACVWIAGSDGEIQGIASM EAVQSFVSKCLSLTLAQILSSGLVLLSTWIAARATYSYTLAWRERPVSFTVPLPPEVS PDWQGKAWDEVDDADKEILESQSFGKFDESKILSYCPADGRLLGDENGVKPASPEKID LTVRRAVVAQFKWKRTTFAERRRVLRTLLKYILEHQDEIVTACCLDSGKTKVDACFGE ILVTAEKLKWTINHGENSLRTDSRPTNLLMMYKKNTVRYEPLGVITACVSWNYPFHNF ISPVISAIFAGNSIIVKPSEHTAWSSTFFYNLIREAIVSCGYSEYLVQSLVCLPQHAD VLTSHPKVDHIVFIGSRPVAHAVCKSAAKSLTPVTVELGGKDPVIVLDDPSTLNDIAS VASVLLRGVFQSAGQNCVGAERIIALPRAYNKILEIVTPRIKALRLGSVLLDSRQTKH DPDHKPGTPDVGAVISQRSFDLLEALISEAVGQGAKLICGGKRHNHPNYPRGHYFTPT LLANVTREMRVAQMELFAPVFLLMRATDVYDAVSIANSTEYALGASVFGHRKREVEYC VSNLNAGMVAVNDFGVYYAVGLPFGGLKGSGYGRFGGAEGLRNLSNLKAVSVDGWPFI QTKIPPTLDYPIQKGDSAKMDGRGAWEMCKGVVETGYHPSISGKARGIQKILKNI UREG_03571 MCGILALILASASASASVDLHEALYLLQHRGQDACGISTCASGG RIFQCKGNGMAAKVFHDGARVADLPGFMGIAHLRYPTAGSSANAEAQPFYVNSPYGIC LAHNGNLINAVELKRYLDYEAHRHINTDSDSELMLNVFANELNETGKVRVNREDIFKA LGKMYERCEGGWACTAMLAGFGVLGFRDAYGIRPLVLGSRPSADGSGMDYMMASESVA LDQLGFSNIRDIQPGEAVVIQKGAEPVFRQVSPKKDYAPDIFEYVYFARPDSVIDGIS VYRSRQRMGDKLAQLIVKTLGPKAIEDIDVVIPIPETSNTSAASVARFLDKPYCQGFV KNRYVFRTFIMPEQKARQKGVRRKLNAMKAEFKDRNVLLVDDSIVRGTTSREIVTMAR EAGARTVHFASCAPPITHAHIYGIDLASPQELVAHNRDTESVAAHIGADTVIYQTLDD LKDACAEISKENGLAEPTKFEVGVFCGNYITPVSEGYFDHLEKVRGEGRKFKVVDSAR KAVLRGIANQTEVQVAANGVEVDKNGEVVPASAEHRVNPTNSANTTNHHSDETDHPPQ VKDRMDISLHNIGDYA UREG_03572 MAFDLEEAIIIDDDASSATLEGDNFRVQEVFHPEDFMTDDDFEL YLTSLSANATRPNRHRARSLSPVPAPTRESVPQLSIGGKTYRKGKSVELNDGTFLRIQ EVLRAGTNEIFFKGHRLQRLEHMDTLVPDWLNELCWTIDLNSPDTESTEGLEEVSISD VRSLRLIRFTNHSYVDMNITMSTNSFQSDDERREEGLLYCRIKYIRVWDKAAGRKSRV VEESLVFLEPKECDSGFDCEPANLRYAWRGSTQLGGSHKPDFVDLTGSGEVRAQYTFG DGFCGAGGVSRGALQAGLYVRWGFDKCHKAMDTYRLNFRTAVGETCEVAHFLTNDAKD IMVDILHFSPPCQTFSSAKTVAAPTDNANEACIFSARELLLRVKPRIATMEETSGLQE RHKEFLYATILTFIDLGYSIRWKLLTCEAYGVPQQRKRLVIIGAGPGESLPPFPKPTH GPPDSNLRPYRTILDAIGNIPEGAPDHDPKRAYFRNITKTPYSPRSFAKTITCNGGDN FHPSGTRAYTHREAACLQTFPMEHQFCGVGVLKQIGNAVPPMLAKAIFTEIVKDLERA DGVRR UREG_03573 MFRNASRCFPRQLRLAGQPPHPALLPRAAAGRLAYTSVRMASRL PAVKPPVSIEFPADAYQLLSTSEKAGAAEDALFEEQVNAVNQWWATPRYEGIKRPYSA ADVVSKRGSLQQAYPSSLMARKLFNLLNEKAAKGEPLHTLGAIDPVQMTQQAPNQEVL YISGWACSSLLTTTNEVSPDFGDYPYNTVPNQVQRLFKAQQMHDRRHWDARRKLTPEQ KKATPYVDYLRPIVADGDTGHGGLTAVMKLAKLFAENGAAAVHFEDQLHGGKKCGHLA GKVLVPIAEHINRLVAARFQWDLMGTENLVIARTDSESGKLLSSTIDVRDHEFILGVT EAGEPLSETLQKMELDGATGAEIDAFESKWVKEHKLVTFDEAVEQHLVSEGASEATIN EYTTKVRENRDMSISQRRALADEFTKSPVFWSWDIPRTREGYYHYRAGEAAAVKRAIN FAPYADLLWVETGDPNVKNAARFAGEIREQFPGKKLVYNLSPSFNWMGQGFDEASLKS FIWDLAKHGFVLQLISLAGLHTNATVTTELSRGFKEDGMLSYVNLVQKREKEMGVDVL THQKWSGAPYVDGVLGSILSGSSSNKSMGEGNTESGF UREG_03574 MTALHSAAGPTTAGPREYDPEINDMASYIHKYNVDSDLAFDTAR WVFLDTLGCGLEGLRFKECTKLLGPIVPGTVVPNGARVPGTPFQLDPVNGAFNIGAMV RWLDYNDCWLAAEWGHPSDNLGGILAVADWISRTNRAGGSLGNGKVLKIRDVLEAMIK AHEIQGVLALENSYNKVGLDHVVLVKVATTAVVSKLMGLTEQQTADAITQAWVDGQSL RTYRHSPNTMSRKSWAAGDACQRAVNLVLKVQKGEPGIPTVLSAPVWGFYDVLFKGKK FQFQRPYGSYVMENVLFKVSYPAEFHSQTAIEAATKVNATLAKMGKSAEDIKEVTIRT HEACVRIIDKQFKPMDNFADRDHCIQYMVSTMLVFNRLVATDYTDGSEAATSPLVESL RKRIRCVEDPQMTKDYHDPALRTIPNALTVTLNDGTVLEEIVVDAPLGHRLRREEAKP EILAKYKRHLEAHYDSSKVKSLIDLGLKQQELENTDVDKYVDLYVKEKVDF UREG_03575 MKFHLAAATTLFAFAVSQATVPEDLKGGFRSGSIELQLSFGGDA SEGLADGAVVSKEDASRTPTFALGDASGVNRALGFTIMMVDTTDRNSRRVHYLQTDFK ATGEKTKIESSSQPVVPYAAPGSKGETGKREYSFLMYLQRPGAQMSNVPAAGDTIDVK EFEKQNGLPDARTGMAISVDMGGDGARPPADPARTSSTTAAVSTTASLPTSSSSSIVS ISSTSATAITSTAAPPESENPFNRVDPGLFPFPFSFPVATPTADPIAIPTTIITSSTG VSPASNNATATVPSLQGANESTGTSSGRNPPTVATSATDEATTDPPAAEETGERRPEM PIFTQTSFVVVAPSGPDRANANGAPPEETPGSLQDSGAKMLGAGALRAGLMLGVAVLA LL UREG_03576 MALTTAALVAGGASVAAYLNAKYHIHRDLSTITKTKSAERATAR AAANGTLSAWNFFAEQVKKQPNATCIWTREAEFTFQEAHDMACQYGHYLLSLGVKRGD LVAVYLQNCSEFPILWLGLWAIGCSPALINYNLAGPALMHCLKVSSAEILIVDSDPDC AGRVEEQRAAIEGELNMKPLLLDENLKSYIATFPSAVPDESFRKGIEGGSPACLFYTS GTTGLPKASAFTTSRMYYSILTSDLFESSRGSRDRWYNCMPLYHGTGGVRLQVCLCRG DSVAIGKKFSTRNFWRDVIDSESTHFIYVGETARYLLSAPPSPLDRQHKVRGMYGNGL RPDVWERFRERFGVPSICEFFNSTEGMFGLLNINHGPYSAACVGHHGLILRKLFHNTY IPVAIDSVTGDILRDPATGFATRMSYEEGGEMIVAVANESAFQGYWKNPEATSKKFVR DIFEKGDLYYRTGDALRRTADGHWHFLDRLGDTFRWKSENVSTAEVAVVLGEFPGVLE ANVYGVLVPNYEGRAGCAALQLDPSVRERFDWAGLVRHAREKLPRYAVPVFIRVVEAS DHIHNNKQNKVPLRDEGVDPAKKGTKVKNGGADRVLWMKAEEDTYNARREMYTQNKHT TLRGITTLSNYKPMDRCGHCSASSHWGPLGSKAADSSTMRARPEFLAHQRLPSSSCSR TPQCMRMRTGRGSWFWTAKTKSLSTMASQPRTASMLATRAKRRKQRCSRVMASWLMRC RDGFAVSRVSSLRVARRLRASSVWSSMRSAAGRAQKSDLEAKMVPSCSQGRVSLKMLP TPCSSSKTKMMFSAMELPRSRSEVICGRAMPRAVVMTECASTGSQLTGVMLSRARRKG PMARL UREG_03577 MDFSQCTAVGPLCPVEATTYGYYPHLAANILFAVVYGLCALAQV ALGLRFRTWTFMVALALGAFLEMAGYIGRILMHDNPWASGPFKLQIVTIILGPTLVAA AIYLTLKHLVLYLGPQHSRIPARLYTWIFISCDVCSLVLQAIGGGVAAAAGRTDQHKL AVGNNIIITGIAFQVATMTVCGLLGLDFFVRASRNGAFDARERRSEKETHWRAFKIFC CAEILAYTTVLIRCIYRIPEMAGGWGNELMQNEKEFLILDAAMIAISVIALTIFHPGI WFPPMRTGYQKEI UREG_03578 MLKGSANYPQWKEEIELVADQAGVQDILKKKQTLHLLRLKMSRQ YGMSGTNGYLNYISASIPTEAKLHFKTPTSLKAEGPRQAKSMGKIK UREG_03579 MDWFDDTWIGHLVHFSEPQPSAWILQKKLSEHAVFPKKGYAEEY RVPAEIKAVFVCSKLGGPGPLEAVLKIWMQLSSTSVPLTKRDIFMGGDIADWERGLEC DSGIGEQIALERLTQGQCTSTPHFIASKAAVQDGSMRFPGGFMYYLLMTKLPGIQVES FKSLSNRERSELRGAFQRAWL UREG_03580 MCGFIFGTPYRPGDRYHGLSLVDRAVSHRSSTQRRQFAGPITSQ HIQCGCRNGATSIPLLFIPSMNFTGRQVKRKGSGGSQGSQPEPKALSSRGSGSPELAP TRSVLRGRRKPRTRARCDETHLADHSPPQAGSVRSITIRFNAQTLESRNGSSAGRLLR VALVHLTSKEPTRSIVPAVYSKFLPRGEHQPWTSQSQSTFVLDLRSVVDSQPALAQLV DVLSNLPKTSKPAIFADFEGVNLCRLGSISIIQLLVQPEDHVYLIDVHVLGPLAFTTS GKNGDTLKTIFESPEILKGIFDVRNDSNALYFLYGVALQGVEDIQLMEVACRRGLQTY LSGLARCIDLDLISLGFGEKRVWKAAKEKGGRLFRPEAGGSFEVFNTRPMLEDIRAYC VCDVQYLPKLRDRYWNRLPVALRHLVQQFTNDRIRESQTLIYEPNGRHKALSPWAN UREG_03581 MLYAMRFLALLVPNLYAATARSLDFDPIGYVDQLIGSVNGGHVF AGATRPYGMAKAVADVDGQKTSGFSTDGSKVTGFSHMHDSGTGGNPSLGNFPLFPQQC ASDDINTCKFSKAARAVHYNAGSIKTTPGYFALTLANGIDAEMTVTDHAALYRFAFPH STDRDDENNPVILLDLTDLNDSRQNASVLVESSGKITANGTFLPSFGSGSFVSHVCVD FAGADIRDTGIFVDDRAGTVPKSIFVTRGINLYYIRAGAFVRFMPANRTTISARVGVS LISADRACQNAEKEIPNILGNFDDLKKSAENAWRTKLESVSVTPGGASENLQRVFWSA IYRTMISPQDYTGENPLWESDEPYFDSFYCIWDSFRSQLPLLAILDPAALAKMIRSLL DTYKYEGWLPDCRMSLCKGFTQGGSSADIVLADAYLKNVTQNIDWNLAYQAVVKDAEQ EPLDWSNEGRGGLMSWKSLGYIPAMDFDYLGFGTNSRSISRTLEYAYNDYCIATLAKA LGKSESDYSKYMQSSGNWRNLFKEDQTSFINGRDTGFVGFLQPRFLNRTWGYQDPILC SSLDKFCSLTSNPQETFEASIWDYQFFVPHDISALIELFGGPMNFIKRLDYLHDARIL DIGNEPSFLSTLLYHYAGRPGLSAKRIHSYIPSRFNASRNGLPGNDDSGAMGSFTVFT MMGLFPNPGQNVYLITPPFFESVSITHPLTNKTCTVRNVDFDPGYERIYIQNATLNGK PYGKNWIGHEFFLEGMTLELTLGATESEWGTKLGDVPPSANVGRAPLMGYSGGEWVM UREG_03582 MALAARATRQALRAAKAAPSRAVAGSSRSYSSAAESELKSVLRE AIPAKRALLKQLKERGEEKIGDVTVASAIGGMRGLKAMVWEGSVLDANEGIRFHGRTI KDCQKELPKGTSGTEMLPEAMFWLLLTGQVPTTPQIRAFSRELAEKSHLPKHILQMIK SFPKDMHPMTQLSVAVAALNTESKFAKKYAEGMNKADYWEPTFDDSISLLAKIPRVAA LVFRPNEVDTVGTQELDSAQDWAYNFAVLLGKGGKEHESFHDVLRLYLALHGDHEGGN VSAHATHLVGSALSDPFLSYSAGLLGLAGPLHGLAAQEVLRWILNMRSAIGDNFSDQD VKDYLWKTLKSGQVVPGYGHGVLRKPDPRFEALMDFASTRPEIMGNPVFNLVKKNSEI APGVLTEHGKTKNPHPNVDAASGVLFHHYGFQEPLYYTVTFGVSRALGPLAQLIWSRA LGLPIERPKSINMQGLLDATKKN UREG_03583 MYQHDHQAYRKLQQIGKEITSKVKPRAVVVFSAHWQGGRDTIQV NTAEITELIYDFYGFPSHYYKEKYPNVGSREIADKVIEAIKDAGMNVEGVKRGLDHGV WASFKCAFDPEDNPLNVPVVQVSLFDTEDPDQHFRLGQAVSKLREDNIQIVVSGMAVH NLRDLRFTFGDPRPLPYAVSFDEALKEAVTSAPADRQKALRDLLKRPDARQAHPTFDH LLPIHVGAGAAGDDAGQRLFTLPEGSMSWAQFRFGDIPAN UREG_03584 MSSRFGLRFLQNSRAAFQQNVSAPFRRQMLRTRRFQSTEAAAEK QSFLQRSWNSPVGLKTVHFWAPIMKVRQPPSQSRALSRIYWVLVIAGLGDMARPADKL SLTQNAALMFTGAIWTRWCLIIKPRNVLLATVNFFVGCLGLAQVTRIFLHRRTVDGSA AAALKDMSHEATDSAKEMAHKAEDAVKKH UREG_03585 MKALVYSGTGKVALEDRPKPTISSPTDAIVKLKYGTICGTDLHI IKGDVPTATPGRILGHEGVGTIDEVGSSVAGLDKGDTVLISCITACGTCSFCRKGMSS HCEHGGWILGNTIDGTQAEYVRIPWAMSSLYKLPETVNLAEAVMLSDALPTGFECGTL NGKVQPGCSVVIIGAGAVGLAAMMTARLYSPATLVLVDVDNSRLETAKQFGATHTVNS KEPDGVERLLDLTANRQGYDAVIEAVGIPITFQLCQELVAPGGVIANVGVHGKKVDLH LERLWDKNISITTRLVDATTIPMLLRLFETNALNVSRLITHRYNFGECEKAYETFKAA ADHQALKVSIEF UREG_03586 MDGPNSPVTASCISQGENARRDSNNETYSSTIDYVYTILKLIFN DFHLGKDSVFNEEDAKNYLYGIFASQGIVMEWVKHKDNHGPFVLMHGDLQPPNIFVDD DLNIVSVIDWEWSHTIPLQMFLPPSWITGQELPAATRRPSTFAFKYYGEDKDRRVEKF FNLDLRKPQVEALQKIMLELEAFKKELALVGLEPIEPDPIPAPEPGKQSENAKFMSKM RGLADIRQLCRRFDIAIGSLPCWIPWSLAGISLSACCIMAKQRQ UREG_03587 MPLLAAAAPAPEPQQSGSPYPPKIVVMASRSGSPIHLSPMNAGG RAFSLGGPTQTYCPVQVGDACPPGEQTVIAGLSSLDVLVPGGQQMYVEPSGAVGFTQA HSAAIPPGSYIGGFTYAPGPNYGVYSFKGWDADGFMGCPNPKSGFYQVFANMKNATVP TGNIKDCLSFTALAVNYTGPSPAAWQYV UREG_03588 MTSVDAQLKDVAILGHISEPARKILTRDACAFLALLHRAFNGTR KALLQRRIARQAEIDRGQLLDFLPETRHIRENDAWKGAPPAPGLVDRRVEITGPTDRK MVVNALNSDVWTYMADFEDSSAPTWENMVNGQVNLYDAIRRQVDFVQGGKEYKLRTDR KLPTLIARARGWHLEEKHFTVDGEPISGSLFDFGLYFFHNAHELVKRGAGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMPRGTIRGTVLIETITAAFEMDEIIYELRDHSSGLNC GRWDYIFSFIKKFRQNPNFVLPDRSSVTMTVPFMDAYVRLLIKTCHRRGVHAMGGMAA QIPIKNDPKANEAAMESVRADKLREVRAGHDGTWVAHPVLASIASDVFNKYMPTPNQL FVRREDVHVTANDLLNTNVPGSITEDGIRKNINIGLSYMEGWLRGVGCIPINSLMEDA ATAEVSRSQLWQWARHNAKTAEGKKVDKAYNLKLLKEQADELASKGPKGNKYQLAARY FAGQVTGEDYADFLTSLLYNEISAPGSAAKL UREG_03589 MAKDVESFIGSIDQGTTSSRFLIFNQNGEVVASHQLEFTQIYPQ PGWHEHDPIEIVTSVERCIDGAVSSFEHQGYNVGSIKAVGITNQRETTVVWNKETGEP LYNAIVWTDTRTQSLIRRLKQRLGHSELQEHCGLPLSTYPSVGKLLWLLDCVPRVKEA YENGVLAFGTIDTWLVYKLNGGPKNNVFVTDPSNASRTMFMDIRTRQYSETLIDFFRL NRTKLHLPTIIHSSDPKGYGSLASTVLRGVPITGCLGDQSAALVGQKGFTPGKAKNTY GTGCFLLYNVGENPVISNHGLLTTVAYDFGGEVMYALEGSIAVAGSSVKFLKDNFEFV KSSEEINRLAETVEDNGGCVFVTAFSGLFAPYWIDDARGTIFGITTYTKRGHIARATL EATCFQTKAILDAMAKDSGRALTELAVDGGMCNSDLTMQTQADLISIPVNRPAMRETT AFGAAIAAGLAVGFWQDVQELESVNVDGQTVFEPKVPKAEAEKRFEQWEKAVQMCRGW AS UREG_03590 MAPVIEEMTVETTTQKDVVEREELVWSRIRHTFREPFAEFFGVF VLVLFGNGSVAQVVLSKGEKGSYQSISWGWGLGVMLGVYTAGISGAHLNPAVTLANCI FRKFPWRKFPIYSLAQILGGFCASGVVYANYITAIDFYEGGQGIRTVGLATSSAGIFC TYPAPFVTRTAQFFSEFIASAILMFCIFALLDNGNYGAGKMTPLGLFFVIFGIGACFG WETGYAINLARDFGPRLMSFALGYGREVWSAGNWYFWVPIVAPFLGCVFGGFLYDVFL YTGDSPINTPWMGLKRLLRPTPAVWSNTKSDTKGMYNDFYPYEIGY UREG_03591 MAEWIRDKRKAVAKFTENGLLGEDGIEREADTIVCATGFDTSYR PRFPIIGEGGLGLAEKWKSWPESYLGLAIPGQGSVIGPLVEVGNDAVKLIKKIQNEYI RAIAPRQDVTDAFNEHVQEWVKHTVWSDDCPHFLGLGKVLASVAEGYGRSPYLFMEAI DPAWLDEIQGRGRGTEAKIGPDS UREG_03592 MLENRYPNCAYDIPSHAYIYNFALNVSAPYLAIDYYLPSPLMKF RLTHFGQPEWPNFYSYSPDIWTYLDREDAGEWRVTLMQTLPDDTEKAFEERCHVLNGA GFLNNYKSPELGGIDKFKGRIVHTAVWPKGYQPEQWKNDRVAVIGSGASSIQTVPGMQ PYIEHGYFRPAVSLR UREG_03593 MKETASQLHCPPQDGNPAPPRQYQEDPEFKDHRDSTYEAVGLLR PTEAVQRKKNKLLPEWLRKKRYFALLIITILAVGTVLAVLGGLGRLGDLGEQKDDPNA PKDGLSPPWYPTPKGGTTSSWAESYSKAQTMVKSMTLTEKVNITTGVGWQMGLCVGNT GPATFAGFPSLCLQDGPLGLRFADNITAFPAGITIGATWDRDLMRKRGQLLGLEARLK GVNVLLGPAMGPLGTLPAGGRNWEGFGSDPVLQGVAAAETIKGIQENGVIATAKHYVL NEQEHYRQPLEWGIPNAMSSNIDDRTLREVYVWPFAESVRAGVASIMCAYQMVNNSYS CGNSLLLNGILKDELGFQGFVQSDWWAQQAGVSTALAGLDMSMPGGGPRLSPGVSYWG SNLTIAALNTSVPMERLNDMATRIVAAWYQLGQDSWPKPPPDDEGGPNFSSWTKERIG RIHQGSDDKDATGVVNKYINAQGKGDNAHSIVARLIAAESTILLKNEDEILPLFRNGS DYNTTTYRVGIYGEDAGPGKGPNFCPDRACNQGTLGSGWGSGAVDFPYLITPGKALKR EFNNDTEVSLYLENKVSDRDLSDKDLCIVFVNADAGEGFASDGDLRGDRNDLFLQKGG DQLIKHVESKCGNGTGPTIVVIHAVGPVILESWVDLPGVKAVVLANLPGQESGNALVD FLFGDVDACGRLPYTIGKSSDDYGPEAKVLYQTDDPVPQKDFSHGLYIDYRYFDKHNI TPRYEFGYGLSYTTFQFSNITIIPLQNKTALPSRRPESKILPPSYSDEIPSLKEALFP KGFRILSKYIYPYISSAKQVKRGEYKYPEGYNITQQPSQAGGGEGGNPSLFEPFVNVS ISLTNIGVRTGKEVVQVYVSFPTNITEVAVPDRNLTANRTEPLTSIEFPVRMLRAFHK VELSAGESAAVNLSLTRKDLSYWSTKEQNWVMPTAGAFKIWAGKSSRNLPLVGEF UREG_03594 MPNAGGQYFWANELAPKKYANFASYLTGWFAWAGSIFTSASVAL SLGLVGVGMYQLAHPDFVPEAWHAVVAYQVINTFAFLFNCVGKLLPKVATVTLYTSLI SFITILITVPSRAETHQSPKFVFATFINSTGWKSNGIAYLVGLINCNWVFACLDSATH MAEEVASPERAIPIAIMGTVAIGFTTAWCFVISMFFSLNDFEAVVNSATGVPILELFH QALNSRAGAIALQSLILATGMGCQIASHTWQSRLCWSFARDRGLPFHSWISKIDPRLD VPFLAHSFSCAIVGVLGLLYLGSSAAFNSMVTACIVLLYVSYAIPIICLLIRGRNNIQ HGPFWLGKVGLAANIIVLAWTLFTIVIFSFPSVYPVEVGRNRN UREG_03595 MPLVEMEATTSHPTMVAKTPSSTLPGEAEVASLLKAIFEAQTSQ QSLDAAYALTNLLIQSAGVRGLHSYNLQREIKKAATDKKSGAKRESAMLILGALFERF PPEHPLSEVVFLIEDGGMLSLALDLLADKGAVVRESAQYAVDALFSCLKEESLVAALL PALIRYLSKPTGKWQGTVGAYKLLEKMANKAKMGTDSKEKELLKDLLRESMGKTLKDL IPIAESGMHDLKNEVSKQAVKTMLALTTLIDNDDVAPRIPLLLTAMEKPSAETLQKAI HALSQTTFVSVVTSPVLALLTPLLERSLNTPTTTQEVLRQTVIVVENLTKLVHDPVEA RAFLPKLKPGVQRVKDGASLPEVREIATRAMDVIQKAMGEDGVAAGSVPQTTTDEVLK VLEAEVKVSGGIVRAEDLSFWNATKGYIAEMVRDDANSRQLDRVPLCVTPYLRSLVNE DREEAITSAIKGYLIGEDQRKYGVPVQEDDGEIEIVNADFSLGYGGRLLLSHANLRLL KGHRYGLCGRNGVGKSTLMRSIAEGKLEGFPPKDVLKSCFVEHNQGEDARQSVLEFLT NDPELAGAGSEIIAGALNEVGFTPGPDGRQQQAVSSLSGGWKMKLALARAMIMKADVL LLDEPTNHLDVANVKWLEEYLQQHTEITSLIVSHDSGFLDAVCTDIYHYEQKKLVLYK GNLAAFVKVRPEAKSYYTLSSINANFKFPPPGILAGVKSQTRAILRMTNVSYTYPSNS KPSLSEASCLLSLSSRVAIIGANGAGKSTLMKLLTGELIPQTGRVEKHPNLRIGYIKQ HALEHVEMHMEKTPNQYLQWRYANGDDREVLMKQTRVLTEEDRAQMEKPVDLGDGKGA RRIECLMGRQKYKKTFQYEVKWVGLLPKHNTQISRETLLDLGFAKLVQEFDDHEASRE GLGYRVLEPKVISKHFEDIGLDPEIANHNEISGLSGGQKVKVVLAGAMWNNPHLLVLD EPTNFLDRDFLGGLAVAIREFKGGVVMISHNEEFVGALCPEQWFVADGRITHKGSSAV ALDRFEDSSHAPSTVASSVVSSANASAVNSGTEDTGELKFRAKKKKKLTRAQVKEREV RRRLRHIEWLNSPKGTPHPPDTDDEA UREG_03596 MPLNALPETQKPRYPGQPIWQDPRSNFIQSGVQAVPPRPATTTG VRPEVSAPPTVPPVPEEHRPADVDRKHDDDEDDFLDHYLDPTDQENDMPNFSAMESSE TGKPHEESLIPKDSSTTSQSGSKPAYTAYQPPSGVVQPYRPTPGPNQYEDSSYQYDNP PMSPRFDSPGNGYGYEDRYYRPPENRGLTPRPGPGRPGHATGPPPNQYQQPASTDLGR RPSFDYRQGYLHPLEMQAAGPGQYSSADSLPHHPAPFRPGLDQNSKPAPIRQYDNPAN VAPQKPIGSTAPPGADPGHITFDELNRIQQAARNNRGDHATQLLLAKKMVEASTHLID DNGRADARTRNKNRERYIFDAHKIVKKLVNVGYPDAMFYLADCYGQGLLGLEADPKEA FNLYQSAAKLGHADSAYRLAVCCEMGHEGGGGTRRDPIKAVQWYRRAAALGDTPAMYK MGMILLKGLLGQARNPREALSWLKRAAERADEDNPHALHELALLYENPSGNDAVIRDE NYARELLHQAGELGYKFSQHRLGAAYEYGLIGCPVDARQSIFWYTRAAAQGEHQSELS LSGWYLTGAEGILQQSDTEAYLWARKAAISGLAKAEYAMGYFTEVGIGVPANLEDAKR WYWKASSQNFLKARERLEDLKRGGAKMQKTRVSRSAVSKQHQNEGDCVVM UREG_03597 METQHPVLIGDPASMTPPASADGEKPSPCADSPRGSDMGLDSAS VDDDDIQPDHYYGGGKIPVFRPNMDQFRDFQAFIRKVNKYGMRSGVVKVIPPQEWNFM APMEPIRRRILENNGLIIFLNGKALCEETSHQPPARRGERRRVQEKPARGGTRGQTAR SDSQKRKPGPANPKPVEPEKIADGFGERKLEGPPTPVSPQSNPVEPKSEELSDGESLP APKPKGRQPKSVTARRKYNRGDAMDFVDEEAFKDFDYHLSGNEDYTAERCEELETAYW KSLMYNNPMYGADMPGSLFDDSVTSWNVAKLPNLLDILGQKVPGVNTAYLYLGMWKAT FAWHLEDVDLYSINFIHFGAPKQWYSISQEDLPRFEAAMKKQWLDYARVAKKCNCESD SVWIDIREIERKLRGEATPEYYDELDDQLEGASDLLTPPRSVPEKSASRKKRKHDNSE SKTKRVKLHLEGPRKIPCILCPNNLDYEELLPTEDGLYHAHRRCALYIDETSILKDES GKEIVEFGEISVTADDGCEYTVPGVDLKCKYHRPKRLGSQSPEAMDMDFKLTETAKRL RSGDLVQFQADKEINGAVVLENRPEERALLLKVLPRGDVIELPYRWILIVKKSSFLPL PPGIKPLPAHLARKPEARKDLASTIPSHGTPFGDPWLPYQWAEFSCVKPPFNPHAARV DIFKPEQIWYYLGRTSTECRAQYTDNPAGLVHNPRSNFLESVKSLRATAATISHPHAF STKQPKYHPGLPATTPVMSTLTRPVVTTQSQPLPASNIPARNFNDAKGMQFMTARRLI VSITEHANSGAGYTIVDPDFVAQLLLGESSSAIPKNGIEKLKKAMVESMIRPRSSNGL LPLQPLNMEADEVDHLLRMLRFAIVDLAQKINGNDSKVGKLHERQTVKETHLPSSSVG LWGSFDASRRQPDAVYNSPYAPGFGFSEYAIREYGLGPQRLAQKEHSAADFFSKLSQE DKEKVIQACGGVTSSRKDDVDIPIAPGLEMSQVSGLENPNTTISMDVMNIDPHHLSVF DMTLHADSPASSFSRTAIQYQSPQDFSAHVEHESSLLPRHFQDHHDLFGDQQTNQRFW QRSVPWNDGDTPSHNDEHRPFFGPHLPPAGHDYPSSDMDFERGPGSLHSMDMAGFGFD GTDELFPNPSP UREG_03598 MSFYRRKSQSRHERYEARNGPVPQPNHHTHTQGGPSNTHVSQPR AYPTDIEQSIKAVQQFIAALNGYYINTCCRHGRTFGMWVLLARYDEIELEIQASSSNS EDPYQQRHLSRRGRTGTNDSRGVGYADDGQANPWATAFYGGRAGPLRFRSSDSKIDSF LATILQAVRTMIPSNNNKNLPPELRGMFQLSMLIDKLAELLRNDSLEDITSRTEVYMA AFQFVRKLGDHPELIVLLQTPRHHKQKTPGLEALTLRSGSGLNGAGQALSLGETIPSV AEKLQKLAKQSDIVLSTKESEDLTSRGGKEMLDICKEIAAVYAAIAAGNVQCENNAQS VDQYQAYHQNHCVTRDESILTRGHTFSAVASRMAYSPPGRMKRLLAELANMATSLPVG IFVKVSESRPDIMRCLVMGPPDSPYGYGLFDLPVTFRNMERRRRCRAMATRKIHHSLC ADQHSSHDFHGRSLQE UREG_03599 MSEFTPEEIGQLISRHPVNFEPYEDLYKYLHAHPELSHQEYNTA KTIASKLRAIPDLEVHENIGGTGIGAVYRNGDGKTILLRSELDGLPVKEQTNLPYASK TIVKDETDGVEKATMHACGHDMHMTCLLAAIEVLISSKRDWSGTLVIIYQPAEELGNG ASRMVDDGLYDKVPKPDVLLGQHILPQRAGRFGMKPGTMMAASDCLKVTFTGRGGHAS MPNRTIDPIVMAASTVMRLQTIVSREIDVAQEFAVVTVGSLNAGHAANIIPEKAEMLL NVRSRDEGTRSRVLSSIDRIIKAEGQASGALQDPQVETTLKFPLTINDQAVTEKLQGT FALFSPNFDPQWPTSNASEDFTVLGTSIGKPCCFWFVGCTEVDVWDEAEKKGRLSEDI PVNHSAFFAPVVQPTLRTGTNALVAGALTFLSKRK UREG_03600 MRRDTTAEDDPKAQSTSADANADAALAKMGYKSELPRNLSMLSV LGLSFAIIAAPYGLSTTLYITLTDGQSVTIIWGWVLVTMISIAIAASLAEICSVYPTA GGVYYWSAMLSTREWAPMMSFIDGWLTLVGNWTVTLSINFGGAQLILSAITLWNEDYV PNEWQTILTFWALMLVCALVNIFGSRFLDLINKICIYWTGTSVIIIMVTLLTMADTRR SAKFVFTHYDASASGWPSGWAFFVGLLQPAYTLTGYGMVAAMCEETQNPHREVPKAIV LSVVAAGITGLAYLITILFVLPPVEILRAVASGQPIGLLFKIVTGSASGGFGMLFLIF GIQIFAGIGALTAASRCTYAFARDGAIPASRIWRKVNHRLDVPLMGIVLSTLVDCLLG LIYFGSSAAFNSFTGVATICLSTSYGLPILISVVRRRKMVKDASFSLGRFGYAINIAT VLWICLAIALFCMPVSLPVTPSTMNYASVVFAGFAAISVIWYFVRARKVFKGPPVILD DAAQSDAGVYSVKGTSHLEGGLSEKRATDIKAE UREG_03601 MSSLKDRLAQVTTFMGGSKSANELPWHPDNTKLPTRKNLPSIPG APEGAAWVWGKDDYLGRLNLLTPTRVKAAAAEIKTGEMVPLNLPLNVPETPAFGREKF VHTIKCLHENIAYDDKYELNTQSGTQWDGFRHFAHMATETFYNGTKGKDILGPESNEN CSIHHWADHGIAGRGVLLDYWAYANANGMKYDPYTSHAITFDDLYKCGKAQGIDIRPE AQGGDIKVGDILMIRSGFVDAYNSRTPEERRSLALRRHAIGEADEQRFAGIAQEEAVI DWLHDAYFAAVVGDAPSFERWPTPKEYYLHEYILALWGMPLGEMWDLERLAAKCRETN RWFFFMTSAPANVPAGVSSHANAIAIF UREG_03602 MAQNMNFDLPQDLTEYIGSLDKFIKDTILPLQHSNDNNRFFDHR REHSRTDWDNGGLPRKEWEELLVQARSLADKAGFYRFALPKIYGGQAKPTTNLWMCAI RYHLSSHPIYGGGVSLANDLQNEHSVVGNFPDVLMLHHFGNEEQRQAHIAARLQGRFR ATFGLTEPKHGSDATFMETKARPARGEYPPGSGAMVDGFILDGAKKWQTGAHHCTHMI IFARTSGKSGSSRGITAFLVPKGTPGVEVVSYEWTFNMPTDHATIAVNSAFVPRSAVL GEVDAGLAIAQTFVHENRIRQAASSCGAAKFCLDRSIEYARSRNVFGKALADNQAIQF QVVELMTQVEMLRLLILRTGWEMDKIVAECGGQTDKPVAPGGKKPWVEIEKRLSDKVA MCNYWANRLCCQAADRAMQIHGGNGYSRHQPFEHIYRHFRRYRITEGSEEIQMRKIAA YIFGFSGPKRVEFEDQKSKL UREG_03603 MSGVKFYPNASPNDLQTQYVGRFLQDIDGPAAIIDVAVARQNCQ IMLDAADKLGVLFRSHVKTHKTTELTRLQVGDKADIVIYGLPVQPSSFPRLAGLGTSL GEWSITVLVDSLYILPFLSRYRELTGYALGVFVKLDTGYSRAGVSPDSPQFRELLEAI YKMEKEEPTTINLRGFYSHMGHSYSSDTTSEALDYLTMEIERCETAAVQASDLWKGSG RRFIIAVGATPTTTSAQNLACLEVMSSAEKKVKELIQRVQQTYDIELHAGAYVTLDLQ QLAAHARPAQNHLSFDNIALTVIAEVASLYPHREKPEALIACGSLCMGREPCRSYPGW GVMTPWLHNRGKEGSQEKVGWYDPEGDRTGWMIDRLSQEHGILRWQGPLDKMRKLEVG EKNH UREG_03604 MGPRHMETMKRTNAAGDRTLNPLVPGIYVPTICFFDPTTEDLDL STVAKHAVRLAQAGVTGLTVHGSNGEAVHLSDDERSDVIRTTRKALNDAGFLSMPLMA GCSASSTRETIKRCQEAYRAGADCALVLTASYYHTLFTSDSVVEYFQDVADASPIPIV IYNYPPVAGGLDLDSDTLITLSKHPNIAGCKFTCGNTGKLNRVVAAQHQGASGSSDRS SAPSFLCFGGSGDFTLQTLIGGGDGIIGGIANLAPATCVRVEQLFRQGKMAEAQRTQE ILARGDWAAIQSGVVGLKSAMMAHCGYGGYARKPLPRPTDDEQRMYAEQFQELVDFEN SL UREG_03605 MPEPPYPLHESIRDLVHPEYAAFYNEHIINKQQVHYQPLAASRT SGILIPGAGPMIPVGKTQDFSIKRQESEGPDVKVRCFTPAAEKEPAGGWPVLLYYHGG GWVLGNIDTENVVCSNICARANCVVVTTDYSVARSFPPLRIQLLHVPVMDNTANVSNN RSYRDYEHTPALPAAKMLWFRHHYLPNESDRSNPEASPLFYPDSAATWNGLPHAIVVV GELDVLREEGEQYAAKLKKNGVSTDLHVMQGQPHPFLAMDGVLEAGRQAITYMVEGCK TRFY UREG_03606 MEHTKHVIHNDDISRLEIPRDEAKCQGTSCISSASGLKDDSARV LDHRAERALCFKLDIRLLPILAVMYLFNALDKGNIGNAQTAGLSTGMPNLSSASVNET FSRETLDLNFKPGQYNFLLSIFFVPYVIFAPPIAFIGKRYGPARILPVLMLAFGSLTL LSAATHDFGGLFALRWLLGMAEAAFFPLVIYYLTTFYRRGELARRLAVFYAASNIANA FSGLLAFGVFQINGGALKQWRYLFLLEGACTVLFAAFAWWYLPRSPAETRFFNEEEKA LAYRRIEVDSSSAINEKIKFRDSFKIFRQPTSFVFLAIEICLGVPIQSVALFLPQIVQ RLGRGTVTTNLYTVAPNCFMIYAAIDDVKAQFKLAYYACFMMTWGTSAPSVLLSTWYN NNIAHENRRILLTSIGVPLANLMGLVSSNIFREKDEPKYKPALVITGVFGATGAVLST GLGLYMAWDNYRRNTEQGVKTDARDIPTRRLRDGPDSPEFRWFL UREG_03607 MSSSRALRIFPSSGFELIEKDKLIDEERLPAYYPEKYYPVRLGE VLGERYQILGKLGFGTTSTVWLARDLQTDAYATLKLYVTGAERGRELNMYKRIEEAIS QTDHPGRTSCIRTLLGSFQVKGPHDLQTTNLILSVSRPEIFPAFEEAQISHPVPRKVV DDNRNIYTTRPLNLCNGLPLICDFGAARTFEEAGTPGEDVMPDVYKAPEVILHMKWDR QIDIWNVAMVVWHLLMGEPLFKGRSPCGTYQDDRVHMAEMVALMGNPPLEFTQRSHMS RALWDENGR UREG_03608 MARGRKLDGANRQPDAAWDKCASRQLKKSLRQSIGEEGGDLPRS SSKRKLFIPEDDDLSCVSHSEISHEDSYFEDTDCTPVPDKETPPKRLKSNDWPLSNNN SVLIENQAVSNNVQPSLRSKRGQSASRTRWPSAISPGRRSRFREGSMRDRASVQPPPE FTGENEENLPSYLSEEALNDAYLRKSPHRSFYQLHAQTASHLPSPTVHTEPAYAREPG FVRFGKKFASAFNFSGVLHNVSEIWRGPQDASKSSSREVSVDRKLRAEKAYADLKAAG YPGTNKLVYTGRAGTASPVKFGNDGEGMMRISNVNKDLPPPPAEFGLLTTSTIRPTRS FASISPSKKQASVDSVSKRLPKQSSMKDLLKTEKLRERLTRKVSKLEEEWEKAQRELR ALSSDREFHFTSPRVSPVRNKRAFAPSRLPSLPSERLLQDQAKPPREDDQAQAMYTTQ CQSGRASVPLNPRRTLRKCPATINSRAANPRGRKMSTSSFSDYVYSQDTESPDEDEVS TGVKRNKLSTPLGSPPTRHQPHRKAKTQRLTPFPAYTHTESISHVSIPATSRGDRGNS SRLRRKPSQAFTATPGENGVPPLPGKLGDSPRRGEFEWPEECF UREG_03609 MTSKESILNPENETESSFSRTTREGKKLTYTLKVIQQPERARAC GAGAKASADRRPVDPPPVVELRVYESDQNDMNKTDITFAYNANFFLFTTLEWARPIAH GRGQSQSAATPPVLTGVPVAGVAYLDRPVQAGYFIFPDLSVRHEGYYRLNFSLYEEVK DPKDEDKDRGIPRPVLPTLNKIGEPREPDEYIFFRLDVKTTPFTVYSAKKFPGLAEST MLSRTVAEQGCRVRIRRDVRMRRREQKGNKDFNGGYDDRHMTPDNYPGTPTERPRSTS NASVDHPYVYCPTPRRAPSVQDYAYPPAPYQQPVAIPPPPGPSPAPMAQSHLTFGAPQ VQYSAPPVSMQPATPQPTMYPSPRPGYSHSREASSGPALDSQPQKYPLKVESSRPDLG RPSLPPISTHFLPNYNPRTHDSPAELKGYPQPSQMPPPSPLHKAPVSKSQYAVPPLAI IASEPPPVPSTPTTPATYDSTRRQWDYKESMSSGKRSHGDTFGSGHLSRRLYDGARPD ILAEVEGPASVDLGSDGTMTYLRADGRQVQRRTGMNA UREG_03610 MFKKKPAIKTLAPLRSSDRRKIADQIIQQYKLQLPEAPCLTTEN GDSTTQTTPTTATIRNALLPENTQSGRFTTTVGPDLQPVQGTIYVGTHPEGEERVLWV RLEQGPGTDGRIYPTVYTLWSNPRLIPLLHTQSLVMDKLYNGADLMIPGLTNGPPFPE GATKNAVVAVADIAKPSVPTFVGVCEVDVSSLGQVQGLKGHAVKGIQWHGDELWSWSS TGSPGQSAPESLSGWLSTPGDLDQAVNELKLEDNGEDEIGQGEASDDAALNVEETDAP EEPQKEPTTKEIDEAFFRAFLYAIHQQKEKNPSEQNHGLSFPIQPSFVISNLITPFLP VYTPQQAQFYQIKKTSWKNVKKFVKYLDKERLVKSKDRSGGETIILDVDFNDRRVDMF EPYKLPRKAGSESKPSSKAPANAQLGESFNVKTIYRPSGKLTPDLFPPLANTDINNYY SASDVSKRLNDYLSSQQPSIISPSNPRIIHLNPFISNKILSSNSQADLAILTRGTVMR DALLKRLLDDPSLCAPYHAILKPGQILRDVKPKAGALPKASVLIERRTGSKVVTRLTG LETFGVSPQVLGDELQKKCASSTSVSQAVGAVKGLMEVLVQGDHRRTVEKVLGDRGIK PQWIDVVDKTQKKGGGGR UREG_03611 MHPLRIITLLTGPYILLAGAVPLTKPKVSRPSCRKSKPILEAEQ LYFDDPAGYQGPFWNLAAINDASSGGTPAYNPDLLRWHSASSPAQTGLHGSDSAYRPP EYHSGTDRDQILSLRTYPWNTIGRVSFKRFKGDKGGWCTGTLVGKDLVLTASHCFPWG YSDGSWMQFAPGFGNATEPFGASFISRCRGVKNTFNVTGIDYVVCHLCQPLGEKLGWM GTRWWNDESVYMSRSWSSSGYPIDSFHGQAQMFIPSINLFEIEYHGDLGVELESHTFA SAGWSGGPMWGYIDGQATIVGVCSGAEKDCSERVGGCLITDTEDYHDVSAGGKLMTDL VLYAMTHWGPA UREG_03612 MGNPDDDDVRCFDPSVLEASASHDSHDFEDLFLRPSSAAQMSDA LSCFSDLTSKGSVNVPPAVTQATLSPPNTRSESPEDSSNGSLANSPIGHARNTSLLSN PSEAFSPGSIGTDQFLPSTWPNSQDFTVANGSFGTQCGGSLSVKQEYITESDIEMSNK AMDSAFDFESAASSPSPLAAGASAEKRKPEQPKEALPPTPTEISNDRNASGSSPFAFH RPNDPYATFPRPIDSFKYWNGVSSPSELEGALGSISMNGPSPLHTTLSPSLNFKSYAS PFEASGYHPSLVFPMSDANAMFHPAFGFEGYVRPRLIVHPTALKSRVETQIPIKLTMY PMPPGVKRLRLPTYTISKPKFFAKPDMPPSPDIFELYVSVVCTSAMQDKKKQEKAFAR ARGEKIPVQSPGKEDDAEDHQDEDESPLKGGEVKICAGCIQRERKRASRKKQRKPEED ELFQKDEDKRVIVFNTTEMKDWVDPPRITSSSGAEASTPPPPLGSMQVELPMRIACYC RHQGEKMGFQVIFTIKDYLGKVIAQEMTNSIMITDDHKTHTPSNQALPNSAPTDSQSS NGKASGPVDMGKQFIHQAPFKLSHSATDLQALRNQQYPLTPGPFNPSQGLVTNGAVAT RGLLRQAPSRDLPGPLAKRRKQNGTGKIPSGLTMTKVDGSSNATPQKSKLGASGSSSQ ANAPSMVTQFGNGPPTPNASDSAFFSPRDRPQSVENIAQQSLISAPSSAQPSRPGTPG SSSRAGPLEQAVSSVPNPSLQAQMWSIPPQAPHQIPPMIHKLVPAEGSTTGGSEVTLL GSGFFPGMEVVFGDTLATTTTFWGDKCLNCLTPPALQPGTVPVVFKHEHPRFGQVQQA PTIMPKQQTFFRYIDDRELQMYRVALGILGQKLRNPADAYHTAQQIMGGDPNALWNIQ NGFQGGQQRGNGSTSQNGSMAELDAKMLVYLEFMDLDDTPGAPKFNLRSPAGQTLLHL ASSLGLTRFVAGLLARGASPDVQDNNGNTPLHLAAISGHTHIVHRLRLSGANVAARNL RDFTPADLASSLEVHQAVLIPSQHYRSRSVGSAPSLRRRLSSGSLSEFWDSSSSGESA EVVGDPSGASDAESSEENTDGRDTAVYPSTSSRPSALPQSPPRYFLTGSRDSTLQPPD GLPAVERESNAEAGRGFSPPAALIAWRNQLAAQINHFHQNVNRAFPNLPALPPMPTIP DYQTHPMIQRISSLVPHRPTTSWSTNIMKDSWDRLTGNSSPPAYEELYPAKKADEGQE TKKSSVVEAALDAAVDQHFEAQSKPSSSSTTVDLKEDLGDVRIGRKTISRQQQEQLRK AHALKMKRIRSDRNLFFIWL UREG_03613 MDVTPRPNSSNVTVEYTDPSGLFPSIQPLLLDARQLRNLHWKPP TRPLRSIGCLQIDFVPAESAEERKRLSDGSGGVVTHRRHQIPGLRRTPYLKLYLLRCD DNETYKTTSRKLVREWVKANGSGSAGSQDNHDACEYLIIHVVDANAQSVEPPEKTGPM AKWPGRGSTSILEKLRADFNGSSKNALDRVVQLKLPKHEAKQRPVEASEQLQDLIAKL KSSILTSFDLRVAQYEDDIREKDSQRSLPGWNFCTFFILKEGLALGFENVGLYEDALI GYDELSAGLDAALRDQLSGAGDQHGGTLLSHSEEMKSCAKAALSSAAAIPGNANASGD EDPTSDETSSEDSLFAEPIELDPAKYPFNPNKKPYRDMIVANNISVFDFRTYIFSRQM QLLLKAAQAQPLGKKSGQANQNIKSKETHNLIFIAEICDRASEFITLASRILRKDLES GISQLEHKHNGAVIAKVIDNLVYSWSYAAVSQVLIQTSIGSLELPHVSLKTSKDLIDA SILAPVTMSSGSSVPQRSSSLVTSPTSHGATTTPDSPSVGLTANPARRPLTALIPDGP AIKRVGAVELASARGDLYILARRVLEASGCERRCGQRWQDLSLLFNEDDPSGAAAFTE VSLDGNDNASDNGSIPDQESLSLLAGVEAPILEAAVKSPSHFNSCYEKLTDDMFRHYV GANRIKSAEAAMADMAILKYQQEDYGTAASYFDHLATFYGNNNWEILEGAMLEMHARC LKKLGRKEDFVRISFKLLGKYTRAIHARSTPGNRESFNLRSDSKATEYISELFDTSQA LPKDFIVPLGEFFTTPTINPRIIHFDDRDGFQLQMTLRSLIGDIRVDRVKMRLLDTSD IQTNELWLETPSQLDVKGSATEALLGSSTTVQSKYAVDRIEIYVGNIIFAHTFRVDSS LSPTYKGLSNVESPEGDSEVFVFCYPRTAGVEAKISHSRIIDLSGRRSIEIQLGSGSN EISNGLVRLKPATAGLRLLVAEIKVTDGSIQLCEAASSMSVNVQDVFKIDNLFSRFTI SPAMMIPVRIFGCQMPGSRTFSVQSSMLEGEIFDVFPKQPASLVYKIAPIENNTTASE SGSRSLRLTVDFTCLNEECLAVLEQQFIMDLQNSPFPQLRCLLLPHLLAAFGSQWTAN ALEKIGLLREIDVLSYERIQWQTVTRTLGQGLEGEVTKWLAAWHQEHHILRLQEKPPT SVLRRVIIPVDIPEIQVVHRAELILHNVSSTQPHCGVGEALSAELVLHHTRQWCPKAT REAQPSLEFMYEIITNPDTWLVGGRRRGNFSAEEGEQRRFSLMLIPQVPGHLLLPSVE VKSFVSQEHPPEAQHALQRRPISSEVEYCNHGETVLVTPNLRMTTVSLDMDGTSGSGA WLIDSERRVVPAS UREG_03614 MSSTAIPKRMALHRQLTENSSVTSSVNVSPMESPRHSPSTTSLS SLASEALAEGPGKLVDTYGNTFELPDFTIKQIRDAIPAHCFERNGLRGLSYVFRDLLC LGTTFYIFHTYVTAENIPSTPVRAGLWALYTFVQGLFGTGIWVLAHECGHQSFSPSKV FNDTVGWILHSALLVPYFSWKISHGKHHKATGNMERDMVFVPKLREQYASRIGHLVHQ LNEIMEETPIQAATNLILQQLFGWPMYLISNVTGHNNHESQREGRGKGKKNGMFGGVN HFNPSSPLYEAKDAKLIVLSDIGLLITGSVLYVLGNKFGWTNMLVWYFIPYLWVNHWL VWNFARGAAATIDREFGFIGRQLFHGIIETHVLHHYVSTIPFYNADEATEAIKGVMGK HYRSDTKDGSIGFIKSLWRSMRMCQWVEPSEGAQGEGKGVLFFRNRNGFGTRPLVVEP ADGKAN UREG_03615 MDLLTTVPDFPIEPYARILPDFEKAGISVKELLLLDTLEIAKRT RIPVADVRRLTSHVLEALHQDLGLQAYQASEEENESVAAQAQHVSGSEDAPRLLQPPS LSFISTLDPVLDDALSGGISTSYVTEIAGESGSGKTQLLLHLLLSVQLPPPYGLCKRA LYVSTEAGLATNRLSQILDEHPRLSSLPTDVERPSLDNVLGITTVDLETQDHILNHHL PFAISKYDIGLVVIDSITANYRVETTTNNFSGLLDRAWQFKKLGQLLRNLAVRHNIAI VVSNQVSDRLNQLDGPLWAEEPDYLLSRLEETPSTQQYLDSSQHLPSSSTCQSSAPHL LQRQLQSQATPTQDPTPLTPENDTGSRAEPSRLNIRSLSSLLSYAYQQPFYTGWGDPY ELEACKTPALGLVWTNQLGCRVILKVHEFPNIDIVGLGPEIVVNASAELSNLQQVEGG VIQGCSKENVQGHHILTAERNDQPCEPEVEEKRGIEHRADDHNSNPETQPPSHLTSET MLPSQSSRRRRTMQVVFSPWTSGRPHAALEDDQEAPSLNPSNGMPFNLPVEEAEFEIL PGGIRGIP UREG_03616 MAQPHPLDQLRAEEILHAREAVLHAWPKALIQFRSIFLEEPTKS SLLPFLEAEHAGSLSKDTPRPPRLARVQYDVIQDGKFCGYTESVMDIDSKKEVKREAF DTSCQPYLTMEEMKQFFDHCLPSPLFQEAISQFKLPEGYEVELEPWPYGYSDPGEAPP RYIQGLCFAKDKRNGNMDSNHYSHPIPMFAVCDVYKREIVKIEKLATGGTADGLAYDT HQANAVDHCRPAEYVPELADVEYRTDIKPLNIIQPEGPSFKVSNESLVEWQKWRFRVG FNPREGVTLHDVHYDGRSVFFRLALSEMTVPYGDPRPPFHRKQAFDFGDGGAGRSANN LSLGCDCLGAIKYLSTFNTDFSGQPISAPNVVCIHEQDNGIGWKHTNFRTDRPVVTRY RELIIQYIITLGNYEYVFAYKFDQAGAISLEVRPTGVISVVNIDPGKTSPWGNVVSPG VLGQNHQHLFCLRVDPAIDGHKNTIFREESLPMAMDPATNPYGNAYKVVTEPVEVCSA FNASPFTNLVVKLSNTNVRNPISGKPVSYKFTPPATQLLLADPQSIMARRAKFTRHHV WVTSYRDGELYAAGDFTNQSNEERGGLADAAARNDSTVDSDVVLWSVFGFTHNPRVED WPVMPVEKIELQFRPSDFFDRNPALDVPAVKNTTSVLVGDNSCCQSN UREG_03617 MKIGRRPVYIAGTLLNLVGCVLGAFQTTVEMYFGVSILTGFGAA PVDSLVQVTTTDIFFAHEKGTRLSLYVFTLYAGSYLGPVAAGYIADSQGWRWCFWWLV IFFGILLVLQAFTMEESVFRRPPTAAEMSTETVLEDKLQVAEKGRESSLRTTESETPP PPSKTYWQRMALHTSSSGDPRPLWLVAISPFFLVTYPAVMWGGIVYGVQIMWLSLITV TQSATFNAPPYNFSMANVGNTNFAAFIGSIIGMLWGGYVSDWCTLRLSRRNRGIMEPE FRLWTMLIPAIINTGGLLMYGLGSLYGVHWILPAGFGMVFIAFGIGSGAAIALTYAVD CYPRVASEALVLMLFIRNLIGTGFTFAIEPWLEHNGMQNTTIIMAMLCLVTNLSFLFM VWKGKSFREWTAKSAFHIFTSCPSKLHIVSQSVFTQLFTHLLHPDRRNQLV UREG_03618 MDKRASVPVTLPKNNPTIAYWQDPPDEIADLRSTPQLPKTADLV IIGSGITGASIAYNVLSRAPNTKVVMLEARQACSGATGRNGGHTKAASYTTFPINVES VGLEDAIKIAKLEYNTIKQIHAFAREHSIPCDNRELETVDIVYDQAIWDESVRAIELM KKTMPGDPASRYTLWSSTDAEEKFLCPGAVGAITYEAGSLSAYKFVVGLLKLCLSKGL NLQTNTPMTRFSRHGKDYWALETERGTVYAHKIVLATNGYTAAICPELQEVIVPLRGQ VTAHRPGTNMPKAGLNRSYSFIYGKGFEYMIPRPPGSKYEGDIVIGGGLAIAKEDGLY QYGTVDDTTTDPDILEYLTATTQRYFGKNWGQDHPAGRIRKHWSGIMGFTADGHPLVG EIPGEPGLYISAAFQGHGTF UREG_03619 MSETQKPADVGANPNAAAEKDVGNVLAELKAEEAGNQGHQETEA PASTESEKKESPANGAQADAEAEEEARIIAAANKLGQGSAPDSKSKQEKGDQGSREKK RVNYRENIKSDVTTLEETSDPEQIRKQVEFYFSDSNLPMDKFLLSKVGGSENRPVELS LLHSFKRMRRFQPFSAIVEALKTSDTIELTNDNTCIRRKVPLPESVTEDASWSVTKVF EDKAMHRSIYAKGFGKEEPSTQFDIEAFFAPYGPTNAVRLRRTNEKIFKGSVFVEFDS EDTQKKFLALEPKPKWKGTIELLIKSKKEYCEEKVKEIEAGRVKPNKGRGNFRGRGRG NGRDWKDRKDDQKRGFNRGRGGQRGRGNRDRDGGHSEVLKDSRGVPVIQVSKDAPKST DAAATAGQKRAREDDSHSNGNNAACGNAENGDRPAKKVDAKEG UREG_03620 MGLLSKAAAYHPSTEFRTQDKIYIGVIGPVMCAAVLEWFLWIAA FIYCLYKVYVKADHWSVKLMAVVMAVAFTALRGIFLPVMLVTLPLPYTITRHFPPRLV SFLQWFAFWTFAVLLIIPWLFCVYRLVTNSLGRKKRIKEVLDVRTAPKTVIVMPVYKE EPPVLIKAINSVVECDYPVECIHVFLSFDGDQVDELYLKVVEHLGVPINLKTYPQSID VTYKGSRITISRFKHGGKRHCQKQTFRLVDKVYENYLRRNDNLFVLFIDSDCILDRYC LQNFMYDMELKPGSKRNMLAMTGVITSTTEKTSLITLLQDMEYIHGQLFERSVESGCG AVTCLPGALTILRFSAFRKMAKYYFADKASQCTDIFDFGKCHLGEDRWLTHLFMIGAR ERYQIQMCTSAFCKTEAVQTFSSLLKQRRRWFLGFITNEVCMLTDARLWFRYPILCLI RFMQNTIRTTALLFFIMIISIMTTSNRVENLPVGFIAVSLGLNYMLMFYFGLRLRRFK AWLYPMMFLLNPFFNWLYMVYGIFTAGRRTWGGPRADAAEADSNTTPAQAAELAEEQG DELNVKVETFTAVPKPQESVPVHPSHGIEGRFAPPELNSDGYYNNGNISGLSLPTHVR HNPILPHIPLHPRDSFLSEFTNGSGNSICWPRRVESIIADEEVDQEEVYITTEDQQKM QLARQARSSNAAVDGVPFDSVSDQEKDSISRALGPYTPDRRSNVHERQSQSGAYDRLS FDRARRPRVGQSPLRTSSAAEPEDEESGIGRAITTPDVARTRDSSAPEGESGRRERRR LSKTPREPQDMV UREG_03621 MSVVGIDFGSMSTKIGVARNKGIDIITNEVSNRSTPSLVAFGPK NRFLGEAAKTQEISNLKNTVGSLKLLAGRSFKDPDVQLEQEYNAAKLVDVNGEAGVEV SYLGKKEQFTATQLIAMYLSKIKSTASSELRLPVSDTVISVPPWFTDSQRRSLLDAAE IAGLKCLRLINDTTAIALGYGITKLDLPGPEEKPRRVAFVDIGHCNYSCAIVEFRKGE LNVKSVAYDRHFGGRYFDKALVEHFAKEFKEKFKIDIKTNPKAMARTLTAAEKLKKIL SANVSAPLSIESLMDDVDVRSFVKREEMEDMVSSLLERVNTPLEQALADAKLKPEDID SIEMVGGCTRVPIIKEKISAFFGKPLSFTLNQDEAVARGCAFSCAILSPVFRVRDFSV HDIVNYPIEFIWEKSPDIPDEATALTVFNKGNVMPSTKILTFYRKQPFDLEARYAKPE SIPGKPNPWIGRFSVKNVVAEPNDEFMVCKLKARLNLHGVLNIESGYYVEDVEVEEPI PEEKKEGDATDGDAANGEAEKPKTRKVKKQVRKGDLPVVSGTASLDKTKLDEYTEKEN NMFMEDKLVADTEDKKNELESFIYEMRDKIDGVYAEYSSEEEKEKVKAKLDQTEDWLY DEGEDTTKAVYIAKMDEIRFLAGPIIQRHLDKLEAERQAQEEVLAKKRAEEEAKRKAE EEAKKKEAADTEMKDADAEPKASNNDVEMEEVE UREG_03622 MALERLNAVLQQLKPSTGGSSVVSKLCEKNPDDVVITVAARTAM TKGFKGGFKDTDLDMLVYNLIKEVLDRSKIDPSLIEDVCLGNALNGKASYIARTAALA AGIPHTAGAAAVSRFCSSGLKAIQDVAYQISQGSIEVGIALGGESMSRSPDRGFEPAE NEIMKNQEAQDCTQPMGQTSENVGDDFNITREMQDRYSVESFRRAEVAQKSGWFDDEI VPIKTTIKDPKTGQVKEVVISRDEGPRYGTTFEGLSKIRPAFPQFGNKTTGGNASQIS DGVAAVLLMKRSKAIELGQPILAKFVGATVAGVPPRVMGIGPTAAIPKLLSQFNLRKE DIDIFEINEAFASMAVYCVNNLGLDHAKVNPRGGAIALGHPLGCTGARQVVTILSEAK RTKAKVLVTSMCIGTGQGMAGLLINEQN UREG_03623 MDDSTMPPQADHDMSPDETISLPIHHRGEARTLSLTSSSTLQDL SSLITQIFDIPPENQKLLISPKPGLLKPPFPPTPLSSLALSSPRFKIALLGSTSSELT SLNKPVSEKERQPHQRHSSPVKPATPLSTRRTQAHSSSQYTFHRLLPLPYLPNPDRSL AYLARLRDDPGIRSAMAKHRFSVPLLTEMNPIEHTTLSSRTLGLNRNKGEAIELRLRT DAYDGYRDYRTIRKTLCHELAHCVHSEHDRDFWNLTAQIEKEVESADYWGKGGKRLTE EEFYNPADWEEMKSGGEVMDHGGWTGGEFVLGGGAGTGLTRREILARAAESRATRFKE KSAEDGNNSTQE UREG_03624 MKFSQLAVASLAAPLAAGYLVDPPGRAAPGTTQQCSKWVVYSAG LTCAKVQSAFGITAAQFTSWNPIVTQISTTCTLLQGYDYCVEINFSGRTSSSAEAPTT DATTAAPPETTAPVPTTTSPGNGIATPTPYQPDMVTNCNSFHLVSDGDSCDTIAAAAG VSLSDFYSWNPAVGNTCTTLWLGYYVCTGVIGATPTPPSTTTSPGNGIETPTPIQPGM NSNCNSFHLVADGDDCDAISRAAGIALQDFYAWNPAVGNTCSSLWLGYYVCTGIIGSS PTTMPPSTTTKPGNGIATPTPTQANMTPNCNKFHLVVSGDNCYDIAKNAGVPLDSFYT WNPSVGNTCSSLWSGYYVCVGVIGGTPTTMRTTTTTKPGNGVATPTPTQAGMINRCDT FHRVVSGDNCYDIAQKAKISLSNFYAWNPAVGNTCSSLWAGYYVCIGLL UREG_03625 MRYRWLLVLISICQTATALPSLVLGLRNALDPEANKYFHEPGRD DILGHYDLRYFKGVVTYEQRTDTLTHMVAAYLKFFRERGLDTWIAHGTLLGWWWNGRP AEILPWDWDVDTQVTGATLAYMGERLNRTIYTYRSPDKKTKRKYLLDVNPWSKERVHG DGQNIIDARWIDVRNGLYIDITGLSELDPVQNRGVLSCKNFHDYNITDLYPMRESTFE GVPVKIPFKYDEILIEEYGHKALVVTEYENHNWDTHLKEWVSNKETMKKIERELRTRG QARWRFQESWRPIS UREG_03626 MPAPLAKGIVITISVLVAAGIAVYESPQIQEWLQSSRRKIALTL NNWGDELNSRRTREREDISMTEELGEEAELRRRKAREEIQRRREVLQSHKRQRDNLIN SSFDTLIDSEGRLKAPTETDETNEVKSKSTGVEIAESEATNRLVNRNPDFPPSIPNVN PQINTEQHRDLFQDIGRTRLLIPASEISSNHPSESLVDLTPTSEFPESELSFSLHSQP EVLQDEQLQPASSHAEEGFYFAHPDHLHGNAAGQSHSNSAENVWAQDVSSAPSIASSL SHIQNETFDFTSDGTLSDLGRDRGDMYTPVSWSEVGSVISSNDGSQQ UREG_03627 MSSLSISDSHSTPKASNYTRSHEQSSLDYSLTSDGLDEGISSID TPSQINRVAPFTPGRGTTGYSRPFPTTPGSAVFPPPTSLKSNTRTEKQADPVLHRVLD KTYRVQATPSGGSRTVLKAHHGTVTPKLRYNIESSPPSSPELEVPKLHSEIFSSPVAP DSAKRPRRPSKPHSVKPGISVLTPAKPKPHRQSLWDSDEDIDHDDVDPTSYFGRSPPK TMQFHIPQSKLLKTPAKEASKRIVSDLLHTAGADTTEEFDKLEYSPTLVRRMEGLEDD TF UREG_03628 MIEKGQLESPTAADYPDDQDDIPIPCKGCGEILEEGKAFELAGN RWHIDCFRCHTCGTYLDSDANLLLLGDGSLICNNCTYSCNSCGSKIEDLAILTGDQAF CANCFKCRNCKRTIENLRYARTSQGIFCMDCHESLMARRRKRTTKKTTQRQKPQSSAM HLDKSLPSLPSEHETPTSTDSYVELPADVPQRSAHGEHGEIPAQDGLNWSQAQQDNLI LPASTYNGKRHSIISHKSDESAGEEFLIPVAFDPTPSEHPSSQITSPDAAKSIDERSR DYFGRNTSTGSSIESPYEQRPPLKSRTSASSPERQQTAYQERRPEGSESGYKQQNGVS DSAESSVSNVRSLTKHGRESTHSHTEPEKFQLSEAPKSKKSSGPSTPRTSNESPYEQR SSYQSTTKDSDSTDSKRKERKSTEHSRPANPISPAQMQYPPKRGDSLESKLHHTISRK DVDIRDNQSQMHVKQNSATGIGAKGNNKTTTTVDNKRAIDDSTPVPSPRPHTSGSTAL KDQNTTPQLLDRRYNQERSEPLGARQSASNRAESSPSLPRCSAGGDFSLEEDMARILG DESQNHESFLRRVSNSVRHGRSFSEKGSRLSKELKWPKSPASGNTFTHDISSPSATSP EARDELNWFKNELRRERQKIIEKDQKIAELEAALNATQSIKEVNTELREKRSTMVFLD AQKEIVLRELEVLREHIATEKQSNAPLNIGRMSNAVLRDLAEALQRLKESFTPQIEEL IQKRNDLVEELGSLGRLKDKSFQEFEQLSLKNAQLAELNNQIVHQIQELYKANSNASD GGRQAPNGLGIYHHKDKSTASVERDFRLAGNEPSPGVVQPEEAEPVTVIQGPQVVSIR KGQPKKFNWKKGGQNVAKGVTKGLKGAFLYGEGKSQREGGSQFTETSPYGNIASTNDN TPGPQRSQTQDPSRQGFGFFGNQKNKPSTWKAPSNGSTAVVNEVSVGLFGSDLEQRLE VEKGVIPSIVTRCIEEVELRGMDVEGIYRKSGGSSQVQMVRDGFERSRDFDISDPDLD IHSVTSALKQYFRLLPTPLITYAVYDLLLDANNVQPVSSRIDIMQHALQELPRVHRDV LEFLVFHLKRVVDRERENLMTSLNVAVVFAPTIMRPESLSREMSDVQKKNETLQFLVD NCQEIFMGMSE UREG_03629 MASNAGSICSRPVVFLPEPYNAIYEIHEISPAGSVVKTYRLRLA SDSKPENLISEPLHHDTLEFTAFYHPEPESVPEGNNSPWARAKRSPLSLMQWDGHAPT VGQAWTVIYTAFTLRPDLEVFRLKLSGPDTPAVAGQLQAVGLAIFHPPPSAPPGQPTP LSHNHKDLLVIPRSTFWQGAGSPFGPRPLWVIGSRNRILAGSAYPNVPLEYTLTTKFP GVRVHARHPVRPLKPIPGSTIYSRYIPHLKETFSMVALDWQNEEHVKYFHVWQNEPRV AQSWNETGTLEQHREYLRKVHHDQHQFSVLGKFDENYFAYFEIYWAKEDHIGAYYDAG DYDRGRHFLVGEARFRGPHRVKAWHTSLTHYMFLDEPRTNLVVAEPRASGTKVLGYDQ ANGYYIDKWIDLPHKRAALVKCTRERFFQLCPFEYESST UREG_03630 MDNVPMSAARDQQRRSPNATLSPKVRRKRPSDADVTSAAAGTGN ATQSPLRREDDFVLVSPQAKASQTIREMSQAFTPGDIDKVDHMPGSPVRTPLKGSFST PTRNRRFTNEASVPSLPVSPSDSRETSEEPMIPKTPRQTRHSRGGSDDSVSRIPAPIN QSHLDIVDTQSPHTLKVYEDPQSPNIEEVATQNDTALQAPKTPKFPAKSRPLEELPLN EPTSVPNRKHDQLPVHTPLLQPSPIITPSSENSHRRWKKVEVSERRRSLSPRSKDPAK AQDMIMRGLTRIRAGALDVHGYRKFQTLVKYHEPIYKDENKYEQILMALLEALETPDG DKGATSGRSLDLKTQVLVTIRLMFGLNRECFAAFYPRAITAIITARKHYEMTNHIVSG LEETAEDIVSACDPPEVIDAILDLLETEEKSNECYRMVAMGSYILSGLLRRLNNKRLY LTQAEMERLGRFANQNLRSTQPDVRRAIIEFCPELYDMVGSEDNFWSMVNSSVEDFRP LLTYYIMRRPAKVG UREG_03631 MDARATELLATLRNSNVSIDVKATSLAKIKSEIKQRNVPEAAIP PLFDSLRLAIASQHSSLSSAGFSSLGHLLKRLSLQDQHQAITLQGRATYQVLLEKLGD HKARIRDQAAQAFTEFWLASPVDVEHHVLETALVGKNPRAKETSMTWLAKMTQEHGLL FRTYVPSLVNCLEDADAAVRETAKSTVIELFQNAPPRAISDLKKQLISHNVRKSIATS ILSNLGVNINTDAEVSSSFHQSHSRPDNTRPVSSFSHRRDDLPRSNSVLSVRSHSNAD LHGMPRIDTIFHGQPKPMGADQSNKYPTLSHTASVESLPAATSDTTDAETVEPLYVNS HREFDDMIREMLLCFEGKESEQNWILRERNVVALRRLTKGNSFQDYPQNYLVAIKSLL DGILKTATSLRTTLSAAGCYLLQDIARTCGPSIDPMIEILLQSLIKLTAALKKITAQH GNVTVDTIIGNVTYSSRILQHIWGACQDKNVQPRQFATGWIRTILMRHSKQKGLIEHS GGLELIEKCIKKGLGDANPGVREGMRGTFWIFYKVWPEKAEA UREG_03632 MSTPSPVPREIKGQQAPPALRAKDNGVSSQLARPSPKLRLHLQD ITHKSTKIFLDSISDPQAVVESALSDIVEYLYTSPEASRKVHFKPSLPDTRSVTFILR DFSGVAYTTSLDIDSDHKEIHFSLSHIARAASGDPRHEIIGVLTHELVHCYQHTTPPD GSAPYPPSGLVEGIADFVRLKAGLAPPHWSRPRNSTDLPDSWDRGYQHTAFFLEWIED IWVGIGAVGMGEPSRHPTPRLSG UREG_03633 MSLFTVPIATGGSFECTSPADRVYLLSFNSPPDNRQTTAFIDAF SLALDIIEEKLPIGVLMTTSKIPKFYSNGLDLEHAMTTEGFWAKTYWPFWKRLLTFPM PTVALLNGHAYAGGLFVALLHDYRIQNPSKGYLCLNEVHFGAWLPAPMASIVKHKVGN PATVRDLITAGRRFDSTEALAAGIIDATGGIDEALTFIEKRSLVKLGQTHVYASLKEE VYNETVRVLESHDENEKVRLDRLTEREGMDAERGRRVGAWEKSQGGSKL UREG_03634 MKRLQLLRWSGSVLSPRIRPSGGSTSTRQYFLTVIRQQSSAPSP LLSEIEHIEDDGISDTYNFSSNSSTPSPPQEAAVKSARLAALHSRLALPERLPLQTLA RTLVDASADPSLQFNNHSFAVLGNHLLTYYTSEHLISTFPRLPMGVLWASMWAYVGPK ALAAISREWGVEHVAAPGGEVDPGLLQFKRLPPGINPSELAPNTRAVPRGVSGRVIYD NEFGEARTASSQPPTEVSVTHANATFVRAVMGAIYLHCGRPIAKQFFKEHFMSRQLPM ADLFSFSQPTRDLSKLCAREGFEAPVAKIISETGRLSRHPVFVVGIFSGKDQLGEGSG ASLSEARFRAADGGLEGVVSI UREG_03635 MADEIEFETSRPPVKDIIGGAGSYAALGARIVAGKAHSRSVGWI VDCGSDFPEAVRDTISSWDTHCIFRDDPKRLTTRGWNGYGPNERRDFKYLTPKLRLDH NSLTKALLLSKSFHMVCSSSRCCELVQGILERRSEALKESGLELSNERPTFVWEPVPD RCCEEELPKFYNAIRYVNVVSPNENELARFFGKTTWRKDDSHDRAIAENIVRNGIGPA GDGMLVIRAGKDGCYAFSQHGTLELPAFESVDVIDPTGAGNTFLGALAQGLATTGRAP FNLIQQMLHHSKAWQDIQTIWGDDGRAPAALICAIVAASFAIEQIGVPRLSYSSEGLE CWNGISYAERILLYKKQFMDMYDTVLET UREG_03636 MASNNHMHNFTTLIKRLEAATSRLEDMATALEGPTPSSPAIPEA VQTAPTQSTSQTAISAPPPPPAETLPKSIEAFDELINKEVRPFVDFAQKIGDAVAEQS KAVLKAFEAERKYLLVSTKAKKPDIQPPELLTELHRASDEIHSIRDSNRPSPLFNHLS AVSEGVVSMGWFFENRPAEFVTDILGGAQFYGNRVLSEYKEKDRTHVSYIQAYYTIFR SLIAYIKEYYPRGVTWNNKDGVEVLEALKQISSTPATGGAAPPPPPPPPLPNLELLGV PPPPPPGAPPTPKASSNNDMSAVFQQLNQGSTITSNLRKVDKSEMTHKNPSLRASSLV PDQGPQATRGKSPAPSKKPKPESMRAKKPPRKHLDGNKWFIEHYDNPGDVVEISAQLT QSILISRCNKTIIKITNKANAISIDNCNDVSVIVDSLVSSFDVIKSPKFALQIDGVVP TVMLDQVDGATIYLSQSSLSTELLTSKCSSINVVLPPKEGTEEADDKECPVPEQIRSY IKDGVLVNEIVEHAG UREG_03637 MPIFQDPDRQDSRFCPPRLQDHSHCGRQTPVDRQADGPRDVSMN DITSQGSNMDAQNRPTLHTSSREELIQSIKRGESPTWIPNRSLEQYFAIHGDAPQTRF EELQKRERQSASPPDEEILEPPQRRPSSEDRSGLFPDPPVEIERPRSALHSGDFREGS PDSPQKSQNGRYDGQSGYHILSTSPPAPWYSEESQSHFEPCSFGNQPSSPGSTPAMSF SGRLRGPSFGSFSANYVLKPPTSPLVYQARNPDLDLPHNFDPLDLSSCFKRTNRRQTL PPEAFHASPRTCSWDRSGGTPSSFNREGLSSYQGHRPRRSLTSGFTLQPASSPQNTFL PRARRPSHSSETGPLYHASMVGSFEESILRGRMSMSPSRPLDFTAQVGVLGRGQCKAN LKCPPHVTVSFPAVFYSYPTSGSGRTISDDSPSPYVGYIDVENSFPSEVRPPRKSPQQ ITIPPKCPCPHNANEERVLKLPSTPQQERRIRDKRSRRQSQSSRWPPGGCYRIPQQGQ LQIVIKNPNKTAVKLFLVPYDLEGMEPGTKTFVRQRSYSVGPIADSSLSPGVNNGSMD SHDNMSEKSILRYLVHFNICCPSKDRYYLYSGIRVVFANRVPDGKEKLRNEVHYPEPR YSPYKPTRDPATSGSKPENALKRRSGGFGFGFRGPLDLGEFVPAEAKQFGFPTQQPFA TRHQALPIFPARNDTGDMGEMGMELDPEYISQDEAVPEPIQPLANMIFPQSQQLALQP LTNIPGSASEEEIYNKLNKGDAGYGGYTPPTTGSSGSESLLAQRLRNLKETKPSLEGR KGD UREG_03638 MAPKRPRDNADDQAPGSHKKRKGFSVGPANLPDGTYRRKGPSRA DEFFFRPAVQKIKTDLIHKAKVKKSYAKIKAQELAATQAKSVYDREPKDEKDPDEPGV DVIPAPSLELHPDRQAMLDTREEESKNLLQDQEGVKERPRRRERRPKPLPFTKEMQFA QKRKEEAEARAKLREAKAKEREAMMRAKRPDQFGKQRLGRQSKVLLEKVKRIVGEP UREG_03639 MDFRPIDNPFAIETLGEPSFFKLQSLEPLERFPWDDSLPDLAHG NFENQSHVFLKDESNIYALNVFGNDFSDSVDSLPDSSLSSCSDEKQSFDSDRWSEIED IWELKSISEPFRDEALLKSWDTFPNHRRSHQISGPAYLSEAGSAGFDAALAFQSSQSG LEDSGRVVRTDIFMLALFKLSIGWNSIFFRFNEQTRKFEKSMKDVRISGISLPSLDTF INEVLESGSQTRQIRRFLSSMPTRAEAPAALANLSRAVYVSLYSLESQLFERFKSKPS LLESQTLLRRSHCMVKCLADIIETVGVATTEAEVISSIFAKCDHLSQEFLWLTDILHE ILSATAGSWLLRIATSIGLHNNNIEPVKPSGSHQLHGIKGGTELLQGFETELGIICPT MPSIVSSEHSDAILESTKSLHFLRRFHPDHPLAQPLRPEKPPRPPLECGFTWNDMDRI QKKAKDYEEGMRLEILKYSRKIQGVADLGQVGTSLTTEGEKETEQRDSDEPIRNVFEL SDLDPDANRTPVLEHRDSLFSHKLHQLISKSPCVNIEESLGVDLPFGPPLMSTLYISF ASPISSQARLINFSCLHLLFKKHKLKEHLHLQWRFQLLGDGAFLSKLSTTLFDSNVQS SERKAGVARGGTSTGLRLDSRDTWPPASSELRLVLMGLLAECHAEYAKPGCSTQLGTQ SPAKELPGKLSFSIRELSDEELIKCKNPNSVQALDFLRLQYTPPPMLESVITSRSLSK YDRIFKHLLRLLRLLSATRGLVRKLCSLPCEQKFAFEALHFVEAIGEYCFHVCVGGLW HKFERTLSRIEKCIDEGDIDGTIEHAKSLSRLREHHEDVLDQMLFAMLLSKKHANANA LLEEIFGTVLTLFLQSKSRHRERSPIFYERSIRELHLVFRKQVRGFISFLSSLGNVKS TFKKARHHDLAEWGTGQEAKVDIDSVFDKLLLKLDMTGFYRT UREG_03640 MPPRKKQKLSSQAPSTPSQADKAPSVPSSQPAASKTDADADSAA IDPWTDEQETSLLKGIIRWKPAGMHKHFRMLAISDHMKSQGYATDQHTRIPGIWAKLG NLYNLAALDEREDPFATDGSEDVDVSNEPYCPFSLEEEEYGDMMFERRLAPDGSSSPP PSLPLPSQRGSTIADTDEPRSSPAPSRGGRRRGRATRRTMGTRSSKLQRELGPGGKTS NNGDDAGEDGGNASDAEQSQAAGSPAARSTRATTRGKPRRGRPPTRRGRRR UREG_03641 MFAPSGTPLQFRCYAVPAADKIAKFPGTKGSDGKYTVTLIEGDG IGPEISQSVKDIFSAANVPIKWEPVDVTPILKDGKTAIPDEAINSVKKNYVALKGPLA TPVGKGHVSLNLTLRRTFNLFANVRPCRSIAGYKTPYDNVNTVLIRENTEGEYSGIEH VVVDGVVQSIKLITREASERVLRFAFQYAQEVGKHKVRAVHKATIMKMSDGLFLRTAR DISKEFPDIEFDAELLDNTCLKMVTDPNPYSDKVLVMPNLYGDILSDMCAGLIGGLGL TPSGNIGNECSIFEAVHGSAPDIAGKALANPTALLLSSIMMLRHMGLHDQAKNIETAI FATLAEGKTLTGDLGGKAKTHEYADAIIKHL UREG_03642 MELLRQRGLAVDEDPHLRTQFILSSTSFSPALFLSQTRSAASAQ SLLQGLNYLTESIDRESASLKMLVESNFEKFVRVKATIDDVYEEMRDEGMSNDGGLQR SLSQSTRQAGAIVTSGRKNALTEANEYGFKGINAPLAEASNMAEELWGEALSGRQREE DLKSVLEAVEKQRAIYEIGADLQRSIKQRDHDAIVEQFTLARTYVNDARLLVDRAASS QKPLTEDQVHTVLVTGRMWMDVDRQLQSFKIDLWRRLSNTQSTKATIPPSGRDEEYMD LITILLELGVEDNPVWVWLLSRYDYLKTRITSFCERGKAEIEVLRRLLASKEKPSPQS VATYLRLPVREAARKHLGPLDTEPVLEFWECINTFLEKLISIQSGLLGDIIEFWDTAQ SFINGNKQKILPAGFEGESRKHHRLSAENIKGLNDGVVELVNRIQANLVCLFADPPPD DLTYLSAPPSCTPMGSLTPTGSSFNLDPKSIPPLPAKIGEAWEEFAFWPPYANSLSGV HYLGQFLILIGTAASEMADLGPISGGSATYDQLKLLVSGARERCVRATCAAWNGDAEH CKYLEDWTRDPERKALTKMPGHFVAFENAILSGMQKILYISEAMTKPGSINVVTPPPT KLLQMVRSQFVTSVYKALSGLVENAERPVNMEGDDEWVLVGPSALGSSLDVPSSVSVY GGIDSKNRNVRILLTLSNLKALRTDHVPQLVTSFESAFAVKLTDESKTIQDVLGQIDT RLFQSYTRPIVETLHTTIMNGISSPDWVPSTSRPDQLRPYVYTAMLTLVMVHTEVSTT LPGVVSSAMSPASPTHPLTHKILAELLTRISKSLLEGFMSRPKYSLPALMQATLDTEF IAQTMSQYATEAAGQIQSQIYLELDRRTNNEARAKLQAELGEMRGVLKRLRERTRGEF ACFKKMRAVSNK UREG_03643 MASAPKPMSQVAMLSLPGLGLTSLATVRCMYASTSSNASDGLQF IELPDMFMANMDKECHTAEGKETVFKDPEHDVVNDEFCIRSQPHATMLPPKNGSNCSN H UREG_03644 MADRHFTAFVTGCSTTSKTLPENTMLRRSQPNPPLAAPLFALLR PAEGRRAIDTGLCESWYYPLPSELETHRAKISQALQRTTALSSTTPSHLKRDRRRAHP LSCLTIRGETTPVTDSTAANDHGAMPFILLATSESAGEDDHGGQHEIPVAWLASMGEA SHVRDTLPALHHDLMYPSR UREG_03645 MGCVKQSQGKKGKLEKVKRRRERRRGREKKKGLGRRIHSNESNN HLLLS UREG_03646 MAYPSSDSDGRPRSGSKSSSEFSQVTPLEDAFTSLSSSAPPDWQ FNSASMSTSSITFQKPFPSFSAVTSPLHMSVDTSATTFRNDSVETPLLASPLPLELPE PVLSIATQSVFTDGPLGNAAFNKAPGLMRRLSRGAASKLSRRRLSNSHDTRDNSSGPV ILRRRSDSKTSVSKDSALESSVEEDEKSEARKNRGPLEGSTFSGEYPLSWATTAGVAP RVDPLLQCGSLLTKVTKQKKKRKTFFLDVDAAKVYWDLSNPSKRFYIDDIKEIRLGQD ARNYREEHQVPIEFENRWFTIIFANPEGSKNKPVKTMHLIAPSRTVFELWTTTLEQIS RYRIGLMVGLAGSGQSEAILKAHWQREIGRRFPGKPGLAEEKCLDLGAVENLCHSLHI NCSKSVLRLQFARVDIGGTGEINFSQFQAFVQHLKARRDVRNIFASVETTNGLSMEEF FDFLRNTQAEDVDNRKEYWTSVFESFVQKSRLRSQSLPTPLSGSSARMNLDAFSSFLM STANSIYPTDVPQPKFDLPLNDYFISSSHNTYLLGRQVAGASSTEAYITALQKGCRCV EIDCWDGADGRPIVSHGRTMTTSVLFADCIAVINRYAFLSSEYPLILSLEVHCNSEQQ LLMASIMKDVFGEKLLLEPLRANWPILPSPEALKGRILIKVKTSDECEGNSATVGSGK AVGRKRSSSSPFLPSAAQNPFPTYSPLSSPPTIGPIDGLPPILAQPKRSLTGASLSSA SEESDAAFAALAFQKDKKKMPKSRVIKGLADLGVYARGYKWRGFDTPESKQFNHVYSF AERSFETICRDRENKALLEAHNRLYLTRVYPSGYRLRSSNFDPNSFWRRGAQMAALNW QTYDVGMQMNQAMFAAGNDRTGYVLKPESLRVPPSLNYTTDGKPKIDRQLLRFSVDVI SAQQLPRSRGMGPDDSINPYIEIEILSADDKKKGVAYGEGGVNASNRNGLSGIGLPHR RRTRIEQKNGFNPIFGDQFRFSLETKYPDLVFIRWIVWNSTDGRSFGGNNSTQLATFT AKLSSLNQGYRYLPLYDSNGDQYLFSTLFCRITKFESVPSPQQVNVEESKSERMGIFR QLGQSMFKRALSTEREKEASRERDRLIEGIRKDSLSREKNEPSSSSSSLPMATNASSA Q UREG_03647 MDKPLPSLPLDGQAEAQARASGSGIHGQHGDKAMQPCDAIPSQD VLNQSFRIPILDSDGKERLFGDLFDNSDSPEQKQVMVVFVRHFFCGSCQDYVQTLSSS IPSPASLPTGTSLVVIGCGATSLIPMYAKTTSCSFPIYTDPTSRLYTIFGMTRTWSLG PVPQYLQHSTLALVIKGITQGLRRTLSGDALKSGDMAQVGGEFLFKIGPEKKDSKQSV TVAWCHRMKTTRDHTEVPILKQIMGAE UREG_03648 MSTVDGDENILKMAGGPRRRQHDDDDDGSEVYDEDEDDDLESLA SVAVDGSAKTPATKAEEDRQLPPHACCYCGIHNTNSVVKCLACNKWFCSARGNTSSSH IVNHLVRARHKEVQLHPASAAGDTVLECYNCGTRNVFLLGFIPAKSDTVVVLLCRQPC AAMPSSKDMNWDTSLWQPLIEDRSFLSWLVGTPSDQEQLRARHLSPQMIAKLEEIWKE NSSATVEDLEKATGVDDEPAPVLLRYDDAYQYQNVFGPLVKIEADYDRKLKEAQSQDG LIVKWDLGLNNKHLASFVLPKLELGDVKLAVGDEMRIKYSGELRQKWEGVGYVVKIPN NQSDEVTIELRTKGDHKSVPTECTHNFTADYVWKSTSFDRMQTAMKTFAVDEMSVSGY IFHRLLGSEVAAAPMKTQLPKKFTAPGLPGLNPSQVNAVKAVLQKPLSLIQGPPGTGK TVTSATVIYHLAKLTGGQVLVCAPSNVAVDQLCECIHRTGLKTVRVTAKSREDVESPV RHLSLHEQVRNNDSNVELMKLTQLKNELGELSSQDEKKFKQLTRAAEKEILTNADVIC CTCVGAGDPRLAKFKFRTVLIDESTQSSEPECMIPLVMGCKQVVLVGDHLQLGPVIMN KKAAKAGLNQSLFERLVILGCAPIRLNVQYRMHPCLSSFPSNMFYDGSLQNGVTSDDR LLKDVDFPWPISDKPMMFWSNLGNEEISASGTSYLNRTEAANVEKIVTRFFKAGVKPS AIGIITPYEGQRSYVVSSMQLNGTYKKEAYKEIEVASVDAFQGREKDFIVLSCVRSND HQGIGFLSDPRRLNVALTRAKYGLVILGNPKVLSKHPLWNYLLRHFKEQDCLVEGPLS NLQTSLVQFSRPKQAYRGPQRFQMAYHHASSMASGLMNGRNGQHSDYQDSGSVASYIP DDVSSVHSSALGGVAIPPGYPQMFQNFSDMWPQLPHNRRANGARARGAPSVAGESVAA TESDATASMIDGRSVGQGGVSLSGLSIHDVHRQASLSQSDRLKHYVESSGRGEPYRGN ETTSVFGGSSASMRVPRGNASLATDDDDARSISTAFASQIGGTYD UREG_03649 MALTGSLENCNSAVAPKVTWGEPASFKLVAFSGQGLLFGMIRRQ ARERRDYLYRRALLLRDASIAEKRAKLKASLASGKPLDPSIANDKALRQDFKYDESLQ TGPDASGANSKDADQVDIDDEYAVTSGLVDPRPLVTTSRSPSSRLSTFAKEIRLLLPT SIRLNRGTLVLPDLVASASAAALTDMILLHEHRGTPTAITISHLPHGPTASFSIHNVV LRADIPNAARGTVSESYPHLIFEGFKSKLGMRVVQILKHLFPPRDAGKIGNRVVTFKN IEDSIEVRHHVFVKTGYRDVELAEVGPRMTMRLFQIRGGTLEKGAGGDVEWALTQYTR TSRKKDYL UREG_03650 MAVSELIDHSPSHPTKAERLENASNVILIDNYDSFTWNIYQYLV LEGATVTVFRNDTISLEELIAKTPTQLVISPGPGHPDKDAGISKEAIQYFAGKIPILG VCMGEQCIIASFGGKVEVTGEILHGKTSPLKHDSKGVYLTLPPDLAVTRYHSLAGTHQ TVPDCLEVTSWTELENGGGRGIIMGVRHKLWTVEGVQFHPESILTEHGRTMFRNFLNL TGGTWKEALSSRENQPHGASQPTASKAESILQKIYSHRKVAVDLQKSIPSQRPEDLQA AYDLGIAPTQISFPNRLRNSPFSLALMAEIKRASPSKGIISYSTCAPAQARKYAMAGA SVISVLTEPEWFKGSLEDLRAVRQSLEGLPNRPAVLRKEFIFDEYQILEARLAGADTV LLIVKMLPVDLLTHLFEYSRSLNMEPLVEVNTAEEMAIAVRLGAQVIGVNNRDLTSFE VDLGTTSRLMDQVPQSTIVCALSGISGPKDVEAYRADGVKAILVGEALMRAKDTGVFV QNLLGSETELQAKVTSSLPLVKICGTRSANAARVAVEAGADLIGIILAEGRTRTVSDQ VGLEISNVVKTTPRPATMDAYVQPMTCSLIGVDYFDHTSKLLRHPSRALLVGVFSNQP LSYIIAQQQKLGLDIVQLHGSEPIEWARLIPVPVIRKFSPGDNTLTKRGYHALPLLDS GVGGTGEMLSSPAVKKALEQDDGLRIILAGGLDSENVRATIKALGEQSPKVIAVDSKN PYSMTSTSFQFTFISPTRYYRFVSPVHPAPLPFPAVPRSIIQLISMGKTFARVNACIA GKFGAHADKIKQWVEGNGGTFSKDVTAGITHVICTETAFRKAVSTGNDYLPAPITPWS PRTNKNTVRAAKRIKGIKIVSVDWLEESLLSKTRRPKREKPYLWVTKMKAERKRELEK KLSLKGAGGTKPFSEFDDYHIYTDGLGHRYTAMLVRHSPFSKFRERHTIKIYESNALP HTYAAFVKYTRVGKSASDFLAPRGSTLETAISAFHKFFKAKSGIDWRERGEKTPPKKT VKGIELPPDEGWFEYTPETPPHVPPVSSLPPGYDEGAATEATIIMLQDAVKEMEPNEV RGVRGETQLSTTEA UREG_03651 MPGLSIRNCIEAIQSRLDQIDEGRSWLSPEAEYHRQLSVTEEVI QIVQILFLRLQESENIPSSEMLLSWLRLVAKYDFFSQNRSLSEAESILFNSLQALTSV VTLSFLKLRTAAFLFGTVISQPSISSDVWGDECPSFLSREDVGELNEIFLKAADSEKL SASPAVFAWGMIMYSVREIALTTREERELQQAQHAVDAFNGDTPSMLASRTVEPSIYE EAYERARNPAFDDDFVKFMISVAVDRCRVFDVASNVIRQLDSISGLDDGSLVSQWARV EVLDLIRVSVDFLNYIPELLSAVLQVITEPSLEWDCIADSTTKSGNGAKAIFLHDDTL MNSIFKIAKSRFPYEAINFLKLCRCLLDYNLSTDDGYPFIFQELEFMETYTQIVSPGF QGYQSTREDENANFVSLIEPLEMRELAPKGKEYSEQSNSELMVMNGASILPANTLGQV INESKPAVIMWHHRYNCLYFLGMWLEQATYSRKTEYEPEDDVIAEIVGLLADLVTSAQ AVARHQKVESCARRILEMASDGLNHHGDIISVIFDIFERNLQDTSRKFGTDRGLETIT ACLSFLNALITVIPGRVWPFLTRSSLITGDGNGGTLPAIISAVEVNTGDYSFLLEATR TFRLIVDDAARHIAVRKTAGNVTAKTTHLTEYTAGAPSYVISKLIQSFARVMVDIYNN SASWRYNDICQSLELNVSLTTTFNDILHYTYGIDDTEDLDSKVTAVFANSAKYLLNML RPASEEGVIFNPVLRLILNAFHTPVLTENPFSRLLQSQLVEAALKLASTLIQAGWVPH SPMSGLERQLFDASPVLIRLYVLHQNHQAEVVKLLELLVAHASMDKEHEPPSLLGHLG AQSSCRFLDILAKFDRPFNNISLNTTIWKFLTTIISKRQQWLAVFLLTGSSPRDALKK KGDRDQQPAMTSNPFLQTALQLISAIGRAPLRRILSALEFISKAQENWPWATPQLKNH PDLFPKMVNYVASLDMRRFSPLEQCMTTKVSSFIADICVVYLHSAKEQRDWAFFKTLI PLISWYSENAVEVNGYNASLHANLKRNFEMKYPGCSLFAIKRTSLNDPEFGSDYFYDI ELGTKMFGYEFAWAGSRNQGLLDEVKRANENLSLVQAQMDLLLSFKFLAIEHCADFMP DRGVQKSMTSVVRHCLTANSQSIPNENVFCKLHQIRAEFALGLLQRLVEAQSRGSEVF SLLEAAWDATRFRNPTYEAALANDDTEYYGMLLNVLFLSLQFHVVGKSRVVPQAVSKK PEVSGHLAIVLDIVKVIAAHGFRSLTTYLHEEPEKCSPKDFALITAILQTVLKVKSVD RIYEQIAFHLIDCDTIRYACTLFSWSYQLTVEGDPVYGELSILYLLELSCIPTMAEQI AIDGILVKLSTYRLTDVLRQPQGCGPFDQVPRLFTIWHAGFLPLCLNLLYHVGRAAPE VAAFLNQFEGQLRRASEAFSIGRPTVVTNPFGPSNVRNLLSSGQSAKRLSLGMATEAC SLALISLIIEKCREAGPSAGIDSQHIQELKWDCARVKEDIEVLLEKTSVLRSRITPTN EKEVAWLQHQPSDSSSNAESLLEEKIVKELQTALSCIGGGD UREG_03652 MPFKSRWSIPIPDTSLPGLIFKSPKHPQSDTRKCYIDTARPNTH FFTVHGYLLWCKRFAAGLQQSGLKSGDRVLVFSANNLVYPVAFMGIVMAGCVFTGANP TYTPRELAYQLSDSGATYLLCADNSLDTGIAAAESIGMGRDKIFVFNDLIYDGKGEGI EGCRYWGELFATTEEGEQFSWEELPTPEAADKTLALNYSSGTTGVPKGVEISHKNYVS NAIQFTHSAYLDKDYEETTKRTRWMCFLPMYHAMAQNIFIAAALIRQVPVYLMARFEF NQMLENVQKFRISTLTLVPPIVVMLAKHPAVKKYDLSSLEQVGCGAAPLGTEISEEVE ALFPKGKIYVRQGWGMTEYRLPPLMRISLTRVNQHGEIWVRGPNIMKGYWNKPEATRE TLTPDRWLKTGDIGYVDSSGKFYIIDRKKELIKVKGNQVAPAELEDGEEYPRAYVVLT PGNSATLETAQSIVHYMKDKVAPVKRITGGVIFVDVIPKNPSGKILRKALRDRAKSEI QNGLAASKL UREG_03653 MPITNLALNAAIPERYRYESLDDLQDTLIEETRNSYRWVPPWRS AKEAIATSYGRSNVFRLDVRFGGEVYTAEGAVVGFFSASVSQGRDRGDTFLHFRTERN ANTTSREVKTYNVVNGGCQTAEERLDSWSIGAMLLIVLYDSGNKGNAQEPITPPSFAS SKISSPEDMSSV UREG_03654 MRFSTAFFILSSFASLAVSSAVPEPETAGALDNCGWPNGNCYDN NCHGELSRNKITCTSHRLTRISNRASTSVVHADTAAAETRENATKMAAMVETAAAPTT ISDVLAFKARSSHSISDTITGSQSKNSTFLCQATV UREG_03655 MTKNMSESYFSSYETINLSHLLSRLQAHLLSPSADLKLLRSKYH RARMTARQLLKALRQRIDELAVEAEMLVEDDASSSEDEDILPTPEESTPERRPSLARS AVADLPIIEDRKEKEPPETANEPLSQSYEQPMDPCATSDEPQITSTLRSRHPKTSTAP SSHSTAIPTLSSPSTKPSTTSFCPPSTADPSTSKIHDAETALTTSRLEQDSLTDSLLS LAMQLKASSQTFHSHLESEKSILSRATEGLDRNTTGMEAAGKRMGALRRMTEGRGWWG RVLMYLWIFGLWIVAVLIVFVGPKLRF UREG_03656 MGCGMSTEDKEGKARNEEIDNQLKRDKLMQRNEIKMLLLGAGES GKSTILKQMKLIHEGSYSRDERESSKKSFSATLSNQCVILPYHSGSYFDSIGRIMQPD YLPSDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENVTTILFL VAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFTKTSIILFLNKIDRFKEKLPVSP MKNYFPDYEGGPDYAAACDYILNRFVSLNQAEQKQIYTHFTCATDTTQIRFVMAAVND IIIQENLRLCGLI UREG_03657 MSWNIQSRYELLELVDLNLTPSWARLRPSRILICSFTKYGNPRI QSMQWVDASLKLVTQLPRLAQEGFCSPTDRTCQVLRKRRLRLEFPHEHKKYAVVEPFS RDIMHVCLLEHLNKFASCKSSVRGEETPAQHWHPLSRKLTDIQVTMNTHTPQASITGN RRRPRVLRQRVGQQSPKYNSQNSTNWAISPTPQL UREG_03658 MLTVEKQWINVQQKTPLFDAAHSLAGLLMATVARLNNKLKIRDI AIDDLVIDLSDGVILIHILEILGNESLGRYASKPRLRVQKFENVNKCLDYIKGRGIQM TNIGAEDIVDGNRKIILGLIWTLILRFTISDINEEGMTAKEGLLLWCQRKTACYPGVE VRDFSASWNDGLAFCALLDIHRPDLIDFDALDKNDHKGNMKLAFEIASNEIGIPDLLD VEDVCDVAKPDERSLMTYIAYWFHAFSQLERVENAGRRVEKFVLNMQGAWEMQNSFER RMREHRLGESSFAGTYADAKEQANEFTAYKKNQKRKWVAEKSDLAALLGNIKTKLSTY RLKPYEPPPELSLEVLDQEWASLTQDEHQRSQLINETIRDIKNALRKSFADKANDFAL TLNTLSLAISGLEGDVEDQLSHVQRLNDNLPPLDAFLETIADLDDQCVEANIEENDFT TYTFEELSYELGLVRSSVSKKLAFLENQMVARNMTNLTPIQLEEFESVFRHFDRDSSN TLHEIEFSAALASLGLVYDENEMHEVFVEVCGPGRMERNAGVSFEQFIRFMVSVTEDQ NTAEQVFQSFREVADGKPYVTELDLRHSLIPEDLIENLLQTMPKHEGPDLLEDRDLPK YDYITFMEHMTNNSSPENEGGGSSKSNPINAITPPSSPGTSIYETSKMDRTLDEIIAE RPVSLASPPRTRMVIGRDISKTKQLCRDLQTVDVPGNPQYAPVGVISTATKLEFIRSS SSSSRFLQTYRDRGNRSSHSGLPFLGKGRGFMGASCLSFSAKYSSRSNFDTSANLVLL LLIEQQSFRNDRADVELDWVHDKFEDDRDTRPASFRGSNSRRNGRLSPPPEQSTSGAK LRVNNLHYDITENDLEDLFTRIGPILSRSILYDRAGRSEGIAFVTYKRLVDAQTAIRE FDGANAKGQPIRLTLVQSDRNTRRNPFDSAEKPKGSLFDRAERPRDTRSLSPENGNGY RRSDVSKPPPENIDRYIPGQRSPKRDGRSRGWRSVESRGRNDSRRGRNTAGRPKKTQE QLDQEMDDYWGHTGTPGVTETGAVQDSKPAESGAPALDDDIDMIE UREG_03659 MASDNNPMLRDGMTGDWIGTFLGHKGAVWQARLSTDAKIAATAA ADFSAKVWDTHTGQCLYTLQHAHIVRSVAFPMQDNPQVLATGGMEKRLRIFDLSRSEG SSSSPTSTNSSSPALNGSGSNTNSFNSTSFEIGPGVHEGTVKSIIWNQDYNIITTACD DRKIRWWDLRSQNPCMEYTVDGLIGSCELNTLSTVNNDPGILSIAAGKSAYFFDGAAP GRLLKKVEFNYELASVAVNNQASRFVTGATGDTWARVYDLNTDEELEVQKGHHGPIWS VSYSPDGKLYGTGSEDGTIKLWKACKESYGLWR UREG_03660 MPDFRIAAALEGHSDDVRAVAFPDAKKALTASRDATVRLWNLVS TPPPTFDYTIAAHGSAFINSLAFYPPTKDYPEGLIFSGGQDTIIEARQLGRPAEADAE ALLLGHAHNVCSIDVCPEGGWIVSGSWDSSARLWTVGKWECDTVLEGHNGSVWAVLAF DKNTIITGCADKIIRVFNRAGRLQGSIQGSGDVIRALCKLPDSHPSGAHIASAGNDGI IRLWAIHGQQVAQLHGHESFIYSLAVLPSGELVSSGEDRTVRVWQGTQCVQTITHPAI SVWSVAVCRQTGDIITGASDRVARVFSRVPERQGDESMIQQFESAVKGSSIPQEQVGK VNKEQLPGPDFLKQKMGTREGQVQMIREDDGSVTAHTWSSARREWIAVGTVVDSVGSS GRKVEYQGQDYDYVFDVDIEDGKPPLKLPYNLSQNPYDAATKFIHGHQLPVTYLDQVA NFIMTNTQGATIGSSTQTPANTGTDSQPPSTPPTSRPKVLPQTSYLSIKTANLKAIQK KIGELNAQLVSSGSKDLSLSPSDLETVVKLCGQLEQNPNLKDSSILEAALPLVVKAAT AWPSTSRLPALDLLRLLAAASPMTATEGYSGGNLVQTVISSGIFDPPLNSNNAMLAVR MLANLFETEAGQELVISDFEEITSRISSVLSDPGASANRNLIIAVATLYINIAVFLSA AGRSVTSESAEYGLMLLDQLTKIVSKEKDSEAVYRGLVALGTLVISLENEVMTAAKEI YDIEKTLATVLNTAAGKEPRVKGVVGEIKDAL UREG_03661 MALKTITAQNAAALDKDLMDSGAFSIDQLMELAGLSVSQAVQCP STVDRLIIKIVYKVHPLNKGRDVLVVCGPGNNAIPTTFAPQRLKTQLHNLSVPFTDDF TTSLSKTSLVVDAIFGFSFGGPLREPYPAIISQLESTQVPVVSVDAPSSWDIESGPPK EGPGAKFMPEVLVSLTAPKPCVKFFKGRHFIGGRFLTKGVAEKYGLDVPKYPGVDQVM EVDVEGSEKL UREG_03662 MVVRIRLSRFGNRHQPFYNIVVNQARTARDSKPLEVIGTYNPIP QKPVGLSDEEAKSARAYKDIALDRARAKYWLGVGAQPSDPVWRIFSLIGLVEPKPNRK RPEPPEVVKNAS UREG_03663 MIELTVGHVSGIIAAGVFVLQFFVPTASTLILAGLLGENNSLAS WTQIGRALHSSHWTWLLGADSATTRAVSRAVRMEAIIRPLIKLTIAIAAIVTPLGLYD AVVPGTANVPQPFQYRKDPSPFGIGTPPRSNLGFNRQCGSPLPVVCPGSQTVINRSQT ESNITVELPNSYDIKIPSNLTEMFESGLEFMPPTMSSLFDIQWRSYGINFDEDYNNGS QFLVGSYRQMDSLLLKDGYHAVEGLIVDNKNGGIGFRNHTTPAPLKYGGLWSEDLLFI EPSTQCVDTNITVDFTIPDSSSNGTMGDIKLVDLGGFHKLNTTYPQFDLKEPAKNPDL YSRAYKAAYLFNAYTMLLLNVSNPRTPTMEPWSYMNSNQGKAFPVDVFFPDLQPSQVG AKIDWKIWHGVPYSPGSNLTTSDFEYPNPYKVTGRNFTSIRTICQGAGDADIADIDRV GVGCGLFLGAARPADGKASLVAVPKSRWTMPLYSCASSTRAVVKTVDFRYNGTDGLRS LSVLDIRDKIYKQNSDKPLWGVERTNLTIGGTSALWGLVSDRYKNRDDVSVIQNEELW LPGYTGSVNTPTRSWMNLPGVSFHMDIMGSVYTMSEDPPLNTLPDYTGRSNLAHVRKM ARIIQNVRTVRRLSSIRYGLTLPPTLFWGQRAGRTEKAGPL UREG_03664 MQDLVRLPAGYRLVEGTPDAVAYCHLRAASGLTPKTEEQGRLAL AGTWYMCYVTYTPPDDGNKEQSAEVVGMGRIIGDGGWYFLIADIAVLPEHQRRGLGDA IMTTILSHLRSRASPGAWITLMADPPGRRLYQRHGFTETAPASIGMAIFALMRRALNP GQALGPVMGNIYSRVLDPRSIAAI UREG_03665 MSGVEEQRDDGEGCKSWSVRVKVQSRLRWSGGDARVARSGQARP DHVGALSAGQGQQGEDDWDWELSDSRNRGGNSTLDTRRTLFANNSHVMASDPTNQANQ APPGVEFLRFVGGDVEASGCLVSSITSCHPAQQLIPQIETKTEKRKGSEGDAHAPHPG LPSRQESRQEAILHRRSTAAFWAKSASWIDSSPMPPQIGSKLDVIKDKALPSATLEST HHSISV UREG_03666 MNSQPFQPGLLYDTTLNRNVSGPITTAHLSVSSSTLPAAMNKMP TDVFSPVVSQPTALSSAFPYALTPIGKSPAFEPQNSSLSRIPDSERAPSGVNNGNVTP SNNVSGGQTAILMRNLPKNTTDETLRSMLVFAKDLISASLISKQNPEDGTFETAVAFF KSRSAAEEARDMLDGKRNFTDEADMVVELLSEPPALHRRNTIDQIPRSNVHNPLSQVS PPGLMSHSSLLSRFDQGMISNGGISHNEFQSPDQASRLQSLFSAQSPLGDGLNGRPRV SGKSVIDQEVDEDTGELLKDPIAYARNGHSGSMMMPRRSTNPPIPLNHFANLSLSTNL TSPSLQSFGSGSSTRPTPSSAMSPNFPNLGQNNGYHHSPFHRLNYPPVNPADQNPPCN TLYVGNLPPDTSEDELKALFSKQRGYKRMIFRQKPNGPICFVEFDDISWATKSLKELY GYELSNSIKGGIRLSFSKNPLGVRNSQAGNMHPVSPMTPHTTMNTVNGPGLIGTPRFS TANGPPPGLSAPPGLPMPLGMAVNGLPSPHPVLNNSATSGFGPNVGLGIGINPMGNGM GRMRQPPVNDPILVNQATGPALGAMSGSGYPDYMMGR UREG_03667 MPPRIANPQRLSSSLSAHISSARSSQPPFSPASISSSSSSPLPS LLSSSTCPSCSQSYSRQPQRTFSSSPASSVTKLRQQMFDWLNGPGAAFRDPLPGSTNY LTAYDKHGRLIRAPDEKSPSNAEGKGNEPSLEPSDGLLPRESTSDLRPFPLNPHFVSQ SVLSEELRNEIYNQIKIKGKSVRAVSVLFGVDMKRVAAVVRLVELEKNMIREKKPLAL PYARAVHQMVPTTPLVKAPQAPVAHEPINDLPVHRLTEPQIFYPVSESRQFTRVDAGR VFSAAPALPRDKEGKPFNTPEAIAEVTRHPHQIERVGKGVDEEQVLQPADVRIPHPHL VAFQHDHITLSGEAKLRNQRFIERLEAEEAAENKAKEAKKKKQEASMHRVAPVESRFE FRFRDVVVSRVTTGTNGRGLEAPGRRYGVPSSERKRGTVKIPTKVENQRSGCELPRNM PRVVNELLLYEERRYSVLLSTTNMADHSPDP UREG_03668 MAGDNPSPHRHHRLSRLTARTAKLLRRTSTSSKNHPSTADSRAA TPGADSHLDSRPHSSSQLHPGIPVRPSRLRTTPSTRLTAEAPKRGGSLRRLEEFDPAG TPKDGGIARSPSLSLRRPESRSTTPRALNAVDESGQGINAPSSFHIPKTKPLPATSPP PRPPLAVRRQSLVPAHQHRLINNLLDPGTTANTGDYFSRPTPAIQPDMISRKVWVKRG GSSATLVSVTEEDLVDDLREAILKKYTNSLGRTFDAPDIVLKLIPREPSSRQSNPERL LGPEELVGQALDHYYPGGQSVGEALLIEIPPRRTPRPSPHHNVPYGVHPEAIRPGEPD EYFPPMHSIQPSNVPGSLSSASIPSTHQHSMSVINGVPLPPVPSPGSCGSWHNVQHRP QLPRQPTSSPTMNGATPATTWNQPINSVAPIPSTSIYQHSFRFKSPEPANEIRTYSPN SLQKLRKMGKLSSGATVESNNNTSGLLDVNAPPINVLIVEDNTINLKLLEAFMKRLKV RWQTAMNGREAVNKWRGGGFHLVLMDIQLPVMNGLEATREIRRLERVNNIGVFSKGVA PTSPHAMVSTKGNHVFPPQPEDLIDKGSSFKSPVIIVALTASSLQSDRDEALAAGCND FLTKGGQRADSDIQPVNMMWLEKKVKEWGCMQALIDFEAWLKWRGYDSSPSDPISRQS TTNTIIGGPLTNSPSLAPTSKLSQEIIPARLATENGKSVPSQRESSPAPTSKIPGASI YKSGKDSSASSASTIVPKGAALQTSAGKNSSQTEITPMQSAGKRGNRASTPAKTSS UREG_03669 MCWGLLGLCYDLVQLEKKEDGRVARVDDASMGTMAAIIGVVVGA LLGGSEALFILTLRIPYRKGTTWPALLFGVLATILLVVGLLAPYREIWKRRGRVVGIN WGFLAMDALGALFSLLALVAEESFDILGGVLYCVVFALELGIFASHIVWRFLHRDLTR EAKAAGVTVDEILETRMKASPSTEVQKEESMFDLETQRSKRNSLEGGILKPVAISL UREG_03670 MTTGQWFPGRFAKTNRSRLQGGSFGIIQTPTRSRGSRLGRSTEY TVCKLQSNPPKSIESATEARRHGKQLVVAIDLESGPRNTGCLRWGFRKKFQYGPSRLK MRAVVPGPLRATANQPAPIAPAVSFSWPGRTRLGLFIINLAQGVMLAPRDLQRHGSPC LLTERAFDPSWPTVS UREG_03671 MPRIRLDNLFGDPFALASVSISLLAWLIAFVSAIISSIRDSFPP IYWWNLVYMFLCIVGIAYVMATATTHIYSTAVVGYVSAGFAFTTFAADGLLKKQSGSN EAAGAGFILLSIVNVVWIFYFGSSPQSRSRHYIDSFAMHKEQPSYLNPSQMSNHYNNR PDTTVSTQPPQMYTSAQLNGFETSSPYGAPPGPSGASGIGNSQVNLAGTSSNGEAPNE VSPPTEYPYKAKAIYAYEANPDDANEISFTKNEILEVSDVSGRWWQAKKATGETGIAP SNYLILL UREG_03672 MDTDIPDTITSTAADTIELLETRLRRIEYLLTGGTSWTGEPERL PGPPASARESVAARLAGLERELRVLSTKVPAVQDVLKLYSRYPDLFQSSDPSTVPSTL STQSLASIVLSYATAFPETASRLSSLQDLPIPPAKASTSLIELQPRIDRALREEERQA GELAELRARSALLMKRWMEVGIVGGGEVWGEWEERVRMAEREVRRLEGRMAREE UREG_03673 MPDMPQVPSDDAINEFVAITKTTRDHATSLLQANNLDANQALNA FFESNSGQPPQNQWPVSSEPEPKPPGYPTENVQKSFNIDRGDSYTAPPSRPPSRIGHR SALERVRAQETDGAASYRKDFSHRIQVSSIDLPLAQSQHKMTLEEREEHDMQQAVAAS LSRSFDRAGQESGVVSARGTQFGPANRDYYDNASWAMTTYNDPTPRQPCMHPDPEERK RENGFPAFCRPLASAEYLGACITILHSIPLSREAFISRNRLIPDYGHHPFWWNGEPID TPPTGNDSQSRNSDKVFVEAQRLMAFLDGTTRAFGTITSLVDILTSGRNRPEKVLPEF LEQWQREAFLKNRNQPSSNLFESTLLTKSSNPQPQISRFPFCVAEMEFEPDENETLYD VIDNFLWQGTPPNKPHSTWLGKIAPIFTMRLRVSGKTTRPVGVKIPATWYPDRYLEAN QGFVQELRERRLDAEADLEKLKDLIEDYSTAILPGGQATPFKTLLENAIAGTNKVVKG TRTDTSADSSDDTWETILTAEETEKLVGDLKSLAEKIDRRLATLSQKEQQVKESLKRF SKSLTEKDDDSEKPPHHKYTLRGVSTHPHLMYVLKRVPGAKNMRDIFPDMTFENEWEW WRISFSVEDGKNTTHRPIQDPLRVSRSDGSSYLLDRTKPRILIPNHQEPYGYTITPAR ELEVLQAAKEDHSSVLLVYANEDAVQYEGAELPEPLQAFLDADNQAFEDEIRRMQVRF EKELKEFQDGVTEEFVEDIDLRSASSSELNYIEEEDEGQGQEGGGEEEMNIEPDRRVP PAA UREG_03674 MGIEQRGVVSRHQKQRLTYHELDLKSNSLARGLASIGVSKGDRV AISLGNGIEYAVATYALFKLGAVLVPLNPNFNTTQVAAALSHLSATHLIISTEANLPR REPRSNLPLLSQIVPNLSSGKLQSVLVPSLKHIVLVQNDGGRVDTSSFACTTPFTSIL SELAPDLRPLPPQGLDPHDIVNIQFTSGTTSMPKAACLSHRSILNNGAQIGDRMRLTP QDVVCCPPPLFHCFGCILGYMATATHGSAIVFPSESFNAVATLKAVQEEKCTALYGVP TMFVEELELLANGTVPYEGFEHLRTGIAAGSSIPAELMKKLHKTLNLTELTICYGMTE TSPVSCMTTTDDPIDKRINTVGRLMPHVEAKVVAPGDHSKILPVGSKGELVVSGYSVM KEYWGAPEQTAAAMKADDDGKIWMHTGDEALMSADGYVSITGRIKDLIIRGGENIHPL EIENCLFAHPGVSNVSVVGVPDQRYGEVVAAFVVAREEGEKRVTADEIRSWVRGNLSN HLAALHSKTARLPSRLENFLHLMSFPVSLSYHTVTLFPLRLGPFSSAIFR UREG_03675 MSNQSGLTHAMYPSFPVPASEGGSAQASGSAKPLSLTLTPGPSL EMPEPIRVSDLPESIREEDEETASEPLEPITPRSATPPPVDRPQKSATDPAVSKMHTS LRPASLPHPLIINTDASPVSTSSHPKSPDRSSAATSPRRRILRLNSIKSMFRRRDTPL VEEQTSEPKNPSPTHPKSGIFHSLRKNSVNSTAQHSSASSQSSSPSSPASPSSTLNSG VHSRLGVSTPSEGMSFKRPTRSATGLSLRERSKIIFAPTPRPHREEPRIRSPSLGDVD KQPERPGFSIPAVSGAGLKARRMSASLPDGFAVDTCELNDEYTSSSRVPGRRGKEIGK GATATVKIMFRKGGDKDTPYAVKEFRKRGQKEDEQEYVQKVKSEFSIANSLNHPNIDY LTTRDNLCFFKQIVRGVAYLHENGIGTRDIKVGEPGYYLMKDTSRLPISAYLSVQWDT PGPALCWWGHYDPRPLDIWSCAIVYLTLHYRGNPWPSADTRNPNYARFLAGWKAFQDV DPEGLVTDDKAPVCGPVFKRLNHTGMKRLLLRMLHPDPSKRFTVTEVLNDRFFKTIEC CSPERVKDPSEEANCIDAAGKGSCKLASKMMVQKIHHHFPPEKKYLPQHRFDMGEGYS UREG_03676 MARLKMCGRPPQAYEQQQKSPIVRIMGLCKRGSNMRPLHEVRPS AQTDRFLLGKGTNRRSSVNKMTSSAKRCCFGSLLPCGIFISMTHSPDPEDTEGKSKRP SVKQAPPRVPRAPHLALERQIEGCCYATGGSSPRISSTSAWSMPIGSVALRHSLRDRM PREEICAKTFSNDARRAACQAGVEGSNRRKQGRVGDTAKIPAPVVTFVLLRFLSCRMV MFASQTVWYQTGIETEQRPSMTSCEHC UREG_03677 MPHFPMNTGAFAVSRQKFLPEGKEETVANPPIHPPASVQVKNRR KKYLELHPEYFSSGLELAGTLSLFFYRTQMNGRRKAAPKATLGEILAEDEDEIPETRE EGQERWRSEMELRFLRGADSDFDYAAVDECDDYDDLSEEQEKYFDEEEPEWLVEKDEN GEVELLGETGIQDF UREG_03678 MTSKSSCNNKHLAFKIEEIDVMMSSCCVYCSHHDKECVCSDKSI KCFKCCQLSYHCVVDSTPSDCDWQNLKAACNKLKLEETKAFKTVQAASAKLLYLQKQK HLLYDCAGKFLESGLQLLEELEHLKKKEQKRVAEQAEIQ UREG_03679 MPKTGSLRRDRASASEARDARDNGRDSQQAYAQNVLKSFFQGVA VVVLAAISSHVSQLTLSPVYGSVPAASFHRQGVMIAGLIGWFGIGRVKVVCFKIPLKL LPVLAWSIPTIQYFMFQLSSRLGPIFGPFVTELCTLYPLVVLSVASAAILLGNSSPNT GYSLMAGQGKFLGLYVLFTTMLKVTRGVMSNRIGSSSLMTRAGLQFMVASLYSICLPS KWGLFAIPSLIFSVAFNVHVPSDITTARLNSRLQANNYTLLHRQESLTGYLSVLENNQ LNFRVMRCDHSLLGGEWIPPADVSKQVVRDPIYAVFTMLEAVRLINLDNGDRRQAGPG TNALVIGLGIGTMPAALVAHGINTTVVEIDPVVYRLATEYFKFPSEAVSVIEDANTFI QRERKSHSPSRYNFIVHDVFTGGVEPADLFTLDFMHGLRDLLQDDGVIAINYAGDLAL PSAGLIVRTILAAFSSCRIFREGEPTAGPAGDFTNMVIFCKKTKHLPEFRKPTNADYL GSLSRESYMFPKFEIPQETFRNTNSKDPEILTKNQVSQLENWHSQSAIGHWRIMRTVL PAAVWENW UREG_03680 MQETLQSSILRSPPFYSADGIVNLRDVGGYAVSSTSSVRRNFVY RSAHLSRATSEGARALVEQLGITKIYDFRSVPETIKNPSCEIPGAERLHVPVFTDQDA SPENLALRYRHYASADGPKAFMHAYKEILRSGANGAYRAVFEHIRDRPTEPLLFHCTA GKDRTGVFAALVLRVAGVRDDSIIGREYELTEVGLGALREEFIQNLLKHPALKDDPSG AYRMTSAKAEAMEATLEWLDATYGCVEGYMKEAIGFGGDDIEKIRRNLVVEEKAVL UREG_03681 MAPIRKVAIFGDLVKALEGHDAVVCVVGPAGIQYQAVMVEAAEA AKVKRFILNDFGWGPDIRSLPEFHDIHAQRRAGWDRAQVLAKANPEFTYTGITTGNPI DWALQKFPLMGFNIAQSSAIIYDSGTERFTGTTLTGIGQAVVGVLQHPKETANRFVKA QSIQTCQNELLEAFEIVTGKKWDVQKSSVKTLLESGRSKAQAGTGGWVLDLVVAQLFE EGEARCMVAPSREESDAELLGMEAESPQQVVSRALSRASNDT UREG_03682 MATDQRPDVEYINGKKTFVPLENNPDVMSHLVHHLGVSPALGFY DVYSIDDAELLSFIPRPVYGLIFICHGDVYHRARDVEEAGRSYYEGFGPEEPVLWFEQ TIGNACGLMALLHCIGNGPARQFVQPESGLDQLFKAAVPLSRIDRAKLLYDSPVLEAA HRSAAQRGDTRAPNPGDKCGFHFISFVKGDDGHLWELNGGTKGPLDRGALGADEDCLS ENALNLGIRTFTGKKSEAGEGDFGFSLVALAPSLE UREG_03683 MAMAKWLLAATALASPALSMTPQQFISAPRRGEAVPDPSGRTAF FHVTQYSFEKHETVKNEWDLIDLRNNRITTLTDDPNVSEIVWLGRGTEILYINGTSSE TPGGVEFWVSDTKDFSKAYKAGSAPGPLSGLKAVRTRNGDIKFLTNGKSTPDGTLYNP ETAKKYHSSARIYESLYVRHWDTWLTTEFNAVFSGTLKRGDGQYKLAGDLNNLVSPIK HAESPYPPFGGSEHYDISPDGETVAFMSKAPELPKANLTTSYIFVGPHDGSSRFTPIN SRDGDATPEGVRGASTSPVFSPDSKELAYLQMHGENYESDRNIIYVATVGRSPRIRAV AEDWDRSPGSIKWSPNGRSLYVTADDRGTGRLFSVPARGGRRDRPRRIQNVGSVSAFN FIERSDRVLVTATSLWSNALYYIASPTGRPRKVFFANEHDPELKGLGPEDVDEFYFKG DKQEVQAWIVKPENFDRSKKYPLAFLIHGGPQGAWGDSWSTRWNPKVWADQGYVVVAP NPTGSTGWGQAFTDAIQNDWGGAPYRDLVNCWEHIDRSIDYIDTENGIAAGASYGGFM VNWIQGSDFGRKFKALVSHDGTFVAPAKIATEELWFMEHDFNGTFWDARENFDRFDPS APERILRFATPHLIIHNDLDFRLSVADGVSIFNVLQGRGVPSRFLNFPDENHWVLQRE NSLVWHQQVLGWVNKYSGIGQSNPDAIKLTDTVVPVVNLNS UREG_03684 MIGVAQRTSTLNQSPGPKIGTGSRPASKDGKKNLWSSMLDGVAS GKRLPEKNLLVLGGTPESQREFLDTLSSDPSDPRLPSEKRKGKAPPIANQFALGYTYQ DVLDADQEDILARVSVYLLSEPSPSFAPLLKPLLNTKSVRETLIVVLLDWDSPWSWIR QLREWIRLLRSVLISLDDDTKLVMEENMMEWKGQRRGIDSTYPSGSGGPASIPLGPGE WDEGLGIPMCVVCQGAEKIEKLEKDHGWREEEFDFILQFMRTILLKHGSSLIYTTPFL ANSLQSLIHSSLGIHSLLKRQSFKHNVIDRDKILVPSNWDSWGKIRIIREGFDMEGIG NAWSIEIQESAESSKAEDDITEKEESSAEGTSAVAIYEQTIQDPKQVTAISRTSQSDG NRIEIETTDTQTFLAEQLEVLEQLRAEDENQERQMRKDGVLRESPMIDESGRVNEHIG PVQFNMGGIQVDADDMLRRLKEREASRAPNRKERQSGGESPLAESLGSSPVSSTGEPK MQNQSLANFFAGLVKKPGGSPRSNQAA UREG_03685 MNSIRQIQALNKRELENAVSPEASWHADYRDTAYIYIGGLPYDL SEGDILTIFSQFGEPVHLNLVRDKETGKSKGFAFLKYEDQRSTDLAVDNLCGANIMGR LLRVDHMRYKRKEEEGLEDNIALLEKEEQEKERDRPSRDRDSHRGSRKRRSESRSDNE RRRRPLLKEEQELARLIEEHDDEDPMKQYLIQEKREEVAKALEKSKSSRRRRNSTERR DRSRHRHRHHHRRRRSEERSRSRDRCEKSGTQSPPRERRHRKRDD UREG_03686 MDTRQVIDTSAGPVALSTTFNNDGSCFSVGLDSGFCVFNSDPCE LKVSRNFNAGIGVVEMLGQSNYLALVGGGRRPKFPQNKLIIWDDAKQKAVITLEFRTS VLRVRLTKSRIVVALHNSIHIFAFSVPPRKLSVFETVDNPLGLLCLGRRLLAFAGRSP GQVQVVELETGNVSIIPAHSSPLRALTLSSDEALLATASEMGTLIRVFASSNCAKVAE LRRGVDHADIFSLAISPSNTLLAVTSDKSTLHIFDLPHACNSIPNYQPPPATGEEGLY QKWGFLGKIPLLPRLFSDVYSFASAPFEISDDAPPGATYVPQLGSSSCRPPKGLIGWT SDDTLLLIGAGKDGRWEKFAIQEGQDGKRVCTRTGWKRYLGS UREG_03687 MASTVNAIKIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGK QVLQGKDADDPTNAHLEEGVRIKPVTVELELDEEGTRISLTIVDTPGFGDQIDNEESF SEIVGYLERQYDDILAEESRIKRNPRFRDNRVHALLYFITPTGHGLRELDIELMKRLS PRVNVIPVIGKADSLTPAELAESKKLIMEDIEHYRIPIYNFPYDVEEDDEDTVEENAE LRGLMPFAIVGSEDVLEINGRKVRARQYPWGVVEVENQRHSDFLAIRSALLHSHLADL KEITHDFLYENYRTEKLSKSVEGGAAANQDSSMNPEDLASQSVRLKEEQLRREEEKLR EIELKVQREINEKRQELLARESQLREIEARMREQNRQLEQHAQDGTNGEVGA UREG_03688 MADYGSQQGRNPRPNPYGHASSFQRDAAFSEIFGGAPPPGRSQT MTSQTPQFHQERAHTMTGHSPDVYMQPRGHPPPMRQSHHSYGPGPESGHHDPRWQPNG SMPPHPTPRNPPIPPYSNRSQYPIPQRMDSMARPPPQYPPMKPPTRVPPPAALNSDPY RSRSMAGPGPRPPQFHAPPNNYNQAPPNAFRQQGYHPMSSRTPHGRIVPERHDNERAM SLSSYTSDRDHTQMISSGRVVPNRRREPGLDRERPDRMERHPMEKPPSDQMPVVPKIT TTHDTQPRIRHPSESSMNSRTLSMASTVTPERNNSLQTPTSAKSGQLAATVTANRSRT PLAYPALLSRVAAVFKERITIGERTKNDLAYTNSFTGAEAVDLLSYIIKTTDRNLALL LGRALDAQKLFHDVTYDHRLRDAPGELYQFRETMGEESPVSEVNGVFTLLTECYSPTC TRDQLCYSIACPRRLEQQARLNLKPQPGLRPSASRGSLHDQDESEDQKLWINMVPKEV ADTIDDKEKKRQEIIFEIMYTERDFVKDLEYLRDFWMRPLRSAHNTSLSPVPEHRREK FIRTVFGNVLEVLSVNSRFSEALNSRQKENHIVHSVGDIFLQYVPRFDPFIKYGANQL YGKYEFEKERASNPAFAKFVEETERLKESRKLELNGYLTKPTTRLARYPLLLENVVKY TKDDNPDKQNIPKAITLIRDFLSRVNTESGKSENHFNLMQLNMALKFAPGDYVDLKLT EENRTMLIKMAFKKGPTDTSEVTAYLFDHAVLLVRIKAVNKREEYRVYKKPIPLELLV IAQMEEVIPRLGIAKRPSTNLLAAGRAVNTPPAAKDGFPITFKHLGKGGYDQTLWATS QTQRKRFIELVEEQQRKLRERSNNFYSKTVLCEGFFTAANRVNCLVPIDGGRKLVYGT DNGIYLSERWPKDKTAKPKRVLDATAVTQIDTLEEYQLMLVLANKTLSSYPLEALDAH DGQNPLVRRPKKIQGHANFFKSGIGLGRHLVCSVKTSALSTTIKVFEPMENLAKGKKK PLSKMFQSGQDALKPFKEFYIPAESSSVHFLRSTLCVGCSRGFEVVSLETTERQSLLD QADTSLDFVARKENVKPIYIERLNGEFLLNYSDFSFFVNRNGWRARPDWRIAWEGNPT AFALSYPYILAFESSFIEIRHLETSELVHIMTGRNIRMLHSSTREIIYAYEDEAGEDV VASLDFWNKPQ UREG_03689 MTKHSSKHVTVCGFRLMTASEPMFWVQEALQLRWESQAGIASAM IFGSLGAAYGTAKAGIGIANVGTFRPDLIMKSLVPVVMAGIIAVYGLVVAVLIAGDIG TPAQNYSLYAGSIHLAAGLAVGLSGLAAGYAIGIVGDAPHRCVDSKFEALIAILEASG AQECPEHSTGELGWLTFSTCRREILPFSPMATETIPQTQEQLSKPPPSDQHRPEIDPG QDINAKKIGFPPWPASRPKTPPDIVENRKHIKERIPKHKLKIEDFELLKTLGTGTFAR VWLVRLKNPKDKANSIFALKILRKADVIELKQVEHVKNENRALHAVAGHPFITTLIAS FSDDQCLYMLLDFCPGGEIFSFLRRVRRFNESTAQFYAAEISANLLIEDPNPLRIYEQ IIEGRLRFPPHVSPEARDIIKRLGHISGGTQRIKDHPFFKVINWDDLYRRRRNGPIIP RVDHAADAGNFEEYPPPPDPSTQSVYTDEMRRKYEGLFKDFDDS UREG_03690 MISFRRCVILAVSLLSFTFILHLSTGSNAHQQPKPSDLLEKEPS GLDKSRERQLFQIPLEDLAQKPLRERLRYQFPYDLQSKFPAYIWQTWKYAPSSGHFGE ELRPFEASWTEAHPGFVHQVVTDAGMIYVIKYLYAAFPEIIEAFESMPLPVLKADFFR YLILLARGGIYTDIDTWALKSAIEWVPKSVDRSSIGLVIGIEADPDREDWQDWYSRRI QFCQWTIQSKPGHPILRDVVANITEEALRMKSHGRLKKAKMDKTIVEFTGPAVWTDAV FRYLNNPAYFDVGNDIHLTREIDYRYFVGIVAQKVVGDVIVLPITSFSPGVQQMGAQE PEHPMAFVKHEFQVRASEEFIFRDSDRLSERYPLIPSSFCIDLSGESMLSTFREFYFV FPFFPAQRKGKNWSTFKEF UREG_03691 MAAFLPFGWPTIFKPSRMSPSKIMSSGDYGKHEYHMQEVILQDV QDTSHLVTYLGTFLLHGDGCNHRVLVFPLRGPAVNWDVPTRPLPAHMSAARQLLEALA NLHKSGIVHRGELIKPIQVPEDLRTNMFYLGDFGQAAKIGSSAIPAGEPPIQYCSPDR LHKKGSTPACDMWSYMCIFAQLYIGWVPFNIYQRGIIASIIRIAGPLPEQWKGHYVDP HDSRDEWYYQNQPFNPEAVLNQYLRKDIDPVERRLVISVMSRGFCAGPEKRLTAAQLL QDPSFKAIMDIYCP UREG_03692 MARNSEKAQSMLFRFRAAQAADLGILDIGRTRRPKAITSVDSIP ICEKWRGQVLKEISRKVTRIQDQSLSDYQIRDLNDEINKLMREKWMWEVQIRNLGGPN YTRGGGRVYDEDGREIPGGGKGYRYFGRARELPGVKEMFEAATRKRGADELEDSGGGQ NEMLRKHVDAAYFGYGLDEEDGTLLAYESKKEKEAFSNMLKRGDGPVEEGWQPLPGDG GDGVGWRLPTLDEVQEELVDRRRRRLLDKIL UREG_03693 MFWHLFRQERGSLSDPESSRGEAIEPNVDEDMPPKDRTSRAIKR LATLFGRGGPLQPFRLIKQDIRNIRRRYISDWKTFNQLVFASAVYVFFTNLLPGITFA GDLYVLTGKQWGTIEVVFSTGICGVIFSLFSIQPLTILGIPFLPFMAWSLIHSGWLHF LLAAFNAHDWTMQYVTTFSTEIFSLLNSIIYFHKAIQELERAHSNLSFAAFLYAVIGA VGTMLLAIFLSTAQHWKPLFHRYIRLGLSEYAAAISIILFIGMPHIGELAHLDKTTLD VPTSFRPTSPDRERFFVEFWDLPVRWVFAAIIPGLIITMLFFFDHEISSIICTIDRYG TRKPGGFALDIMLLGATTAMCGILGIPPANGLLPQAPLHSESLLHAEKKEKPILTGDE RESQVPEVQRVYEQRWSHFLHACGILVFISPPLMKVLGLTPTSVLAGLFLFMGEQSLS VK UREG_03694 MGGAGLSTLTGGLAYAGTAVGTACLATDKVMSKSGKQSQGCENN SGNTQHDGGVDEPGLKENTNMTDSRLVIWNLQKGDKKSKQQLESEAGGELRFRSQTKF GSMVDFYVVGTGGSV UREG_03695 MYETACNLEGRQRQIPFSQLLRNDKLRHLGSSNSPISDLYVTVQ LWASCKPIGVPMQTAYKSFKASRTWNEWLDLPVLVKDAPQNSQLAITIWDLDPMGDDS FHGHSTPFGGTTISLFDEDGTLKKGKQKCKVYRHNAADGYSNTKTPSLPASKRRTGQF VEEGPTQQELELERLEKLLKKHELGEIQRVDWLDQLVFRAVEKKKLEAEEAARKRALR NKVARERFLAETKGETNGADDESIDDENFVLYIEFPRYDFPIVFQDFEYSPPPISSFS QQNASMSQTLRPPPEVRLGPGIEGSPDDYDEYPVIKIYDPEVGQRGNPCEDKHRRLVR SHRTGIMDRDLKPNSKIRDELNEIMSYGPTQELNSEEKDLVWKFRYYLTREKRALTKF VKSVNWQDTNEARQAVDILPRWTEIDVDDALELLGPTFDNPAVRAYAVERLRKSDDEE LLLYLLQLVQALKYEVVSQHTEDDPTQDSSLTNFLVTRAAQNVLLGSYLHWYLMVECD DNTGNSSAHRDLFARVEYYFMVELERVNPDERKTLLRQGELVTVLSKIAKDIRFSKVN RTAKIEQLKKCLADPKNEIVQIDPPLPLPLDPSIRIVGCFPEAANVFKSSLSPLLINF KLSDGRKYPVLFKVGDDLRQDQLVIQIISLMDQLLKKENLDLKLTPYRVLATSANAGA MQFVPSTSLSAASTKYKGSILAFLKANNPDEREPLGVRKEAMDTYIKSCAGYCVITYL LGVGDRHLENLLLAPDGHFFHADFGFILGRDPKPFAPMMKLSKEMIEGMGGANSPNYL QFKQHCFTAYTTLRKSANLILNLFSLMVDANIPDIRVEPDKAVLKVKERFHLEMSEEE AIRHFEQLIIESVNAIFGAVIDRIHDLVQGWRA UREG_03696 MADISADSGSDLTSTPLGSNAASPLASFSSDKENGPSPSASRLE KRKQKSHSALSANAPSNMGDASSKRRRISRREDGGDSQATRNHSLGGLIDTDFYDPDQ DPEERRAVRKALRDLATKLNDSRTEFMQAASNGIRETVLKANELFKSVKQTSDATIDS RLLVNAADLSYKRTAQAVLGDFDSGIDVEEFVSKCLSFMRTTAGDHPSPLQQLNSQRR RQQTFNRNDDSDDDDDVLNWDLLGRRACYPHNVRPSLSGFLYGPLSAQKRARQQTQRK ARQERIDPSQAIRPQQLQAEDLEQQETANLTAICTEIRNILVATQQTGEKLASEELSK MHKPSRDQILEVMYKYNISEDGGVPLFKFCLNPQSFGQTVENLFYVSFLVRDGSVAVT TDANDLPTLHPSTAALPSEAQNKGLRKHQAVFSLDFPTFQDLIEVFDIQRPLIPHRTE EEVS UREG_03697 MFPTLTPKHPEEVMVIRELEGQDIITCSVPFSRFGLLRIGGRGT IIRMASGSSAVFSPVALTEHVKGIITALNSPIKFIIAPDLEHHIFLGDWKAAFPDAKV IGPEGLQEKREKNVKTKDTSKVDFVFTPKNRHNPDTFPEFNAEFETQYLPSHPNREIV VFHKPTKTLLQADLFFNLPATQQYEKERGGATQGILTKLFLPVWSTEGDAIWQKRFTW YLLAGRNRDEWKESLRRIDRWDFERVIPCHGDVIDTGGKLVFRKLFEWFLNGG UREG_03698 MPILSRVLSVILRVGEIGFGAVVAGIIGSYLHSFDKVDAWPEAR WIYTEVVAGISILLALLWLLPFSSGFFMWPIDLLLSFAWFAAFGLLVDALEDVNCGGV FEWGRITDGGSCARWKASQAFSFLSAIFWLASALLGIWFSFRVRDRHTRPIAGDAVYG RRRRWYGRHRV UREG_03699 MKSNLIITLVTAPRFRRYLIVYLVLLVICWAGWVGFASPQLKEH GQIAKALDVKNRDRVGGWFGTNSLPRFADLVHLETLDEQLLPSEGQDDIPLDRRRLVV VGDVHGCKDELDDLLDKISFDGQTDHLIFAGDLITKGPKSLEVVQLAREYNASCVRGN NEDRILLYHRELLASGVLSVPGPNTKLSSDDAADTGKFQPEILPYGNRKEHLLAQALG DEDAAWLHKCPVILKVGRIGDLGEVVVVHGGLVPGVELESQDPFAVMTMRSLDLDTHV PSSKASGMHWAKIFNKYQSLLASRLASEPSSVDASLPTTVIYGHTPHRSAAIRKYTKG IDTGCVRGGKLTALVLENGGKTRVEHVRCKDYVSERK UREG_03700 MASWVQRQSNSNYAQLAGAALLSGTAVAAGILGYQAVKRKAAVE QLKRSIPELDETNRALKLSEFGIVPPPSVKQRKEDERSAAIARRAQDGDYDEAKLRSS FTIIVGCGGVGSHAAAALARSGVGKIRLIDFDQVTLSSLNRHALATLADVGSPKVRCI QKRLEQIAPWVKFDPQVNLFTESAADELLGPWSMPGDKDTRSPDYVLDCIDNISSKVE LLRYCHSRNIPVISSMGAGCKSDPTRIMVGDISTSLEDPLSRSTRRRLKALGVTSGIP VVYSIEKPGPGKAALLPLPEEEFAKGDVGELGVLPDFRVRILPVLGTMPAIFGYTLAN HVICDIAGYPNDYNPGGKGREKLYDSVLGTLQGMEERLAKFENKDPVGLRIPITRDDV GYLLEEVWRGKSVVSGLTTRLVLVRWERPKAGFGADPEWEKYGQKGVRLHLRDLVCMT KEEAQRHEREVLKGEKTPADLYDERVLHKVRERLREEEEFEKYR UREG_03701 MVTRSCMNVEFYKQTSSFSGRAAIRASSPSIRLGRRSIQSHQSN RAQATAAQDPRVPQQYDSSNPALSFPCLDALEAKSALLSTRSLSSGPEPSYTTGRHEQ FRSDQLLLLDWGGVLPEFEIAYETWGKLNSQKTNAILLHTGLSASSHAHSTETNPKPG WWEKFIGPGAPLDTDKYFVICTNVIGGCFGSTGPSSVDPSDGKRYATRFPILTLDDMV RAQFRLLDSLGISKLYASVGSSMGGMQSLAAGVLFPERVGKIVSISGCARSHPYSIAM RHTQRQVLMMDPNWARGFYYDSIPPHSGMKLAREIATVTYRSGPEWEKRFGRKRADPS KQPALCPDFLIETYLDHAGEKFSLDYDPNSLLYVSKAMDLFDLGHSHQQATRQRRAKN ELIISSSSQPPHNDPSCSLTLPEEPYEEQPAELSSVSSTDEFVISGDSSVSSSLSTPG PPADLVAGLTPLKNHPILVMGVASDILFPAWQQREVADSLRAAGSGKVEHLELGEDVS MFGHDTFLLDLENIGGPIRRFL UREG_03702 MSSPSINVAQRRQNPFSRNSLSPSPAPRETLAIRSGRPKSVAFA APAQVHPPSHTRTSSFVPSTSDTFLGQLSRRRSNSVRNNTPSSSTFAPEFIKYEELRK GADQIRGQEGDNDFSGKRYVWLRDPEKAFIRGLVLEETENGMLVVQCDDGSRREINIE NVDKVNPARFDKADDMAELTHLNEASVVHNLHSRYQSDLIYTYSGLFLVTVNPYCPLP IYSNEYIKLYKGRNREDTKPHIFATADQAFRSLVEEGENQSILVTGESGAGKTENTKK VIQYLAAVATGESKSVSKHMSNLSQQILRANPILEAFGNAQTVRNNNSSRFGKFIRIE FTPSGQISGAFIDWYLFEKSRVVKLNSQERSYHVFYQLLRGADAETKELLHMANLDIE DFAYLRNGNDSIAGVSDADEWNTLMEAFNVMNLSQVDQLAILRTIAAVMHLGNVSVGK ESSRADQAKLLPDSYPSVQYACDLLGVPVEPFVKGLLHPRVKAGREWVEKVQTPEQVR FTLDALAKGIYERGFGDLVTRINNQLDRSRIGGDDSCFIGVLDIAGFEIFENNSFEQL CINYTNEKLQQFFNHHMFVLEQEEYSREQIEWQFIDFGKDLQPTIDLIELSNPIGIFS CLDEDSVMPKATDRSFTDKLHSLWDRKSPKYRASRLSQGFVLTHYAAEVEYKTENWLE KNKDPLNDNVTRLLSTSNDGHIANLFSDCADSDAGSTTVTRSCVKKGLFRTVAQRHKE QLSDLMAQLHSTHPHFVRCILPNHKKKPKLFNGPLVLDQLRCNGVLEGIRIVRTGFPN RLPFAEFRHRYEVLCRGMPKGILDGQAAVHMMVEKLALDTSLYRIGLTKIFFRAGVLA ELEEQRDTLIREIMTTFQSVARGYVQRHLVNKRLYRAEAARIIQRNFHVYLDLKASPW WTLFMNMKPLLGETRSAAEVKKRDERIQQLEAKAQQDQAALEEERRRADAEMQRIKKT LESERALALDKEEIFKRLQLREIELTEKLAGAIADQESLEDQVDELIASKKRTEDDLD LRRSQLEQAAQLMSRLETEKKELQMRISKLDHQLQTVEETYQQKDSDIEKLNQEIKVL NSHLSLKERKLHDLEAKVLKSGQDLDIKLADTTKELQSTRQQVKELKDENRDIQQQLS TLSSTSTDFEHLLRQKESELSMIRSDIRKHEADKKRLEAERDSFSTRHGDMQRRIYEL QAQIDAMKSENANLEREAADAKKLLEARISEDAQSGQTRKMLDQQVKDLKAQLYQVQT ELSRERQSRDDVQMLGEHRYAQLKEEFDKLNESKITIEKEMYIQQDALRRAKEARTAA EESRIELQAEIIKLRERITKAESARLDAETAAETKLKAQANERVTSLRKELDAKIRQL EETDAERTRLAAQVQNLSKIMAEKEDFKIRNDQHKERLERELVTVKGRLVASENDNRA LLNKIQQKNLDIARSNSRASDTQRARLAQLQNEKVRLEETNKKLTRQVGDSQLTITSL EKQKEKLALSLEDLNHEVAREHKASRNAEKAASASNIQLAEANRTLETERQAKAQAQA NTRRLQDSLDQAHKEIQDCHQQLILLHKVFSPEITEIPASWEEVKPNISKQVDMAATL ESVQESLRVSEEKRARAESQLAEMRRRHVDEVAELDARFSSSKRALLEEIDLNQVANT RSPGHFRKNSEPFKRYSNPSTPNRRFNLIETAGDSGRSDRTVDTVAFQKRMDLAAEVE MLQNQLQMSEMQNRHLQSQLDRATPVRDTWQDESPSVRRMKLLERENGRLHEKLDDSA KKVSALERTIQSGELTLRDVQAKSHEELYDLLNSQENSRKSLLQVHKSTLADLTEAKS QFDKLKHARSSMEVELRDVTSELRDLQLAREQDAASRSQLLQEFSDLQIRLDAEASKV VDLTSSLSLYKSRADEYFSKLEQAELAVLKASRAEQFAKSQAKELEDSCATIMAERKQ MDNLIEDLQRQTQSYEEKIEDLSADFDAALQAKRRLQNELEDYRSQRAMDIEDKEASM EQTRKKYQMEFSGLTSELEIERENVLHIRGENTRLREELEDLRSKWDDEVLNSSTWAK EKSRMEMTLQDISNSREEAIRAHNDAQSKVVSLLSQVRNLRTSFDEVTAERDQATKEK RSLEGRLAETAERLESLANGENPSMRNAAEMDRDLLELKSKLAQQEDVATAAVGKMRR AEALATEIQKEMVAEREATAQLFKDKAALEKQLKEAQLRCIDLETKGYSSASQDVRFL HKRIQELETQLEDQESKRSAEQRSVRNVDRTVKDLQSQIERRDKMNAQLTDDIAKSRD KIERLLQNIDELQTSESENQLQARRAERELREEKEKSLRLERELDGWKSLRVERGSAI ARSTTFTGLSDIGIGERFGSRRGSGVFVGGTNAVIEVPQRKTSNTKGFL UREG_03703 MSRFPLPPFAGNQAFPAHWLPDQSSGSSTDGPYQPYNHFAMPPQ PLYGTNGTDFGSSVQIPGLTPFANGMLPAHQTFPMPNMNTASYYPQPANTQSSGPSMG FPAFLSPADDSQGNAPHHPNNLEQVIEEEQPTGSDQVAEQDSMDIDGREEGELSNGET ETVIPDPQIKSQNVRKSPPADKHFTNGQMKASLTRLDTVPPAVLQTSAEACQQNSPHQ DSGPAHCHSLTQNLKDPITPSDLDFARRSESFMNGRSLTQLRMQAQGALLNLAPHNIR YKQLVSEGIDPTILKSLYDSIGLKVAAEETSDNQATNANQSTVQISENEKNPTPLSKM AQNQIMSTTMSSSERPITSAAPIVSCNATASKPLERKDLIARMLAAKAGKPIAAQQPE TQPTLEPKAVVASKGSIAPPELAVALSGPPSQSADEIRTKEKNKAQTELARQRIEQLR KMGLAKFQSQSTTDSVSGSPLPTTSSSINITPTVASPQDQRALQTLPMKHPLPERPPD PELTAQTRIPGLFMTGTETITSGTAAAPNSHQTAILEASSSAVRPHRKRPRASDFTDD VLEEPPQKQSDQNARSSSSLHRVVIDISEDESMYGVDTDEFTRSEHTAGKAPLYSAPP KRLAIRDAPPLSDVPSRPVQSYRSVSSTSLPQTLGKGRDEENIRSEITMMRQKIAELE RRRDAKRAAQVQSSTTPAQSALSSIEASPNLPRDTKASNFSQAHTTQEVHSPISTPSR TDFHSTVATVPSASPLITHLKSPLTRSWSSLEPKKAEELRQKFLRKKEIESGLPALDA ELSKSEARLAQFREEEKRLLAEIAKGKEGKRRLVEELEELGVETDGLTLEELQHTKDR LEAASEMREQTPDRTQDESFVSEIVEVPPSAETNPQILNLSAEAQALPTPSVEERRVS DVSSASHTSQEVIDAAPQTPSAQTVTAPEREDSIMSSCSSSAMDESMGSSVGDEEMID EDQASSAPSRSEASSSSRSVQEIPIPSRIERTELDIASSRENSVISDAYEPPEPEKAV QSDPPATPPFSPAPVGPVTDDSLHAAQLEQNVQTLTLAEQKYQDTDSEESIKVFCSYI UREG_03704 MQSIPTDDVWELVEAGVDGKLRRFLKRPSVAVGGLLIILGHYWT SIGHISGHFLKLAPEKGIKARHG UREG_03705 MPSSQVGSRHSSSELKKGRSAESNLCFSQVYIGGAASLSFLQFI RETVVQYTGPSGFTHNAKIDSMLEDASAAAEEVSYNGDHVTFDEKIAFLRLYRLATSG IIDVISTSEATQLLEEMESSATVVHSSRLAVADLILAIGAQCPKADTAAVQKVNLFFT RGQKRAFSGMLEDPNLELVWAFLLMAFYMLGACRRNAGFMYLGVATRAAVALGLHDED SYASLAPVESEKRYVRPNQAQVHESAIDGTFRLQTWMSLCVLDLLVSSILGRPSATSS LRLKLENGLIAKTTHVHLLASYTITHLMDTVTSELYGQKAVSTDAAEQFLRKLDRWSK ELPNVMRTASPNLNSPKEQEHTLGSIQVGCFYYFATMLVTRPFLISTLTARLVRSCQG NPSSDSSTPTACEDPTHAKLASACVDSAAYLIQTCQDARKVDLLLSNMCIMK UREG_03706 MPSQSGWRPLDIAIVGGGIGGLAAAISLRRAGHKVTIYERADFA GEVGASISCAANGTRWLHEWEVDVAKGDPVILRKLINRDWKTGEPVSVYDLGDYEEKW GHVYNMFHRQYMHAMLMDTAVGEGKGVPVKLIVNHKCKDLDVDSGRITFENGVTAQHE VVVGADGIGSAVRTLIGIRPEKKPASSSCLHANVDTATAVKLGLVDYSKNSAIEYWGG HHNVNKIVLSPCNGGSLLSYYCFFPREKGDYATHNWNSEATVEELLAPYPDLDSQVLG HLKIGKEIRPWRLWVHEPYEWWQKGVACVMGDAAHPMMPDQSQGACMAIEDAACLGLV FSQKHFTGDVREALEIYEKVRKPRATKVQAASARARENIHERIGFSDNTNNPLYKVKD ERNKLTIEEMNSYDMHKDVEDVFAQHRAPKNNL UREG_03707 MRSVGGVISEISFKFFIQFLFYTFLFTTFNVVVLSIFVAEHRKN SGYLEVQWLVALALCGLFFFFSLGMLASSLHLAWLNISTIESLTRHTKVWTLAILIPR PKDFHESQANRDNPIPVVIYPSTVMPSSSSSSSGTAPPREFAILSTEPGENPFDIGTP LENLKEIMGYSLFDWLLPIKPSPCADHSRQESAYRFGPVVQRLKRKAGLESF UREG_03708 MATPKYRLPSPETRTVIDPKASTGNVAYWMEAKVQEIRPKAHQD GTFSTSPHGGQYSSIRPAERHSLDPQSSKTYRFPGQSITPTNARPRSRTTHRGHRPLS LIVPSSTNRSPIIPDYERFQTSRHPGYYFNDDSDRYLSPASSRSRRRTFSPSTRGGQL TPTSKGSSPRTDHAYLVPAGRSRKVYTIDPKMQDMDLNDAYSYTNMREEFLGESARRQ HRHDNRGRRPRPVSLTGLEDYPPEAWKDSRYHVPPSGSKEPDKQQRETDHRDHVRSEA PRVTEITPHHTSRRIPVSLHQEKDKRYRDDHNNSRDGRGYRIHRENNDVVVVDDPPYS TSWDSRHNTSAPRPLNDSKGGRDDPESAPVMHGGLATHGYSKERRDRHSDRDNRPYRE HRKSRESRRVRDDTGSETDQSESDASLRRHLRRSLRVSNEDSLDEWTTARQKTRKDDS ENLQTRSPNPPQKPSPEMRQRKDSEPPAPKGILKTPTAKFPEDPNAIREGVAPLKDAT RKGIPPGARWTKIHRGMVNPAALEGYERFEVRPDYVIVLRVLTKEEIQAYANRTAKIR SKAGYHRSRRDRDRDRGDDEDDDDDRRYGRSRHSTDSDDEDEESDHKSPRSPEAARGS RSRSSDSRS UREG_03709 MGVEAQNVAPKSQSVRNRARVFTGPRQLARTRYFSNACTRRRMQ NGRPTHFTSGEIIHNRTRLQRGKLLPRAISPGSEIFRRLLRRLPSRSKGGRETSPAHH QPSWIRGGGMIMSCTGWHSEICESSSWVPLEGIQS UREG_03710 MIRSKDGSVQDGERKTRQQKNKQDEQSVAGELPGVNKRKIGEAA TATIQNCSSFRFERNNHNGWMIRSETQSQRRNTILTGSSSVGGSSFWYFGAGGPTLAC TKAVMAGEDK UREG_03711 MAASPHLKMDPSVSQQTTTQRTPLNSSHAAPSNSSAPNSHAGSS GSNTSAAPGPIPATTPLVVRQDNNGVQWIAFEYSRDRVKMEYTIRCDVENINIENLSQ EFKTENCVYPRACCTKDQYRGNRLVYETECNAVGWALAELNPCLRGKRGLIQRAVDSW RNSNQDPRLRSRRVRRMAKLNNRKAVSAQHASHLAGPGASPQGLPGSASMGAPTALSM GGPQLHHHHAHPDGGPGPEDVSGMFHRM UREG_03712 MRNSMLTAGQEPANIAYHFETRVGKRRWLERGKRDKSGSPAAVE TSSSARNAEEVEG UREG_03713 MPYHPQASYGDPNDSRQWHGSREHLAHQQHQQHQQHQQHAYPPN YQYELPPNQPAFHPAAHPDSAYHRLRSERQHGDEYPASMPNHSAASPQSFSRGQRPHP PVAQPLGRSHTSHTDSTVSPGADNLGPMSAGGGISGIAAGIAHTHERQSGLDASRGHG PYSPPDQAYYPHEHAAMDGVPMARTPASYSSNVPLNPGMEGSGRYSPGGGMTPSDRSL NNGNGQYNQHYDGLNGTYSDPYHRQRTSWQPMNEPINPNDIVDDGDDGFIPDPKRKSV LSTLGKNSSHQSLSNGGGAAGAAGAGAVGALASRVAGQTSGSPDGGPSYNAVPDEKSE WLVEQKASKKKLKWTIGIVILLLIVGGIAGGVAGGLLASKNKSSSPSGGTRGGGGQSA DEDERMNGDLGKDSDEIKALMNNPNLHRVFPGMDYTPWGTQHPLCLKYPPSQNNVTRD VAVLSQLTNTLRLYGTDCNQTEMVLHAIDRLGLKDMKLWVGVWIDHQNLTTNDRQLEQ MYKIVKERKDKSVFKGVVVGNEVLFRGKKSPATLKLLGDYIDGVKSNLTKMSIDVPVT TSDLGDAWTAELADIADIVMANVHPFFGKVPVEEAAGWTYEFWKNKDAVVTGGKKKSV ISEVGWPSGGGSNCGELPCTDSESGSVAGVKEMNKFMDDWVCQALKNGTDYFWFEAFD EPWKIEYNEPGKEWEDKWGLMDPGRVLKPGLKIPDCGGKTIS UREG_03714 MALFRDMAGIPPLTASPDDSVLIIIDAQNEYAQGLLKVRAVDQS RAVISSLLSRYRAAAQKSGKTTNIVHVVHKTPEGAPVFTSGTALAEEFEELKPLAGEK VVVKELPSSFAGTGLDEWLKGEGWKKVVLVGYMAHVCVSTTARSANELGYDVVVAQDG VGDRDLPGYSGEEVTKMALLEIADFFGTLVDSKDIR UREG_03715 MSFGLPRRIRHVQYVYKLKPFFVVENYEKELVQWTSTKHDVEDI TTLSVINDDTSRSKVQVWLRFSQGIEQSQVVGYGYEISPDMADSLRSYEVFFDEADGL SERQLFVDRMTEVEGARRGVLVERRDVKDRKIVRRRVLFESEDYTMGQVYKSYERGRK QRRLDLSETSVEDGDDVHQITR UREG_03716 MPHGSRKKKSPPARRIQILDTDGWTHIASTRATTSRPRPPKLNE GQITPAEIPDGLTFEKLKEKYDWHKQRWVESESWAAIEQVLKQQVSRDPGTIYNCVCI GLGSPSGLSRGGWVDRRSISMFQLAALELILEFLGRSVELCSWAILGKLMSILQSRIP HEDIAQNKMIDIEHLYAQDPVFNHMDNKLLRSIGFKVVQDPEAFSKVGTRTFLYAPGA EKVHLADLFARDPVVFFGNSFDDIHAVNPDEDTHRSFSQKKSSLLLPEFEPNPSAFWK MALYWTTEEKRSNALPAVP UREG_03717 MPKTFGPIRKADTIYSDETGFDESLKIDIDFEKVEFVETLRSSE ASSIFHVNYYGKARVLKVFHNNGDPGYASDRIRDLNRSRCEIRAYCRLKQFGICDTGF VPKFYGFAVDIDPANCAPHLDAFRQDVNPPCAILIEYLSKPLLLNCVTYTPERLRKAV IGLQQIHSARVEHNDPYPKNIMIVPGDPERVVWIDFDTAIVLPENSPIGRKEHQRIDF ETAVVESFGQLLEEDQKKGLPPNTKFY UREG_03718 MSHPMVPPINLVGPTVEPYPGTFCLPQIPLPANISVKVGDNATI QLVEIAKHGAALYNCVDITFAEPEDVPKITRENCFNSTNITAQYVYTVDVDRTINGSS ANPTQILRNSALIIPLLLVGYFGNFF UREG_03719 MKHLSLLALAAVAPTTALAGVIDHQQVTFEKPPTHNQIEKFLIQ LGPGESRWVTEEEKWALKLVGFYVLEGMNFFDITAESDQGFSVKSFEQTKVTYPSEIK YQKELAPLSKDLSKGNMRENLVKFTSFHTRYYKSETGVQSATWLLERVQQAIDDSGAS KHGVKVEKFNHPWGQFSIIATIPGRSNKTVVVGAHQDSINLFLPSILAAPGADDDGSG TVTILEAFRVLLQSDAIREGKAANTVEFHWYSAEEAGLLGSQAIFSEYSKTGRDVKAM LQQDMTGYVEGTLRAGEVESVGVITDFVDPGLTEFIKLVIKGYCDIPFVLTKCGYACS DHASASRYGYPSAFVIESEFKRSNQKIHTTSDTIELLSFDHMLQHAKMTLGLAYELAF AEL UREG_03720 MFRRKRSVSHGPINPNPSPSAQTAAVQAFRASQAANANAKLSSS AAAAALRKHTPTPTSIEEVQTRRMIQRQQSVSSIGASKGNARDQDVTLRRSSSLGSMS KRTFRETSPGPNALARYINPNDEIDPVPPLPQVYTARATSHRRAVSSGPTAQRTISAQ HQPGRTHEASTKPKHNGSARSKENNVAGPPLGLQRRSSRSSINFSYPINARPNSPRQP SIASFTADGASLRTLSPVGSLTMNSRPPKCGSKNEPKLDFPGPNARGISPRSTSTESR ASYTREMGRSDKPNKLSPVGMNRERPHSQPHTRLLSISNQNSEPGSPKQQFSTPGEGH KPQENTRDGVLYQETYESGHRDVVTKDFPTETPSNTRIADNERCTRNRDASPATASDS SLRNPLTNHGQLAVKDQVHPPSERLQGERPPSLSPTRSTRFSERLEIVFPGEHLHEPP SRSKSPAKSALKSTGMGNNVTSDRPLPHHLKADTLSESDGTSVLSDEGSKLSWKRKST KVSFEGETEVVGALTSPPTSPDSIPPSSPQLKWNDSLRSRCNEADDFDEVMKPRPALP SFGSIRGRKRATEERLTIRGEHSRPSLADSVFADTFSNDSAIGGLLKSHSKQTKQTKE DASLPPEATLVEGTGSDTASMSESSSDEADPNDFVLPGHLNSNLQRHRTGVLNELGNG LSQFPSDAQEGESQKTDTVVPVISIQPATPMFEEHPKSSPEELDHIPGAFPGTSSQGE GLVRDEHEQDHISKQGIDEASAKDEPDDTDSESGDSVYSDAPENFSDPEGDGFGSINA IVSDSTPQIPRVPEASNPSAFTAFAVKNVDSSSQPSLEVHSLKSQKQTASSPTIQEET VPVIIQNGGGLDDQSISRPMVPELKLNGRPKTGNTSSKKPDRASTVLRTEPAIDSHFY HAISTESKNSDDQNPEVLTSLECRQTSSISPAIRNSPKFSGHNPTRSQTVSHSDFSRR PFSRHASNGSDSSSSFKRERRPRVDTGTYTLRRTMRNGFGHSKIESFDLGKSLASNVH HHRPFSSSGGPPILRTTLRDSGFGSHGEPPSSFSGFRSLRSKHSPGFDPAFRSRFVDS SDDEYGEHTFTPVRGIPRRKGEVDGDSTALEDSSDSDAPRQLVKKSRRRGATSKKGAP TIAAAAAKKSIKASSGPKTTPVNAQIDFYHPAGEEKPKKSVLSRLSLSRRRPDDEQKI RKSGLESAARRDTPLERSPLELDEVRNTKLYLDQSTIPATVTSISGPLTPVAKNRWSR FSPRSHRQTNKLTRHPVQTGSISWPLPAPEETPRHATRLSSNISDSGQDPRPRATKSS SSRANRPHTSDGVDRRTAQFVPGDTLSDVDSTWKSRFLHQRHHRIGTDSTLIPDAGGG SSVGTVALNEGRKKSRFPRLRKAFGLL UREG_03721 MDPTGIPFLPDPVRCTPAMLPNNPDEDFRHSSFFKTWTHLPSPE AVRSMARAQYLAGSSPDKRKTLGEKGPFYSPPPVVFASANLFVKWGSNVTIAEGQSLY AVHHFLEGGVPIPEDPRDPFVGSIRRGHIYDRAIGDQFTLDAGPFCSVKEFHDWFAFL CRRRMEDPYSTPMEPFRSDLPDDAEIKFTHGDLHRSNIMVKKSDSWQIIAIVDWEQSC WMPEYWEARKASFTAEWNSEWNSRYLPSILQQYESTVDAWYWYTSSTGP UREG_03722 MVSPSRGLQRKLLAVKKGFPTRAQRIFDGVQAQQAKEQQFTSSA NMVGWLGIESQRERRVEGSWNRTQQQERVTQQPPATPATTKYNQPRLPPSRSLSSSSS SFSSTTTLQSFIHHTPSQSLPSSIVSASSAIEDQGVLPSTSSPLLRSLTRVKLSGTAS RAGREDTRFEGPLPDPRVYGKEEVDTHFAKSEYRPVRTHSREEFQVYEERSAPRDRYP EVDLARERYYDSHTQDKKGFETQLDITEHEYRRRTDPSYAVQYDSGRSYSTQSRDIDV SYDRVTDNVETYKPRTQVREIEYDSNSSRFDKHIEVETERRPVYDTPKQRMGYYDDEG QYHSFRRGVERAADRILHPFHHHHHKEEVMASDERGPARVREGVRESVRVVQPRGGHP PGTITIPCHFIRIGDLLILQGRPCQVIRISVSSQTGQHRYLGVDLFTGQLHEESSFVS NPSPSVVVQSMLGPVYKTYRILDLREDGRLTAMTETGDIKQGIRVIDQGGLFNRISDA FADGKGSVRALVINDGGRELVVDYKVIHGSRL UREG_03723 MPHSNSSSSVNSLGRIERTTRSNNKLSSPRRSSTHLSQSVDDAD ARSRHDLPQTRQRQRGRDEEENHQLPPANVEYDEEDGDEEEITRCICGQQDYPGLPAA SREAIGRNYLKAGIKVESNQDPSASASEIPSDDAGSLFIQCDSCKVWQHGGCVGIMEE ALSPDEYFCEKCRKDLHKVIVSGNGQKSTQYLPVAGSLSPPSSSSATRENSRNARDKK ARDNGEAPKRRSTMNSREAAYDEEELLRRAIEESKEDSKSVNEETIIRRGKRTRSDSE ITKQTAKRQRTSSPSPSSITSKQTLSQSQPVSEDEKTKAVVNGNKKTRGIVTRNQREK EVLALEKEAEKETIPEVSGRRKGRSERRKGDDSEPETASPAKNITNGSVPPQSGPETP DIPPPPAQKPSSRKSGRPPARRGRVGRNQYTRDRDVANGNGADNQTNSPRRGQSRDGN GDSPIGNTGANGAHVNGGESGKPSRPRYMNPNRTTMNDMRRRVAAILEFISRMQVEMA AAGEQATPPNASSANGTRAPDSSTVAEALAGAVMNGDVRVLSTASSTGTTQEIELPKE RDFKDLSSLEMMDELTRGLLKWQQEFGKYGDK UREG_03724 MAATLSGTYTSAPDTPSPVFPDRLIRPLPKRPIRSRLSPELAES ILYPPAPPVTQLFYGSDWAGNGEVVNGGKVFMQRDSYAFDQDSEDDIEVYAVGADSEE DGPPVARRSLGRAPPSLSEYGKHTGTTSKASSNSLDGYDAFENTNNKKKRKIPTSGGL GCHSSLSADILGMNPTGPNGANSGILEEASMPGSYYGSGNPVSPSASGLSGPGRGRYS RNVVRSANGRAPLANSSNNWVGNRPGGSRRGFNHDTAYPSSSPKQGGNISLLNESTKP APAKTQFTFTCESDSSKGMASWQTQNAYSLSQPPRPVSQSGAASAAAGQRRFSTQGTQ TSPNMTSQVNQHSQAAAAVAPQHANQPAQPSAQGKKPRRSARSIYAFAARQRRIQQQY TNLHHPPNLEDIWICEFCEYESIFGHPPEALIRQYEIKDRKERRRLAEKRRLLEKAKM KGRKGKKPPKNSAKNAAAQQPAYQQNYDRQAMPEQPMEGQGDQEEDYLGIMEGDEYEE QAPQFRHPPQAGPARVPPPPGHNHPNQSNSQSNDGLAGGGTGRAG UREG_03725 MGLIKSLVIDGVKKRYSPGNIVTTLLECCIRFLQFVFGIAVIGL YAQDVNHARKAGLPMGSVSKWIYATVVGSLSSIAAIIYILLPCAAQRPLSSFRILQLP CLAFDSLMFILWLVVFGIFAKMYVSVDDKKDPSLKRMHHAVWVDLVNLSFWTITAVWC GLRWWRGDRAAKQEVKNEQFAAEEGEMREVR UREG_03726 MADALPHGLVSTTERVSSDLESADHVEIEDVAKLWRVYTNNKIT LKQGAGRRLENLFWRVWSNGRISSNISGSTLARLFMQLTDETPLWTKAKEELDSIPRS PLPKFPQPTPALHSPAYSGTNKNNPKVHQSSNNYRSCSRMQPPPSILKKPSRSSSQNT RKSTRFTFEGLEQPEEVDIWKNFRSPPRWAEHGPNCDSDLRLKAAAMNARAAQSPVGP VSPKTVGNPRPPKPPLPVQHASRPLPNYPLFDDSPGSPTATEKQLSPKAKTPQPLSRP KPTTKKPAEPFPTIPLVEKDFRTRFVERQPRESRVSSLTSLISSDESLSLSISPASIN PLLLRPGRQIPRTADSMHPDILPAQPPEALLSRTLSSSPTNLISSHENLQPPIRRSKQ SSQLSKLIEEEKRTKEE UREG_03727 MERWVTRRTATRRLNPPKPYPPPPPQMDVRVDGCSWTLSADDFD AKGRWSERDECEEGLRTSELL UREG_03728 MATQDTQPTSPAEWQKYADAVGISRIPMLSSDKVYAETHTVRDV LVDASSIFSASKGESVTILADVLVFSGGENVVKIPDCGVFMLYSRVITASSPIHIVFE PETKSECVVMIYASILDQPLSYSCKSHDPSTVDLGSGSQNVGITLQIKHGKPKETYMP SYNDLSEHPKEFAACLRAQLRIASVFFWKQPPIAISLTSHIARATSKTDSESILNAQA VALGQQLAAQALAGPNTSYAPVLPVSSYLATLDDAIETASAFEVQYMRFQDRKLALQD RMAAWGTMLDHAEGATNMHRSLRDSAYEKYSNACDIVLSSSQQFQLDQITLGDKEVEF KIGIEDWKEKMKFEAAFKILTAVISFAIAIGAICIGDGAAAARAPAEAEEAVQAVEEA ESLASDIGKILKSDTVKKLKSCVMMTTKLLSSMSTTVEQIRNLEHNPDTKIDDDWQVD GDLNSLVALASWDKWQLESDDQLEFAIEQKINGAAAYRLALRQHAINGKLLAQAQAQA IKAGQEYVQAELAFNLSQSDTASLKKLKDEYRDEEEQAEKAEAMFYDQLMSLRTSVTI EMRNLTWAYKFYALEDASIELDAQKTIEEYRVDALTIREKIDQANSRYAGDYQPFKFK VYPDELFLTGASGIVDSLKGDHHTASFTLVPPNTKTSNYKQLLSGPFIEGSHFRVHGL EVELVGARPKPAYLRRGTATVRIAISTSGIYSDIQDGQVFHFTSLPLQRVFAYEMTEA GEKEDVVVHSTFPSTNHAEPPAFTQWTIKLKQPDHLDLSGLSDVRLKWTGAANFAA UREG_03729 MVIIDDDFLTPRDLLIDEEFCVRVENINIILQFIQISRSIPKNS EDLIKECFVTPILQFLHLQGVCKAIIPSRDNNLSQQIADTLSNVVNQFLVAVLERLSD RCRRMVEMAEDAHNDFDTIFTLLQESHDAPGSMASFRIHRLIAKYRADIDALTDGIGR DNKTISEVIQSNNEAKITLMGLSRQLEQDLRSIPPIVVWLEETALSVHIEDGIAKIQV SNLGPFM UREG_03730 MSDSEGDTGTPAHPKWDPPTGVVDDNGTFLLQTVDMLRLMKFIW SGCLLPTTRAQYALRLSFDWSDINSDVSNEIDKMLSTYKEVYNHTSNFRDHTYSNMVD LADSVYNIATTAGGKKDDSYYKAMMKYVKEYYEELNGQKRPDKLKELKDGILYLIKAM TTMIDGLLTSSKQVKQDLIDFEKTSKIDETNLIAHNTALGKLLEGDNGEIKKLQREIL DKREELKTDQSEYDRGNFPIGTIAGATVIGVYTDKINKLRKQMNDIQDLIDSDEAKVQ ADKRLAADIGGMQKDITGLISMINPAMKTIEKLEGCWQKISGDLSGLETLISQQDTDN IAPWLAEKPMQKKVLHKWNALAKYVDKYRQIARMDQPEITDLQGYLNAL UREG_03731 MPPTPVVQGRGGRHFKRTLASYSLEDRVSVVTGGARGLGLVMGQ ALVASGSDLAIVDLNVQEAQEQAKNLLDQFQAENPGLDEKSLPKITAHQADVGNPESV NRSVAEILKEHGQIDHLVTSAGFTENFDAVSYPHDRMQSFGRQCRWIYLFAVAMLNI UREG_03732 MTAAGVPCVPGYHGANQDPDFLQQEADKIGYPVLIKAIKGGGGK GMRICSSKETFQDQLMSAKSESRNSFGDDQVLIEKYITTPRHIEVQVFADKHGNCVAL GERDCSIQRRHQKILEESPAPHLPQATRKDIWEKARAAALAVGYEGAGTVEFIFDNDT GEFFFMEMNTRLQVEHPVTEMVTGQDLVHWQLLVAEGAPLPLTQEEIEAKIASSGHAI EARIYAENPEQGFVPDSGRLIHVRTPKPTEDVRIDAGFVAGDEVSSHYDPMISKLIVR GADRAEALRILAAALEQYEVAGPMTNIEFIKRVCRSADFAAGEVETGYIEKHRDELFR KDPIEPEVLAQASLACYLDGSSVGPAGSAVGFSPVYQQRQFSFVQATAPGEPEGTRFN TQIEQTGPDTFNITVDGKTFTNVRRQRNTAANVFTSFFPHTRLDTTVVRDEDNVTVFQ RGLQYRLRIPRAKWMEKALGIKDVANSVIAPMPCKILRVAVAEGDTVEKDQPLVVIES MKMETVIRAPHNGVISKVVHKQGDICKAGTPLVEFAGSEAAEK UREG_03733 MSDEEDYYDEGYDGEWLFWDEGDADIVDDLANGTIHSPVYLTDQ ALYAALESDSDWDYYTDEYYDDDPSIVNRQEGTSMNSNSKTPGTKRKRFSVDQDGRPP KGVKGLYPDINSFRGVVWRTPSHSLRREELYEPGMGETVSLLRNWRELFTYPEPKSPS IHANHSTTHMPGLPKTNNHLHDQISSLKPEIYDSAPSLCEDECVEDDAETGESPYSLI GRSAYTPPPLHFNDAAHEDLESASGISSEPCKHTFQSKRTSHKPSSRLKQMTAVEDIT PPSSADTEASRSPPPDVTSERRKTTNGSADSPDPQPRQGIQVLVGPPKRPESSYHFLA DDSVSSEKQLPRHYGKKRKASPSDLAEQEEGKAARKRGRPAKMGEAASQKAPAAKVSR SSTSVENKRCLRERKK UREG_03734 MASPCLPRLFGRTARTLCRPRRQLVPLSSWRAASTKHPQGFTPP TEEDLVELRERVQEFTRREIPEEVAAKTDAENNFPPEMWQKLGEAGFLGVTADEQYGG LSMGYQAHCIVLEEMSRASGSIALSYAAHSQLCVNQLSLNGTPEQKAKYLPGLISGEK IGALAMSEHSAGSDVVSMKTTAKAVDGGYILNGTKMWITNGPDADYIVVYAKTEPTGG SKGITAFIVETTSKGFSCARKLDKLGMRGSNTGELIFEDLFVPKENVLGTVNRGVKVL MEGLDLERLVLSAGPLGIMQAALDLVLPYTHTRKQFNTPIAHNQLVQGKLADMYTKLA ASRAYTYNTARQIDNSADSPEGPQIRTQDCAGAILYAAERATECTLDAIQLMGGTGYI NEIPAGRLLRDAKLYEIGAGTSEIRRMVIGRAFNKEYA UREG_03735 MAAAPSTKLLRSLRCALSANAHFTLRRQRPNAYFILQHSSRSVA SYTHAHQASAISVLPTAVDTSSPDFKQNAEQMNDLLAKMSELHAKIAKGGPQKAHEKH IARGKMLPRDRVTALVDPGTSFLELSPLAGHQMYGADEVPSGGIITGIGTVEGVTCMI VANDSTVKGGTYFPITVKKHLRAQAIAQENKLPCIYLVDSGGANLPHQADVFPDRDHF GRIFFNQARMSSLGIPQISVVMGPCTAGGAYVPAMSDETIIVENQGTIFLAGPPLVKA ATGEVVSAEDLGGGKLHSSISGVTDYLAVDDAHALVLARRSISNLNYPKSTFPLLSPS TSQFKEPLYDPEELSGIVGTNLRRQLPAHEVIARIVDGSEFAEFKRDYGSTLVTGFAR IYGTQVGIVANNGILFSESSLKGAHFIELCAQRNIPLVFLQNISGFMVGADAEKGGIA KNGAKLVTAVACADVPKFTVVFGSSAGAGNYGMCGRAYSPRFLYMWPNAKIGVMGSEQ LSAVMAAVGKTADPNLKARIDAESEAIFSSARLWDDGVIPPAHTRRILGLSLAASLGG RAEDVKTKFGVFRM UREG_03736 MASNLRLQPRREYHIVVLGAAQFVSNMWIENYDPTIEDSYRKHL EVDGRHCLLEILDTAGTEQFIFSITSMSSLEELAELREQIIRIKDDENVPIVIVGNKS DLEEDRAVSRSKAFQLSQQWGNAPYYETSARRRANVDEAFIDLCRQIIRRDIQSTKDR NRDQASGRGKDGHKRSKKPNRKKGKRPDCVIL UREG_03737 MARVPVIGRLFWNQYLALFGSLLFIFLEGILHLITSSLPPPVIG FFYRQSKRLFNVLSPSQAWAKQRGLSAAVAKAPDFVELCALFGYEAEEHIVQTGDGYL LGLHRISCAKHERGRRVNSGEGSLQKRVVYLHHGLLMNSEVWVCLTDEERCLPFQLVE QGYDVWFGNNRGNKYSKKSTIHSPGSTAFWDFSMDQFAFHDIPDSIDYILSATSQKCL SYIGFSQGTAQAFATLSIHPGLNQKVSVFIGLAPAMSPAGLHNGVVDSLIKASPDVLF LAFGRRSILSSATMWQAILYPPIFVRIIDVSLSLLFNWRGANISTVQKLAAYPHLYSY TSTKSVVHWFQIIRNKSFQMYDDDVGPTLNIGGVARYYKPAKFPTRNIRTPIALVYGG SDSLVDIDVMLKELPRHTVATSIPHYEHLDFLWAKDVHKMVFPRVFEALRKFDSNVGN ASSGKQNHNAETNPDGL UREG_03738 MSHPTLQNIKQIALQNLNLTSEASGASAAGANVARDVNKSVNSV ESEDQLMEIQQHELLNSDSNNNDEIDLISTLDADDKENLLDNEFQLQNIIKEVIVDIK QSTIKKLLEPEPEPESNTNVPVNLLELSTLEDEFLEALLNIRAKKYEQMKSFAMVFAL UREG_03739 MNEVIWNVHEKIENFFFMYSAARKHIEKIKKIDHKKHSDIGMHC CNNSDKLFNFFIKTFKIFANLAVQIKLYHDLSEKKSLEKEAFEFWKNILQFWDYMSKV EQYANVEKSDFAEKNKQGCKKSKTVDLNEKEAQIAKIKFTGTISSKRF UREG_03740 MALIASSLTALIVSSSAAAPADSSVVASPSPALKAAKILLTLLN LDRCIIRTKLANRLIFPFDCWRSPKPSYMTTRRHAELLQRYPYAILPQYTLCKTSHAN PTRAGQALAQCLQESDCIMVQRNTPQDCLRSPQLEQLPMKCQQLKKGFSECKYVVETQ PPPSPKARLLLVQRRHSLHYAYNKRGMVDMRKRFRGNAPVALTKETDATTGEVVEKRA PVPQLYAGKPAVQSIKETSGDEFQMDPEKTRGL UREG_03741 MRWLLSLLVLGIYYGAVQALSSAGSRLLVIQEDASEKQLYSTFW ADLERLGPALTPKALLDFVNEDGNIILALSGGSPTPTAISSLLLELDINLSPDRAPVV ADHFNYDTLSSADFHDTLLVSRPDKLRPDVKNFFAGDGILAFPKSAGQSLGTESPLLV PILRAPKTAYSYDTKDIDAAIEGTFATGSQIALVSAMQARNSARITVLGSAESLQDKW FSATVKGPKDGKESKTANRDFARQLTAWTFKETGVIRVGRIQHYLSESIATTPALLNE SNPTIYRIKNNVTFNIELSEYSYDHWVPFDPPKDDAVQLEFTMLSPFHRLNLKPVYKT ATGTVYGTSFVLPDQHGIFSFRVNYKRPFLTNIVEKHEITVRHFAHDEWPRSWRITGG WVWIAGLWSVIGGFLAFVVVWLYSAPPREESIVKKDQ UREG_03742 MAHSERQNEYFIEKDGISREVIQADICRYLGNDALVKPGVHQGR PGYFIRAYRNLTSEMIADLKADSARWEAETSRRAERGHPRGKCYPPAHMLQRPNSVSA SYNSSAIHESRQQATYAPATTSQPYMDPYGSSQNAYPPQPNAPGYPQTFSQPSGYPSD PATYGTNPNAYAPGQSSAPVVTTAEMQPSYTYTPGNAYPYADSRAAPRYTGQGYENDG PEYPPVATTGMGYPPTTAPDPRMMDPRYSPENAYQDPRAPVSRTLPPRDRDAQRRPR UREG_03743 MPRPDLAALGIEYRRIPVLMMGKDVYCDSRLIIQKLEERFPHGK LGAEDGESRAIEKLLEVWTTDGGVFGRAAQLIPTDTPLTSNPKFIADRADFVNNKPLS RDTALNRAESMVHAKHAFEVMEKTLLADGRDWILKGGTPTLADIQAVWVFDWMIGLKG ALDPALISEQTYPKTFAWVARFRKALTAARSAAPVPPTLTGEDVLEGLSRAQFAEAEG TVDPTDPLKLQKGDEVFVFPTDTGVNKRDRGNIVALHGQEVVLQRKTADERFDVRLHF PRTNFRILKVDNMKL UREG_03744 MASCSHVRSFAACLRYPQTMRLTANSLRSPCRSISSGSFSRAPT FNLHLSLHRCRQASSPTLFRSLGRRHIHAQQFQPFVPPPPSSLGKPVAAKSYRRTLTW LRRFIYLTLSLGTIYTVDQYFYASCLTRTARTFALGIIVAIDYKLDFRPDPPLASSIA AVHARNAERLSELLRVNGGLYLKIGQAIAMQSAILPPEFQKMFSRMFDDAPQNDWEDV ARVIEEDFGKPAEEVFGVSFTGEPGSGVMERTARASASVAQVHWAKLSDGREVAIKIQ KKEIAQQVKWDLWAFKVVTWVYSRVFDIPFYSLIPFVNERLFLETDFENEADNAERMA RFIAAEPRLRNRVYIPKVYRELSSKRVMTAEWIEGARLWDKDTITRPWYGGWREGSPG CYGTPLDPRGTKPAPRPSSYNHSTAEKLKPERDHWKGRYGRGGLGLSLNEVMKTMVDL FSAQMFLWGWLHCDPHPGNWFVRRKPNGKAELVLLDHGLYVHMEPSFRHQYARLWKAL LTFDNKSISGIVKEWGVNNADLFASATLLRPYQGGEKTTSKALEGLSKKERAKLQYEM QQAMRQAAREFLGDETKWPRELIFISRNMRIVQANNQFLGSPVNRIKIMGIWASRALV ESPDLPLSEKIKNYGRHIVFKFVLLSSDVFFYFYKIRQILGLGGGMEDELEAQMKHMA RDMGVELSQPVFEG UREG_03745 MVRLNTPRTQPLAVQQWDSLIAVNYAARPFNISRMITAKEARAR CPQLMMVHVATFREGEGGKWAYRPEGDHDVATDKVSLDPYRAESREILRTMRDGLMAW AERVEPCGFGEGGGGRERDRSLMFKLEKAGIDEVFVDLSALVWATLLERYPMLREMEV KKEMGERLPRPPTTALQWEKEDELVDLDEGETEEDDPDWDDVVMLIGAEIIRSVRLLV WERLKYTCSGGIARNKMMAKLGSACNKPNKQTIVRHRAVQQFLGDFKFTKIRNLGGKL GKHISSTFETEQVGELQQVPLEQLKAKLDDDTGMWLHELIRGNDYSEVTPRTQIKSMS STKAFRPSITSSEQAEKWLRIFVAEIYGRLVEEGVLEHKRRPKVLTIHRYTGQTKSRQ IPIPSGMALGEEPLFALAKQLLKQIVNDGQMWPCINLSLTVSGFEDGVAGNQSLDGFF TRATGSEKKGPGSIRRQLEGYDETADSHRDKMAKVQHDFDEDSPVQDSRIDIEEPLAV QGIETVNCALPDGFSGEHQQGLRLCPQCNKSIAEAEFDEHQDWHFAKDLQSEERKALH CANVKQGVVKPTGKRQTRLAFG UREG_03746 MARRGRGRTLEEEESYEEEQYRLRPPRDHPRDHRERIFEEDVEY RRRPVNARFREVDKDIYKERIRSSSAGPLILRKRVSDEFVHVPRDVERERDEVRIRRR EHRSPPVSFEFDERIVHKRGKSRPREHTFRREEDEVVIRERDYDSDNFSRRPRQRPTG HLHEIDVEIDHGRHKDEILYRNISRERPRPKLDEREDIFIHHEDRRAGRGRQLEKDEV IIRRNVDRSSSRESSIAPQRSIHAPPIHQDVITHHRHIEHGRRGRGIDDLEIIHRRGN DYKSPPPARPRFEDRDQVMTSHTTTRGSGQERDRDVLVVRDRDHHHDSRDRADIAAEA DYYNRRATAGSSIGEAYNGATQDWSIIDVPPGTKRVTLDGVGGASQEISWQRYNGVRR SKFNVEGDEYSSEYDKAGIGKRYMGVKNRKDKLWTEITKDLVIEEALEKAGYEYEETE HFFYVFSYLRYDDVAHLVRISEDFRRARRERIREIQAERAIKTQPLALPAPHQHSTTV LIDRTTREVEEECYSDDEVVLEPGRRTYRGRRW UREG_03747 MASALFFAFLYVLALLQACAPVSGIELIYCSSMNTGSNFDTVIS DFQSNGACRETCIGDYAFAILQGKSCWCSDAAPGDTTDLSDCSDGCPGYPKDKCGNRQ RRLFAYIQLDKKPSTTIGGSTTSLVSSPGSLGPSTITTPTFISDSFSSLFSTRIHSLA TSLSVSRVVPLPSGQPGSSAQDILRTILTTLIQTGSPAVSSSETASSSFPSSTVDRTT VTVTQSHEETTTFLTIKSSSATTEPTTSQTPVVSTETVPGGIATITIPNSFPSHTTEP SRGAQGLNGGEIAGIVIGTLAGVGLLVVAAFFLYRWHQRKQPAPQDPDADFLNPYFER PVPTPIFHPPRQGPNNKRIPATLTVPSSSDTRLKNGIYANGGRRSNISLQDNQDYSRP VLRLTNPDPPESN UREG_03748 MDNPMLVLGGVLVAATATYLLINKTQRERLVHGFKARGRKAPSS GTPPPDISPEKQPSAPPYRDLSMMLPNPGLPTKDALSPKDLSDADVVQSILPMNENYQ TCTEVKFTPPGFSTEEIKSMGNFPDYATLSGIPLPEAYKEFDIDKALPRPYRPFRWNY HQTMSLTKMDSDWWLELENTYRSRIAQRKELHAQYGKTVLDKMPGSELACKELMEMVI QFLCARYPQYFCLSDNKRYFTNGILNTVEDLHAKDPLVFLMDNVPEDFGIMLRDDKTG YYFLRAGSVCSSLGWNLGTKLGMQLHEIHAPIPDYKEKMQFSMDRYFAKMPTDKPIQR GSWGLEVEQPLFMPPGDPHELHRQNQSSNLDISSCYLRVDWQTLRRLPLSGAIIFNFK ALFTPVSEFRDEPGMPALVAKILREGKQSIMQYKNTWHVEHVVLPALDKWAAEQEEKG LVEKGWKVETLEENICAGYITNSSNTTDALSALPS UREG_03749 MSTHRADASALLDNRGYAGPLIRGVNPATLFEKAVRDRITDSYY WKEQCFALNAATLCDRAVELSYIGGTYGANQKPTPFLCLAFKLLQLAPEKEVVLEYLN FHDPAADDDDVDEEGGDGAAVLKAVGDFKYLRALAAFYIRLTFDPVEAYTVLEPLLSD YRKLKRRTKDGFVLTYMDQFVDDLLTKDRVCGTSLWKLPARRVLEDLDMLEERVSPLG EEIEEIDRESDSDEDGEIGEHGSQHGDD UREG_03750 MVLITPGTISVFVSTSVVGLFTFLLFLSGYVLQQQSVRNIQAAL PRNFAPISTPKPNPPISKETAGARIESHFATGVKNPSLYDPVPDHKHGSQQAPIDGGI KKLSPNTKAYVQILTKPSAADICSTLLFAKALTSNSSSTIERIVIYPESWGSSPPSAN IAAALRTLRASSERYNLTLYPMDMSNAQGGRLFTAPLLKKASAQFGAYERMLYLQAPG VVLDAEKLDQLMHPPNENDLLSEQTSLLWGWWADSKSKTWVRTQLKLTATSMPPAVLF TSRYLRPGVLSSHSHILGRLTRRSYVDSAMGVLDNYDMGAPKEEPAYVYFEKNKDRIR ERRSVYYLDWRKHLGAVCGGLDLDD UREG_03751 MLPMMEEDEDRDLVGSPEGSSDNDVDETMRPEDMEVDQDPESPS NSSIASESQNTPNPQVVLTQPSPSTVTADSSLPMRPVPRSEALTAASYDIVPTTAAPH STSINAVTATADMRWVFSGGSDGYIRKFNWAESINSKLMLTVAQRHPFVDSVVKAGVL MTYWENMDGNSLSPVYSLAAQSEGLWLLSGLEYGGIRLQSLRHDEGKEIAILQQHTSA VSALCLTADEQSLLSGSWDKKVFDWDLNTGQTRRTFGASVGQISGLEIRPESALPVPQ ESGDYRITNGTFSSSDRVKATSGSSTLNGGTSAQAPGAALGQSAASPADSLFEADSLF GDDNGAGDTEVPSSGVFAEAEADDEMSKAITNGIRQEKEDEEEANAQKEQTVRANGTL IRQSTSDSATLAGTQDGHSSSSQDANLPNGIIKPLTNGLPYADDLESSKTLPDLSFEA TSNIVRDTTFLCASMDGTIRVWDRRQPDPVSRIVPRNTPPWCMNACWSPDGNYIYAGR RNGTVEEYSLHKGLREAQRTFKFPQGSGAVSALKAMPNGRHLIWYRALLPIPLFSIIS RC UREG_03752 MAPRYFGRLPGKAPASAPPTPAPLNRSIREYVKAANAVAKPGSW TAKPEVPSSNEILGIDDGINPGDAVYLMPNQIDGPWESRDTYLKAHYELLREDAIAPL RDAVAYVRQDPRMLDTLDVCIYEKVHIVGITCAQAGIAVRVQFSTARAGKNIVWEYSQ RLTTGKIVALTPTNDGFKRRCVVAVVAARPLEALKSHPCEIDLFFANPDDAEFDYQQE WLMVESRNGYYEAVRHTLMALQKMSTESFPLSEYICDLSHNVAPPEYVKRDSIIDMAE LYCHSDGPSRVNVLEDWPSPPESLDGSQWKALRQILTKRLSIVQGPPGTGKTHVSVVA IKTLLSNMARKDPPIIIAAQTNHALDQLLRHVATFEQNYVRLGGRSTDLEIRKRTVFE LRKKYSIPFVVGGALNPARKHHKQLTNRLVELMEPFILERSSLPLPATLFLKLGIITQ TQHDSLIKGSEGWICSGDEMDPVSSWLGEGRIKYDVTYKMENFGFVEDEIDLEYEQLK ELEAEQGLDDDDYESLRGQYMALKEGYLGRMNPMITEKAVNAQYLKCDDMWKIPASAR GLATNLKVGKWERDSIILRDARIIGMTTTGLSKYRALVSSIKPKIILVEEAAEVLEAP VAAACVESLEHLILVGDHKQLQGQCSLKELEGEPFYLNISMFERLVHNGVEFKCLTKQ RRMAPEIRRMLAPIYHNLEDHEAVLNRPGIPGMGNLSSYFFCHAWPESSDSLLSKYNE NEAKMVVGFYLYLFMNGVSAEHITVLTFYNGQRKKILKALKDAPLLQGQYAKVVTVDS YQGEENEVVLLSLVRSGEHNIGFLSVENRVCVALSRAKRGFYIFGNAEALSIRNGLWW EVVQIMRKEPKRPRDVEEAGWGVSIPLFTHERVRCGRPCRQLLPCGHECKKKCFLSCE CDCDIAQKAATIQKAAQYPENIAADMHIKQLASVQRYRDFANGGAKEADAQLVRQTKQ LAIEEGLKLADEQAYESLFGAEETDPTPAEHSVVERVPNINGPSRYRYTQYYSSAPAK VSTGGPKQSEPSLLDL UREG_03753 MVNLGILLGLLAIAHAGDGHHHAPSGALHRREYFYVGGQYVNIS QPPFGPGLVLAGQMYVERLTPAYPTRQYPLVLWPGAGQTGANFLNTPDGRKGWASHWL ELGYEIVIVEQPERGRSGWLEGQGQMGNPPIEFAQAFFTTTREFNWWPQARLHTQWPD SGHVGDAFSDQFFASQVPLQLNKTRSEEYNRAAGIALLEKIGPAILVTHSQSGPYGWG VADSRPDLVKGILAIEPEGPPFVDETLQTGAGRPYGIATLPLTYLPRVANPQEISTET HPAPSMDFNSCTLQASVPRQLVRLLKTPVLLVTAEASFHAPYDYCTVAYLEQAGVRVR WLNLPDHGFFGNGHFMFLEKNNMAFVHLLDSWLASVVASPGQRPISNNRRK UREG_03754 MLQVCDGPIWVVDDLSKCFQEKYLNIIFPLLSCGISLLFIIIRL LHNRLTTRKKRGYRPISTSIPPHNGAETQSSDAEEDEESDLMLHKAISRTNDAPLEVD TPRGKWLLTVIEILAVGGQVAATAGAIASISAHQESTAPALARLSAWIYILLLVAMRL ILSLYERPSVARLWDHTAILYGLQWLFIIFVFRSVLIHPERHPDQAFVIVNFALASFL LLLATTTRKGNKTVVIQHDEDLVPPRDQFASLLSLMSFSWMDSMVWRGYKKTMEMSDV WNLKSSYQASAVILEFRRARTASKLTWRFFRFFDRLLLIQGIWTIFAGLFTFLPTWLL KLILEHVEDPSSVPSNAAWFYVILLFVCGVIQAVGDGQALWLGRKLSVKFRAIIIGEL YAKALRRKAGASAAAAEDKREEDSPRKDKSSKKKGKKKKKDKQKTEDEEVEVFRNGES EFPANIGKIINLMAIDSFKVSEISAYLHFVWASVPVQIIVAIFLLYKIVGFSSFAGIA LMLLIAPFNMFIASQFRSVQNSILAATDSRIHATNEALQNIRIIKYFAWEQRFEDIIN EKRRIELKHLRRRYILWSIAATAWYGTPFIITFATFFLYTVVEGKKLVPSIAFPALSM FSLLRVPLDRLADMVAHILESKVSIDRVEEFLNEEETEKYTQLRKPSQDQPVKIALEK ATLSWGSKRGDTERDGNDAAPEAFRLFNIDVSFRVGKLNVIAGPTGSGKTSILMALLG EMRLVEGQVYLPGGISDRADLRVDPATGFTESVAYCAQEAWLVNATIQDNILFASPYD GGRYNAVIQACGLERDLEILDAGDQTLVGEKGISLSGGQKQRISLARAMYSNARHLLL DDCLSAVDSHTAKHIFQQALIGPLMMGRTCILVTHNVSLVLSRCDYLIVLDNGRVTSQ GSPQEVVSSGALGKELLQSRPPSRDESYTASQVESRAEENASEVKGDAQDANAKPNNQ IESNGAAKKDKKKSGSGLAEEEKITGSVPFSTIYMYLRAMGSWHFWVVATLVFILQQF GSLAPNIWVRSWANAYKASGDDSNSRGFTNLHVTTLSTANIPRPYWWPSSVSKSVSGL SSTTNVNVVYYLTVYALLAAAYILVSFFRELVLFWGSLHASWKLHSWLLRAVSHAKFK FFDSTPLGRIMNRFSKDIEAIDQEVAPTAIGMLHCMASVLMIVILISVITPGFLIAGV FITLLYFALGTLYLHASRDLKRLESVRRSPLYQQFGETLNGVVTIRAYGEGARFILDN HRLINEYNRPYLYLWASNRWLAFRVDITGAMVSFFSAAFIMLNVGRIDAGAAGLSLTY AITFTENILWLVRLYAENQQNMNSVERVEEYLKVEQEARAIIPENRPPKNWPSRGAVK FVEYSTRYRSDLDLVLKRVSFSVQPGERVGIVGRTGAGKSSLALALFRGLEAESGKII IDDIDIGLIGLQDLRQAITIVPQDPTLFTGTLRSNLDPFGVFTDEDIFTALRRVHLIG AATSAQQSDGESVIQASRSTATLLDSEPIDSDTDLSSTARFRDNKNIFLNLSSPVTES GSNLSQGQRQLLCLARALLKSPKVLMMDEATASIDYATDAKIQDTLRELKDNTIITIA HRLQTIIDYDKVLVLDHGEVIEYDGPWQLINKEGGVFKSMCENSGNLDSLIEGAKRAW EQKRLVDDS UREG_03755 MAGALSDDCVDTPQEKENTATNLISKLDEGASQSALSMRRYVPV AEGLDVEDFAGYKQGGYHPTHIGDTLDNGRFRIIHKVGYSSRSFVWLARDLWQESKLV TIKVLIAEISSQCKEDRILALLHSEEHGVENIHVPRLLHKFTIVGPNGTHVSLVLPLI GPTISEYSDAQVKAGLSPYLPSVTAVDFSRQIVGTLAHLHSCGITHGDLKPQNILVSL RRLDALSDQEIYSYLGKPRQEPLHTANGEPAGPSAPKYGVVKANLADFGLEYLTDTVN IADFLDAFSIASPSETLTTTLGYAAPETLLEHKISRCSDVWSLGCTLFEIRFLHQLFP TCNDSRDIMLQNMVKTLGKPPEHQWQHWENRGEYFLDNGAFDACANGRSLLGKRVHNR TAQSHAIGLISEDESKCFEDLLWRMLQYEPTDRITAVEALNHPWLRGEFSGRA UREG_03756 MAERILLNEFKSLSKEKWVNIELQNEDIFQWNIGLIVLNPDSLY YGGYFKARMTFPRNYPYSPPSFKFTRPIFHPNVYNTGELCISILHAPGDDEMSGESAA ERWSPAQRVESVLISILSLLDDAEINSAANVSASVMLRDDPEKYKEKVRNEVEKSKLD IPKEFVMPTHETSIAKPDKSDIMDEDFWVDSDDGDDDIFGGSDSDMMEYSQEDNDSST DTEEQL UREG_03757 MAKYIPPALRQKLAEYPGPEMMERTYRQAEIHMHFGYTADGEPE ALLIHEAEANDADDEEDGKKTDKRIPSKLRNRGTLNASKADQDKLAYIILFRGANPFW TSKNEIFCKSNLDLLPDPASLCGEPNTSDGTYATSYPVFIQTKISGQVGGHLKFAGYY GIQSIQYLAPRSKEVAELLEVKFANRDRDRDKWMSSFNARWAVVTLGLDKSGKEPPAI KTVKRSVNEILTALRSKDTDRDSLEEPRYTQAPPSYQEAPDPMMGVPRDEDDNVPDDF KFGGSVAEATLPIRMQFIRKVYSILTVQLLVTAALSGVSFFNNSYRRWVQANSWMMFV SVIGALVFMLLTYWKRKSYPSNLLFLSAFTLLEGYAISVVTSFYDSAIVMQALVLTLG IFLALTLFACQTKYDFTSWIPYLFGALWFLVLFGFMSMFFQMGSKMELVYGAIGALIF SGYILVDTQLVMRHHHVEEEIAASISLYLDVINLFLAILRILNSQSNN UREG_03758 MRQQSEVSRGASTAPVPVGMLSCSRIRLTLKASVSNFLISRHTG KRDVGVAGQASWVSSVINLLNTIVGAGVLAMPHAISRMGITLGVFVILWSGLAAGFGL YLQARCAEYLERGSASFFALSQITYPNAAVLFDAAIAIKCFGVGVSYLIIIGDLMPGV VMGFAGDTGFDFLLDRHFWVTAFMLVIIPISFLRRLDSLKYTSVVALISIGYLVILVV AHFIKGDTMENRSPIRVIEWEGIIPTLSVFPVIVFAYTCHQNMFSILNEISNNSHFRT TSVIAASIGTAASTYILVGITGYLSFGDAIQGNIVGMYAPSLSSNIARAAIVVLVMFS YPLQVHPCRASVDAVLKWRWNSKASRGSSNVSPNRNPLLPRPNRQPEEMGDTRFAAIT TVIIVLSYIVAMTVSSLEAVLAYVGSTGSTSISFILPGLFYYKISSPESALHQRIMKE DDEAGADDFSDDSVDEDVGGGLLSGSGLLSSSGLLRRNRRHWKRGLLRKLSLALAVYG VVVMTVCLVTNTFFLATKKS UREG_03759 MAKLTVGEGINFCFAKLLLEHGCNCVLADLALRPEAKALVEKYS TSDPRAIFQPTDVTDWVQLEKLFEVAAGQFGEIDIVCPGAGVYEPRSSSFWYPPGSNE SRDSPTGSRYAQLDINLIHPIRTTQLAIAKFANSKTPKSIIHISSIAGQVASLSTPLY VAAKHALSGFVRSLAKLDKIGIRVTAVAPGYIKTPLWTESADKMAMVDESKDGWVTPE EVATVMLALIQEDHISESILGQPTDGDEVIPVKGGTILEVSKSVRKVSMFDDPGPLGR PGNSMGDQRAAEEGFLALVQSGSWGEAVAN UREG_03760 MAMPRISRLLPLRNASTVPQATKFSGDISSVFPSLRPDYRPEAL PVRFQELKEHLFQRNPDSLVRSWNRLLSSLKAEVNEIHASGNQAIPSLDFNEVRAGNV SIQDLSEIRRRGTVIIRNVLPKHLALQLKQQARDYISANRTRVKAFPPDSPTVYELYW SPSQVAARSHPNILETQRFLQGIWHSSDPSTPVSMRYPLAYADRFRIREPGDSKFSLG PHADGGSLERWEDPEYSKVYSKILEGSWEEYDAFDAKHRVTANMNLYNGSGACSMVRF FQGWLSMSDTGPGEGTLKVCPMLQHSTAYTILRPFFTDSLRPSNDSTFPGAVPGACQE YSEQSHPHLELSTSMVPVPPVHPGDYVGWHCDSLHSVEKEHRGQGDSSVLYIPAVPLC ESNAEYLRKQRAAALAYSPPWDFPNAGGLGEFGFNGVVDWPALTDEGLQAMGMGSKGW AVEDGMSQGDIEAIGVGNKICFRQE UREG_03761 MRFSSSFLSVLALASQALAFPLNDLPTTDSGLEVKLTSVGNTRM KAVLTNTADHDLSFLKFNTFFDDAPTQKVRIAKDGSLVPFNGIHRYYNIDDLPQEAFI PLAPGESVEAEFDIAETSDLSAGGSYKIFASGVIPIVAGPGIKVTSAVSFSTDEMTVD VDGAEAAQVQSALPEATLDKRTRIDRNTCTGNYYNALARALQTAAGYASRAAQAAQAG NRFQEFFKTTSPQVRQNVAARFSAIAQECRSPSGGRTTYHCQDVYRACQQGIIAYTIP ARSAVVNCPPYWRLPAVVNQGFAPDMGYVVVHEFAHAPSIFRPGTVDHAYGYAQCVRL NSQQALSNADNYALFAAAASRR UREG_03762 MRLQVASLFLASVVRLACAVIADEAYQIDYHHALLGTPQAHTTF FHRPSSSSGASLLYSLSEKSILGAVNPKDGSIVWRQNLTEYSAGAGLLRAVDGEDAVI SALGSDVSAWGASDGKLLWTKRFNDDASVTSLELTKSHGADLQAVRDPHCVIWGSNPR CEKVGRDNLPFRVSITSTAVYYVSLQPASRKGYKISVVELDIQLGRVTNQYTLHSENE LASPNPTIFVSSNAASSFIAWLDQEFKILKVNLLGSKSIHTFDVENHSGETVQDVKIH TSHSVPHFVVYYNTATKSWADVFHSNVKSGWVSKAFQLPVLDSKSASASNTANNQLYF ARITRSTVDLFSSTAENILGTWKIKGNSGELEHAVLEVVARGSGFALRFAQVDDSGDW ALIRNGELEWRRPESLSDAIVAAWAEVNGGEALAHELEFEGHQDALSAYIHRVKRHAK ALQDNLFPWLQELPTKALSSFSASDGTELTQFGFGKLVVVATRKGRILALDSARQGAV LWNVKAAEGAGTWGANAISAHQNVATIFVKDGSTVKVNITSGDIIERSQPTEKYSSMA FISDAASPIPVPIDADGTPLNSHLRIEGDKFLVTLSKDGKLMSWNTASLEAPAWEFVP PKNQKIIHATARPTHDPVASIGKVLGDRSVLYKYLNPNIALVSAVAGSTLTLYLLDGT SGRILHTATQGGVDITQPIASVISENWFAYSFWGDVTDTSDAKGYRLVISELYESPIP NDRGLLGNAANYSNIHSSIGLPRPHVISQAYMIPEAISNMAVTETRQGITIRQLLCTL PDSNAIVGIPRFVLDPRRPVNRDPTSQEVEEGLARYTPFLGFDPQWYLNHAREVMGIN RIESSPTLLESSTLIFAYGFDVFGTRLAPSQPFDLLGKGFSRIQLLLTVVALAVGVAI LGPMVRRKQVNLQWKA UREG_03763 MAEEPGATLKRKRGRPRKEGSPAKPKPVVLDSTGQPRRRGRPRK SSITATATPLPKPQDTNDDTPKKSENTAAHEEPALAPKKRGRPPKSAAKPAKAAPVVA DADTTVATKRGPGRPRKSDSAIATAEATSKQAKPATKVGRGRPRKFPSATPSGSVNGS TRKDSAKGGSKGDDTLAGIIGTYELQGYDAAGSR UREG_03764 MTLERTPTNQSHRSKRSHHSHSTSQSRPHSAIHRTRTNQSINGK ETPDHLHGHLNHLTPEQERALEEFKAICTEKELYRPAEEGKTASHDDATLLRYLRARK FEVKGALDQFQTTEEWRKVNQLDTLYEKFDVDSYEEARRVYPQWTGRRDRRGIPVYVF VIKHLNSKNMAAYSSGAASTATSSTHASSTVSPKLLRLFALYENMTRFVVPLSSSLPR PNPETPISSTTNIVDISGVGLKQFWNLKGHMQDASVLATAHYPETLDRIFIIGAPSFF PTVWGWIKRWFDPVTTSKIFILSASEVKSTLTSFMDPSSFPKQYGGELEWEWGDMPSL DEPARELVGVLEQLGPKGDGDIGQEELSKTNEERKKNFIKGPVAWLKDRIEIFGSIGG QDRRRTIPVERTQDAAGHSEPDHADAEIVETNGDIEKSPTPVAEPEEKPAVSSQNQLN GETVA UREG_03765 MPLLEARVKSVLSGDTLVLTHVTNKSQERILSLAYVSAPRLRRE GDEPFAFQSREFLRELLVGKVIQFQILYVIPTGAKREYGIVRLPGGRELPELCVSEGW AKLREDAGRRDESEDTALVLDRLRELESRARSESRGVWGQGQSAEVSYDIPEPRALVD SLKGTMVDTVVERVLNGDRLLVRMLISPQKHVQTILVVAGVRAPSAKRVNADGTAQAG EPYGDQAQQFVEDRLLQRKVKVSLHGVTPQNQLVGTVLHPNGNIAKFLLEQGLARCFD HHSTLLGNEMAAFRSAEKAARDARLGLFADAVRKTAPSAGANADFVVSRVLNADTIFV RNKAGKEKKISLSSVRQPKPSDPKQAPFSNDAKEFLRKKLIGKHVKVTIDGKKPATEG FEEREVATVMAGNTNVAIALVEAGYASVIRHRRDDDDRSPDYDSLLQVEEVAQKEQQG MWSSKPPKTKQYNDYSESLQKAKMEASVLQRQKKVAGVVDFVKSGSRFTILIPRDNAK LTFVLSGIRAPRSARNPGEASEPFGQEAHDFANRRCMQRDVEIDVETIDKVGGFIGTL YVNRENFAKVLLEEGLATVHAYSAEQSGHGPELFAAEKKAKEARKGLWHDWDPSKDAD EEYEDSPAANGAEPAEAVERRKDYRDVLVTNIEEDGKLKVQQIGSGTTALTDLMNSFR SFHLNKVNDKPLESPPKAGDLVAAQFTEDNEWYRAKIRRNDREAKQADVLYIDYGNTE RIPWSRLRPLSAQFSMQKLKPQAVDAVLAFVQFPMSPEYLADARRFIAEQTFDRQLVA NVEHVAPEGTLTVTLLDPSNSDNLEQSINGDLVREGLAMVPRKLKPWERSAGDTLAHL KKLEEEAKEKRRGMWEYGDITED UREG_03766 MVKAVAVLRGDSNVKGTVTFEQADEHSPTKVSWNITGHDPNAER GFHVHQFGDNTNGCTSAGPHFNPFSKTHGAPTDEERHVGDLGNITTDAQGNAVGSVED KLIKLIGEHSVLGRTIVCHAGTDDLGRGGNEESKKTGNAGPRPACGVIGIAG UREG_03767 MTSALDQLKATGTTVVCDSGDFATIGKYKPEDATTNPSLILAAS KKPEYSKLIDEAIQYGKKHSSSLESQVDSALDRLLVEFGKEILKIIPGKVSTEVDARY SFDTKASVDKALHIIELYKSVGVPKERVLIKIASTWEGIQAAHILQSQHGVNVNLTLM FSLVQAIAAAEAGAYLISPFVGRILDWYKAAMKREFASHEDPGVVSVQSIFNYYKKHG YKTIVMGASFRNTGEITELAGCDYLTISPNLLEELYNSTASVPKKLDASAATKLDIPK RSYLNDEALFRFDFNEEQMAVEKLREGISKFAADAVTLKKILREKIQAA UREG_03768 MSSALLRPRRPSSPATTERLTSKLRPTIDTLASCIRESLSLEDA LETTESILFLRHAFIDDVQPREAKDAFRNLQGFQVLLELLERLEERYEPRSFDPQHRK AILALIKEIIGTLGESMKDHQGNKRYFAKRVQGGGSASFDKSLSNIASKLEDNVGGNN IEVEQFYGGLFAAGLGQQTVSGIFTSLRKKYENRGEPLLPAIRESVFETLSPSETVEN PEFLGLVFHLWLEYSSDLSKYPIQRLAIPACLSQLAAQTARNRLGLHSTGILTCLSDF IANSNRGKEELSLYGELATTLSLEGFDSLDGAEDLYRKAHCSLEASKFLLATVKSSKQ PPSVQFDMGPHGYSSIELPTLGRLFPPVNSAGYTLTVWARFDNFDPDVHTTIFGAFDP TQTCFILAYLEKDTRNFILQTSIAGSRPSVRFKSTVFSPGIWYHICLVHKRPRPMSSS RALLFVNGELVEQLKTDYPQPPTSRSGQKIPRVQAFLGTPQDLAVRVGKGVSTSRWSL ASAVLFEEAFTDDIISVLCQLGPRYYGNFQDCLGSFQTYRASAALNLRNENLHPGKEE HSDIMAVIRQKGSILIPENSILLNISPTAVLDSDASYTDEAQLTKLLSKNAVKHLNHF LRVKGNSVVINRAIPAMNSALTEPQGVALLTGNPVISVPQSLDDAAWRIGGCAAVHLS LVDAATTPEHLRLAVETLLESVQDSWRNSEAMEKENGYGILSILLREKLGISAAVPNA PIKITPVCSTLQDRNELSMELLILILKFVGYDFENPKKSIIINPLAYRVLLVDLDIWR LGDLPLLEIYYSQFRTFCLESYHHRFNARRLSRMRVIKRLLDSLKDDIFTSENIKLFI PTFSCLTICCMTAEVLRSIALFITYSIHQPKLQTRLQKKKSTASIALQFRRTAAHQFQ GKHVEYISKEHIGLELLRAFTDIFCASESTVNIQKFARTVTNKWLLYLISEDDSEVVT LATKILARLLTVHGASYTRKFDKSGGFVIMRHCLKRWWRLPTTWLFCFAILFGEDVKN IDSCRGFDTTAFSVLFDRLEKIKVVCPEVFPVITGMLQSALKSSILGGRTIEPSEESD EELWLGGSNRSGKSFLGSGLMLTPGITHKTEAAHEDLLRNIVRFLATIHARSSNFRDF TVTSNYVDELLLVLFPVVVGSDPVNASVELNSRNAGLTFGSESVMIQPLSGSRPVLRT RTVERTGDQEGEQRLQRGSSFVLVSSDKVKYNPSSARLRHVVEPRTDVSSAVPAHSIV QELLDLIVSVFMDQLLTRKEFPGLTIFLRIPPGFVEHQVYFESWLQRNSLLQIENSLS ANQKLLLEPRVLTNLARLLTQVADAIYEGWFIDGATATLDFAGPILEYLQKGEIASLK SIRLCSQTIGNIRSIVFRTVLLRLSETEDTTALSFLKRLSYWQTALLGSDETQTEHLL LMCYLLYSKIVTEEGDVRLEAAVLWRIIMVQKPTETLAMLNQTSTSLRERLSAGFQLL VTMDDSGFLQWVDDQRDDLDCFFFGTLSKVWENFVKQENNKTDETARIRVSKRKEKLK QWSQTDATNEEIIRRHDVTFGHWTSNISLSEKLKHQRYAQDQQDDFTFLLSSFSRIYR NLRHDNGLLAERGDVKWRLDQTEGRSRMRQRIVPDDTLGKQDYQPKRRTTGESNKPDT RQRSNTERSDVVAVTPTEIAAEGIDEGSGENEPDDKTGLDDSFEIIDGPRESDEDYED KNRKVMRSLHRGDQVQHVCNVSRIIGLEACEGLLILGKDNIYIMDNYFQRPDGEIVNV WQAPREERDPYVRMISGRESNERKYNNGEHETKSWSWLDVVSVSKRRFLFRDVALEIF FTDGRSFLATLISSAARNELHSQLISRAPQTQGVGNSSQLDDSWRFETLRNQESKPQF FGSKLANVFGQGGLHPATRKWLKGEMSNFHYLMLVNTLAGRTFNDLTQYPVFPWVLAD YTSEELDLTNPKTFRDLSKPMGCQTLEREAEFKSRYQSFAEMGDHNAPPFHYGTHYSS AMIVCSYLIRLQPFVKSYLLLQGGTFDHADRLFYSVPKAWNSASSTNMTDVRELTPEF FYLPEFLVNFNKYDFGLRQSMTQAIDTVELPPWAKGDPKIFITKHREALESPYVSRNL HRWIDLIFGYKQKGDAALEAVNVFHHLSYQGAKDLDNIEDPVERLATIGIIHNFGQTP HQVFNKPHPQREEVHHKLNQLDIAAESLTRLPFTLLDTQERVTSLSFSVKHDRLLCAA AFRLNIPPNYDKYMEWGFSDNSVRFYATDTRKLVGHFEHLHIGQLSCSMFADSQTLIT AGVDCTISVWSYTATGRSVDLHPKASLFGHRKPVTMLAVSRSFSTILSASRDGKLMLW DLNRLEFVRELPPGEPVDHVRINDATGNIMVCRGNRVNLYSLNGALLLEQVVCDQNED SVLSCAFYEGVSNEWLERELILTGHRRGLVNVWSKAIRNGRFELDLIRQLHHVDQVRG DGAMSAAGITCILPLAQAVYTADEAGHVVYEEVHILAGGFVDLQVSD UREG_03769 MKSVLSLSLLPLLAVASPISVDTIHKDAAPILSSTNSKEVPDSY IIVFNNDVSPASVAAHHTWVQDLHTTVMAKRSLTKRNQFPFKNDVFDGLKHTFDIAGS LLGYSGQFDEEVIEQVRRHPDVKYIEKDSEVHALETPQTQTNAPWGLARISHRDSLSF GTFNKYLYAATGGEGVDVYVIDTGTNTEHVDFEGRAHWGKTIPAGDEDVDGNGHGTHC SGTVAGKKYGVAKKANVYAVKVLRSNGSGTMSDVVKGVEWAAASHAQKMAAAKKDGKK LKGSAANMSLGGAVNAAVDAGIHFAVAAGNDNADSCNYSPAAAEKAVTVGASTLADER AYFSNYGKCNDIFAPGLNILSTWIGSKYAVNTISGTSMASPHVAGLLAYFLSLQPDQD SAFAVEPLSPAKMKKNMISIGTQNALTDVPSDTANILAWNGGGSSNYTDIIKKGGYQA ATLNGKAAHLAEKIEKFEKYATKELGAIYSEIKDAFTL UREG_03770 MKFAKELEQELVPEWRAKYLNYKLGKKKIKAIARALRAIEQAPR TPGRRGFLSGHDGTPRIGRPALPQFGPYRGSRSKDRFGRQSSYEETDILAGPANPYSQ DTPFPERRPLKSPSRFADCAGGYGSIVTPQSNATRSTGLPSLELPDPALDPNEANFPP CTRMVSANSLDSRANPTPGRGRGRWNLQSSILPGSRNVFRPRGGSVPPGRLTRRRSFL QRMFTSRVEHESPSANPPAEAFLELRNRETEFFDFLDKELVKIESFYRLKEEEATERL RILKEQLHVMRDMRLEELRAKARLKHQGGPSSDMRHDTDPAAKWTRPLSKSLNGLSKY DKISKELAELPTPGSTLHRTRNTENYRDFVRRQENDVPYRSAKRKLKTALLEFYRGLE LLKAYAYLNRKAFRKMNKKYDKATNVRPTGRYMSEKVNNAWFVQSDLVESHLVAVEDL YTRYFERGNRKVAVTKLRGKAARSLDYSPNSFRNGLLFAAGLVFGIQGLVHAVGHLFN QNDDDDYDFDDLHVQTSYLLQIYGGYTLILLHFIFFCLNCRVWTRSKINYVFVFEYDT RHVLDWRQLAEIPCFLVFLLGLVIWLNFRWVNEIGGCCSQGCTLWSFEISSWEICIAL KHMPWACSILYYMTLSLYRINKIESLRATFIVFALVNAIYSSIWDVAMDWSLGNPFSR NPFLRDSLGFRKRWVYYMAMIIDPILRFNWIFYAIFTHDVQHSAILSFLVSLSEVCRR GIWSIFRVENEHCTNVSRFRASRDVPLPYDLPSDTFDQHSRPFESTTIQQAAAGVPIA HLPPATPATGVDVERLAADNTTPSGMRHRHGTQPSETPGGTLARVGTMLANAHAEDFE RRKRPGVVGSTHDHDKNQAHSHGHHDRDHGHGVHRRDSSTDDEEEEEEDDDDDDDDEG VVESESEENGSNELDDPRIIEGVDGRFKN UREG_03771 MPPIPQSSDFPSSLTLSIIPPAPPGTNSTNILLILHGLGDTIAP YNSFASALHLPETTCITLQAPNPLPFLLTGYHWGDDLVFDGDTIDPDPGFTRATRLVA HDLIVNVLIGKLGYQPREILILGYAQGAAVALAAAMELNRSGRPGDREIGGVVALGGV VPLSAVKEDGGRGKSRTPVLLVGGRTPESAVTDGGTSRTKSEFEFVESVRWQRKGDGM PSSREEMMPIMRFFARRLRSRSGVPEGSVELS UREG_03772 MEDGTQVSTLERVCKEVQAPAFQTPTNEQFWSPADPSKPNLQFL KQHFYREGRLTEDQALWIIQAGTELLRAEPNLLEMDAPITVCGDVHGQYYDLMKLFEV GGDPAETRYLFLGDYVDRGYFSIECVLYLWALKIWYPHTLWLLRGNHECRHLTDYFTF KLECKHKYSERVYDACMESFCSLPLAAIMNKQFLCIHGGLSPELHTLEDIKAIDRFRE PPTHGLMCDILWADPLEDFGTEKTGEYFVHNNVRGCSYFFSYPAACAFLEKNNLLSII RAHEAQDAGYRMYRKTRTTGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNC TPHPYWLPNFMDVFTWSLPFVGEKITDMLIAILNTCSKEELEDEPSSFSSAPASPPLP MDTDSTEFKRRAIKNKILAIGRLSRVFQVLREESERVTELKTASGGRLPAGTLMLGAE GIKQAIHNFEDARKVDLQNERLPPSQEEVMRKAEEDRRQALERAAQEAENDTSLATVA RRISM UREG_03773 MYDSSTTTLPYTYKSVPSTLPPTVLADGTGSEKPRYVVSSGGHA AHPDDIIASCQALQDHINKLRAETEAKIREWEEENQARELAEKRRVAPGWLDREEKLL QPVHTSTKPGAHAEANILEGQLAEQSRRVTTSPMIPKNEGEELDRAFGALSLRLNP UREG_03774 MEALHGPPNPSSFISLAEHQSHTPESFYSGPPILHHLSERCKIV ILEGELASVSALSGLRPGVSQEATNGAQPSEGSSDQDKEIVIDGVDVWVTSEKLLLYN PSTTTGVAIPYRTISLHAIQRLRLPDSQERNDVQGLYMQLSIGVDAEEDLEEDAVSLT VVPPAPQPATTQAQSEGENESLLTDDKPSQTPTELLYAALSACSNLHPDPADEEDDLG GQPLADSALFQSGLVIPGNNAGGLPPAMPGSGGWITAENMHEFFDEEGNWIGEGRGPL GPGAGSVRPREEDDEGNQAGEDGSGAGAEETKWQRTG UREG_03775 MAFRAAWLAVLYLCALVVQAIPPPLEARGKAVLPENDPFYVPPE GYENAAPGTVLRSRKVPNPIAAFNAFRSRSEQGLSYQVAEDAASINCAPSYAFQLAAA SGGPLGTIVTQIELVLIFAALEKGWVVTVPDFQGPKGAFLANVRAGHAVLDGIRATLA SSELTGVNKKARVTMWGYSGGSLASGFAAELQPKYAPELNIAGAALGGTVPRIEPVIP SINKSLFTGLVPGGILGLGSEYPVIESIINSQIKPEKREKFLKARKQCFGANMLDYAF EDMYSYFKDPEVLMLPYVNEILAYNSMGQNTPKTPIFIYKAANDVISAHNLTAAVYDT YCAAGANVEFRTDLTADHASGTITGAPQALIWLDERMRGVPVKKGCFKETQLTGLLEP GALRVMSLSVIRTLLAILGKPIGERLVG UREG_03776 MDTALSSNGASWSQQNTQPPPAERGYMALFSLKGKTAIIAGAAA GIGLIVAQAYAEAGANVALWYHSNKTAHDRAKEIEKQYGVKARAYQVNVQEPQEVENA VQGVVKEFNGRLDIFVANSGIPWKQGAMTEGTLDHYRKVISTDLDGVYYAARAVAPVW RRQKQEGTDINGNRLENFSYGSFIATGSMSGHIVNVPQLQSAYNAAKAGVIHLCKSLA LEWVQFARANSVSPGYISTELTEFLSQETITLLNGKIPMGRQGQAHELAGAYIFLASD ASSYATGTDIIIDGGYTSQ UREG_03777 MGLASPNNSISHDGFDEQATKATTVLPPALLADLIPALVVLIYA LGITCWFRGVIWSCFRKPIFQRWTRRTADGWKGDYMNVAKEDDDEEVVDLQRLPSMMQ HRRDEELIVGPSAVNVVSLVSKKIKKRPERLNIRGYTPLSSFSEDCEGGEEASLEIDT RAASIDPSLECQQQEPQNWLGLSKYFDNNTGQLQKHILLDPGTELSEMRVKEEDGMFE YDGGFGDNILGRWCDRAIRWAIARAQPWLEPAG UREG_03778 MASSETPNETEEERVQSHWQKQRIEEQRAVIDETLACGTTCGLV ESLDPSMMRTDLATGVYNPQRDPAYTLSPAEMNDKSIILSPRQRSPRQERGYMEVATE DQRAMFKAAWRGRDPFALYTFHRHLHEHQQARRSFLQVCRDEDEVRQYWKWVSKLDAH IPHEQPNIANDEVDKRCGWPFNDHAIDRRVEAWRSRAADRATRLNEVRERWKNIMRER VEERRQLFSRKRERGDDQESKEGEDRLQRSLERLRRKRLGNVENEKPKISLRLRLLPR DEDWGTTSGFIECSKEDGPSRQPG UREG_03779 MSTANSTRMDVDSMCNDDRSTRATSVLSMDDIEAAQALEGLRSD FAHSSPSSRRSTLFSSEPPQPEPLLSLLTSSHPLLSSAINSSMSVYSSSKSYSPRFRY GAEFIERNIGTPVANTVGSVGRKTGVEGGLRWVLQRRDNPGPGTRGARSDGREQHSDA MDIEKGPTEPRPTHTRRSSGLSSVETLPPYDTLSSPNYEDLTALDSKIDLTQPSQNQT WQSRLVISTSGLGVAMSEESLRSLTYCLKWLQWANTRLGSSIVILKRVLEEWDESRQQ ESSGDILDPENRPRSPTAMSRQIQQVKQDVLQTLKQVVDVVSRYAGGSLPENARNLVR RHLTSLPQRFRLASSYTNRQDDASDSQSDATSTSAHRVLVLAEEGLDMMAQVSRVVND TLVSAESWCERLRRPKPAVNSTGAQDSESPALSNLDVKQPFVDATQPRDVEMTGMEQA UREG_03780 MPAGAIMVIAKKFGVTNQYELVLPISVFLVGYIVGPLFLAPLSE IYGRRPLLMGTFVLYLFFVLGTILAPNFPAFLILRFLAGTAAAAPMAIVGGLYADCFP DHVQRGRVMAMWSAGTMFGPTLSPIISGFLGRVSWKWPFWCELLFGVFSLVALHFLPE TFAPVILAKRAARMRKESGRNDIVALDSAETVNLKEVLAVSLTRPIKLLFTERIVPAV CLYMAFIFAILYLFFQAYPIIFQVAIGSIISSVMLVLWDEYIRRRNKTVTTEHRRLVL ACVGGPLVGWTSRKSVHWIVPMLAGLPFGIGSTTTILALLNYMADGYGIYSASAMAAA ACTRSVCVRCFHSARNQCTRRLGLAGGAVVLGPSVSP UREG_03781 MASPHTPRTAQGCHRILLSLSIKYNLDLPTSDKKGTPAQRERTL SEKCVAGLTYLHFNGRAEKVIGLFESRATPMLSEWIHKPNQDRGTLPSIPSASFINGS VFEKSKNISFDQRTQLLRCLHELIDSEIRFLKKNTVTPAKAKAIVNGTPSGSQLRQSG PARATTPPPPRIRSSGHSPSKRKSALYSSEVFKTDSSAPMHGPYPLPSPPSLGDEFDD DDLDGLFLPLITTDVPVETQSSSAQKGKQQKLDRYFKPIRSPPMGNGDPGSSFDNNDP FSTPSRPARQTNVDLFMRVSKPAATQNTSPNADKVDPVDTFSTVATRGLERSSFMEGT STVATSFQSNVGNLGPKPDAGRYQFDDGASESSTVALLQSEEFQKQLAMDLPPTQVAD PIETEVEVFVNKLESFGPFTLSKGGNWPSHIPLRCRYEVARVAQSRGVLIQDILPDYQ LPSQDYAAFWEFITRATTRGRISLLEKTKPTVWETAVGNFEDAGSSDMVTLTGELDWC HKSEPGYLKFALKPLRLERGHRFARRFGSDRFMEITFPALVKSPEYLKNKGTVVLQSI ATWLATRSHYILGRTWRAFYLEDVKSKNKKDGPRSKVHLFAVDGSDFLKAPSTISPIG EVSHRHTPMSVEALVDWHIPFKYNKRQKDCKLFQRLSLALSRTIPTVVVRPGRIVRRK DAEIVMNDGCALMSASLANEIKSCLGLKDENPSCFQGRIAGAKGIWMVDNADSNGDSW IEISDSQLKIKPHPSKCKIMLDDYQLTFEVVSWSRELRPVNLNVQLLMVLQHGGVQTD RLKELVRRESSAWYADFKNTITNEIVSRGWIQKQGLYDRRKTRRIDDFPTENAEQAIL LLDSGFHPLRLAYLKELLTTFLKDYCNRLDSLKIRIPESTYAYCIADPYEVLAEDEVH FGFSKPWESYGYTDLDGVDVLLGRNPAHLPNDIQKRRAVFKKELRHFKDVIVFPTVGK VPLAGMLSGGDYDGDQVWVCWDPELVNTFINTPFEPEKVPEPHEFGLVSCSEKLKEPF DFNEFLTKVFVFNAAPSLLGHCTNEHEKLCYYENGIASPGAIQLSHLLGHLADVRKTG YELRRETWKQLWKQVSSELGPHGLRKPAYKQTQAEDDGRYNMYNIIDCLKFEVVRDAT TQILTDFDQFSNSQEQPCKDRDLTAIWDETWLRALSERDNGNSALLEALEKLKAQVTR LSEERPYRNCGKPLSTVISTTADLFQSIKPPEFDHELSHTWRNWNPTWQILVASRVYY FRSESYFPWFAAGPILCEIKARAVGAYRMVTMPVYETFRVNQRAAKRAQEASESSREE IEKMLGDSKELEGDDGEDDFEDWKSFVS UREG_03782 MELWDKKPGDDSDGPTTTKQEYVGQGGPHVIDSDEEGVALHRGL KARHITMIGMQKELGGSRCVADSEPAIGGAIGTGLIIGTGSALANWCKLSPSYPASVL ISYLIVGFIVWIVMCSLGEMAAWLPLPSGFTGYAVRFCDPSLGFALGYSYYCKYIIIT PNQLTAAALVIQYWVKREKINPGVWIAIFLVVIIGINYFGIRFFGEFEFWLSSFKVVV IVGLILLSLILALGGGPDKDRKGFRYWKDPGAFNTYIKEGSEGRFLAFWSTMVTATFA FLGTELVGVTVGEAQNPRKTIPRAIKLTFYRILFFYILSVFLLGMLVPYDSPELAFAA QQSNSANASPYVVAIKLAAIPVLPDILNGCILVFVFSASNSDLYIATRTIYGLAREGK APRILARTDRRGVPIYALALSSAFALLAFMNVSDDSKTIFGYFVNLVTIFGLLTWISI LVTHIYFVRARKAQNVPETSLAFKAPLGVGGSYFACAFCILISLTKSYDVFVHNPKKY GNFDYKNFITAYLGIPLYLIMIFGYKLVTKCKGVKPEEADLWTGKDAIDREEQEFLAR KEAEQSGLKDSSWFYRTFVSWLF UREG_03783 MDTKKRKRKVLLMGKSGSGKSSMRSIIFSNYVAKDVRRLGATID VEHSHVKFIGNLTLNLWDCGGQDAFMESYVGSQRQNIFTDVAVLVYVFDIESREVERD LDTYNAIIVALQESSPSAFVFCLIHKLDLIQTEHRQRVCEERSALIRSRSESFEIATF GSSIWDQSLYKAWAGIVHKLIPNLSFIERFLNAFAEKIDAEEIVLFERSTFLNITSVT SDVGNINPIFDRHERLSNIMKAFKHCAARNTHTTPGSANLVVMHTKTPQFNVFLGRFT DNTYIFVVVPPGEAAYNCAVLNIMLAREGFAKAAAEGQDAFALAYGDPNGEGAAEGSA AGPFQT UREG_03784 MGLFKRKDSKQSASDKDEQDSLTSTNSARTSNASLKMPLSLKSS GSPPIPEIPIAPPPDPNIDPAAYLRSIHSVRQRSRFIMLKAKMNQLNHFDVDVDKFEA TAQYVVSIIKRDYAPDYQSIPAHGRWQHFEVGGRPRINQLLQSWPSTIDSLERTRRLI DLFLISVLLDAGAGNKWSYKSKESGKIYKRSEGLAVASLEMFKTGMFSSDPTEPCQVD GAGLKRVTVQALAKGMQHTEDNPLAGIEGRAGLLTRLSGALDNQEFFGVDARPGNMLD YLLSHPSTLASSVPIIPVPTLWNVLMDGLSPIWPPSRTQIDGVSIGDAWPCKAMPPSP PTKEWETIVPFHKLTQWLCYSIMVPMSKILNIRFSGTELLTGLPEYRNGGLLIDMGLL TLKDEDMKRGLDAYKTNAMIKGQPNMEVVPLFTADDDVIVEWRALTVGFLDELRDEVN KLLDLPEGQQLSLPQILEAGTWKGGREIAEVSRPNTKQPPIMIVSDGTVF UREG_03785 MRHLAAFDQSGQISSPAIQKEILVPVQTQSPGLMFGAQDVSFNR VAEAVVHISESGHLSDGSPWITCSGGSVPLPDDHPSSYKMLRNLNRCLQTSVEKKQAP SLGKRSFTPTFMSDSRRCFRYGRNQTCLCERGSGLGTLRGLLEEAIPPRHTHIVSFLL DQNPGSDWWTTDHCKIVRLALEAGLDVYKIFLARDPDVIHWTLMFAMGNAVNIAIREN NLELLKFVIANGANPSQPEPSSLPILQAVRLERELCVPILAKHSPMDACVEALHYAAR SGKSRCVQLLVEAGTDVNHVQPPNSAYCFSRDPFEESAFHAAIRYNNGHAARLFLEHG ADWFSCDSKECTATAQTPMWNKLTRGAERLKLEIAGDDLGMYFPVSRPIMPIASSSSV QRHDAIPAITLNRRAPPNPPPPPLPDHRPPTTEELTNVMDFFNNFEIGNKIPLFFSFH GLPDFRHR UREG_03786 MEAVINTAELLESVLLQVEIRTLLVSAQRVCRQWHALINDSPNL QMTLFLRPVRSADNTHYAVNPLLEEKFPFFFDGDNNGFEGHSDDLFDSLALVKNRDAF FRKGSSWRRMLVTQPPVRSLGLLTDTQFLHGSSTTWKLLEFDRPPVQTGDEGIEKRPE HARAYSDGLRMRTLYDEVVKTRKSSCDGLFFLFWHGRLPIPDDEPVDSRLLEVFSKAV KRSEVVLYTSHILMCRPKGFGDYGNRWERFEYKESDGDHDPPVARLIANVAKPCIITL VNCRKQHITPNSPRSHPIRTDIDDTTGLKYTDCQKLQLIPLIPRLLNPRSTVRSPPAI HSMPPSKAPTPANDSFANLVAFGSGASGKGVSLAERQRQLAQQKALEEKQRRAQFDAQ YGGNNEQFWDNLGKSGVSSHTSGLTSGRSAQSSSPDLLSQGLAGSGLPHRRSAMDDDE DDILAAFNASAPVDRSTNFPIPSSIAPPAPNPGLHLPARNTVVMEDDDPFGLGEMSQR QTQPKVTSPYAVDGDDDDILGPLAKPVSEFAKPTPEITTLERQSEVRLAEDKSGSPAR ISPVDRAIAELVDMGFLIEKASTALATTESGTDVQAAVGWLLNSAHAEAQEKARGRSQ SAQAQSRSERAPNGRRDRLAGELRDSSLPTQVRDQARFEERSPNSRRAAEKDPAQLAA EFGNNLFKSANSLWKSGTKRVQQAVQEFNSTPDPSQPRWMREERMEGRSQTEVRPQRG GSAAKPSVSVTDEALMLEMERAPPSKPPRPSRSPQPPPQHTGRQNPLRDVPPSHQLPI RPRRSQQDIQSPPLNDSRSRLSRLAADEQASEAYVSPARRRKTTPKLSAEPALDLLEG TTKPSQPLRAAASPSPARTPSRPTASPPIAPRPKVSPRQIPPVSNSTLLASHKHRQDG AAAFKRGDYAAAHVAYSTAISLIPGDHPVAIILLTNHALTALKIGEPKTAISDADRAL SIIGPSRGESEKIDLANGEPMKEMREFFGKAMMRKAEALEQLERWTDAAKIWRETVES GHGGSASIQGRTRCEKAAGIRTPSAAAPRPSVSARPPPRATPRPAARSQSAIPAKPAE AVSRLRAANEAADRLDNEKFALADTVEARLTAWKGGKQDNLRALLASLDSVLWPETGW KKLGMAELVLPNKVKIHYMKGIAKVHPDKVRWLLMLVSS UREG_03787 MVSPFLASDPVAEEGSLAILHLRRNLLTPTILSARDEADQGYKE GKVTNTRYGSFPHSTLINKPWGSQILASKVDTGSRGRKSKGGKAAHSLKRKADEVEAS EEGSKASDAVAATSGFVHLLRPTPESWTSSLPHRTQVVYTADYSYILHRLRARPGSSI IEAGAGSGSFTHAAARAVFNGYPSSSHCAKRRRLGQVSSFEFHETRVQKVREEIKAHG LDGIVRVNHRDVYNDGFLLGPPWNGESPKANAIFLDLPAPWLALKHLVRNPPDGSESP LDPTSPVHICTFSPCLEQVQQTISALRQHSWLSISMVEVMHRNIEVRREIYAVECNGG LRGSISGPRNVEEALSKLRSEEQARALREKLREKAFSAHIQTGPSHSPFRARN UREG_03788 MAAPREQPPWKQPSATPELTSRLPPLKIWNSLTRSKVPFVPLDP EGRKVTWYACGPTVYDDAHLGHARNYVSTDILRRLLRDYFKFDVRFIMNITDVDDKPD TKADVMLAYLDKLHGSSICGEDYSIFTKLTKRFEDRFMADARALNIIDADEVTRVSEF IPEIVSFVEQIVQHNFAYVTGDGSVYFDIAAFETVGNHYARLEPWNRNDTALQADGEG ALINKSIEKRSKADFALWKASKPGEPSWPSPWGPGRPGWHIECSAMASARLGKQMDIH SGGIDLAFPHHDNELAQSEAYWHKGCSHDQWVNYFLHMGHLSIQGSKMSKSLKNFTTI REALERGDWTPRSLRIVFLMGSWADGIEITEDLVKAGNAWEEKLNNFFLSVRNSSETM TPSSTDDSLADQLKAAQKAVHESLCDSFNTAGAMIAISGLVTQYNSADKSTLNPQHIK DVAKWVTSIVNILGLNGSAGPDSEEIGWSGIEVPEAAKPYLYPLSTMRDNLRESARSK TGFSIDVIKSVAAKGEEALKQNAHASEEAKPYQRVLSDFCTKLDSFEPSDTISKEVLA LCDRLRDVDLFDLGVYLEDRHEQPAVVRTVSRELMAAREEKVARARKKQLEKEAREKE AQEKAQRGRLSHLLMFRTNEYSAWDENGIPTKDAAGEDLNKSKGKKLKKEWERQKREH EAWLARQNGS UREG_03789 MAPRVWLITGCSSGFGKELTLQVLKRGDKVIATARNAGRLTALK EAGADIVELDVSADFETIQKALKNSHGIYKRLDILVNNAAFVKEGTFEELSPGEVLES FNTNVFGAINVARAAIPYMREQKSGVIANVSSIAGWDPLPGCGLYSATKAALTCISET LTHELAPFGISVVSIEPGYFRSQLLNPGHRNQAENRLPHYEGTPARETADLLETVNNK QPGDPVARFLSGSPWGRTRSEPLAGSVEKHCCCWMSGTKPSHRQTTMMSNEACCRRTL RISELFALSKGAMFPPSPAAHLNIEALSGICGSISIACWVVVFSPQIIENFRRGSADG LSLTFLVIWLAGDVFNILGAVLQGVLPTMIILAVYYTLADIVLLGQCFYYRGFTLSDE THKQQGNGREQEQETPRLSSGQSERTPLLADSTAQNGAQRTQQRRSTDRRSSLASLSS LRDRLGHIDGTHLSPAMPLLEPAKPTPRTIPKPTTAIQKLIWNAFAIALVCAAGVLGW YVSSDTAAVTELAPEEHGRSVSALLLIRVYREFDLCALDICILASVCGPQRTLSAW UREG_03790 MSSKLLYSWHLVWDWLTATRTSSRAADYYVKRSAAYLRLKPEDG GPNAEAALRDAEVAVLLGMKRARRESIIAAQLRRAIALYQLGRFGDADFLLEMLKDKL KIAEAVAKEKAAGVSLAALASQKGRGSNHQELQIWGMKAKSQLNKLEPEDKRAIVTVN EIPDVVLPEPEELKKWHQLELREMGVGVNRASVALEKIVASEEKPKVQETTTATNAMS SAEVKSNSQSPPTSSLPQHPISKKYRHEWYQNNDTVVVTLYAKGVPKDETKIDIQEHS LSITFPTSAGSDFTFDLDPLFGAIDPTASTSSIMSTKIEINLRKKQPGHKWGSLETTA VANTSSVMSPPRAFTTGKAPSYPTSARGGAKDWDKVAADLSKKNKSKVKDDGSKEEEL DSDLDEYNSGDPVDAFFKKLYAGADDDTRRAMMKSYYESKGTALSTNWSEVGKGPVQE HPPTDD UREG_03791 MEPKGVRQPNGSGPPQDNGKTDYSRWRLRSDEGRHTWHYLKTDK EVEEWPQTIADKYHLGLPTVGAVHEYAAGLPELPHPKTPLDAATNGLSFFSHLQLPQG HWACEYGGPMFLLPGLVITWYVTKTPVPPEIATEMKRYLFARQHPKDGGWGLHIEGHS SVFGTALNYTALRLLGASSEDSKMIKARGLLHKLGGAVYGPHWAKFWLSVLGVMDWDC VNPVPPELWLMPDWVPFAPWRWWIHMRQVFLPMTYVYSKRFSYPADDLTKQLREELYT QPYESINFASYRGSIAKEDNYYPKTWLLNFLFWFLANVWNVYLRWPGLVKTAENWVWY LIEREDENTDYAGLGPVNAPMNTLCCYIHDGPGSYSVRRHLDRLQDYLWMKSEGMLMN GTNGVQVWDTAFIVQAIVVAGFGNDPKWRPMLQKALEFLDDHQIRENVPDQEKCYRFR RKGAWPFSTKVQGYTVSDCTAEGLRSTLQLQNQLGFPVLISDRRLQDAVDTLLEMQND TGGISEYEPRRASPYIEFLNAAEVFGGIMISYDYPECTTATITALSYFSKFYPDYRAD DIKRARDKAVEYIRRVQRPDGSWYGSWGICFTYAALFALESLAMVGETYETSERVRRG CQFLLDKQMADGGWGESYRSSESKVYTHSETSQMVQTAWACLGLLEAGYPDKEPLRKA MKLMMSRQQPNGEWLQEGIEGVFNQSCMISYPNYKLYWPVRALGLYAKKFGNEEII UREG_03792 MSMTGECPGASANSHDEDKVKQFLEAQLSSVVDELSKPDGRPSL TLKRRPTRANCSLNVATGALQANGHGRECVVTYSWPGKTAREAWAFGVVVRILGHISE AIHGQFVASKRDIYYLDPAYFGSQRLVDTYIDDIAYTIGVGRPALHVGILIPRVDEIA ELDLSGVRWVLVIEKEAVFHRLVTSNYHKSSTAGGGMLITGKGYPDLSTRAFLRLWKG NG UREG_03793 MASSVAQKRLFHEYKLLSTSPPDGITAGPVSEDDMFIWEALIQG PEGTPFEGGIFAAELKFPKDYPLSPPSMKFLGGGVWHPNVYPNGSVCISILHPPGDDP NHYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRTDYEKKVREE VRRSLGL UREG_03794 MKSIFFTLPLLAAMVSARAVTPSAAGLELPGQACATELAKCVER ATPAREALSAEVVPRSLSLPPFLKFQGLPPFQNLQRRLSTLFERSLVETPMLMLTPWA RRLPVTFPANGFDIGTLINEIINALDGKAGGIDIGAIVNKIIKAIGGIAGGAGVPPAG VDVGKITNIILGSMKHSRSAQGQIDIGQIVQLITKLLGNGGPYDLGKIINEIIHALNG KAGGLDVGAIVNQIIALIMSLNSGGLARRQEVPIDIGKLIQVIIGAIGSGNGGDIDIG KLIQTIIDLIPKGGR UREG_03795 MTGLMEYYNEHIRALPAEERDKYVNGFRSLQAVYTSGSVLGAPT KRFFQDLTGVSIRNAYGLTEMGGGVMATSAGSDCLKGCIGTPLPEITVKLSGGDEGEI LVKSPNMFLGYVDDETATRAAIDNEGFFKTGDYARRVGDRYFLDGRVSCDWVRFHDFK IPILELEQCLMDLPYVSEAHVLPVLDHKAGGLVAALVRPQKSVIVAGKVHDITLKRIR DDLAAADVIAYKLPTLLRILKHGEQLPLTGSDKIIKRECLRQFFDISGYLPEQYAVDG VEYWGNNFDPAAPTRTTDWGML UREG_03796 MWPKRITLITPSWSAFRTARSTRDSRFSRRPIRDNLSRSSRHTK SRLSSSRSGDKSPESNQSGQRASSSSLDALSSTLHDTNPQNNSLLAPVHIPEDPSAVL KDGHPATRILANSGLVVQRQLEMMNVLLGFEQANRYMILDAQGNHVGYMAEQETGMGS MIGRQFLHTHRPFVTHVFDVHQNEVLRFHRPFSWINSRIRVYDPLEAADRTRSGPAGS QLIAGPTGGVARLSTLDHSQMRVVGEAHQEWALLRRKYNLFLFHEPPIQETKLSTQAI SFSSSNLSKPQQLQVSLVGGGLSQARLAQFAYVDEPVLSWDFSLRTASSQLIGSVNRN FAGFAREIFTDTGIYALRMDSAGLEDQRLREETARAQAHPNILAPKRAVPPPMTLDQR AVMLATAVSIDFDYFSRHSGSHGVLPYGMMGLGGASSEGVAGGAAAGMAGAGTMAGYE AMRRGSPTEPDVDQQPTSQEGDVSPGEKSWGEQGPGHWDEPVDEESQHQDGWPGESGD GDDSWSDFF UREG_03797 MSRIERLSIYVEFEMQNSRKSAHTPDKPNPVYILIQSQKAFPCQ LNFSSSFASFESAPFRFDIEAPDAQKGSSPKLLSSNGMFKPQLRVPSRVLESVFAPFL PQAAKYPHNQPQFLRTRIPVSSSSHTKPSHHPSLLGFQLRYASHAAQGAANAHSKNSP GRRLGAKKTGEQYVIPGNIIFRQRGTKWFPGENCGIGRDHTIYATETGYVKYYRDPQR HPTRKYIGVAFARDDVLPTPRNAPTKRRLGMLAAPRQVEDLAVVPGKSASPPLRPGYQ YREGNWEIGRLPDKAGITVPEWRRKDRWTAWRKKTEKIKRAAQMKELKNRKKGKAKAK KR UREG_03798 MASNQPTFDASGAPLFSYHHDDNLDPTRQFSSHTEAWNRIPRFP NKVMDFTDSPPGDTQLVSQSVYDKILRQGNGGAEQSMPGPGEGPENTEGAITQRTLAE GDTGHLDLLVDLDDTVHRASEGGILSCHDDEDSSPARYQPFPESQRFVDYTPQRNATT GFNASSTTPLLSNNPFSRGNKTPAAVISLSQLFNATQGVSSPLTNAHRPELSSDMPSP NLPVQSRITATSLFSPLQAISSVPGSGVAEPQNLYVSIKDSQEARAKSERQLPSGSNF PSDITSDDDLFADDLSIQRRLREKITENEGFPSTPDDRTANKTLQSETWPESELETEQ EDEEISSRFCGSGAPAVVGEDDKENADSGLVQLSDPTVLAHDALSQALEVEDSVLSPR VRLKSPPKLVICQSQTSNPHTEATVPCGSDGSDCERVLNSQPSNEEVDGSQADVPTSS KDTELLPQTLNARMQEPSSPGANTQVPSSPPSHISLPLELPNDGTKAIPRPEPSPEIP AFYSPSPKSRSLQAMDITNRSLSTPEARERREGETEKRTHSETVCLVENNVSEDKQIS FISETPAARSIEDKSLQHTIPETSPDNQLIPSSTPIYNRSRLASHDNSPGSEDDLPAM SHVTSQKDRNSGTATWLRKNMTTRFQSILSSPSGRQRRSMTDIASDQSPQQIAPEIQL EDIDLLTADDRAFDEAIIHSDKHVAKRRKVNSGLGIRATKFAIPQPLGLRRVDENLSG PLDGTRSEPVGENITYRRVDTERLRSRVKTGPASRSIWDLVDSPRRKGQSRKGLGKPS KPIKQPVPPSQPNGKKKYAVAQAVVIYNQSSSSPAPTEKITSDPPVVTESPGLPKNNS FEWFDFSNQVFAFFNGQPHGYYPAACVGMSNDVGRHRYLVIFEDSNTPDEIDASSVKK LDLKVNDIVKVFSLDLPRTPYRVVGLADKLAVSARFPKGSSLPLTDIHGHASVILAPK QGQKLPNGEQTVTVPISRIYLDKNLWSRLGTRPYSFLSSASNSTSRFQTPMDCGTTII TPAFTRTPHIEPSVQGIFSGMAFAISYTHNEKELSRLDRLIRKNGGQILKDGFDELFN LPSPSLENQNQTLLLTSRAEQLGFMDRPLSR UREG_03799 MASPAKLSANGSASQADGQKDATKSRAPRRRRPNYKHIHRFPLP LTVHPLPPLIPHNPLSLISIALSYLTYLISPPKHEIYKAYFDAPTSSVHVTDPKTIRA LWEMGFFGKGNLSRSEPTWLDREKKRKGLLGVDTSEEATGNRRMERREWKLERARKEK EAVAEQLRAEAAGLHGEGHNAEHNAEQSPSTMTSPDIRAHESIEHRLNAVSGLAPLLV SESVNREDKKPAGHGTKIVRFSSVVDTRKYEKEAILGEPSKLAEVDSSQIIDEEHLQL SNEEAFFLVYGLGVLQVYESNMKSAISTPQLLSLFRRHSYFPPRDEFLPLEPDDRFIV SYVAYHHFRSLGWVVRSGVKFGVDLLLYNRGPVFSHAEFAVVLLPAYEHPYWSETEER RAAVTKKCRHTWWWFHCVNRVQAQVKKTLVLCYVEIPPPAPETEEDGGELDIGALLER YAVREVTIRRWVPNRSRD UREG_03800 MHLMYTLDDQGKRVYTLKKVLNGQVTKSAHPARFSPDDKYSRHR VTLKKRYGLLLTQQPGMLVIYARIFSCLLTLRSYRTENVKLFNGKLWRIGV UREG_03801 MSETTAAEASKPVHTIILDAGPLIKNVPPISTLLAQSHVLLTTP AVVSEIRDPDARQRIETLYLPFLERRSPKPESLRVVAEFARKTGDREVLSRPDLEILA LAYEVECERNGGDWRLRKVPGQKGINGAPPTKETQPVTEKSDEKAEMTETTDGTEALA TDESVNDVTKVLETTTLENSDDQTEQRTDPVARSPSNNVDAEDGSDGTDENTQDDEGS DSDDGWITPSNIKKRQARDSAKVAGSAETKTMQVATITTDFAMQNVLLQMNLNLLSTT NLERIRHLKSYILRCHGCFFTTREMTKQFCPRCGQPTLTRVSCSTTADGGFKMHLRKN MQWNTRGNKFSIPKPIAGTASGKWSGDGGGQGGWGSELILAPDQKERQDQSGSGSNSQ LETTEVIGGGSVLSFCSIVWSIKVMTF UREG_03802 MEPKIWSFQDLASLPRVARGLSGVVSEYKSHEVVKWPYPFADGE RNHEIERRIYTRLGRHARIVHVIAILPERGIVMERLKEPLCLRLKTLRENGNAVSSEQ IQKWSLQIAEGLHYIHSKGVLQADIGSQNLLLDEKDNLKFADFAGSSIDGEQAFVCSS SRASLRPFWEHHPSIKDEIFAMGSILYEISTGRKPYHDKTDSAVEELYLAAQFPDTDH LRLGPVIWKCCHTGKMDFLNTVGLPFIRSDT UREG_03803 MAEGGFNKVFLLTMDDGSEVVARLPTPIAGPQHLTTASEVATMD FLRSVLDIPVPRVLGYCATVDNPIGAEYILMERLRGDQLGARWLSLPTPQLADLMSQI VEIERKLFSFRFPAYGSLYYQHDTPEKSRVNISTDKGNFCVGPVCKRQFWHDDRADLP LDRGPCIPLVPSKSEMQTPVLRHPDLSFPNIVLAPGSNKILSIIDWQDAAILPLFMQA GYPAFCEHELSQVQTLQKPKLPDNYAEMNEFDKLQADAKFQLEKANFFYTVATGAQNS LHLLALRQPGLGMRQYLISQAGYPWDADLVNFKAALVGIVKIWDSISSEPCPVSFSPA DEEKALQDASEWRECAEILSTVQDSLGVDREGGTDPDNFEHACEMNQRWRLEMLKHAA SHQRKICWQGWVFKGDQDNSLPPLLD UREG_03804 MKLLESTIPVLMLASTVLAVDCHNRSFTTCDDNIVHWYDVDTGQ ICDPLDCGGGRAPQRKNVPGCPAYTGTETRATSASYLSCFTPSGASSTIVSMSASASP AETTAHAEPTTAIVTGTRESSAVPPSVTTPAALSTGESGSAEPSATAPISSGSGTTAP PAESTPNAGHFLDSSLAAVAGIAVGVVALI UREG_03805 MTENPTIFHAVSTFSRRLDDHGFTKLSERDVWTSTLKPGGKYYC TRNDSALIAFIIGEDYKSGNGVGVVAGHIDALCAKLKPVSKLPTKAGFVQLGVAPYAG ALSSTWWDRDLGIGGRVLVRNPESGAVESKLVKLGWPIARVPTLAVHFGAPSQGPFNP ETQAVPVIGLDNSDILGEEVNTVDDGKIENGTFAATQPERLVRAIAKEMGITDYSSIV NWELELFDIQPAQVGGLDKEFIFAGRIDDKLCCYSAFEGLLASSEDRGTGIVKMVGMF DDEEIGSLLRQGARSNYMSSVIERIVEAFSSDYGPNVLSQTVANSFLVSSDVIHAVNP NFLGQYLENHAPRLNIGVSVSADPNGHMTTDSISTALLQRVAEKCGSRLQVFQIRNDS RSGGTIGPMTSARIGMRAIDCGIPQLSMHSIRATTGSLDPGLGVKLFKGFFDHFEEVD KEFEQF UREG_03806 MYIKYLLYLTAVITSAAATEPKSVFAHFIVSSPRIKKIKKSLVS ELKLQVGNAASMTLDEWKADIKLAKEAHIDGFALNIAPQDSYTDSVLQKAYKAAGDTG GFTLFLSFDYASGGPWQPDRVIRTINTYRNQPAQFQYNNKPLVSTFEGASNTNDWPAI KRETGCFFVPSWTSLGPQGVRNVVNTIDGAFSWDAWAVGTEEKTLEADKAWIGAIGSK PYMMPVSPWFYTNLPQWNKNWLWRGDDLWHDRWEQVIELQPTFVQIITWNDFGESHYI SPIHENGIPHGAEWYVRDNPHDGWRALLPDYIDRYKSGNLTMRHPSQSPSPDKKDIIS YWYRRNPANSGSAGGTRGNNPAMGQPVLNPSSLSQDRVFLSVLAKEPSDVSVQIGNST ATKLKASVAGINHFSVPFNGRTGRVTIVVSRRGTEIVRAMGPEITTECHGGKVNWNAV AGSSMDKKERT UREG_03807 MSRRLDHTQALPDASNVPEQPKRLACERCRGQKLRCIRNRVNQL SCNRCERAGAVCITKPSMRMGRPGRSDSQRKGNIANRKRRQSHSVPSQQFQDFGPVSE KRSSSGTCYNSPAHDGSTAGMQNITGSRPAFASHLLAADVQEMPENDFVPFPFVGEGE NNDQEQEPMSWLSYNAVEMNIPPFVDKFDCFRHSLGPSGDNNLPNMIHVGPESSPSSN RTSDSGFQNIVSDLTHLDTGTVAENEGISRRETDDLYNESVERLARLDMDIRQHLKVD RTGNQPSNEHNSMEIFPPEPTLPISHVLRGLQELQDLLQNLMNVRNARSIYGSTSNHS GLNLRGNETSGQSPAGSTHSLATLSVSSSSSGDSLLPILVNTSRSSGSSTWQTRQHHL DTPTCLLMLVCYVDLVRLCRVVFSSICYYLVASNHRAISIALSDIQISGVSLQGDQNL QILILVQVVVRMLDCIGEMLGCTDCGQRTEGRGLQPLILPKLTGIIMSEEETDKQGGQ AGGIKALREDIRRLKRVLKRT UREG_03808 MHCFIFCYGHPAVWMSFPRGIQGASGRALYDRPGSPPPQFQLKL NKIPAGPQFYENFRVKRTSSLRWLELLPLPNAP UREG_03809 MPAAKITGRPNFLVIVADDLGFSDVGCYGGEIKTPHIDSIAKGG MRFTDFHAASACSPTRSMLLSGTDNHIAGIGAMIEKIQEFQRGKPGYEGYLNDRVVAL SELLQEGGYHTMMSGKWHLGLTPDRFPCTRGFDRSYSLLPGAANHYGWEPQLLDKNEE LPRLLKGTQTFYVEDDKRIEPHNLGEDFYSTTAFTDKLLQYLDDRSDDPELKEKPFFA YLAYSAPHWPLQAPETVIEEYKGVYDEGPESLRQKRLMNLKRLGLIPKGAVAHDVIAV GGRKMSKYWKDLSSEDRRFSSRCMEIYAAMVQCMDEQIGRVLDRLRESGEIDNTFILF MSDNGAEGMLLESIPLIEENIFDHIDRYYDNSIENLGKYNSYAWYGPHWASAATAPSR LYKCFTSEGGIRVPFILNFPPLTYGKASIDHSFCTVMDIAPTILDLAGVKHPGTTYKS RTIAPMRGASWLPYLQGRHDRIHSEDHVTGWELFGRQAVRKGDWKALLIPEPYGPGRW QLYRLSEDPGETHDLGADYPQKLAELISEWDNYVLEVGLVGDAIQYGVLEAKSS UREG_03810 MASFQAHFTNIRLAFNRRLFLSCCLIAFSQFNFGFDQTAFSTTQ AMNAFERKFGVYNPAKKKWALEPSFLSLLNALPYIGFFAGVFIGSSISSRFGRRMVMF TMSLHALVSVPITVTSTNKAHILTARILNYIYLGMELAVVPVFQAEIVPPQVRGLVVA TYQGCIYVGGLVMSLICRGTSTLEGNAQWQIPLALFAVIPAIVAILIWFIPESPRWLL LKDRPEDSLNALRALREGKFTEDEILSEFNALKVRLARESEKGKYLELYRGPDLKRTM ISIGMNVFLQVTGQVFTARYGTVYIKSLGTVDPFVMTIVNQAVNLFAIGVSMVLVDHI GRRPLLFFSGFMQVATWFCMAGLGTPHTKTHAMQTGLVALISVYNFGFCTGWAPLSHT VAAELPTSRLRDMTFRTANAVNIILQLVITLVIPYLMDAQYANLGSKVGYIFGPASIL AMVFTYYCVPETKGKSLEDLDVLFVQGVPIRDFKSPMTVDYSKDGLEVETVKPAKESK APHVEIV UREG_03811 MSKSTIEPSPGQILERVNHAVALLESHLGAHPRAAPSVGNPLSS RHPSNAPAANESPTFQDAGAPNRSDFADNGFGQLDIPEAAAVSTSCESILGWSSFDDI PAVQGIKSFLLQSDNYNLSRPSEPLHVPGGVLKDDAQATIAATSHRGINEEDTVPLCQ RFLDSAYQRNPIIDAAALKVYARQITEHGFGWDERTCLVVSLLF UREG_03812 MPPGGSTITGLDPLLTQNPSLSPEEERSWLYYLAEISLRRIMNR ILEEFYSRRETWWNSNNFSLLLAQYRSFLDELILWRQHIPHQLQFDEATIPGNEFAFF LKGRYYMCHEWIQRPFLYYILHQPPNDLHRVDAVPIAQKCLQNCSTLITIFSHHHRHG AIWSVVRRSLSCALLLLAAARKGFEEPLVDWKAQIEITLQTMAKWEREAIDLQWAKRV LQDIMQVTLDGQGFAV UREG_03813 MEPQTQLPTSQRAIIQAKEPPGSLMLCEDRAIPDLLPRQVLVKT AAVALNPCDWKMPTNFPCPGAGNGSDYAGTIVAIGPGVPPRFKIGDRVAGAVHASNPL DPASGAFAEYVPGYVDHMWKIPDSLSMEEATAIGWCVVGSVGLALYHTMKVPGTPEQP VEKPTYAMVYGSRSGFRVITTCSPKNFALVESYGAEKAFDYNSSTCAEDIRTYTKNSL RYVIDIITEARSIKLCYAAIGRTGGRYVGFELIPDELIASLRKAVKADWVLGIRMTGL EIALPNGYGSAPNPELREWGSGFSQRMQALIHANKIRPHRPKINPGGLDGIIEGIEKM RRREISGEKMVYVINPDIS UREG_03814 MFRKPSHSLREGRRPSRFLHVSNGMAGMIVGFSRDASYAFFGLG NLRVEFYMVLSDGTVIQDLDYLDESTIIDCPDAVTGIWNSTKRSYSFHVPKDMKHATV KWNTPAGKGTLSITSASQPHFPDGSIWPSKSANTEMAPCLHMNQPIAGGRVVADITLS KAKRYQLNGVGGHGRLWAEGSWFQIVDGFHIVRANAGPYIISYWRPISRLIKGAVYHS AQLFKDGKMLAATQYGEKSQSKDYFLFSNDFNGPVSGGLTDKSTGHVLEFVSPSRDKR WRFLVEHKRKKFEMGLGGESGLSGFTNRVTGGEVGGQQYEGHGFSEQTVFPERIAQWR IWIVYGIGYFNRGKGFLLGIARWLT UREG_03815 MGSISPPRKGEDPIAVIGSACRFPSSLNSPSKFWDFLCKPHDLL TDIPKDRFNANGFYHPNGLHHGTSNVKQSYFLQEDFRAFDAGFFNIKPVEAHSIDPQQ RLLLETVYESLEAAGLSVEGLFGSQTGVYVGLMCEDYIDHLQRDINAAPTYLPTGTAR SIISNRISYFFNWHGPCMTIDTACSSSLVAVHQAVQLLRSGESDLAVAAGANLIICPE LYIGESKLKMLSPSSRSRMWDIDADGYARGDGVAAVILKKLSRAIEDGDHIECIIRES GVNQDGRTKGITMPNELAQMDLIARTYAKAGLDPHNKEERCQYFEAHGTGTTAGDARE AEAISKAFFGPEGKSSDHDELLYVGSVKTVIGHTEGTAGIAGLLKASLAVQHAVIPPN LLFNTLSPAVEPFYHNLEIATVAKPWPKVTGPRRASVNSFGFGGTNAHVIVENFDHLA RAGQDTFSFTPFVFSATTDQSLRRMLMAYSAHLKANPSLNIRDLSYTLHSRRSEFAVR TAFAAATVSDLAFKIDAHLETITRSSDQGTGAGIRPMNASPRILGIFTGQGAQWATMG CRLITQSQYARNFIEGLDRVLQALPESDRPHWYIIDELLADNPKSRLGEALISQPLCV AIQLLLVDLLRNAGIKFTAVVGHSSGEIVAAYAAGLLSRSAAIIIAFYRGLYTSLAGN GRPGAMMAVGTSYDDAKDLCDSDIFENRLCVAACNSSSSVTLSGDADAIQEAKIIFED EKKFARVLRVDTAYHSHHMFPCGGPYVEALHKSHIQLEISTKRCSWFSSTYHGKRMEA CEDLRGTYWKDNMVNPVLFCQSIEAAAEKEGPFNLAIEIGPHPALKGPGLQTLQDIYG QEIPYVCPLNRGSDDIIAFGDALGYIWSQIAPSVVNFDRYDNILFGNKHRQLLKGLPT YTWDHDRTYWHETRISRAYRLRNDAPHPLLGARLPDGVKEEIRWRNLLKVGELPWVHG HQLQGQMVFPAAAYISTAIEAARSLVGDMSPNLVEITNFVIGRPLTFDDDESGIETLF TLSNISENGHGFSALFTYHACTNRDADTLSSLATGRILVANGNSGPDLLPTRDPARSN MIPVVADQFYTSLDNLGYGYTGDFRSLACMKRKLNFGSAMVTVPAQEPHEVLLVHPAL LDAAFQSIFLAYCWPDDGSLEQLYVPTRIESIRVNIPLCTQSMASGISLAVDSRITDN PLTSSGICGDVSIFADDAQSTMIQVEGVAVVSFSEGGEQFDRQMLSGHIWGPALPEVP HGDRATEEDYELAWCLERVSVYYVQKIKAELKPEDWDNSEWYHRALLEFFDSILSQAL KGTQPYVRKEWLDDTWEDISHILDRYPDSIEMRLTCAAGQNLASAIRGETNILQHLMA NNLLNDYYTDALGLRETTKVLSKTVASLVHRYPHMDILEIDISTGFFEAAQRVFADYA PGMIFKAFDLEKNPAEQGYVEHSYDLIIASNVLHATRSLERTLENTRRLLRPGGYLMM LEITSNDVIRVGFAMSGLPGWWLGRDEGRLLSPCVSVAEWHHLLLNTGFSGIDSMSPE LDALPWPMSVIVSQAVDIEVNFLREPLLHLGQSPGLRNWDLIIIGGRTMRTIRLIQEV CRLLSPLDIQVTQFRSLTDIDSTLMSPTSFVLSVTELDKPIFKDLTEESMTSLKSLLD YQRTVLWITQGCRAAEPYMNMTVGFGRTLTLEIPDLQLQFLDFEANEKPNASILTEAL LRLHFRQVDRVLWSLEQEIAYEGGKQIIPRLIPNKAQNDRYNASKRTIVNSVDVQNTP VYLNKMGGIYHLTEGDCTPKGNEILVRVSHSILFPVAATIYAIVGTTASTGQTVVSFP NVNGSHILVGPNDFIDCPGVKGKEGRFLSLLDTEIRVDDMLSVCASNTNVLVYNPKPD IAARLQDRATEKRLSIFFTTTDAQDTGFPWIVIHPHAPRCTVQALLPQGVCTFINCSG PADRTGSLIASCLPKLCFQATLTDDGLNVQSKGQNSTEHLRLAVTRSLSILDTDRQVS RAIKDVNDVLNQPVLTENLVLNWSNLSKVPVRISSIDKQIRFSADKTYVLFGLSSDLG QSLCNWMASHGARNLVITSRNPKVDPRWILEMESLGVAVHIYSNDITDKKALEMLVAE IRRVLPPIAGIMHGAMVLEDTAFFDMSFETMDKVLRPKVLGSIHLDELFQDNSLEFFI FFSSLTSVAGNRAFQRRRKGLAASVIHIGAVMGVGYVTREVAESVFSTIFKAGFRWMS ERGFHQCIAEAILAGKPESHANPEIVTGLRVINKNEEEPAPWMNIPRFQHCIKLGKLN EWKTKGSSTIISIKVRLRECVSQEEALHIIKDAFFEKLQAALQLQLEDSAAKDQVLSQ SADELGIDSLVAVEIRSWFLKELEVDMPVLKILGGATIADLFTFTLDKLPAELAPHRG TPTDISASSDSVLTDNPTQTPQLISHSPGSVTSPPPEPGPKVPPNIAARIPEYPNSIA KNDLQKQLPMSFGQSRFWFLRHYLEDQTTFNVTFSIQLRGYLLVNDLERAVKLIGERH EALRTRFYVGDDGVPMQGILKVSTLRLEKRSIQDAAQVAKEFESMRNHVFDIGNGEIM RVLLLSSAPTVNYIIISYHHINMDGASLEVFLSELELAYTRKPLVQPVFQYSEFSKQQ RLEYETGQMNTELEYWKSELAGKVPVLPLLSFSSTRHRSPIAVYEHHREDCRIGTELT SQIRNMCRKNKVNVFHFYLAVYQVLLFRFSEESDLCIGMADANRNETKLARSIGMYLN LLPLCFRLGRDKVFTDVLKETRRKVYSGIAHSRVPFDLLLEELKTPRSTEFSPLFQAF INYRQGVKEHRYLGDLEGHGEEYAFGRTAYDITLDIFDNPGESPLIMFIVQKQLYSSS DAKILAKAYCSLLDYFSQTPTAAVENAALFTAEDIAKGFTVGQAAIINTGVTRKSRLA VFQAPTTDWVCSLLAIWRIGSVYVPLDPNIPSSRLNVMLSDCRPSAVLVHNETSTIIG ELGLTDGTLVVNISTISQQSDKETVEVIAHADDAAVIFYTSGTTGTPKGVILSHTGLR SRMEFAAVSAPGVVLQQSALSFDLSIYQALLALSHAGTLVVAPKSIRGDPFAICQLLR RENVTYTSATPSEYLSWINYGRSELVNGTSWRYAMSCGEQYPQKLVNAFRSINLPNLR LLNAYGPTEITFESSNFEVPAVDALESHPVPVGYTLANSSIVILDENSTPVPVGMPGE VCISGASLALGYLNDEALTSKKFVSNPLPSSEFSSRGWTKMYRTGDKGRFAENGALEI LGRIDGDTQIKLRGIRIELQDIENTILSSAEGQLSEVVVTNRGDPTVLVAHAVLSPTA GIEDQRKFLQNLSSSLPLPQYMKPAIIAPIDRIPLNLHGKVDRRALQNIPLSNLSCPS DDIAELSEMESDVLRIWQEVLSEDLFKMSHIDRNSDFFNIGGNSMLLIKVQDRIRRRF DISLPLIRLFENSTLGAMAVAIQNLPDAKDLAVDWDAETSIPEGWEHAPSGAITNSRP RVLVLTGATGFLGKEILEELLVSTSAETIHCIAVRTDSKLDEFRSSGRVVIHNGNLNQ PLLGLSHDNANSIFNEADAIIHNGADVSFLKTYRSLRISNVESTKELVKLALSRRIPF HYISTTTVGRLATTEIFEEVSLASFPPPPQFNDGYLSSKWASEVFLEKVNAKFGLPVW IHRPSSILGDDSGDLDIMNNVLKYSLLSKSFPQSKLWKGYVDFVTAANASSAIVKEIF RVRAAEGTITSSSVRYLHHSGDLEMPMDSMDAFMQEEDGTGPAFSQLPLREWVQLAKE HGMSSLVATYLNAIEESGEEMFFPKLVKSSRI UREG_03816 MFSFFGSRFYDFELIRILGNTPSGGCDVAEFLEALGKIKRHDPE SWYCAWNEQGERAEKIAQEAVRDGHTNSARRAHLRATNYFRASTYMLPNSDTRIVLNS ERSIRNFREAIPLMDGETVVLDIPYESGIVLPGYLYLPAPSKRLAGQKTPVLLNCGGA DSTQEELYFLYGVAGTDLGYAVLTFEGPGQGLILKKEKIPMRPDYEVVTSKVLDHLTG IAQANPDYNLDLEKIAVAGASMGAYYSLRASTDRRIKACVAVDPFYSLWSLALTRMPS AYANLWQSGWIPESLFNWTVRLSMIFDFANRWEFSLGSCMMGTSTPGDTLRRFQAFTL G UREG_03817 MATIIALGPLASCAAAFMCSAVQQRSMKSFLNRSIPPTARHALY FQWFSEFKKAMYLSAPSHLLTLVLCFINLASSTSRATSTLWTGGIFFTIAHVYPIRLG VRHLTLSQDGWNEKSTGEAFAWVKSFVDVNGQRLLIVDLPGFLCIAGAVVLENLR UREG_03818 MARWERQDLATNLVKAAPESPWTIPGIALWIFFILQILGYPILG AWVERLLYGTQCKDRTTTNLDTASSAVSLSGFTKIYKQSWFHRKFGPLFGSRRQDVLA VDNLDLDVKNGEIMVLLGANGSGKSTTLDAISGLSKISSGSIVVNYAQTTGGFGLCPQ RNVLWDRLTVLEHVRIFNRLKSAGGVDTNEQLLKLIAACDLDKKTTAASRTLSGGQKR KLQLAMMFTGGSSVCCVDEVSSGLDPISRRKIWDILLAERGHRTILLTTHFLDEADLL ADHIAILSKGSLKATGSSVELKNKLGSGYRIHVYHNPGSEKPSVSDFKNIPSETHYDR IVYNVLNSSQAADFVVKLEELGISDYCVSGPTIEDVFLKVAEEVQSSKDLSDDENLNS SKEPSQTPDLLTGKRIGMARQAWVLFCKRAIILRRNWLPYLAALLLPIIAAGLVTLFL KDYRRPGCSGPGTTVEFDIDSLLSQVDLELVIGPSSKITPSSIGRFSRSLPGSSSSQF NVSSLLNKTHIVDTLEEFNDYINRNFRTVTPGGFFLGDDSSPPTFAWRGNGALSLPTI VQNAMNNLLTNVSISMQYQSFELPWAEDSGKALQLITYFGLALAVYPAFFSLYLNVER LRNVRALHYSNGVRSFPLWLAYLGFDSLIVLAVSVLVIVIFRAVSDTWFHLGYLFVVL LLYGIASTLFAYVVSILAKSQLAAFAFSAGAQAVMFLLYFVAYMSVLTYAPATRVNSL IKIMHFVIAALAPIGNVTRSMFTALNIFSTLCKGKEVASYPGEITLYGGPILYLILQS IFLFGLLIWWDSGPLFRRLGNTAKAEDPEEDLDADEDINHELTRVSSSNDGLRVLHLT KSFGNFMAVQDVTFGVARGEVFALLGPNGAGKSTTISLIRGDIQPSRKGGEVFVENIS VLKQRAAARAHLGVCPQFDAMDQMTVLEHLRFYARIRGISDVEHNVNEVIRAVGLGAF RDRMAAKLSGGNKRKLSLGIALMGNPTVLLLDEPSSGMDAASKRVMWRTLASVVPGRS LVLTTHSMEEADALANRAGIMAKRMLALGTTDYLRRRHGNVYHIHVVHRSAPHTSDQE MENIRHWVTDCLPEASVEQKTYHGQLRFSIQGSDGLLSVDSASDDIDTISYTVGDLSE SKQPTRAAARPSSIVTSNPRGVSVGKLFSLLEEHRHRLGIEFYSVSQTTLDQVFLSIV GKHHVGEEGEVSSSGSTFPVVYHSHLRCPFALGDNKTPDMMPLSVHHCKPPDLLCLPP APVAHYVYLDMLSLFITSSLSRFIQPICRKERIKRLAVATDCIRPDCRPPRANPLRPK HARPMGEFHPIQLMVLRLSFNPARCNLVDMDGCKAVWALFLF UREG_03819 MAFFRQVWTLFVKNVLITLVRPWLTTSIRAFLLPVVFVAFLSYA RNLFIPPAKFGIGEPTPIRSLPNALDAVSGGRDKVVFVNSGFTGGAIDRVIAQVAEPV RSSGKKVEILSSPDQLADSCKSTLLGTSYCIAAAVFYASPTEDLANIGTIRSRQMAAW EAARSGPQTPTTMLRYTSCHSSMRWTGPSRLLTTPVMVLLLQRLFVTRAS UREG_03820 MVLELAKELTDSSVKNQSHKAILVGTGENTWIWSPDGWDLTRPA DPSANSSKNGVHLDCELVNVKFDPVKTALVIIDMQNIGLNKALDPPSAPPMYEAQDAI LQYAIPAARKLGLQIIWLNWGLTEADLASITPAEIRVFAFEPNTTKVDYGLGDQQGDL NDPTNFLKCGERPNLVKLPGTELGEIALEDGSRVNAGRVMMKGSWNAGLHGPLAGAYE EGKKAARPDVWIDKNRNSGLWNEKTAFGEYLKKEGIRTLLFSGVNTDQCVGATLQDAH AQGFDTIMLKDGCGTDSLPYAKATYEFNCARAWGFLSSCKALAKAAGSY UREG_03821 MDRYFNSGAEDSSQSFRSLALYGIGGVGKSSVALRYAETRIHRK ELDAMLWVAAEKEVTLRQSFTDFAMRLKLPGAQPKDHDQNRTLVLDWLQNTECRWLLI FDNVESAELLMTYWPTSDRGDVVLTTRNRAFGLSPADRGLEITEWDTETGSQFLTYLL SADISNQLTEEEANSAHELSLKLSGHALALSLMAGLIHRRSWSIEEFVEMYKRHPQKV HGIFENSSINALWEMSFRSLNKRSSTLLGVLAFLSPDNIPQALFEPKDPDVLSGSLEF CKDPFDFSDEMETLMTLALVKRNKEQRAFSVHRLVQTSFKYFLSFEDRQKSFNNAALL VSAAFPRKDSEFAQMYHAWKQCSLYLPHVLSLRDGFREEKYLIETNGYNDLLDLLEVN AMAIPTIPPQPKSIQADIEGDLASHRGQALARVGRAEEGVKELKLSYEIFASSQPRNL REEAWCAESLADGIASMNNYPEAVIFQEKAREHWLEWAKDNSADTTEWPAILKWGMGN NLIWAGENQRSRDILTQGLEQLEGAKPYNWAMVAYTNYALGTVNRADGDFESAERHFT DAYNKWISGDNLLSDPFCGACVYRMGCAALDQGKVETAM UREG_03822 MLSDKEKLEHFLQTNRHIKYIRVQWIDYSGVLRARFVPVERCLR IANGDETIHLAQNSMLIPISTAPKIFPLSDHHETWDLRPDWSSLRPCGFLNNHATVMS FVDQQEAETRFDKCPRMRLVQALKRLEHEWGAKVKIGFEIEFMLFGSDNNRIRPMDRL NGYSRTAGLRASTMNLVDEILNALERSSIRIHHFHAEIEDQLEIALAPEPALDAVDSL VLAQETIRTPCLSGPLNGLHLHLSLDKIEGALPDNFLAGVLDHMNALCAFGMANYDGY VRSSNDAAGAWIGFGTDNRDMPVRKISDCRWEFRMMDGTSNPYLFAAVVLYAGLDGLA KKTELRWKDCTFFPHLMTEEMRVEHGMDVPMPVSLRGALDCLKKDTAVAAWIGDDLLK WYMSVKEKEVEEFSKMHDDQRRIRFLEYF UREG_03823 MPPASGDTSPQIREPMDGSDADTLFTSSDYEARRENLRNTNPHG QTRPTSGVDVRKAEEEFAELSKQLSGISHRSRRLSRQQSHRLNEKSPEEIEESSTEGE HFDLESALHGSKSAEAEAGIRPKQIGVVWDGLTVRGIGGVRNIVRTFPNAVLDFFNVP QTIMHIFGWGRKGKEFNILKDFKGVVKPGEMVLVLGKPAAGCTTFLKVIANQRFGYTG VDGEVLYGPFDANTFSKRFRGEAVYNQEDDIHHPTLTVGQTLGFALDTKTPGKRPAGM SKAEFKEKVINLLLKMFNIEHTINTVVGNQFVRGVSGGERKRVSIAEMMVTSATVLAW DNTTRGLDASTALDYAKSLRIMTNIYKTTTFVSLYQASENIYDQFDKVMVIDSGRQVY FGPAKAARAYFEGLGFKEKPRQTTPDYLTGCTDPFEREYKEGRNAENAPSTPEALVQA FEQSQLNKDLESEITTYRAQLEQEKNVYEDFEIAHREAKRKFTSKSSVYSIPFYLQVW ALMQRQFLIKWQDKFSLVVSWVTSIGVAIVLGTVWLKLPKTSAGAFTRGVLCGLPKSS SIWRSLSVQIFIFSIIVYFMCGLVLDAGAFFTFVLIIITGYLSMTLFFRTVGCVCPDF DYALKGVSVLITLFVITAGYLIQWQDQQVWLRWFFYVNSVGLGFAALMMNEFKRLTMT CTPDSLIPAGPGYNNLSHQVCTLPGGDPGSSIVPGTNYLKLQFRYDPADLWRNWGIMV ALIVLFLFTNAYLGETLTYGAGGKTVTFFAKENNELKQLNQKLQQKKENRQQKKVDES ESNLKIESKAVLSWEDLCYDVPVPGGTRRLLNNVFGYVEPGKLTALMGASGAGKTTLL DVLASRKNIGVISGNVLVDGRVPGSSFQRGTSYAEQLDVHEPTQTVREALRFSASLRQ PYDIPEEEKFAYVEEILSLLELENLADAIIGSPETGLSVEERKRVTIGVELAAKPQLL LFLDEPTSGLDSQSAFNIVRFLRKLAAAGQAILCTIHQPNSALFENFDRLLLLQRGGQ CVYFGDIGQDARVLRDYFYRNGADCPPNANPAEWMLDAIGAGQTPRIGNRDWGDVWNT SAEFAQVKQKIIDIKSERMKAAEGAATSTEVEKEYATPLWHQIKVVCRRTSLAFWRSP NYGFTRLFSHVALALLTGLAYLQLNDSRSSLQYRIFVIFQITVIPALILAQVEPKYDM SRLIFYRESAAKAYKQFPFALSMVIAEIPYSILCAVGFFLPIYYIPGLQPASSRAGYQ FFMVLITEFFAVTLGQTISALTPSTFIAMLLNPPIIIIFFLFCGVSIPKPAIPKFWRV WLYELDPFTRLMSGMIVTELHDRPVICKPEELNRFVPPPGQDCVSYMKDFFASGGSGY LAKNATDICEYCAYKVGDEFYKPFGMEFDHRWRDLGIFLAFIGSNLILLFIGSRYLNF NRR UREG_03824 MRLARSWPQEKTHSDWLPCSIPPERRVSGYVTLRAAGAGDKHDG CGRGSLAPVPDAKEPLALSSTPQPREIRLGASSITDWTVAGSPGLASSFFTGFGGDQG VNRNTKEQALSAKGATLPDISADPNIKTIEIQRASVFSRHSPDQNHAVPSPTARPREF KCLFGDDTSICSDSAVISPPKLHAVVFSKTTLLASCFFSVLDGRVVCIPQGSRDTGCN VSINSARIRFLANAIYRVSKQGATSIVDCEKALLLHASTVRLAHSTKSTLRNELTGLG GRIRGLRTIEMTQQQGSAHLGHRSEGTAVDSSHPGDPATFAMHSSLDIRSVFPVQRGS AGSRFRFLDFGPASWTAVDVIPIETWPLRK UREG_03825 MFNISNGSPGFGPGPLRFLFKIDFIKPNYDEQSEIRIPDQKWIP PTIPQAITTVGTTGWFQWSSNGVNPAMSTSETDFQVCSLFYNSEHSHFQGVPFDCRKY SVKESRMRDGIGWRRVMFRYSLHSSRLPISIMGFDSAYNVLAGKGSSSWMPQLIPETY DNNQDENCSEHTGIAGDLALLLAFVAFSYTINPQDPNMVLWVIHNCFKPPVWNRHPCT APRKHGTGVVVSIRLDPESNITAEDLRNYQKGYYGPFIQP UREG_03826 MSAVEKFPILLSEAEEESSAVPPCFSSEGINYLYIRHSNLYLLA LTKRNTNAAEILLFLHKIVEVFTEYFKELEEESIRDNFVIIYELLDEMMDFGYPQTTE SKILQEYITQESHKLEIQARPPIAVTNAVSWRSEGIRYRKNEVFLDVVESLNLLVSAN GNVLRSEILGAIKMKCYLSGMPELRLGLNDKVMFETTGRATRGKAVEMEDVKFHQCVR LSRFENDRTISFIPPDGEFELMSYRLNTQVKPLIWVECLVESHSGSRIEYMLKAKAQF KRRSTANNVEILVPVPEDADSPRFRTNIGTVHYAPEKSAIIWKIKQFGGGKEFLMRAE LGLPSVKGDDEHGGGMTGGFGGSMGGAGQTAKGKRPINVKFEIPYFTTSGIQVRYLKI IEPKLQYPSLPWVRYITQSGDIAILYMDFPHRDSPGDIAYAEPKSDNVHKLVDAFEDM GYGAL UREG_03827 MASKMRPSLPFAAIARPVRRRTSCFVFSIPACSQQGPRRAMSTE TSTEEGLPAPPPAPSPRWKQTPPAMKAPVRLRGSGSEPQFEVNSDPEKLDKFYIRMLG EGGDKMLSEEVKWQAVTHKSFDQGRRGFNDRLAYLGKHAVALQATLALMQNPASHSQK LPEDPHNRTPFAHPALDEIEVLSSPTAETLTEKKRIAALARQYELEGVLRWLPRMPRH FTQSGIDVVLAQTMYAIVGAVTLERGGAVSNRIVRERILKPLGFQIGG UREG_03828 MFSQKDSTAIFAAGRRPESDRIQILPCEIRGPGSNCCWPDQQPQ PETLTRKLTTRRPATSTSTPSADTTDPTQILAKQRLNRPVAPHLSIYKPQISWYLSAL NRITGCTVSGGLYLFATAYLVAPAVGWHLESMSLAAAFGALPLAAKFAIKFGVAMPFT FHCMNGVRHLVWDFGKKMTNKDVIATGWTVVGLSVASSLALALI UREG_03829 MPFNTELTRRLGIEIPVVQGGMQWVGYAEMAAAVSNAGGLGILT ALTQPSPDDLRKEIRRTREMTKKPFGVNVTLLPSLVPPDYGAYAQAIIDEGIRIVETA GNSPGPVIKQLKAAGCIILHKCTTIRHAKSAVKLGVDFLSIDGFECAGHVGETDITNF ILLSRARQELKTPFIASGGFGDGNGLAAALALGAEGINMGTRFMATVEAPIHQNVKQA IVDAHEEDTKLLLRRWRNTTRLFKNKVANEAYKIETEGKGEDFSEMAPFMSGKRGRQV FLNGDVDYGVWTAGQVIGLIQDIPTCEVLLKRIEREAAEAMAKISALYTPSAPQSKL UREG_03830 MKFFYTASFFALATAASCAPNYASKPAVNQKIAAQCGNENLSCC NKQINKVDATGADTDFGVLNGIVKNLNIQDISVFDQCSKLNIPIGVLGAGLSDFLNQK CKQTAACCQNVNSEANGAVAVAVPCIPLNVL UREG_03831 MAAVGLAESGLETACITKLFPTRSHTVAAQGGINAALGNMTEDD WRWHMYDTVKGSDWLGDQDAIHYMCREAPRTILELEAYGMPFSRTAEGKIYQRPIGGQ SLNYGTGGQAYRTACAADRTGHAMLHTLYGQSLKHNCSFLIEFFVLDLMMADGICVGA VALDMETGTLHRLFSKNTILGSRVDIVLATGGYGRAYFSCTSAHTSTGDGCAMVARAG LPLQDMEFVQFHPSGIYGAGVLITEGARGEGGYLLNSEGERFMERYAPTAKDLASRDV VARSMNMEIREGRGVGPGRDHIYLQLSHLPRDLILERLPGIAETASIFAGIDITKQPI PVLPTVHYCMGGIPTNYQGQVLDVDTITGKEKTVPGLYAVGETACVSVHGANRLGANS LLDIAVFGRASAQHIAENNEKGMTHAPSPKDIGMTSFEDMERIRKSDGTKLTAELRLE MQKAMQSDVAVFRTGESLSSGVSRVQQVEQAFKKDVCVKDKSLIWNSDLVETLEMRNL LTCAAQTAKSALERKESRGSHAREDFSDRDDDQFLKHSLSWQGEEAEDVRVGYRAVTF RTLDEIECPSLAKGNKPRENPRVPDSGPAPPAVEGEENGTKEGQQQRSRSRAAGGYDP AIHGDYDPNAWYAQPQANDASDPASSANPNVLYTATGAFNRFTGKWQPIGLTPENFND ENKSRRQLNAYFDVDAAANSHEGKSLKAERAGKKLTKRELKAFKEKRREKKEEKRRAW LRD UREG_03832 MAAVALSFEAIDDLIYSARVGDLPSLQADIENLSRQHNCSAASI IESAIDSEDESEGGTRACLLHWPAANGNAEILRYLLSSIQKTSQHSPLINHPNHSGNT PLHWAALNTHLECVKALVEAGADIDGKNGAGHDAAFLAERSEWSAATEDEKEGEGDGE EATPAAASSQPDRPMSKAMQVVEYLLTYEKGTNSDQGADTPGSGNADADEMEGVIENG TS UREG_03833 MSNLAQHPRENSQQTQFGPHAKSQPMLAESAPFEASKTAQPCGR QPQTWALVAGCVAIVWYLVVTLVCWIGYFQLQRYYSKAPQKALSTTVLSPSKLPHVTI IRPVKGLEPFLYDCLAASLRQDYPCDKLTVYFCVSSTQDPAYPVLKKLLQDFPHADAR VFIESACNDNELGPNPKIKNMSQAYREAKGDIVWIVDCNVWLSKGVCGRMVDRLCGFD QKDPKSKGFKFVHNLPIVVDVPDYAKREDASLSATNYGTLDNDSKGQHSDCSNISSVL SHGGGRLEELFLSSAHAKMYSAHQHRPHCSLYHWQVPRRRPGIDYFSDNICEDHLIGD RLWKGKVFEEAEHNEQWGKHSLLFGDLAIQPMSGMSVGSYIDRRVRWLRVRKYTVLLA TLVEPGTESFLCSAYLAFGLTTAAPVFFPEYCSYLATWSSFVYIWTLSILLWMLIDWT VYLKLHSGATVEVDEYTPPFARPIPKPSLTRRPFAAWLCAWIGREALALPIWIWSFYG GATVVWRDKAFKVSMDMVAHEIGQLSPDGKIRASSQATSRQGREGYSDRGSNVRRRTP NGSFINTGLVTAHAMESQKGKL UREG_03834 MAVEKEARSRIRYADEEDAVRSDASRRSLHRRGSAASAISIRSA HSRVVAPEAALPITYRTLMTPGGIIGKDDGDRLAGISELDWHLISIDELVRRLSTSIV QGLSVEQAQRRISKYGKNAPTPPRTEWFRKIMGYFFGGFGVLLFIGCILVFIAWKPLG NPPALANLALAIVLGAVWVIQAGFNAWQDWSSSRVMASIKTMLPDDCMVIRDGATSLK SALDLVPGDVIKIKQGNKLPADVRLVEASPDLKFDRSILTGTATGICVSTGDSTIFGR IAGLTNKPRHEFTPIQKEILRFVLIIASFIATVVVLVIVLWATWLRRDHPDWINVSLL IVNCVSVGIAFVPEGLPVAVAMSLTIGANIMKKNKILCKSLATVETLGAVSVICSDKT GTLTKNEIYVTDTYAGGKEYKADDAKEGLFTGKLGEDISNRGLERLRVSGALCNAAEF DASTTKLPTGMMKIYGDPTDQAILRFSEMLSSVQDLRMNWKKVFEVAFNSKNKFMIRI MSPFEQGEKHHLPSDAELWIKGAPEVLLSRCDTLLRNDGTITHLSNADQATIESVKNR WSSEGKRVILIAQKTIHNDMSSVLKSAKAEKAVLDLARGDLTFIGLWGLIDPIVTGDF KLTAQAIARDCGIIRSAPALVHSIDNLNRSFEKPQTAVETEGLRSIAISGPELITLNE SQWDQLCMYEEIVFARTTPEQKLRIVKEFQSRDHTVAMTGDGVNDAPALKAADVGVAL GSGSDIAIEASDMVLLDSFSAIVEAVKYGRLTFDNLKKTIIYLLPAGSFSELSFSRAC YGKRRSRGSPSTILIFDVYSLMLVELLHSHMRNLRWMFCFGPPRNAKKDRLVNVRFIL HAYTFIGVYECLLSFVMAFWYMSRRGIPFSALVLKFGNWGPQYDPDYVAEVTNHASSI YFVNLVVIQFFNLLATRTRRLSIFQQPPLFNKQTQNPLLFAGMVWSLFIVFIFCYIPG IQDTVDTTSVPVEYFFIPVAFGIGILLLDETRKYFVRGSPNGILAKLAW UREG_03835 MASSRLAQELAQSLPRGVQLSVRHVACAPTPCQPIFAAPPGEDP ELTSRENHFLAVSIRPSDTSTDNGNNGELLVFSIEVLVYTTARLTTVFVSKADSTGYL HLLNQPTKSLTRTVFTVFISNILRECQRPGIRLVLSLFARAQNQYLFPGSIENAHKHV LDDRGLIKWWGRVFDAILRGFGPENQSTNIAEKQGSSGTEVENTTATAYLIVPGCDKY ETRAFFPPSAKLDPQGKPRWLNSYPLHQICPTPDAPPRCLVPRFPDDPKGRFLDELDD EIFGQNAKPPKGKVLKWVNEMMDETEKSSHSRPTTGQWLSVKSLVEFWEMMTFRQECS AGRLVGFLWMIINPPGLLKSDGLKTAASLKSSDQPKFPFRSKPDVSAAKAYESIEPRA ETALFNQSEQVPKDIPVSNGTKTPSCAENGASEGQANQSTPTTESNQTKSPAKISNTI LLSKKKYNTLCNFLLTLDFADKSLALASTKSWLEKLSTLADGTDHGEVVDGANDPSEV SESPLGSQAEPSNPLNTGLIFKKRKRHTNDDHPDVTNSQQESSTKVNVLNATLIRKKK KTG UREG_03836 MAQDEPQASHYPSFRRIVTGHSPTGEAIVESDKQLVPYDPLSET QSPATAESMLAFTTLWSMNLSAFPINANEQCIMHRTLTVDFGILLDGEVELELDNGVR TKMKQHDLVVQRGTIHAWHNPGPGKARMMFILVAAQPLQFGDKVLKPSELPINPDGSL SET UREG_03837 MRVTSLFVASTLGAWTAVVARSVGSSTLQNILKDTDGSPEYHYP TDFTREIIPLARSTVVWLTTSSNFSDYWRDRPVYSAIAAGCISIEADVWLIDGTLYVG HHKASLTKERTFKALYIDQILRILERLNPRTEFEPTSTKHGVFDTDTRQTLYLFIDIK TDGVETWRQVSKELQPLRDLGYLTTVEAGSRSAGPVTVIGTGNTPLNLVEELTTRDYF YDAPLADLHRLENITSLISPMASTSFKRAVGAVDSDEEDLLSSDQLETLRSQINVAKS RGIGARYWETPSWPIRKRNEIWRVLLKEVWHC UREG_03838 MGASESKLVFRQGIFRLSEEKNIPADDPYWTGFWELPESVEDVF TLFAPVDIRRTRDTALGNLETLLLAVTSRLTALRHHPSFPDPQLAPPREALNCIRVLT RLLPFLYEAEHLEIWEEKFFWGQRKRKTRQAQLASRVLFDESRNEEDRDAQPQEEDYE DAKPLAEELLDTLVDLLFFAGFTIPQLPSTKGKVQYSIWQSGIGCHTSMGSNKELESN RMEILRLLLTLTGQSMYMPPNLLPVKGVKSLTYLTTCPDKKLVLSVLCSLLNTTLKYN PAPWKMPYDHVVWKDSRQILVIYSLQLLLTLLLYPIPEGGNGAPPKNYYRHFFGRLHQ PQDFQFLVDGMTRILNQPVRFPALAPTSSYLPGSQKSVKWAPEMMMLFWEALQCNKRF RSFIVDSSRAYDFIIICLFYANEYKTDPTKHGIVKMCVFLLQTLSVEPGFGCNLFKKF DAQDTLPPSIRLPNFRGTYGDFLIISIHTLMSTSKGKLDAVYPALLAIMNNIAAYTEH LSPAACSRVIQLFASMASPSFLLAQETNHVLLSSLLKFINTVLEHQYSNIRTPVQSLS HVPEEDSAFAIGDDDSDEETQRQPTPSQSSPSLGNSGTPSLASPTDESVPHQLRGLSE KARGKMPAGQAAFSRQNSNTSLNSYAAASSLSTTASGFVPTAAWIESWVPELPLHTIL TVISAISPHVQAAALESSLNPEARTLLSELPSFKEEPHIQAVLSDPSPIQVHLFEWSP LSLGWYESLLWGFIFSSEMVVGSASGSTPGAVGVWNGTALKLFRVQEAAAQGPTLLAP KGAVDAVGSSIVQRIGSLNLRGRSSVSQDGQGESESLRVREV UREG_03839 MSLAHLTESAEAYISEFAYKPWVPMAPDFLPSTPRLSSIQAVDT PSPGKWRHPHLKEIVQRQNAARFGDKNVRKIVWNGIVLMATGILGKTLRQYIIAFGSL LDIAIYPNAVLFIFRLFFLANIATAFYPLFRPKDDISDIPLTPSQRTLLGLEPNNTRP ATSGSTYVTPPRYRLSSSSRKASPLSPSSSPLSGRGSPMGSQGFDGSLYSPSPSPLFQ RTVAGGNRDMMRRHSFGSSSSLGRSSLRDSTTSLWTPSSPSPNGGKNGNVLANKWLYE RTRAISPGGSVFGR UREG_03840 MASSDSAIEKLDDFLSRFLADWDIYTTGLAALLVTYVGYVTFFS KDPDAHPYMLSRQAIEAPIRQPGESATFRALDAPHGYPLKSGLGVKDPETPKWSYGRN GDLRDIWRSAVKGSLNPDGTTKGKRGKIYTVLGKNVEERDIDEISKEINALGQYIRGL EAQNVAICLSDSVELLATLFAGAFYGFHTTIIPHNLPSEELAAYLQQAKADFLIAEAG AVDLQVLSKARTSLKNVVWVTKGGNKHLDWNQEPNEFDGRVKVAVWNDLVKEKGKFVG SELPPSDPKMPAPSVTTLWSSATGPGSFVEYTAGNLISAVAALGSSLPRKERIKDSDL FLSVDSLAHTYALCLTLAALYANASVALNSVAGEVVDLALATAGISPTIMVASSHTIS DYHAQRMGPSMGPITNIGRFFQTRSLDSGVMPTRNWLWRLSSAAPTAELSLDKLRLLF ISHRADGDKQNQLTSDQLTDLRIFTGARVLYALTAKSVAGPVCQTLPYDYRRHSGNSH FGPPANSVEIKLIGHKETGSDDRAAKGELYVTGPAVVSEKASLGVKAHIRDDNTLKLC D UREG_03841 MAQGGMGRVPALLARCASQTSRISRSNRYFSSTSPYSKEFLAAF KPSSSPELDALLTNFRQNLLIPLSLSSRHRRLIYRDRFAEQIKDNPISVNVGGEEEES YRLRHMTLTDHPGDKELRQMVSLMKTHNDWLNMIPFLIGLQNANRPLSTKRLAWVVRK AGLAGQAGVMLEAAKQWRRTGVTLNDPAIATALFLAIRYNAQQVDFKGEEAQKALRQA RTAAELLDAPEHTATDPKRDAKRLPGIIAILLELSAAEALNSGEGKDVRGEVRAYAQR VISTWPLGDFDIPAERHAAQFKLFELVPIWHGMSLALQVEEVKTNAELSEGLKSCMSE LGKTIDTIVENVTKESGDAKREGVELAKRLCHR UREG_03842 MASLQSATRPLGCWNSICRELGKLQSHRRFLTTVYSVPKQRLPF PENLPKQFQSQLPKAFKPGKGKSFPIANQIKIFPPPPSTRAACKEPIVAFTKDQLAIL DPTGERQKLFNKRNRQGAKVGDILRVSFKSGDPFAGVCLNIRQRGVDTAFLLRNQLTR VACEMWIKVYSPNVQAVEIVKRAEKRKRRARLTYMRKPEHDFGTVEGIVQKYLKERAI LSGERGPKKQKS UREG_03843 MSSNSIVASPRVLGLLKGLHAASLAQESSFSLVVFWLFKVIRYR FAKEKWSSRDDDFMRDKFIALEPDKCELVYLLARTTGALNIVEAGTSFGVSTIYLALA AGQNAAAKATSGPIIPGQGAKVIATENEPEKAKRAKQHWKEAGEEVEPWITLLEGDLR ELLPLEVNKTSQIDFLLLDIWTPMALPALQAVQPKLRHGALIVADNTAKSRAGYKDFF DYVCNPSNGFKTLTTPFKGGLEVCVYLPENTA UREG_03844 MASATSTPTTSTPASPLPLAPLASRPPLVSMKPIAAAGSPAPLV QCSVTSREWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVGELEEQIKN IEEENDKKEALMKVRINTLSKQLEDCRNEILWWKKRCQTLENDVSAERNSKDAAINEL RTIKARNRTETVPGGCENCSSTRCQCIDDAFNVSSVSNRQGESSIPKRPNSPQQEALA KRHRVEPEIKSEPEELEIDFTSRFARQQSESEVIVLSPTPLFDPCGFCQDGTPCICAE MAADQPAEQRRPQQTNKLAPIKHLSQFTPPPSDGDVFSDSLSSLTSKPNPCANGPGTC AQCVADPRSTLFCKSLAASRAASAGTGCCGGDGSGEGCCQSRAPSQDNNEHLVLKDNP PCAPPITLSCADTFTTLSRHPNFARASDELTTWLPRLHTLPIPRGLSSSIRNRPALEV EAASVMGVLRYFDRRFAN UREG_03845 MTTLSEGPNPLRPYYIPPSIGLPNGQSASKFPSNGGPSAADANI TGFRSSAREILSDFDYSDYLGESSPSVAESIRQLLESALRRYSRVLISQPFDVAKTIL QVYVVQDAEQEAGPVDGERRRDRSYREGTYTDQTAYSSDDEDSYFTPAAPAATAPATS RTNRPPPRITDRSGYIPQSSRPSYMLKIKDPSALFDVLAQLWTTNGATSIWKGSTSTF VYSLLLPTLNTFIRSLLSAIVGYPEDNFSAVLESDILASASPGITLVLSCVSAALTSI VLSPVDTARTYLILSPSGHGPNSLFRAIRQLPTPYYLIPPHLLPITVLTSTIPTLLAH STPLFLKSYLSLDPVLNPSSWSLFTLMASGFELAVRIPLETVLRRAQISTFTSPVLRQ QRIMPTSSGHRDATSIVKTVVPTPQTYRGIVGTMWSIVYEEGTGRTPEADAIENALGH PPESEKDGSPGRVQRRRRGQGIRGLYRSWRLEMWGIVGIWGSGFLGALLGSGEDEILT GSGSTMGLGSVGSRTSAGAF UREG_03846 MGPSISKKRRIEDAMHGKTSQPKKKFKKQLEYHSSDSEDEDDTA APELPGVNLQDADDSETPVQNIKVAKDSTATSDAEDESINGSDSEAGSDSSSHSDSDG ESTIKRKRMSKRNDPTAFSTSISKILGTKLPTAARADPLLSRSKSTAQTVADLASEKL EKRARAKLRAEKKEELERGRIKDVLGVERGEAGETAEQEKRLRKIAQRGVVKLFNAVR AAQVRGEEAAKEERRKRATVGMDEREKKVNEVSKQGFLELINGKDGKKVTIEEA UREG_03847 MVLQDLGRRINAAVSDLTRSSNLDEKAFDSMLKEICAALLSADV NVRLVQELRKSIKSSVNFSSIPPAVNKKRLIQKAVFDQLVTLVDPKTEPFKPKKGRSN VIMFVGLQGAGKTTTCTKLARHYQTRGFKSALVCADTFRAGAFDQLKQNATKAKIPYY GSLTQTDPAIVAAEGVAKFKKEKFELIIVDTSGRHKQEADLFTEMIQIQNAVKPDQTI MVLDSTIGQAAEAQSAAFKSTADFGAIIITKTDGHAAGGGAISAVAATKTPIIFLGTG EHMLDLERFAPKPFIQKLLGMGDMASLVEHVQAVTQGSAGAKETYKHISEGIFTLRDF RENITSIMKMGPLSKISSMIPGLSGLTAGLDDEDGSLKLRRMIYIFDSMTAAELDGDG KVFVDQPSRIVRVAYGSGTSVREVEDLLSQHKMMAGMAKKVGGQKKQMQRAQNMLKGG NKEQQMAAMQKRMAAMGGAGGPGMPRMPGMPGMGDMAKMMQMLQGGGGAGAGGMPNFG GMDLQSMMSQMGGMLGGGGGGGGGGSGRGRR UREG_03848 MPPTLRSPGHNGAITNPRVICYYQTYYPNNGPDYVSTLPLLRNN CGVSHIILAAIHINAEPGNITLNDHTPDDPRYTPLWAEMRVMQTMEIKVMGMLGGAAK GSYQRLDGGTTDFEAYYGPLRDMIRAHNLDGLDLDVEEEMSLEGIVRLIDRLKSDFGD NFIITLAPVATAMVHGLKHLSGFDYRELEAARGPKISWYNVQFYNGWGHMLHLSVYDT IMLQGWKPEKIVIGLLTNPANGSQGYIPMETMSYVLATVLAKYPFFGGVSGWEYFNGM PGGGARPWEWAASISLIMAMRTILGTTADALGLTSMSSSSLPSGLTVEQRQSLCNGGL LSSSKVSHSIHTLGMLSSQANFIIALSSTTLRLLSYVFLRWIPGHPFTPIILTSLLVY LASIYLAREQEGSQRATGEHNKTRGKKSKGKTQSDNGEKGHKNEGPRQATLETRKTER VSALRSLLLGVPSTTSKLASYATVGINIALALLTLDFVLRGLIFYPTEDLSFSRVGYV SPTSAKIFVREPNENFPVHISYQEVEDGGTGKSMMAGTLYSLEKSTDFTYPISITGLK PSTKYRYSLSNNQVGEFVTAPEPGSPEAESLTFVTSSCIKPNFPYNPLSHPFRIHGVD ILTSTLERLSSALRPAFMLFLGDFIYIDVPWRFGSSTKHYRNEYRRVYSSPSWQIPPH SPANIPWIHTLDDHEIANDWASGNETPPYPAAADPYLHYHVSVNPPIPEDRYSIPSNT TYFSFVNGPASFYLLDTRTYRSHPLQEDSTMLGPAQLQSLLDYILRPEPEGVKWKIIT SSVPFTKNWHVGTPDTWGGFLKERRKVFEAMWKAEYDLGVRVVLLSGDRHEFAATRFP DPVLSSTSLPETFSGAGRGIHEFCTGPLSQFYLPVRSYRQEDNEDVAIKYVPDGNFKF GLVHIGVDKSGDQPASYLTYSLYVNGKEVWRYRLAAPLAAPKGRVPPPGEIIFDHVDN WEEGLRKNVGSWAAFARERSGWVGKATSKAWDGFLRSIRVDE UREG_03849 MAEAITEAGAEVHCFDILPEPEDDFIATQELANKAHIGKLFYHH VDVRDPKLLNDVVEKIASRNNRLDGLVAAAGVQQVTEAIDYTADDVTKMMDINYTGVF MTAQAAARQMMALNSPGSIVLVASMSGMVANKGLNSPVYNSSKAAVIQLGRNLAMEWG KKGIRVNSLCPGHVITPMVEKNFEEVPELRKIWERESMLGRLSRPEEFTGAAIFMLSE ASSYMTGSTLVIDGGHTAW UREG_03850 MPSTIPPGLAHLEYTMESMHQQQICLSSHVSAPATSGSSDIKVP PNELLVAGMNGTGTQLQCGYLTGTAGPQYNSAFALQSWRPIATHFGSACLSQVVMGRS PIKQEEIDSARWIVGKRGASHGVYITESGTVVKYGHHVQAEREARAMSFVRRACPQVP VPEVLGWWEEGEGKDRVGHLAMSLIPGDMLIKSWPTMDQVQRDSILKDLEEILHQLRT LRAPSTAVIGPVDGTSPAADVRDGHAEFGGPFKTESDFNE UREG_03851 MANGDSSPFATSHDTLGMSFLHTPRFMQSSPPKLSPYNRPGIQH GSATRRMKTGTRRSSKSPRSSDGDDSEANLSDYTFDLNNLPDARASTEKKDDGIANKA ASKDNDSLSEHGGPDDFTLNMVQMLKGGNMEDDKDNPLENSKADVDIDGPPTRQAHDE TSEIEPPLEMSTPAHVLSRRNDFTHHETQQKQQNNEFALELERLRKELRKKDEIISAN QRRVLDAASIVQQVRHLQAELEKENKKRLAESASTDKQIRELETQIRVKDEELKFKNQ NGDEEACSLLREQLQAKEKLLQQTKAKLDETIASSQRELSDMAAENDQLRSEHHENVC ELDKLDSDIESLTTERDMLQTRNQHLDKRVKHLESELTKLQQSLSMEKSETASNFDAL KNVANNLSLAIDGKSFKQVLDIVMRTYQSRRDEDASRAQITTSETENKENGATNTQAQ LQEAKMLNQFLSLQLEEAREDLLKTDNALDTIQEKYSSALDAGNAQMLKLCRALEKAS LQHAEAVETVKHISENVIQTPLNPETSPPPSLAEVENRFEMKDSPKSHREEIDQMEDS HALKISKLRDSHAQSTRTLHALLGAAQDRERELQSELVEVRKLLSSNTKEISALETER ERLESVIEAKDAAAAALDSKFAAVLKKREEVWGSRIEKLLHDRERMGKALMWTWGEME VGKANQREDTRGTTTAARHTQGYRYKHVARMKGTG UREG_03852 MSDARLPLQGVRVVELAGLAPGPFAGLLLSDYGASVLRVDRPHP NAHSTSKPLPPPTTDTLTRHKTSISLDLKASASKAVLLSLVRNADVLIDPFRPGVLEK LGLCPTTVLLKENPRLIIARMTGFRRDGKYKDMAGHDINYIAVSGVLGMLGRAGEAPY PPGNILGDFAGGGAICFLGILLALLSRQTTGKGQVVNANMVDGSAYLATMPRLSRKTP MWNRPRGTNVLDGGCPYYGTYETKDAGNYFAIGPLEPQFFEALLRGLELSATDIFPPN SNGRREDPANWPHMRGVLEKRFKERTRKEWEAVFDGTDACATPVLTMDELEDQGYDQR LVVDLAGTPGRPFSAQDGGWSPKALRPSTGGEETLSRWLGWRRGKDFDVENGALVKTT GAKL UREG_03853 MDQLLRFFPQRSIPMDSMKRCLRQFLITLDFLHTEAGIIHNGEP WEPIAFAAQMLIRILSDLQPKNLLLPVDDVSTFKEMEEDEYKNPSPRKFLKDRSIYSI RGLPLPEGGLPLICDFGEARVIDEEGHTDDIMPDIYRAPEVVMHMKWNVKVDIWSVAM VAWDLVAPQPMFDRRHPETGEPDDRYLIAQFAGILGPPPVEFWSQSRLCQAFWDENGN WKNVVPLPEISLERLAADIEGEDVPGFLRFLRRILRWLPEQRPTTEELVYYPWLLAGL GSEITADEDNLSMS UREG_03854 MSSTSDQTAGLTGVVADNAISPERPIPTMKSPIDGSVLGYAEDK PVHGNGVKEMAPIVELLENAGVSCCMVAEPALIYYGAGRVMTVFLPGASHIQWIVCVP VDKLVQATEIMLQHNGVLEPYRASALRRLSVYAQSLLETLNLVDLDDLVDGMDLTTEW GETNLKLDGTADAAWGRWRADFLNNGEKAEDGDIPQWCFNPPNLLEIWQETTSPEAKQ HRQGWKYHPRMATRFRRHNQKDPRLRTRLYC UREG_03855 MRRFYAFAAVAAQLVDIGFAQTACTVTLTPSSTPVAADGYNVRV IAQGLRRPRSLQFDREGNLLVVQSSAGIWNFRLRDHGGTCLEVEDGVDLIDDSVKTRL NHGIALSPDEGKLYASDRGTVYAWDYDAVARRVASSEPEVIIRGLDNSGHSTRTLHYS SGLGDYLVVVRGSAGNIDLECGDISTGHCQMKAFDMQQIPRGGYNFTADGIRLGWGMR NSVGIAEHPGTHGIWTVENTIDNIMRQGVDIHDDNPGEELNFHGTVNSTTGLNYGYPY CSAAWDVESIPNNTNFEVGTEFALDIEDGGRTDDYCAGIQAPRLTFEGHTAPLDIKFN GSSEAFISFHGSWNRDDPIGYRIARVPFEDGEPMASRTDRQAAISVVTNEDISRCPGD CFRPVGMAFDRVGRLFFVSDSTGEIYVVEKAQGSGPDAPPDAPPNSPPGEGKAASIKV GILNLVSAALLLWYVI UREG_03856 MVDYRSPALQAPHRKPLPAGNAGLQFYSADASTPQQSLPLRVHN SPANSQHRPRTASSSFLPSSMHPLRQMTPVYPPQQHPQQHPQQQYSVPFPSRRPSNAT VSTTSTGGNPHPSRHMSASQNNVRRSSSGRTASSQVGYVALMRRQKATVWCDRSQTED PREAHQRRVAKQRAILEVQGGGGSGRTSTLISSGKIRHSSATKASPFTTGTMVGAGVP LRLSANEIGNADDDLDNQDGSTYHRRTGSGRSSAGSNRLQRPNQPRLSIGSAGTPPNA EPAESRFDIPDIVETPAAETAGELKSPVLGKDHAGAGFQGGSASDNNARPGTALSNTS EREEDFGMITEMKAPSGAAAAARRYKAAEELKRRGSVDDRTTTLGNVRLFVANPDLSD UREG_03857 MGAEVQHGIPVQAHPIRPATPKKRPYDDSNRNQVSPQANLRETN TEWTTPRKANPQLNRRLFLLGLCPPSRPLQRIPNLAPFPVHRPSQLLFQTQITCRTSQ QLPIQLVPLPKGEGCRPLVKKQRPRKKQGKTRLANFWEEEKQKREEEKKRREEEREAE KRRREEVRKKKEEEKEEEKRKREEEKKKKDEEKEAERKKREEKRKQKEDERIAREEEK KKKERSQMRLNAFFTKPSIPNSTNKAVEPKAVEESNQASASQSPDTKAVSDYSDEFPP FFVQSHVCLGASHRFQRDAEALLHVREKIDTSLKDKEGSAGPNLPIRPSELFNIMPYK RRYGKTGIPPVRELVMALNDADASKGHVIDLTGEKVATNRIHTQNILRKIPMKLLQFR EDVRPPYQGTFTKHLPSGTAIKLCRNPFSRVISDVNYDYDSEAEWEEPEEGEDLNSEG EEDASEDDEEDMDDFLDDGDDEIGKRKMIVGDLEPVCTGICWTDGNKPHGLLGTYQME ILSETFNFPIDPFSDAYWKKPGAALPTNPSISAPVNGKVSDPSQRTLIPSTNGFLAPG PTSRVTPAPTSTISPHLNSAIVSQKPKAQFPSELLPDFKQAVSGSDLTKAGLIEVLKK RFPKVSKEVIKDTLTATAVRPGQKEADKCRG UREG_03858 MVSLILESESITRDILTALREISTGPEFTRYLTVNREFLRLGVE LSLSCLVLTERTLPRFLTCMEQRPVPAELPIRSLTLNLVVRDPSHTGEPTGLGSVAYP LYFSSCNIIERDALLQYFSFRVDAQSVAGSWYDDNYTPIEPEFYHGHLVALLEALPPT CSSLELDTAGVERYFHGEHLCGHIARVIPRLRHIRLRVRNLCSDFIHLPGEDDPDFGQ NIYPNLRSILINGDIPIGHSMSTGVCSTVDSPNSLHEEIIPVLLSNHRLHMRGLNRLE LYDSMVVEGPSRAFTMMRKTDVLDELSWFSPSLYLPNYHFDRDRRQTWRVIRSDTGEE IVGPRNSVPELFEYAWDTTSEGARFPVGCDGPGFPVQRAFGWRLAWGGSNIRDFAAYQ NQQRALYPPDSKEMAIILSLDFIGWTPTGFHGVDAHLFQLRPMNTPNGHHEPLPN UREG_03859 MFSLGERLVREEETRPVGAGLGGEARSSREREEGGMASEAELGG KHGQRRSPERQMAADRGKRSRERTESEMGGEPREKRRILWRFWRENGGREGEGATSPS TLTKSPARFTLLPGPSRPTRAVYKVVISSFHLAGRDNAPLDGSTLVSESQTVTCLYYG SAERRAPDPQRRVTPTSSRIPGEHLRHEGTKGREPKHFKWLQRSQMEYLSEAAESMME WSLDGPRFGKLFRAEPIHHRVQLMNREDDLFFTAWSP UREG_03860 MSKAMTICLELLLTSPKARPGSFTQRLTPRSREDLLEFLTRVRT DSDFLFQCIASLTPAQLSGLISPAHAVDAGEVYSPLSSRNKPPSLFTRRTTSHSNVFK EHAFAFERTDPLSALLFNVFSAPMASNSPDARLRLDVWSSACAKLISHGGPGYYPFIG QILSIWSSATAWKVKPKLEVYLMDVLQKGAFLLEYPDGRRLGLDTDGFDPLRTDVAEQ FFQSAVHDLFAVLDDSDAGFPEGAIELGNAIIEKLGTAETHKRFLDFIFSQWFFRKFL PTAISYPETHGLLLDFHITKDARDRLLSQISHRAQLYASRTLHHPPEAALTPPLIRLH VENMLSHFKQSSPRRYSDTMPSPLPSDGTSVCDVSENFLSLSTTDITTVLDALFPKSG SFLNSPDPFQNLSSSSSPLIGHGIRNDGRPFETSLFQARIDPFPTRPTVAKTVITAEM SFQNSPKSYSVPPSSTVSPVSPQESFSRSADRIRYEISEINESEDRHSLGSPTSEDWA IISISRDGRKLSFRLDEENPPLMERSSGDWTGLSREDRDALNSAVIKLTDEFEHTFSL VETNECLNARARVEEPSYLRRRFMDNMVNCQRQSNFTTSHYWWNAARLLRIASNGNPT RVTDDRILGPMYLTAKVSTDFDSSIISRCSPKAIRLKHTLKHLQTQVKTSMSGLAKLR NKMWYMTDVRNSLRYEDARNVALALKSMAGFQTPSAVPEPKSKFGSRSLGGSFLQKPE IQVMNVMKASGSQGGPMKLSDEQVDITRKFLHRSGIDNFCRGEERIHRFCYEVKTSVS KLVGESMTDSPVLWSSELYQKERSMFEAPGTRPLTALSAGTGIRPSSIASEDSLYPFP SQPLGGRNLDSLFRSPTDIPSLVHKSSFQSLSSERWKGRDASADTSSVGDSPGRAAST VESYQPFWSPIHTQAQSTTSISSFQSRPASMVSDVLTTRRLERSPPGKAAFLDELKQT LTSLLLSDLGSPVWSCGSETDAWFSDYLNQPRVRVQMSKQERLDRFLAELATPSAIHA LDDRPGRKLRRTHSAVALSSKYSESKGSSESNDPSITKGTQDFEYEQAFQQLMDGFSR PANPFTKLKALNDLRSLVIASLTSPGTSVEASHGQLDARVRGAQGGREHQRNSISEGS KPPNIADDQTPTPSSPGLNGISSGSSINSGPSDNQIIRALRDLIQKFQPKTLFRDLQF IAAFVPSEVLNKVDAGTAFLQFGLAAFELKDDLCHSMVEIADKIVSQELNQRQRHSPF SPRFGNGIEDAARMWIITAREGNAVAQRELAILYLTHPEILPRVTLPLTMPRDTFKAE MMYRRDLDSKSDPQSMCLALHWMQLSAAGGDELAQNRLREREEFESFV UREG_03861 METQQMHAPHSSENVPKRPKGLVWRKGILKKSSSSVQTASFSPP GDAPISPTSPQSPFKAQSPADNKELTLQNTMYNAGKHYNPISRRQSSVSKANGALGED GNSPKLKWDEVNLYLTEQERSSTMKIDEPKTPYVPHYDPDQEEDEDDDPDVGGIDADD VAVDELEMQKAQKKGGRNRRAREDDIPDLDLGEPEEMHWNDTVGDSRITRARSVSDTS ASGKPEKHVVMGDDAGEGLHRTESLEEREKHIAFEERRKKHYEMANVKDLLGHPEQMD ELVEDEDTADISQQHPPVPGLPQRFAK UREG_03862 MRAFISILFSGALLASQLSLTSATPTPRGLSVPAPPADPPKPSK GDGIGPYDSKDSGFGDWVAKQKFKAMATAADGLGLDNAARNLRHYLGNSGKTLSVSPE NMLKDLSGLSKQVRILAQNEAGDAFKAIKGAKGQKAFSSKWTNYYATTKESKDWFYAL GGFSFSVTGVVSKSSAKSGTLKYAVHIFDRYNWDGGKSVDIGPFHFEDRELGELHLKG EAREYLVRGTSKVVTVKKYTPSTKIPLPKPKGGRRD UREG_03863 MEKQQEQPTQTLPVASEQPAKKAVDVPQDATTAAAPAEGSQTVE KLTDASTKEESQETTVSPPAAETTDKEKSHTAEEVKDGAGVDADADAHAQVADATKEP ADARPAYLVDNPALSQFFDRLAPAIETTGHNEMWGVTLKDAHDPPTANIMIKFLRANE GNVKLAEEQLVKALEWRKKMKPLALTDNTTFPTSKFGGLGYITTHHDANSGKDVVFTW NIYGSVKDVDVTFGNLEEFINWRVALMELAIRELRLGDATSVMDYNGEDPYQMIQVHD YQNVSFLRMNPNIRAASRQTIEVFSMAYPELLKEKYFVNVPVVMGWVFTALKVFLSKN TIRKFHPITNGLNLSREFSTFGEEIPKTYGGKGAPLSETGRTVALQDDIAAEVPANAA KDNGDGAQAPPKPEPTPADITKEPAQDNKQQDSTVTVEIPTDAPANVRQN UREG_03864 MLVWNPDNIKDVAESVGINALNDEVVDHLARDVEYRVSQVLEES HKFMRHGKRTLLTTQDVSNALRVLDVEPLYGYESTRPLRFGEATIGPGQPLFYVEDDE VDFEKLINAPLPKVPREITFTAHWLAVEGVQPTIPQNPTSADSRNLELISKGPNANAN LAAMSGNENVTVKPLVKHILSKELQLYFERVCSAFLDESNEEYRLSAFASLKEDPGLH QLVPYFVQFISEKVTHSLKDLFVLTQMMHMTEALIQNKSLYVDPYVASLIPPVLTCLI GRQLEGGSDPLEHFTLRDLAGSLIGMISKKYSHSSHTLKPRLARTFLKNFMDPSKPFG THYGAIIGLHSIGGPDVIRELIVPNLAMYEVVLKDAAGDEGLRKLEAEKVIGVIVAAL STLQDEKAPLTNGLANGAMETLNQQLGEKIGLVLASRITDSGNLRLAQAIIGKL UREG_03865 MVSIDIPNNYSTSSSSFVGTPSLTINHGATVDLDSSNAFEGPEK LLEVWFSPSAQDLDGTSVPTGLKAVSPEIWKGMLDLVNCQVLSIVESDDVDAYLLSES SMFVFPHKLILKTCGTTTLLLGLPRILEIAALHAGFPKNSPPCYGGISSAAAPYRVFY SRKNFLFPDRQRGPHRSWRDEVNTLDKLFLRGSAYMIGKMNGEHWYLYLTEPFTSLTP PTTPNHETSTRTLTLPDAIDGMKRRNKGCDENDETLEILMTDLDETNAKQFYLDHASA VAEDRHRSLHRDNDHHVDVFSNNSSDNSDIDSDAGHSLPSELTSEGHALGTVVSDSCG LSDMHVLMLYLFTPCGFSANGVVPSPDRNSGTHYFTVHVTPEPHCSYASFETNVPHVQ SGRETADIIKHVVDIFKPGRFSVTLFEAKPTSFHSADALDIHSTKTLHRHIALRNAKM ENIPGYRRVDSIVHDLDGYDLVFRYYERNDWRGGAPRIGEAGF UREG_03866 MSLSPPPVPLSLPVANPKKRSSLPGLASSSLPAKRPRFHPLRQT SFPTSTDTDPRVYVGTTSARSEIDGASVSGSFTGSLNGSIDGTGVGKGRKRKAKKDRD DASGSARGDAVGGKGVSAKGGVGDEEAEEEDDDLGDTELMGRDDVAVDAEAERKNLAI LIDAFSAEQSERYDFFKRAKLNKPTLRKIVNQTLSQSVPPNVITTISGYTKIFIGEMV EKARTVQEHSRSI UREG_03867 MLASTGARRSGNIAGAARSTLQQSLRSHRQFSSLTTSRNPQSQW RSVLKNNSTFRAKNHGRTHSSLLYSHGYLSSSAAVTPDVTPETPTPISSLPLVTGGSV YLAIKRGGEMGMDIKNSPLGKFMLVGMPLISTVAMLFWPAVLQLYFASTGILALVQSY LTTSPGFRKFARLEPLPKPEPQDPNTTGIPSRIRVIPTTARAVPDAQQEPEQQVYPAQ KISVIDRALDNVKTGVRDMQKQVKEKMDEMSGNKEETNPDGTPKVSRLSKQELENAAA YEKRRKEQIEMERELRNQRLRQQYTMKNGDQNGK UREG_03868 MRGHKEGANVGLQQPIEAEAQNTTLLRESEYHRIQAKGGISPCS SVQTIYSVSPCIAVRPAPFVTFGAYMARLEHQARIYLENNQWNLDLAVSEFYPEEPES DSADDQELYSEQEAGRADERTLGGGSEASAQTTRPSGAKPPRKKFATLNDLSSADTAS HKPQDDDHPQNLFTGGEKSGLAVQNPDDLKKKIIEKAMRELPRHDDPQPRRSHFTGTA RTLGGDDAPSEIIEDANTSRPRPLERVHRVLHFWNDGFSVDDGDLYRSDDPRNAPILE SIRQGRAPIAIMNVEQGQAVDVEVNQHDTNYVKPKPKYKPFSGAGQRLGSPTPGPGTP AAAPVASTTAATTTNTEPEQPKIDNSQPTVTLQIRLGDGTRMTSRFNTTHTIGDVYDF VTASSPASQTRPWVLMTTFPSTELKDKSAVLGEIKEYQRGGVVVQKWT UREG_03869 MPLDGVKNIILVLSGKGGVGKSSVTLQLALTLSLQGRSVGILDV DLTGPSIPRLVGLEDAKITQAPGGWLPVTVHPARTTSPSDGSESKQPAGEIGDSNGSS SSTTVNNTQTPITPRGSLRYRPWCDSSSRTSYGERRDYLLIDTPPGTSDEHIALAEQL LTIQQASASHSSSAASPGPRLAGAVLVTTPQAISTADVRKEINFCVKTRIPILGVIEN MSGYTCPCCGEVSNVFSRGGGQVMAQETGVRFLGAVPIDVGFGEMVEGWKEDLGKEDR EKESMGDGQDAGHRVIDALLVDRYTKCWSFSIFEGFAKKLVELAEGTE UREG_03870 MEARSDQFSVLSNNGHPVSSTHTPQQWMNQPFILRSGHRARRFD PSVDQPTLAQRTAAFRQLNRVPRPPQRIRRQTTTLGSCSPLPSQPVVVTTYIAETETR GTMSRRDSRSEHGPPAKLPRVQDFGIDGILRAIEPDIQTTLDAIAEICGRSKLSLANE YGSHRPPLGEIRAPARLADHGLLAVEETSSSNERLAGDNVIIVGDDISTVDGREPYSR YGLLENMQPNIGSLDYPNTVAPWAESDTQPTTLRGHLPSTGESRSQSQSRSSRGTKTR PSSFPWALVGKSANHGNRANRQSIQTQPLISEVYLDAEAGGTARLSTETDWPFALPNH SDEEKSVLKLAAERLSIVTDIRGLLGWFKHARQQRGSGEDGACPTAERKLRELLQKQD TQLLSRDGDNRVLHDEGA UREG_03871 MNRFYFGDSDESEAEANGSDGYLPFPKPLSRASFVTPDFDPAEF LSSLANRHQSLADLQTELRELSQSLSKELLDLVNENYQDFLSLGTALKGGEEKVEEIR TVLLGFQRDIRSVKEKFESRKATIKELLDEKKQLGSQIAIGHDLLDIAERIELLEQRL MVRQRPRDNEVDVEDVDYDDGETDEDEDQRNGELDTTPLISLRRLERRTHQYLSLKVV ITRVGEQHPFVIGQGARLAVIKSGSANGPQGCGNASRNGPERTERTVS UREG_03872 MATPHKYLTSFTRSTLSSLFQPVRPNASSVLPFLYPVQQQARDL RTSSKSKGKDASARAKKKKRTFRQYDMKDAVQFSLCDAMRYIRAFEAGREPTTVKYEV HLKLRTKKDGPVIRNQLRLPHAVQTGTRVCVIAAPGSRAAKDAAAAGADIVGEQDVFE QIKAGNIEFEACICHSASLQNLNKAGLGRVLGPRGLMPSTKLGTVVDNVGIAVKNMRA GSVYKERAGVIRIPIGQLRFSPEELRANLRTFIGQVKKDAAALTDQVSKEVGEVVLSS TNAPGFSLNGDCKSEDSPPAYALTGR UREG_03873 MLRVWENVYSLGVLSSFQLVDALKKMEENDMICLDISAQNAGFF LKKKRIGVVVGCYEVSATNSAVMEAVALRRTFPSRVIALPLDLLQNDSFLSQFTIALR QLDSEKVDAAMAKTKRATAKVVEERDTANPFLVTELIMGVLSAHGFPTIFRSIEKRVR DDVCWHQSKLPWRRSPLWTTIRVSIQLYLNDAELPNGELEYKNFVLFLVLAIAASALD RYSSSDMLFVLNAKMAHRVAKLGRSNFDFLHARVAEMMARVRNYLEARWNWMQTYHAV RLNKLSLSNISRDTSLCLYNSRDYLREVRTLPPSHATMPDPPTPKRFSPPPDILPSSE FLCSLSSQDVHGLADFEAWVQHRLPVWLSKSKNWESKIGQIGELVALYNVKADAIYGS IAEHLSIKFLTIVELWCALDRLTCRKYPLLLEYPPDIPIDFLTPLLLPKRSDMRRLED IEQYIQMRYEDAKWKMSAFFSPISSNSFAVRFYDEHRGPQRLRAKIEGEAAKAREQKR REWRQKMDRYLVCVKQENTLKHADSPGPKGEPLHIPHRCRKCVLLKEMKSLKIEKHEW PLPSDDVSLKAVVSELLCPSEISMWRDTTWMILQDLGRKHCQPSEKPVYSLTLANCKT FHNYLAVRKAARITLASNTKPFYVTHYRSSNFPVAVDDVFVKSSLSFRYFDSSKKLWV SAQKAPPSVHRDCITDLPPGPYLDSKLQEAVNATSHTPNQVISRLFDCSSELSADEFL AFGLLRSGIHLQWMNILRELGSGGIQLNSRAVDILICQAAWQVGPPLDQTDLRAAHNT FTDSAFCRCLLVVLESCYRQVESNWSELNAIRVIVHVLLRLLSLSSSKPIIARGLALL GRIRFTLFAWAKKLRNLDAETPNTEKRQITTAVALLIQETFNVEDNLINVVLEEPESL TIFVACAVILSEFSFECQQEDIDTRNESSCVDWLRYQKLCHSLEPKIILLARQRPLDV SNGIRIKWEKGSSVQSWKKHGSYCWIRGKSGGTNPQTVDFNCLSGELLIDGRPIQKLP ETYTDHPLYKRVFGLQSFNTAVSDIPSLEYMTTGGVRRRLYFAMSQEDLIIRTFDGKD YFEVISPDKLSGDFPASLLDQFIHWRNLTTLEVTFCPLEALREPWLDCWKLSHSSGTS VLRRESRYLIERDSITFKCVAPIFEPLESPEYLEVTYCESGTLEVNIPRYQLRFFLNA DGQFECSELLAIVDTDQTLGTLIGLKNKLVLRDTQGQRRRILIPYGNVRASLLADHVL VTVDTDGESQVRYFQYEADPYLRQLRGMGDLVSTLYKSYLHALTTFVLPDPFTGVTGT EECLTGLQQSCSVACETLDPKAISLLNLISKLTPRRVYYPIHLRCMEDVRWESDIPLW TQNDIYAALCDSLISYNNKFRDLYDSSEVIQLKSRGDTHLLVRSRMRNHYLERPEFLG GDLDKRILTAHYNSRDHGDVHYETACWVASLFKTWPDRFATPDLYKSVKAFDTIAFGT PFGIRSYTELLSLPLNSLWGSLFEICLRSAKKDSMYLTFLFAALMIGQPDKQKQWKTL IGCALLIDPMVLPAPPPGVFEPSHTRRKALKEVRARIRECVLPSADLAKNRQDLSQVP LEAQQDLVFHCIEETWESGDLRLPDEHLVPSINIPRLSARLVEPYESWHRTLAMRKYL LSVEIILNQKNGPDFVYVACGNINTSGNGWPASTPVHIRSRLYSRYSISDIFVRSQPK PNIEVPISPIQYRAQSILRTQPATEKLQEALTSLLLGNETRRLYGTKLLSSFENLWSS HERQKEIESIDKEGLFELLNLCLQNARSSFQALVEKLYASDFVGHCLQKADLYPRITP RSLLGQLSSSRSVAPSAWKEAIIGYGICLTRLQRVHRLFRYASVTDYVSFKREMDNSG IDAQLYRLHPDWLLMEIENNFHIRPGQATIAQQMIEPSSGSNSLLQFNMGEGKSSVVI PMVASSLANGNRLVRVVVLKPLAKQMLQILFSRFSGMLNRPIYHMPVSRKMEATPDRV NRLFSLFWDCRVTGGILLCQPEHILSLKLIGFDKLCSGELTLGKSLISGYGWLRQHAR DILDESDEILSTNFELVYTVGTQQMVDGGALRWKLVQSVLDLLKRHAYTLHRSSPMSI EYQAGRKEAFPRIRILDDLAGRELISLVVADIMSGAQKEISFHRFSDADRSAVLEFIT KINCDTDIQNRIQQLFGDTSHYPSLLLLRGLLAFKIIQLILQEKRWLVNYGLDLSRTL MAVPFRAKGVPSHSSEFAHPDVAIILTCLSYYYTGLTDAQVMEAFKFLKAESDPSLEY HRWQADTEMGDELRSLGAVNLKDLELCTRDLFPHLRYNKRVIDFFLSKTVFPKEAVEF PYKLSTSAWDIPDDTQMVTTGFSGTSDNSFLLPLSIKQEDLSEFKHINALVLQTLLLP ENNLCLLAADSEGKALSAESLLALIAKQEPKIRVLIDVGAQVLELENHVVAQSWLALT PDACGAIFFNDNDELMVIDRAGTVESLILSRYRERPGECCVYLDEAHTRGTDLQLPSF YRAAVTLGPHLTKDRFAQACMRLRKLGNGQSFVCFAPPEIYRKIQSLAKKENDEILDT EDVLRWTLEESCQAIERLQPLYIQRGLNHSQRRLAQHEFLSNQPIDDAIYHTVSRKAF LDRIREREAKSLASMYLSKGIKDRQRPEFSEQEIEKDPVLSELVNISNNHIDQTVCVS RLYEAHERETVCEMEQQTEYQRPPLAPPMKHEMTPGLLEFVRSGSELRGPFIRAFESL AHLTLDDCFRKFGMDSELYVTRDFTQTVLMLAGAQNDLYLRPVHWILSSNIPKEKKLV IISPFEANKILAGKNIGNVKLHIFAPRITKSMRSFDDLDFYNIPSEPQRKVSPQELRL LRLFAGQLYLSSAEEYQELCQFLGVWSGLDTRPSHQDTKITVDGYVSPSSRAEMGWKN CPFVHNPLPYINALLGARRRGQDFSKSHMGQIVQGLLLQREDFIDLKE UREG_03874 MALTSLLSLRRARTPVRFQVMSDLHLEVGQQYGTFEIEPKASNL ILAGDIGRLADYQPFRDFLCSVCRKFERVFLVPGNHEFFDISREQALQLVDKLQNDPE LMGKLIVMNRKRVDLEDVTILGCTLYSHILPEAEEIVRTKVGDFRRIVDWTVADHLEE HARDVEWLENEIGLVRQADSNSGLKRIIVVVSHHAPLTKGTSKLSNEANPWSSAFATD LIGNKDKPAFDDVQWWIFGHTHHCSEFVHRQVKLVSNQRGYIRSNRDENEGIEVSSNF TSKKLKTWNNSSPPENAFNLEKVIEV UREG_03875 MVKNLLALVFCLLLREAAAVYELVDNYAGNTFFDNFTFWTEADP TQGFVKYISEPDARKNGLIGFSSSYYGDNVPWMSVDRTNVAPKGRESVRITSKKAYNQ GLFIADFSHVPDTTCGTWPAYWMLGPNWPHGGEIDIYEGVNLEYQNSMTLHTGPGCTV TRSAKSTGRMRTTNCDIAAPGQGANEGCQVKSHDPFGFGSGLNGLGGGVYATEWTTDA IKIWFFSRTTQIPSDIEQQQPDPSSWGPPTAHFTGNCSFTRSFKDLRIVINTTFCGQW AGQVWAESPCASITSTCEEFVAKYPDAFLGSYWGFNSIKVYKEKMGCEGD UREG_03876 MASLGRQTIRIGYVPEHYLTPLHLAARSAFPSLPFNVSLTPFPS GTGHMISSLRQNEIDVGIGLTEGWVAGLVGKEQIEKGADADGGYKVVGQWVETPLRWA IVTGRKRDNIASVDDLKGGNVGVSRLGSGSHIMSFVLAKQQNWPASTPLTPVILGPFA SLRNGVTGANPNDPDAPPDPTADFFMWEEFTTKPYFHVTMATPNPPLKKIGEIFTPWP SWHIAASTSTFPDPANDARLSQLLEALDQGISAFEADPVHAVALLGTGELGCTYSKED ATEWLKDVRFIQGNTRGIAKGVIENVVDILKNASVVPDDISNDEAVRRVAGILRET UREG_03877 MASRRAPVWSLTLVAIVILSCLVAPGLMVKHESFKTCSQSGFCK RNRALADSISDKGPSWPSPYRLDPSSVTFKNGQLLGTVIKSTIKDGDVRLPLTVSFLK SGVARITIDEERRLNGDIELRHGSTVRKERYNEAAKWAVTGDLSPSPSAELESDPTNE FTKVIYGPDRTFKAVIKHSPFGISFERDGETHIKLNDRGFLNVEHWRAKQEPSDETQN TDLELDDSTWWDESFGGNTDSKPRGPESVGLDVTFPGYAHVFGIPEHADSMSLKETRG GEGQHTEPYRLYNSDVFEYELNSPMTLYGAIPFMQAHRPGSTVGLLWLNAAETWVDIV KAKQSPNPLSLGAKGKTDTQTHWFSENGQLDVFVFLGPTPYDVTKSYGELTGFTQLPQ HFAIAYHQCRWNYVTDEDVRDVDRKFDMYQIPYDVIWLDIEYTDQKKYFTWDPHTFPD PIGMQKQLDDSERKLVYIIDPHIKNEANYPIVDELKNKKFAVNNKDGSVYDGWCWPGS SHWVDCFNPAAIEWWKGLFKYDSFKGTQHNSFVWNDMNEPSVFNGPETTMPKDNIHHG GWEHRDVHNINGLTFVNATYNALLERKKGEIRRPFVLTRSFYVGSQRLGAMWTGDNQA NWGHLAASLPMVLNNGIAGFPFAGADVGGFFGNPSKELLTRWYQTGIFYPFLRAHAHI DTRRREPYLSGEPYTSIITQALRVRYQLLPAWYTAFYQASVDGTPIVRPQYYVHPSDE HGFAIDDQLYLGSTGLLAKPVVTEGATSVDIYIADDEKYYDYFDYTIYQGAGKFHTVQ APLEKIPLLMQGGHIIPRKDRPRRSSGLMKFDPYTLVVVLDKNGYAEGELYVDDGETF DYQSGAKIHRRFVFDKATLSSENLVTPGAKTAKYLRSMAEVYVEKIIVVGAPNKWKSK ETVQVEDGVRSQGFCLAPSSLRKNTRVFGGPANSTFASRERVRRRALTRTNWATGDRS PLCLLLGKGFSSDLFAAKEFGAFWSLFLPIIRLLRVHVVDDPAEIPESSPVRSENQEE QGAIQFIMWLISVAMEYGAPLLYTVNYACCINFQVRFYPIDLLRQPRADRLGVRVFYW FGAYYSFPLLLQAMMMVVVQILLLKVALDHRPQSPVDHTPFSGQLAGGQSEFRRPYDF WQWRATRPYWTFLGYLSVSLFLIHVLIPPISHSKAYIEILGFAGLGVEAFLPVPQILA NQRSQSCKGFRFSVLMAWLLGDAMKMSYFFYNGSSVPWAFRICGMLQCVCDCYLGVQY WMFGDGLPGRIDKAASSVAAGDDRWVALLDEISSLQSDIKLPECELGNLVLLVDGQDR FLLMGNRRQFPDAHWIWKGAISKIRTLPLRRVWLNDLAQAVAFLESLNLAHGDLRPDN ILIDGDRLRLSDFDCTAQIGAGFEACIPPYGRVLNSSESDPGGAGFLGPRTEQFALGS LYYLINYGFEVYGDRCLTPDNPKQHGPKVVNLLQNMKFPTLDGDPVIDDIIDKCWHNN CATVEELAAHTEMLLDNGTNGGRTNAGPTSNSGWRKASTNRTKSKDDRYDDLLPEGFS PKKAFCEDLVKHGLLDLLSSGEPEHLGFSFD UREG_03878 MSSERTFIAIKPDGVQRGLIGPIISRFETRGYKLVAIKLVSPSK EHLEQHYADLAGKPFFKGLVTYMLSGPICAMVWEGRDVVKTGRTILGATNPLASAPGT IRGDYAIDVGRNVCHGSDSVENAKKEIALWFGEGDLVDYKQSQFDWIYEA UREG_03879 MYHAASYSAIAQDSTSRHATTPMITPIPPTTPMMKTASLPHGLG LARISLRRSGNPQNRNFSTPPKPPATPDARGRFERFNGRLPPFLRKYTAPLLRAPVMH ITSFLILHEITAVVPLFGLVGLFHYGGWMPSLGNGEGDSAFDEGVRKFGKWLRKRGWV ENEMTKAEVIDAVEQEEFLGSSDVNTNQGMRLILEFATAYAVTKALLPMRIMISAWAT PWFAKCVLVPLRRGVGRLFGNSKRR UREG_03880 MEKISDKIAALPEDANFFSLEFFPPKTQMGSSNLQARLERMSQA LRPLFVTVTWGAGGSTASKSLELAEICQRQLGLTTCLHLTCTNMNRALIDDALDEAKA LGIRNILALRGDPPRSDEYNLAGEDDSNKDFTYAIDLVRHIRRKHGDYFCIGVAAYPE GHADESHPEIQDPVRDLPYLIDKTKAGADFIMTQLTYDIVAYKKFESMLRNHESGVFK TIPIIPGLMPIQSYSRLTRITKLSHACVPPEILARIEKCKMDDEAVKRVGVDVVSELV DEIKTISCPGPRGLHFFTLNLEKSVSFILERCNLIPSSSEPSSDTESESAVYAMHKNL TAPAGKAAFVSRRRASSLNSQPYNRVIIDRADMSSQSSTTHETSAQGAGMPALNPPPR NTTLLISEGMGALGREATWDDFPNGRWGDARSPAFGEIDGYGPSLHVTANVARRLWGF PTSREDINQIFRRHVSGALHAVPWSEGGAAEESGGLNPETATIRPYLLDLIDKRGWWT LASQPAVNGVRSDDTTFGWGPPGEGFVFQKAFVEFFCSSNDYRSYLKPLLQRYGHDEF AWFATNAAGDFEASTPPSAHSPTEPVDAGFEDPSSPAASNPGGVNAVTWGVFRGKEII TPTIIEEVSFRAWGEEAFRIWDEWRRIFARGSPTEKLLAQTKEDVWLVCVVGQRFGAG GEPGKDATDEGKLLWKILAGENVALES UREG_03881 MASPRETYDYAEKGDVTEDVECTTFEYAIGDRRAEIEKSLVRRQ DMIIMPQMVILYLLAYLDRSNLGNAKLQGLVKDALGGNDDNYGWAASIFYFGYVIFAI PFTLYGKKFHPSRFMFVCVLGWGISASAAAGSFNFAGIAVSRFCIGLFEAGFAPSAVF YFTIWYTRSEVAFRTAIFVGMAALSGAFGGLIAYAISLIHSHLAHWRILFMVEGLPTV IFAFVILFFLPDRPETANFFRNEEERAVSIERMNRGQASEGHNVLIKRHILSGFTDWK VYSCAVIKMGHDASLATISVFLPNIIKSLGYTNTQAQYMTIGPYLVAWVLMLSVCFLS DKLRMRGPFIIGATMVAIIGVSLVFSFPVDENPKVALVGIYFLVAGIFPCIPLELQWA TDNAGAESKKVTAICILVVAGHCWSILASKSFPAREKPRYTRGYGIVLAFLSLSCVMA VILSIRHRIENARRDKKHGKPNPVLPVDTAENADDAPMFRLWACLRVQGGSKDSSSNE ATTLAVQIPNVRGRIPSLQASRLRSMIKEAHADPSKIVAQVCSYDGLSSRLVEEAGFP VIFLGGFAMAASYGLPDTGYIAFEEAVRKIQEVVRQVSVPVLVDGDTGYGSPMNVRRT VEGFALAGAAGVMIEDQTWPKRKQKGNNSLKRIVILSASSLL UREG_03882 MSHLVRMSFADPSTPQKVLRDISLGVDLTTVPFIGTASDAGLAF DFTFPEPAVKDSVGPLPLALDMWSPTNAFDTSPLRQGCECYTCKNHHRAYLQHLLNAK EMLAWTLLQIHNHHVMDQFFAAARRSISDGTFSQNVDTFERTYASEFPEQTGQGPRVR GYQAKSEYGTPKRNPKVYGRLDDHAEKLMEAESGVATPDTGADGLQAHGFARKSQ UREG_03883 MTRGALIVMEGLDRAGKSTQCAVLVDKLREMGYKTNYIRFPDRT TSIGKIIDGYLRGEVQLEDHAIHLLFSANRWELASRIHEDISNGISIVIDRYSYSGAV YSAAKGNKDLSLDWAWRPEIGLPRPDMWFFLNVSPEEAERRGGYGLERYENVTLQLRV GKLFKSLQGMENNEEMRIVDANQSKEEVAQQIFELSSAAIGQIEGPLRKLGI UREG_03884 MTFVFHGCGSTVDKVSLNTYSRAERFNRSCLQAAAGRDLAKIRT TQGRYLRPDNAYRKPLALAGWPSNRIYESSRWLNQDPDKRDIIIYRDILYCFIIIQSA LPAVSIPSSHTQPTMSSDTDDHCRLSPACADSLVRPIPDFYCVYLLRSTVKSTSLYIG STPNPAKRLAQHNGIKSGGAKKTHNETLRPWEMVMIVSGFTSRTAALQFEYATSFPPY LQSGADNALVWSWQYARDSPHVSKEDKTLYSKTHRGSATGKLTNGNAIPRVSLKGVLT SLHVLLRTPYFSRWPLEVRFFSPAIFRAWQGCCQALHDLVPDSISVVTDQCVDGVKPL DRLDIQNIKLKDYFSKSKFLLDKDEILQCAICKERLDLDNNLVVLCPQEHCNCASHIV CLASKFLRAENELNRMIPRGGECPVCGTEVKWSILMRELTFRVREATIESNVRYREPK SNKGAPCTGRIVVSELGTRVVTLTVD UREG_03885 MVAPPSTGGNAAVQHPQSISRSSIRIANSNPSYAPNVPLSARRA APLDLSTVERRGHPTAVREPVKRVRPHGLPEAPTFRPTEEEFKDPMEYIRKIAPEGKK YGICKIIPPDSWDPPFAIDTERFHFRTRRQELNSVEGGTRANLNYLDQLTKFHRQHGT TLARFPSVDKRPLDLYKLKKAVEVRGGFDQVCKLKKWAEIGRDLGYSGKIMSSLSTSL KNSYQRWLQPYEDYLLVAKPGVQQQLEIEHGGPFTPSPKPSPTKKNSLTTNGTTGVTN NQSPAVKASVALNSSLESNAPEKADTPEAFPHQPITTGFTAVNAPANGIPKTTSFVAI NNGPPVVKTETDQRLESSTLPSSAGPKNSESRRASGVNGRDSQALKRALSNESGAANS QAENGDGDGANGRRSKRIKRDAPPVVSGSNMSLLRPTPSQSRGKRGNRKTGDKCESCG REQRPAPRFSFPNYDWHCPKCLVGTGEYGFEEGGIYSLRQFQEKADAFKRNYFAGKMP FDPVINAHRRETEDDIEREFWRLVESLTETVEVEYGADIHSTTHGSGFPTVERNPLDP YSVDPWNLNVLPLHGESLFRHIKSDVSGMTVPWVYVGMCFSTFCWHNEDHYSYSANYQ HFGATKTWYGIPGADAEAFEAAMRQAVPELFETQPDLLFQLVTLLPPDQLRKAGVNVY GLDQRAGQFVITFPQAYHAGFNHGFNFNEAVNFAPADWEPLGQAGVARLQEFRRQPCF SHDELLLTAAARDTSIKTAKWLGPALRRMCNRELEQRARLLARQRELHQRNGAQESDG NKSESPEDFTIVVEDDDLPEEEYQCTYCKVYSYLTQFRCHKTGKVLCLLHAESYSCCD KDVSERLHGPNHSLRYRMSNDDIQTFTQKVEDRARIPEAWAEKLENILENEPKPSLKA MHSLLSEGEKIPYHLPGLQDLAAFVQRCDKWVEEANNYITRKQQNRRKNEKLWRKGNA AKAAQLEERDRELRNIDKIHALLAEAEELSFDCPQIVTLREKIAEIQKFQSDAQAILC NPHVTSTQEVEELVELGKNFNVDVPEVDKLERVVRQMKWNDEARRRRDQYQSLDDCRE FVKQGEELGLAETNEHLVHFRDLCRQGETWEAKAKELMSVEAVHYQQLEALSAQAARF PVNPETLAAVEAILTKQREAQKQISSLYERSKSSDFRMRPHYKDVRELMESLSQLNSK PTGTIDLEREQKRHEDWMRRGKKLFGKANAPLHILKVHMQYVEKKNSFCFDLEDRCRP PVEPASRETTPEGGESHSWVGTRSKKKDVFCICRQQEAGLMIECEVCHEWYHGKCLKI ARGKVKEYDSYTCPICDWRVKIPRDAARPKLEDLIEWQSEIADLPFQPDEEQILESIV DKASAFRDFIRSFTHSTCTTAEEVPTQIFYLRKIEGAEVLLAYETNYFRQEIHRWAPV APEPPPILEQSLSTRKPRPTKQQKLMAQLGVDKPEDLPLHLRTKYHTFPPKRKSTEPH SGRPAPLQPASIKRSATPTGENRPVSAANVTTPNLPPIPASQPPSFSSSNPFSLAAND GGPPYNPGSGNYLPQDGNSHSPTFASTSPTTRHPDLDQSLFSPPDFTRSNPLRSQSPP GLSKNNRSGGVDIDHNNPFGSSPRPDMDDLFADLTNQDVEPVEEISHANEALEALKTA QNCSSRDRSMSGSGDALGDSHRENGLMDGLGQSGNDTTSALEDEFLS UREG_03886 MATKSRASTGLVQYLRQAAYYSSQQARHQPVTYVLGNPSADLDS IISAIIYSYIATSTRQGCLPPRHYVPIINLPDVRSGNELGRLRPEFITALKLATKDSG NQEADDAAFLKQSILTVADLKEQIRQTNSQPDSAKPIEVFMVDWNALPVLSSGRRGIE GFDDDTHGDIPIAVTGCIDHHYDEKFVPPDVTTWCIQTGVGSCTSLVVRELRSRGLWR DTPFVEAVHEGHPQLESSIISSLRAESEVAKLALAAILADTTNMTAKDKVSEVDRLAV SFLKSKINQAQDPSWNCDKFYEEIINAKNSSVDKLTTDEVLGRDYKDWIDDIPATRGI VKKIKIGICSVVKPISWLISKASQEHQSPESPQQFFDSLRAFAQSRNLDVVAVMTAYT TQPENQFHRELLVWCLDKGHSQGFELFEALAIDKLGLQNLSGSNIQTFSNTNDDMIRI WRQTDVSKSRKQVAPLLRNAMTESLL UREG_03887 MTMRTPPNAPLHLYQNRPDLPQMRLVSSSSDRRLSPTTEQWHDE SQTVLLEGIGDLRNDHTSGAKALATKAVALLMRIGRVAGNGLANEQSTTDDKDQTWWI TVRRAGWAISTYGRPSMGAAITVAVVNALERGEAAQREFESATSAPEQARGTELISHR IRKMEEYLRERRQGGAQIGQNLRQFIRSRFAAEPGEHKVTVLTLSLSSTIKDALMTLL ELEHQPNVCEREAKLVIHLRIMESRPLCEGAELARMLAAEAASKGYTGNLRIEVASDA SVAILARDVDLVLIGADRISESGDVSNKTGSLPAVLCAKSVSKDAVIATLSDLEKVAK PGRMEEHLEEDNDPAELSSAWAPLVRESISQEAWSEVVTVKNVYFEWVPASHINHYIC ETGILSAVDIQRQSQWVSAAEQRLFGELGGPYHGAARSFIDKAALGPKQQPVNKPKQT PVMPPNNLQHGSLSCPALRFMCSETKRDLGFVEGDLIECLNAGDGSWWTGRLKRDPRM VGVFPSNFVKVLEDFVPGSRSVSPLPPSAQVTPSNSTAGGPKKQKTIFRKPFQGYKEV VGPSGSLSAQSKPAVSPIKTPTQRQPVKRPRDPDRTPTARRSEPPVRPPSRAISPAPP PPPAPVAERDESPPPPPPPPHRILHRRSVSPQPRYYSQVPNNYPPRTPSPFPQSPINV NTPSPLRDAIEDVMSSLHDMGVHRGAHSPQPPPHASMNPWSPEAFDQIHEHTGRGRNE RPLTSLGLGSHDPSQEDDFSYQHNNSNTSNRYYDGPPQLSNYVERMESRLRQLHAQEQ EDRDELHLPPPTPPKKDPQGNEDFMSQRQRSLRNRKSISELNSAYLGRTFTTKSTATN SSSGVQSVATNSTTSTGLTSQSLMSGTSAGGFSATSAASFARRTKAFGISGDRPRSPI NRPRSRGVYGLERGNSTSSRPQTPLTGISYHSSHNSSRQGATSAMGWSEYNKGNDSPG VFGGLTTPKSKKTGFLKKILESAKTGAATARSNIAASQISRPSSPTKNLIQSGIASLS PTRHGKDASKEMGIGGNDWVQVRRDVNRATTPSRHELIERVERCQMMDHPVIAPVEEL HENAEGDEGIDGLPIAEPTNWNNLNLQLVDKSARFITSLPPMMNPTSLAQGYVCRPYR SDAQRLRAIFTWVSEKITWDDDLDGDVDLRRVILMKRGCPQEVAVLIMEMCAAVGLHA EAVRGYLKTPGEVIDFDCLSRPNHWWNAVLIDGEWRIMDCSLASPTHPRRSLYSSVNP QAADSWYFLARPMEICYTHIPLLPEHQHVCPPINPAILLSLPCACPAFFKNGMHLPNY DTSLFQINGLETVQIRIHVPPDVECIAEVEAIAFACDADGDRFESGDVVKKKALTQAD WFRGQKRFTVKAVLPGDEGQGVLKVYAGKKGLMHSSKDIPHPLAFAIPILHTGENPPY EFLIRHPTPHAQRHDLYVIQPQCGRLAINNTFVFAVRQHPAYSPSTTASFSEVSGRVS PNPFARPSSSLSMVSSIATVSNASTTSVNSKGHGSREKPAKLAVQTPSGKILRLTRKA DHMITTSMATEWANDGLPDGSVWETVIKVSERGVWRGLVLADRSARWCVWGEWECI UREG_03888 MSSSGDSADAIRNHSIAFIGEFVGSFMFLFMAFAGTQVANEKAG PGVESLLYIAAAFGASVTVNAWIFFRVSGSAFNPVASLSLWLLGAIPLVRAVVASLAH MVAGVAASAVVAVLFPGPLTVQARLGPDTSVVQGLFVEAFLTAQLMLAVLMLALEKQR ATRPV UREG_03889 MEGSASNDSQRPQSVAEAARNNPGTTFLERMTYLRAAERASIKP LQSLTESETPSSAGDIEPSAPPTAAEGVIPLSVRHDKAPPARLGHETASPVPFVAPQA LHYNPDQPALLGEAGVKLGTTNLSTPTASLELAAQQLDHGASFEKRVMEEHKGVSLGS FEFAIPLSMDSRVKDDYDNTLEEMSKNIRKFVAGSASSTGAEIEDSLAPQMRRMIERL DNISTHPDLNLPDQPSSGSLEVEKEASWAEYSSSKFQFLGYFIDAVSEASLARPIHVI VMAKPGTTIDILTKYFLGKQLEKNPSEVPGKQQSVFTSGHLSFELRMAGGDPTATPFK EPSIIIALDSSFNASDPTVIQLRTTSSPDRLVPVVRLIISNTAEHIALCLPEFSDLDK LRLLVKYTNFYSSVAGEVQDDALGVQENAEETLRYLLSDPDAREWTLPDVEVVGIPIS EQSSLEPEQLRTMSASRQKRWLGQDDGEEVDIENSSKRQRMTPFQDITHISDSMKGQT QGTQEASPLKSQGKSDTAENAETRELRATLEDLQNRLQAVEANFANLQHRYESKHELY HKIRRELNQTAESAKRSAARVEKQKEEISRLRDEKSALIKDLEEARQTIREGGGLPAD LENAKEEIRKLSEENSRLERVSQQERSQSEFTRQQYQNASSAAAQSGIEVRQLGEQVE ELKRKSSGEASRLKELRTQSTEKEHLARVQELEKLLASREKLLMMKEEEVKDLRKNRP ATRSTSTQPRSPKFAGMSRPASPAPNSSTGSNNLGRGSVLRFRVEP UREG_03890 MSPQTFSNIRKVAIIGAGAGGLTAAKYLLAERYFDRIDIFEQRD RVGGVWNYSPASDKARISIPVPQENANPPVEEPIWHPRGSQDPAGTSGCVATFISPLY DGLETNIPRTLMQYTDLPFAQDTQLFPKFETVLNYLEKYSQELQHLIQFHVQVVDVRL KDKDPDSWAVTRKDLQSGVLQTDTYDAVVVANGHYNVPYVPSISGIPTWNDAYPGIIS HSKTYCSSEPFRNKKVIVVGNSASGIDIGAQISKTCSAPLLSSSRSESYFTTKATDDR KEYPPIAEFLPPGEYDRAVRFVNGTIEEHIDAIVFCTGYLYSFPFLSTLKPPVVEDGS RTLHVYEHLFYIEHPTLVFPILNQKVIPFPIAEAQSAVFARVLAGRLTLPSKETMYSW EERNEAVRGSGKSFHVLAYPLDADYLNFLHNWAATAERRPGLAQDGQGKEGPCWGEKE KWLRSRFTQIKQAFAAQGEKKQSCYLPEDLGFDFEAWKRDQRQN UREG_03891 MEQLLLSSLLTPIASLSPNIEHLERRYVRAVVILLWPYSSSTKQ CGLLLSEPDFRLRGLKGQIKAIFHNGAAEAVARSKIAIGDIICLSLDRTAWKASDNGR SDPVHGTEWDIEFSDRVLLEVYRESKHFDTVDFTSITIEPLDGTLHKATSQSLFPVPR SPSPLNENGHIWSSPAFARSLPTSMITDGVEEDGFMLGRGRKRTKFGRLSSEWVFLDS PPSPIEIDTGSLEDGVSEDSNAEDHVSTDMPSPPVVTGTNNLAVNQQAQEEGLHPTPN PSSSPNFMATVSPRSHDGVTHVDEIATSSVTPSLSTLDSASSFVNARRTASEPEPAHE IVPTISKASKDQPTEHTQAVISRDMLPENSDIGRIEKSQQSILDYQESISRSPVLSIH PPYEVTISNEGIFTGGNDSTPSPGASEDVEEYIRSPSIPVGDSESGHSQYWSEPAAEA TMERDLGETVRLESAWAESWDDESEVPTNEEIESVSSRLLSNDEVERHSENDFGTSII HDDFKHEMPTGTFNGTPYPQEVIVLDSDDSESDASHSDVTPSNAPQDGIGDRFPEESA SRDSFVSTTDVESEPHQRAFSVVSMSQEKGDSDSEPDAQEGQNFIRTNEIQRWSRSAS SASESVGDIGESSLLGTDSEISPESKDPVSHADSSFAIDPALFLDGAGADLPLERPIY GNIQTLTPRDTQETCTSHYQPPVPTARASHVMPTPEISQETQISRPSFSSLYGTETEP TFNSVLEGSLEGIRPNIAEIQDNALQSPNRAIRKGAALLERDSLFDDDAPPKGTDAED ASHRKASTQDRSLEPSSVVSSPIADTPIQHHGHPSGHTSGFRTKLSYFCPLSLLAGNF HQPTDTISAIFSVSPVSKARGVPSNHFITLHITDSSMAGDLVCAQVFCKSKTQLPVCT QGDIILLRNFKVQSIDHKMMLNSMDDSSWAVFVQGAVENVQMNGAPVEFAEEERAYVA GLRQWYLDSGAALVAKKMPRSGDSRSVETSSSIAPSESGSTSSRGRGDIFKKYRRKRK STPRLTVHELRGGRRYLDVGSPSDKESIHELRDGTAVLDTLAQHSDDTNYGLELAQQS IIYWSHEANQEFNIRNEKVVSIMIDHKKNTFDENEAKPQKDRGQTLPCLSSSSTSNMP LKSTQDRSKSVHAVEHIGLPELLSFFIPTQYLRHMTQPSSSEGR UREG_03892 MRITYYDEAGSDLQHFARSGVQPLEVNMSGSFGPAAKFVSTLAA AIDKAPCPTKALVLTNPHKLLGQCYPQEVLEACLRFCQQRDIHFISDEVYALTEFSCA EVSDPAPFVSILSLNTRALKCERSRIHTIWSTGVEFGASGFRLGCIVSQDNPKLINRL ALSPNSEISSLSAILTTNILSSPNLPFLIALSSARLAEAYICITTFFTERNIKYIPVG AGLNLFAQLAPKAKTWSEEDDMVEKLRGGGVLVSPGRHHYGRPPGKGWARISFSVEPW RLQEALKRMDLTLSSKNGVPQ UREG_03893 MASVAACAFTRMLEWLPKTTRNCLFLQAFPSPGFWDGFFQALPQ IIAHTALTESAPVAAQADSNSEIPAFITEPCEPSSVRLPILHNFALRPPVARCTKVPA GIRLESATNRERGLGTPYMHRNSLNRATPERAGFDVDSYTIYNASRNALVSRARARRN SNRRFLGASRSGKPTFCPEQQARGRIDHSAGGLDHVSPAVPAVASGYPGLKHNEPNRD ALPSRYPRSEREPRGALDTNEGTVLDANLQFNLAVGNSRWFQAVGTASNTATRARKGF HVRDLVLRIKRLPWSATFSLVLDRLLVCDLKEGTGAWTG UREG_03894 MENANLWTRRANSSRLSLSTAENRDSHARSDSPRGSSKRFGGDG HGRSNPFNAISPLSASVSSPSTNASSAFGLGSGAFASFGSATKTPKTPSAFDFGSKAR SEKQEGEQSGVGVSKSVKSKGSSSSLNSTGTPDAKEHLLKSTWVVWYRPPTPKYSDYE KSTIALASISSVESFWAVYSHLKRPSLLPTVSDYHIFKKGIRPVWEDQANKKGGKWIV RLKKGVADRYWEELLLAMIGDQFAEASDEVCGAVLSVRSGEDVLSVWTRIDGGRNIKI RETMKRLLNFPADTNIVWKSHDDSIAQRTAIDQARQDKASGNSNHHQGSDRRRGTHLD DSTGDKGKGSTS UREG_03895 MGKLIQGRYSRVPSEGEKKQLADERRLFGDYVPIHDPGSVRISI PGTSTANKPKPASAAKSFSVFKPTNTLGTRPSKPAPRPANSNIYSPYFCSKPILETSP TSDHSERPRKKQKTETSKDVIELDDEKTTGPAPSLTKLVSPIRSPVRSPAYQKQRAIP STSEYWETEKATHPSTLPVKSKKGWVGRVGDDPEEFDVESFVKEATKERKRTTSRNKS KALLPETNAKMGSFMSAMEASMSSRDSGRIDGDGAISESEERRLVQRESPDELQGETT VDDSWRKNAERIVSPSNIRPTSFPAVQKNRKEPRRQSSRESTFSVCLFRYGDDEMTGT MRLIVDRKRVTLSLKPSQNSANAKSYDIKKTISVYYDEKDNLPKLRLKFSKAKDTADS ADMIFLTGKDRSRFCLLIKTWWMDKMFSLATPTETIDEPPSRLKRHPLKEISSDKEDK ISQTPNQKRVKLSDSLVNGSADRSAETTAPGKMQRIESVCIPRAQDSRVESFDSFSHS HPKAVNSGEAVRIPVKTYKSPRTTVHATRSKTRRQRADIDSDGDVPSESSYGRGQWKR WSKPLLYPKVGKKRAEVEAHDLARLKDGEFLNDNLIELYIRFLEHHLERQHPETFKRM YFFNSFFYASLTNTSRGKKGINYLGVEKWTRSVDIFSRDYVVVPINENAHWYMAIICN LPALFDSAPKKQKSVQETMARDEENASGEHETSNLHTTDDGHETPHSTSSDEQSDIGK DRNLTKSFGSMALSDKTTESPFGVTESPRETELTDKDEWPNDDEYSALISRTKQPAME RSLDEKTSKSKSKKKRGQKHPPLQKYETKQPIIITFDSLGCSRSPTSRTLREYLEEEA KSKRAVDIDVKEVKGMTAKQIPLQPNFSDCGLYLLAYLEKFVQDPDSFVKKLLQREMD AKNDWPNLRSGVLRRRLRGFLDQLYEEESGKQDGPLLVDSKPLNILLVDADADKRKSQ SKNCSEASTSSPKPLEAPQASLHKEALRPLADHDGPQGDRRARKVSRPTTPVKGSLSS RGKEAKSDSGLVDITIGTEPPKSPDSLLNDIEAAVWSGSTDRKPQKYKSIVEIPRTPS PEVQSIQRDRSSSERILNSPLLSSPSKRVKRSR UREG_03896 MHSALNRFQGVFGFFTTVALVLGLLTSLSVVLHPAEPVTNIELS NIKVIKGRPHYYSVKREEYAQIRFNLDADLSSLFNWNTKQLFVYVLASYPSATSTSSS SSSSSSSTKNLTTTTESIIWDTIIPARVSPYSFSSLKSRLLPSSSSASATKKRRNSNS SSNNTKKDEKVPGKIRLRNQKPKYQITDISGAIAERQNATLIVGWNVQPWIGALQWSS GTNIETNLGGIFGKIFIPRPRAGRSKPFDFPELKGKVKASPQGTGSTPPRLCYPMPSE LEKSSRVWRMIVCGPVEPSIEGLCRRFDIVTMAVESSNPIRIGGSQLLGQGSGLECNI KLSTAFDTDNRTSDNRQHYFDIRLPQQLRNTRSQTEIRISLSLNIRRRPTFILHWGIR AICSSRRGLRGGMGVFPRDIAAILDASREYSRSRFGDETAGMASCSTVIVHIFAVKSV DVTGEISATSFSIRKIIASPAKMHGIPEQGQCYIDQKIR UREG_03897 MPTQLKHSIQTDEHPVEAIWNPDKITFEPFDHPVIEGDELQLVP RYLAPSRSCSALISERHQHIHFSTAASWLHWNPETGSFSGIVPFVSDVTERNLHPNAD PFEFAEDRGPTCYILHFTVKATLTQCFTAQARFKQTIRVRVAINVSKRAHIMELDNSA SSSLNEVPSRGLSDFSDSSEADGEQSTQYGRVPDFPATKPEDGKTSPGNCDGSSTEPS DKMSHVAQSKPALQPARPSEILRPTGDCVCRSPPVVKDSCSLFSGIYCSETNVEGRNE TFMSTYEWPSAPLSHSFYTGFPFTEFLPWGIAASGLNSKQNNSSKIDSTFLDKVKQVR NDDHSLPEPVVTEQVQKQLYTPVLFEGGRNRADSGVSFVPDAGWSPYSYVEGDSPLEL DSVDGSNSPVYRRKRDELSRAGDYAIISHGLLTPPISEQGIRRKESKEPWEGYPWLIG REERASFVQMLRNKSKQELESFNADDESFFVSCFADSLDSGSIFEDDDDYGSDYTIEE HGHPRPECCHSSA UREG_03898 MFHSKLGRCCQGIRLRRRPPARPFSLELNKPPPWRPGSVLDEWV ERDVRPISLRQLTFFGRTLTENRLISSANYVRTELPTRLAHRLRDMQRLPYVVVTNPH LSHVYELYYKAFERFRSIPEIRTLEDNDRYCDMLRKTLKEHLTVIPNLAMGVIECQEL VKPDHMDQFMNTMLRARISRRVIAEQHLALTDTFNSPWHFPESNSRTDLNADFVGEVF LKCNAKNVVERCGKLAQDLLRPTLESGQKIPEITVQGHLDAIFPYILGHLEYIIGEIL RNSIQAVTEKYKNCAGNPPPIDVLICEAPQHVIIRVSDQGGGIPRDILPYLWSFCKGP RTEARLHNLGKVPTLAATMQELKVSGFSQPSNKPEAENAAREHPYHESSLSSLSSRPP NLRLGMGLPMSRVYAEYWAGNLEVHSLEGYGVDAFLQISKLGNKNEQVTTRASIDAV UREG_03899 MDNLEENGMVVDEYDQYNNDQTDVVLVSPSGSPSEPEPEPLADD YDSMMARVLPELPDLEIVAQGHHTWNIENWTKLSRKERGPIFECGGSPWRVLFFPFGN QVPEYASFYLEHGYEDGPPENWYSCAQFALVLWNKNNPSIYVSHVATHRFNASDGDWG FTRFCELRKLFQGPFDEQGSPLVENEQASLTVYIRIVKDPTGVLWHSFRDYDSKKETG MVGLKNQGATCYLNSLIQSLYFTNAFRKAVYQIPTEEDANRSNSAWTLQRLFYSLQTS DCPVSTQELTSSFGWESKQIFEQQDVQELCRKLMERLEEKMKGTPAEKALPELFVGKT KTYISCINVDYESSRIEDFWDIQLNVRGNKTLDDSFKDYVQVETLEGENKYDAGPPYG LQDAKKGVIFETFPPVLHLHLKRFEYDINRDAMMKVNDRYEFPEEFDASPYLSENADK SEPWIYQLYGVLVHAGDSNAGHYYGFLRPTKDGHFYRFDDDKVVRATMKETLEENFGG EYGVLPNGNIGMRQPFSRTYSTKRSMNAYMLVYLRKSRVDEILVEVMKEDIPAHLEKR IVEDRAELARRKKEREEQHLYMSVGVISDETFKHHHGFDLTGFDLEATDPAAPEMYRI LRTTPVGDFAKQVAQEKGLTADQVRFWVMVNRQNKTTRPDQPLKDLEMSLDHAFNDFG TKGNPFRLWLEIGEPGADGKVTWPETRGSNALTLIFLKYFDVHAQTLTGVKHVFVRKQ SKVSELSSTILDAMKWPPGTSFLLFEEIKHSMIDAMKPKQTFQQSEIQDGDIICFQRS INESELPPTAIYRNVQQYYDFLLNRITVKFAPIDPDAEETFTLTLSKKMTYEQFSTKV GEHLKVEPTHLRFAPVVMNSGKPKAFIKRNVPQNLGQILTSQFSTYGYGGHRSDALFY EVLETSLSEYEMKKIFKVTWLLEGIVKEQTYEILIAKNGVASDLLAGLQKKANIDEET MRHVRLYEAYSGKFYRELNDSYSVAGITGYVSLYAEKIPDEELNMQEGESRINAFNFD RDPQKPYGCPFKFVVKPGETFKDTKERLSKRTGIKGKQFEKIKFALVSRGPYPKTLYL DDDHVLADLFTDPEYQLGLDHVNKNRNFWNRGESFFIR UREG_03900 MQLHTFALGLLAYAVSSVSATALTYKLEASEQGCFYKWIDSPPA KVAFYFAVQSGGSFDVDYSVVGPGEKIILEGHKERQGDFVFTAQSTGEYRFCFNNGMS TFAEKMVDFEVAVENEEKAQIPSKPGAPAEQASAMEDSIFKLSGQLSTISRNQKYFRT RENRNFSTVRSTEQRIFNFSVIEGLMMLSMAGLQVFIVRYFFQGARKGYV UREG_03901 MTSHQLAIAKASFSAGLLRPDPTSVPRDRIIEFHDALDTMLAHC SPANIQTSSKRKRLHILYLLNDLLHHTKYHSETALSFVTLTGSLQPCLVELFALAAQF DREKNPKHFRRLTELLDIWEENGYYSSGYVDKLRETVANPGLRDALSSQSAGNGTDAE PGKPLLPKDVPYIIPATHGDPTAPYHELPTGNLLPHIIPNSSVPIKPQSVKALQLDAG PAEESLIRAVKSLLDDVDQIYGTRGADPDTVTEIDIDELGQIITRDATTGEFIDADAY YGWSRSFCQKMQQRKSGKSRSRSSSQSHSDATDRSRSRSRSYTPIKRRRYSDSRSIEN ERRSRSPESSDSPRYRRRNLYSRSPSHSRSPPRRRRLSHSRSGSYSPPAGPRRPFSPP RIQQKSAFSGASQPPPPPPPPPAPLQPPFNIPQQFNPQRQPSGSPSAGMFVPPPRPPG YHGPWPPPPPPPPPALHNQHGFSGGTSFPQAMNMSSNVPGFSQPFMPPMPGAPFVPPP PPPGQSQQGHGLNPAQGQHGAFQFPPTHPGQSSGQGYHGGSGGWSRGAWS UREG_03902 MPPKRKAADGGRGGRASKRGTPAAGSTPRSVLSDDDYTDSGVQE SDGDGDHANRPLWIEPLKGTITLESFSPLASQAQDFLTTIAEPLSRPTHLHEYRLTGN SLYAAVSVGLLPADIINFLDRLSKTPLPETIKQFIVNFTKSYGKIKVVLKHNRFYVES SDPTMLQMLLQDKVIGSQRLENSEGIIQQAAPKLGGLVIPGTKDAAGVKQNPDQAPAE NGDGTGAPPKTDDILVSLREEDDDDDDQAQVHSFEIPNTSVEAVKARCQTMGCPALEE YDFRNDEINPTLDIDLKPSAQIRSYQEKSLSKMFGNGRAKSGIIVLPCGAGKTLVGIT AACTIKKGTIVLCTSSMSVVQWRNEFLRWSNIDPNDIAIFTSDNKERFRRSTGIIVST YSMVSQTRARSHDAEKMMDWMQSREWGLMILDEVHVVPASMFRKVTSAIATQTKLGLT ATLLREDDKIKDLNFLIGPKLYEANWMELAEQGHIAKVQCAEVWCPMTTEFYTEYMRE KSRKAALLYIMNPRKFQACQYLIKFHEDRGDKIIVFSDNVYALERYALKLNKAYIYGG TPQNERLRILENFQHNDQVNTIFLSKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLG RILRAKRRNDEGFNAFFYSLVSKDTDEMFYSSKRQAFLVDQGYAFKVITHLQDIEKMD GLAYSTAAERRELLQEVMLQNETSAAVEEVVDDLFSERSGGPRAKAAKKAAVKRSAAT LSGLAGGEDMAYIETNKSRNKQLKEKVSHHPLFRKFEREKQKRKKLLQEGH UREG_03903 MDFASLMSKEIAKSKPPRAQSSEPQADKQYVRRSELEAARLAAY NAEQERIQKEREERAEKKRKIEEDEAERNRVREEKRRRLAEESRRRREAEEEAKEAER RKRLGLSATPAAEKTESEKESTPLEGEEGLSQEELVEKLRNMGEPSRLFGESDKGRLK RYRRLVQRSITPRKQLSDGPIPTTLELLPEAEMKVPEKVPANEEGKKYLFRQLASYFT MVLKEWEIALAKRDASVKNTFQGRQAYNAMVQSREYMKPLFKKFENADVEDSILGPIV EIVRNAQHRRYVDANDGYLRLSIGKAAWPIGVTMVGIHERSAREKLHEGGQDKAHIMS DESTRKFLQSIKRCLTFAQVRWPPDDQLQLMG UREG_03904 MANVEHMPLNLLQAEGPAELLSRIDELRNLGFRHRICLPQLVVC GHQGCGKTSVFQAITGISFPVGHGARTRFAIEVIFRRSPEVSTRVKIRPGVNASPDHQ HRLQTFSTPHNWLEDVSQLITDAEQFLGLSKERQYSQDVLQLEVSGPSLPDLTVIDLP GLLQDPNGTETAEDVALVRELTEAYTNNPRSIVLAVLSANMPLAQHSVIRLTAPCKSR TMGIITKPDVLDPDSSTLATFHARVKYQDIPLRLGWHVLKNVDTNHKDAFGLNRDETE SLFFSSSIPWRTLSPNSIGIDSLRDRLNKVLLAQVELQLSMLSTEIQQELEIQRESLH QLGPDMLTAAERRLHITTIGEKVQKFTRDAVLGEYTDPYFRHHSNESVRRLRSVLRKW AEDFAVDMRQRGHSHYIYEDTSLNVIPAQGFADDPQPISKSEYISGILQLLKSNNVHG ISGLANSQVICELFVRHSLKWDKITKAHVSEIWKKVKRFLDGVLHHVAGSSTSNAIMR EIINCKMEERLRKTNSKVDELLVPYRRMMLSTLNEQLIFKLRHIRRESSQKIDPHGAS DDMNLSICNGILDCMQSYYSMALGVFLDNVAGLAVESCLIEGIENIMSPTRISQMTDG EIERLAADSNEVRNARARIARKVKVFEVTAAACMRCQMAALESSAQNYNTLSDESAPN SPTFGSDFSSQKDSSSFSDVEGLEKSTLSYSPSKSVGRRRSNSSSRLLVFPSPGRIQG EPSHIGSNNPASSAGSNTSSPTWPSSFDSKQSALRSSYIGSRPVAQSGTVRTHTAPAR IPSPELVYPGNSGATRFYTHGRALSADKIDPMSRDLLTPLGFSYESTRHARTLSAERI MPPLPEATVFNSRHARSLSADKFNNLPAPPPRSPARTLSLSILTKSKITGHQPLRRRL SKLKPGATTEEKVPSISLPFNFQHHGAVPASGEPAQLI UREG_03905 MESPAYGQPRRLQSARIAPIVDQKLRGSSPRGSYQAILVFEMSE QRSTLSLLEELEGRRRSNSEKRENRPSNGQSAPSQERRTKPEPAEDNPFIAFRRYADE HISSMLQSIIGLPSVIFPPSTKDWLVFNDEELSQLMKNWRRVADEELNRANNRDPQAS RRHADYGKWDDWRNQGHRYPGHAFPSSIFDAIFDSNLPFGSSSFFHELSPFRNPFFFD LMSPGISAGWPMSYILLSPYSPLHLEHHQQLDKGSDHGLMSWASPFDPAHGGQQSKEP RWREAFEDLLRIENGKDMLDRNVALQNKQVSGKDWLVGMIERGSLGAGWKYVHRDGGR GDYFKYTFEDLASKEDPKQAPLQRSEGRDTEEEGFTEMDLYDAFLRDVHDEDRPLKSP LLNLILESREKQRMEHEESRRRREFDNDNKNSDIQDFYDTETELDHYERQLSGISQGP SALSESELDLPTIISTVTTTERRTLPDGSVRTKKVVNKRFADGREESVETEEISSSNQ PHQVNPTEDNDAGSLAKTEPRASTNKDKRGGWFWRD UREG_03906 MAHPVADMPNPEHIEHDSMLSRSPAELHYATYQDVESLVPADLY DKSEEEVLRSYHSGNVLPLLVFLGLDESRAEALRYKSYAGAPYFALDITPKGGLAQKA QKIIDAMEAKGLSFQNTRTITSLSPGDAAIYAQSRAIVDWNIRNAFCGTCGHPTISIH AGTKRACPPTDLGLVENGASAGAARPPCHTRTTISNLSFPRTDPTIIVAVLSHDSNRI LLGRQKRWPPNWYSTLAGFIEPGESVEDAVRREVWEESGVTLSRVLIHSTQPWPYPAN LMIGAIAQVAKPENEKISLVHDPELEDARWFETAEVEEAMRVGTSALGSEPGPEYKQG GLRLPPKTAIAYQLISAVVKGEYLGAPHESKI UREG_03907 MSPGIDDDDDNPFRPSKDLQVEDEESAKKNESNDSKKMPPPRQS SGSSQPKETGKFSFAFKSKAPATPVPKPVSGLAQKMREPPPRPLEPPKKELLSTLSRS KLESRPDRRTDRRDRDGRRTDRRFDRRDDRRRDRREDRRPDSKQDRRKERERERERER SPISEKPKTVVLTRMKPRPTLPEEFSKSDSVYYRKPGNESVIGAGTYGKVFKAVHVFT KNKVALKRIRMEGEKDGFPITAVREIRLLQHLRHENVVSLQEVMVERNECFMVFEYLA HDMTGLINHPSFTLSSAHKKHLAKQMFEGLNYLHHRGVLHRDIKAANILISNQGQLKF ADFGLARFFSKSRQLDYTNRVITIWYRPPELLLGETRYGPAVDVWSAACVYMEMFTKK AIFPGDGSEINQLDKLYGSLGTPTRTEWPAIVDMPWFELMRPRERKKRVFEDSYGGFL SPAALDLVSKIFQYDPARRPSTEEVLAHPYFIEEEPAPQQAIELADVEGDWHEFESKA HRKEKDKEARRAEQREREKRKISTNTGETTDRERKRTKVGEDTSMPTSQGVET UREG_03908 MATARSVKLSTEDSGVFRCNPRADSASKASQLLQEDMKVHNIFF NDSGFHNHTAHHLLSLFALGASPEDMQAAYRRAAEYQRPARPANEEIVKKLSNRDEFK ALAGKREEYPHFLEFFQREIDAKGVEAVVDEYIFKGDEFADDMLAGGVGHSGEKTLVE LQNDCRADPRLRDSAHWADSNKIFDGVLKRAPEEMMKVASQFTVGPDQIDQRLAELIN AVAYYTGAAQNPPKRVKFDFFYIHCVNSSIFLPVFVSQPWISQHNKQRLLEWKGRMDL LVYVSRHCAEPRFDEITNYKPVKTWEETFAAAFANREEDGHAVKLLRTLAYGEKVCKP YENKNGFPVKGDMWLKLANMVADSIQGPGELWIRSTGFPEAWKDVPDRARL UREG_03909 MAPKRPKKKPMPAASVPRVRGTSRRVDHGNQGQPSRSSSSLISS EERPAQQESRLQQNCHASNNDRNTNQEFPQRNEEENQSRFQSESQRSRMRIRQALPQG FQAEGLNLDPGEQGRADAHTSSVNPLAPKTHTEGESPAVHTQNLVLRRRRPFEQHLPE PALTVVLPKQRAASMPSVNQQELSSMLATTEQYLSVLRLNQNMQVGRPAGISSTNPSR IASDGQISLRTNHCGNATSLPSIQTRDTSLPGNVDGKLPAVTKQLLAEAEARKRAYHE RRLQAVRARQIYPGNGTLGRTIPNINAGASVIRGLSHQCGRVVKVTPRSFRPHRLMFR GPSNGYRSFGDLVQVDMPSPSLEHFSITKFSRTASEYGRTSAHQQCEPRFSVFQELLK RPELIITLAGHLRVQELLILYRICKPFHNIINQRYTTVILSQALRRAPESAKIFPFRC YSKLCIDDPGQRPHPIAQRAAVGHHRKVPSFRWLLMICFREMACHEIRMIMAEDGTPL PEQCESVMKKIWFVMDIPDSTRRIGTIQNREIFTDADIFFATLLFVKMDMRFTDPVTG SGRDGMRRMLLSQPSLSAFWKTLKRTALTSKMDAVKMFVRWKWQPPARLTGQPIFDIP AHEIGIMQFEGWGRTGSRVCLQRPDDIILKESIHRRLNLQAHYTDMFLWGYVNPRSLQ DIPPIPERRNLERLEGMEELLVPDEDKRNKGIGKPVSERVVFI UREG_03910 MGSETSPPLGPKPKSAIPSYLINGGVSDRMPSRKRERDSLNSSI QTSFARTQISDEEIRDNGISLPIRTTVTDAIESRDSGRGLSKQLDGSTDAKRNISEPP RDPRDHMVPTPLLSRPASPYTLNPPIDFDGLSWPSIGTRARLESTPEETEQRIQKLAG AVRTIFECIGEDPEREGLLGTPERYAKAMLYFTKGYEENVRDLVNGAVFHEDHDELVI VKDIEVFSLCEHHMVPFTGKMHIGYIPDRRVLGLSKLARLAEMFSRRLQVQERLTKQV ALAIAEVLKPQGVAVVMESSHLCMVMRGVQKTGSTTTTSCMLGCMRSSAKTREEFLSL LNRK UREG_03911 MEDYDPSVTEEQEEEISEEKIINEGNQRRLLMDKTGLEAKFESE YKTWKKNAPFLYDMILSTALEWPTLTTQWLPDKQEIPDKPYSTHRLLIGTHTSNDAQN YLQIAHVQLPNPRTPDAEDYDDEKGEIGGYGGAGSQKAPMEVKFHIVQKIDHKGEVNK ARYQPQNPNIIGTMCTDGRVMIWDRSKHPSLPTGTVNPELELLGHTKEGFGLSWSPHS AGHLATGSEDETVRLWFVRSMLLSSKRVLTPSRDLTQYTKGNRALKPVRTYTHHSSIV NDVQYHPLHSSLIGTVSDDITLQILDIREPDTSRSAASATGQHKDAINSIAFNPAAET VLATGSADKSIGLWDLRNLKSKLHALECHQDSVTTLAWHPFEEAVLASASYDRRIMFW DLSRAGEEQTQEDSQDGPPELLFVHGGHTNRISDFSWNLNDPWVLCSAAEDNLLQVWK VADAIVGKDMEDVPTEELET UREG_03912 MRVTSVEKSEPLHLRKTWMNDLSHGFTFLESLNLAHGDLRPENV LLDRNRLKLSDFDSTAEIGSEFEACIAPYGRLLCSEGGAYQGTAGFLGPRTEQFALGS LFYLINYGFEVYGDQCFGDDPSGKEHGPVVLDLLQKMIFPELNREPEIDSIIRRCWYG EYKTVAELAMDTEKLCCSIGDVSKGKIESAKCSAAISASDFSLQRKFCRDLVNSGIPK TLSLRNPRDLRLPMKRRHICWDLLRE UREG_03913 MGVPKFFRWLSERYPAISQLIAENRIPEFDCLYLDMNGIIHNCT HKDSDSPTFRMTEDKMFIAIFNYIEHLFGKIKPKKLFFMAIDGVAPRAKMNQQRARRF RTALDAEMAKEKAIREGVEMPKEDAFDSNCITPGTEFMAKLTKQLKYFINKKVSEDAE WQGVEVILSGHEVPGEGEHKIMEYIRQSKAQPGYDPNVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQSQKKVKELEHQNFYLLHLCIVREYLELEFQELEVEGALKFDFD MERIIDDFILMAFFVGNDFLPNLPNLHINEGALAWMFKVYKEVLPKLDGYINERGRIN LDRLGVLLDSLGDVEFRFFEAEYSDARWIKSKQNVGEEVQDISRDPKTLTISPAQKHI LSKVKKYISHCPVNDDGQSIPLDLSPSLPARDRKFVEQLADDLRIPWASVANDHGDRF LRLQLPSNQRTANDDDSGDEDEEAQIAVLRVLKKYDNATVKETTAEDAQQAAEKKYEQ KFQEWKNKYYQEKFEWGTDNEEEMRNKKIVPEAYHSLMTSPDSPIIDFYPRDFELDMN GKKMEWEAVVKIPFINETRLLNAMATKEHLLTPEEKSRNSFGVTLKFTHSPDIEFTYP SSLVGIFPDIPKCHCIENVYELPTMEGLEPYVGLVDGAKVGVEALAGFPSLKTLPHSG QLGFHGVTVFQQESRNETMVVTLMESEIRARTEIAKAKIGKRVHVGYPFLHEALVVCV SDELFDYVQVDGEQHIVPIPHGPGQIEHWKRKADRIESYYSKRLGMIIGEVESIVHVH VLKGLVKTELGATVKEFAEIPGIETDYASQLIVDEVISQDDRFIEREAIPIEEEFPEG TKAFFLGEFNYGSPLHITGHEDGKMFGLVSTIKGSKGTRFRPRAVARSLRLNPLTVAK ITSSFSVMSEGKRVNLGLNLKFEAKKLKVLGYSRRGQSGWEFSEKAISLLQEYMIKFP DFIAGIQRKPQGDLFEPTDFYPPEIAAAKIKEIQTWLKSVEAKNFERVPLEAEQLDSD VVGAIEAAADEWFRHKRTGIPQKIKGVPRNAVLKPADAEHRLGNQIFGLGDRVIYAQD SGKVPIATKGTIVGLTRTARTVLLDVIFDVSFMSGTTLAGRCSPFRGQTVAASSVLNL TYKQLIAMTRASNEQVRAQQQAPAQQGYGAPLGPGGAGQLRDAPTPPPLRGSYRSAVN GQDNSRGMARGRGSPRVLPFRHQPHHDHAPPQASETSPGRNWRGNGHHHHQQHHHHQQ QGNDSTRQNTDSETAARPAASRGRGRGRGGIGSTQLPHSRGGYVSVEKVDPGAGVIMN NPNFRPRSHNAVPPPANLNQRGAYRGRGFANRGSPRGRGRGRGTATAESQKT UREG_03914 MAPTSETASPIGIANLIPHRHKIVAKRGAAFTIMVAGESGLGKT TFINTLFSTTIKNYADHKRRHQKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGDY VNNRDSWMPIIEFLDDQHESYMLQEQQPRRTDKIDLRVHACLYFIRPTGHTLKPLDIE VMKRLSSRVNLIPVVAKADTLTPSDLARFKQRIRAVIEAQGIKIYEPPVEEDDEQAAQ HARSLMAAMPFAVIGSEKDVKAADGHIVKGRQYAWGVAEVENEEHCDFKKLRSILIRT HMLDLIHTTEEQHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEEALRKRFTEQVKVE EQRFRQWEQKLIAERDRLNKDLESTHAAIKQLEQELEQMQGSAVRSHGRR UREG_03915 MKTDFKFSNLLGTVYRKGNLLFTPDGTCLLSPVGNRVSVFDLVH NKSYTLPFAHRSNIARIALSPNGKLLLSIDEQGKAILTNFPRRITIYHFSFKSPVSTL SFSPSGRHFAVGIGRRVQIWCTPSTPGTGASGELEFAPFVLYRDLAGHFDLVQNIRWS GDSRFFLTASKDLTARIWSLDPEDGFEPTTLAGHREGVVDVWFTDDQESIYTVSKDGA LFRWQYGSKSNDPDSMGENPDLRWRITKKDYFMQQNTKLTCSAFHPKTNLLVVGFSNG IFALYELPEFNQLHLLSVSQSNIDFVTVNNSGEWLAFGSSKLGQLLVWEWQSESYILK QQGHLDSMNSLVYSPDGQKIITAADDGKIKVWDINSGFCVVTFTEHSASVTACQFSKR GNVLFTASLDGSVRAWDLIRYRNFRTFTAPSRQQFSSLAVDPSGEVVCAGSLDSFDIH VWSVQTGQLLDRLAGHEGPVSSLAFASDGSHLVSGSWDRTVRLWNIFARSQTSEPLQL QSDLLCVAFRPDGQQVAASTLDGQLTFWSVNDAAQQAGIDGRRDISGGRKITDRRTAA NAAGTKSYTTITYSADGSCLLAAGNSKYICLYDVGTGSLVKKFTVSVNTSIDGTLEFL NSKNMTEAGPRGLIDETGDASDLEDRIDRTLPGAKRGDAGARNTRPEIRVTAAAFSPT SRSFCAASTEGLLIYSLDTDLLFDPFDLDISITPDSILATVAAAKTSAQSNANPSDSS FLKALIMSFRLNESSLIRTVYESVPPRDIPHVVRSLPTVYITRLLRFVANAADETPHL EFNLLWIRALLSIHGRYLKSHSGSFAAELRAVQRAVDSIRDDLKRLVDKNMYTLDYLL EKPAVTASSDSTASLEKKARSAIGAANGNGVDAISEVEDGSEGEGEWMGIED UREG_03916 MASIAEGLFKSLPKPKYTGEHERPPPHTQPRGPRVVGAGSVDES QLILKQTGPPAYGKRAGWRPRAPEDFGDGGAFPEIPVAQYPLDMGRKGTSTKSNALAI QVDAEGKVKYDAIARQGHSEGRIVHASFKDLIPLRQRVDMGEISLDRPSKEEVQEQME KTKAALDKLVTGAVAAQKPKNVNTGKRSEPTFVRYTPANQMGDTSKKNDRIMKIVEKQ IDPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDQEAWRIPPPVSNWKNPKGYTV PLDKRLAADGRGLQDVAINDKFAQFAEALFTADRHAREEVKQRAQMQQKLAEKEKAKK EEHLRQLAQKARDARAAATSGRRESRARSVSGSRSPSPYSSRSASPSEDEEAARERER MRRERRQEAERQLRQSRMGAERRIQMMAREQNRDISEKIALGLAKPTQSSETMYDSRL FNQTSGFDSGFNEDNPYDKPLFAAQNAINSIYRPRAQAEDEYDEEAGGAEMDKINRSN RFEVLGRAQEGFKGAAEAEARDGPVEFEKDTADPFGIEGMIAEVTSGASGAGQKRYGI QEAEGSEARGSKRARVSDEDSDDGERRRS UREG_03917 MAQFYQYGFVEPNRTSISEPKIPKEPILYQYIPPGQNAAEPPAP PPPSNTSATPTVHFGMTQQFYGSVPIVQRPVSFYLSQQQAHVQYVPQPQAYQPPARMA PVAQASPGAVPWVGATRAEIDAQNIAIAKATGATRPQSMIPYKPADGQQWWCREIDGS YTLRTTNDIMENLQPGKWVYSSTGFPYFVRQPAT UREG_03918 MPTRNENIGLDEGAGNVEEASGFGSYSEEEYDKITAYSDDVDYY SLLALPHHPPPTEAQIRSAYRTLTLSFHPDKQPPHLRDVATKHFDRIREAYDTLMDPK KRVVYDMLGEQGVKDQWGVGGILGRSGEAERYQIGVKTMDIEQFRRWFLRRMKLQELK AIEQLVHSKGSISINIDTHGLAMRMIEPSAAPDAPLSRISSLALGFNFKTPFAPLLWL RQLSSGREGNKPEDDEGVPLPNEQDAELEIHTGVRGKLQTLTYAVRVIDQATQQQETK EVTVPHVLMAKNISLGATLRHRIHIPAQKSPAMSVLLFPLLGDSLVEVGSSLLPAPTL HTTFTRRIQPVKGTRPLNVTVQGLLSDLSFKCGPTINASISRHIGTRGLTYCNWSSGK WSWPSFIQHLLFPLTSAESEQALTLDNPSKFEIGYVALPVKRAQNRPGTNDDDEELED DFSGKGANGSTGEPLETWGFQLHSSPLSMQLSMNYARSFFGGKAEELPRSEWNYEGYR PQKETRISRAVRLEIETVVGVDLSLGWMVSGSRQVGNFTRMGLGVGVQGGEGLVCSLS WHRLGQSIKIPIAVCPLQHLDGDVGVLAVIIPWVTYSIVEFGFLRPRERRKQKQALAK ERKRLRGLVAKRKAESDQAIELMREQVERRQARESDRNGLVILRALYGYVPSRAEHRN YTIVSEPERLVDVTIPVAALVDQGQLTIPRQVTKVDILSFT UREG_03919 MGDLAALGDVPPVYRIDLSLPPAERYVELATIYRDHLHSLTGLF YELASSLHPKISPDWIERAARFFLRRLCTHEETEEIRGISKVTGIDMYLLVSFNVLLD LLMGCTSGAALTKRHSEDPTMLHFRTLDWSMDGLRKLIVQLEFVRSPDTETVLATSIT YVGFVGVLTGVRKGLSISLNFRPNHNANSVWGNFRFYGSHLLVLLGIRRSISSLLRQC LLPSTTSALPWKGWLLKRTPPQAQDISLTEIKTSLPKVPTTAAYLVFSMEKDLRSAVV HSSSSFVVATNSDFETTSPSYKPPTGNHSGLQATSNEDLTIVDLIEDSNERRACMQAK WDRKVQRKMAQVSTKTNIAQPQADTTARRNPQRNTSSKYASRLSTDLCETSSSSVIPD VQVTVTPAELIRWTTTYPITNEMTHFSAIMDPSKGKVYWMKRYETHIALDNLNT UREG_03920 MQFLLAFMLLAVFAGLPLTFSITSEKPGLRKITQRDEDTISGAG NQQYSHNLRTLPLPKHMTKTMTSNKNGNSDIIANIVIDNRTPRIWARKGRSLPNIKPD DHTQHAPTAGARTETITRTLYNNPTPTPKAKVVARQGENTGETKKGVDSTNTGEGKKR VKNSGRNWLD UREG_03921 MASRPTVTIATADGKPSGATHPLPTVFTAPIRPDIVQSVHTGIA KNRRQPYAVSEKAGEQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNQCRSGRMFA PTKVWRKWHQKINVGQKRFATASALAASSVPSLLFARGHRVANIPEVPLVVDSKTFEN GAIAKTKAAIALLQALGAGAELVKVQKSRKIRAGKGKMRGRRYRQRRGPLVVYNPEVD GKELVKAFRNIPGVETCSVFALNLLQLAPGGHLGRFIVWTSSAFSALDQLYGTTTAPS ALKKDYLLPSNIVSNADITRLINSSEVQSVLRAPRGDAKTKRTGVQKKNPLKNKQVML RLNPYAAAFSKQNLGQAGVESGKPERAGETFHKILNEN UREG_03922 MYFSTSLIAAAAALLAAPANAHMDMRTPYPYGPNSLNNSPLMNN LADFPCKQRPGVYDPPAQENIFAIGEEQTLSFRGSAVHGGGSCQVSLTTDMKPTKESV WKVIHSIEGGCPANVDGNLPEDPNGSGASQFKFKVPPSIAPGEYTLAWTWINRIGNRE FYMNCAPIKVTASKKRYAPTPIQEPRSLIPLAKRADLPDMFVANINDCITSEGVDIRF PNAGESVERPGSPQNLLAVGKPICMMKDGSNGPMAPADGGSSPSPSDGDKGGSGGNGK DGGEQAPPDASKPTNAPGIFLPSAAVPTSAPTSAPAPADPPAPANPPADPPANPPAAP PTPSSPPTGGDGGAGKTGPCTEEGAWNCINGTSFQRCASGQWSPSVAVAEGTKCTGGI SNELKLDTVKRTVHLHRRHAHAGPHKI UREG_03923 MAGAKFPGVKPTMIEWQTVTLQQADQGAHSSRLVPEGAAKSKGS SSETGHLSTSRSRWPGHDDSKRTKQSRPHPDPLKKIPVELRRVCAVSIQRPESSLASL IRHAGRGAPVTLKRQSVLFMSWPWDDDSGAARPASSERTAVTRLAIPINARPVSVSLP EGFQTLQARWSRGAGFHEGWRGTVPLDSTRGFIGAFSFSGTRHNPMPIGHATAPRADW TAFMKHPAKARPHTLSPQIFR UREG_03924 MSSAPIPNPDLAEEIDAISAIYDPSTLVICPDSTSNSPVLEEAT TTTVTLRVPEHTQIAFLLRFDDKYPETAPQVTGTASTGSRGEGKKWVDMLSDAVARVW TPGSVCLYDLIVEAGEMFDEAKAAEQEAEKDEGASDYTEQLANLDINASSSQAILSSM GLDEPPSWIMSDPFSEKRSVFIARAAHVQSKEQAEKYLDYLLATEKKVAAATHNITAW RIRQKKADGSTAVMEVIPFYEKATQPGVIVIWKKRPIGTISEQPQTKTPLGSIRTHAI VRLGGASAPNPVDKLSGGEGFVSIWKQMMESNVSDQSLIDACSSGTLHRFRLFQPPST GKFYAQQCKPLGGEFLLCPGTKAVSE UREG_03925 MAPMPTEPPLPGSHDSDDLNRRRTAEPMLRPPHDFSRYYSCATR RRVASSVKDFYKYFAIPGIHNLAGGLPHVSYFPFDSLEATVALPNRIPKDGFVPQPQP TASPASPPASQRVVVPKESSVDDGLRRIDLATALQYGAVDGYPPLRSFIRQFVRENLH PHVPYEGGPEVILTCGATDGFSKTIEAFTNVWDENRDWIRERQGLLCEEFAYMTAIQT ARPRGLNIVPVAVDSQGMKVEGERGLADVLDNWDFSRGQRPHLMYTVTIGQNPTGAVL PLERRRQIYKLCQRYDIIIIEDDPYWHLQYPSAKQNALRQGQSDSSPHTPFSVHDEPS GFPFLDSLVKSYLSIDTAGRVVRLDTFSKIIAPGCRLGWLTAQPDIVERILRISETST QQPSGFVQSMVAKLIMGDQADDMVKKPCTEGSPGWRVDGWVRWLEGLRGGYEKRMQTM CTILEEGRFSIVQGDALSNDSNLDEWQVVDNVQMFDFDWPGAGMFVWVKFCLETHPLW SQVPAAKLANALWKYLINAPYRVIVGPGWLFAPTEDVKKYAWRYMRLCFAPVDEGAVA TSSHNFIEGCRSFWQVKDIHDIDDLEDDNEE UREG_03926 MAHDGRDEEEAMSGRTAIQPHTNDTLDYESAASESRFNIHSQDD PTPSLPIPVWLTESSKAFKWGWVPLPLRKAGRSTVKWLKGPQPPKDLRFNPIGPRIQE APVRLLNRFVPKRKHKIALLLFTYFTWFLSWSLVLRHSVSAGHIEGYGRPQPISCSAT YWSNGNQCGLNGYDCRPFNASTFAFRCPAFCSSLILLNPHTVGNQTLNYQPLIVGGPK PGSSDGVYRADSFVCQAALHAGVISDSGGCGVVELTGASNSYPSSSAHGFTSISFPST FPKSFRFLRLSGSQSDCPQDSRWPLFAITATAIVLISIFTTSPAVFFFSTFFMLMLHV GLVSDPPSVSQVRELLSLLFSRLLPAGFVAYVLYLYCALPLLQPLASPPVYQLSRTVL YLAPAFIGALNNYTFAVWIPIQRLTPHDLKNQPGAPLALVIVLTIVILIVLCQVWYIR MGGLFFRYLKIYATIGTAVLILLVLPGFRLRIHHYILGILLMPGTGFPTRPSLIFQGL LLGLFINGVARWGFASIIQTPSALGELPSPGGENGWWGATSPNITNSSVSISLPAPPE YRGNGNITFHLWEPDRMATLKVDGVSVLVNDVERWRGYLDEDKQGDFIWHRHGHQSLD ISDGHEDEAPEDLFFRFAFIRGSTAGKYGGVGVWNSDGSWIPPPPPRT UREG_03927 MSAPEPVHVDPHKGGDGHLHLASVLDEKEMSVQLGGGSRHGSDD EGVGLRREMPTDEEMLTLRRVADKIPWITFSIAFVELCERFSYYGTINVFTNFIQRPL PEGSTTGAGGTDRISGALGLGQRASTGLTLFNQFWSYIMPLAGAWVADQHLGRFKTIM YSIGCALVGHIILIISAIPPVIAKGNSAIGAFAVGMIIMGIGTGGFKSNISPLIAEQY TETHPYISTTKDGERVIVDPAATVSRIYHYFYLMINIGALVGQISMVYAEKYVGFYLS YTLPTVMFCLCPAVLYFCRNLYILTPPQGSVYGKAMRVWGLAMKVTATSRTRTFRHGL LACKVFLWYPLFWLAYNQMTNNLTSQAATMTLNGVPNDVVNNLNPFALIICIPLMDKF VYPTCRKLNLKFTPVKRITAGFFVASSGMIAATVTQYYIYKLGPCGYYANDCADKSIP APISVWVQAIPYVFGGISEIFASVTSLEYAFTKAPTNMRSMVQAIALFMNALSSALGQ ALVSLAEDPLLIWNYGVTACLTFCGGVGFWFTNRQTDKEEDALNTLPNSHFNGNNPDD LEKSV UREG_03928 MAVIPSTKGWDSIKRPFDIRNDDGFNADQAMACFPAFETKHHYL IRIGHFARRRSELFNGPSHLSFAKLNFWAPVIASRVVRLGFSGRHDKMPFDVLFLELK GCDARFHSIDQQAQLGDLQPRFGLLAESYSRWPMTGQNWPTTHPNLAGGDNHSTSAFP DQ UREG_03929 MGDILDLLLREDIEPFRGDKVDSQVSRENGEPNVWRGGLRPPPS LVEYIHDIRRHSLRRDFISKPERLVEPIPHTVAVGMKPKKRHEVEHLSHYVASLTEDV TATRGEKVSHIVDFGSGLNYLGRTLASAPYNKDIIAIERRQNNITSAKGKDIHAKLAK KTVTLRNKKEFKARLMGIDPDELDSNSGVSTPDGVAINQDEVATVIDVVEEPDANPGQ ASPNGKSILGCMHYVEHDIQDGYLEPIIRHIVEPSKDIPMSAVEDQDNTAIKSLKALN LMPTETALSPSSSQVMVVSLHSCGNLVHHGIRSLVMNPSVVAIAMIGCCYNLMTERLG PMTYKLPILRSLHPRLEKTSTAYDPHGFPMSQCMEQYTHDGGNGIRLNITARMMAVQA PYNWGPEDSEMFFTRHFYRALLQRILVDYEVIPVPGSVQDSASGLANTGDTPGTPLIV GSLRKSAFESFSAYTRAAISKLIHDPQHGESIRRKVAGISDDDLNEYVNKYKFAKKQL SIAWTLMAFSAGVAESIIVTDRWLFLREQKVVKHAWVEPVFEYAQSPRNLVVVGIKK UREG_03930 MEANGDPFLQVQADILSTLNTTRPLFSSYQRIRSLATSPTNPEL LQAREELESTLQELSTDLEDLVSSVRVVENDPYRYGIELDEVERRRRLVEDVGREIEG MREELQKTVASNIGAGAAPPNSATRRLC UREG_03931 MRPLYARRHSLVNSKLQHADFWPRVFANSPADIDEYVRPSDAQV ISACLKNITIDRFEVNERGEGEPRSVRFTFEFDNGEDNVWFENDKLVKEFYWRKDIMT AVSGKKRVWEGMVSEPVRINWKEGMDLTEGLLDAACDLADAEKAFMKKENKPKATNED RLKLKEYESLVRKVAKFEAEVANEGEGDDEEGESSPMALSFFAWFGYRGRDVTAEESA RAIKEDNEKWDKIIKGEEAFDEEDEEDEVEENTLADAEIFPMRVVGNLPWRRPLAQCL NIMNSETWKMTTMKTMRTTHLRMSRSVLARRSRRDSVDFLRLLPVLACNLKHDDQN UREG_03932 MTFGVASTAVLDPNCVFLPGTTAHVSKAVALLGKNDCKFAIKGA GHSAIPGAANINDGIMMSLARLNSTDINMENSYVRVGAGTQLGKVYEALDPHNVAAVI GRFYKVGLGMAVGAGISFLSNREGFTIDNVVNYEVVIAGGKVVNANATSHPDLFWALK GGNNNFGVVTHYHLSIFETPGVIYGGQITYPASSLDQLADVIYDYHVHQAVDDVLTHA LPQYGYNGSMNETIATIPVAYNDAVDELPEIMQPWVKLPHTKSTLKKRTYGDLATELN EGFADGLVQEQRVFTVYADAQFYKDVWFKYRQWLEKYRDVPGFYGNHGNMPITPRQVQ QGVAKGGNALGLEKGPQEKTLGIIYFGVTFDNLEDRAKVFPAHKEFVESMIEFAKSRN VLHPLIMVTFSGYDQPAIASYGATNVAKLRRIARKYDPNRVFQRLVPGGQKLPCCWS UREG_03933 MTQTKTIAVVNAAGRQAASLIRVASAVGYHVRAQIHSLEGVIPQ ELKGLSNVTLLKGPLLNNDALLNSLFEGAQLAFINTISQSGDEVAIGRALADAAKRAG TIQHYVYSSMPDHSIYNPRWLSLPLWSCKFAVENYVRQLGLPATFVYAGIYNNNFTSL PYPLFCMELTADGGFEWHAPFHPDIPLPWLDAEHDVGPTILQIFKDGPKRWNGHRIAM SFETLTPRQVCAAFSRALDRPCRYVHVPRIDIRAPIPAGYRAQLEGIEILFGQMRAPF FPNPEFNHPTTGPRAGKPIIPEPDQNGVVALPLVDEARTLWEGWRSMEEYAREVFPVE EEANGLDWML UREG_03934 MTKGYLGHQSAAIWGPGEQQLGSRSRKRGQNLEVTWSWFRSAVA EGFVVGWSGPKPIFADWCLKIKNCWENKPKSR UREG_03935 MGSLGTMAIEQDHDQLRAHGLYPESRILIIMTGGTICMRRSTAG FVPARGFLEAALRPSPTFNDGSAPGPVDVAINAAGDRKSYPTLRTPLSAYEARVRYAV YEFEELLDSSSIDAKGWAQIARTIYWNYTLFDGFVVLHGTDSLAYTSSALSFMFSNLG KPVILTGSQAPMLELQNDATDNLLGSLVIAGHFMIPEVCLYFNYNLFRGNRATKVAAS DFAAFASPNCSPLAITSSMRTHVKWDLVHKPTTIKHFSIQTNLDTTHVACLRIFPGIK PEMVDAVLRLPGLRGLVLETFGAGNAPGGQDNAMTKVLADAINRGIVIVNVTQCLSGS VSPVYAPGMTLSRAGVVAGLDMTTEAALTKLAFLLGVPDATPQSVARDMAISVVGELT EHSHPVFRHPDGALPDRIKNLAALGYAIAHGNLEKVKDIMRVEQDWILNDADYSGNTP LHLAATSPNLGILRYFLLHGGSVHLRNHAGRTPLFLAANAGLVDHVSLLRQSGAHLNE DERGVAEMHARRRPEAWALAGVSTQAAANQLNKPSDSEQERRANGKS UREG_03936 MDSDIARPASWAASFVAEKWAVLLLSVTLVALIVATILRSRKVS RKPSNHPPLTLFDMPLDVVLRVGDFLSTEDKMVFALSCKSAFVAFGDTRHSPEFKFPV KISPVVFPMERMLPFTSGYWQLLRRLEDSRFQCCSACLKLHPIREFSTNDLQTVAEER TCVLGPGAGVVYLCPCVQLTFRGGMKLEATIRRLARQRDPIIFEFGNESFYWRNWHSC QHTSGSGTLQIDLLAVVCGTNGLMIRSVYSFEPNANITFDPLARPDVPGYCCPHRSVA AHLLDSWGPRYEFKTTCRWCGTLIDTISRKSIVTVKYLRTTDHQPRSQWYYQTDRALE NLDAAAQSFQWPERPWGFGS UREG_03937 MSTASAVEKPTLLVVGAPIREPNRGKWAKFCQNFNILTYTRTTK EDLLNSLKPGGKYSQIDGIIRPSNTHNNLPRFNKEFISHLPPSLKIISSVNHGYDRED TEELGRRGIWYCNGAGGANDSTADTALFLIIAAFRNTTFCEHTLRTRRSGDYFTIEKE VAETSHNPRDKILGIVGMGQVGVATAVRAKALGMKIHYFTRTRKPAEVEQEAGGAVYH STLESMLRIADCVLLACPHSPETHHLLNKNIFKLMKKGVRVVNVARGKCIDEEALVDA IDDGIVAGVGLDVYHDEPTINPRLLDNWKTTLLPHIGGACIDTQINFERIAMENIEAF FLGDGKPLTPVNNVTGPRL UREG_03938 MPGRWFGCRAAEAHSSSPPPSLRKLDRLNRCIFGDRKPAKAWLL VQRAPSELAKVFVVSDPKEPLNDETNFQLHSILTLLPTNLTTMEPPADDLIKYIPPSA KASLLPRKITSIEVVAHERLPDGGNHWAFYLHTIPPDAPSETATTAATDDQIIQLDVS PSYSIPSTVLPGGSKAFLILSTNPHSLSPFGTFTKRVPLTVRRKPDLPTVQELVHLLT VTQHRHRYEFDDHGRGCRFWVRDQIPFLLDEGVVTDEEQAELAREAVLLEFPDGKEFP ITIGRYY UREG_03939 MAIAGCLPTISTDGPVACETQVSDVHPWPCDFNVLGVVLTVSSL WCSALLVLESGQPTAEKPPLSTGITTLARVNRNKSVGTEITVQRVIFNKNSQAYSRSS ENSNVSDPFPSSREQQHCVFASLGNWATAQASNQSRTHGCSAKLSSLSIDDDDGMASQ WDTLSYASTSSQATELNTILLISIDTPATMAIISINGNELNPEDQAPVLRALHLESED ASKSDYILVQTTAPLSDEQENQLEQLGVVIHEYVSQNTYLCGYKDSDLAQIRALDFVA WANVYLDTFVIQPTLKSATPTAQSMSIFPTVRPTSKVREVDVIFHHDVDTQSESLKTA IATAARVDPDGLDISSSKVRLAVQEQYLEDLAALDHVRLIQRAYPAKLFNNIAVKLID GEVELNGTAYEGEGQVVAVGDTGLDKGSMQDVHHAFKGRVKKLYPLGRASLGKADDPD GHGTHVCGSVLGDGESQTMGGRIRGVAVKSSLVVQSLLDSRGGLGGIPDDLTNLFLQP YKEDDAKIHTNSWGSNSSGQLPYDASSSEIDKFVWEHPDAVILFAAGNDGADVNRDGV IDEKQIGSQAAAKNCITVGASENNRPNLPVKYGRRWPAPPFGTDLMANNPEGMAAFSS RGPTKEGRIKPDVVAPGTGILSARSRNLLNPSEPYGRSDDPNYWFLAGTSMATPLVAG AVAVLRESVIKNGTSNPSAALIKALLINGTVKLAGQYVPSEAGPSPNPSSGFGLVNVK NSIILKDDKYAKYYEKSINRRQGLDEDDSITVDIPEAGAEASTGVKNMLKVTLVWSDP PGETLQNDLDLIVVASNGKERHGNMGEKPGFDRANNVEQVVWTNIPAGKVEIRVRAHR LATKTRAQPYALVWSFNRFNSFVRENMKNAKRKGQIGSGLLRIVYVYE UREG_03940 MRFNPSHLAAIAVSLITLMAPVMGFWRLPCRGVLTVARIDPIVD PGIPSMHAHSIHGPNNFAMEVTSDDLLASECTSCEVVQDKSAYWTPSLYFINPSGEAE LVKQVGGMLVYYLPRGDNVQAFPRGFRMLAGDTYQRNFTLPTPDPPKAVWAEKDKTQF SLGQKAIGFNCLNYDNPPEPSLMRHNFPDRAFIDSKCKDGIRMELVFPSCWNGKDIDS PDHMSHVAYPDLVDVGSCPNGFEKRLVTLMYETIWDTMAFKGQAGEFVLSNGDPTGYG YHGDFIEAWENDVLQRAIDTCTNLSGRVEDCPLFQLQSEDDQRKCTIENPKALGGERY DFNPEGLPGKVPIIRGPGYALRPISSPSAPETMPKPVVPDPKVKLPQDDQPVVPRPET TTAPPPPTTPAPKTPGMVSEKPKLEQPYTTSYSTKGSTIYEIVIIRTTVTKTVDLPSP TAQAKRHENRSGVKGRFRRHGHALNH UREG_03941 MSTSGSRLAGKVAIVTGGGSGFGAAIAVRYAQEGAKVVIGDINT KGAEAVASQNPSAITYQKMDVTSLSDWQSIISSAVQTHGHVDILVNNAGTTYRNKPSE EVTIEEFQRVFDVNVKSIFLASQTFIPKLIDQGKGGSIINVSSTGAQRPRPGISVV UREG_03942 MAPRDGEMISTPPVVEADVDEVVADQSEVGSDDALLESLGYKPV LHRTYSLLESFSTTFSALYFVGGVRVTFSTGIAAGGNLAYWINYLITAVFTFITAAVI AEICSSLPSAGSIYLWAAEAGGPKYGRLLGFVVAWWSTTAWTTFCASNTQSAVNYMLA VCSIPQEINHRDKGGKTGLTSVLQEFTVFDVDFPKNVDSIKFRAVQWICTEVLLGLAT IVNFLPPKYFRWIFYLSSSFVLLDFLLNVIWLPIGAHNTWGFRTAHEAFLETYNGTGA PAGWNWCLSFLATAGILIGFDASGHIAEETKNASLTAARGIFWSTVVSGLGAGVTIVL FLFCAPDPETLFSFGSPQPFVPLYAIVLGKRAHLVMNVICVIAYWFNTTIAIVAASRL VFAVARDGVLPFSGWVSRVSPNGQPHNAILVVWGVAAVVTCTILPSTVAFTSLVSAAG VPSAAAYGLICLGRVFLTPKKFPKARWSLGRLSRPFQIIGIFWNAWVVAVLFSPYQFP VTGENLNYAPIILSGVTILALVSYWIIPEEKWFPNARITKFVEQEPAPAMQQTQTSPG KSGSLK UREG_03943 MAVGTMAAFGERDAMDDIMTSLKLHTVAQQEMPVRYESEEEEES ESDLPGADDHLYSPVDSDMGALDVEHHNNHNSNHDARGSPAQTSNKDFTFRLPSPSPG PESPRSPAVKGRRESCLTLMAPKSPQQGKNEKQLRKSYGPYRDSPPFQNAKFFSSMIL EAETRPLRHSICSEILSSDDELGQEAHFLIATSIVYRVPESKPSLISVGPASTPSTTP PIPEPEPEPEPQKKRPSPLNLAPSKPVAANPPRTSGSVKNRLTRLMSSSSKQEKRRGS YFMQFSNSSNLSVLETPSFSLPNWNSPEETWRRSGSESEQPPENRPLEASSRFSRRIS TTSSRYSVQSQTSRSPSAQNKDEKSTLSLPRSGGFRNRLASPGQTHTESSPSQFPSSS AQVKATVPEPKKPSVQRLTPPPDRFQRPQSNSSSRTAPSYHLFPDIEQTPVGSSARSI KSVTSNSSKPNQSTHDTSSLRSLTQRYNRMPNRDDFPTQRRPSGSPLSMSSRADSRFR DGRHNSEFGLSLNRTRTLAVDEEKSLGSWKEDSHEEFEGSSPRKHKHSSSKSMKWFQG GNMSQAGKALNGLGSMIRTKKIT UREG_03944 MSLELLKSLPQPTLDRPFGVELWPIFDKAYTAVAGYHPTDFHFS QGQTPMSTLKETAIGLVSYYIIIFGGRELMRNRPAMKLNGLFMIHNLYLTLASGCLLA LFIEQLLPTLWRHGVFYAICDHRGGWTKPLVVLYYLNYITKYIELLDTVFLVLKKKPL TFLHTYHHGATALLCYTQLIGLTAVSWVPITLNLLVHVVMYWYYFQSARGVRVWWKQW ITRLQIAQFVIDLGFVYFASYTYFASTYFPHFPNAGKCAGEEFAAFSGLIILSSYLVL FISFYLATYKKTGKGGRPRRNTGKQAAIAMKNLEVPGMQHKENGVTNGNMNGNANGSI QTNGNATSSARASGPVTRSRKA UREG_03945 MSPTTLLRVASRRTPATFFKASYSARSRLPAAASASAPAIVALG GASGFSTTAKRYSAGHEEETFEEFSTRYEKEFDSVQDVFELQRNLNNAFAYDLVPSVG VMTAALKAARRVNDFPTAVRIFEGIKAKVENQGQYEAYLEELKPLREELGVVLREEMY PAESK UREG_03946 MAVARPLRLLLAAGVLLGLFLIFHLSRLPNSLIKFVDPFDNGLK HDPLSDPTGEPDGQLWRAEGDAYAPNNPNSARLNATLLSLVRNEELDQLIMTMKELER TWNSKFNYPWTFFNDQPFSDEFKKRTQAETKAKCNYELVPKEHWDVPNWINMDLYKES VALLKDKGVQYSGKLSYNQMCRWNSGIFYKHPALANMQYYWRVEPNVHYFCDVDYDVF RYMQDHNKTYGFTINLYDAPESIETLWPETVKFLAGHPEYLHPNNAMNWLVDNKQRPQ HNQKANGYSTCHFWSNFEIGDLSFFRGKAYEDFFNHLDRAGGFFYERWGDAPVHSVGL GLFEDKNKIHWFRDIGYNHIPYFNCPNSPKCKGCTPGKFYAGEPFLQLEDCRANWFKY VGTG UREG_03947 MATAIQISALTDELISIVANISDSSSPRFKDLKSLTRRLLKQSP ACRTDKFEVARRLDGLQEKFQILGNDPLADALHQRLVELDARNRYWSPEVLFLLLELS DEPATKSKPGAIEQLKPPDPPPVLTWSDLGTSDLDQENIWDFIDYTNGSSDDNLSTAS SDVSIPRIIPQSSRVPLDEFIPPGDIFLNVEDKALVSSIQKSLAWRNASNGTSFEENS ALTELQVTREVIFMLQGLPNSLFRDVQDAIEVDPRFSMNHASKKALGSILGAFGHIGV CVRRLRAFSAGPLLIPFMQMFQRELEKLVSEFNEFLSNKQIQCSARTGSPLISLLELF DVVHKETKLLVDLADLVAKYETSTEQREFLCLDLLYDLTCAKQAAAEDGDFKTIAKIF LRCFEIYAKPIQLWMRTGMLDESLGSFFVKRSAGSTDLKHLWQDWYVLEKALSEFYGP KLLRPAAEKIFTAGKSMVFLRNLGVLPETLDLTEEPIIADVDIFSEKDISQLVPFSGL LQTSLGRLMNANHAIASSILRTELDEKCGLWLSLQALDYIYLAKDTTLLMAVDQRIFD LIDKGRQSWNDRFLLTENFQQAFGNLSCINADRIIARAAKISPRDFERLCRSVKILKA LSVDYVLPWPIANIISKQAMLTYQRVSTFLMQIRRAKYVLEKQCSNKPKHLRLEGYTK EDILAFSIRHHLLWFLNIVYYHFTELVAFPSGNELRKEMTHAKDVDQMISVFQSFTSS LEEQCLLSQPLAPIYQAIISLFDLCLRFSDVQVARYAEYQHDQANQSTTYLHLSRPYR RRHRLASKDEDTSSDEDEDDSEEHIINDTMFGDGNSTCISFAELSYRERLLDVKEKFD QLCGFIKAGLRGVGRVNGRNSWEMLADRLEWKSDTLV UREG_03948 MESTVSSHLVIPGSVAFSQSRCRAIAADIKARAVRAQWVHYIDL VENLQEQHRAVLEQLLHYGDISDDPAAFESLEGSHVTYHVAPRVGTISPWSSQATGIA HVCGLKQYVKRIERGLEISCVLSHGEKELDAERLNMLHDRMTQIISTNEPDLQAMFSE HQPQPLEIVSFEDREKSPAEILAEANKRLGLALDQSEIDYLVQAYSQGGPISRSPTDV ELFMFAQVNSEHCRHKQFNAKWIIDGEEKPNSLFGMIRNTHKQHPDYTISAYSDNAAV IQGEEASYWSPNPSTGEWSQTKELVHFLAKVETHNHPTAVSPFPGAATGSGGEIRDEG AVGQGSRPKAGLAGYCVSDLLIPGNRQPWELDVGKPNHIASSFDIMIEAPIGSAAFNN EFGRPCTAGYFRTLLTKVDLEDGGSEVRGYHKPIMIAGGVGTVRPQHALKNPRAVKPG SFLVVLGGPAMLIGLGGGAASSITSGEGSADLDFASVQRGNAEVQRRAQEVINSCVSM GDDNPIKFIHDVGAGGLSNALPELIHDAGLGATFELREIDNADRGMSPMQIWCCEAQE RYVMAVSEDGMTKFTAIAKRERCGYSVVGRGLGQPEEERRLILLDRDSKAYPKPIDLP LSVLFGKPPKLTRTVTSRKLKLPTFDSSLQSYLPEIPAKDLISEAVSRVLQLPAVGSK SFLITIGDRTVGGLTARDQMVGPWQVPVSNVSVTATSLLTGMRTGEAMAMGEKPTLAL ISASASARMAVAESLMNIAAADLPGRLSRIKLSANWMSAANHPGEGAALYEAVEAIGM DLCPKLGISIPVGKDSMSMKMKWNDQNTGKSEEVTAPLSLVISAFAPVSDIRKTWTPA LRSFEEVGETVLMFVDLAGRRSMGGSALAQVFNQVGNECPDVHDVQLFKDFFDATQQL QETGVVLAYHDRSDGGLFTALAEMMFAGRCGVQIMLDEICSSANMKDVLQTLFNEELG AVFQVRKKDEGVFRSCFATCGPPPGLLFRIGRVAEKSKQNMAIYYGATLIYRNSRANL QQTWSNTSYQMQKIRDNPSAADQEYEAILDDNNAGLSYNLTFDPKDPAMPLLSSFSAK FSPFATKPRVAILREQGVNSQAEMAFAFNMAGFSAVDIHMTDILSGRVSLASFVGIAA CGGFSYGDVLGAGQGWAKSVLLHKNTRQEFKSFFERPDTFTLGVCNGCQFLSRLKELI PGANDWPSFERNESEQYEGRINRHQASSSTG UREG_03949 MARPLSPQMSARTSRTFSVEVPGTIDPRDLPLRQLNDDAAFEEY TEETADGQITRPVRSNVTGKIEDYELVTFKVGDPENPKNWSKAYKWYYTMVVAFTCFV VAFASSVITADLIGVEKEFGVSEEVSLLTITLFVIGFGVGTNPCYFSPGPMAFAPLSE MVGRKPVYVVTMFIAVVFLIPCAVAENIGTLLACRAIDGIAFSAPMTLVGGTLADMWR NEERGVPMAAFSAAPFIGPAIGPLVGGFLGDHKGWRWLYWIQLIMSGVVFALLAFTMP ETYTPTLLAKRAKKMRKETGNTKYVTEQDLDSRPMGQKMRIFLIRPFQLLFLELIVFL LSIYMSILYGLLYMFFVAYPIVYQIGKGYSASITGLMFIPLAIGVLLSAICAPFVNKH YLSICAKYNGKPPPEMRLIPMMLSCWFVPIGLFIFAWTSYPDVHWLGPAMGGFPVGFG FIFLYNSCNNYLVDTYQHQAASALAAKTFLRSMWGASVVLFTNQMYNRMGYQWASTFL AFLALACCAIPFLFYYKGEAIRRYSKFAYAGDEEEAAATEKK UREG_03950 MSLPLNTLSAVTPMRTLSTPVSDLPQAEVDAIIRTKRKAREPKA CYPCHTRKVKCDRNLPCDGCVKRDHADLCSYERPSKKRQVLPQSFVKPEGGDGGTAAV GVGIAQPMASIDQNRVSLSKDEWDNVCLKLKEMEQTISSLRMGLERADFAPGASSDIR SDHMSAGQEPPSPDREGIHAANGLGNGTIHLGSRSVLAYILAGSGTSQEAAQAISEGG ILPKLGLDNELVTYPFIDLWSSNSSTFDINAVCSALPDDQQCYRIFPFISIANGVSLF PMIRLSSCYRDIGATLYPVIPDMSNFEENLQMFLRNRANGVTAEAIDRPFGMSIAFIG LLFAVLAAGCQSSEMPGKERELTSQVYVCCSYQCLRAMNFVSQPNLQAIQTLLIIGNV LSYNMNPGASYVLLGMTLRMVISLGLQVESHKFSPTEQHIRRKVWWSTAWQDSHFSLS YDRPSTMAFGHPDIPYQADSKPGNRSFFETLCRIIALTLEIVRSRMIAPQAQMSFAVI RAYREEIKRIMADASPHLRDPKFCTTAKENLQRIGLKLHSSYQKECISTQQIREAYVE LHAANPQASRSWISMQRTISSAFLLAVLEEAKSDPQIRYLLLQLEHAIAERTAADATD KAATRCTSNPVSEGSPHLINGVTNIPTSTLDPTQISTTSTVPASVASDTETQWQRPLT KSLRALQKLNAAFSMHSRQQAQPLGTLPPISSTPGVSVNVSGYVTPSGSVSGLSSQLN APRGSSLPPPTPESSGSSEWNLPNLLDRAAEYIHPPLWP UREG_03951 MADKLFVALDSDNDAFWLAQVHFSNNNYTRALAFLTRKDLIARS SSCKYLAAHCYIKQNKYEQALQVLGEHNPTHLISTASNSRRKLQHRNGGSHITLRNGK STASRSDRIERSEEREREDANHIKFEAAMCYLRGLCYARQNAFDRARDCYKDAVRIDI QCFEAFDQLMKNSLMSPAEELEFLESLDFDSINPSSDPSTSQEAAEFVKLLYTTRLSK YSSPSAISHATETLSTHYNLAENPDLLLSRAETLYTQCRFPEALELTSSILSSSASDS LATATSQGDQSRSIGHSPAVYPLHLACLYETGATNALFLLAHTLADNSPEESYTYLAI GVYYLSVSKIAEARRYFSKASLLDPHSAPAWIGFAHTFAAEGEHDQAIAAYSTAARLF QGSHLPQLFLGMQHLALNNMSLAHEYLSAAYNMSSSSLSSSSGTASLSFNSSDLQSSP PIAGDPLVLNEVGVVLYHQAKLEGAIELFRQALSLATSLQCDPGAWLPTRANLGHALR RLGHFEQALQEFDECLRIGAGGSATSHISKAGVALPAGSAAAVAGYEDRGLAGSLHTA RGLVLLELGRTMEAVTALHEAVRVLGTSGGDAAAGAGVAGTLLSRALEIWALEAGQDA VSSSPELTEPSNSGRTKPSGSKGKEASRAKPSNQVGRKAKSRKAAVVSQEWTDDVVDR ESPNIRDDQQTVAMELDGEADGILNHALARIKSRCSRRRDAGLTPNNGQAVDMPNFNA LTRSTRASSRRKSPTRHGPAG UREG_03952 MSERKVLTKYYPPDFDPSAITRTPKHLRPTGPRLLTVRLMAPFS LKCTNCGEYIYKGRKFNARKETTDERYLNIPIYRFYIRCTRCSSEITFKTDPKNMDYT CERGAKRNFEPWREAAAGHANETEEETLNRLEREENEAEERAERDKMMELEEKMLDSK REMAVADALDEIRTRNARIERGEKGGEELAILLASREADEAKAKADAEDEEAARRAFM TKDGERVKRLVEESDGVDPPAPSATEMPPPSFTRVKRPKKPFSAGLGIKKKTSLV UREG_03953 MELVRVENEENAVLCMKTIMDLERRQVRATQSRVQPFLELIRDM FEGMPQVVKDTFETPIQGSTPGMMPSTPGGPQNFQSPRPSSPATSVSDLAPEQQGNQQ LLRGMQSFKVLAECPIIVVSIFQVHRSFVAQHVKVFVPLIKGILLLQAKPQERAHAEA AAQGKIFTGICKDIKNRAAFGEFITAQVKTMSFLAYLLRVYANHLQDFLPSLPNVVVR LLQDCPREKSSARKELLVAIRHIINFNYRKIFLKKLDELLDERTLIGDGLTVYEALRP LAYSMLADLIHHVRESLNRDQIRRTLEVYTKNVHVDLPGTSFQSMSVKLLLSMAERIS KLEDKREARYFLIAILDAIGDKFASMNREFKNAIKASKQAKENPDGIENYLGHPDNPP DWDEIDIFTAAPIKISNPRDRNVDPVHDNKFLFKTLVNGLKGLFYQLKTCNPDNVKID PSNTLINWSEVSYGYNAEEVRVIKKLFHEGAAVFRYYGVDDPEPEFQHASPLEFITSQ YMQQMSKEEKELLESFGTVFHCIDPATFHEVFHSEIPYLHDLMFEHSALLHLPQFFLA SEATSPAFAGMALQYLMSRIQEVGSADMKKSRILLRMFKLSFMAVTLFSAQNEQVLHP HVTKIVTKCIQLSVTAEEPMNYFLLLRSLFRSIGGGRFELLYKELLPLLEMLLETFNN LLLGARKVQERDFHLLPHLSHLMRPLVVALRAGSDLVGQGLRTLELCVDNLTADYLDP IMAPIMDELMTALWDHLRPNPYSHFHAHTTMRILGKLGGRNRKFLNHPPDLSFQQYAD DVPSMDVKLIGSNKDRAFPLDIGIDLALGKLLEVPQNPAAKASDAFYKQQAYRMLSSQ LKLYIGFEHLPDDLAAYLRLQANDITDTKFAGGLDIFEKSERQYSTPKKLAQEETLKK LLKACIYATTIPDLKQKASQFLVDVCRHCTIIEVGRALAQARHSRRPFNVTMGEGPLY LDARVLADAVVECYSSDHAAVRDAAKEAMFTVRDTATTIFGSPAKIGKLPFFPHLARS FCHACHDEEWFMKAGGSLGINLFVTDLDLGDAWLVERQAEFVRALMYVIKDTPSDFPA ITRIRAQEALELVLYRCTKGLSKEELKNDKSRLFGLCGFLVHELSHMNKHVREAARSA FQTIGRTVGAEVHELLYPVKDRLLLPIFNKPLRALPFPTQIGFIEAITFCLGLHRDIV TFNDQLNRLLMESLALADVDDESLASKPHEIKTAEQIVNLRVACLHLLSMAMSFPDFA SGPQNTSRARIIAVFFKSLYSKSPDIIEAANSGLRDVLTQTNKLGKDLLQNGLRPILM NLQDPKRLSVAGLDGLARLLTLLTNYFKIEIGARLMEHMKVIADDTVLEKVSFGLIEQ SPPMKIVAAIFNIFHLLPPAATSFMENLVTKVLWLEDKLRRTANSPFRKPLIKFLNRY PKESWAFFQVRFHDEKFGRFFGQILADPESLPLRSAVLADTHTFTTIAFGHTESPARN TAAINGIYTVHSICRHDSTKGWLTSSPDLKRHLLNAGRDLENKLRGDKLPVNERLRAE QAEDQLLEIFTVYLSQNLQDFDFLFELIDKLSSGELKSTLVIPKFLYEHIISNDSIDY RKSIIARCLDLYGQRTSSQKLKTYAFRNLVNPILAMDVQRTWGNSNSGTKLMDRDMTE LIHNRLWKPQLGDISDESAQTGVDHSRMALLQLSALLIKYHHTTVQETRKDIIKFAWS YIRLEDIINKYGAYVLISYFIAHYETPSKIVVQIYVALLRAHQNEGKALVTQALEILA PVLPKRITPVGDLRYPLWARWPRRILAEETANLQQVMSILQFLVRQPDLFYDSREYFV PLIVPSLVKIAGPPNPSTESKKLALNLIGLIWIWEQRRVNSDQPPHSPNPRKRKFEEN QKSPSGPPGPLGMRERPDYIISLDLRTTLIKYLVTFICGLPERFEVPAKKLVSRDSTR PQSFVMYGDMVMRAMQLLRDLLSPDYWADVDIDVYPKVTEPILSGEKAEKPEEKHITG MINALQILRVLLAAKPNEWVASRVSTLQLLFEKSLRLDHPEIQDCLHGVEDDMDTSRR LPPPVKRVLDSLPQDQPADEESMDVDDAPTEFAAYLSTIATESLSANNYISAINILWT LSKSRPAEMDQHIPHVMKVLSQKLAKDHVAVYANNHGSNGAKNGNGEQAIADQEEFEL GVDLILKTIDLIAVRMSHLGEQRRPFLSVLAQLVERSHNIELCSKVLGMVEFWIFHST ESWPTLKEKTAVLHKMLLFETRPNQTMLKKFLELVIRIYEDPNITRTELTVRLEHAFL IGTRAQDVDMRTRFMTIFDRSLTRSASRRLSYVLTSQNWDTLADSFWLTQASQLVMGS LDTMTSAKLHPEDFTLPPVSFLFSHCEKDPSKESVMVDSNLDTLVAEHRNFYSELADV KTRDILEPLSQLQHADPKVAYTIWVTLFTICWSALSREERIDLEKGIVTLLTKEYHQR QLDDRPNVVQALLEGVIRAKPRFKIPPHVLKFLSRTYDVWYTAAVALEQSAINPIIDT PTARESNLDALVEIYAGLQEDDMFYGTWRRRCKFVETNSALSYEQQGMWDKAQQLYES AQIKARTGAVPFSQGEYYLWEDHWVICAQKLQQWEILGDFAKHENFNDLLLESIWRSL DSWQGDSNREQIESLVKGVSDAPTPRRAFFQAFMSLLKFHTKQESPQEFHTVCDEAIQ LSIRKWHQLPKRITNAHIPILQIFQQLVELHDASVICTSLNQTNERNLDTKSAELKLL LGTWRDRLPNVWDDINAWQDLVTWRQHIFQLINQTYLNLLPPQTNNVASNYAYRGYHE TAWIINRFAHVARKHQMPEVCINQLSRIYTLPNIEIQEAFLKLREQAKCHYQNPKELN SGLDVINNTNLNYFGSQQKAEFFALKGMFLAKLNHTNEANDAFGVALYYDLRLPKAWA EWGQYSDQRFKIDPSDMELGSNAMSCYLEAAGLYKNHKSRKLLSRILWLLSQDNDEGK IAGAFENFKGDTPVWYWITFIPQLLTSLSHREARLCKAVLGKIAKLYPQSLFFLLRTC REDLLGIKKSQDQKQEKINRMKQQQSSPQIKTETKPGPQVPDGVANSSAGAGSPRPPH ANSAPPMTGTPEIQKERQPWEYAEDIMAGLKTAFPLLALSMETMVDQIHKNFKCPPDE DAYRLIVALLNDGLAYVGRMPSSYAQDFKLPPSTEANITRFAETILPAHIRKSFEADF VIKKPTMHKYIHKLRRWRDKFEEKLDRRPQFQFLEAYSPHLSEFKFQKFDEVEVPGQY LEHKDKNQDFVRIDRFLPNVDLVRGIGVCHRRLKIRGHDGSLHAFAVQHPAARHCRRE ERMLQLFRIFNCVLRKRKESRRRNIYFHLPLMVPLAPHIRLVQDDSSYISLQGIYEDH CRQTGMNKDEPMLYTMEKMRALAENKMNRAPDHSVVLRTEIFSAIQQRWVPNTVLLEF IQQTYPQYADFWLFRRQFSYQYAAIAFMTL UREG_03954 MKGFRQRVHEQLSRAKDSNKSSKKKDSSSSSNNAAPPNPVPTSA GQGPSPTNSNHGTPNSSSTALNDTKGKGSAPPENAGQPQMTIHHNAHVMPSPAPAPQH YTPQQPHGGMHPGQMSMNGPGTPSRQVQQITPSVIISPSAPHIPPPGAAETMPGDLQP PKVGQKSHAFDRLHTTPRDVPEGIRTPKRQHSSRFDISDQRQRDLEKLPGFHEVPPNR RQDLFMQKIEQCNIIFDFNDPTGDMKSKEIKRLALHELLDYVANNRSVITDPMYPKVV EMFAKNLFRPIPPPVNPQGDTFDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNV AKAYIDQHFVLQLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSYIRKSIGNVFFQFM YETERFNGIAELLEILGSIINGFALPLKPEHKTFLTRALIPLHKVKSLSMYHPQLAYC IVQFLEKESTLTEEVVLGLLRFWPKTNSTKEVMFLNEVEDIFEVMDPSEFAKVKEPLF HQLAKSVASPHFQVAERALYFWNNEYFCNLVGDNVETILPIMFPSLYENSQSHWNRTI HSMVYTAMKMFMEINPQLFDDCSHEYRELQNSAPAREQNRKAKWQRLEELARAQQSKD KAVRPTKVLPKLASHPEDPELITQDNRQRLNALKLQDEGTTAKDPNQPRLPEREGQTS VSGSYVG UREG_03955 MASWKGSTTAPWYTIPPREIVDVEHPCVVKDVDRAIASLQRGPG IAEILDPSRLNASATLTLNPADGMSRPLFSTSKPANNMLLKVTVPKRTGRKRKRRSQE PYRDDAASRPTDSPPAAGSCDAKQLLRRLRDNADCYDIEVVGKVERVHVFRNEKLKNF ELDMSKGVTTNVDIVPPPSFSHVEMPFKYNYRQNPSVKQAINLSGETMMINTNRIAKV FTHLVPSDVESVPTQPSERLPPIDTLDEVLRKTIDILQGLFNDRPAWTRRGIRNHLIT NEQKYALRSAVPYVGYIFRSGPWRDAIVRFGYDPRTTPESRIYQTLMFRIPPSADAAD IDPSLQDQMLATSNTTPLSGRRYTLPRFSQVLGDATVGNTSHLFTGHPPIAHDGKTWM ICDIRDPIVTRCLSPYHPDAPPPQPTCEPFSSGWYGNVTLATARAIMRAKIQHMLEHK ASYPDDDDFLPLFTLPAHAESEEDIARLVLSPSEAGAKCWQMMSEIRGTLKTAPGRRP VKHKGDEKGLGADEGRRKRVRWEDEEEGEDEGEEGEEAEEARQLEEEAEREGDEEDEG DGEAGEDEDDAGEDD UREG_03956 MAAVTQPISPASRQPFAVLSDTRLRAVESAKNHQNGLRSSSLKR PLDVSDLSDAENVDPASMESPTKRSKLQLSSGMPKSKAQSARQPSSITAENTARSLVS TPVLPRALATSTPRSAPLRAAAGRSPRSKVAKTFARRSAGFCRVDPRSFSKRHAGRAP FSISDALAGTFSLTSFNAEKSKSGSGSSRRKAWDFEIHVDSEQDEMANLMEHGTCMLD ISDDESKGKDLEREKENIPPPDFASQVTPLGMPSRAFSSPDEMTDGPRSPLGELDPKD FIPEGEDASTSVIQILEDEEDDLNPSGTSSTSSEAPPARRVSSTPQQAALLKQAVISS LIKSTTPVTSSSKMPNTPQESASSAVETETEETASPAGKTAESDGSPSRTGPPVAPST T UREG_03957 MTAPIKQHAESTAVRIATIEGGITTIGINRPQRRNAINFPTAKR LYDAFLAFENDPRQKICILHGTGGTFSAGFDLSQLAGWDAQTEGSRSKEGNGNQKQDK AVGGITRSKFEPVRDRNAGPLGPSRMQVTKPVICAVSGHCVAGGMELSLIADMRIVEE DVIFGIFSRRFGIPLLDGGTVRLQSIVGLGRALDIILTGRPVGAHEALHMGLANRVVP KGQAFDEAMKLARLLASVPQECLNADRNSCYYAAYQAQSLEDALSYEYNGAVRVADLG IREGLRCYDGINIPSDSFDAVLTLAFVFVVLHSASASKWSRLMSLLRINLGPLGLEQR LAPERKFPPKGEISVELNITRSNCPGCLVPDIRARPRSILHDRNTLDIPGPKVNISIN QAKMPATIRVIGSLNADMVTVTPRFPGPGETLTASSFTTSAGGKGANQAVACGRLSRP DPRSSSASPDETAPPIHIEMIGAVGGLDAHFPTLLQPTLERSGVDISRIRQIRDAHTG VAVIIVDSSADGENRILFSPGANYAGMQPTAEVLDLALAGRPRPDVLVVQAEIPLETV IAVLRGVGRQRKEEEEENEQGAGMMEVVFNPAPAPEGGLPVDVYGAIDHLIMNETECE IMAPGELRGIPEVEERRRQIARYFHGLGVRYVVVTLGAQGVWYSAGDAGGKAQEDAQG WIRCVNQVPAAKVDKVVDTTGAGDTFVGGYAVQIARWREQRRAAGKGIDDMTDDERRE RYHKGIEEAIRWAVRASAQCVQRQGAMDSIPWQNEI UREG_03958 MDRRSAYSLSVLPAVADGPDESRTAIQTQLREFILAFQLDNTFI YRDQIRQNILAKKYYCDVDVAHLIAYNEDLAHRLTTNPLDTIPLFEAALKQCTQRIVY PSQKDVELPEHQLLLHSSASHITIRDLNATNVSHLVRIPGIVIGASTISSKATAIHIK CRNCEFSENLVVDGGFSGLSLPRTCGKERLPNEDMCPMDPYVVVHEKCQFIDQQVLKL QEAPDQVPVGELPRHILISADRYLANRVVPGSRCTVMGIFSIYQSKGKKSATSGATAI RNPYVRAVGITSDIDHTAKGSSIFSEEEEQEFLEMSRRPDLYNVFADCIAPSIYGNHD IKKAIACLLMGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFVERVAPIAIYTSG KGSSAAGLTASVQRDATTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAMEQ QTISIAKAGITTILNARTSVLAAANPVFGRYDDMKTPGENIDFQTTILSRFDMIFIVR DEHEKGRDKKMARHVMGIHMGGRGVEEQVEAEIPVEKMKRYISYCRSRCAPRLSPEAA EKLSSHFVSIRRQVHKAELDANARSSIPITVRQLEAIIRITESLAKLSLSPIATEAHV DEAVRLFLASTMDAAVHGEGHASKELMAEVGKVEDELKRRLPIGWSTSLATLRREFVD GRNYTEQALNRALVILQRRETIQFRSGGSQVYRNGP UREG_03959 MQNGSIAESGQNAISTLLQPPIVRTGLLPHTSAPSSGYKPPSTR DIPPVTLTNIPRVESASFQSYISQIGTHYDSLHRSKEIENKNDSPYRQNAETPKSPEL DTILSQQLDRRQSTSSSLYPSSADALSRRGSALSHKARGPSTTPLSTIPKIYFDENFQ LENPRTFDIVSERSEVISSQKPSSAADSLVEIQPTKRKALATNAILQEKLSWYLDTVE IHLISSISTASTSFFSALGSLKELQAEAEASVQKIQTLRNDLAKLDNDMALGGLRVVN LRRRRENVRKLANAVTQLQAVITSVSQCEQMVQEGDIENAIDELDDAERLIAGKSAIR PRVELAGESIIDLRGIKALEGASDDLAQLRYRIGTGYETRFLETLLQDLRDHVDAVPP EVTLLRLGDTFQRSRRASRQPLASPRYINMDDGLRSRLGRDLAGLGRSRHTMPAATAF KNVILREMKSIIRRQLPSSSEDDAESIMSASTHGGRQLTQQEKSTILARNLRALDPDD AHNMLTKVYTGISESLRRLSVQVKVLLDITSGLEHSANPVSVRSPTRSPSMPNFEDVA SPGEAATIAKDEILQVLDMSSLLGQAVDIVQSQIVKVLKVRLEQIEQLTLPQFLKYFS LNRLFADECEAISGRSGAALKTVVDNQIKAYIGSFSDRHRHRIVQVMDSDKWVAKDFG DSETVLLNRILLASTEEIDAWTASSKIWEAETDDTESIPVTNGSAVNGNGVSAPAKDR IRCAIVDEQKYILPESAILMVKVIGEYQHLMTGIPSMIPDIASNFLECLKLFNSRLSQ LILGAGATKSAGLKNITAKHLSLASQALSLIMSLTPYVREFIRRYCTSPPLMAEFDKI RRLYQDHQSGIHEKVVDIMNSRSVINANSMKKIDWELQKDVNAVSPYMEVLAKETETL HKVLTKHLPETTVMMIMAPVFSNYRDQWTKAFQSVTLHSETARQRMLSDAQFFKSKVD KLDGAGDLGDHLVGVIKSKTIVSPPARTSSSSEPATKDSPALSETPQPDQRKDNENQP UREG_03960 MATSPVKGHREMPLAVIPFSASKDILKTQLSITAAFHQHGWYQH MALESTCIKINDYLRNRLYLSSIMPNPAWEQRSFPEGNDIRYIDVARAVEDFERMMCH TDLEPTQKEFLTRGLECCRRWLNDPEKPSTATVKSLKNFLKHTAYLPRICHLEFQPLK CVCKGLRILADACTEEFPHDFSIYEEFGDAFDVLTNLLRCEICFPAEHFNEIDPATGR QYDWSYIASILKNYPFLPLPAWFVRQARIQKTPSPLTETQTSLVVSLKGASNALRLDF NAIAWQIIKYNIYMEIPRGPKQALRTGNWEQLARNILQAHQTLKPLAHLEQEKHKNLR YAACAIGRAVKCAESRWFDALRSSEDYVLSNEALELSWRLAESGDPRSNRDLRSEPLL DLTMYGKTKTTQIGENLLTTVQRDDGDGVREPSNIISLAVARMLGQEPRIPAPVGGEP QLRLRAPINIEPIPGYILEAGRRISCDRALPSYAGRSDQELEAQGIARIPHRGLASTL TFDGFFHVSMGRHTVLPSATEVVEEILRAFKEDDDETICRHGFHINARGYEPLYNSAR VLSIAPVLRGIFEYGRPDPNAGPIARLRNDLRLVDLTLTLYTLIETDDLEELLGPATV DFQIVFCHAGEDEETLNRVGLTRHPKLGLIGLYGYFNMPLEAESS UREG_03961 MTIPLRSLSIDVLLAESTVLMDGSCVTSCGRRICLTLESSSPTW ALYLHLYIFITYPTLLVAYSSDNESILRFSQLPTGDSAGSKDAGNVYVLLQLQPPAQP TLDNSPIYKAMKFRSKLSRLKESLSRTRRKVTRWLSCDSDKEGFSPSLEKRPLAEPVQ RDPFETLTFKEDNAIPVIEPFADLSSPPNDSAARPRGLNNTQRCECQLLFRERLREVM VNSLMPALEEFRSCHCGKRHRCVAANDALSSSGYDTMSTTTFLLAHRIRTSYLSRVFG KSLETLRNEPLSCFRRDRRLSLGPLPPRPISQRRNERNLIVPVPRGQAIYMGNDESGR HIFSRCTGRLESEQQRTSRENVMSWINTLPDVADSAMLNASIYPQRLRRKRRMSNLKS SQTKDNDERDVLSI UREG_03962 MAADGARPPPRKRDVKEHILFEVSTEVANRGWWFRDPIVSSNIW ERELIAVPPAQVGGIYSVLKSKAPVTTAEYGDRYTLIGPLNRASAVVEVEPLAPTNPQ LLGAIDAMKERGIEIEYGRWLIEGAPRVILIDTSAGYQYLDEWKGDLWNAAGIPSPAG DSETNEAIVFGYLVAWFLGEYICRDTDRAVIAHFHEWLAGVALPLTKKRRMDMTTIFT THATLLGRYLCAGSVDFYNNLQNFDVDAEAGKRGIYHRYCIERAAAHSADVFTTVSHI TAYESEHLLKRKPDGVLPNGLNVKKFSAVHEFQNLHSHSKEKIHDFVRGNFYGHNDFD LENTLYFFIAGRYEYRNKGCGYMTSGVVLYHGRCIIIMPAQTSSLTVEALKGQAVVKS LRDTLEMVEKGIGKRLFERCLSWHEGDNMPDEKDLITAQDRVLIRRRLFAMKRHNLPP IVTHNMLNDPEDPILNQLRRVQLFNYPTDRVKVVFHPEFLNSSNPVLPLDYDDFVRGT NLGVFPSYYEPWGYTPAECTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIVDRRLK GVDDSVNQLSQYMFDFASKSRRQRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRA YPMSFDPEEDFRDIIGGTEQKISRPLSIPGSPRDRTGMMTPGDFASLQEGREGLSTDD YISWKLPSDEEEPDEYPFPVALRTKGKVEAPHSPDRDTAPNGS UREG_03963 MATKTSRADFEAVFPSLVQDLSEHAQQYGDPYHSFGVSLNANTP GGKLNRGLSVPDSALSLLSQPLNEKQFKDLCTLGWLTELLQAFFLVSDDMMDASITRR GEPCWYRREGVGMIAINDSFMLESSIYVLLKKHFRSHPAYVDFLELFHETTHQTELGQ LCDLLTAPEDHTAYYSFYLPVALALHYLELATPKNLKQAHDILIPLGEYFQAQDDFLD VFGRPEQIGKIGTDIQDNKCSWVINQALLRCSPEQRKVLDESYGRKDKDLEAKVKVVF NELDIVQVYRDFEEEQVGKIRGLIAAIDESEGLKKGVFESFLAKIYKRDK UREG_03964 MLNSLVPIDNDDADTEPDSPMDFSPSAIENPFLSNSDPDDSALE AKEAHKYILAKSYFDTREYDRCAAVFLPPSMSAIPLALQSSTSTSKIKSPLASRKGKE KSVSFGKGRATSKNPFPPLSQKSLFLALYAKYLAGEKRKDEETEMILGPVDGGMTVNR ELHALAQGLEGWFADRREQGLESRGQGWLEYLYGVILLKAKNEEEAKKWLIKSVHLYP FHWGAWQELNDLLTNTDDVYFEEASHLFSELLISYPHRLDGLDHYSNILYVMGARPQL AFVAQLATATDKFRPETCCVVGNYYSMESEHEKAVMYFDPSYEVLDMAFYALSTTNEA AALRPYDPKMWQAVGSCYAKMGRADQSIRALKRALVAGSYYDSSGTGMNSFASGGVGI SFPPGGPGHTPSALRILDPETLHQIATLYERLGDEEEAAAYMELTLQQETGQMGRSDD YDDDTATDADGISRRTSTLTHQNDDLEDEPTGTGVTATTSKARLWLARWALRHHDLDR ADQLASELCQDGVEVEEAKALMRDIRARREGDG UREG_03965 MGFKFSYVCDLLSNLDRNRIAKAASEAKTRDPDVATVIKWFDHH ERKIHSTETDSLALLSCLFPERRPERVFSLREPSLVKVIGRCLLLGASRKRELDRWGE KGWGDLGQCLEYVMQQAENHLEGQDEVTVEEIDLALAKVASRSRFSGPTVRYQHSAVD VDDTLSPIFRRLSSRDAKWFTRLILKDFNPVIIPGQLILRNFHFLLPKLLLFQNSLEG ALKFLAQEPISEFPPRPEAQYANLLGRVALPLLKPKVGVKVGRADFYKARSLKHCCQM VGGRVMSVERKYDGEYCQIHIDLSKADCIQIFSKSGKDSTVDKRGVHDTLKESLRIGR SDCRFSNQCIVECEILVWSDHSSKILPFHKLRNHISRSGSFIGIENDSPPDPFEHLYL AFFDVLMVDGNVCLSKPYRERRQILLDIVQPIEGRSELAYQQTINFSFPESYELLKDA VALGAAQRWEGLVLKGSDEPYLPLFQESANINFGHWIKLKKEYIPGLGDSADFALIGA RYDPQDAVQCQNIKDLSWTSFFVGCLEGDGHISNTKSNRYRITDVLNRHNVSPTIMRT LNQLGQFRVCDNSSSPCSFSIKVDQPHMPEIEVFFRTPFVVELVGSGFERPAGRAAKT KLYQRRENKGKPRG UREG_03966 MLLEDQRFAHEDLERLEQGISDRISEEPRNIRERLTRDHQIAEF LDRIQGQSQRLLDIYKDADGLRAKEVQDISTGDPFEEFYKHLEEIKDFHRRYPNEPVE NLERAYKRRHPGEGDLYTSEIEHMFTGEESYGQFFDLTMIHEEYLNLPGVKRLTYLQY LDQFDVFTQPQLPVKRENKLTDKYFKYVEHLASYLENFIKRIKPLEPLDELFAKLDKE FEQLWEANQVPGWGEEDMTASAPKTEGTGEGIWCADCEKEFKNENVYRNHLTGKKHLR AAEAKKASGATPDANSRPNGMGAAVHRLKEKAIAEREHRIRSLARVLQDERQATRVNV ERKQGMTERERQMELEALMGDTADMGPLRREEESDSEGEEKIYNPLKLPLAWDGKPIP YWLYKLHGLGVELSCEICGNFVYMGRRAFDKHFSEARHIYGLRCLGITQQTSLFREIT KIEDALRLWEKLERDRKKEKDARDNVVQMEDAEGNVMPERIYHDLQKQGIL UREG_03967 MADDFDKTADERIEFTTSKEVTVAPTFDDMHLKENLLRGIYAYG FESPSAIQSRAIVQICKGRDTIAQAQSGTGKTATFAISILQVIDTALRETQALVLSPT RELATQIQNVIMAVGDYMNVQCHACIGGTNVGDDIRKLDHGQHVVSGTPGRVADMIRR RHLRTRHIKMLVLDEADDLLARGFRDQIYDVYRYLPPATQVVVLSATLPYDVLSMTTK FMTDPVRILVKRDELTLEGLKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTSEDVRILRDIELYYSTQIDEMPM NVADLLG UREG_03968 MAGGERAFIPLGVFPSPPFTVEENFLMALGNLPSFCGNAFVEPG NAACLCTGWSLGLVGQKSLKQKPVMHAVHTCHSNQVTRSTRSADEQLLANHKGFRVCE LCEDGQAVAGDAYLESCQLPQSPLPDPNPRPDKPIQLVLRRTRLKGSQNLRPGRRSFS QSTCLSWTWPLRSCLQLSVSIQLSPGISAFLSDTRTSSVLDVSAPTDQSSRRYPATDL LGLLRYPSEPSPRRSHLRRRREPSVEHPNIPESSSGSSGLGNSEGRRISQEQTSNHGQ SEHPLSKRRRLADGNMKPDGATSTSSANGFSPISNGTGGTPLRKAISNSLNGQSSNSS SQAPSQTNGSTAMSTSPTYYGHDREEVTRILIQSLYDLGYPDAAVTLSRESKYELESP AVAAFRTSVLEGRWFDAESILINSFLEHEAEGKYAFKQPQHDGLVLAEDADKNEMLFC LRQQKFLELLDQRDFASALMVLRQELTPLNHDIGRLHSLSSLLMCPPENLRIQAGLED SITNSRRNLLAQLSRYISPSVMIPEHRLATLLHQVKQTQINHCLYHNTAITPSLYCDH MCDRSRFPKHPTLELSQHTDEVWYLEFSHDGTKLATASRDRSVIIYDVRTFSVIHKLS NHDGPVAFAAWSPDDTKLISCSQDYKARVWNVETGRCSLTIDHHHEPVTSAAWAPDGK TFVTCSLDNQSQLCLWNVRGDALHRWPGAARIRDCAISADGKRLVAISADKSIHVYNF QTREEEYSMLLKLDVTCINISRDSKYMLVNMSQGEVQLLDLETAEVVRHYSGQKQGQY IIRSTFGGAAENFVVSGSEDSKVYIWHKENCKLVETLEGHSKGCVNAVAWNPKDPEMF ASAGDDRKVKIWTNGQIETSSKSHVSSNGSAHSSAIGLTLSRSSSTR UREG_03969 MPSKDSGKAETGLDEAQHGSVFSVSGPVIVAENMIGCAMYELVV KVGDPVLRTGKPLTVELGPGLMETIYDGIQRPLRSISTVSDSIYIPRGISLPALDRKK KWDFKPGSFKVGDHITGGDIWGTVFENSLLHDHKILLPPRARGTITRISDAGSYTVEE KLLEVEFQGKKTEYGMMHVWPVRVPRPVNEKLASESPFIVGQRVLDSLFPSVQGGTVC IPGAFGCGKTVISQSVSKFSNSDIIVYVGCGERGNEMAEVLMDFPELTINVEGREEPI MKRTCLIANTSNMPVAAREASIYTGITVAEYFRDQGKHVAMMADSSSRWAEALRELSG RLGEMPADQGFPAYLGAKLASFYERAGQSIALGSPERKGSVSIVGAVSPPGGDFADPV TSSTLGIVQVFWGLDKKLAQRKHFPSINTSISYSKYIPILDKYYAKEHPEFPRLRDKI KELLTNSEDLDQVVQLVGKSALGDPDKITLDVAVLLKDDFLQQNGYSDYDQFCPLWKT EYMMKAFMQFYDESQKAIAQGQSWAKVREATSDIQTALRNMKFEIPDDEEAVSKKVKT RYLMIYSHGLTLTLSTSTRKSSKICPRSLHLFPTSKPFMFFGSERPFFWFWLL UREG_03970 MCLRQSDLPRGSGIVTRRPLVLQLVNRPALVKSQPNGTSEEKQI ETTDKESNLDEYGEFLHIPGQKFYDFNKIREEIVRETDAKTGRNAGISPQPINLRIFS PNVLTLTLVDLPGLTKVPVGDQPKDIEKQIREMVLKHISKPNAIILAVTAANQDLANS DGLKLAREVDPEGQRTIGVLTKVDLMDAGTDVVDILAGRIIPLRLGYVPVVNRGQRDI ENKRPISYALEHEKNFFDNHKAYRSKSSYCGTPYLARKLNLILMMHIKQTLPDIKTRI ASSLQKYTTELNQLGDSILGNSTNIVLNIITEFSNEYRTVLDGNYQELSSIELSGGAR ISFVFHELYSNGVKAVEPFDQVKDIDIRTILYNSSGSSPALFVGTTAFELIVKQQIRR LEEPSLKCVSLVYDELVRILGQLLNKQPFRRYPQLKEKFHAVVIAFFKKAMDPTNKLV RDLVAMESCYVNTGHPDFITGNRAMAIVQERHNAGKPTQVDPKTGKPLPISQQPPRSA SPSLEVAENSGFFGSFFASKNKKKMAAMEAPPPTLKASGTLSERESSEVEVIKILINS YYNIVKRTMIDMVPKAIMLNLVQFTKDEMQRELLANMYKSEEPDDLLRESEYTIRRRK ECQQMVESLTKASEIVSQVQ UREG_03971 MLTLLYSPTNFASQKGNPQSEDCLKLNVWSKATGSTRKPVLIWF HGGRMVTLDSLGLALISDIPMFVGFIGGSTNNPFYQGQYMTDKEDVIIVTVNYRLNIF GFAGAPGLPQNVGLLDQRLAVEWVRDNIAAFGGDPDRITIFGHSAGGVAVDYYTYAWK QDPIVSGVISMAGTALSMAPNTPAESTKYWNAAVTALGCQDSSDTVACVRSKPFSELS AAVLKVPPEPSKALPQPVFHPTIDEKVVFSNYEELSARGEFARVPYLVGSGDYEAGYY KVSAHGAGKRLTDDQWNKFNLAAFTCSSARAAHNRATHGVPVWRYRYFGQWPNTALYP GSGAYHGTDVAQVFGTAADASGAPNTDAENQVSSLMSHAWAAFAANPASGLSELGWPQ YSSSGESKPHEHAPRCCDV UREG_03972 MSKLAPKKAWLREAGVSVSRFASRCYTRLCEVKSKIPWVKSIKF NFIFFHYTYILGVTIVGSIYLYPGGHLSYTDALFLAAGSATQSGLNTVDLNLISTYQQ VGLWLGSMIANPIVIHSSVVFIRLRWFEKRFQHVVREAKMLRKTRTRTRSRAVPTDTD AANRAEMGVRGRNITVLRNSEGQALGRFIEENKRKSEGNSDHGNLTPTDGVSDQILPA EIEHSGAAEAPLDSSTDSTRPYPAQYGAEHHISFVENQRRQTSALRIPSPREFDRGGV PEAVDYGDTLMRRRTSRSDQGDPLKQLPSPRQHITIDEPNIARSRRATTFPPVNSRFT GDNDAAYNQEPTPEQRLRRRKGTLASFFFFGTHVGARDAPYLSWQPTIGRNSAFVDLS EDQKNELGGIEYRALKTLAYVLAGYFFFFHILGIVSLVPWILHTHWGKFVTRVGVGRP WWAVFISGSAFNDQGFSLTPDSLASFYDAIFPLLLMTFLIIIGNTGFPCMLRFVIWLF WKIFPKHTAIWEELHFLLDHPRRCFTLLFPSSATWWLFWVLVILNGTDLILFIILDLH DRAVSSLPGGIRFVDGLFQAAATRTAGLSVVSLSALHPAVQVSYMIMMYISIYPIAIS LRRTNVYEEKSLGIYYSGEEEEEEYEDPAKEPSYVGSHLRRQLGFDIWYIFLGLFVIA IVEGSRLQDDPQFTMFAILFEVVSAYGTVGLSLGYPNTNTSFSAQFKVISKLVIIAMM IRGRHRGLPYQLDRAVLLPSEALHEKELRDAAMRMKRRGSAFSTAASRRASSRDGFSG TTSGWQHSPSGGAWTLSQGNAVARLPLHRSGSR UREG_03973 MSYPYGVPARENEMYWRDGKVYMHGQEIRKSNAPSGSTNATGTR NDRGLDAEARLYRQQYQSFRKTARPLGLRDFINEPGMYDGEPRESMAWHDRGAAMAQH SRNVYEGVVDARRNMANDYIGYDLQKGRRGHKNRIESSESSARHMLRKETLHRTTHGR RP UREG_03974 MAFALVKNFAPSFDFTMIIWFYTAVWNYYVMHTPCLEGLPTELK QLILAALPDVISLRAAALSCPAMYGAFMDAEHLLTLNVITFQLNPDIIQRAVLAHDVS IHGASWIPKETVDFERALRSRAHSILERRWTLSTALKISRTNDVVRYFTHDFASTMFS TPFAPEKREPGSWELSRQEINRIEIALYRFEIYSQLFKRPDEQAYSDDIFEIEKGLFH RMFPPWENEQLACVYEYLWERMEDAFMFFPRWSEYLVRVEEGIYSGCTAYHMARGLDY LYMIFHDPRILVSERYRSSLYFSQGWFLEDSLGTCTREQFYRTIADEIEDIRNSFPSS ADADTGPLDAWAWARESETYWRLAVADERLPLRKWGYVMWDRARLEAWGVLQSTWGQH HADAVLEAASAQREKDMALIQRVADDFSVAWRYW UREG_03975 MAPLDGPCWRCDSLGFWGGCAANRFPDQCDANPDLARNVNVEAT KALAEATLSRDILLIYISTDYVFPGRPGEAPYEASMQTEPPNIYGQTKRDGEIAVLET TKGTGKGVILRIPVLYGSANSNSDSAVNVLIDAVQKAQDANAQVKMDDWSVRYPTNTE DVGRVCHDIAVKYLGEQDKAGSLPTILQFTSEDKVTKYEICKRFAEILGLPLSGMERV KQSGTPGEGVQRPYDTHLSTKGLRELGIPVDTQDFVAWWYVAVLSNDHV UREG_03976 MHRTNDASSTVQVQQPAKFSRYRSVRKAAAAKQLPGSTAPPPLP THPSSSNPGVAQPQNESIQRSMSRYRHAKPTKIVTSIPPPPPPPVPVAQIRQYADIEN WIDNTESSQYEAPPLQPEHEAPVAPVYDAPKQSLSQPSSPKFGNFSRILGRNSLDRRR DGGDPSPPRSAGEMQSHLKAIYSPGPREEAEPKRTRGRPENIHIPTSPLQHAHVQDNP KSPVDVHKSTREELLANERAAAIHNAPSSSKKLVKERGGLLSRIKGVDPNSSSKTQRD AREDLKTLISSPKLIDPRDLPTGLPDDTPVSAVNAGERKVLVTCNDSFINLPITPTTK AQDLLYSAANCLSESIDPKTAVLMESFKQLGIERPLRRYEHVRDVMNSWDDDTQNHLE VVTAPDDSVSEGLDVRSAPRKQPKDATFQIYHCQRQGKWDKRFITVRSDGQVLMAKKQ GAESTNICHMSDFDIYSPKKREYRRIKPPKKLCFVIKSQQKSNMFLTTDNFAHFFSMS DSESGWQWYNAVQQWRSWYLVSVMGEGSNDGKPEAQTGAKGVSHDSANRLSIGSATPY QLGSFKPLVDMDLLADEIAARPLTPSDEGPPTPKNSSSIKATPIKSHSNSPLASAPTS PKRSHNPPNRATEKSRGRSQTTTARRKPPVDGEPFTSTGLLGRTYTVRQNAMREREKE LAEQNNPFTANGLLNNLELPPRPSDPPVHTSSKPPSRENTIRSPPSSRHRAKSIQQPQ KPLVDLTPTYQEPPQHARKGRGVAAQPGTLLVDKATGPELCPGAIVVPSANTWRKPQR SGTMSSHVQRPDHFAHSARARQRSNTMRTVHHPAAISAVPLPDTPFIPTGLLAQNKVN HAQGSAQTGRGVATGARTAGRPLLDVCQSSKFAEGSLLRSVEHHRAGDDETNTELIDG GNGEGSQ UREG_03977 MGFLKRLRLKVKGKSKKSAYPDQNEGRYDGRATDYTKRLPPAIL RHVFAQVCPHSLDESLTASEESVAVNDCMLCDMRDLAHCALVCRRWCSGAQDLLYQHV RIDAVHYCDLEVLLAAKRKKSSWLNHNAEPIDAPRTRLLLFMRTVREPNDLGRLVLSL RMPYMTRETSKNELARTVSVLPNLRYVDLPVGVFTDDASSHMLKLELMARCPDLRRMK YIHGSEGSFSAIPQKQPWANIEVLELSKLSVEPTLLCQALSSFSRLQDLKLEDMHWLE DRMFEPMPSSPQFPPLQRLTLEATPQITTTGLTAYLSSPQNSHALKHLSLFNTGILPQ ELHEILTRATSLETLSIIHQVTRALPTETVPLLSSKSLTLLHYEITSESGPYGAQPIS SSYYTHLMSSLLAGNLPALKVLYVRDAHFSESLMLAPPPRLGGEGGPRPRGGGFNQML AVYSKGEDETEWNFTNYEPLAGSGRPTSMARPVSVHGAQLGPSWGENARESVFMGNGV DGFLAVPSDQRPKSSGGWSQTGSRRDLWR UREG_03978 MASTLQSPSPKLDRYIVIHVSTTCDEHGVYVTKDSAEVIELGWI LLDTKSCEELHRESVLVKPVNTPITPLCTSLTTLTWEHVRSAGTFRDAVNRFDAFAQE HLLSKNLEFAFVTLDSWDMRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQQHHP ESLPFGPSDLANICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEEVITLARVL RGLIRKSQPAHEHPEILTRPMDARADVRAFLAERSKVLHMSGLPHDTTQSELESWFTQ FGGRPIAFWTLRTPDQHKPTGTGFAVFSSHEEAAESLCMNGRALNERAIEVSPSSSRV LDRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSYPAIGPGPDPMGYAY GYGPPNMLPPPHHMGHHGGHGMGHGRGMGGNGGVVPFRAGDWKCGAEGCGYHNFAKNI NCLRCGGPRSGAAVVADSAFPSPMDPSTSFGMGPGSISSAPGAAPFPSTGAGFGGFSQ QFAAPPSTYGLPAGLGAAPGPYPPMGQMNTGYGSSAASHSAASFGNPATQAAFTGADH VQQPVGTSNGSFYNNDSSADPFAFLSTGLGGLTVADEPHGRRNG UREG_03979 MPARSGTRASSVASTRKSSVPPSTTGANVFIPDEPAEPTISPNL RANIVEIFADAQRSTTGHRKLVVRLRKLQESSCGLRPSKEKDNKGKRRDSEVFESSFG EKEKPAEREFNIEISRCLLRILPIKKTEGAADRVLKFLGTFLRAATDKDLELFGQGDP DETHTLPETPTSRLTFHIVSTMIPFLATKEKTVRYRATQTISHIVNCLDSIDDELYHL IRQGLVKRIRDKEPTVRVQAVIGLGRLAGNDEEDDDNDQNDGSSALVEKLLEVLQNDT SAEVRRTLLLNLPLTPMTLPFLLERARDIDASTRRALYSKLLPTLGDFRHLSLSMREK LLRWGLRDRDETVRKATGRLFSERWIEDCASTQNAGENTNQEKDKLATPSMPALTELL ERIDVVNSGMEGGIAHEAMRNFWGGRPDYREAIVFNTQFWETLTAETAFMARSFNDFC REEGDGRYEELADEKIPEVTALAFYLHKYTTTLLMRIMHPQQAEGGEEETMECEFIVE QLLYISLTLDYSDEVGRRKMFSLLRETLAVSNLPEQVTKLTVETLRNVCGPDSAAENE FCSVVLEAVAEVHDTIVYEESFVSAKSEISDASSTRARSETPGGGKEAEETPFNKEEA KAKVLKEIMVNMKCLYIAQCMLQNVGGNLQDNVHLVTMLNNLVVPAVRSHEAPVRERG LECLGLCCLLDKTLAEENMSLFIHCYSKGHEALQEMALRILSDILTVHHTILLPVISQ NDPNAVTPPPFQKPLLKVFAKALKTNSPASVQTIAVTSLAKLLLTGTLSPSGPSVPPS IKELNESSIDTLLQALVLSFFHPRTRENLSLRQALTYFLPVYCHSRLVNAQHMRKIAV PVIRVVLAAADDFYALEAEEDSDGEIDESLGMLVEWTDDRRIIGLNPETPLPGTSIVP NPPLKPSESLHLALAKDLLQRVLGVGGFSAAPREERKLLLSMLGKLYIPVPPSAPSRP GSRAPEGPDERENLRSSIRSGRSDQEQGTIEDDGELLLEVKELLNQAIASNVASDATG RNALVKAKNAVLKLLAACRPAGASGKDKDSSYVKEEPEDDSYLRENRRSVSVVSGRSS VAPSELAAVEEEDEGDETIVVGKPSGRRSLASDAGTEVSVQTTKNARKGNPPSRTPKT AHHPNVTNPVDKRPKSAPSNDQKASPLCPTQQASPERKQTKRSKKQPKSS UREG_03980 MFRNGVRRFSTTTFRGMENLVAQAATRNPYGISVSKAQGVVKGL TGAIGNTPLIRLNRLSEETGCNILGKAEFQNPGGSVKDRAALYVVKDAEERGLLRPGG TVVEGTAGNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFEN PQNYNHQARRHAESLENAVWTNQFDNTANRRAHIETTGPEIWAQTEGKVDAFTCATGT GGTLAGVTRYLKEVSDGRVKCFLADPPGSVLFKYISSGGQLIERGGSSITEGIGQGRV TDNLQPDVELLDGALQIVDEKSIEMVYRCLDEEGLYIGASSALNVVAAKEVAEKLGKG HTVVTMICDGAYRYADRLFSDTWLKSKNLRDSIPKHLEKYIVLP UREG_03981 MFPRRISSSQVTQGDRLFCVRETDHQCLPILKREAHLEEGHLKP RRPPPCVAPAGLLCVFCSSQDFYYWPLEAIAFIWSEEIGGNAIVKMNASFLVCKMTSF PISSHFSRPQLEMQASNLSFLFSAQQQEKPLNREPRLRDLVSRYITTSGGYHRNHSIF PRIDGGLHLVQVDGEVKRPSTFTVTQLREEFSQHEVTCALQCAGNRRHTMRTKLKEVR GVDWKDGAVMNCTWKGPRLRDVLLRAGVKSEYAAQSPLHVEFSCFQAFCEDDDYYAGS IELWRALQPDKEVILGLEVRLSLLQCRLGVHFRLIWLVDEWQTPYSRLWLSCSRCYSW NHRRQMGQMAGPDHSPKNGISELLPSS UREG_03982 MNFPGMNRPGAPGAAAGGMSEQEQAIVRNIQVAMESCPVKAVMA GGMGFVLGGAFGLFMSSMSYDTPLTPQGREISSLPVREQLRRGFKDMGSRSFSSAKNF AIVGALFSGTECCIEGLRAKNDLTNGIAAGCITGGILGAKAGPQAAALGCAGFAAFSA AIDAYMRQPSD UREG_03983 MSGSRNLSAALRRLCSNRGQFNFRQQRFPCLALRPLSTTPTARA PETSSSTTPTIQPKFTTDAYPQIKRNPNFSEVTSEHVQFFKDLLGAPSAVIDGVTTDA TDDIEPFNRDWMKKYRGHTKLVLKPRSVQEVSQILKYCNSNQLAVVPQGGNTGLVGGS VPVFDEIVINLSRMNQIRAFDENSGVLSLDAGVILEVAEKYLAERNHIFPLDLGAKGS CHVGGNLATNAGGLRLLRYGSLHGNVLGLEAVLPDGTIVDDMCALRKNNTGYDLKHLF IGGEGTIGIITGASIICPQRPKAVNVAYFGLQSYDHVRKAFREAKAHLSEVLSAFELM DGKSQDTVYDVTGLKRPLDGSYPFYCLVETSGSNGEHDNAKLEAYLEHVMGESIVEDG VVAQDETQAQSLWRWREGITEALSHLGGTYKYDVSIPLSELYQLVEDTNERLTNAGLV GNDESHPVRKVLGYGHMGDSNLHLNVAVRQYTKKVENMIEPWVYEWIQKRNGSISAEH GLGIAKREFVGYSKNDTMMKLMKQLKSLYDPLLLLIASDN UREG_03984 MRAGLTLFIFCLRQIDLESSDDDIQEIQQEISVLSTCASPHVTQ YKTSFLRGHKLWIVMEYLGGGSCLDLLKPGPFNEAHIAIICHQLLLGLDYLHHEGKIH RDIKAANVLLSQKGKVKLADFGVAAQLTNIKSQRNTLVGTPFWMAPEVIQQAGYDFKA DIWSLGITAMEMVNGEPPHASTHPMKVLFLIPKASAPRLEGNQYSTHFKDFIAQCLVK DPDRRPTAKELLRHKFIRGAGKTEALQELIQRRQEWEATKGVSDGVKYYAESLNTIAL HDDDGDDDGWVFDTVRPGTITSTRNIAKRPKPSVHSLGDLDETAEMMENLNITDDTSE PKPVMNSTVRRTSVRRRVSSVRRTNSRRKSSGAKQPLGVDLTFGNTPSTVRQFRRVSD KENNENEGAGYNPGAEDGYSPKTPFASEALQSKEAALGRRMYSIGVGLACQEALVNTW DQGKRDAISRLAEAWSELEMVDPEGLYQIVKASYEKLQADPKTAAVLPKPPAADSPQR PKLMLAQNNPHLKNHKRRQSAHLATEQPWHSGDGKLPGHAAPGMEHTKQLADVLYGRW SDSLRNRWAAH UREG_03985 MDEPPSHKPSGGEPPSLIPGLDGHDEPQQQAQRAPLASRPNAAA RHSKRLTLNFPISSNSLPSEQGSPRVMSPLAQSSTFPSPSRSSATTPALNEPADEGYM FLTALAAQERKVLELREELHKAEAELANLKRQWAMGEKGRRKTEIVHHAEVMKPLKPP PAESIVNGIDVERSTPSDLTKLALQTRMSRELERKGSHRKSGSNLPSGGGSFPSGRPR TVFQSSRHARTLSLLSPNGLEPFSPTQSADASKSLEIIRGSSHPRSATLPSIDRTEGN SSENVVSTARVNMDQRTLWRRSLPIAQDGTAETLMRTGKQMASDLKDGLWTFLEDIRQ ATVGDEGINATESRSIQGAHRPSRPPKRAGMHPSTSREQSIASSTATRSVTSNKPTSK TSTSTRDDISFWSEFGIESPEKPKTTSIKSKSKGRKQKAEESSLLDVDDNWDVWDTPQ PKTHTPSSSSSTFPSSRRDPSPSTRASSPRTSASFADLKAVEDANRADGNQSENIPWP ALTKLHPSNLTRTASSLMAEWERSLSPSPSPSHDNECKDLKSD UREG_03986 MGFRGPSWVPPASTISIPDSIPISEFILDEDHGRACLADSKKPF VWGNTGDGYNALEVDFFPLAWAVHRLSGIASLASTAYSVDELTHQLRASKVQALFTCL PLLDTALKAAANCGIPRSHVYLLDMPQDHVGNIAKPQGFVSVNKLIEQGSKLEELEPL KWKRGQAVQQCAYLCFSSGTSGLPKGVMISHMNVISQVSLFKLFESRTRTPDQKDTVL GLLPFNHLFGLAVFHSAFYRGESVVVLPKYELATLLEAIELCRINVLYVVPPIIIAMV KNGKLMKKHDLSSVRHIITGAAPLGNETAEDLHRLYPTWSILQAYGEYIRLILHVGLR SNTQEGLTETTAVATHTSPHDIFFGSSGCLLPLLEARLVTPDGADVEEYDTPGELLLR GPTIVLGYLNNEAANKETFQDGWLRTGDEAVFRKSHNGEDHVFIVDRIKELIKVKGFQ VAPAELEAHLLTYPAVDDVAVIGVQDDSAGEVPKAFVVKASGVEGDDQTLIRDIQKHV QDHKAHYKWLSGGIEFIDSIPKSASGKILRRYLRDREREARRKIIAKL UREG_03987 MASGYDRALSGTCAVGVKGKDIVVLGCEKRSAMKLQDTRITPSK IGLIDEHVCLAFAGLNADARILVDKARVEAQSHRLTVEDPVTIEYITKYVAGVQQRYT QSGGVRPFGISTLVVGFDNGDSTPRLYQTEPSGIYSAWKANAIGRSSKTVREFLERNH KDGMDREETIQLTIKSLLEVVQTGAKNIEIAIMAPGKPVEMLPSEQIETYVKSIEAEK QEEAAKKKTGRTPGTGTAAILTRGGGEGSAS UREG_03988 MERKRPEDAEQESIRPVSSLLSHFENLSQSKPARRTLDRAETSS GFLKAPARDDDAPLGRSSLDLPRPEGMWTPTRSENQHSIRGPLQPAQTGDSPSRRRFT RPLSMSFQSTPPRGPILTVESPRSPPSNSFISPRRNLFNGSRDDRDTQLRGTSPSIRR SPSLSSARSKTPLIDSSGSVTPDGRRLVDRDQDTSKSPKCSSQPPPVNRAEKPRIPVK NTPPSSPEKSPALSTAGARSSRLLSSSPFSLDSPARYARLEPLQSSSRSPGSRSREIS PSNQTKPKPIPNRDTKPPPPPPPMKRKGTVKRIKTAENLTSKPATNDEPEDRPGLPPR SRSPASQRVPLPRPTVQTSLDSSNYQPSLSVSPQGQDVNHKQALRVLNGESTVNFPPP PPPRRETSNISIRPASESHHSTPSTPMTPIPPRLPNNSSTISSKLHISSVPREEATEP RIVTDEGAIPRMDYPDASHTNRRAPVFRTGTRGIHIKHDTRVFDVCGQYACSTGYFTR VWDLISGEQILSISHGESVKGLAIAFKPGKTVDDEGNVIWIGMGTGEIYEIDITTQAI VSSRSSPSRREIIKIYRHKKELWTLDDDGKLLIWPPDESGSPNLQYSYHNSHDRLPKG HTFSMVVDGVLWYATGKEVRLYKPSTADTSFQIFKTPLGKVHSGDVTSGTVCTKKHLV YLGHADGKVTMYSARDFTCVGTVNVSVYKISSLAIVGDYLWAGYKTGMIYVYDTSTDP WTVKKDWEAHHHGVCGLLLDPSSIWTVNRLQVVSLGVDSYIRVWDGMLEDDWLESKMQ NRDVDYCEFREITAAVLTWNAGAAVPGNLSNSNFIGEAINPENPPDILVFGFQELVDL ENKKITAKSLLKGSKRKDHDMEHVSRQYRVWRDHLALCIREFMPLDTTYVLLHTASLI GLFTCVFVKQEERQRIKNISAAEVKRGMGGLHGNKGALILRFILDDTSLCFVNCHLAA GQTQTAHRNNDIAGIMESDSLPVEPSSSARIDRFVGGGDGSMILDHEICILNGDLNYR IDSMPRNTVLEAIKVNNLPKLLDRDQLLASKRKNPGFRLRAFNEAPITFAPTYKYDVG TDNYDSSEKKRSPAWCDRLLYRGVGRIKQTQYRRHEVRVSDHRPVSGLFKMRIKTISP KKRTVMWETCQEELAKEKRRLASEASVDYLVRVLGVDPKEAQSLISSART UREG_03989 MAPRIDLSSPPPGSNNPNIDHPASIHRPMFCPEWPTTSSSGYKI SEHMVNEPFPERKSFKIIMLGAGAAGIDFLHHARLAFKDDPDVEFVVFEKNQDVGGTW LENRYPGCACDVPSASYQFPWYPNPDWTMYYSPSKEIWEYFRRIVDKEGLMKYIKLRT TVAHAAWQETKSKWVLHLVERNDKDEVVKKWDEECDVFLSGAGILNAWKWPDIPGLHS FKGRIFHTARYEEGFDLKGKRVAVIGSGSSGVQTVAAIYNDVSRLFTWVRTPTWITAG FGQNEEQKATWRAHPEKYRKYRKMIEDELNGRFRFVLRNSKESDEAKLFAFNEMRSKL GDNTRLKSKIIPQTFNVGCRRPTPGNGYLEALVGPKTTCYTEDIGGITPHGFLTADGT EVEVDVIICATGFDTTFRPRFPIIGLDGKNLADRWADRAESYISLSVPQVPNYFMYSG PYSPLAQGSILPLLTLFSNHFIQIIKKMRKEHIRRLSPKESAMRDFVEHASLYLQRTA WADDCSSWFKQGKKDGNLIIWPGSRLAFFDLIKEPKYEDYEIEYWSKNRWGYLGNGFS TVEFNGCDISHYLNCELFPKELFLQEAESDDSALEISGDISKASGELESLGLLPDTPG YQVASGAN UREG_03990 MSFSSPPPESPFYLLSYPAPGVLVATINRPKQRNSVPFKGHWDL HKLWQWFDNEGSLQVGIITGAGDKAFCAGQDLLEVERNRINPPPEPYLQGHPPSGFAG ISTRKGKKPIIAAVNGFAYGGGFEICLNCDMVIASPRAQFSLPEAKRGIYAAAGGLPR IMRIAGLQVASEIALTGRPISAEEGKAWMFVNRISKTHESLLDEAVEFAKELSQLSPD ALIVTRAGIREAWEVAGIDKATANTRERYDQGLHSGENVKEGLAAFREKRAPKWVKSM L UREG_03991 MAQSSQTPQQGEKWEDNPPYQAQSPEKFGRVFWHGSCQCGQVKY QLNREKPLDAKFCHCTSCQRLHGAPFQWAAIFHKSDVNFLNGHNGLDFYKSGENLREH SLPCKVLCSHCHSPIMDEGRNVILLFPELIDFGTGQRKKEALDLFAPR UREG_03992 MAVDAPSFHRQTRLSLLFAHERVRHQVKMLFSTRSSLRLFTARS SRFIPRANYTATVPRLSERSENAIPTNDPNPQKKVTSISETNTVPLDSMGGQDAKLQE SVEQASAEVQMQAPNRATTWARSQQPREVAMSGPRFEQTIMDYQPRPYAAIELIHKQP VRWTKERIVSCDGGGGPLGHPRIFINTDKPEIAACGYCGLPFAHEHNRKFLESLPQTS YPLEPLGDAAEVPESQRITEGGYEQR UREG_03993 MLLIGLTGSIATGKSTVSSLLTAPPYNLPIIDADVLARKVVEPG TSGYKAIVDYFGPTTPDLLLPEETPGKGRPLNRPVLGRRVFGSSDERKKDRAVLNGIV HPAVRWEMYRALLGYYLKGHWAVVLDVPLLFESGLDALCGTVIVVGVKDPAVQMQRLR LRDPHLSAEDAENRVKSQGDVLGKVERAQFRGVESARGIIVWNDGDKTELVTEIERAM SRIQASSPRWWAWVLLLAPPLGISVAAWNLAINYRGKRGWEEKQQKEKARL UREG_03994 MSILPARQRSPESTDPLVPLTAYRPIIPKSFSAKQPPTIRLYPL SNYTFGTKETQPEEDPSVLARLKRLEEHYEQYGMRRTCEGVLVEGFKARLNERLAPVG SQFTGEGVNEDWEVGDTLAQWWRPNFETFMYPFLPGHVTRPKECKKLYFIQLPKKKVL SVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLSRYNFEFVDENDNVVAVTPGDQTP TPLSDLPRTKVLAGDDATNNDGQDTGMEDFGGGDI UREG_03995 MLVERVADDVEKPVVDDRSYRVIRLANKLEALLVHDPNTDKASA SVNVNVGNFSDDDDMPGMAHAVEHLLFMGTEKYPGENDYNQYLAAHSGHSNAYTAATE TNYYFEVAATSHSHPVEPQTPAVPTPSATPAPLGPLVDRRSSTVEESASTTNDPESPL YGALDRFAQFFICPLFLPSTLDRELRAVDSENKKNLQSDPWRLLQLNKSLSNPKHPYH HFSTGNLQTLRDDPQSRGLDVRQEFIKFHEKHYSANRMKLVVLGRETLDQLEHWVIKL FSDVKNKELPQNRWDDVRPFAPEDMLKMVYAKPVMDTRSLDIFFVYQDELEMYETQPS RYISHLIGHEGPGSILAYIKAKGWATELSAGGMPVCPGSAFFNISIRLTEDGLHHHQE VAKAVFQYIALIKENPPEQWIFDEMKNLAEVDFRFKQKSPASRFTSSLSSVMQKPYPR EWLISCSLLRKFDPALITRGLSYLNADNFNIELISQTYPGDWNQKEKWYGTEYRVEKV SEQLLSEIRELLESPPAGKIPELHLPHKNEFVPTRLEVEKKEVDKPAQTPSLIRNDER VRTWFKKDDTFWVPKAALEVTLRNPLVYATPGNNVKTRLYCELVRDALTEYSYDAELA GLDYDLVPSVFGLDISIIGYNDKMAVLLEKVLHSMKDLEVKPDRFRIMKERLARGFRN AEYQLPYYQVGNYTRYLTAEKAFINHQLAEELEHIEAADVAAFFPQLLSQTHIEVLAH GNLYKEDALQLTDLVESTFKARPLPRSQWRVRRNMIIPRGSNYIYEYTLKDPANINHC IEYYLFVGSITDPVLRSKIQLFAQMASEPAFDQLRTKEQLGYVVWSGSRYSATTLGYR VIIQSERDCDYLESRIDAFLAGFAKYLEEMSDAVFEAHKRSVVNKRLEKLKNLSSETN RYWAHIGSEYYDYLQHETDAEAVKPLTKSEIMEFYRKYIDPCSPSRAKLAVHMKAQAT ASPVASTGQKDTVIEGLTKFLSSVNVEVESEKLKKAFESVTISSEDIGGITNTMKQFL TEEVKLAEDQIASIVERGNQLLAKLLPDIGVKPALTDGEKTKEVQTNGVNGVVVPNKP VYITSVPEFKAHLSVSPAASPMVDLSQYEDFEPKL UREG_03996 MSRDEEKASSSGVEHIDTVPDTKYSDVDVDEEFSYKEQRKIVHR VDLRLVTTCGLGYCISLMDRTNTSMAAIAGMNKELELGVGFRYSVIVLIFFVTYIVCQ PFATAMIRKIGPRIFISIIVATWGVVLIGFGFTKTWQQMAGLRALLGILEAGYFPGTV YLLSCWYSRYEVQKRYSLFYFIGAVAGSLSGILAFGLSQMNGMHGLGGWRWIFIMEGV ISIAVAVVCYIFIVDFPDKAHKAWGFLNSREQAFIIRRLNKDRGDADPEPFSMAKFLR PALDPKVWGFAMVFFCLTTVTYAIAYFLPIILAQGMGFGVGESQCLVAPPYFFAAILM FATSWAGDKYRMRAPIIAFNAIITLIGLPMMGFAKGNAVRYVGVFLTTAGANANIPAS MAYQANNIRGQWTRALSSATLVGMGGVGGIAGSLVFRSQDAPEYIPGIWAAITSQLVI LVILVVMSLYFWRCNRKAERGELVIEGSAEFRYTI UREG_03997 MAGTADVSRVEAPVTMKAYLMCAFAAFGGIFFGYDSGYINGVLG MDYFIHEFTGLNKSDFSPEEVKDKYVVPSWQKSLITSILSAGTFFGAIIAGDLADFFG RRTTIIAGCFIFNVGVVLQTASTELGLLVAGRLIAGFGVGFVSAIIILYMSEIAPRKV RGAIVSGYQFCITVGLLLASCVDYGTQERTDSGSYRIPIALQMLWAIILAVGLFLLPE SPRYFVKKGNFDRAKSALASLRGQPVDSEFIQQELAEIVANHEYELQVIPQGSYWASW INCFRGSLFNPASNLRRTILGTSLQMMQQWTGVNFIFYFGTTFFQSLGTINNPFLIGL ITTLVNVCSTPISFWAIEKIGRRPLLIWGALGMLICEFIVAIIGVTVGERPDAVKAMI AFICIYIFFFASTWGPGAWVVIGEIYPLPIRARGVGLATASNWLWNCIIAVITPYLVY SDKADLGPKVFFLWGSLCVMCFIYAYLLVPETKGLTLEQVDKMLEETTPRTSAKWKPH STFAADMGLTEKDTLGEPISIAVGPDGKGGDATV UREG_03998 MSSARTGDTATHVARLLCPQPPQVVPLRFDIASVESELVLPVNA TTQQAAQGSPPLHSRSLFAKLFLTLTRTNRA UREG_03999 MDADEDVVMSGTGTTVPVRTDKPSSASPNKIGTEKLNGASRSSG QAESLLAAFQRKVVAPGNLIKEIEDHQAGTHSSAKPKDTKQGSEKEYESVSEEEEESD SSVESDEVPTLLPISSLPTGVCYDIRMRYHCEVKPTLDVHPEDPRRIYYIYKELCKAG LVDDPDASRPLVSQPLLRIPARNATLEEISLIHDPEHYDFVRSTKTCGGAIETCKAVV SSKVKNAIAVIRPPGHHAEQCQAMGFCLFNNVCVAARVCQNTFGDKCRKIMIVDCLGN GVQNAFYDDPNVLYVSIHVYKDGAFYPGGEQGNWDQCGEGVGLGKNVNIPWPTQGMGD GDYLYAFQEVIMPIGYEFNPDLVISEFLQSCARSLPDFDEILVSAGFDAAAGDELGGC FVTPPCYAHMTSMLMNLANGKVAVCLEGGYNFRSISKSALAVTRTLMGEPPDRLIGAG ASNAGVSTVRQVAMIQSKYWRCMYPKGIQIQSELSSVYSLKFNLGPPEAFWGNRVHDI IRQYQAKQLYDEHKLTSLYIYRNSISKSFENQVLARPELMGIPHPLTNTLEAHNCWMA DVVKDYIGWAMDKNIGVIDVNIPKHFTKSSSFDQYEDEDKKRIDMAEELAVYLWENYI EYENMLLAIFWTLLITIFSFSFRRPNEATHVFFMGVGNAFGGLSRLLSNKGMGALGSP DRSTLMIA UREG_04000 MVVKPSLGFPQCAYMLKYDSTHGQFKGDISFSDSGLDVNGKHVR FYQERDPANIKWSETGAEYVIESTGVFTTKEKASAHLKGGAKKVIISAPSADAPMFVM GVNNESYKSDVQVLSNASCTTNCLAPLAKVVNDNFGLVEGLMTTVHSYTATQKTVDGP SSKDWRGGRTAAQNIIPSSTGAAKAVGKVIPSLNGKLTGMSMRVPTANVSVVDLTCRT DKSVSYEQIKEAMKKASQNELKGIMSYSEDALVSSDLNGDPHSCIFDATAGIALNDHF IKLVAWYDNEWGYSRRVIDLIGKWPPRICFESNANWSVAYIAKVDAQSK UREG_04001 MNSQISNLVIILVMMQVSKKIPFENPEVLLGVRTMYIASNIIIA SLYLYVYTQINKKKDMTTLKYVEPAPIGSGEEPRPVVTTVQDYDKQQLRSQLKTQLMG VGMMCVMHLYFKYTNPLVIQSILPLKGALEASLVKIHVFGQPAKGKLERPWKAAGGLM GMGQGEVKSDKASVEQAEKTWRGGAKDE UREG_04002 MPGGKGKSVGGKGAPKDAAGKTQRSHSAKAGLQFPCGRIKRFLK NNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDEE LDTLIRATIAFGGVLPRINRALLLKVEQKKKGKSDV UREG_04003 MTNISKTKPISVLPRPTWLDKAGMSKGIDHDRQHLGIVLASGQV IKARQTNSAFTGKARLRLLNNDAHTETYKEFGKDWVDLSVNAVSVPFIDTPYTSVAPV VEYQFPSNSKRLPVYRKGEDQSAFFKEWESQNAEFGLIEAEFVVILIPVADKDKLKSM KEVKNIDGLIDYYESVFSFYNALTGVSFEPERPTDLNPRNRYFMKADKHGAGGAYYGG SWTAESSSSVSGFWLTPIPSNWGSLHEIGHGYQGGFMSDRYLGTGEVWNNIYAASYQS VMLGDRKYKEGWLYNYGKQAAVEKGIVDYITAGKAVNSWDLRSKLYFLVTMIEAAGLD TFTHFNQQYRSNVNTPGYVRGDHALLDMLSESFATVGQQVDVTPFVDLVGGHITPAQK QSNLYSHAKAVYPLNQLVQGDTLTTLQKELKLGSALALVSVQQLQASGLKEDVKLQFQ IDDFVQIYGQTLVLMDGARIAHKVVIQSQEMVLHGLTIGVYTLRLPTGRDKKYQPQLG YLVVKQTPASHRPMQQKVQFVRQTKSPLPSQTITLLGLGDDVFGNVLVDQLNGVIQVN VTSTTPHSYFPDQTYAEVVIKDENGKEKFRKTIPGTHATISHDKIPFSAGYELDVFHK EPGHRIQLSPAFDGVIDHKSQNNNFEVTSTGLKNKTLKNDPNQALLAEIKRVATGLRA DYIMLHAECLAKVDIVLAINLFPSPQREQLLKDYADCVPADNKPATEGLGNAFTFGFN GISDHRFLTAELDLAGKTLNVSLAAGIAHHYFSDTYASLRYVDADGNEKLNLDVKGAT TQKAQKWAFEISGYGGELLYIRHEEAPSRLIITNKLQNRRLGSRDTRQNYRILPTGVE HV UREG_04004 MTSQGSSRKRPAPGAVPIPHQQVSPSHSYPAPEPQLSNDQFLQW GQAGQPLGNPSGDVAANPYQPIAHPGDATGFQTANQLARRPGNQLIGRLRKPDTNSRT LMEPPPTKPGPVIDPATGEAEESLESRALAAKKDAQAKRKQIPPFVQKLSSFLDESKN TDLIRWSEDGRSFIVLDEDQFARTLIPELFKHSNYASFVRQLNMYGFHKKVGLSDNSM RASERKNKSPSEYSNPYFRRGHPDLLWLIQKPKNVSQSKAAGKSGSRGRTEGDADDGE AEDLAEDGGGGGGGREDRGRFRGQLSITPGDATLAKEQLANVYRELQNIRHQQQIISS TINKLRREHEQLYDQAINFQEQHSRHENSINAILTFLATVYNRSLQGHEGAQGLINSF TAAPMPQDQGQGNIIDVGDYIGNMEPNLNSGQRPAKRQPLLLKAAPDFGQDAPSRRAN TLSPSAASERYDMQSGKSNGQAHRPSTHSGSVEELFDSTSPQSVPSQQQQQYGHVHTR SQSQPAAKYPPQRDIMSLIQNSNARNNINPGVPDFPTVLSSLENSGGNSPLTATQRAD MLRLIANESHASDPSTIASPNNALITPNPPPMPHNYPSRLANSRAQFDQLAKMQAEQD RSVQNLTNMLQPLSPTGLIPGLEPDNHSNIPPPPLDLDQIFNSGDYFSDYTSMNANKG GMGAHGNTQGGDTNEGLGDPLASQANDLFDFDKLQSDPVGDLFGDANPDSKQAQTHDF LNVYGNNNSVNQAGAGDQSGTGGNRITETFSSSEATSPANTSVDENALPPDSNSSHSV PGGGPIKRRKRTQ UREG_04005 MAATKWDRLALRAASAETASSIPVFLYGTAWKKGRTADLVYQAL CSGFTAVDTAAQPKHYREDLVGEGIQTKYTAVSGQNPDDMPYDPKSSIAEQVHASIKS SLRNLRPSDDPDSESKAYLDALVLHSPLPSIGQTLEAWAALEEYVPDKIRHLGISNCP ASVLSVLHEAAKVKPAVVQNRFFRKGNYDREVRRFCNANSIVYQSFWTLTANPDVVFS APVGLLANQLGISPQAAMYCLVLSLGNIVILNGTTKEERMVDDLQAPKQVEEFAMKRP DAWERVRTAFKDFIGESNM UREG_04006 MSNYHPPNQDMYHNSVSRSPGSHRHTQHQTQQLHRQPSRQFDAY GSMPASMFDDSLSRYDSATLDRMPQTVQSSHFPYDVTSAQSWNPNGLSGAHTLGSIGS NPLSASLRGRANVRGRSGIPTTWLDQSLQNVFPLAPGPLPPNPLRPENINHNHGHNHN HNHDGDDELIPTAIVIKNIPFAVKKEQLIQLMTEMSLPLPYAFNYHFDNGVFRGLAFA NFTSAEETATVIDVLNHFDLNGRKLRVEYKKMLPAQERERIEREKRERRGQLEEQHRP MATSQLQNQNSMSSLASHLPAASPSPVSQRQNALDMNDAQTLQFYSQLLLFKQDATRE SLIFASSLTPLQRRTVHTLAHNMGLGHASRGTGEQRQVHVYRASSTDVSPPIPAMPTT IHSADSARRGLNRAATIDFSEARGDAPNPFGTLRGHNSGYLGVVDSSPNAFGNAQNLR AAKSFADLRSYTPSPAPSSASYPAILHANGTRLHAYDGVASGNSNTPTLTPAPGSSIG LQRPDDNLLVNSLGGLTLGTTVASGNASSPRRLRSMFSWEQQETTQPSVTAPIGSNRS MGLSPFDSQGQERLPLRQPRGPIPERGSGFRRPGHQSRSSDELQQGRPEIVVE UREG_04007 MSSHYNTEPPPTASATIHTTAGSIQISLFAKQTPLACKNFLQHC LDGYYTNTTFHRVVPNFVVQAGDPTGSGSGGSSIYEDAEFERDPRDPSQKIVFGDELH SRLKFNRRGLVGMAKGEDGRYGSQFFVTLAPADRELTGTCPFEGQLRKREKIAVAGTK NEDKKLATKKKKKQTKTGKTLLSFGAGEEDEGDLPAIKPGKPKFNTSLIAAEEKRREP FAKGKAQIDALYRGLPLPDPESPRSPSPSSSPDQSAPRSKASQLNAQIESLKASMRRT TKSTPNEPSKSKSALESLIPANSIRGRKRPAPGSTNGIDLNGRLQDEEATLKRLNDFK ARLEQADAEAAKKPRTSKSKDTATHHPDASNKPNSSDEPEDEEAQVCDLHFIVNCQSC RAWDLQDSETGAGDNAAREDDNATDWMTHALRFAKDTLGKDHTWKESNRDADGLVVID PRQKEKQIRSGRKQESGRDRERTRESERERKMEWARQQGYSH UREG_04008 MALQAGRVLHGRLYDFQLEKPLTKCSTLFKASVLPQSKASGLEC TSWQPYSTNTGITVYHISVTFKYIRALVDTIGDFGSIGTINVSPFENSLVETSGDPWC LALEWMDHTLAAFDPKYFQERPKLLQTASWSVLKALSILKEHNLVHSDNCSDVKRENI LVSNIDSSEPTVKLADLGTKFELAAKFADPKTGYMKIYYSFELVGFPLTLYSPPNMNF GHHNWCLSSDRKICATASKSQFT UREG_04009 MPSRTQSSSLIWTLAAASVSLFATGASAETVLGAYIFSRHGDRT SKSTPPTVLTDLGYSQVYMTGQYYRDRYLSDSSASQIQGINPDIVTPAQLTALAPADQ VLQNSATAFFQALYPPAGSVAKTTLRNGTDIEAPMNGYQLVALEQTKAGGNSENLAWL QSASKCQNAKISSNSYFSSAPYNELLGSTADFYKSLTPMIKDTFSEDEISYKNAYTIF DLLNVASIHNSSDSFPSSELLTDSVYSRLLDLANVHQFNLAYNESDQIRAVSGSVLAG EILTAFEEFISSKGDASKLNVQFGAYATFLSFFGLTQLPKAHNDFNGIPDYASTMAFE LVTNASTSSFPDASDISVRFLFHNGTISPGNKPSEFALFNQPKTVLSWSEFTSQMEKI SLVSQEAWCTACGGTSGDCASSNAGPKPNATTHDGSLSTAQAGVVGAMVTLGVILAAQ SLFMLVGGFRFVRRSNIVKISELPEKNVA UREG_04010 MRQMVLELNASDDRGIDVVREQIKTFASTKQIFSMSAGGQSDSK LGAFKLIILDEADAMTATAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFR FSPLKEQDIRVLVDHVIDKEQVRIHPDAIDSLVKLSKGDMRRALNVLQACHASSIPLP LRNVPKDQQPAREPEMITDETIYTCIAAPRPSDIRTIMETLLSTSDVTSCLNTIQTLK TSKGLALADILTGLSNELQQIEVPAQTRIAWMEGLADVEWRLAGGGAEAIQTGGLVGV VRGGCELIEGQDLQMES UREG_04011 MPAYHSIFLDEPDVQTIGNFPILPLRTRTRGPAYTLPALPAGSS DTDIDPDSESYDCLDEVLSLYRANTFFRNFEIKGPADRMLIYGILFISECLGKVKPGM AAREAEKFAIPGDASFPLNQAFEPPRDRQDAETLRQYVGSPIYIPIVHVWANSIRSFA LFRYISQVRQELAMRLHSRLYPGGEGPSKWWLSFAKRKFMGKSL UREG_04012 MGFRIIETVRGNWDMFSPIERRNVAIYILGIMLYKFGLEAFNGS IVALATNRYDYDAARTHTQPRTFEKVGLLTGLNQAFQCVGSILIAPLVRKASTRVVLA IAISVFGLFTALLLILDASTGGTFVPAEFREKHPRMDFHYYGKYNTDGMIPIYCVTGV VYGMVELIRRVIPRDIVGGNVQKLRRMDALVHVFYEISGTAGAFCTALALIPQLGNNY SFIITPVCFIIAGCVWICITDTAVQQPAREKLLSDQPTYIKAVFGSFYLFGESLWTGA RLILTNRRFIWLVPGYAIAFYGHRYLENGLAPAIARRYLGHSAWSQVIVGGSNLGELL GAAFVFLFTNFITTPIPWIRIDAIMLLAVWYLPFWYPPVGDVRYAWIIAATFLPISFG WAAGDVSLAAYIQATLARVESKTKNVSALGAVMACLYTTYIVIYAITSPILGQYIDKV YTDTGGSKGGGNIHGAILNVAGIQYSVMAFVIFASTFVPQGSFALNPKLLFNQNLDAD VDVSEIASIEMKKSMDRRDTFGDHGSERESW UREG_04013 MGKLHRPRRLEMPRSNRHVNLWAYPVAVNSRERGAAQGPTIAAS AGDKPRAKSFLTSPKVRCSRWLCGDPKLGVRSAMDNGQHSEQLVIGSNRVSAMRATPA NEPARTAQAGGQQLQTQCRDPCPGTDFLKAWLQSEKCGGGFALQVDACEKDALLAFLQ RISAVSMNLASKLRPVVAFYPAAVRGLLFEVAGG UREG_04014 MDSRIAPDAYIALQLPSGTTKVLQILPNSNVSLGKYGSFPANQI LGRPFYLTFEILDEADANGHILRVVPAAELHTEALMTECSGPGELDDGLETGDANGED ESTDARDNRNIVDDNSAQRMTMEEIENLKQGTTGAGKEIIAKLLQSHSALDQKTAFSR AKYTLRKRKKFLKRFTPLPLDVSLLTNWMLDKDGGRTMEIRDELLGLIGCYGNVHHAG EEILDPTAAQPGGRWLIVDDTGGLVVAAMAERMGILYPSSTDSDRGLDELVAEKIEPG DAPIETGATPMANIDDKPLENSDDLNPSTPHQLHHPYRAAPMSAKSTSITVIHPNFQP NLTLLKYFSYDLNDPPESHPLHTHLKTLSWIQLVDPDSDSTYANEPEVVPESVLNEWK PSKRGGYHRKRRRWTRVQTVVEETRAGGFDGLIVATLMDPASVLKHAVPLLAGSAPVV VYSPHIEPLVKLVDLYSTMRRAAYLNQKHAAQEGQRKGRSEQSETADEAKEINYDDDD FPVDPSLLLAPSIQTSRVRPWQVLPGRTHPLMTGRGGAEGYVFHAIRVIPAGKKVEAR GIPGRKKRKVATESSEQTPKESEDVDMQD UREG_04015 MGIKQCENTGIAGQEDQDVLPGSAELKIEPIPDLETAADTTPSN QSSSVSSKPAVADFQMRQLDTNQESLTQDQPAGSGELCDSPLLEAYDNRGQGIPRPKT PNAQSSNHYQPNPDAVQISPPLDSSVLTPSPSQNSAGSPFHSLPPGPVASTNVSSFGA EDQLKLEATGYEDQPVAGVTENDRSNDYQSPTCNSHSSSLLPSQDLMDLEETEHRDSH HVTPHFPAPQSHLSTTQPTRSCPLDPQDEPTRSQGDRSEAPSVKPQAQRSEIYGIRVV NWIDGVHSPLRHSPVLIQNENGPCPLLALVNSLVMRTPPDIQSPLIRALKSRESISLG LLIQALFDELTTYVDEEYQLPDIEDLSKFLTMLHTGMNVNPRLTPNVNPDQPGMFHQT RDIQLYSAFNLPLVHGWLAPPSSEAHKAMLRTAEYHDDIQLLHFRKEELEGRVLTGGM LQAEEERLVKDIDHIQRFVNVENPTQLSTFGLEHLNRSLEPGAVCILFRNDHFSTLFK HPQSHQLFTLVTDAGYASHAEVVWESLVDVNGSGSELFSGDFRPVGAAPSLPNNQQKD QSAAEQNRNLDAGQSSTHNEQTDADYAYALALQYQDEEEQRTRTERRSSRSQNEPPWQ QVPSRHRSASNITPQTHRQSTGHRQPRQSQVVRPLIPQPPEIPISPDSLSGY UREG_04016 MASRFPPRDRSPHRYGDRRPHIPSGPRGGDDANSIPLGREPPRG PKALIDSSRGGHFAPAGPRGRGIPRGISGRGEYRDRDPRDLRDGPPPFRRDPDRDWPR RDRGFDSRDTRPPFGRGRSRSPPLRDFRDAGPRDLDIPRLRRGSRDGPLLMPDASPLR GGSFRGRGRGDRDRGRGKGFLDDRDLFRRRSLSRDAWRDRDSRFDRDRDREREWDRER ERERERERDRERDRDRDRERERERDNERRGRFERREDDRRPERDERDERDRAPDRPDT WRKDRAPSRIEPKSSSNTATVSPSTVSPPLSAAPFTTPKPDIPDVPQKSAVLPTTSVP DQPKPLDKVEAPPPRSESFANHPVPQFVSMSTVSDVPAFGASASSTTPSRGKAQLNSM PGTANKLHNDAFASGSVRPPTGPRAERVESHAPDFRSRRLSVSDARSKNDLSIRPSKP PPASPPAPPTLLPDRRAVTPSAQDRSSLTSINPEEKPLLLPRQDSGPISPPIGPSVAL SQGFGRAPVDTNSSPVAHRRPSTSQTSPQAPFSNIPTGPRALQRPIAPRGGPKGSNQW VRPGYVNRGPPIANAGSPTKRDSFTDDKDMISSVSNESRSAEKQHDEKTESIETEPKR LAEAAANETNVTSTPIDTMSSEKGEVGPVHNLKDDSLLPMFLAESSGEVSDEEDDLDE EDFNQGEQRFEKEMQALAAEMPPPPLEDPVIVSLLFKIQMLGIIAEGAVPTSLDEPSA AIETENPSEPPAVVPLPSTDANEIELPDAPPSVAPPEEAPVAEAPSLEALPFLNTGPP TPFSDMDTYQETLKTHDMIKDTLREEIIKQRKEIAKQHADLREQYRAYYRPWRLAVSA MDRKKAAEDRKSAAPGPSTPPASSSSAAAIPPLEGRRGYRMNSELDFQNALKASAITA EEENARRRDQEATARPDLAREAPIPDMFDDIEKRASVFQDTNQIIDPSKAFEVFAFHL PPDDFTPEEHKIFTDTFMSHPKKWGRIAQALPGRTFQQCINHYYLTKEEMKYKVKLNK KWARRGRGRRTVARPPKSNALMADLGVVRPVYDGDEATETTPAVTDTGRPRRAAAPTF GEMAADTETSTPTPSGGKRNSGKEGPDQPPDKPARRGGRGGNRGGRRARAQQPLNNTP IAAAPPKPQPELSVENVTKLATPRPKSETEKAFEVAAPRTKSTRVRAKEPKEIASTAE STEGESAPKQTGGGYGSQQPTSYWSVPEQRDFPELVAHFGRDFEGISQFMKTKTPTMV RNYFQRNVDSGENELEELASIAEAKKQKGEATGPLPVPSLPTKRRYDAAVSSAGPRPL APNTDTAEHIDRKVKLQVVPLAAAPPPIQPRQSIDKAQSQQALYPSVQARGASMLPLS ASEESHQRAARQQAALAAQRMQQQQQHGPRMGYFSENRPDNRSVPAQGNIAASHLQEL ELKRQQPQAIAALAAQVSIGSLHPQNMNRSNLASLAPDAQEALRYHSISQASQYGQPS YLQPRPSVQAATSSRSHSRRPSNRSISSTATSPVQLHSKMELSSGAMASVDMLNQPKP TFRQPTQFLDINRSTPAVLSPHEASRPNSRPNSTSAPANAEPPRQVPAKRSNIMSILN DEPEDPQPRKKFASGDFAAPTTASRPASPRPVYAGNHSTPSRSIRPEDHLNTASQQYQ RSKYTPAGSHQLQSPHPLQHQQSHSQMSTAQQYPDFSGNYKASSGGSSLNQDWMARFD PRGQQQSSQSPNSTDQHISRLARQPSTSHTYSNTGLSHSVSMSNLQSTQSHPSPQHQS QRPPFTHQILQQSQSAQQSASARDSPMSQHLQPFSQPGSPSIQRHSISYTPKSSHPRP TSPNPPSGSLKQTPHPQSTRYSGYAPSNGGHQIQPVLENQPQAAHLYRQNVQGGAPQQ YSQSARRVPSLSPRHQPSHLPPSQHLLAQHELQAQRQHRASLNLGQQSDSPFSRNPPP SQVSNLSRGTGYVTRAVVHASRLFYRNTVILLQAAVVVVAVATALVVVVVVTYIPPVA C UREG_04017 MGTQADAPPSRATDAVLMASDTVPEGTPQVSGIDFNKYADRDIT AAELLENMAGMGFQASAVAEAARIINDMIAWRDPKTGKGTTIFLGYTSNLISSGLRET LRYLVQHKHVSAIVTTAGGVEEDLIKCLAPTYLGSFSMQGASLRERGMNRIGNLIVPN SNYCAFEDWVMPILKTMLEEQEASKNTENRVHWTPSKVIHRLGKEINNEESVYYWAYK NDIPVFCPALTDGSLGDMLYFHTFSASPDHLRIDIVEDIRRINSMSTFAERAGMIILG GGIIKHHIANACLMRNGAESAVYINTAQEFDGSDAGARPDEAVSWGKIKADAQSVKVY AEATVVFPLIVASTFAKVKKPVIK UREG_04018 MVPVKDANSIQEATKKFLSEKPGKWSLPSVFHVELQRQTFDKAT RQWSMLYDRVHLDEELDLSENVVEGQAAALEGHEGAAEPKNVDKAGIDVAVVAVYVRD DVVSQYLTGKIESWEPPELRQHYFKTGYYRLDRTLNNGLSPTVKVEIFSFSDFSIINK SIVDSYDIMGAARATGQFCTISVPGNTTFTELRKKIALLKSTEDTKLDPERLRIWQLG ARKPLFAPTLNFQIIEDYNDAIMNFELSVLRLWVHILSDEDARYFAVPDVPNTKSPFD EVPTEEPEASDENRGVSQETPAGTEGADANDAPAENAEAPAPPAADQPSESADEPEVA PTSEQPETNESAPIAQNENSTSEDTPVQDAEGLAEQTVEPTFDDTVDDTVTDDAIIAA IIAQDVEDYDRSDAIDTEPPVDRLRVLNPVSNEDRTTDTIEGQDNAAEAEENNTADET RAEPDPAPVSELVPLNSHVFYFIQEFDAQKQELRTLGTFFAKKSDIIKDSVRAALGYA GDKQFLLWHRVDGISISGVPSAEVFDNLVGYTDGECFIVGEVIGKNERMKLAKDGLFS SPDRLVPYLWAVSRNHPTKSFTGTKTTEATFNGDYYSGEFKNGYFHGKGTHISETGTT YTGDFVLGERQGTGTMVYASGDTYTGDWSEDQRHGQGTFVERKTGNRYEGGYRNGKRH GKGISYWEVADEEMDLCQICYSENQDSLFYSCGHVCACLSCARQVDICPMCRKKVLNV VKIYKS UREG_04019 MGPSQPFPPLLPDPEILPESEPFLHQQSTSNDLTEDGEEEEEDE DDIAFGEDVDFRSHYKSPPAFDELDEQHVSSLNDDDDDDDGEEEDDDDEEEDEDADGN DGIALHRPFFQSTGSLPNAFAPPFYNRPPTPLPPSPSLTSLLRPSFSANTSRPTTPDS SDAETPNDTEAAVAKSARIATTVPRVSPKVPTYEYYGFVLYLASSLAFCA UREG_04020 MEHQQLKDGTSAASPATSNLTPEQIQRIEINRLKAKALREQREA EAACQNRASPGSTVAGTKRPYSATLETPSTLRDGRAHASTERGPLDTIRPARNFSKYV EYDFSKMTDTKGGFLTADDDPYNKALHADSKLDGKPAHMTQKEWEKQLLLQNLRKDKA GPFEPGLSVLRQKDGQRQCRECATVEIDWKWEEIFKCCVCHSCKEKYPDKYSLLTKTE AKEDYLLTDPELKDEELLPHMERPNPHKATWNNMMLFLRYQVEAYAFSPKKWGSPEAL DAEFEKREAEKKRRKEAQFKTKLQDLKKRTRVEAYRRNRQAAGGGAGGNFGDDLGGKR KHVHQWGRAVENPETGIAVRTCVDCGMEVEELEF UREG_04021 MRFLQSEWHRHERDRNAWEIERAEMKSRIGKLEGDARTSKRMHE SLGKHVKILEIALKKEREKVKKLAAGEKVDLGKDPKELAKESLKILQTQFPKTSNVGL DADVDHEDPTHSAMDHDTERDKSRNFLGKCSQEIAYHVIPGYHPPLDLHEPPEMFRSR HHMQRQPLEETYAQPQLQQQGQGHSAQMHLKQAQPNHVNMVREPSLHQHILPNYAENN NYAVPDNYASSGPRDSLEKRTYEQQRVSGPPMDGRKGMFDDILGSEGSRRDSEGRLFD PYNKHADEQMDRRVLTSDGIVVDEADGWNFDEPPANETPLISSQPHRPDQDAFPNANF VSPKSPPRAGPGSHRRKSSGSRRRSEGSIDFREGTGAELQRQEPSNFKVRFALRGHLD VVRSVIFTGGGSPSEPELCTCGDDGTIKRWTLPASYGGFGPVNAASGNDLDISSYFTH RGHNGSVTSLAACPASRDFSNGGRALGDGWVFSGGQDGTVRVWERGRVDSKAILDGHT DAVWALCVLPGTSGSILGDRSSLYGGPDRILLASGAADGRILIWAVSAPPQLTSPQAG SRRAGGSRRANSISSGSNFPSSPQPSTATATPFHYTLIHHIARADLPSPTCISPLSLT GANFVVSYADASVLVYDTKTGEQIVGMASLETYDGTRSTGVNSVVASTIGFDGTAGLD PNRALAEEETVVHGATGTSGGVEGVIISGYEDRYIRLFDANSGTLSNLLLIVIVQQTN ETCSGQCTYTMLAHPAAISSLSLSPDGRELVSAGHDASLRFWSLEKRSCTQEITSHRL MRGEGVCSVIWSRDGRWVVSGGGDGVVKVFART UREG_04022 MAELSFTKAFLAQLDSKPVKLPANHVFDPRDFQARQPFTLPRLS DPPHPQLPKKVKPAAIPGSSKSITIHLKSARNPVLDITLDNVPLSGASIQDLKAAVQS RIKPANAESDSEKVPLEKIKILWKRKPVQGNLVTDALASEPDVLKGGKEVEFGIMILG GAAVLPPQEPPATSTIATGAEHLAGKEAMQEPDTAKKLPADIENRNVLWSESFWEDLE GFLKMRIKDEKEAARLRVLFKNAWESST UREG_04023 MGVGRRMKKQGPPPPLDESKISSLKRRKADVPDDAAPRGSKRQK AGNNTQTKKNSGRKSNGAGKVTKNIKKSKEASEDMSSNESRASSLEAESRVTSKPLSE VMDLEDHVTMFSDDEDLSDAEESLQRKYRGNCSRNLDMQQIGGSCTCRRGDERVGYAN PISKETAQMCLEMQTQANLPQSLAPDSQLLRTRITDTIRILGDLATLGQPRKSRSDYT DLLLQDICTYYSYTPYLAEKLYSLFTPMEAFAFFEANETPRPVVIRTNTLRTNRRSLA QALINRGVVLEPVGKWSKVGLQVFESPVPLGATPEYLAGHYILQAASSFLPVMALAPQ PHERILDMAAAPGGKTTYMSALMRNTGCVMANDANKERAKGLIGNIHRLGCKNTIVTN LDARTAFPKALGGFDRVLLDAPCSGTGVISKDPSVKTSKTERDFMAIPHTQKQLLLAA IDSTNHASKTGGYIVYSTCSVAVEENEAVVQYVLRKRPNVRIVDTGLGTFGSEGFKSY KGKQFDPKMALTRRYFPHRENVDGFYVCKLKKFGPSPAETTAVSANKVVDGESAENEE WDKTPIVEENGAKATDDDFGPFDEEEDTSYIARAERNKVRRKGLDPRAVLNGKEGEKE KRQNKDKDQGKQTKNAGEKEKKKSRKKSAGHSKKNDNYAVDMEKLDAESDRFKAPPQP QRHPSHSPSILSGPAVPILSYCASSILMTVTNKYVLSGVEFNLNFFLLCVQTCKSMGL INFRDFNSDEAKKWFPISLLLIGMIYTGAKALKFLSIPVYTIFKNLTTILIAYGEVLW FGGSVTGMALFSFGLMVMSSVIAAWADIKHALDSSSLSGLETTSKISTLNSGYLWMLM NCLCTSMYLLGMRKRIKLTNFKDFDTMFYNNLLSIPILLIGSFIVEDWSSTNISKNFP IETRNSLIFAMIFSGLSSVFISYTSAWCVRVTSSTTYSMVGALNKLPVALSGLVFFGD PVTIPGVSAIVVGFVSGLVYSLAKVKQNAKPRTGILPTANPVSASTQSMRDGLKS UREG_04024 MGRSKKSHGQQHGSRGGKRMGNFFRVSTQNKGTISKKPHDKGSK RHQLQKNQRPVVPFLPSDRILLVGEGDFSFALSLSTHHGCKRMLATCYDSESTLYEKY PQAKQHINQLCASKFANSARGLKRKRGGTPTSEDGPGSNGEQTTQAANPAPNADKLSP KVLFSIDAKKLGLTGGGGKVIRKGFPCLYHRRTSTQQQRSFKPKTDKERDADESGPWD IICFNFPHVGGLSTDVNRQVRANQELLVSFFKACVPLLSAPPLSGAGNLSDEEDEEYE GFSGGSDSGEDPVECRKLRTEPGQIIVTLFEGEPYTLWNIRDLARHAGLRVVTSFKFP WASYPGYSHARTLGEVEGKDGERAGWKGEDREARSYVFERKGFEGLVRDTGKRKKKKG GSDDSESD UREG_04025 MAAELTSIKLNPDNHLLLDQPLLRVPYELARRNFKSVQRIVERE KDHIIPSLKETANASLSGSQSPSQTIEALDAMIARMQGLKRKMEALHEEEKKIATQSQ KRIQYIQDLYKIPSLADVKYEQWSRTRLNRLLADHMLRSGYLESAKQLAEDKGITDLV DLNVFAQCQRIADSLRRGDAKEALQWCGENKVALKKIQNRLEFELRLQQYIEMLRVGD KAEARQHAKKFLTVHSESQAQDIQRAAGLLVYPPHTRAEPYKYLSNLFIRTHHDLLSL SSRPLLQIALSAGLSALKTPSCHSVNASSRANPNSLSTSICPICSTELNDLAKNVPYA HHTKSSVEVDPVVLPNHRIYGMGRLSDLSKKAGVPEGKVKDPFTGDIFDESEVKKEFG ATMQTI UREG_04026 MATSKSDVDFSIKPEAVTPPIPTSEWPLLLKNYDKLLVRTGHFT PIPAGCTPLKRDLKSYISSGVINLDKPSNPSSHEVVAWMKRILRVEKTGHSGTLDPKV TGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKIPGGEAQFARALETLTGALFQRPP LISAVKRQLRIRTIHESKLYEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGAHM QELRRVRSGAMDEKDGMVTLHDVLDAQWMMDNNRDESYLRRVVRPLESLLTTYKRVVV KDSAVNAVCYGAKLMIPGLLRFGKWNSMQAGIEVHEEVVLMTTKGEAIALGIAQMSTV EMSTCDHGVVAKVKRCIMERDLYPRRWGMGPVALEKKKMKADGKLDKFGRTNASTPAK WQAEYKDYNSSADTAAEATPVAPREAPATTAGDASSPAPEEDKEDAKKRKRNDGETPE EREERKRRKKEEKEKRKQEKKEKKEKRKSKQAEDSESE UREG_04027 MTAIKKGDNMRQLAGRSGRTLVNGLSSQSRRSSTASPLLSHLEE KLLNQRLFLSYDYLSPQPSHLLRVSLSDPLNLPESKQFEKNANAFSLPSVLQPGHMPR GHHLVYFPPQLPSSQLVPDGCDMLHSPGAPFNRRMWAGGSVRFRDQNGGPLLNCQRAV CLEGVRDVRVIGREGEEKVFVGIERRIATVAETESEENITRKLWTAREEEWGDAVVIE KRNLVFMRDKTPDQVSMEKSRGNSQKRVLRGEKSEILLSTQPLTALLTAPSSPTLRHT FTPSRALLFRFSALTFNAHSIHLDRDYARNTEGYDDLLVHGPLTLTLMLMLVQDHLRT SNRVISSIEYRNLAPLLVEQPLTVCAKSKETAHGDLWDVWIERADGGMAVKGTIGTKS LERRDESTAATPKL UREG_04028 MAFLQSPALSSASKLRVAFLGPLGSFSHEAAVASFGSEALLLPQ SSFHDAFAAIQSNSADYAAIPLENSSNGAVVQTYDLLADREKLYGDITICGEYYLAVH HCLLVKQSGNKSSLGVPKDITTDARYKSITKLYTHPQAWGQCEKFLSKHFKGVERQDV SSTSKAAEIVSQETDGHGAAIANKFAAEYHKLDILAQNIEDNPENTTRFLLLRNKKAQ NTAQCNREPRQSLGKPKHKTLMSFIVDHNSPGSLADALVIFKQHGMNLTTINSRPSGI HPWQYVFFVECQPTSETWSDDLMDNVIEDLKAVTKSSRHLGSWSDALGSK UREG_04029 MLAEEFGTASNIKSRVNRLSVLSAITSTQQRLKLYNKVPPNGLV IYCGEIITSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLESDQKFGFIVMD GNGALFGTLSGNTRDVLHKFSVDLPKKHSRGGQSALRFSRLREERRHNYVRKVAELAV QNFISSDKVNVAGLVLAGSADFKNDLNQSDMFDNRLQTKVIKVVDVSYGGENGFNQAI ELAAETLGNVKFIQEKKLIGKYFEEISQDTGRVCYGVDDTLKALELGAAETLIVYENL DITRWSLKASDGTEIVLHTTKAQESNREMFMDKDTNQEMDIVEQGPLLEWLAEKYKDF GATLEFVSDKSTEGNQFVKGFGGIGAILRYKVNFEQLADYSDDEDEFYDD UREG_04030 MGKISRNKRPKSLSHGRPPTVHKPAASLSAKATRNLIRSHHQLQ KSRARALADGNADLVGELDKKIAALGGLESYQLASKKGQSKDRGGDSSKVLIEWLKPE LDRLKGCKSRLRLLEVGALSTRNACSAVNSIDVTRIDLHSQEPGILEQDFMERPLPTS DLERFHVISLSLVLNFVPDPTTRGEMLWRTTAFLDSTPPTPSHGDLLPCLFLVLPAPC ILNSRYFTENRLQAIMSSLGYTMLKRKLTSKLIYQLWKYERPVHPQRREFKKEMLNPG VTRNNFTVVYKPAKDAN UREG_04031 MWLQARSPALRLALRQRRCLRGYVDSGNFARDAIYYAGKKLATK SAMQVHSHKVKKVRTSTTFHRPKTLQLSRSPKYPRKSIPHETRLDHHKVIVHPLNTES AMKKIEENNTLVFIVDVKANKRQIKQAIKKLYDVDTVKINTLIRPDGSKKAFARLTAD VDALDIAATKLAIV UREG_04032 MNSTRRPPLRIYQDPPPGQPSTHPSTQHSLAQPKQLQPSPMPLQ YAQNVNANRNVVLDPPAKDPHPHSPVKTQPKPNAPGSGQGKLGYVPISAPLPAKPPAV SPAKKPQYYMYPTNPVQQARQPLFTTFSSVPDPRPQLPAKPIIHETFTKVAAQANQAQ NSMKRTLSDSTAGKDRTSKKQKREIKSVVQLPEPHELPPVEDDGTKPPFSYAILIGMA ILRAPNRRLTLAQIYKWISDNFSFYQSGDSGWQNSIRHNLSLNKAFVKQERPKNDPGK GNYWTIVPGMELQFLKERPINRPPIMSTLPLTHVARIPRPQPQPPSQRVEFQPSVASV KPPMPPPNRANPSPQDLSSDATIPASDPALLEDSGEEIGSNLHPATIAPRSSPLQTLH SSPPVPPPVFRRETTPPTPSRPSTATAPAPLPAPAAVPVSGLGSRSRKRKMSLMNDSG YFSSLESSARRQKTQHIMTSELDIEPPRIKAGRAEEEIARIRSSSHDISPMRCASFKD TIHLLGSSPLRNEFMPMVAPPLTPAFKFKKPLRPPPSLSPNTNLRNHRKKIQQMVNSP IKHLGLGDDVLPWSPAFNIQDEALIHDTLTGAPLFDIFSDNFGPSISTPTLGSPEKRS AKRDRLNASVLADITAVSGNTRLGTPLSRLSRSKAMKYHESPCKRADTYVDNSHEDLF SFNLFSEDAPGEADGIDLLQGFEKIGKSTKDEQSPRQVLGNGRRNIGQR UREG_04033 MAIGDCPTISSQALPPAESATFLKSSFFSRNGPGAELPSPANVR EQGAVQDPASKGRDFGFQPVRYEQLGLIVKYGRAPQVTVAEGQSLWALRRVLPAVPVP EVYGWTHDNGQVFIYMELVKGVTLEQRWEFLDQTERVEICEQLRVIILELRKVRHAPG DFFLDPYRNSMPDDAEVVFTHADLHPSNILVSEDSPSKISAIIDWRQSGWYPDYWEFC KAQYTADVHGEWMNVYISLFLNEPSCLEAWEFYARSFGY UREG_04034 MPPIRTSRNRKPPPDGFDDIEDTLLEFSNKMKDAENASHEGKKR HEVLWPIFQISHQRSRYIYDLYYEKEAISKKLYEWLLKNNYADANLIAKWKKQGYEKV SVVVFTLFATS UREG_04035 MNGHSQTNGHIDDGRITSLTNGVAGSPPSEWVVQKFGGTSVGKF ALEIVDNVVQPYLENHRVAVVCSARSSSSKADGTTNRLLRAAREADNPKSFNYLALIE AVKQDHIIVAREFIQNPDLREQLIIQVEAECARILRILDAAQTLGETSSRCVDMVISA GEKLSCRFMTMLLKDRGVDAQYVDLSDVIDFAAGIQALDQDFYDRLAEIFGAKVRECA AKVPVVTGYFGVVPGGLLDKIGRGYTDLCAALVAVGIRAEELQVWKEVDGIFTADPRK VPTARLLPVITPAEAAELTFYGSEVIHPFTMEQVIRAKIPIRIKNVMKPKGNGTVIYP DSTSELEKTTPGHDPKLFRTRGPQFMAQQPGPKRPTAVTAKHKILVINVHSNKRSLSH GFFAGIFSVLDKWRLSIDLISTSEVHVSLALHSEIPLLNGVGHDEYQIIDEDLRGAIQ DLRKYGTVDIIPEMAILSLVGKQMKNMVGVAGRMFTVLGEHNVNIEMISQGASEINIS CVIEEKDADRAINILHTNLFTFLD UREG_04036 MIERAWDLGINSNGRAETGGIRGTRKYIGTSEAQALFFSLGINC EPAAFSPTEERSAEEIFMEAVGDYFLQAHNLSTDEKVLKTDLPPIYFQHPGHSLTIVG FELRKDGSTNLLVFDPMFKTSPAIQRLIGTRVSCPNPERILRAHRRGTHYLRRYKEFE ILKLLPPCHTPESMANG UREG_04037 MRTSPNIIITGTPGVGKTVHCEQLAQETGLKHLSINTVAKERGC HDGYDEKLKSWIVDEDKVPIFSFPYYYLLDEIENEVAQGGYLIDWHACDLFPKSWIDL VVVLRCPSTSILYDRLASRGYGEDKLQENLDAEIFEVLLDEARQAYDEEIVVELMSEN DDEIESNCSRIVSWIDAWQQNRTTTDG UREG_04038 MKTINFITGNKNKLGEVQAILGDAIEVQNKAVDLPELQGTIEEI AREKCRNAAKEINGPVLTEDTALEFNAMGGMPGPYIKWFLEKLGHEGLNKMLHGFEDR SAVAVCTFAFAAGPGEEPILFQGRTDVCY UREG_04039 MAHNFAVGTRAWQPDQTEGWVASEVVEKVVDGDKVKLVFSLENG ETKTIETTEADLQINNNSSLPPLMNPAMLEASEDLTNLSHLNEPAVLQAIKLRYYQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKQRASQAPHLFAIAEEAFADMLRDSR NQTIVVSGESGAGKTVSAKYIMRYFATRGTPDEPGTYATGRADSISKTEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEIMFDSKTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGATESERQDLNLLSIEEFDYLNQGGTPVIDGVDDKAELEATKKSLATIGVP PDTQTEIFRILAALLHLGKVKITGTRTDSSLSPSEPSLVRACEMLGINPNGFAKWIVK KQLTTRGEQIISNITQQQAIVVRDSVTEIHLFQKTGNSVTPGRRIAVALWVRMNMLEA ASAVREKDSASVASRAVAAPGRKIGVAVNRKPTLGGIFKSSLIELMNTINSTDVHYIR CIKPNEGKESWKFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLCHSS QWTSEIREMGHAILRKALGDASHQKGDKYQLGLTKIFFRAGMLAFLENLRTSRLNECA IMIQKNLKCKYYRRRYLQAREAILTTQSLMRGFIARKYANEARRIKAATTIQRVWRGQ KERKRYLAIRRNVILFESLAKGYLCRRNIMDTILGNAAKIIQRAFRSWRQLRAWRQYR RKVVTVQNLWRALGSLKQQNKTLVSQVENYEGQLKHLRGKNNTLEARTRELQAEANQA GITAARLAAMEEDMAKLQQGHTEALSTVKKLQEEERIARESLRGANLELDKLRQTNSD NESDKASLRQQIADLQDELEFAKRSMPVNGADPPSLRVSIRSKLSWKIYFLMKKSSTK RLQWALFVTSKFPFLTQILLPPKKRFCSLPTSSTSLRPRCGTMVS UREG_04040 MAEPIPNKKADLMGAPTPQNTPATAAPISSRAQQPNIASIKEED LTAASIFAQNPKLVSMIQGKLGTLIGRSSGYIESLPANVRRRVAGLKGIQKEHAKLEA QFQEEVLQLEKKYFSKFKPLYEKRSTIVNGQAEPTEAEVEAGKSSEEEQDEDKSADDS KDESGDVTIAGIPEFWLSAMKNQISLAEMITDRDEEALKHLIDIRMEYLDRPGFRLIF EFAENEFFSNKTISKTYYYQEESGYGGDFIYSHAEGEKINWKESKDLTVRIESKKQRN KNTKQTRIVKVTAPTESFFNFFSPPKPPRDDDEEVADDIEERLELDYQLGEDIKEKLI PRAIDWFTGEAIQFEEYDDALEEDDFDDDDDDEDDDDDDDDRRSGDIDDESDEEFRVV HPFEDPNIHP UREG_04041 MLSAIILAVILSCALADVQYPKGHPFLTDTRRPRTANYTTVSFV DPHTGIRERITYTLSAARDVLFNDDLSFGPESLLLDWVNNRTDPQPVRRGLGVRPSRA WPTATLVYKYDSCETRELLQGVVDTAIKEWLKGAPYLIFKEMPPSAHDPESEGILTIT KHDPESYWCWSVVAWAWGKKGRRMNLQWLSGSGGRSCGQDLSTAIHEFGHALGLMHEH QRPDREEHITIDCASYSPWTIDGCPDPSYPACCTGSTDRCCGDWHNYDIAPSSRFNKY GDYDCKSVMHYSASSVLRSKPGCIISPSTTPTQGDYDALCDIYSTECAPWKGINFCPP KENVECGVCNPVAGLNKCDISTSCISTGGKFHCACRAGFKANAAGSDTTKHFRLPWDN YRHLVFVPENTQCNTLCDNPHGISPELCSEVELKETCPI UREG_04042 MDEPDDYSLAEDHDEAYHHAPIRRVYAGPVSESVPSSIASFVRR RSRRDSTVSFTYFQESTETPDWIDEEAIVDESELEDGFFGDQDIDMDMPRSPLSLLDG SRSRSSVEHPLLRRETSRRSDYTEPPSGETVSQKVYIVTEDLTAVIAGFSTSITGFTI YVIICVLTGGLGYLVFRWLPRWRIKLVGYPEPLHRCQWVAIENQWGQFTVEAVADVRY DRPLSTVFGASCKEIEAPNFDEDNDPVLPYLRFVDYRYIRFCYQPLEDKFMHTGDWKD PNWINIKSVREGLDADERDSREQVFGQNIIDIKQKSIPQIMIDEAFHPFYIFQVASLL LWSMDEYYYYAACIFLISVFSIAATTIETKSGDQFLQLNWFLGILLLSGDCIVNESML TGESVPVSKLPATNDSLASLNLDAPSIPPSVARHFLFCGTRIIRARRPQDLEVDEAAA LAMVVRTGFNTTKGALVRSMLFPKPSGFKFYRDSFRYISVMGMVAGLGFIASFVNFIR LGIAWHTIIVRALDLITIVVPPALPATLTIGTNFALSRLKKQQIFCISPQRFSDLLAD SHAILPYPLYERDPTIDYHSNAAILYTMATCHSLKIVDGELIGDPLDVKMFEFTNWSY EEGNHNFSDADELETYSPSIARAPPTLTPGDPEEGTHLPTELAVIRTFEFVSQLRRSS VVVREPSNTGVTVFVKGAPESLKDICVLKSLPPDFTELLNFYTHRGYRVIACATKHIP NLSGNGVLKITRSEAESDLTFIGFIVFENKLKPSTAGVIKELSTAGIRNVMCTGDNIL TAISVARESEFIGQAAQCFVPYFAEGNAYDPRSRLRWESTDNPDYQLDEYTLTPLPRP TTPDLSIPYHNYNKMKYSIAVTGDVFRWVVDYGSKEVLDKMLVYGQVFARMSPDEKHE LVEKLQSLDYVCGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRIFDISCVPKL IREGRAALVTSFCCFKFMSLYSAIQFTSVSFLYASASNLGDFQFLYIDLALILPIAIF MGWIGPYSKLCRKRPTANLVSRKVLTPLLGQIVICILVQLTAFETVQGQEWYKPPKLN LKDTSIENSQNTALFLVSCYQYIFSGLVLSVGRPFRQPMTSNVPFVVTIIVTLLVSSY MLFQPADWLFRLMQLTYMSTSFKNWLVALAIGGFGLAYISERHLFPEFSRLIGRIYRV CRPGKRKQRRRYKVLLEEQMT UREG_04043 MAGKHPIQVPPARPLYKFAATALGASMWFFLFYRAKKDGPALLG WKHPWDH UREG_04044 MASSVPSPESKIPLERDDEGRPRFKGCSSIREYDFLGKLGEGTF GEVYKARSKQSGSIVALKKILLHNEKDGSNILHRDMKAANLLISNKGILQIADFGLAR PYDGPPPTPGKGGGEAVRDYTSLVVTRWYRPPELLLQLRRYTTAIDMWGAGCVFGEMF KGKPILAGSSDLNQAQLIFSLVGSPTEENMPGWSALPGCNGVKSWGSKQGNLSTVFRE QGPGVISLLSELLKLDWRKRINAFDALKHPYFLNPPHPARPGDLPQFEDSHELDRRKF RGQKAMPPAPAGGSVGMGANGEWTSGSGVRAPLDTKPSSRIPSAARNGVPRGAFPDST RGHKRQASDELPSRARDAGRPQGQLPQGRSNTAAWRGAERRDPRGCDSYVPKYGEDSN AIPRRDNLDSRPRPDSRGDRFYRPSRSRSPDRPRSRTGDSDTHNIYRR UREG_04045 MATAARDISNPNDLARQVSRSMSFSTPSNAPLQSPPSSFGASGT TFASRVPAPFPLKQLKPFATQDIKILLLENVNQTGRDILKEQGYQVEFYKSSLPEDEL IEKIREVHVIGIRSKTKLTARVLREAKNLIVIGCFCIGTNQVDLQYAADHGIAVFNSP FSNSRSVAELVIAEIISLARQLCDRSMELHNGMWQKVSNRCWEVRGKTLGIIGYGHIG SQLSVLAEAMGMSVIYYDVVNLMAMGTARQVATLEDLLKGADFVTLHVPELPETKNMI STAQFEHMKDGSYLINASRGSVVDIPALIQAMRSGKVAGAALDVYPSEPAGNGDYFNK QLNNWAEDLRSLKNLILTPHIGGSTEEAQSAIGIEVGHALVRYVNEGTTLGAVNLPEV ALRSLTMDEPNHARVIFIHRNVPGVLRKVNEILGNHNVDKQMTDSRGDVAYLMADISD VDSSEIKDLYEQLETLSCTHMDGQVKKYLLIGVLSTLLG UREG_04046 MLDIADLVADRGGNPKRVKESQRRRFASEDLVDEVIGLYEDARR TRYDASQIGSKINILQKEIGMKKKNKEDASELLAQKLKLEQDKKAQEDLALEKEKLRD RKLKTIGNYVHDSVPISNNEDDNAIIKTWAPEGTKVEKRDCLSHHEVLTRLDGYDPER GVKIVGHRGFCLTGYGLFLNLALVNYGLEFLFQKGYKPNQPPFFMLREYMAKTAQLEQ FDEELYKVTESEDKSTDKYLIATSEQPLSALHDGEWLQDKDLPIKYAGYSTCFRKEAG SHGKDAWGIFRVHQFEKIEQFVLTKPEDSWKAFDDMIATSEEFYQSLGLPYQIISIVS GALNNAASKKWDLEAWFPFQGEYKELVSCSNCTDYQSRELDIRYGPKKTTDTKKAYVH ALNATLCATERALCCVLENYQQEDGIIVPEVLRKYIPGQPEFLPYTKELPKDTTSQKA KSKVKPKADKQEVVKTMENLKV UREG_04047 MAPVRQRKVAIVGSRAVGKSSLTVRFVEGTFVENYYPTIENTFN RLITYKGQDYSTDIVDTAGQDEYSLLNSKHFIGIHGYMIVYSVASRQSFEMVRVIRDK ILNHLGADWVPLVLVGNKCDLQPEMRQVSVEEGKKAAEEFNCAWTEASARNDLNVASS FELIIAEIEKSQNPNQPTGGNKCVLM UREG_04048 MEFPSSRKLKTYGRASRNIAYSPLQRPAPERHDRVTSPAVKDAG AAGKSRNINGIDVKTPRRLFQSEKAESGTVSLGAHTPVRKTDNDIYDIPDDETHNIGS ERKRRKLETPKSRLKSQPIDHMDLVTRPRPPQLQSAKVQEARKSSLKTGAQGDAKRNP PVEVVIRTPPSAKTIRTTQFNRDRTEQMNANPPRSESRKRSIPTRPNRPSQRDLNSIS RSASSHGQQPPKPLLSRTPSQKLKEMTEHLTTESYFSLPLKRSLFSEERSTAGTPATP SRMRLIDALNTRDTEESDGSSDSDAILRSDQFRCSRTNSRASSNEPLTGARPADTYVN PRRRKLETSSELQQNSSRVTYARQRSFLTQNDMDAGMTGLNGMASKPESQGDPLLFDL GTSLLPPKIVPNLHDDDDNEAGNGSVRSIYELRRAGGNARYQAVIESIFEDLEDQSAS TSRKRSGFVQLCSKLSDPDFARRFISNSLEKRLSLCTVRGSDIICKYLVSSLRDLSVQ LRESKLWPELVPGKISPQNVALRSLELAVRKVREAGDRTARISNSILEQVVELVLQHS LEGAQGDLFVLEMTFSILESYTVGLPSLDGEQEKMLKRLSEIGLLLSQLVERSDAGSR QIQILQIRLILNITNNNPILCDDFATPDLIGALARLVLSNYGTVAEDLAGDKKESLLD TVILALGTLINLTEWSGRSRGLFLQMTHGSTVLVDKLMELFAGGLKTASEADSVAQTH SNVAFGYLSVLLSTLCLADEVRAQVRGHLRGNNLGRLLVVVEEFLHYYRKVEEELKDP VLEEDAMSGFTTRLQGIVDRIREAEGMRR UREG_04049 MASTEQTAIPAPVQGVGPLYKPDGEKPIATVSQEVSCENVHVLP QTSQLIALLTMIRDERTARADFVFYSNRIIRLLVEEGLNHLPVVEQGVTTPVGQTYLG VKFQGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCQPKLFYEKLPQDIA DRWVLLLDPMFATGGSATMAVEVLKAKGVPENRILFVNLIASPSAVESFARKFPQLRV VTAFIDQGLDENKYIIPGLGDFGDRYYTL UREG_04050 MAGKGPNTRFYRENARSPVASQSDPKRFVPLNELSYSSTPSSIL ILLHQREPLPTTFSCLFCNHENCILIKLNKKLGLGNLTCKICGQRFQTGINYLSAAVD VYSDWVDACDAVAKEGGKDAERGAPAAESSNAAERDEVPFVDDDEDAY UREG_04051 MEQTSQSATLNEILATLKSIQHDHSKLSLAVDTISARVDKLAVA DPKTIVTHSKDATSNQPTSIPSTPTQPSKPSISSEDVSAKDTKRSQSTTSRIILTTYP GQSGIDPIPMDWGNKEPIRRGPVVVSRHQNTVRRRNAIGAHGGSYAIYHALAVASKHL DLEHRPDFTNTEPAANIGPFPQWADKKKIVSMDPLGHLAPWIFKDIITKENIDIRPTI AVTKAHMKLPELEQSVRSGRLVPDGKICLNEMGELAVTKIAVEPVWYLPGVAERFGID EGTLRRALFEVTGGSYPELITRGDIKLFLPPIGGLTVYCFGDPAKMSDPNVRLALRVH DECNGSDVFGSDICTCRPYLIFGVEEAVKEAQKGGSGVVIYFRKEGRALGEVTKYLVY NARKRGSDRENPIIVLHWLGITKIDRMLSMSNMKHDAIVEQGIPILERVPIPDELIPE DSRVEIDAKIHSGYSSIDTGRY UREG_04052 MLTTDRNKRLSKGKKGLKKRAQDPFSRKDEYLVKAPSTFATRDV GKTIVNRTVGLKNANDSLKGRIFEVSLADLQNDQAHSFRKIKLRVDEVQGKNCLTNFH GMDFTSDKLRSLVRKWQSLIEANVTVKTTDDYLVRLFAIAFTKRRSFQVKKTTYARSS QIRAIRKKMVEIIQREASTRTLTQLTKLVPEVIGREIEKATRGIYPLQNVHIRKVKLL KQPKFDIGALLALHGESSTDDKGQKVEREFKEQVLESV UREG_04053 MAVALRHPSLVGGVISVENAPVRAPLSKDFAKYIKAMKEIEGAK VTKQKEADSILQPYEESEAIRSFLLTNLVRSKDDNTYRFRIPVQTLGDRLDNMADFPF SPEGNAVKFEGPALFVRGTRSHYVKDSSLDAIKSFFPAFELVDIDAGHWVIAEKPQAF QEEALSVAIYEQRLYSPSSVQISRTPDTKRKEEPGNFSLVTELL UREG_04054 MEKCCNSPVVTYGEDCGMYCLASGGTVGELVRCIIGDGVADGQV FCNMASNATATGSATPTATNSDRDDDDDDKKPTGTETSPAATSSNAAFASVPQQPISK PAIGVLFTLFFSTFASVLFA UREG_04055 MATEDNIYFYDPSLPASILFTILYFFPAVFLFYTVIIGPRTGKY GHAGYFIPLCIGAAMEVLAYAIRCASVEQPGSIPLYALSSSLVVIAPVFICASLYMLM GRLIRAGIPEAKNQQRIFGIPPHWLPRIFVTSDVFSFLTQASGSGIASAGNWEGSEKE AGTNVLIGGLALQLATFSLFLVIVLVFHQRVHSLRRSVDDNLKKVLIGLYISGLFIWL RCVYRLIEFALGIDGYPFSHEWCLYVLEACPMLFALCALGYYHPGKWLPKSELSAGFI LQDLDKEANRSTR UREG_04056 MDFNMDVAMLGKRLRSCEICLAEQDEEVEGAFKASQQELNSSLE AGVASIQAAMASMDKTSSTKEQSLTVQSRQIVESRRQKIRMIGNAFEYVSKVAGDLMV RFMKIQKSAIDLEVEISVLQRDTGITVVRAEENSKYALKRVNARAKERKTAKQKLEAL EVSIRSLEDRKENLDEDRNILRVLRAEKVSIKDGLQTKKAEISHMDAAFKSLKSEEKE AQASLEAANHVCDNCAALNLEVSRLKETVGMHIQSYQKVKNAATELSLWADELSVEAN GISYHQVASMANGLQDLLLDFSAEKQQQLMWICEPIESFQKLNMGPK UREG_04057 MTRDGVERFMDNISTQLLLEKECNWGEMLGAAPRALCSLGQCFV AATSSPDLAILKLPNNGDLKKVGICGSTKEYEQGGKDQRGNLRTGRDCKRSDE UREG_04058 MVYLAVFLGATLLAYDLIGTAVETGAQIARSHSIIPFSAAIIDY YKKWMKSESEKPQISANPDNDYGLNLATFIHQDLCALNDCLNRGAECPPDFGVDFNRL FGFSQPQGTNSDVLIRTLLNKLTTQQSEAMSPTKTITPNMSKGMGILEQALQVCRDIY AHYDNILQRRPTETAIRTWQKVIGRAVHDNEELVHLRTELRAKRDQQINVIARFKCLD AKAVRPTDQKTTKKWAMLKILRDNAEMSQNRLERSQKELKDQIGRQRELERKFHETNM KLRQLRGENVTVHKLIGILGDCIYNLTDFQEHVQKLVFFFDGLELLVTDVEEKHARQF VSSIDNMLKIRADRSINQVAMERTKKKLKEGHYAVARELAGVYVQVSKKHIIPTVQFV ENLGISHSACETDEFDHSKPDLIAQKAKEAQEAINDISEKRRTRLKKLLFDDQRAIEE VEQALLLEKEQSC UREG_04059 MRFLPILACVLAPLAAALEITHPEGKSHIMAGEDLKVTWTFVDT DPHFLSLYLVNFVEYPPTYVPLAIDVKTHKAHHEVHIPCDTHPAHGYQINAINGTNVY VIYAQSEHFKIKKHHGKEECGHDDKRDDAYPSPVTSTVYVTSLMPCLSASPFSPSPS UREG_04060 MKRKSEDTEGKEAPKLVKDKKRKKKHRHHPDNAQNDNRTPQLER KVCADLDNGASRKDVIKTRLDQLEQIARDIASDPESIRKHIESSGEVEIINATAELAR AFAQSELSSKEYPDAKQQAVAPSLEPPPIKDKTLETAVFTHQGEIPAHAPPAIHHSYD RLEILGDAYLEVIATRLIWDRFQSLPPGRISQIRELLVKNETLAQFSERFKFDQLVRV SPEIRSQPKRWVKVKGDVFEAYAAAVILSDPINGFNLVEDWLVRLWGPILDRVQPEDQ ATHHKESLAKRVMGKGVKLRYIDESPPITRKGGMATFFIGVYLTGWGWESQHLGSGTG LNKVAAGNAAAKQALENHPLINQIHAVKKAFDQKVKAERESALGDSTCVPPSK UREG_04061 MAAAAAKPTKNQLRRARKKAKKAEAQTQSNGDLSATVTPSESEV TEPRTEPGTKQDSAQQEKSADTDGDADYSSTTFAPQHDENDPLFEMYRDVMGKFENVE VEDVSSKQPDKPEIYFEDDDIPDEDEESAAPKVSKKKRKEMNKLSVAELKAMVQKPES VEWTDASASDPRLLVHIKEYRNVVPVPGHWSLKREYLSSKRGIEKSPFQLPKFIQETG IAEMRDAVLEKQDQQTLKQKQRERVQPKMGKLDIDYQKLYEAFFRFQTKPELTRYGEV YYEGKEYETNLRHLRPGELSDELKEALNIPPGAPPPWLINQQRYGPPPSYPALKIPGL NAPPPPGAMWGYHPGGYGKPPVDEHNRPLYGGDIFGVLQTQQNAQQGEPVEKDLWGEL QPVEEESEEEEEESEEEEEDEEEVGAGLQTPSGLETPSGMVSAVPSEFGTAESIAGEF DLRKHHRGTETEETPHARSAYQVIPERQTQVQGFFGGDRVYDLKSAESNIPLLGSEEQ NRKRKKPGDVDVSLDPDALQSHDGINKANLQNLYNTERQQQNNPDWSFQEDLSDMIAS ESRKRLKQSEERRAKR UREG_04062 MDRDRYDRRYDDGRRGGESYRPGDRVPRRSPRPDIRFGRSPVRN RSPPPIRPTADTWAPSDRARPRSRSPGTFRRRSRTPPFRGSDRAPGYVGRPRSPPPPR RFSPRRENGRSPPRLLRRSRSPPGALPPRRPSFSRGASPGLKRVREPSPVGYSQRSPK RERFASPPRNRYDRPRSPPPRLGDPRLPDHIRPRSPDRREPPRESYGWRSWRRRSPSP PPRSGPNSGPGSSSASRRSSPPPRMDRPAREYGGSTTHATTYQSRSQPFTGVPPRSPL HSSKPTSRLGGTTRAISPPREPKSTFANASLSRPGESTSDASVNLQTPTNDDQGPKTI SQVPSGGRAQATSPPPAPKGVGLSVRGGHAALLSAPTRPKGSSGTPHFSRDLGPRDSP RGGNIRRPSHGPPYHTSTPGGRGMPSPSPGGYDSHRPAFRHGSSSSTTYPRTQRFANH LSGLPSVVPGGKLIPSGLDPAFEKRISQLEADKERLLEQIAEKQRSKRASLREWDKLS RESATGALRSELAEGHLQRMAEGDDIGGGAAF UREG_04063 MRDAVPASSRSATTLTSSKSFSTASYSSITASPLLKPRSYSGDH GSKATTAVFLTIAAVLALTLVCALFVLWRWNRRRKSSAGLEPPKHIDGVKRISWFRNE UREG_04064 MNIFRITDIFWTFTLSAYNTIFKILFIASSAYTIYLMINDYRPT QDPNLDTFKVEYLLGASAVLGILFPYSYTFSEILWAFSIWLESVAILPQLFMLQRTGE AETITTHYIFALGLYRALYIPNWIYRYFAEGRLDPIALIAGIVQTILYSDFFWIYYSK VLKGKKFSLPV UREG_04065 MSHQYHSDESQQYAYNRSGQYGLSQQSFQSYPHQLLPPYNPHSA DQSPLNSSYTCSQPLYPQSPPSSLASPGYQYPQQYAAQSRYSPSNRFYFHDSLREVEI ESQESFNEHTMLSEPVIPPLEGFPDVREFDQLMKSYVDDLSVKKQDKALIHSRRARNI RIVLLDPKDTAIESAQFRFWVKKMFKLEPNDGRTPEV UREG_04066 MLLLLLLLLLLLLLLLLLLEQSGSRLEFDEMWHKREASRYLQRS RLNRRSLEPAPPSPDRHWTPASQH UREG_04067 MTTAPDAGAILVDGVLRDASRSASPSSSSAKGFGNDTEECAREA KMEKRKVKSPLMGVSWAREVSEKNIRQLGNENWSAGPVDGAQNYTSLDMTYVLPEKED GHQMKRQKTESFLALSLCQRYSFGDHNELTSGLGTALDVQDDGRRRKSKQTGSNLPGV HKSAHPFRSGEPRWWRDLFGFVVQEYETCFAGLLHGGENARNIPGRSRRQKTGAIHVH LETWPSGPAHSQ UREG_04068 MDISPIRLQRHQEEMIECWDNDGDFQCGDEIYFRTASSATSVTG SSIRPSGHRDSISSRLSCRSDRDSNYGDEESWQVLLDQDEFGTEDAIVSAKNAGIPIP DGIPKSALLGGTIKRLGGRKSKKVLEDDWSEDLDFAGLHGDLRLRFKHEATSPESLLH LSSLPTSPSKSRDLEFFTDMVNRSPAPKPFFNDLYKFRDTDEDASFDDVPTIKLAKSR PQPISNAFAIPTPTEPTTQDESIETDLVLPSDGEPLRLSTKREVSKTPDIFGDEFDAE WAEGSIGVRFGGTKREGFSARSSTVSALSPSVSSCLTVESEDEGYNDLILPDEPLDLQ KSLQRRQASTPPATPTFRPEPDAPKDSAQTVDDFFSEIEIGNGEVFDTEKLTLNQNIK RKVTRPASPARRGATTITFTSRTSTTRIPRLSGLERPRSTQLEPVSESGAPVSKFVRP TSRLSGHANHSSLSNISVSSAGSTSSTTPSRRPTSSSSSKDTARCESNTTTSQLLKSK RSISSMRNYNAGMTSALQRSPGRQENNATTRTTASLRPKTPNDRPTTDSRLGHQRRHH MPFIPAGASPKQSHHASLKTSRQFRRADSDSSGDIFTHHRSTSRVSTSSALSDTTRRG STDLPVGLFGAAAKHTITKPNRKRHFGDGTELDIFDDLPTSVTAESKFVKLPIKKGPP RALRNRLSQSGIPIPAKMEATSPSLPMSPPRQDFTPRFARDTNASRIAREQRIATMAH NQKEREHGPLAPISANWKSPPFPRPPSSPTMVKSRHGHKHRNSVSRPQLIKPMGNGVH EPKSVKGMNYNPVLYRWEGNETATAAFDPPPISTPSKSSLALISNIGGITGTQVVGSM IFDPQRMCWLKATSSLPGKTTATSPPDEDDVFAGLDDLEDRPQKSNMASRAVSTAVDV GAGDPLTSDDKSGDSSDDWMITEEFDVGPEFIKRQRAEEDKWRRKVSKWVSDDRKNLG DGWRWTIRDLVKTDPTFDIPVPDKR UREG_04069 MAPERVLRIPRSDSLDEFILIKTSKSGKNKLDLSLVATEGENPY TGSIKTSQISKLRAKNYRGSDDEWAGILSYVFNQNQDAIESEDWTTGLETVAIVKSMD GEDDDEGDKEILITLRKRIDSITLILQQRLGSITLKQDDDQAIQLFDWTGIAVAQAND VSNEVFSLKAKYRDSESTISHLNAQLEELIQAKKEHDDQLIAKFAQLLNEKKLKIRNQ QRLLATAKVDPAKVAELEAANTGKKPRKADASRKTKRKAQEPPINT UREG_04070 MRPQLFRAAARSRRWSRLNCSRTFATSTPRPAEVELTVDGKKVS IEDIVIMSRMCLVEVEKAPKPVASCAWPVQPGMVVKTNSALTHKAREGIMEFLLANHP LDCPICDQGGECDLQDQSMRYGADRGRFHEVGGKRAVEDKNIGPLIKTSMNRCIHCTR CVRFANDIAGAPELGTTGRGNDMQIGTYLEKNLDSELSANVIDLCPVGALTSKPYAFR ARPWELKHTETIDVLDGLGSNIRVDSRGMEVMRVLPRLNDDVNEEWINDKTRFACDGL KTQRLTTPLLRRDNKFVPATWEQVLTEIGGAFRSLAPKANEFKAIAGHLVETESLVAM KDLANKLGSENLALDQPGGSQPIAHGIDLRSSYLFNSKIYGIESTDAILLVGTNPRHE AAVLNARIRKQWLRSDLEIGLVGESFESTFEFEHLGDTAASLKEALSGPFGKKLAAAK KPMIIVGSAVAEHPDAKALFEMIGGFVDKKAATFNTPEWQGYNVLQRTASRSGAYDVG FTTPSPEVAQTTPKMVWLLGADEISEADIPKNAFVVYQGHHGDRGAELADVVLPGATY TEKSGTYVNTEGRVQITRAATSLTGAAREDWKIIRAASEFLGAPLPYDDIEMLRDRME EINPALRRYDVVESTSLSQLSKTQLVDQNRGAKPSGEPLKKPIENFYFTDVISRSSTT MARCSAAKETGNPDNNFMAPGEPAPQYFVAQ UREG_04071 MSVTTVLHPVQHPAISRNHKPQMNLPGAEGTLDKFPSVDDESTT VPGDANIPLPTGNLRSSPDPMWLPRKNGLISNGLSNGRKQRPPRKSIGEAIGSFKKRS ASVSVNAQELAEALKAPVSYKLIGLCLVWYMTSALTNTSSKEILTALPKPITLTIVQF GFVSTSCLASSYLASVFPGLRSAIPALRNPIRYPSIEVLSTALPLALFQLAGHILSAM ATSQIPVSLVHTIKGLSPLFTVLAYRFLFRIRYARATYLSLVPLTLGVMLACSSSFST NLFGILCAFCAALVFVSQNIFSKKLFNEAARIEAEGQTLTGRKLDKLNLLCYCSGLAF ILTAPIWFFSEGYPLFMDLLQDGAIDLTERKGSLDHGPLTLEFIFNGLSHFAQNILAF VLLSMISPVSYSVASLIKRVFVVVVAIVWFGNATTPIQALGIGLTFVGLYLYDRTSHE DAADRRANADHFHSRKTILPLNIRQPNNNKGWNSNGYAFPHPIKEGALEGANGYVSAS TGNSKKDDSEPNGRPRKSSAVRPWMPPGTKQETTWHPGDAVPSQQFIS UREG_04072 MAGTSLLALFFFLALFKPLLPTAQLAKQFSSPLHDQWNMPTLSL SQEWHILGPFEIGTRDRSDPDLLLPLHPEAVWGADPLEAHGGFRAMQYAPEATFPTAI GDAGLCRWTVLLTGDRIATDGFTKATLRFGFPKVNWTFRRSIYGWSGLQYQAWARGNV TVSGTGPVTSAIYTDGILEFRVDGQLYFGGDFYSYRRAPLILDLEPGIHTLELRFFYD IRALGGSDNPQINSTVELKQISSRLNIDRASVLIPDVVEGKLASPYVSVNAQNGMNKV VRVVWLKPVGENKAHFDLALLDDSITIAPYQYRPLAFKLGFSGRIPAQLSFEIGYEIG LEGQIQIAELVVDVKHRSMSEAQKFTFLHPSGIVSYAILRPPLNTSCHTGEKRNLPLV IGLHGAGLEADGDIVRHMLDGVYGLCAWILFPTGVTSWSGDDWHTWGFADVQAAVSGI RDWTKAMSWKGAGISLSEWVVVGHSNGDYVPFTIWRDADASLTAVLESARRNFKHELL TKNFAGIPIFQQHGAKDDNVPAYHSRLMHQLTWEDGLTSHYLEIPQAGHWFDGVLTTD PLTEFYRHYTEDILHDTLPNNFSIVIPSSMDMGSKGGILVDQLWTPDIYGRIKVTRDK EEHTWRIKTRNIRRFHIKTKGVRCAHPTKISLDGSELVFTSSNDDDIAWYVQNAAGYW AISRDDGWRGLSERYGRQLGAMDAILRTQGHLTIISRSIVGDTVALQISRNLFQYFAA DCELITAVSTLPVSGSGSNSTLGNTIVVAIGDKLDHPQLPTFPISVSNKGLKLNKPSR TAVEYEPEPGLGAVFLRPLAGERLELVIWGADLEGLQQAARLVPTLTGAGQPDFVVLS PSCRWKGGGGVYAAGFFDFSWQISSGSYLS UREG_04073 MMGFVASSSPAASRKPSLQLQGPSEGVLHSTMADMAPNPLVSSR LGNIQALPTHDEHASKKLDAIQPSAMDKILARLSPKDHAAIEDQASKVNSGELAPGVV PNQATTEGADANGKGEKGKNKIDKEEVVRLQQELMAANSRIAMQEQELAQTRVIKHTL DQAMGPPSEIDFGGREVTEQTLSTLQHAFNNSSVRPFNNQRQEFWLNQDDAQSEISEA VSAGGYNQPRGIWNNPNANVTGFRDFNMTGATNFSPNWGNQLTRQASLMPNQRIASGP GSPPYEQAQPFPQGLRRSVTQVNRGPSCFPPQSSPWGTFTPAASSNVGTRVMNQQVGV QGGMFNVAPYQPRPIGTPLSPTAAEFTSFNSGSWTPTTNSSQTYVSPLEPMNYRRLLD KSVSCDWKYIVDKIVCNNDQQASIFLQQKLKVGTSDQKYEIIEAIVNQAYPLMVNRFG NFLVQRCFEHGTADQVIAIANAIRGNTLSLSMDPFGCHVIQKAFDCVPEEHKAVMVHE LLRRIPETVIHRYACHVWQKLFELRWSNEPPQIMAKVNEALRGMWHEVALGETGSLVV QNIFENCVEDEKRPAIEEVLAKIDLLAHGQFGNWCIQHICEHGAPHDKSRAIEHILLW ATDYSMDQFASKVVEKCLKIGGSEFLDHYLARVCTGRPDRPRMPLIDIAGDQYGNYLI QWILVNAATHQRELVASHIRKHMVSLRGSKFGSRVAMLCCNPSHVTRPGPGAGLQVNR FTTPSEDRFGSGISSSNRFSRGNQWGANYPPFR UREG_04074 MATPAQPAETSSPQSNGPSIDPYQQLHSYPFTTDPEFKLGLAVI LRQPGTPATDEQVNRADDLVLRAKCFYFSRVWLQAHSLDPEFEPAPDQLASETPPSQA PIPSSASDEQPQATSTTTQEQPAYPASFAHIVELITTGQPIPGIQQIPDTILTGQDAP STAERRRKPWEKLEETQAQENQSGAGTTAR UREG_04075 MADSDEEYVAEFDSEDHDDDLGEDDEDDEPDTRNVIPGKSSRRR GKRKKQREGHELLRDTTPLQRGIIRHLILVLDLSIAMTEKDLRPTRYLLTLRFAQEFV LEYFEQNPISQLGIIGMRDGLAVKISDMSGNPTEHILALQALRAKDPNGLPSLQNALE MARGTLFHTPSHGTREVLILFGALLSSDPGDIHQTVSSLISDKIRVGVVGLAAEVAIC REICAKTNAGDDSGYGVALNEQHFRELMMETTTPPVTYSKKQAANSLLMMGFPSRTVE PAPSMCACHSMPSRGGYLCSRCGSKVCTLPAECPACGLTLILSTHLARSYHHLFPLIN WIEVPWKKASTSANCFACGNPFPAVPPRAQWEARENMAKGMSFSKRCIYLASGNLEGT FAVARSSYKLQQEVWMQTVQT UREG_04076 MGKDDRETLPDVAKPSNYAISLYNLQLGGSWGYNGNVKIDIKVA RPTSELVLNVKAIDVQTAKAISKDERAIMKFSEEIKEGDYVLELEFTGTMNNHMAGFA RAKYQSSETPAPGTPKEGDNYYMLSTQFEACDARQAFPCFDEPNLKATFDFEIEVPKD LVAISNMPIKSTREGSNANLKFVSFDRTPIMSTYLLAWAVGDFEYVEAYTERKYNGAP IPVRVYTTRGLKEQARFALDCAHKTVDYFSEVFEIDYPLPKSDLLAVHEFAMGAMENW GLVTYRTTAVLFEEGKSDDRFKTRVAYVVAHEWDVWSRFVAEGVQQAFQLDSLRASHA IEVPVKNALEVDQIFDHISYMKGSSVIRMLSSHLGQETFLRGVASYLKAHAYGNATTN DLWSALSKASGKDVTSFMDPWIRKIGFPLVTVVEEPKQITVAQKRFLASGDVKPEEDE TLWWIPLGLKSGQQATEADKRNLTTKSDVVRDIDEDFYKLNKDQCGFYRTNYPPERLA KLGKSRDLLSTEDKIGLIGDAAALAVSGEATTAATLALVENFHDEQNYLVWMQLVVSL SHIRSVFAANEEIATGLKNFVRKLVSPAVEKLGWEFKPNEDYLTGQLRQLLISTAGNA GHEGTIAEAKRRFNAWASGEDKNAIHPNLRSAIFTINVAEGGQKEYDTVKEEFSKTDS VDGKEICVGSLARTKNPDILKEYFEFLFSGSVATQDIHTGGAGLAANSKARDAFWIWL KANWARVEQRMGSNKVVYERFVRMSLTKFADHSTEQDITKFFENKDKAGIDRGLLVVA DTIRTNANYKERDEKGVLEWLKAHQQPNVTCQMGGRLQVQLVPAGTGVSWQLIMLPPS ESTTATLSFSWIPSVFSLWLIYPAGKLMISWPSFLPTFASSITVANNFRYDYLFKLLL IGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFR TITSSYYRGAHGICVVYDVTDMDSFNNVKQWLQEIDRYATEGVNKLLVGNKSDMEDKK AVEYTVAKEFADSLGIPFLETSAKNASNVEQAFLTMARQIKERMGTATVNNKPTVQVG QGQGVQSGSAGGCC UREG_04077 MSQSNNAVSQKPKVAAMAAQQSDNIAHALAGAGGGILSMILTYP LITLSTRAQVESKRAQSSSIDAVRHIIKREGIKGLYAGLESALFGISVTNFVYYYWYE WTRAAFEKAAKRAGRASKKLTTVESMIAGAIAGSATVMITNPIWVVNTRMTARKSEAE EALPGAPAKKPKTTLSTLMDLLREEGPKALFSGVLPALILVINPILQYTFFEQLKNTL EKKRKVTATDAFYLGALGKLLATSITYPYITVKSRMHVASKDGPKESLNGSLKRIIKE EGWAGLYKGIGPKVSQSVLTAAFLFAFKDVLYDTMVAARRRAIRK UREG_04078 MCTVDGLRFSDVQLGDLGGTYPTDSNWARKGTPPVGAPMWSSPE VIMETTWNTATDIWSFGAVISFWVFLANWSTSTPFRPKTVPYGHVEYGLEVLKQQFWY FGPFPGKYNEITGPMTIRAILYPMQETSQSKTTPFYWTMEKENIAVYSLKPISIVYRS NLVLTSIQLLLGHKVFQGSVVSKTQTYLLTTTQLSIQKKLIQWPWD UREG_04079 MGGTKNGGSAEALNDKARYFHTWRNVPLKKKVSRRSPMKARRGG LSLGAGFRAAQSEQKSRAFAEKNNRNRQPVKPDRSASSTLDPTVDGPQVPDLTSPEKD DFDESDLDARTQTLLSKFISHHLPNKSPVKEAPEHKLSASRPNPLKRRADSISTDISA DTEPNRIIGPRLTPIRSEGSNIHNIATESSKYRLPRDTPGNTNRHTSLSNVNTDVGLS KKAFNKTPKKVELPFSGLKSSKKEPVREQLEPVTARVSPKSRTSNGEETEIVGLLSNE SPVSFNAVPSHFSSPKKKYDNKFPPKSGMRTSRDKRDPLYPCRPPCVLKISAKRLAEA TSLTQKTAGNDSHRATGRPVSHVSLPSNKVEKSLHAPLSGPKVLSEDCELSQDFLLKR NTSFSETDRSSTLTDMKALDEQLDEKHCGISMRPCVSLPHVGTRKEKWNEYNHPLPCS QAPQLESNSQIVSRPMAHACGPPVRTKHNLSKLPTTNKPSQVTASHRINLQPNAENAL APQQSKKINLSTSPSVASCPQNTYTTRATSRVTKSTHQSSKIPQPNASPGTPMRRSAR NRNAPTNYYAPAQGFSQRVGGHEPDSDEVQLATLKTPEPVTPPNEVAPVIARHNRCQQ HFDDNDYSALLRSREFGYATHQNRVRIGRRLDDLAKWESWKSWMGASSDVLVLSWSPD STRFAAGAAAQTDEHSMQYNRNNNLLLGNLSTSKIKELPDHRIKRPLMESGPNSHSTY VMVDPNLYMTVTATHWTSCGNRLYTASFDRTVKLWDVSSHDNSRCIKTLRHPGEVQEM AVSKFDSQLVATGCNSPSFLLWRVEDDNFSKPSHLPLPQDKCANMIPSSLQWGKMPRT SKYLVGGLTAEDPSNSQDPSRFGYLALWQVNEAAIMPLHVTPNAQNIFDVAWHPNCDV FATGSTVPFGARSYGIGTNVRSLVRIYDPLNGKKCVTQMYECTALDMNQVTFCPANEN YITASCTDGVTYVWDHRNPNRVMHKLRHGEPIGYFNQALLREQADVGVRCSVWGKGFE FYTGASDGVVKRWDIRLAPENVLQENVASFNQEIMCASISPDYANMVLGDASGGVHVI STAPWGRSDENFPTFELERAPEPKTTTGDRELAEGIKEAAALISSGKLIADPVLGPLQ GPKYDGPYASWARPENTAPEDMASTSLIPDIQATQLDGPPPNQRRGLDKQTRKRLEDL IHFSAIRNRKRGEHKRKRDVTQDPKLKRSAFFQSLNIMPRSCRVADTTEACKTTSAVN RLWKIFNSQSPSPTPRRASRGSFKVDPNNFIDLTLDSDDDSVQQISPLEEDSALEEDH WWPINVDPNLEP UREG_04080 MHASSLYPLALAFLSLLSLSAATILTISIPASNLLPNPNTLPPS THATLTTLTPKRALLKAPLTRSSTFIFPDLGSGSDSASSSRSPTSYLLDIHSRDYVFA PYRVDVGADGNVIGVWETFRGNPWDNKGVEKAVGTGSGPVHVDAKVLGKREFYEQRAG FSPLSLFKNPMILLAVFALGVTVGMPYLLENTYTVGIRELDSADHPIFPYVLVDPETR EEFEKQRAKGVLPGSGSKKAAGPSFDLAGWMAGTSPSPIEVAREASTSAREGGSGQPA PSFNNISLSALNLPWNHSEKSLVFMSFAPPSGPPPPPVPEGWRAQYSDAYRQWYYVDL STGVSQWDPPTMPTESVAQPPVRPPAASSSPPPSYEASGSGNTEAAATAAKADQKKGP LPEQPQLGSNNPYLSENSNRYPHETPVSPEKKDVKKSPDSGDDDARLAAQLQEEENER ARQQGYDSVLEANNTEPRTSHSTRIPEPTLPSPSSSNQQQSKGKSGFFGKLLDKAKRH ASSSASSSTSRLHAPHAPHAPHSPHASHAAYYSPSPQPQSQYMYPPQQQPFGGYAGYP QQGAGGYYGAPFPPQQGYVPHNRLGGRGGGGMGMAGAAALGMGGGLLGGALLANALDD DYGDGYQDGFGDGGDFGGGDFGGFD UREG_04081 MFSSEPPFKAMATEFAKPAPKGTPISVSIPGSEQPGRTAVYRHW KIGNGELMKTLDPQVRTAHDMFETTGWRPWDAAKQNFGPYVWMDYGTVRARRAAIGVG LVELHRQLGIQDRTFGVGLWCQNRPEWQLTGPEATEFIVKNAELACVAASLPHLPTLL KLKPQLPCLKIIVCLDPLDSSDQAGYSKRDILAPIAADVGVSIYTLAEVEKLGASLNR PYNPPKPDDIITINYTSGTTGMPKGVVLTHGNAVSAAASSLATITQSSTDVSCSYLPL AHIYGRMIEQTLLWAGGRIGYFHGNILELVDDFKLLRPTVLASVPRLYSRFGGAIRAA TVEQPGFKGALSRHVVATKTANLVHAKPENATVNHFLYDRIWSKKVAAGLGFDRMRYM VSGSAPLDKSLHQFLRLAFATTIVQGYGLTESFAVALCQPGHDLTAGHCGGITPAVEA CLVSLPDMDYSVDDKPYPRGELLLRGNSIFREYYKNPEETAKAMTEDGWFRTGDVCMV DELGRFTIIDRRKNLLKLAQGEYVSPERIEGIYQNAYPYIAQAFAHGDSIQTHLVGII GVQPDIFATFAGKVLNKTFSPTELDALREAAKDQKVVDAVQKDLDRAGQKYKLAGFER VKNIALMVEPFSIDNGLLTPTLKLKRPQTVKAYRDILDELYATVPSNGVNENDVLRSK L UREG_04082 MSAPRFETLQLHAGQEPDPTTNARAVPIYATTSFVFNDSAHAAR LFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAAVAASSGQAAQFMAIAALAHQGDN IVSTTNLYGGTYNQFKVMFPRLGIQTKFVQGDKPADIEAAIDDRTKAVYIETIGNPRY NVPDLEAIAKVAHEKGVPLIVDNTFGAGGYFCQPIKHGADIVVHSATKWIGGHGTTIA GVIVDSGKFDWGKHGKRFPQFVEPAEGYHGLKFWDTFGPITFAIRVRVEILRDLGAAL NPFGAQQIILGLETLSLRAERHASNALTLAHWLEKNENVAWVSYPGLKSHPSHETAKQ YLKRGFGGMLSFGVKGGAAAGSQVVDNFKLISNLANVGDSKTLAVHPWSTTHEQLSDD EKLASGVTEDAIRLSVGTEHIDDIIADFEQSFAVSSAAGPDKKALPDRTTVDQGVVAD REPGLENSV UREG_04083 MTFQTLNINFRFLTNSARPARDESLRFQILAHFQSLDLEYETIV NGTDPDAQIIVEVVLQFAILRRGSSWEWLSTQVWIRPFKDSVHALGKEPQNTRIAAFS PSSAGQAAVTPMRASEQPRTLHQAEGILNLALVCSPNFLAGCFNLRKSSFCRPIVSRE QSLQMLGTRRGSMDQNEPVKSAGRELVPGKKTSGGKAMRVWCSAVEREYYHHPAHENY SGNQKKTGLLCMNFPSVYKAAVGPRGRRCASLDLYPIHTNGNTPVILAINYPTERIKG LYQTFKESKTMENGPVSLGLRGVQAVFGIIVLGLTAYIASELSFFTSDIINFMIFNGV WTAFIVVPYMILAPMFFPIAAHLYAIIAVDAVTMIFWFAGFIALAARIPTNSDCNGNP ICGSYKAATAFGAFEWALFVATLVLVVLPVVRNRGGPKPNEGVAPAV UREG_04084 MADTSHPNLNLTAEEKRVFYQLFQMADKTNLGVVPGEVAVSFFE KTNLPAETLGLIWQIADKQNRGLLTPSGFGIVMRLIGHAQAGRSPTEELAYQRITPEP PLAVPPTAPPPSTTSPPPGPGSARVPPLNQDDIGKFTALFERSETQNGLIAGDTAKQI FERARLPNEILGRIWNLADTKQRGALDITEFTIAMHLLTAFKMGTMRAVPPSLPPGLY DAASRRGNVRASIGSRSSSDVPPVPAIPKQFSGPTPQRTQSPLNRSHMGPVSTHGTGT EWLITPQEKGHFDSIFNTIDKRRVGYLSGDDAVGFFANAQLPEETLAQIWDLADIDSD GQLSKDEFAVAMYLVRQQRTTREPLPQVLLPALIPPSMRRQSAPPPRPIPSQTTGSRS AAEDLFGLDVFTAPIQTSQSTGGSNPPFQSPSSPTRPPPSSTFKPFIPSSSFGQSLTP HTTGLSNTAVQPRSPAQPSDDLLGDADPEESKKLTQETTELANLSNQVSTLSKEMENV QAKRGNAEQDLAQSNQQKRDFETRLSQARAMYEQEVKDFKALEERLTASRTETRKLQQ DFAMIDGSRQDLQNQFNQVSAALEADQRENASLKEKIRHANAQVSQLKSQLEKIRSEA RQQKGLAAINKKQLSTIEGERDRIQEEIDDTGKGLGEAERQSTSPPPITSPATSAASQ GTNPFFKRSPSASTEKALSPSHTGNEGQNNPQNIFDNMFGPAFTSPSTTTPPPPTTFR TETSTPQPQTANPTKPSTSSGDPSLSTSSSTTFQPIPDPAEPPPPPRSRQITPNALPI EGHEESQSSSAQASPPASRFGDNPQPEATSQLPDSKEASPFEHGDPFAGDVNGNNDQN LARNESPPATTAASLASPHEQVKKDYTFDELFAGRTHERSQSQKALDFEEAFAAMKKD SRMGSANSGQLDGAASEFPPIRELDDDDDSTDSESPAGFDDDFTPVSPPRGTGKEIQP FPPNEPTPLPGPDAQPSPPSYDKASKQPSGTAPPEFGDLLPGRVDPTAPGDAPHSVDE TTGAPIISGVPKPTTAASPKPPADFEAAFAGLNLTPAKEVEDDDDDEDDFESPFNKDP SNFDMTFESPSAPSKSSTSAQAANGSFGDASTKPNFFSFDNPGTRPTTAGDPPNSSSP PQAPSAHDWDALFSVLDEAKGQSGANKPDITSSTTVPPTSTESSQIPVPATASPPVQS KQPGWALDADSGEDDLILQRLTSMGYPRDESLAALEKFDYNLDKAADFLASKS UREG_04085 MREVISLNVGQAGCQMANSCWELYCLEHGIQPDGRLSEEQKAAE QDQGFETFFSETGAHSCPNLPYISLKHSSGQGKYVPRTIYCDLEPNVVDEVRTGTYRN LFHPEQMITGKEDASNNYARGPLYRWQGDDRQSYGQSFLVFHSFGGGTGSGFGALLME RLAVDFAKKTKLEFCVYPAPQNATSVVEPYNSILTTHTTLENSDCSFMVDNEAIYDVC RKNLGIERPSYENLNRLIAQVVSSVTASLRFDGSLNVDLAEFQTNLVPYPRIHFPLVS YAPVVSAAKAAHEANSVNEITMACFEPHSQMVKCDPRNVPKDVHASVAALKTKRTIQF VDWCPTGFKIGICYQPPKMVPNGDLAKVDRAVCMLANTTAIGEAWSALSHKFDLMFAK RAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVARDSAEDVPEEEY UREG_04086 MLPFVILWSLLVRLVLSNVEKAIFVAPPIDAAQFPAASLRLENL SNLGTLSPSTPSIRQHLNASFPSETNPLGVKSWFRLANLSPGQRYEVRICWLATQPTS FDLNLFTASDILDSSSLLSSFTTFCERHQLANQRLPPLPNKSPNAITLFLAVDAAADY FTLNQTLMESVPPVAVDIILDAYLMNIFPRSLIPTAVYVACVVVVAWRACRFVQSLVN GIISSGSITEISAEQKSK UREG_04087 MSQYAAELCKLLITDNFGELYSLIFSYLLHHGRQPLPRIVQNTH LSPRQVKHGLVVLIQQHLVFHCTSFDDGITYYESDWRAAYNLVRPGKFTQLVKNRLGK HAARVFSTILSLGHAKISYLESLPELQPGSEPAGKMNGVNGTHEQNDEAVDGIDGELE NGTNLSDGHSLDGPVQKSNGYHEVEAAPQLQTILQQLAAFGFIIRVRNQHFQSPEDNF DAALKAVKSNSDAAGLKGNKLEAKLAEDAENLVKQWTDATISRGLPSASLLRGVKRRV DSDGSSGPRKRLKLEHPLEEEEDVEDSDFSGDDYADDETMPLDENMVIRVNYEKLNVV LRNRRLLELAEQNVTAVTSQVYETLLTRIEIQTPTCREQKEAIPEGEEAEQYSVPVHL DTISKDLGHGVDLAGVGSGLMPDKTNEFAGEDEEINGDEENGSAPKRRGPSRNYLVDQ HLSFLAAEPNFFCTRRMQAGMITWAVEYRHLARKLRHLELERLVEARFGSFAVRIVRV LAAKGKLDEKRLQEISLMASKDLRQILGQMASSGFVELQEVPRDAQRQPSRTIYLWFY DADRVRLMVIEDTYKSMSRCLQRIRVEREKLKYLLEKTERTDVKGNEERYLSTAELQT LKDWKNTEAILLGEVARLDELVAVLRDF UREG_04088 MASAAAPKGYDYETTEVGGVKLACRDFPAPTTTLTVVAKAGSRY QPLPGYSDVLANFAFKSTNKRSALRITRESELLGGEFSAYHSRENIVLQTRFLSSDLP YYAELLAEVVSDTKYPAHELDELVIGLVKASQHTAAANPSIQALDAVHGVAYHRGLGN PLVPSPLTPLKEYVEAEGVAAFGKSAYTKATAAVVASGSNANEVSKWVGQFFAGVPAT PTSSPYNAVAGEPSKYYGGEQRIPSQAGNAVVIAFPGSSAFGTSGYKPEYKVLAALLG GQSSIKWSAGSTLLSKAVEGVSGASVATQETAYSDAGLLYITITGKAESVGAASKKVA EALKKAASGDIASEDIKKAIAVAKFRALESGQGLTTGMELTGSALVHGNKPFQVGELG QSIEKVTEQQVKAAAKSLLNGKASVASVGDLFHIPYASDLGLTV UREG_04089 MSAAQVSSANSAPRTIEKKPVKFSNLLLGAGLNLFEVTTLGQPL EVIKTTMAANRTDTFAGAMARIWGRGGALGYFQGLIPWAWIEASTKGAVLLFVASEAE YHARTFGASDFIAGISGGMAGGVAQAYATMGFCTCMKTVEITKHKMAAAGVKPPSTFA TFMDIYRREGIRGINRGVNAVAIRQTTNWGSRFGLSRLAESAIRRFTGKEEGQRLSGF EKIIASGLGGGLSAWNQPIEVIRVEMQSKTEDPNRPKNLTVGKTFKYIYSTNGVKGLY RGVAPRIGLGIWQTVCMVALGDMAKEAVEKLTGDKVTAKH UREG_04090 MASTLRLGSAALRSTSFASKPAVQSAAFNCFRSYSSAKSKSLKD TFADKLPGEVEKVKKLRKEFGSKVLGEVTLDQVYGGARGVKSLVWEGSVLDSEEGIRF RGYTIPECQKLLPKAPGGEEPLPEGLFWLLLTGEVPSEQQVRDLSAEWAARSDVPKFV EELLDRCPNTLHPMAQFSLAVTALEHESSFAKAYAKGINKKDYWQYTFEDSMDLIAKL PSIASKIYRNVFKDGKVAPIQKDKDYGFNLANQLGYGENKDFVELMRLYLTIHSDHEG GNVSAHTTHLVGSALSSPMLSLAAGLNGLAGPLHGLANQEVLVWLQKMKKAIGNDLSD QAIKDYLWSTLNAGQVVPGYGHAVLRKTDPRYVSQREFALRKLPDDPMFKLVSQVYKI APGVLTEHGKTKNPYPNVDAHSGVLLQYYGLTEASYYTVLFGVSRALGVLPQLIIDRA LGAPIERPKSFSTEAYAKLVGAKL UREG_04091 MSSAVAELDGYLQSMLALKPPGVSRSKIDGITSLCTANVQWVDS ARKAGQPTDSNALDGTFAAGVKRVTDLLPVLMTDIINNAPEDQKDKIRKLVDIWERGA TFPAAMLSSFKQKLNAPVSQNVQSTTPEGSPAPGFNPLLGLTQQPQQGVPAVSSTPTQ AVPDTSSILKALADMAKQNTAAAPTIPTPAQTSSNNVLNAQSTAPAPISSSVEQTAPQ PNGQAVNPYAGNLAAQFAGLSNLAPNMFPNQAQTQPLAPHNPLAALLQPQQLPSLPPQ QQQPQSAPSNITPEALQQQLGLIQLLAAQGIPQDQWATALQILSLSSTANAGMGALNP AAMLSAFGQPAAQNAWGAPAHDTSSRDRDRERERDHDYMRSPPNQFRRRSRSPGWERR REASPPRRRDSPVYGEYHGESPGRNRADGRDGRGGRRGNDYRQRSPPGRRRRSPSPPR KDSSLPPPGPKHVEWDYSIGQGNIKVLSRTLFVGGVTASESHLRSLFSRYGAVQTCIV NIDKRHAFVKMVTRRDAVTARDGMEQYKSGDMQLRTRWGVGFGPRDCSDYQTGISVIP IERLTEADRKWMLTAEYGGTGGKPIESGMVVEEPDIEIGAGVSSKAISRRMATDQGGK RGPQSSRPPFEQPRFRRQERGSDDGPGSDRDHDNSSANNVAVPPAVPGFGFQLPGMPM FPPGFMMGGAQPASSTTTPQPPAPGSGS UREG_04092 MTRVSSFNGSGIVGKNNIWVLALYLTSSLSSLADKMTVTFQPVL PRTSPSELKHHSFINKCIDFAAQRASQALRYQIQDGIQFLRGSVATPVDGYGKNARTT MAGIAISPKKIRLITSTDCDDATFENQWILFFMCGEPQYPDLVAMVFVG UREG_04093 MPLKSWPFVSSIPNSAISSASLASSLSSGCNGKSSKSGNIDHML LRIYDGGFSTRTAHPARGGNNVTNQPYNLGLQPLGLLNVSNPSEAKTWPSWNIGRKDW LFEAQEESAAKYRGSALCTKKKRVIV UREG_04094 MDKKCKEESHTLETTLCLKLLYPEEIEKGGAILKVNNHVTVQIA VNLLLHKLVGRPAVGHDLA UREG_04095 MAEPTGSTHPQGVVPPNHLGTTVPSGDFEGQSEKAPAPVSEKKP IPDDDEEEEDMDALIEELESQDGHIDEEDEDEGEPGGARPVPEELLQTDTRVGLTDQE VAARRKKYGLNQMKEEKENMVLKFLSYFVGPIQFVMEAAAVLAAGLQDWVDFGVICGL LLLNACVGFIQEFQAGSIVDELKKTLALKAVVLRNGRLAEIEAPEVVPGDILQVEEGT IIPADGRIVTEDAFLQVDQSAITGESLAVDKHKNDTCYASSAVKRGEAFVVITATGDN TFVGRAAALVSAASAGTGHFTEVLNGIGTVLLVLVIVTLLIVWVSSFYRSNGIVTILE FTLAITIIGVPVGLPAVVTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILCSDKTGT LTKNKLSLAEPYTVAGVDPEDLMLTACLAASRKKKGIDAIDKAFLKSLKYYPTAKSVL TQYKVLEFHPFDPVSKKVSAVVESPQGERITCVKGAPLFVLRTVEDDHPIPEEIDAAY KNKVAEFATRGFRSLGVARKRGEGSWEILGIMPCSDPPRHDTAKTVNEAKTLGLSIKM LTGDAVGIARETSRQLGLGTNIYNAERLGLGGGGTMPGSDIYDFVEAADGFAEVFPQH KYNVVEILQQRGYLVAMTGDGVNDAPSLKKADTGIAVEGASDAARSAADIVFLAPGLS AIIDALKTSRQIFHRMYAYVVYRIALSLHLEIFLGLWIAILNTSLNLELVVFIAIFAD IATLAIAYDNAPFSKTPVKWNLPKLWGMSVLLGVVLAVGTWITLTTMLVGTEDGGIVQ NFGVRDEVLFLEISLTENWLIFITRANGPFWSSIPSWQLAGAILVVDIVATFFTLFGW FVGGQTSIVAVVRIWIFSFGVFCVMGGVYYILQGSTGFDNMMHGKSPKKSQKQRSLED FVVSLQRVSTQHEKSS UREG_04096 MDESPVASRNHAFDESPTERTAGQSKLKAYVVAVMAQKSQEQRF QRRTSVYQKHNLLEHIKKKSWSGPPSETIYGGLSASAAESDVGIALAVRDATYFLDFA EQHFPREETSDVAQMITDFVIDQVRKYKVEHMEKFIGIALPINLAVHCPRLCPRLWGE LDIVPVVLHGKGVHSVGWINTELWNSKMLDEQAESIARKCITFFGPSKAPLLQVGYRG NVEVDAGFHAVLATVSDYQKTVGERTWSAVQKYAADMKKRNVKVAFFSSTPQGGGVAL MRHALVRFSEALGTDIKWYVPRPRPGVFRVTKTNHNILQGVARLDERLTDENKELLTH WITTNAKRYWLVKGGPLDLPENGGADIVIIDDPQMPGLIPLIKDLTPNRPVIYRSHIQ IRSDLVDDPSTPQAEAWAYFWDSIKRADLFISHPVSAFVPATVNSESVGYLPASTDWL DGLNKTMEDWDVGYYGRIFNAKCREINMTTIDYPKDEYIVQVARFDPSKGIFDVIQSY AKFFDKVKDETTPPKLLICGHGSVDDPDGAVIYDAVIEYLESQMRHLKQYICVMHVPP SDQILNAVLSKARIALQLSVREGFEVKVSEALHKGKPVIATLAGGIPLQVQHGKNGFL VEVGDTDAVAQHLYDLWSNDALYDRMSEYAKTSVSDEVSTVGNALSWLYLASQMTKGK TCKPHSRWINDMAREAAGEPYVKGENRLKREVHMKPFGYMEG UREG_04097 MVWISNPEKQKYFKIQPNQLVPQGSKYSKQEVSKRQESAVKRKK LETFEDRVSTERIKPAGILRHPIIGKLGLQREIGQHRPRTSTFMQQCGEASVQLFERN TLLDLHTWDPRLIVRSVDRDPRTARIFTGLNIAGPSGFRYVDYRPIIV UREG_04098 MPPKKRAPASAHTNVPDAPEENLKRRRATAPKATATKSQPQKGG AGTGGKSSKGRGRDPFYYSKSLTDPINTEKDKWNLLPAFLKVKGLVKQHIDSYNYFVE VQLKKIVQASAEIRSDVDPNFYIRFHDIYLGSPRRADEEQSEDTRFKVESTITPNECR LRDMTYAAPILVDFEYVRGRQRVKRNGTAIGRMPVMLRSSKCVLSKKSPGEMYQLHEC PLDPGGYFIVNGTEKVILVQEQLSKNRVIVETDPKKEIVQASVTSSSNERKSKSYIIL KKDRIYLRHNVLSDDVPIVIILKAMGIQSDKEMLLLVAGVDSVYQEDFAINFEESIKL GIYTQQQALEYLGSHIKIMRKPNSFGGVRRNYIQEAVEAIASVIISHVQVENMNFRPK ALYVAHMARRVLMAKHDPSLVDDRDYVGNKRLELAGQLLALLFEDLFKKFCFDIKMNI DKVLKKPVRTEAFDAFSVVAIHGNHITQGMNRAISTGNWSLKRFRMERAGVTHVLSRL SYISALGMMTRISSQFEKTRKVSGPRALQPSQFGMLCPADTPEGEACGLVKNLALMTH ITTNDEEEPVRKLVYALGAEDVQAVGGREFYGQGAYIIFLNGSPIALTRRPKFFLNSF RRLRRMGRVSEFVSIYINHHQSAMHIATDDGRICRPLIIVEKQKSRVTADHLSQLRSG EMEFDDFLAQGLVEYLDVNEENDSYISVYEREINENTTHLEIEPFTILGAVAGLIPYP HHNQSPRNTYQCAMGKQAIGAIANNQFLRIDSLLYTMVYPQKPMVKTRTIELVKYDRL PAGQSATVAVMSYSGYDIEDALVLNKASVDRGFGRCQVFRKYSANLKSYSNGTKDRLL GPDRENGAPIRKHALLDSDGLAAVGEKVSSGEVYINKVTPENALSSGITGSDAGGPIA YIPSPQTYKLPDPSYIDKVMISTTEGENQLLKVQTRQTRRPEVGDKFSSRHGQKGVVG IIAEQADMPFTDQGIVPDIIMNPHGFPSRMTVGKMLELVVGKAGVLSGQFGYGTPFGG SPVEEMSAILVSKGFSYGGKDYLTSGITGEPLPAYVFTGPIYYQKLKHMVQDKMHSRA RGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGTSQLLLERLMISSDRHEVDVCEN CGFMGYLGWCQRCKTSRGVVKMAIPYAAKLLVQELFSMNVVARFKLADEFPEERGM UREG_04099 MATCDPAPRLQEVACSGPVEADQVSANNARDCLSFCVWARRYPR CLTVIGPGESRTRMLLRLTCTFQEGRRWVVVAEQHGRWPRDEEQAVNRWVSKRAPRTS REDQNIRSCGEEISTRLKTTAIHRWSSGRSFETRRTQLQPLDTKLPVDGLILYPSLSF RKSTSARQCSPTDRKRMSADMAFKSSSNERLNELGLASPRSSLSESPFSSFPSPPAGQ TSFNSTIGTDIRSTIQRRFTTDSTKISPWMGFGPQPVPLPESIDILSSATRMHKSQLF EKKRQHIEYMREQKKRFEADLKLLNLQQEKEEEEMDQIARDLARTGLGGPVSEPTTPP EYHDSGFPTALSRPARFSISNGQSTPGRFNNFFSPQLTSPASKASSPFGLNNSESITS SMNSRRNSENEFQANGFGAYRPRHMSRYSMSNSTFQSSAQSTASNTSSFSNSLGFGAF YTGKHSVDEDENKHREEERMSTPDVKSYLRLTDPDDKFPTLTRRNDDPNVLSANSAAL DLANSRTPVPEAYRPNQRHHSAHQSMPQTAFGWLHSENSSDKAHIPTTSPGLSNGNYH PRHISRLSLEGGFSGYSSSATGNTPSHSRPTSLQISYSTNDVPTMRNGGFPTNITPPG SSSDHHMNGGINSLSHNPHQLNDTPPTPQSDHSDPISSMQSGFQATAAPFSSQIKTPA TMSTNVSGSASPAKNNFPHTGYGYGMQPWVTSPVQTNGMPLANHPPFAQPFPAYPRFL DNPARVNQIRRNGETEVGAFSRFANVPLEQYRDELYGLCKDQHGCRYLQRKLEERIPE NVQMIFLETHMHVVELMTDPFGNYLCQKLLEFSNDEQRTALINNAAPQLVSIALNQHG TRALQKMIEFISTPEQTQTVINALRGKVVELVQDLNGNHVIQKCLNRLSVADAQDPFG NYVVQYILDLAEPHFTEPLCQTFVGKVSMLSKQKFSSNVIEKCPRTAEFQSRRLLIQE MLPAQELERMLRDSFANYVVQTAMDYADPETRVALIEAIRPILPAIRQTPHGRRIAGK IMSIDSQNRTNGTTNGQLTPNGRDENGIYHQGNAFSSPTISQYGPQFTTNISDNLGGN SSMTVMTGDPSISGSTVPTTDSTTTIFCPVPQQGASVLNGINGATQGFSLY UREG_04100 MGSMLPEELAIAVCQQLLARDLQIRQLAPLLCPSIPSPPTIFVH GPQASGKSTVVRAILKEYGAPVEPVFQANTSSKQGRRARKQKGNGELREDKQEGYSTK PRLFYSIIKVAECITARHLLVKIVSNVISAVQNAGSQLIIDGAEEEWFKIVDKVRCEH VSSLPGVLSEILGRTQCQKFILVLDGVDDLREGGQMLLAALSRIGELADAQPFDSQVP SIRVLFVSRFTPRPLFLQVAGVPHIYFPPYSRNEMISILSRLSPPATSLPKDITAKLY PSFLSTLYDSLIGPAAGTVPVFRSASEKLWPRFVAPIVDGESPPGREWDFSRLLVKNR TLFQQQGEHLLLHHVVSEPISSSKNGFSLLAKKNNASQLPELPYLPTLVLTAAFLAAY IPQRLDTVLFSKFTTSKKKRIRRRGRLNLTSQIANHDQGPDDPTQTPSKTSKKAGAQS RVNKSTPASSSSRLFGTRKGVTNFLTPRPFSLERLFAIYHAIDPNPSFSALPIADAVA PEIATLQQLRLLVPASSAAATSGGAIDGGEKWSLNVNITVSSSASVNEEWIVDMARGI GVDIDEYLATD UREG_04101 MKVLNAMILSAMLPSALAGQYSFSKSCSTTNGVTRCCPGNMSMR SSNGVLSGYCCVPVQRSKRDNGSPDNRIAIPPPHARSRVVARDGQGPCSEGEVAVPVN VDDFDKRIDGLMGRKGAGNGQTSAGANKGNPVAFAGALGMLAAAGV UREG_04102 MRVLGSKSIKQFCFDDLADLVLPWSTLLDKRNEEVEMPSDPRFQ IAKYMETFVKRVAQAEGIDGHLRPLISEPAINLHGEEAIYAYPLGSWVYHQKLRQLRL LLQLGFELSIYSPEELPGLYWYLSHICATHLSHLDRIRSCVEAEYQRGTVDTAQENKI ERKRAFDRTFKILQRHSTELGAIDAFALALNALFILILRYDLLPSTYLSSSKRYSSES LRYELRMKPFFSVSLPEPLPFEFFEKEATLSESSDELVLDRALAAVAEARKALEQCLA DGPFLDSGISGTKGEQDKEGTVPLASLKEDWIKDVKDSLRACIATSIAIGTFKKAYTA KPASLSTRRKGKSDDIQPARSPSRRLNLSVEVPQIGSKDRWHDWWIVPKISEILPIRG N UREG_04103 MYRTKGPPRIASEKMEAHDITEEFTKAASALETGQLVKDEFFTL FEAVGALEVRHSFIFSFLIESPLLLRLNYVTSCYKNDDR UREG_04104 MAPKNSKKKSAENSMSSSASRSGAPAKTPKPRPATRTIVRWNDE LDRQLLLSIQSACNSAGIRIPWAQVAEIMGEKITEGAIVQHLAKLRSRMEGDGIPVPP PLRRGGPGTGKRTVSRQSMEMKESPDDDSNKPRAETRVGVAKNRRTERNESSANSNSL ITNPGSDEWGIKRNTADKIAAGQHLPTPKGGNGSSDSADKTTTGNKRKAPSSGTVVLR VTPWRLERALMGRDRVNDDHIHVKEEECSSGDESPAGDGHGADSASPSIQGHAVPMEI DSDPQSLLHIGAQHYQDTPQQRSQDLGGYSSSEHFSANESGYNQISSGASSQSLQMND QMPPLYNLEYLHQASANMYLATSASGALPIAQGTELETPQGGTMNWFDTAFTNNAFGY LDDNFEVGDYISPEYLHQ UREG_04105 MQRALSASSRASVLSSAASARRQLTQFKPSLTCGLNFQQQRYAH KELKFGVEGRASLLKGVDTLARAVTTTLGPKGRNVLIESSYGSPKITKDGVTVAKAIS LQDKFENLGARLLQDVASKTNEVAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRG IQAAVDSVVEYLQANKREITTSEEIAQVATISANGDTHIGKLISNAMERVGKEGVITV KDGKTIEDELEVTEGMRFDRGYVSPYFITDTKTQKVEFEKPLILLSEKKISAVQDIIP ALEASTSLRRPLVIIAEDIEGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDIG ILTNATVFTDELDMKLDKATPDMLGSTGSITITKEDTIILNGEGSKDAIAQRCEQIRS IVADPATSDYEKEKLQERLAKLSGGVAVIKVGGASEVEVGEKKDRVVDALNATRAAVE EGILPGGGTALLKASANGLKDVKPANFDQQLGVSIVKSAIQRPARTIVENAGLEGSVI VGKLTDEFAGDFNRGFDSSKGEYVDMIGSGIVDPLKVVRTALVDASGVASLLGTTEVA IVEAPEEKGPAPGGMGGMGGMGGMGGMGGMY UREG_04106 MSVRALSSLSTKVSIHPDCITAYNELRLGRGKTKFIIFKIADNR REIVVDEASGEPDYEVFREKLENAKDSKGAPAPRYAVYDVEFELEGGEGKRNKIVFIS WVPNETPTFWSMLYATSRQTLKNAINPHTSIHADDKAELEWKYVLAEASGHKATK UREG_04107 MLKQHLDQFVVGQDRSKKVLSVAVYNHYQRVQELQRRAEEEEAY LARQARREAVEGHPLEAEFPGQQRTVSSRSHEEFNDDFTRSGPDHLVDMSPLTLEKSN VLLLGPSGVGKTLMAKTLARVLSVPFSMSDCTPFTQAGYIGEDADVCVQRLLAAANYD VAQAERGIICLDEVDKIATAKVSHGKDVSGEGVQQALLKIIEGTTVQIQAKPERNAPR QGGSSNNFPGSGLGGSSFSSSSPGSPGKSEVYNVRTDNILFIFSGAFVGLQKVIMDRI SRGSIGFGQPVRASANPFSSHDSSHASQNAPIPIRPGSEEEALYKKHLPFFTPSPTPN VSSPDEGPQYFNPLDLLTPPDLQSYGFIPELVGRIPITTALSPLSKSLLLRILTEPRN SLVNQYTALFALSGIELCFTTSALHKIAANAFAVSTGARALRTEMETILGDAMFEAPG SSVKFVLVTEAVADRKEKAVYLARGQGGKFHAMIAAEESEWEEKMKKQKTENEKKDQA NSFEEWRRNSAVGGFS UREG_04108 MKALLLISTLFIGIVCAIPNGPRCRCTPREPCWPSTARWKALNE SIDGNLVAVKPVGYVCHDPSFDQDACANITAMQRDSVWRSGEPGALQHINWEAWPAKQ ESCYIAGDRKIPCGQGRISRYSAVVHTPEHIQHAVRFAARHNLRLVVRNTGHDFLGRS SAPESLQIFTHLMKNVDFTDEFVPEGKRDRRAVGSAVTIGAGVQLAELYEAVGKENKA VVAGFSNTVGAAGGYILGGGHSALGPWKGMAVDNVLEFKVVTARGNHITANDYQNQDL FWALRGGGGGTFGVVTQVTLRTFPDAPLVTAFMNITQLGNANSSYWDGMEKFHAYLPT LSDAGASGYYYMLPNVHLPDFGELAVTSVIFFFANNNIASADKAFAPLLSSLNAIPGL QVDYASEQLPNSASLYQSSLKGSDNTGTVAVLGSRLVSRHFFESRHGPSTLTSVLRKL KYPPGVPVIGHLVAGGQVAKNKDIQVSLNPAWRKTLVHFVISRGWKEDTPLAEQRSIQ SNLTNVEVPMLKRLEPDMGAYVNEADPNEKNFQKSFWGSNYGRLYRLKKKWDPRSLFI VRAGVGSEHWDKEGVCRVRGRD UREG_04109 MFKSGVARTLGRASFARPASTILSSTRYAAGNNALRALSARWAS TETGNVGKIHQVIGAVVDVKFDSETLPPILNALETTNGGQKLVLEVAQHLGESVVRTI AMDGTEGLVRGAKAIDTGAPIKIPVGPGTLGRIMNVTGDPIDERGPIKGVKMAPIHAE APEFVEQSTTAEVLVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAH GGYSVFTGVGERTREGNDLYHEMQETRVIQLDGESKVALVFGQMNEPPGARARVALTG LTIAEYFRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGAMQE RITTTTKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLD SKSRMLDPRIVGDDHYQTASRVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKL QRFLSQPFTVAHVFTGIEGKLVDLKDTIRSFKAIINGEGDDLPEAAFYMVGDFESARA KGEKILAELEKSS UREG_04110 MTTPEGEQIDRIPAWIKRVTQDLKVSPVYDAVFWNPPKNEQYHF KHSRPIKPESLRIYEAHVGISSPETTVASYKNFTEKMLPRIKYLGYNAIQLMAIMEHA YYASFGYQVNNFFAASSRYGSPEDLKELIDTAHSLGLVVLLDVVHSHASKNVLDGLNM FDGSDHLYFHSGPKGNHELWDSRLFNYGNHEVLRFLLSNLRFWMEEYQFDGFRFDGVT SMLYTHHGIGTGFSGGYHEYFGPFVDDGGLTYLMLANEMLHQLYPNCITVAEDVSGMP ALCLPLALGGVGFDYRLAMAVPDMYIKLLKERSDEEWNMGHLAFTLTNRRHGEKTIAY AESHDQALVGDKSLMMWLCDKEMYTNMSILSEFTPIIERGMSLHKMIRLITHGLGGEG YLNFEGNEFGHPEWLDFPRAGNQNSFWYARRQLNLTEDGLLRYKFLNEFDRKMQLTEE KYGWLHSPQAYVSLKHEEDKVIVFERAGLLWVFNFNPTKSFADYRVGIDKPGTYRIVL DTDDSEFGGFNRNAKETRFFTAGEEWNGRSNYIQVYIPSRTALVSLPVTYSFLGLI UREG_04111 MLFRAAFRPIAASTRAAGSIRASPTCAYSRVSQTVQSAAVTQQQ TRAASTAEHAIANPTLAGIEKRWEAMPPQEQAELWMQLRDRMKVDWHEMTMQEKKAAY WIAFGPHGPRAEAPKGEGMKVFIQVTKYLLISFGVFYAIRMFGGPAPKTMTKEWQEAT NEYARKEKLEPITGIASEGYQGKGFIQSPSASKQ UREG_04112 MNNNSFHYFPRLPLELRRLIWKLCLPHRIAEEDTPDFLLDGNES RQACYGDRITHQNAQPPTIAFVNRESREVALENGHRLELDDTTSLAAIWVQPRRDVLH LNWTRLRYIVWGNADDPASPIAMYLWRAEDLGMQPSVVAEIIRPFSLKALFDHPDGAD ACDNPWFLYHRSRNQEVSDIAYCAESPSFSRLDVTMSAVSLHITRKTALRSGLFGLLG DAPVQMVDVGDEARLKEFQALYREYALEKEPAVQTLFETFTSPRFQAAVQAWKSQAEL LILAYMWRLARRDNLDILGTNPGSAWLPQLPEQAFLGMPEYLPDAEYSPDERHPWVKQ ARESAPKLRPRIMVRYCTNECYIKERLPSNFGTF UREG_04113 MGAALTSPVFKDYGEFFMNSSNILTVPYQNVTAAFRVPVAINST AINGFDWTQPYPGSRIDGHTANLQVAQEMALSASLVENATTVLSSLTFGIPDSMTSRG HPLAMDPSWYICRHVFISTKPDAKQAVDGGSKCGFLSQSCRADLKTSLTQDWGQAADG TMCSALGFDAIPRSCQASFGLARQDVMAFDAAFVANTTLGPAQTSKEQQLYSWRIGTG YHDPGDARAYALAANRTYLVATVWGYSQSAKSIKVPEVSFGCLSSGASYVPPPPAPSS SSPTSTAVSTAASTTASTFTSVPSPTAIRNKAAFGDDMSKAKVNIRDGIYTSGMTVMR AFNKGAIFGNIQISTLAFRDDFSSDTMGKWTIIDGKYQVSSNAVVLSASPIAKALTTG LISKDLVYEADIFIDSASGNGDGGFIFRVSNAKAGPDSYNGYYAGIGNGLVVLGRADS NWNRLKTVQAADIKAGQKHHLMIRARGDSISVYVDDLNTPRMVVKDGKYATGLSGIRA YMTTLSMQRVQDEDKQLDPSFIWILQLLYTSQSTVLAGRLLTHSGPSSFLWLISNIML PLLFMTVFLAAGVTANKAAAKYQALFFYQLYRLEVDAHGLANSRMAPGCVKAGGVCDL EAFIKEVCTVKKKPKRDASGNIIRLPNGKPELLMEPDLAKIVWAHVGEGADLDVFSTE FDKSGFSGNFDNTKIFKGWNQKDTFETVMTEAADIGTKAIAKLKAEGREPADDRVNKM IAALKTHGDARRYDQARMITTAFQNEMNKRRFTAALTAPIERPPVPGYRKIDAVQTIS DNAGRAGFQKIESDVRDYVTNFNSAGLSKSHVDAIVKTQDVHNHFAQVCQT UREG_04114 MRTISVIYGPLLAPKARSISHIFPHSLPAPAPGAGMWPSFVLLA SLPGLASAVKDSYNGQYADNCPSLCADAGPNPANWTNIHHLRDLKRSDHTVLCDFNLQ NSVADPNTILTIGACVTSQGQTYEAAASPDVRQQQSQQNLVVAKSCGAKAVKTAFIPQ VGSSTSSKEPGAALQPAHVAEATRQLALFVDRSAECGATILFAKHKSAVVGLYSGAQV TKHAARCFLDAFAEKQASSLQICQPAGAALTVGVVSAGFVDLPEVQDAVKSWNNGLCL DGTIPTATLSMDVLVSTVDKSFNTIANVSTISHGNITTREEPRALSPRGECRTVEVHG GDSCASLASRCGIPGNDLAKYNPQKNLCSTLKPKQHVCCNAGTLPDFRPQPQPDGTCN TYKVNSGDGCFDVAEAHYLQVQDIENFNKKTWGWAGCAHLQSGQLICLSKGMPPMPAP IQGVTCGPQVPGTKKPSDGTALADLNPCPLNACCDVWGFCGTTPEFCTESPADTGAPG TAKPGTNGCISNCGIDIVNNDKAPDQLKTIGYFEAFGRKRVCLRMSVTEIPANKYSHI HFAFATITSSFDVDISDVQDQFRKFVKMSGFKKILSFGGWTFSTEPGTFQRFRDATKQ EHRATFVNNLVNFMSGQNLDGLDFDWEYPGAPDIPDITPGSPEEGNNYLAFLQLLRSK LPSGKSISIALPASYWYLKQYPVKSIAKYVDYFIYMTYDLHGQWDVDNKWAIPGCETG NCLRSHINKTETHDAMAMVTKAGVEARQLVIGVTSYGRSFRMNDPSCSGPFCTFAGDK NHSMAYFGPCTETRGYIANAELNDIIRVHGSYSIVKSYIDKDSDSNILVYGRPGEVDW VAYMDGDTKANRIKWIKGLNFGGSTDWAIDLENYSNNEDGDDSDDGDGSDDQAGDDDL TCPPDKNPGTLERLADKAGSLYSGCVNLFAMDILYSQLVDNLSLFQSNSEGYDDKFGW YAKWTKEQIQPRIDGFMELGDGKGLKYFDCYWAYPGDDETKDSCVDMPRIWEADRGWS IRYDLVDKKGFFDALAAEVGIDESWVRFGDQSSTYTCEPQNPGGIPTRPGGGNLPCHK LFHTKLNYPQKAADDDIHVGNPKKLIEASMGNITILRDSLLASYLSVALSFYDDGPND TSPTDAVVAYSMPILQLTEAISSMKDIKEIGEKAKEQAKKDLIFKILTVIFMVIPFVG SALGPLIGSTAAIARIALLIGEGGSVALTVAEIIQVPASAPFAILGLIAGVGGGTSKL TKAEAMVEASKARSLLKSSDLAKFPKRFRERDALVQKVVKSLCARK UREG_04115 MGKSFAIRQLAMKRGQYVVYLNLSPPDTLGYPRRSNVAEQIASL ETGHMDPCILFWECLIATSVWEVEACKHAGITSAGYYNLQVLDDFSDYGREYANLVSI LLETCRKEKAKHPGRPFVWSVFLRSSLKGYLDARIDKLKSWRNRLGDNNPPTSPSTAA GPQTLLCIDEARALLDHSQSVRFRSFERACANLFSRPRSGLFPQSTDRPGEKPQLYSV LGSFFSLVLDTSSKLNNLSPAARYDPSQKETQFVGDLFPPIYAIRTWDSLSSDVTSQP KIDGSEESLRTLVRYGRPTWAAFLDNGADLSTVLILAAEKISATRNQELTTAVSLALF SYRIDFYVFDMHLAENMTANLLRPIFYIPDFREFMRTMHPSEPILAYTAATMMADPAT RLSVVNAFLEACLQGSIHVGDIGEVVASLVLLFSMDSLQLMSFPCSVTTQEFFRSFLG SEISQQIGERAADVESMRVVWEKGNVFFNHFFRSLEIPSQRTIKAAYRRGAALFLPER FPGADILIPIMLPDGEMSFVLIQVKNRQHDRMNDTTSCAAVFSIEKAIKALGMTNNHF GLVMCLREEKDAKQEEAKCKILVPKLVPRRETRQARENSSVAQQYNWPKSRKNITLLA VGLDKELYPSVTSNPGTPSKDSEAVLDTLCTLLNFSPETSLPEDADAEYVKQLMELD UREG_04116 MADYLRKNDLAALWYHPSDEVSTVLLAYGSSSTSWGFFDQGVDF PSSSGLRIVSRSYLAPISTVRQPLSIPEPLQTVDDPIIIDELVPSIPDEPPSSLPKDL SNLDIVTLFRERWRITFKELSIVNGPKKDSFARAFYLYFPSEAEDEFQLVLMFLRKYT NAIFSNRLKGDWERFAETVDSGTVLVSYIALHYKCLLMFWQFHQSYAFYEFMPGLHKL LRKHINIFNISLDRPVKHVDYEIHLQRLFPHGAVILITEDYIIHETESALNVIKWFRA YSEKRFPGTWKLFLRPNVLGWLSEIFDKWVDDKMYQIYCILEHMILNYAGDDSTPISF DGESDDEGQPQPLVSPSFIPDYGSRQEDEHEDIPKGLLQEERNTDHLVEYFAGWAICN CDRYRRFVTLSHYKPQPRWKKWQHIENMNTQEFLRIFVNNDLTRRSSKHHPSPSSAHR KLQPTPPRPPPA UREG_04117 MEHDKNLSDDDNISLTSTVPSEPQEEYEVECILAQRNFHGEEKY LVKWTGYPIERCTWESEEMFLNPQTLEDWARKQEAIIRGEQPSFDIEALEQRCFSSKA AAERRREKRREKRKRLGHPS UREG_04118 MANDDFYLRVDLSPRSVERIPTRSLPANSTEIVLIGDSGVGKSN LLSRFTRNEFNLDSKSTIGVEFATRSIQVDSKTIKSQIWDTAGQERYRAITSAYYRGA VGALLVYDISKHQTYENVTRWLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQF AKIFRIVSSKALDSGDSAQNPLSDRKVVEITKTQDPESKQGCC UREG_04119 MLFEFMATELVLHVFYSCDSIADVLNLSQTCRRFHGIFSASQKI PLLANAAEAEYGPLHEAIQLVTQNASQPAQSIRQAPMSVNLLEQIVQVGRVAKRWEEI YPIKKWKFDYENRRLLTDTERFYLRRAIYRLWLYSRAFHNPSFPRTSRSVPFIVRERS QLLHNWSTSELAEIEDVRGIIRAVVQNHICPSNGTIQRKFHQRYPDTARSLLFNIHLS YPPPISTQVLGNNHTFDTLRPNGNANNSNEMFRHHTTPPNKYATKFRSDLYHEPGLEG WGDEIPHYYVVEDMLKLDPSQILWLRENASSKEQVEKYIRSMGEWFENNGETFAQTLE WVINERGDDIVLFKEAIGGGKFGIARS UREG_04120 MASVYKSIAKRRKVNSEENELDGDVHMEDRLSDESSDSDLEESD EEHSINGETMTKPNDSLSNSSGFMPKTRILMLTSRGVSHSALLPHTHKESKLDTKKKT AGYNFLLNSLADLHSCNVIFFLEAKKHGQDLYLWLSRPPNGPTIKFSVTNLHTMGELG TGFAGNCLKGGRGIVVFDPSFDDKEIMKTGNEWRGLVKEMLRGVFSVPKRGVRGMKPF IDRVIGIYWVDGKIWIRVFEIRETDGKVGKDGEEEEVADKKKSKRGKDGLPHLSLVEI GPRFVLTPIVILEGSFGGPVIYENREYVSPNQVRREIRLKKAARYVSRRAGQMDRTVK NAALGLGTEGEPNRKKDELDSHVLFA UREG_04121 MSTQSSRVRSPADVGGPSAPPPPLPEGWLAQWEGTLQRWYFVQP ATGKSQWEVPTEPFIPTPSSTPHSVASPGPYHAPRAASLAPSETEAASREFQELRSGK FSGTSGFSNPSFGNMQPSPYQQVSTPGSQRTPTLSGTPTQSDQMHSSRPPSQGILGQV ASDLASRANSDDMVDVQKSVCIPYSSLVIVKGGLND UREG_04122 MLMILSALKAMGPGHAISVIDWDGSVSTDNHDPLAIAVEGPRQH HDLTIDGFWGLSHSYDPAIEEQDMQLLPIGTADSNPLAMDQAAHTSAGPAFSILNGDF CSWDGSSSPSLFFGENSIESAFALPLNDGGNVLELDCMLEASNSSEKTRSRQHELGQK VGGFPGALDGIFENRPNTPFRPFQC UREG_04123 MAPGTRSMSVARQRPAQRPVRSTRSAATSYKEWSDSDSDFEKAN RAMTHVEYVSERKWEACRSARSPPTDNPLHAPEHSPGPAKKPKLDVDEASLPLDSGEA KPPWATLPYHILFKIFLYLSPFMNGQPVVDTSRSVKCLLGLSRLSRAFFDPAVSALYF SPPVLPACKLKGLLELLKQDQDSLCINYRTKIRHLVMDTSAKPQMVHALLQLTPQLKY LRFFHAGGYRQNSSRTIHSPHWLFCDMPQPEALLNLRLHSWEWNGGICLPSIKSVHSH PAFSSLQSVRFYHLYHCDGKRSGPPGDLNYQDPATQAHELASALALLPNLKRLDFTQC YFTCDLLPLLPMDLNSLSIVGCYDMNSSVIRKYLSSHGRHLHELVLAQNSRLDMSFAP HLGEFCPRLKVFIMDFNTATRRHSRQESETSSENLLSDSGLPTWPSTLQWLELQRLGK WQIPAAERLFNSIIDSAPHLRDLRTLIITAIIDVDWRDRARFRKHWMKKLERTFLRNA PPPSRQSARDKRSAFARAAPPLSESDTSATGASSNQKQTPQQGPKRRSNRIAAAEAVH IKPVVNRTSNGSPASVTSDSSPGETSENHLHGMCDVVKIRIDNLRPATVLLDAENISD DESGDSDWNGVDLDFDNGYAW UREG_04124 MDQQHPENPSDLIRNTTPSAPQSQGSFRNAMSPPLYRRSTPGSL QASPRLPRSELLRRSQSAASMSPPVSAAVRNGELLPSIESRTPPSRDITDSTIDDAYI DFIFYCNPGVPTTTNTSELRRMFRSPPRSDGKCFSIYILWQLIQKFDRKELKTWIQLA MELGVEPPSLEKKQSTQKVQQYARWMRAMHVDAFFDYCLGNKHPYYSNIGAMQEQDGD ARDGVPREDDLVLRALFPEWKPRKGRKRALLEQKTPKRPRLDTQNIVGGNGDVSYTPW SAVPDDFEQHDNWATNSVFSTNSQTEHQRHAVPPGASSRWDFPGAQRTSPFRYPQSAV TPRSAPQDAFFDREPRSAITPDVANKLHYKRRRDQPDFPPWAPPRGAPLDQERNHQAF QSAKATDIGGTFNAPMPAEYESSQPVDSNLPSLDRVGGDVASNAAQDPASSIPTPNTG TLRPVKLQLQVPQGSPKPPVRLATPQLLVNGEYRRPSVENQVIREPTHPYDHDQQDSY PGHPEHFISPQDLDTLSLDRVAAMFSLEVQRAQNSGGFGILSEKGSSIITDAILKQID SQCATNQPPNNFAVYCAIYLGLGPRLGLGGKRLGPLRINIGHLESNALFTMT UREG_04125 MSRISADDQLNFLLSCVKNSNNGKVNFAAVAEECEIVTKGAAAK RYERLMKANGINPNGGGPATPADGEPSPPETPKKPRKAPASKAGTPKEPKSAASTPRK RKGGKAAGAAADNSPTKKYKSAGKIKAEDSDDGAAANNDAASGETQTGNAGPENDPFL VTAVGSDKNVDIKAENVEEDIELEV UREG_04126 MAETTPEVSSSRVTDETFMMECFRHIQNPVVVDVSGVAKALNYT NPVSVSNRLSRLKKKFNLRVSSTTGPVPVGDEADISAAVAGATPKSTPRKGARVAKGP IKAKAPTDGEEPNDGSPVKPARKAGGGRKRKTAATPAPVEEQTENGEATENGASHIKD EGAIVKPEASDA UREG_04127 MATLKRSKTLPADGQTTKFLYTILKQLDLKSIDWNLVASQLDIT NGHAARMRFSRFRQHMEGISTTPRTPRPKKPKATKAKPKKQSFSDLKAQPEPPKIKPE PAIKVEPSLMSQIDPSLLAFPRVPGPMQFHPFSTVAPADLTRPYPPSEIPIGYPRPPI GQNWPHIKTEPCDQEVVMTDAFVKTEPDN UREG_04128 MPGPWDATTDLRLLMNVIDQAGAKANWDNVAAAMGGGFTAEACR QHFAKLKKSTLDSSPNTNGATENGAAVTPSPRKRKPREPKAAGETPTKRPRKNAKKAA ADDASVDAAKDAEGTA UREG_04129 MPITWNAQADARLLVAIMRTAPKIDLKAVAEYMGDDVTEYALQH RFRKLKKLADGDGGEAGSAPTTPSKSPKTPVKSRSAVSKSTPSTSARKRKAVVKPDMD EDESVVKEEPANKKIKAENADDDAAFI UREG_04130 MPIKWTSENDQILLLKILETHEMTVDTRKVAEAWPQGDPNAIPT PRAITERLHKIKKTAKESIKGIAGGTPKKATLVKNKTPNSAGPRKRSANASGTPVKRI KKEPDLDIQSSPLAGHGHAGNGEGNELVKMEDSDATVGTSLGGIDYACPSKRVRIAPR MRVGFVAYDDDEDTDRDAKYETEGSEYLDCVKPDEYA UREG_04131 MAVDIYLASLAAWVVANAGIAYKRWDRTDSPHDASSKAGTNLKY TYFIVYVLVVASDWLQGPYLYPLYKQTLQLPENIVAALFSTGFVSGAISATFVGSLAD RYGRRRACLAFCVIYGLSCLLTVTSSSIYLLFLGRLLGGIGTTLLFTVFETWLVAEFH RLELGKDGVELNDLLGTMTILNSIVAVASGLLSEFLVGWTGSKRSPFLASIVCLSLAF AAIIRIWSENYGDEKAVKRDSAIAHLLDGLSALVKDSRVLTLGIASSVFEGTMYLFVV FWSPAMISAHAEANKSDSQNPPFGLIFASFMAAMMFGSQTFAHIMRPSSSPPPLELED SAPIPLLRSTFLLKILLPVASICLSWSVLRPTVLSTLWAFCLYEMAVGAYFPSMGVLK SFLIDDSNRASVYALFRVPLNCFVVIGLALTREGEGYRNNVFMSCSAFLLVAMGFANF FLKH UREG_04132 MNKAFGELGFHPRSIQETFRQQAQAETHRVVQLDPTPCIASWPS EAPGQWLQPDGRRAEGFRSMEYFNPDCRFEPGSNDDLDLSSEEPLCIPLFLWT UREG_04133 MTRYEANKKRPCVIYSFGVNDDSAFENSVLSRTNCEIWGYDYSV NSWAVSLDHSFLPRAHFTKAGISNITNTDRSPPFYSVKDLMKLNGHDYIDIMKMDIEG SEFDALGAFLSDFQDGDLPVGQLLVEAHMMETKPTTTFGLPKSLAEWVEFWESLEARG LREVSVEPNLLGNTAYGSPVFAELTLINTADKKSLLLT UREG_04134 MGITWDKAAIERIIAALLASHPGFTPDYRAMAVYFGQGATYDSI QYRFREYRKMAEAMTEGSPTSSRRGPNTPRTPRTTTSTTTTTPRTNTRGGVAKPAAKA TPKTPSKHATPSKMRGGASAGDSIILDDDDDLFVKAEPSTLSRNPGLKIEQGSQHGFG IFSIKQEGESTETTTTIARAQESRQARAETVVDSQHGSTNIDDLFEDFEEVV UREG_04135 MSSDIQNSIPPILSSMVQLGPAIFLKDFPQAPQKHDKPLTIVLL FWMNAPLRPAAKYISEYGQLAPDARIIAIFTSASDFFIRNSDGAQRRRITPVLDALLS TAKDGDGGSLYVHAFSNGGCTTLRYLAVAYRDATGKPLPANAMLIDSAPGITSMSRAV KALSYSFPRFFLWRVLLSTAVWGWLLVLATLGWLSRRKHPSYFLREGLNDQSLIRGKV ERCYVYSKEDDLIYWKDVEEHAGQARARGWNVSREVFEDSPHVGHMRTDPQRYWKIVA RLLKIAMA UREG_04136 MAVLETKPENPEKAISVLETDLEVDFAPPVGYEEPKRPSGTSTP SSLASAGLPHGGMLHPHGTMAQSINYTAIAPESTDPARGAKAVSSNFLHGGQRLNAKK GSKQPTPKASTPVSGASTNLPTPGPTRRANGPQPLRLPPGKLFFGYAIKPVKKSDVGG KEDGKEQTKFLGAGQSLRGTKKK UREG_04137 MYFEKHRDQAASLRDSIDSIHRTDEGVAFVVIAALKLRKHGGVN VGMISNTLARPKRAAEDYARHHHAEQDPDGPSSSKKLRFDLRNPSTLAPDTNEEDVVL DADEIGRRGQRIKRNAVNIDGYDSDSENENFNARAEARGGSSKAPNDLDEDDMFAEVE EETGLPDDDIGKTRKQVRFLDDNEIEGQVNESRSGGKVILDDMSSNKKGKQKETEAEE SDSDTDDEGRADISGVDKELGAGGKKTHAPRLDAFNMRSEQEEGRFDESGNYIRKAVD PDAVHDSWLEGVSKKDMKRARAAAERREEERRQKSIADASVLTSDVLEVLITNLQRGE TILEALARLGKGQKHKPRWQNKNKNRHKKTNGSTEDVEMTEEDPAEVTRKQTIEKITG AADILLSRGQTDIYDAERELLTRQYQRETGEAWVDPAHLGSAEPDLDNNTMWEFRWSD ARDGGVIHGPYEKAMMESWSSAGYFGGTGAEFRRVGSTSDWSGVAPFL UREG_04138 MAAPISTIAESRELRGLNLVAAHSHIRGLGVDPDTLQPRSSSQG LVGQEKARKAAAVILQMVRDGKIAGRAVLIAGPPKHWKDRDCNGNGTTEALTQAFRKS IGVRIKEESEIIEGEVVEIQIDRSVTGGNKQGKLTIKTTDMETIYDMGTKMIDSMTKE RVMAGDVISIDKSSGKITKLGRSFARSRDYDAMGADTKFVQCPEGELQVRKEILHTVS LHEIDVINSRTQGFLALFSGDTGEIRSEVRDQINTKVAEWKEEGKAEIIPGVLFIDEV HMLDIECFSYINRALEAELAPIVIMASNRGNTRIRGTTYRSPHGLPLDFLDRVVIVST QPYTREEIQQILAIRAQEEEIDLTPDALALLTKIGQESGLRYASNIITTSTLLSQKRK SKEVGMEDVQRSYRLFYDPIRSVGFVNDFEKRFIGNEGQVNFAHTNGDIMDTS UREG_04139 MEPQRSINLAENGVRHSSQAKDPRLRFREIPSQPSQDPAQFFHN YATDLADFVEQTGKRERLVRSMKRKDALLSRATDRQFPYPSYLSRGRAVQAEQVEQLS KIDQQLDLHKKKQAEFSEMFHRMMAKDDSYQQLKRDVELSKANANVALHQAGKLGEKL NNVDIDSLVASMDIAVKELKRLESVKQRFNDIEQKFSHVSPDDILAMKKELPQLKKMR SQLQSLHDKNSYTGAQSWKQLSADLAALKSQVNSLKDEVALTSTTGLQSAQSSVNSLS KRLEEIEESSTAQYQLLESRVAEVESRKGPKLSSIQSDMESLFSQLEKLRELQEQRDK EIDMEFQKLSLKLNKTIERLNDEHATAKKHTGEQSNRQDMIFAAHETRLAAIEGRNNA EVVSDNEKIMDILKYQSERLHGHDVAITSLENRYNRLSSEPIVRQMVASMQGMYPYAS TAQKEIEQLKQDFKSHKDAVATISSDITKPFIRYPKNP UREG_04140 MADPTLHLPRLLCLHGAGSNAAVFRSQCRSLIPLLQSHFRLCFA DGPFICEPGPGIALVYGELAPFRRWLRWRPGFPPLTKLDDGSQLSDEDSYEAVEKSIL GAMQADDDKGATGEWVGLLGFSQGAKLSASLLFRQQVRAERLGRDHAGSNYRFAVLMN GPAPVVGFEKLETEDQKLVLRIPTLHVHALRDPDLPYHQMLKTQYCDEGTATVVEWNG DHRVPLAAKDIAPVADRILGLAKQTGALQN UREG_04141 MVPTEISSRDSTSSSSPEECRSDWEVGGAELPVQRPRTRRPHNK TRSGCVCDEKKPECQRCQLHGVACLYNSAEELFGEDRSLKRLLQGQRPTKHNFSLSLT SNESSIDHVLQSAGDVSSVSRENLEAVRRFEVFTSHTVGAPIARYVARTYCIPLAYKT PHLMHAMIACSITHLQHVVPSYRPRAPAEYHWNRAISLFTEQLNGPIDSSNIDAIIST CMLLAIHSFTSSNDTSSGATWVFSPPDTAANWLYVAGGLHAVLGKSGAHGQRTIWDPV FEHSENYFNNSTFDIQDIPPAFRELCDIDKSTDSSNNPYYTPLRTLFILMRLEPSGAN FAKLVIFVGQMLSGYRDLVQGRDHRALLILAYWFGLMCGVDQWWIQDRVRSECRAICK YLERSAADPRIIQLLDFPALACGYVLNTPFT UREG_04142 MSQSHPTNDDDTDALLDALENEDPNPTYTSQRIAQLKAELSSPN TTQSPSTNVVTTLLNNSPLPTLPTDQAVLDFTTQLTHCVVHFFHPDFSRCGTMDKHLT LLSTAHSASSGARFARADVRSVPFIVEKLKIRVLPCVIGFVDGEVREKVVGFEGLGPG GLDALGDDFETGVLEERLVQGGEVEGDESDEDEDREDARHDKKKTIRSAKRRGDDEDS DWD UREG_04143 MTSNDRHASHDSPYRTGEHVPLSQSRHAPLTSVATSAFESRPDL SSSFEEDSSKRSQRWSESQDHVISPPRPYSPGMRSKQRTSNEQDEGVQMQSFHDGAPP PPPVSHSWKKIDKWTERNYEELFDQLCEGCTQNDVNELEHELDCSLPLEVRESLMVHD GQERGGMPTGVLFGCMLLDCEEIVQEWRNWRKVNEEFLSTSTLSGPQPPLKAYGGASS SSSSPAPTQSNPLWRQELLDRQDSQPPRAIQKAYAHPSWIPLARDWGGNNIAIDLAPG PAGKWGQVILFGRDYDCKYVIARSWAAFLAMVADDFHSGKVIVDEDSNELRLKPFRNA DPPYLEILRWRTDQKHGRKPPRKRPGPGGLGVNTAVNGKTTRDSPYGSPTPSTEERGR SPHRFPSRGPNGSPKGGLALSSPLARVAEEASSPIKDNESNDADKGKSKDADLVDLTS PAVSVKAEPTAVTDTKRDSDIDQTTSKRTSKADKPKSGISTPRSSIGLGVKELEGMKN VTI UREG_04144 MGPSKVAIPGSTTPLPEEKRLETPQESEEISGTVFPLELDGSEN RTRTVDGKIRPIGPSFATSYKERMNALRSTSSSPSPGSLAALDDGERKAKADALKSLL LNPTQHRVTSGSPGHLNVSSTFSSRSNSATPSKGSTIRPPSLPTPASSERSAFNINPA KDNRSNSIPYQYLTSLCNGASFPSPSPSTRKDISPATRSNQYPQTTHINSARACSAQS AFHGNYVSPTPNRTASPLSTGIKPAPGVSSKLDSSETKRMEDELRRILKLTPSANTHG VNGVEQTIA UREG_04145 MTDAPVAPAKSKPTTKSSKSGTAEGSSDKKRFEVKKWNAVALWA WDIVVDNCAICRNHIMDLCIECQANQGSSTAEECTVAWGICNHAFHFHCISRWLRTRQ VCPLDNRDWEFQKYGR UREG_04146 MDEILGPLQDIFEGQIDFHGQWLAEILTNVLLVVFGAIGFIAGY IYQDVFVTVLIGAVGVLVTIFVVVPPWPIYNKHPEPWLVPGLGGVRGGGIVVGDAKVR UREG_04147 MEHNIKVETGVEVPPSAPGKELEGTSQGNAFDKPPEHWIFEELE QFGIIDVENPTTPDLQGPIHPIFHHERWPELKENTKRYDVFRPSFILATKLLKVAGPF LASIIPTLRLDAKREYVVLEKSATDNQLQKCFKHLEIIAQHMEWKEDAVMWPSLGRQG LTVPQDAGIKPDEPVRDEEDVECWEESTKRDQVQGLPCRKMTVYLATQYGNALEDFKG KEQPTMRYSQAVFMCAVTLVHEIAHVAYAARFSHRPWRGEPFIKGEALPELGVSLIAF LFKGWLPENISMDATGKDDYSFKYGCCWYKQRCHPRRYPRYTTVHSIPMSHIQNILSQ SAWDKFDEGNISSYSIRVRSLLLSPCLPFQAGRTARIARKVQRFRVDRSPALGPYSNI WDYHDPDWENLELRVKKEEPACSPLMLPVKLEAQSRSIKPEAVGEMPDDGPSPDNRQT SASKWSATSSPVNLWLIPAASEPPSPSPIEEMQPNLARNGQGDCVKCESANGGEIGDI CDIGTGHKELVTTNQRPTVSSSTSSYWENLTDATPNLTGVISATSSKSRARRSQCPSP PRHRDSASRGRHRHHIYNQASVEDSHWQRGGGNDSGITQHYMQRFATMPPVAARVLIR QPADTAVQRRSRRLQGLEPEMPEGLQEPKRRRVVRH UREG_04148 MSESPRSDKCQTRYSTPVPELDDHRFQPESLPRADTIESTTNRP IIPQVVTSQPDEPPTLNHVQEALLDGSLKVRDFEHAIVDDDDQSIQEFERIGGRRMSV SPAAVRSHRRNRTNQDTASIASSRSSSPPNSVDAFAEPRRRERANTLESHCPADLEAL LHRTVSAGTHHRRPTFSNESVIRADSVDPRVNMGEGGDVCFPAHEESRKSSLIDFEEL EEFVALSKRATPPTNGTSRRKHSMSSQSKHPRIFHDLRPQAHKTDDSNIAVQSGSPLE SSSEFVDKADAVARELGGAPVDVVDEKRLFEVLQNENDPTRFSFFSSEFESTIHAAEL GDLVLPGDSFRDLFELGPEGGVWWLDVINPNKEELGAICRAFSIHPLTAEDIITQEAR EKVELFKLYYFVCFRTFYQIDKSSEHYLEPVNVYMVVFREGVLSFSFTDNPHAANVRK RIGKLRDDDIVDSFGPVIRDVERESEAIEDHVYVARVEDFEAFLPQIGGLRKKVMSLM RLLGGKADVIRGFSKRCNEQYSVTPRGDIGLYLGDIQDHVVTMMSNLGHFEKMLSRSH SNYLAQLNVTNIMLGNDVNRILSKITFLGSILVPLNLICGLFGMNVPVPGGGGGSLTW FFGILGVIGAIMITSVVLARRFRLL UREG_04149 MPRAEVGSTKYIANRLKSKGLQRLRWYCQVCQRQMRDENGFKCH TQSESHVRQMLLVGEDPKKYIQGYSNDFQKDFIQLLKTSHGEKQVQINHFYQEYIANK EHIHMNATKWSSLTEFAKYLGREGICRVEEGEKGIFISWIDNSPEALRRQDAIRKRDR QDRGDEAREKKLIENQIKRAQKDKGAAGAEDESMSEAAKELQRIEGEKIKLNFSSKAA TQPRSRSPFASEKSDQSKIDSGSDARKLETPSSESTPAPTPAPEPPTQAQKPAIKLGF GTSSSKPKNVFSSMSKKGAPSKKAEPPRKPLSAIERVMKEDMERKRAREMNAFNGSSM KRPKIS UREG_04150 MPCPKLTPLQARFAACLAATLFLLFLYLVPLNIRFAYAIDVDSI IREDHNHPILLDLDIPLGLLGPKPVNVVSEDNVTLAKRAPDGTDALANNEPRLKNIDM GETQHWVIPKEVVTGPKSPSTPGLPAFVRSNDEATQSDRSSFQEDMKPDGDLSRRATV VYVTVNTCIQPSLNTTQRSDDSSPPQLRLFYSQDSSVKEPGPNTPNVRSVDLDDGFAS VEIEAEGDVYIGIFAPTHQRYGGIYNYEVAASVDAPFHSLEPDTPFLYFVDSDNKAAL LQTNATTEAKQGAQSYTDWMNLNPPPFTMFAHNMNHSAILGIQKSYCGLSKNAQIGRM GSNIKAKMIDRGLKPKEQFYVTGLNQSSRYYGILAMSGNSTASGNGVVGGGGKVWKTM NFTTKSDDNCAIVYDLEFCREVAYAVPSNNALNISELKTIYDNYAASVYENFTFSLAQ IPCNTSSTSMYSLARGCKDCEKAYKSWLCAVTIPRCQDFSSTLPFLLPRNTGQAFLNG TILPEDNELRQNVLTNSSRNPLIDSKIKPGPYKEVLPCREVCYDLVQSCPSALGFVCP TKQWLNNSYGMMSENGDVTCSYLGAAYFMKGAASRIGSMGASMGLVLSFWALVWGLYA UREG_04151 MASRHDLELHSIANGDIKEDPSSRLVGEIIQKTAMASSPTSNAL VKRSSDTDLMPPPPLKRIKRPPKVLDEDDYTDALSHIIARDFFPGLLETQTQQDYLDA LDSKDKQWIAAAGRRLSEVMTPGRQGRRGVSLSTPMRTQRPEDTPRQWGGDTPISVSS AVSSSQTTMTESTMKIDAANMSLGAFQAKYTSEDNESFNKLLDRQNEKRAEKYAWMWS GNKIPTARQIAYRRKESERLEGQKRIEGSRELIKTDLDARPARPDAWKPKAENPLMFT PSSVEDTHETVQQKAEAASRAGPKRVLYHNTRVEPISPASNDTLNAPPPSPSISAIRD AIAGRPRPTDSEPGFTGGETPRVNGYAFVDEDEPEPQPASVEPTSDDEDPHLRFLAAS ASSTPNPFKLQETRRREALHHRMVERVARTKRVEKTASITKTPVPRFPSSPMVGFGGR TPGGGAGTSKAGLTPAGQRLWASVGNSTPRRAELGGAGGTLLKNMWTPTPRRSK UREG_04152 MSFLNLDSTSTSPRPNTASSQSLLASSFYNDRICRQTPSSVSSP STLPDISAGIRLRSRTHSPKRFSVFRNRSRSNTATSTSSSYQSPASSMTSIDASSRRS SQDGRSLSSFFSPAEKESLTKSLLSRGSRILKRQGSKASLVSLVLEQEEEMVKVRSRE RSRDILGSKEKEKQNGPEEFVRKNISEPFNFQHVTHTSQSQLPPIEYTHPHDLATEFS IIRASQRPGSQLKGIRAESLFYRNCSSDDLSTTNLSTLAPDSQSLYTRSPPHSPIRTM TPSSPRLLNHSRASRSVENFSRPVSRTNKPTSAPCIIPPPRVSSRSACSRPADPTSLT IDALLGIDSPSTGQEQYPSHFEATRQFPDEIVHAYTTDDYSPKIPGPSPVDHVSGLAN IPEENESWRNSRTQVTGRADSPAKLHHQPLYLLTVDSVPGADDAHSPIIASPESNHKQ LRATNTLRPNQEPSVEIDRVLEDSWEDDIDYCYEHAAESNSNFDWQRVSFEEVEERLA ATSLRETDVTAPSHECPQRLGPFQSFGPFEESKSIPTTPEPQLSLSFPRPGSVSPGSG NTFEYFNSPGKKLRKYKSDVFQVLGPPLEDRLSPSPMYGGMMPDIQESDEEVVYAQPD DSTASTRNSCSPLSKCNSQESMILSRAASIARKHRSSTSTNSVPDLIHSPNGSRETVN REASNGAVEQVKVFAPRSPAPGIHARCSSLARDVVRTTAGTSSDTQSFEKPSVPLAPF HDRAKSASILDTFDTSKHGGPALRNRPPALSRGANHRKTRTSYSLFPTADPPTPK UREG_04153 MPILIGAMFRLSTLLLSIRIHRIVIDFSLRNNMGKQRCLYPLRV RRPGGTAEPGLRCWCWMSFWVFVLGRCNFWPARCKEQEPDTWEIRAIPEVKAFVNPNA SAADRLHHILAAADPRAKRCKKCKDYRSPIPLRRASSGNSRWPLDQSARAHWSAPPVP AAWTPTRAFPGSALARRGPHYRYPMGGLDSRRIQCARAVIGPRARGHPASRVSVPEIT TRSVSYKRLSKDT UREG_04154 MATAIPFFSAYAPLFPASFHTTGATIDDWYEAVSVTLPRSPVAR SHQREHQSVQRCLDFSRVDIWKSLVATRLHQVESDRIRPIISGEQHKEEDRAVNPTLF NTRGFSLGFTPTLSVYWNICTTWSNNGRRYLANHTGLNLEFLNSQSLVAHIEILLARQ DLGGCITNSCYESADRGWAKSRLFGPYGALERRHRKAPVLASKYRTYTSQDDSTDDLP NNGIGVTSCKSPLVDQARSVGPNHNWRRHDALSLRPLRASYSHVTSMYVHNRVIPITK SVTEFTSPEDLSPDR UREG_04155 MASTSPTPKLVTYSIEIQQHILRNISDIPTLAALIQAYQSIRLA YENHRDTIITSVLNNEIGPDLIQEAYAVEQISSLQRNWDPRRFFEIAAKRPSSESRRV MKWSLEEALAASQLHSTVDLLAHDFIPSVGGNTQSVGYHRGQSHAAAPLSSRILGFKR SFYRFDLFSKVYRCCLDFCSDPRLHHEEIFKLVFKEIPDSKPEQLVGLTEHLYRCLVP GNYVPRAPLRICTGR UREG_04156 MASVRNGYVNGTTVAVENAIDAFSAKPRFSDIPSAIDIPASSFD TEVEISLEELPEDPTELCTLLDNEQASKNFWVIIALAYAKHNQLDLSIEILNRGIASL PHGASNEKLGLLSWICWIYLLKSRQAPRVAPEGQLLSEARTKDYYLQAATATVNEAYR LNPAFPPFFLARGVLSLLRASLQPPAKAVVQGRTTCSDESKVANILLAAYYLHDSSRH STTDPSFGSLYKLAMTQYTQKAFKLDKEYPMTCAMFGGYFLLRRHFPTVEALARKAIE LTDVNAIASDGWYLLARKEHEEGDPAKAQEYYNRADQARGGSDKGYLPAKFGAVQMQI RSQDKDGAKFRLEKIVQQKKNPEAMTLLGSLYAEEVFEVANSANKEDKSAEIKKATSL LEAVRASWKDEKKKISPDISVLLYLARLYETTAPEKSMQCLSQIEQIQLAQIPDSDKP DDVEGEEAMTNILRERLAPQLLNNMGCFLYQADKIEQARNMFQTALNACVKTQEKDDG TDTDALVTTISYNLGRTYEAAGLPEEAEKVYEGLLKRHGDYSEANARLTYMSLRQSPT DEGPKKMAKLYEADATNLEVRALFGWYLNKSKRRTANIAEDHEQRHYKHTLLGYDKHD RYALTGMGNVHLMAARDMRRDGEQDRERRRKMYDRAVEFFDKALQLDPKNAYAAQGIA IALVDDKKDFSTAVQIFSKVRDSIRDASVYLNLGHVFAELRQFSKSIENILSCLGRVW FLKGKQERSIASMKTALEYAQRARSVAPEQKHLDFNLAFVQNEIAHLASNLPETQKTS QEIEEALGGLEEAVATFEKIAKAPNPPYPPISLEQRANMIKNTTINQLQRALQSQKEY EEKNAAKLQQAREAREAEQKRREEERRKLEEAEAERKRQIAEERQRMVEEAQRLAAIR TEEEKAREAAEYTTDSETGDKIKRKKRPSGAKRKKKGEDGEERETSRKSRAKSTTSSR TPATSDAEDQPAPRKRRRLGRRSTAKSNKYKSSEIVVESETDEGEAVPTPDEDQPIEK AEDEEMGDVGDAEQEDEDAMVSRRRKKVNLRIEDEEDEDEDEGDVAPAPADEQDDLFG EKSASEENEAKAGGDADLDTEMRDQGDED UREG_04157 MSSNGPIRRKPPVLEPGPSAKTRSQPETTRHKYARPEKDYGLFL QIIRSLLILAWFNCCCFSIVVTQAVGLPLYLINKRWFYSYMAMTKRSFGITITALTEW CSPTPVRISGDSSVQGQFSLLKDGRVATKFPERLVLIANHQVYTDWLYLWWVTYTNDM HGYIYIILKESLKYIPFIGQGMMLYGFIFMARKWIEDKPRLQHRLQKLKTKHGVSPEG LPLFDPMWLLIFPEGTNLSRNTKDRSDAYCQKQGIAPSKHILLPRSTGLFFCLQQLRG TVDYVYDCTIGYEGQPKDSYAEAHFTIRSTYLRGRPPKSVNFYWRRFSISDIPLTDQK EFEDWIYKRWEEKDRLLDQFIETGRFPPFEDMSASNTATKEASEQTNNIPNNGYIESE IKLGHWAEAAKIFTILIALGLVLRFVPRVWS UREG_04158 MPPEKVDLMVTLGGDGTILRASSFFATSKTVPPILSFSMGTLGF LGEWKFSEYKSAFREVYMSGAGLGERAAVLDHPPDVRGEQTADGVGSNWSSLRGMSLG SARSARILVRSRIRVGLFTPDGKPVYSNGVVMSSPADDDAGVYAMNEVVIHRGKQPHL AIVEVYVGGRFLTEAVADGMIISTPTGSTAYSLSSGGSIIHPLVPSLLLTPICPRSLS FRPLVIPSSTPVTLRLSEKNRGDEVEVSIDGVLVKQGLRVGMEIRVWGEEIKVADGAW HGGIPCVMRKTIGNADAADGWVGGLNGLLKFNYPFGAEP UREG_04159 MPDPEQRRRMDEEARRIREMQRQREEEQNRREQEAYNASLPKTR TPIAQQELGGYGGGRSEPPNNRFNPSRPAPSIPTDRSRQQPPGSLRQITPQRPAPSTP KSGTTMHGTRTAETNNIQGSLRAAPRPDQSRQPGQDRYSPEKRPNLNSPGQPPSRLPA PVQPVKPLNIANKTTGAQTKAIPDGVRQAEATLNKKAEPRHKDIRMSTMSEGEVMERL KQVVSKDNPSESYSKQRKIGQGASGSVYVARIKETATSPVARELYRTHGPRAQVAIKQ MDLKSQPRKELIVNEIIVMKDSQHPNIVNFLDSFLQEQNNELWVVMEYMEGGALTDVI ENNPVIQEDQIATICFETCKGLAHLHSQSIIHRDIKSDNVLLDRVGNVKITDFGFCAK LTESKSKRATMVGTPYWMAPEVVKQKEYGPRVDIWSLGIMAIEMIESEPPYLNEEPLK ALYLIATNGTPRLKKPEKLSKDLKSFLSVCLCVDVRSRASSDELLQHEFLKNGCSLAS LAELLRFKKNSAQ UREG_04160 MAPPVSSSKITLSCPLFAADFDPQNNGLLLVAGGGGEGRSGVGN KISLLNTAHPNKITELVEVGLSRDEDSVMSLGIAQSSHESLVAFTGINSSQAEQKQNN NEHLRSFKLNVPRVKPTADSSIVDHDTLSKSEKAPQTTPLSRASLFRTRAVKDKKDQE AYQRILRLSPWKGSNSPRIAAIATGLAPQGEIVVFNANTSTPQESDVIGRVTLGNQEE AEDLDILDVDNEGNFRLVYSNGPSLYMCDVSASKKAENLQIECIYTVPPEGGRKPKIR AVRFLSPTSFAFLQNSANRSGCEVAVLTLKGSRGSVTRRRRLHSSMKIGVGLDVCRLP QSSKDLHPFSMTRIAFSNFIPPSHPVTADVKPQYIKLASVSVGNTVVEHTLPLSPYPT GSKRPRYVLVTPGPSEILQNLFSIIMAVIVVGLGAFCLQAFTEIRGGVPPTLGAKEWL HPRLRDLIARPYMFEDGRPGQQRIYSLRTSTASTVTQATETPVIQTPTPPLRDFLSSK DDSQTLFIQDHGDHVRVHEHKGDGQNQEGRKWEDLPHEEREAWKTKLADYGHWAAEEG EAILRDAVNATSKTPEKNPRACKEERIVSAGENGKLTGQVNNGNLKTVSGI UREG_04161 MATTKTGQPLDRSVLDSMLRRRLFYTPSFDIYGGVSGLFDYGPP GCALQANIVDIWRKHFVLEEDMLETSGHVDKFADWMCKDPKTGEIFRADHLVEEVLES RLKGDKEARGEKVQVDEEKEAKKKKKAKETKAVKLDDAVVTEYEEILAQIDNYNGEEL GALIIKHNIKNPVTGGDVLPPVSFNLMFQTAIGPSGHIPGYLRPETAQGQFLNFQKLL DFNQQSMPFASASIGKSFRNEISPRSGLLRVREFLMAEIEHFVDPEGGKKHPRFHEVE NTELSFLSREVQLSGSTKPIRMTIGKAVSTGLVDNETLGYFLARIYMFLMKIGIDQSK VRMRQHMANEMAHYATDCWDAELLTSYGWIECVGCADRSAYDLSVHKKKTGAPLVVRE TRAEPLRIEEWQIDIDRKKFGPRFKKDGKTVEAAIDALSQELREKLALDLTKDGKISV EVENVNDGKVELGKDLIQIEKRTRVENVREYTPNVIEPSFGIGRILYCLMEQTYWSRE GDEARGVLSFPPIVAPTKVLLVPLSSHSSFQPLVRQLTLKLRRMGVSNRVDDSSASIG KRYARNDELGTPFGITVDFQSVKDNTFTLRDRDSMKQVRASEDEICKAVKSLVEGDET WEDVRKRLPEFLGQEVE UREG_04162 MPSKKAKQTPLGALESKPANKLKRQMLHIKRKQTKDKLSREERF ARKKEEAKNPRLKAERLKRNIPLTLERKRTWDDVGSDVEDGLGLAFDVERIKRRKQEE EESEQATADAEPSEGLEDNGEQDEVDSMLDSDSDNDEDRKREPKKSKLRSATERATSP TQSTRSTNLDLTPESLAAKFPSLFPDEAPPAPKILITTSLNSTLHSQAAILTEFFPNS IYIRRSAHRYSHKFSVREIAKFAANRDYTAVVVVEEDQKRVSGLTVVHLPVGPTFHFS VSNWIEGKKLPGHGNPTGHWPELILNNFRTPLGLLTAHLFRTLFPPQPDLIGRQVVTL HNQRDYIFVRRHRYVFRDKRETEKSVVDADGNEIKGVEGIRAGLQELGPRFTLKLRRV DKGIQRSSGQEWEWKGRMEKQRTKFQI UREG_04163 MSTTTTTSTETGAAPYLTALRRDGFVLIPGLISPEQIATLRRAA AKATHLARHGQWPHIRTVPKQFPPFPTTPPPASEGGIWGVQHLLHPDMPGRTDFANLY FSPKVLDIVEELVGLKGKPPSEVEPLTMELFNLLVSPTCREFALRWHRDDIPTPPTLP PEEELAQLQAKSPADRPQSHAQYNIALYPDSSLIVVPGSHLRARTQAERDADPFEPDM PGQQVVTLQPGDAVFYDSNIFHRGVYKGTEIPAHESDEVEGIRMTLHGSVGLAEPVEE GKKGVRATVVLQHGVGGWVGRDDSRMDKQPVFYRMPEELLTQIVDNIIQHHDEVTLFA QLKAMRLACPQFAYLARLQAYLFRRVRVRATPEHVQALKNAELAPYVKSVGLEPGQYN WGKAMDAFSEAITDRQASSDKAANADSTSEQVKRKFDTSVPRGEDIETLLESGRLESQ WSAAVRAFPNADTFRLVWPGGSLLIMDMG UREG_04164 MPSLRDAVYYLFHVQELRAIVQWMMLRKPVHPRDERKESRNVKE CYRFLALTSRSFVAVCQELHPELLMPVVVFYLVLRSLDTIEDDMTIGIESKEPLLRNF YMHVGDEGWTFDGSGHRQENGQWHGRLRENGKRNANGLSVNTIKDYELYCHYVAGLVG EGLTRLFVQANFVDPALLTHKPELMESMGQLLQQTNIIRDIREDYDSKRYFWPKEAWS KYVQNFSDLFLPQNREKALQCSSEIVLMALTRAAQCLQYMAGVREQSTFNFVAIPQTM AIATLELCFQNPAVFDRNVKLSRGSACQIMLESTQDFQQVCKVFSRYATRIRRKNNPS DPHFLDIDITCGKIERFFEVNFPEEAKRKAQKAEARKGVIYMGVAMLGVIAAVMAGAS WFQR UREG_04165 MDEASSSAANNSIRQASITNMAAKSHTNGQIVEQRGQQCSDCSH VGSSGLNEDDTGQVGSTKSLSEDAIKYVFENGRRYCNDTYCLPNDEIEQTRQSILHQL YLCALDGELTPYPLPDSLSRVLDLGAGSGDWAIAIGEEYPDVEVIATDISLFDPQSVS IAPPNVYFQIDDADGKWTFHEPFDFIHIRDLSRAISDWPTLYQQAFEHLQPGGFIQIS DGNLSANSLPPNSYFNIFISAIRSATEVAGYIPELEHLRPSALAAAGFENIRTYDIEV PIGTWPTEPKRKTMGKMALIVLLEGLEAMSLRLLTKYIGWTAEDVLDLCEKVKMEIVN CEGAPG UREG_04166 MSTWVDQLKTERDKMAADKKGGPNTTASLVDKYGKCQEIVGRGA FGIVRISHKVDPKDSRVEQLYAVKEFRRRPQETAKKYQKRLTSEFCISSSLRHPNIIH TLDLMQDSKGDYCEVMEYCAGGDLYTLILAAGKLEVGEADCYFKQLMRGVEYMHEMGV AHRDLKPENLLLTTHGALKITDFGNGECFRMAWEKEAHMTAGLCGSAPYISPEEYTDK EFDPRAVDVWATGVIYMAMRTGRHLWRVAQKDEDEFYERYLEGRRDEDGYAPIETLHR ACPPNLLPLKSNLKAHR UREG_04167 MVVYSFYIFDRHAECIYKRLWLPRPTSFHGKTSRPASDAAPLNN GVSKSTPGGHLSAEDDAKLIFGTVFSLRNMVRKLGGDDDNFLCYRTSQYKLHYYETPT NIKFIMLTDIKSSNMRLALHQIYVNLYVEYVVKNPLSPIEHPGGLGVNNELFEESLEQ FVTRVLS UREG_04168 MARNMWVSPWFFRGATQSSISASAVKEFSKSRLRKRAQSHVLRN RTGPRRPGARAAGTGFNEPLHRNPSSHDFEEGGNSLNLIKQEIAIMKKLNHPNLVALY EVLDDPTEDSLYMVMEMCKKGVIMKVGLGEESDPYDDESCRCWFRDLILGIEYLHAQG IVHRDIKPDNCLLTADDVLKIVDFGVSEIFEKDSSMYTAKSAGSPAFLPPELCVVKHG DVSGRATDIWSMGVTLYCLRYGRIPFEKGSIFELYEAIRNDEVVCPGEEDQNFKDLIS KLLEKDPNRRITMAELREHPWVTKGGTDGLLSAEENTCNLIEPPTEEEMNTAITRNMS HAITVVKAVQKFKRLIEPASPKPIQSILGEDEKSHFVQPPLSMDESDAYAFPPNRKKH KTAAPVSPGLYDITRGQDPTEPGEQTGTVKIARSQNSTFSQVSDTISASQSASLYNIP GAATADSPAPQASGTATPSKGSICEGTRGHARDILEEDQPYLFIGPSRFHPRFANELP GDSQDRPVTIHDEPMPIDPFSPPIVSESPSAADIDIYETAYKEEIERIRNRSRTSRTP PPKVYLTRRVDGKQSTLAALLEQAKEEGTLQTPKASSHGKTFPREDHNDPSRATAPTS ATFAAAVSSLNTPILAYRVSSQKSEETCIRPSNAQSQAPLEPTPTATATEISAPTSPE DRRRGLRNLLNRIRDSS UREG_04169 MSWAGFKKNVNRATTQVMMKTGHVEKTNDRDYEIEERRYRTMEA AANRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGAKDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPISRFCAYFPDINECIKKRNNKLLDYDSMRAKVKKLVEKPDKDA TKLPRAEKDAEMAKQAYDQLNDQLFNELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDAETREEYASGNLDNKVEQVLQEIRDLSIAGTV UREG_04170 MSSQPEQFWTEEEKNFLLTEILKKAGISSSFLFSIIKENNIAPN WMEIPLPQGRSLASCQTAYVRMEHEYTHAYRPGLLGPPAPVQTSPGSRKRPLHPTPPD KSTNAPRLIQPKPTPPGEALQPAQARPPRRIPRLPDPTRGEPQRKRGRPTKAEVQKRM SLAHARGEQYPPPKKAATKKGFGASSPTIMSGVQSVPQPSGFVQQPMPTQTHKHSEGT PFQSTRDASQVEDMQARRIAGPHGAMAGSLFGDPTSGIPTKEAMPRTLEGQVTPSPTS FNQSYRGIIQSGPGLPSPRHDGALVSNVPETEGAVDSAHSMMDEQRGT UREG_04171 MPIHLNERAVAVPFRCRLFGRSSGVSQPYPTVPHEPLPRSQPTR PSRMLSQPPGGGEKNFFSPSWSGGPVPASANTAFPLTPLTREPAFHVETTTGTQRRQA RQPNRSISERSSPMTSFAEKYERTADRTTLIIPRMEPSENCPSRCMIKSPEYTLNATD SGILARHPPKLHC UREG_04172 MAGHDDQEAKIETVKDAVTDMKLERQSSTESAALNGAAGTSTPE DEVNSSEYSSKSSTPLSKPSKSRTRSSTAFAKDEALEEKVGGEITVKQEPGQPPKLAR SASQKLPPRVAPLFNDLPDMTTEATSTFQLMETCTYANKHMGYTEHAMDCDCAEEWDA VASKNLACGDDSDCINRATKMECVGDCGCGDSCQNQRFQQREYANVSVIKTEKKGYGL RTDSDLRPNQFIFEYIGEVINEPQFRRRMINYDEEGIKHFYFMSLNKGEFVDATKKGN LGRFCNHSCNPNCYVDKWVVGEKLRMGIFAERHIKAGEELVFNYNVDRYGADPQPCYC GEPNCTGFIGGKTQTERATKLSNATIEALGIDDPDGWDTAVARRPRKKKTGEDDEEYV DSLQPKSLDENGVTKVMAALMQCKEKWIAVKLLGRIQRCEDERVRHRVVRMHGYQILN SQLNTWKEDFNVVLQILDILDKFPRLTRNKILDSKIEETVNPLKECDDERVAGKATAL LDVWSALEVGYRIPRMKRDPAAINNTPAANHYERRETTKDERKRKSKSRSRSRSRSRS VDVTRNAPRGPAALTRGGKGHMNSYRPGPRPFRRPFNPLPKGWFAAESNGRTYYYSAN GETTWSRPTAPAAQPPPPPKRESKEKTLQDIIDGIVNAKENTPKSKDKSGTPATPADA KLPEKKEHKEKWRSYSEEKRKKLYENTLFPHVKYIVDKFKHKLPKDDLKRYAKEVAKK LVNSDFKNNRVQDPTKISEKQIKQVKKYCKEYFDKAVSKHRAHEDKKAGKKSKEATQS APSNTDNPEKIPVKGLPQYDGAADTRDEDSDVQLSDAEIEHTVEDRSECLKRKRFDER DSEDSHENGGISPCKRPRSTSPPPPPPPPMSPSNPISDERLGETPKRKRTEDLDEDEY GNPSASLSPGKRHRSEISPPPPPPPPPPPPPADICPEDENENEDDIEPTDEVGADAET EGYDGLQKRSQGKENGFQTQPPGSPLASQSISEQTGTDARS UREG_04173 MAVRAQFENSNEVGVFATLTNSYALVAIGGSENFYSVFEAELQD VIPICHATIAGTRIVGRLTTGNRKGLLVPTTTTDQELQHLRNSIPDSVKIQRVEERLS ALGNVICCNDHVALVHPDLERETEEIISDVLGVEVFRQTIADNVLTGSYMALSNQGGI VHPKTSIQDQDELSSLLQVPLVAGSVNRGSSVVGAGMVVNDWLAVTGLDTTATELSVV ESVFRLGEAAERGLGNVNKETLVESFY UREG_04174 MAGVKRKLDEEHASIGASQPPDSSSPAEATEPAQVVSGSFSSPP SALPKEETDTGDTSTRGPGPVTEPPATATTQPGTPARKRRRTREEILLADQFSRRQHP RSTRQSARILSKRRATDPSPNVGSPADHLPKASSMEPAIPPVAAFSTSHPSWPAEPAI PELAPTPERPHHSSDNITVQRATSAEPNSDGNHRPGDAGTSDSPAKSLKEEQSDESQA RAFTSQRSDETPATTVEPHRSIPTLTLSTDIPSFQSTDTDTPIGAEVPISATSLSPVA TQSEAAELSASTVPVTNGRGRGGGRPRGKGKGRKLGPGRKAAGAVTGAGKNGPKLHLY DRNLSPSATAAVKKLRDRQKELHHAFRRVASAQRAALVVLASRSEARLVKDPRAHLDT PLYQEVIDGLEERLETRKGLIDREYELRIESATKALEANQHWIKSAFREKVESVRDEH ILAAQGTFLRYLDQCRQAGDEDHTESEESDVENSKTNRRAPHQPVRGFDSTYIRNPHG AALYERADSGWDDFVQRARIGGDIFALLKDINEEAKRDKEEKKRQREEAKKKNKEAVA QDGGITEDESDEESPRPRFSDPRFQRLMSALADACELAEGRGASIEEENMDKAPKEPL NALNALAEIALGNPAESSSADAGQQPAVVQPPFAGASSGLAPAPVHPPSTSAMERMPE LPPHSYPPPPQYLEQSASRGMHRTILPQPLPSQLLPLPMEHQQFYPPQYQAPPPPPQQ PAPGPPRSYPGMPRPLLPATRPAPPGLPPIREKLSEQLRLPDPFSSAPQHLPAPPGLR YPGGLGPHHPHQPLQPPPPHQAPRPYGPPHPPQYMPGPPVGYPHPPSLPPPGPPYHQH HMYPAPYYSPYHQQHAPPPPRPHQGLPPPVHPPQHPQQAPHAQHGLHQGPPPRY UREG_04175 MTRVGLVYVVGTVSTVFSLLSTVSNGVFAMAVSREIPDIGALQV ASVAGSALNCAIQAFLVFLFRQRIRKGFRLKRRSERWFFVTIGAALCLMTVVAAMAIR WSSTRFGDVKNAERQREVRKLFSVWCALWAVSVVLQTATYGLFLYLDRKHQASEPQWD FTLGDRATRRTGGKAQDETTIRGESHDRRPSTGGAENITKSETSSLSRVEPSRGSKEL ELEAGVPTDRRTRASADSQTWVRRFSRRFPGLHDELVKLKSESKTSLHGPASRDHSPD PLLFERPSMPDARATIRTPSPTASVASSRRHTIELPQDHIHPLFRCDSPSAPPVALPG TTVTASPLAGRTISIETLNQIRSRSISRSSLSRPRSRSLLAHPEEEEEEEEGEEEEEG DTGTVRRLRRPSPAGYWSVEGYGSAAGSRTSLHDFGGGRHARLGRRGSSMPPCRAKAK TAEPRIACRRRKAEEEKRKGEVRGREEEKKRGKQKKERRIKEGME UREG_04176 MVRIVPFAVEQWMDEYETWAKYNIAETCSASISIDDLRSLSDDP SRDPLSTSTKLTYGAIRGSQTLRSHIARLYAPPTGDDSSTTVSAENVLITPGAIQANF LLLYTLIQPGDHVVCHYPTYQQLYSVPESLGADVSLWKTSGEDGWKLDLEELKAMVRP STKMIIVNNPQNPTGAFISQEVLAGIIDIARQSSIYVLCDEVYRPLFHSLDKTEWPPS LLSLGYDRSIVTGSMSKVFSLAGIRLGWIASLHRSIIEDCAAARDYTTISVSQVDDRI ATFALDPLHAGKLLDRNLQLAKRNLGILKGFIDAHRDTCDWVVPRAGTTAFIRFSRDG RPVDDVALCQMLQDSKGVMLVPGRRCFGEEFKGYVRIGYVAETEVLETGLRELGEFLK AEYASVPLI UREG_04177 MPSVSKQHRFFLDLADQCLLSLRRANHANSKKAHLLGLPLELLW EVTQYLPPASAKVLTYTCQKFYYSSSTWLAKSRLFPADCFEMLCLLERDRILSTFACG GCQRLHDCKEFPLNELRKPPFVRRCFVTVPVIDLCFSHSLCFRDALRANMVLRDSPQY ARIGWESSEICCSTQNGTPSIELDHHLVVAHDQPGLGILTIFTVCNLAESNNLPQKHE LAEALANHSNLSICSHIRLNDPKIVALYDRETILDEATQGRVAGRNWIFEDARDEELV TCEEEHCESFSHWVYIWDDPQQQQGSGRLQLLSFRDLGALEDPWDPRWVVHTPFRNRV KGN UREG_04178 MTVRFWRRSRRTRKPNLIGVGLGLILLFIVYTIRNTWFPPPPPR VPPPSKDILHAVVIPKTRWQNVQWAYDLMPRWTPYVYSVDGETSYDLRLPANRGREAM AYLTFIIDNYDTLPEITAFVHGAHYQWHNDGAGSRTTAILNHLRMGAVERSGYVNLRC NPVPGCPTSVTPFKPTEEDIRSHDVRVDFPDIYMHLFNVSRSQVPARIGAVCCAQFAA TRSHIRERPRSDYIRMREWALTTHFDSKVVGWVFEMIWHVIFQKDAVL UREG_04179 MKLSFRDLKQQKFTIDAEPSETIGQLKEKIAQEKGWDAAQQKLI YSGELHFERHLRLARDLNLLSDVRPSTGKILQNANTIESYNIEEKGFVVCMVSKPKTQ PAPSTPAGPSQPPATPAPAQTSTPAAPSAPAPATNDPSAPPATPSPAGGDAAAFNNPS TLLMGSQSEAAVQEMEAMGFPRADIDRAMRAAFFHPDRAIEYLLNGIPDTPEQPAARE QPSAPAQSNVPVPPSNQPAANAEPDEPINLFEAAAQAAQGGGARGTRTAGASLGGAAG GEGLSNLDFLRNNPHFQQLRQLVQQQPGMLEPILQQLGAGNPQLAQLIGQNQEQFLQL LSEDIEDDTQLPPGTHTISVTEEERDAIERVSWASIR UREG_04180 MTWRLTAPFCSSPPSPSFFHGHTLSVFLVFSVSDNQAIFIENQE TTHGHLLLVDLAMEVAGCVMAAVQLTGAIVDICGGYLEKVKKAKEDIARLQQETSSLA KVLQMLHDLLSGPDGSNLSTSQKLFDDVTKCSSALEKLKAKIDPGRTQAPLRKFGLRA FKWPLERTGLTEAINDIKGYHSMFSLALQIDQVNTSNLIVKGVYDQRERDLLQALASD YEDYKDFNPSRVPGTCEWFFGDDRFCKWRDSNTSSLLWVSAGPGCGKSVLSRALIDEG RLPTNAAKSTVCYFFFKDGDERRMTAASALSAILHQLLTQDRTGLIKLALPSHKNLGK DLAQNLSELWRILIDCSQSHDTGEIVCVLDALDECNEDDRRRLIAKLKGFYCQHSDPS NPVSKLKFLITSRPYDDLERAFRRFSDTTTYVRFDGDEKSAQISNEINLVINAKVNEI ACDFNENDRRTISNRLKSMEHRTYLWLHLTLNIIEQSPSEYGRRCDVETLLSGIPSQV SEAYEKILGRSKDPIKTETLLQIVLAAAVPLTLDQANLALTLALQKKRLGSYTTMESD TWPRKDFKTTVKNLCGLFVSVYDSKLSFIHQTAREFLIHPERGGGWQGRLDMSRSHGT MCRVSLTYLSYLGEPSIPIKDLLMAKLPLAWYSGQYWMDHARPVQLEKDVKKMILDFF LQQQHAYAIWCTLLNPEIPLHRYPRPSPYDMATQSPLYYAAYAGLQCIVGLLLESGAD VNAQGGIYGNALQIASQSGEKEIVQLLLDSGADVNAQGGRYGNALQIASLNDNKEIVQ LLLEHGADVNAQGGRYGNALQIASQSGEKEIVQLLLEHDADVNAQSGIYGNALQTASL IGNKKIVQLLLENGADVNVQSGEYGNALQTASLIGNKEIVQLLLVNGAVYGNIQQV UREG_04181 MVLAISFPLMLRRLGHFKVNCLEAQCRKPITSLLTPIAASFRQH RFSGMAPPVTPLPSSAELLDSSQKIEEERFPNYVAERYYPVRLGGIFDSRYQVITKLG FGAASTIWLCRDIQEQRYLTLKVHVRSRKPCPEVELAAYLNKIEDIHGGEKYVRRVVR SSSITGPHGVHPCLLYEPTGIDISNFIHQLDGGALPETMLRMTARFMLIALDYLHQLD IIHTDIQPNNILAPEVILDMEWDNKIDIWGLAQTIWTLFECGHLFDNSDPAGELDPNR RFAEMVSLLGPPPPEFLRRSKASLKYWDEDGNWKSSFPIPTQTLESREVQLEGKNKEL FLHFMRKMLCWLPEERATASQLLFEDEWVRGGEC UREG_04182 MVIFRTPESKKRESERPTTAVRTTPSSPRGDCCHRIGRHDFDFI TSTYNLGLGGNISNLLATMLVYHGLISNGSATGIQSVLAILPARTETGSRCVILWHSG SHTPSGPEVDTNPGGFGRFDTILDEVENDGDDIVFRSGEPYSLKMRFSEDQEKLDLSF QPMVRQKTTACLSMGLVLRCPPSHNSWVEYAPAIFWGPIMTKDEYHGRIIVFVLCLKD ENGSSSPVAAFITWNAETAMFATSAEDFIKEESRFTLSNQRYLFRGEVEYHEHASPQL LLQLASSAPGPDQWVTTQSLPALDNHCALIAGLGSSNWSDTVQVTNDTWEFVVCTIEK SDPNNEAYTKGMAAGGLFLAVLGVLACAAPPAAGMVVGIAGLLLAGQSAYDTFSEQTG QATSRVLYSSDQATRSRKGSDDSDIIIFRVHMKENQLKITRYVVPKVKENWYFISDIF DNKLKNETPQVKDWFTFPFEEPHTLVSRRYLTIPNMRPTADQGSPTPPKKVPDSGAGI HYNYEGGFERYYAVDEKHPKLNLFKNFERHPGYTAIVQGDSEELNSRMTVRHMQNSAQ SPILEMKHWKPVNYRKYKIGMAETGINYDVLKLIHADYQKSYTAGYLWDCTEAGAYGA VYRLSFQAGPNVRSRLSTHIFMGICFKLVILEHNSIMIKRKLELASLEPAIRQPRCCH VGLAYCAEWLLLWTLYTLKTVITSSMEVKQTLKPQETRVKGPTVTIEKQLRNDYKLHA STIMSPWTKKIFLALFYHLIDQGINTPYAVGKFASFSQAPTPGSSV UREG_04183 MQKQKIGRLDDNDLFLRDTDYNRVQNGRYYKLQLADGRELGSRP LIESSETSSLITVGPANSGDLFRIHDSEWPSNEARILFMQDQWNPASNLDKAVARSSD GRLGWSSSQYDGVQIFGVRNNGHNFIRLMYESSSGKNDRRLWVAELDGDSGRNIVRTS HGGALTFEVYFMYACDVDF UREG_04184 MTSGDVEYPFVDAAGNKITKGRKYKIATPDGFRIGLGYLDGMPG QILGVGPAACGLCFYVKCDEWKKGDIVKLYYTNGVTEFVWTMVPQRDYLQNYIMLDYE GMDHQDITALQVGRSLWLSMNNLALQGKTICKEPNIMPINCRSTYGPNTDELYSFECT FVPVPRP UREG_04185 MWRRTYLILLLIRVYFALSPSYLHPDENFQGPEVFAEQVYSYPA HLTWEFTSSQPIRSIFPLWLAYGLPMTFLKWFWSEAGTGSTPPYLIYYVLRGTMFGLS FVLEDWAIHELIASPHNRRQAVVLVASSYVTWTYQTHTFSNSLETLLVAWSLVVIQRI LDNRQRSSVVACSILAFTTVAGVFNRITFPAFIALPALQLVPHLLKKPFAFVSLVLSG AFFSFIAVYADTGFYNNARISLRNVFQKPVITPLNNLLYNSDKSNLASHGLHPHYQHF LINAPQLLGPVFLLLVASIFSRSSRSHFSLYNMRAVSALAGALLLSVFPHQEPRFLMP CIPLLLSCFRLPKSRFFLISWIIFNSIMGILMGVYHQGGVIPTQLQIPTVLSRLYTGI DKVPIAGETANVFWWKTYSPPLWLLGDSTKITIKTHDLMGIPGPDMLEKLDKSVMNCA DGSVNKQGSINPTILVAPNSATFLDQYIHYSDSKEPNGGNNLTLQLNRLWKYDKHLNL DDMDFGDDGIQTTLHRVLSRRGLNVWLVTRTCD UREG_04186 MHAISKRITKFDGKHTDYVLERQVAERSVLIKNMLDDLGDSGEP IPIPNVNESVLKKVVEWCEHHKNDPPSSGDDDADSRRKTTDIDEWDQKFMQVDQEMLF EIILAANYLDIKALLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEW AEE UREG_04187 MTGRFAMLVQGEEAGKSYAALDGEMIQPEASGNERIALDLMFRA DDISGQCTQSNGHFVYPSTNSRVPASHLFVRVRSALRRFQTSGLHPEASRAALAPKRI SQPHEGLTTPFTRLSQTQGRRVAANKNPVKMPSQKSFRTKQKLAKAQKQNRPIPQWIR LRTGNTIRYNAKRRHWRKTRLGI UREG_04188 MAGDPEKSSGLAVQPAVEAKPSSNREPGSSSGSHSADEDQNLKK LDSKVVKKKEEGNLDDALAHLPEHEREIIKEQLEVPEVKVTFLTLFRYASTNDLIILV VSAIASIAGGAALPLFTILFGAMAGTFQKLFLGTISSSDFSSELSKYALYFIYLGIAE FILIYVCTVGFIYAGEHISQKIRENYLDAILRQNIAFFDKLGAGEITTRITADTNLIQ DGISEKVGLTLTALATFVTAFVIGFIKFWKLTLICSSTVVAMVTMMGAASKFIILFSK KNLESYGEGGTVAEEVLSSIRNATAFGTQEKLAKQYDSHLVKAQTWGIKLQTTLGVMV GGMMSIIFLNYGLGFWMGSRFIVGGETDLSSIITILLSIIIGSFSLGNVTPNAQAFTS AVAAGAKIFSTIDRLKQWRNSGTRGKVTLNSVMFGHIYPSRPEVVVMQNINLFVPAGK TTALVGPSGSGKSTVVGLLERFYNPVGGTVLVDGKDIQTLNLKWLRQQISLNRTKSIR QRIENAARMANAHDFIMGLPEQYETNVGERGFLLSGGQKQRIAIARAVVSDPKILLLD EATSALDTKSEGVVQAALDEASKGRTTIVIAHRLSTIKTADNIVVLVDGRIVEQGTHD ELVSRDGTYLRLVEAQRINEERDAQAMDDVDENAELPGENEKIQLQNSITSGSNASGR LAGDNLDLELQRTETKKSLSSVILSKREPEKEKNYSLGTLIKFIWSFNASEWKLMTVG LIFSIICGGGQPSMAVFFAKCIATLALPPPLYGKLRDDANFWCLMYLMVGIIMFFAYS IQGSAFAYCSEKLIFRGRSQAFRTMLRQDIAFFDQEENSTGALTSFLSTETKHLSGIS GVTLGTILLVTTTLVASMVVALAIGWKVALVCIACVPVLLACGFYRFWTLAAFQRRAK KAYETSASYACEATSAIRTVASLTREPDVANTYHGQLVVQGKKSLISILKTSTLYAAS QSFMFFILALGFWYGGTLLGKGEYSLFQFFVAFSEVIFGAQSAGTVFSFAPDMGKAKS AAADFKKLFDRKPPIDTLSKDGEDVKHIEGTIEFRDVHFRYPTRPEQPVLRGLNLTVK PGQYVALVGPSGCGKSTTIALLERFYDTLSGGVYVDGNDISRWNVSAYRSFLALVSQE PTLYQGTIRDNILLGINEDDAPEEAIVEACKAANIYDFIMSLPEGFSTVVGSKGSMLS GGQKQRIAIARALIRDPKILLLDEATSALDSESEKVVQAALDAAAKGRTTIAVAHRLS TIQKADIIYVFDQGRITESGTHSELLAMKGRYFELVNLQSLGKAH UREG_04189 MSHVRDCPEFHLSRSDSKNPLTTCQGVTSDGRKCRRTVVPVKDA PKREAAAKQLNGIKTGRTEVQTEELFCWQHQHQASLVTPKPVAAAARVTQLRKKSSID TIVERVGLMNVEDRPAAKAREAQIRPSRNPHHHAHRERSDPQDRTDRPKTRNVPLQPR KINVEAPVVCMSSAKKKKPSATRRLLCFLAGVDERDIPQVSVRRRPDHFKTPSLEPRV ARTPPNYQPQYARRPTTAGNEPDRRRSELSPTSRPKEPRRNQRQSLPANFHHNPSRPS MLAQTTSSSSQTQSLLSWIPSSLSPETTSKLLQKLSEPLSASEEWGYIYIYCVTPSKT VPLADVLPSLIPHRGEICPPTTEILHSAGMSPNHVHKNKSSRATNTITLKIGRAVNVS RRLTQQCAQNLTLVRYYPYSSSSANAPAPRKAPNIHRLERLVHIELSDRRFKLQDPCG QCGKRHQEYFEIEADTEHLRMVDDERYSLSTKWFYDQFLSSRSFGQASFSELMSRSAS PGSRPSTGILCHPTSSVEREASNESKAVRFHLVEQTPWKDDVNAPKSALSPLFRRRLK STSATAPNAATKRRPLMDATTANSSPPPSSSTTMFSALRSTASDLASTLICILDGFIP PSRRNAIKSRTHSFGQARPILASFLLTQLICSGIPLALFILQIASVLFFSVGTAIVIG SICALGFTALCLGLALLVLVPVLTVTSFVAFSVWVWAWAGWYVLGWMGLTRQRHGSGL RNSEKNQNGSGEIAKIKEDFDNKGPE UREG_04190 MDRLPSDGGGKSGQNGRSSSHSRPDPSKHQLRYLRSTCREVRSR YIRPLNRIFKSKLSTGSRLGKEKHSIREQKISWPLPRETLAASLNNEAVRLNFPCANS QRSLISLEPFPDLVSFADRTSAISELDLTDNAQTHQEHELDDVTQNPDTVKVSDADLN KGKCPVLRRKRVFSGNPMASSSRSPLGASGDSHSEALVEPAICPAETVNPADGTISRD TEGPITSLEEVEALVSRAEQKCTNDLQLLKAELEGEIQNIRKEKERLVEEIKVWRFVS DQLAGEISRLKPRCESLQELNDCQKSEREALKKEIKDIKATLVRYGLELGPVSGGSQD LTGGMVLNPSDHEVSQNSTKDLVPTVADTRSARGNLLGLEQRNLDKFRLAKELRSAAD RIIGLEAEIELYKKHDFMIRAQNRNVEREKDALREDLLHVKSVAEEAAARLNLAQQHI EKLSSATVIPYCQDFHYVHDISGFLEKWAPVKLKLAQKLGSCRGRMQYHFAVEGES UREG_04191 MSGLRFLDLIKPFTPLLPEVAAPETKVPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGITPIISSGMVFQLLAGTHLID VNLDLKTDRELYQTAQKLFAIILAFGQGCVYVLTGLYGQPSDLGAGICVLLIVQLVVA GLVVILLDELLQKGYGLGSGISLFIATNICESIMWKAFSPTTYNTGRGPEFEGAVVAL FHLLLTWKDKQRALHEAFYRQNLPNIMNLLATLVVFAAVIYLQGFRVEIPVKSSRQRG MRGSYPVRLFYTSNMPIMLQSALCSNIFLISQMLYSRFSDNLLVKLLGVWEPREGGSA QLHASSGIAYYMSPPLNFKEALLDPIHTAIYVAFMLVACALFSKTWIEVSGSAPRDVA KQLKDQGLVMAGHREQSMYKELKRVIPTAAAFGGACIGALSVASDLMGALGSGTGILL AVTIIYGYFEIAAREGDFGAGLKGLVPGN UREG_04192 MARVMTAQIRQYTTPIDTSVPDSKRKYIPSSGTYPRGFRVSGTH VGVKPSNTTFPDLALISSDEPCSAAAVFTTNRFQAAPVQVSKQILEAREGRGIRGVVV NAGCANAVTGKGGLEDAKSMSAKVDECNGLNSTGGQGDSSTLVMSTGVIGQRLPIQKI LSGIPTGYSNLSSTHAAWLKTARAICTTDTFPKLVSQTFTLPSSPDRTYHIAGMTKGA GMIHPNMATLLGIICTDAPIAPSALQSLLTHAVSRSFNSISIDGDTSTNDTVALLANG AAGGQPISSPTSPEYTAMQSILTGFAQSLAQLVVRDGEGATKFVTVRVQNSPSHSDAK LIASTIARSPLVKTALYGKDANWGRILCAAGYTQGLSPGTLVPERTSVSFKPVDGSAE LKLVVNGEPEVVDEERAAAILQDEDLEIVVDLGGGNQGEAAKGGEDALYWFCDFSHEY VTINGDYRT UREG_04193 MTPYKCRNQHRGKEESRQTTQEAHSSVDNSPTPKPNRKLNFSTP SKPLRSQNVANDTPSRTKNADRSAKRKSAGILLNPNEDDVWDGGEKLAREIWDIEEEA EAGNETNNIEGTDDILATTRNHLTETPTKQRGGKLSKTRASRRTPTPEGDIPPHERYF FQNRPGPVLTSDHTLSKLALLTHEEYFDHLEEHTDSYVQERETLLEIHERSFPQWNFE LSEGFNICLYGYGSKRNLLQRFADWLYMWYSDPPIVIVNGYTANITLRSILATIVSAV LGPDAPSKLGTQPSEILDLLQTNLSANPPKQPITVLINSIDSLSLRRQSYQALLARLA SFPHINIVATADTPNFLLLWDIGLRDQFNFAFHDCTTFAPYSAEINVVDEVHSLLGRK VRRIGGKQGVGFVLKSLPENTRKLYRLLITELLTMMGDQHVSEDEDNQETKGQRDKAS NDPKEIAIEWRTLFHKATEEFISSSELMFRTQLKEFYDHQMVVSRTDATGVEMLGVPL SLEEMESVLEDLVIEQ UREG_04194 MASQFLRLVNRPLLLSVPLTATFFMLRPHPASTIRCDYAPRVPG QPSWYNRPSLLEGDGPFRNISPQTVRQISLGSVLGLVAGVGLRIFSRTLTFTLGVAIL LIEWAASKGYNIVPTQFLQRYVKSVDVRRAITKNLAFKASFGTTLMLSAFSEF UREG_04195 MLLLAGSLFAIVVVVYKKIIYPLYLSPLAKFPSAHPLASISSLW IQWKRLTDKEFDAVVCGFRQKGPYLRLGPNEVAVNTMERGVRNIYGVGSDNFDKSPWY NFFKNHGFRNTFCALGSEHAVHRRRISGVYSKSFLQSSPHIRTILDTVIQGRILPILA RKAKDEETVDILALNLAYGLDFVSAFIFGLPRGVKFLEDRASREHWLELYDRTHPKSL FWLAEYPVLAQVASKFGIPLVPKGFPKAKRELETWALKRLKLAEDVLAENPTDDTIRP GDMPLLYHALKAGMETEQGKKKNGRFVPNNTQRHELASECLDHLVATRDTFGITFSFV ILNLSRNLEVQEQLRAELRSMTRPFRYHDEKKTEIPAPQALESLTLLNAVIKESLRLR NTGPTLNPRITPKGRKVTLGPCDDIPAGTRVGAYAWCLHRNEEGYPSPTTWDPTRWIV DASDPKAGERDRWFWAFGSGSRQCLGQNLAFELMRFAVAGIYTNFKTSIVDDSAFVGD ETFVTGDGSEQLILKIERLEN UREG_04196 MTIQRLAEDSLPYPLRTTMGRIMLHVRSSSPAKGFDGRFPARHH WTCAVPPQAIDVPPASILHVPGPPLGKISWYYIAWYDFWLRRNDKIAQWHLRYGPVIC FRPGEVSFASSGLMREIYGTAGKYTKSELFENFMVYGQKPLFSIGPYWEHRKKRMLIS SFYHKTSITRPVMEKWLRQNIMKLVAQIERAIVQQHGNEDKAPAKAMLDAYPLFNCFA FDNITHVLFGSKYGAKTIANDCPERKILLGIKQAQMWGPFKFNFPALAWITSKAMHVF PTGIASLLVPDTLRLCLKSEDEMASWNWNTLQTALADLDAVEDYTLLQRMLSCRAKAP ETLETPYIAAELYDNINAAQETVAVGLIYLIFHLARQHSWQARIRSDLLSLNIAEDGF PAWTDIDKLSSLDAFMREALRVNPGASGRQERYIEDSKKDYNGICLPIGTRVTASTIA LHQDPSVFPHPEEFRPERWLDQTPETLRQMENSFIPFGYGARICLGKALGIMELKMLA AFLLLRYEIATTPEMGDGKSGPMWQCGSIEAVPIGLKGEMIFTKLDMY UREG_04197 MPSAKLNMVSAEDEWSPLQAVIVGRAEHSAFPSEPAHMIAATMP DEHQHLFKPSNPFPAEILEKAQQELDHFASILENEGIRVYRPKEVDWLKVGGYTGAMP RDSLMTVGNTVVEAPFAWGCRRKEVELGYSDILSTLAEDGSSKVVRAPVTMGRETLYD GVETDKSDGSHGWAINNTRPSFDTADFMRFGKVIIGQLSNVTNMKGVEYLRAVIPEGY TVEVLKTDDPHAMHIDATILPLRNKLMVYHPERVSEEALRQHAVFQDWELYAYPFTPE TKDGPPLYMTSPWLVLNALSLDEKRIMVEAKDTVFASWVKEKFGMEPIMCPFQHVNAI GGSFHCATVDLVRLT UREG_04198 MLLSILVAGLATFTRLASSAPHEPEVSVQLSRVDNTRIKAVLTN HAGLSISVLTPKSLFDPSPVQKVNVLKDDAFTTIAPNASLETTFDLAETFDLSANGQY TIYSDDFMLYGAANTTEIVGSVLYKSNELTIHVNGAKPALIAHAIVKRSTIDGTCRAY DWQLKAAFARCRDLAAGAARIPSITKFAEYFKTTEPRIQRIVAARFRAIQRECGAVNR GRARFNCKDSSGLCRKGYLAYAVTPGGQVFLCPSFHGLPMNGRSCHSQDKGHVVLHEL THLGEVYEPRCRDYAYSYEGIKRLGADKAYLNADTYGLYALAVLKGC UREG_04199 MVLDQQALRATRIKAEELDIKRKIKKVTVEDKYAKKIDDKNRNF KKEDGMILYHELIYVPRKIRKEVMIQEHDTVTSGHFSIDKTIKKIIRMYYWSEM UREG_04200 MSSRSSKTSSFKHFTTADSSLLSCRHKSDHKHENGADTEMVDVN LTAEIHIMNDNISDNNGLSDAEPEPMLQLSIFIEKFIIIAEKLLKKSIISVKKSIMSS VIQIKKSTVLSADIKKSVVNSVI UREG_04201 MIKIELAVHPIAVCIQVCAHAMMTALEVKVMAHEHNCLAAAGLL KDEVEEEMKTFQSHLQASLNEEMLPPPEEVGEKKFEIVVSSDEEKKNEKEKNDWIVNV UREG_04202 MTSDQPQTSANGGPAPTDFVVKAGLAQMLKGGVIMDVVNAEQAR IAEEAGACAVMALERVPADIRAEGGVSRMSDPSMIKEIMSAVTIPVMAKARIGHFVEC QILEAIGIDYIDESEVLTPADHAYHVIKHHFKAPFVCGCRNLGEALRRIQEGAAMIRT KGEAGTGDVVEAVRHMRTVNAQIARAKSILASAQCPEVELRAYARELECSYELLRETA EKGRLPVVNFAAGGVATPADAAMMMQLGCDGVFVGSGIFKSGDPRKRARAIVQAVTHY NDPKKLAELSENLGEAMVGISVQEMSEKEKLAKRGW UREG_04203 MEKEGDTQQLIREATELAQLLSAPGNASLVQNAQARLQALQKSP AGWTIADSLLANDDANVRFYGALTLTMKIHQDWNNLGEQSIGELLVRLVDYFVLLVGK HETTVVMRKFIASMTTMFFKPQAPWTHCIRHVAISLANGKYLPEEQLDQQTFQALALP SLNYDRLVAVLSFSTTLAEESMRHSSSSINYHDRLVANLNDAFFLINYAFQRVIAQAP ATTVSSNQSSNTALEAIKSLHAWIFALRGTRVDKPAISAAVNVPLTCSLRFISEPGLA QDVMDLVLDLITNQPKLLHSKHFDCVLDFLIGQNGQQYALDILKGDFEDEQVRFLDLL VKFSSSDEQVRVLTQPPDAKQERILFLLFKLFHAPGFAAVDDTAINILLEFWTEAADN INDLIMEGAFDEPTPAIKENFTRVIAECFDKLRYPNPSTLKEWVEDDVKLFNAFRRDF SDFLQAAYPLLGVPVVQQIQERAAIAIRDHDWERFEVAMFCLAALADTIAENEHADNL LHALFQSQLFDAICFGRTDIPLKARQTLADVIARYTPYFERNHNLLPPALNFLFSSLE MPSSEHAAAKSISTLCGTCRRALTVYIEDFVSKFIQIHANPSTNSHTVERVAEGIASV IQAVDPEPAKATLLAKLLDPLCQEANQAVEMARSGRQEQGLAGGLRVMGCTASIGKGL RAPEDLVIDLDDGDEDQRRPGGSIFWLNDPRGTSLQVLIVNILEYLVNEFSADGDIIE SACDILKAGYTERVPGPYVLPPEVTVRFVKSVKTSSARFPVVMGTASAFLASRASNPA QIHNEVVDLIAHCYGLMVQMAQDPLQAPILFLYVQHVLFGLRY UREG_04204 MFPPGKNAEARKLSDTIKGSGGSLVGVPDNLVDLVWGESRPPRP SEPVRAHPLEYTGKSFEDKVEDLRKELSKKKKAGMIISMLDEVAWLLNLRGADIPFNP VFFAYAVVTHSAVELYIDSSKLTPEAKAHLGDKVVLKPYESIFDSAKLLSQSLATSDD NSPSKFLLSDKASWSLNLALGGEEKVEEARSPIADSKAVKNAVELEGMRACHIRDGAA LTEYFAWLENELVNKKTVLSEVDASDKLADIRSKHKHFVGLSFDTISSTGPNAAVIHY RAERGNCPNVDPNAIYLCDSGGQYLDGTTDTTRTLHFGSPTEMEKKAYTLVLKGMISI DTAIFPKGTTGYAIDAFARQHLWRYGLDYLHGTGHGIGSHLNVHEGPMGIGTRVQYAE VPIGPGNVLSDEPGYYEDGNFGIRIESMMSSSLAY UREG_04205 MNTRNGYPNPGGPSPNIGSSDRDYGSSRKLENPAINPLVTNNGY GAAAPRDRDRRAGGYGGFYEQQSQSQSEELERERRARSASRARVRDDVRYGGGQGGRY GDDPGRCLFVLDIVRLIQRDWNAMASDDCVPVQVGLQLMDPSTLGQAEREPEFIRSHR DIKRALKAIVNEHHQGFSSAIGTYHKIQSGIQSSQNQLRSLKSTLGEAKSGLLTTKPE LQGLATGSQSYNDALQMLSQIEHIQSLPETLEARISEKRFIAAVEVLQEARKALSRSD FEAVGGLGDLRTYFANQETSLTDILVEELHDHLYLKSPYCQDRWKMQLEDGASGSSTP RGIPAWDKPIHRFLSNLNLSTPLADDASNNPEADTFSYILLIIETLDRMGNMETVVDR IEQRLPVELYAVVNKTSAEVALRYPDATRGIQKRTWAPDRPLDTVEEHGHVLSEFLWN LYAKFEAIAEGHRVVHDVISGIAERKGAEKGTLTNGFKELWKLYQSEKLFKLSEIDQN STDIKTEQAALDEILRSSVPGLVSKSRQKLSSNDLNRSNQESSGTGHKLLIEPNIFNI SLLLPPALSFIQRLTGIVPVDSDIDVGKLTTFLDDFMTHVFQPQLEEAVTELCTMCFI AVDAYTQDSQWHLHSTKPIFKGTITFMNLIKKFSLILDSIPHDQAFTQLLITQMVTYY EKCCGWYKGKASFATLPFTKRAVNECTAALISRMSSQQPGGVRLKAAAAYSELEETRD IATQLWESPVNERQELINKEIDMLINRTNETPLEPYDIVSDPKTVFSVSLLYNSMNWL VSSLSKLRHITPYKVDSSRGHSRQLSGGRRWTFSKSNLEFTNALPRLPMTEESVVGFD TTLESIRSLALTALLTLHLDIRCGAIHMVTRSLKGSISSQLTEATPPSPAPSTENIWA HILSSQPTSASPAILELNNDLIAFDASVSTYLGPKEHYFITSGLTKLIDRAPPSLAPS FYKPAYPKSPEGKTPSNHHQSQEIVALPRSAKFLDWFLEGADKAVQYAKEEKEAFKRG DVQTIEAGNGEPFTYDELKVLVELCFSAVLKGPRGAESREDFLAAKRGSGDALLRLSE VMWDS UREG_04206 MSDNIGSSMPGADGKRKRDSLDVGDSQRLARSPPMANTNGNMHA NNGNIHGAHSQTAYDPTFYHSDPSAKWIYIGYDTHGLNNPTELSHIDQQLLQHVGGQN GVSDDTTMTAKAALAAHPQSKYPAPESAFDNNALGHNMSAFGEDVAQVSMQGVHGHNS TAAAVYAAREAQSIGHSKPPVGSPEWHTQRKNNHKEVERRRRETINEGINELAKLVPG CEKAKGSILSRAVQYIAKLQEDNKNMIDRWDTTQMTTTQAITEISAQNAKLKAEVNRR GVLAMKWLQRSRDAGLDFPDYDDDKDLSQLEIDDVQI UREG_04207 MRELDLLVLPELAFTGYNFSSLEHIKPYLEPTGSGPSANWARST ARRLGCVVCVGYPELHASALSPLEQTSSLEKAENEDGQIEARKRFNSLLIVDPSGDIL LNYQKRFLYYTDEPWALEGENGKGDLQLPVFAKEPSSNSRPCEFSVGKHIPTTVGICM DINPYKFIAPYSAYEFATHVLDSGAKLVILSMAWLSLLDPEELAGEPNTPDMDTFRYW IKRFWPLITRDTWDGGEIIVVFANRTGREAGNEGKDTARYAGSSCVIGIRRAAAEGNG AGGEEERHGYYDADIVLWERLGRAEEDVCLVDTDLPPKMRLKVFRGSGE UREG_04208 MSSFAPGPKRGTGAPLARGRIFRGSRPSSRKGNSQQNSIVWQHK TSLNRSLSENNFQPTTGAGPRKAQSSSILGHAPTTNTSVIDRARDPRRKNEVAASSQW QANNKTQDYISRFEQLKRERTKQRAKAIDEGLMADPNQPTSLNRAITPTGTCTDMCPQ YERVERIVQKMVDKSEKSMNPDTGELEVMETKMIKRFRRSAAGYDEQLPSDIRTPNTL LQTLNYMLRYVITDDDGLGSIHKFVWDRTRSIRNDLSIQQLTQQQDVEIAVKCLERIA RFHILALHLLSNPANTEQFDHHQEREQLNNTLLSLLYYYDDNRGRVNFPNEDEFRAYY ILFSIHDQRPDLEARVQKWPRELRRSPRIQVALELFAAAGNTWEYQGTLDARRPNAIA QGFYSRFFSLVRSKSVSYLMACIAEIYFNQVRQTAIRSIWKGYCRQPLSQQHKNQEWT VDKLTEVLWFDNEDQTIKFCEDQSLELSSGAEGQLYLDWGNRSIDYIAFQPSSEQIFS EYLVETKRYGRTLPAIILGMTVSQALRHGMIDKSLLRPESGFAALSNKATNEQESLFV SDDENEAGIQNGQAPMAGAGIEKEMPSTSASIFSRPLENVQITTNPFLKHLGGPLPPS SPPSQPFSASAPEFVPQGFSNLTNSQPLPSAPSFAASIGPPSFGAFGSNFGIPSSAAG MKSGPRISQPTSTSIQTFGAPSAPETISFGKPSASQALGAGVNWKNPFSAPFPGSLAS GIATQTEAIKDASIIQDAQRPSVTLSQDASNHPFMVDTTGTSNFGNASRPSKPPFPSF SAATFGYQSNQSGKYQAGYHHKMILNMSQANIIKGKSTVFENNPRPFSKGHFQNDAAT QANPTFASPQFSKAPELKKTPEVPSSAVFSTLPTKSSAAGTLFTGFQASKSVESEAPE PTLPGTSSFSAPTGQEKNPAVLDQTTQLPTLQSLNQPIDDQNHAEDIKQQALKEQQAR EESENRAQEEAAKKEAAKREFKRLQAAQKEKEKKEAAERETAQRLKAMKEQEEELRAA RREIERMKANEQKTAEKEAARKSAIEKEIAKRKALSEANEEESRGKSKIARLNPRQTL TVDELLALELSKQKAAPPKPCPQQKSLIDEDELLFSAARMAGRELSRIGLFDSVPQFR ESVSRPSTPSSFSSSVLERVNNFSRSTGSDRLHATVNGYKVALAPETPLGLGRTLSRT EQRIRQTGAKGLAYKPIANILNTPDEKGKSISSKRKTRS UREG_04209 MVVFAQESGHSRFKHPSEKDLDPLADGYLSWIAPPPVMSRSSRQ AHTPYAHFAMIAIDWRGCVQFQTSQSLEGRCRYIFTQDVKERFIEATGAYSQPPRMEV PQKRRRLHLDDAPDVSFETVDRVPLRIGETDKVLDYYASALRAFQQINCRQIAKAFIK MIEPRKQVKHPYNGGRGPLGEKGDPEKTKPDWWPAGVEHREPDHLKKPDRVELLVHII RNLRKSHNITTEKLEEAGRDVRRQLKPRERWDILEEIYAVRRMEESYERGEVDADAVM YVINRDPSNKGEPDSDCLSDSGHASIKDANTYAKVEDNKPGMKYLPVSDFLPSPVEQI STPVTTDAWSFQPSMFHPVYDSAPASLNISQPQAQTVAPILAPTVTTSEMQLRMPTQL DYMPLAASAFPLNHSQLVQHHGNEVIPQYK UREG_04210 MMQRTPNRGLIRSTNWTFENISSFARDKLKWKVMDVMGLIFASS HLHTTNRNASNHQASSRRTTFDQAPFTKSELGHGIVNSTCLRFIAEPSLRITSTGFPP SHTDKLFQLAVPPALSAVPSDDRRNRQQ UREG_04211 MADNAYPQVVLGDQSAASSPFPAVEDRRHPFCRDPEGWGPISSH RYDFTPCFLDTWVLFVALWGLVMGAGALWLLLRRRVPQPVGKNWHFYAKLSLIWIIVL LVALQASLQIERLPGTWFQDFRFWTAVLLLASLVVIYMVQYYEHWRSRQPNGVVLFYW VFYIIIHAVKLRSLVSRKVYLERLPYFIIFNANLGFAILEFALEYVVPKKQSAYDALG DEDECPYEYADIFSVLTFSWMTPLMKYGYKHFLTQDDLWNLRQRDTTRVTGDQLGKVW EQELQKKRPSLWRALFKAFSGPYVRGALVKTWSDIFAFAQPQLLRLLISFVESYRGSN PQPAARGVAIAVAMFAASVVQTICLHQYFQRAFETGMRVKSSLTAMIYTKSLKLSNEG RASKSTGDIVNHMAVDQQRLSDLAQYGMQLWSAPFQIVLCMVSLYQLVGLSMLAGIGA MILMIPLNGLIAKMMKNLQIKQMRNKDQRTRLMTEILNNMKSIKLYAWNTAFMNKLNH VRNDLELNTLRKIGATQSIANFTWSSTPFLVSCSTFAVFVLTNDKPLTTEIVFPALTL FNLLTFPLSILPMVITSIIEASVAVNRLKSYFTADELQADAVLHQDPVTHVGDESVRI RDATFTWDRHEGRHVLENIDFSARKGELSCIVGRVGAGKSSLLQALLGDLWKINGEVV LRGRVAYVAQQSWVMNASVRENIVFGHRWDPHFYNLTVEACALLDDFQILPDGDQTEV GERGISLSGGQKARLTLARAVYARADIYLLDDVLSAVDQHVGRHIINRVLGRHGILCT KTRILSTNSIPVLKEADFIGLLRSGTIIEKGTYEQLLAMKGEVASLVRSAINEDDTTS DASSREDESPRSSETLTAMETSEEDNMSEVEEAQERLAPLAPIRSSGGNIRRGSMATL RRASTASPENVRGKFVDEEGGAKTKQTKEFMEQGKVKWSVYGEYAKTSNLYAVGLYFI ALLSAHSIQVAGNFWLKRWSEINEIEGRNPNIGKYIGIYFAFGIGASALVILQTLILW IFCSIEASRKLHERMAFAIFRSPMSFFETTPAGRILNRFSSDIYRVDEILARTFNMLF TNSARAIFTMVVISISTPPFLVMILPLGFVYFSYQKYYLRTSRELKRLDSVTKSPIFA HFQETLGGISTIRAFRQQKRFALENEWRTDANLRAYFPSINANRWLAVRLEFIGSVVI LAAALLSIIAVATGRHVSAGMVGLAMSYALNITQSLNWIVRQTVEVETNIVSVERVLE YASLPSEAPDVIFKHRPALSWPSQGGVAFDHYSTRYRAGLDLVLKDVCLEFKPHEKIG VVGRTGAGKSSLTLALFRIIEAAEGRICSIGLFDLRGRLAIIPQDAALFEGTVRDNLD PRHVHDDTELWSVLEHARLRDHVASLPGQLDAQVHEGGSNLSQGQRQLISLARALLTP SNILVLDEATAAVDVETDALLQRMLRSNIFQNRTIITIAHRINTILDSDRIVVLDRGR VVEFDTPSELIKRGGQFYTLVKEAGLLDGGESAALSSAS UREG_04212 MAATKQNPQELLQRPLYVFDLPAELLATLSRRETSGSAPEPEQQ KLQDPSDQGEAGAATSTSCSLCQVSFENVGEQRDHVRSDHHRYNLKSRVRGNAPLNES EFNRAIGELDESISGSDSESSDEEEGGQKTPDNTLVALLKRQAKLSAPELEHPIAAKH KGARKQPIVWFTSSLIPSTTYLGVYRALFTDAEQDGLDNLVSALREKQVKSVVRHSNK APQNAPLNNAPRNQAQKYFLCMIGGGHFAAMIVSAASEINKNASGLEERKPTVIAHRS FHRYTTRRKQGGSQSANDAAKGAAHSAGSSLRRYNEAALEKEIRDLLKDWREMIDTSE LLFVRASGTTNRRILFGAHEGQVLKPSDTRLRSIPFTTRRATQAELLRAFAELSRVKV SQFDEATLAKEQEKYQEAAARPSKPLAAKQKPKISKDEEEAMLHTSQIQALIRRSKVP ALLSYFSNNAIAPSFKFHSSASQPIHRCPTPLHLAANSNAAAIVKALLTKAGADPTLL NAEERPAFDLAGDRPTRDAFRIARHELGEASWDWEAAHVPSAMSQEDVDSQTGRDKEA AAQSEAQRRREALERLRKEDASMAAQQRKPLGRTPASQKSAAEKREEETRGMTPEMRM RLERERRARAAEERIRRMQAG UREG_04213 MSASASDIITYIGVPLAVLGVMPIIYTCIRALLILRSIRLALAR NGHSDSAVTRGSMMSGIVEVELPRCTITPLDRDQDPEYWELNPERSFLKGGTWSYFHW NRLVTGKKLYRIQYKDELRVPQAEIDFHELVSFLLDRGAVPDENGWSMLRTSGLWTPT GTVLLRPPQGKFGGVLRVGVSDDSDGVLSLKVHWSGDWDWRSKECLPPFWMRINQPTW NLATSTGLEKEDYKSGACIIKVEEESSSTAADSKVMVADTPVVEETEVKSTEDSDEAD KSTDAAPMPSLIALVEEKRVALHGEKNTSDSIRFRLEGDTIDRIYFEHSNSLTSMVRE ISSTGEMTGQWFVHHAGTRVATLVDPKTKLQ UREG_04214 MAPLAADVCSSLSPSQRHVATLYERNRHGEPARTIDIFAGTTLV VGRSPKSCQVVVSDPRVSQKHLRIYAIIFDPDNPDEVAPLVYAQDISSNGTLWNGYRI RRAGGVLLSDGDTLELCDGITFTFKALRNDQSVFSGIQLEEMKGFQHEFLITQRMLGS GAYGQVYMAVDQRNRTQLACKVIDLSAIKSQLRASHCCETNNTPRPATNIDPYKQISE VKKWVERRQLTKKLELKLKAYDREVEILQKLRHPNIVGVERVFKTENTIYIFQDLIPS GDLFSFLEFKRWRLLDAEVAVIVRQILIALDYLHDRNIVHRDLKPDNVLMTSLVSSCR VVLTDFGCARHVPRETSRMASVMGTFEYTAPEIDHAMAKEGYTKSVDLWSLGCVTVVL LTGGSPFQDPETQQYSRKLAKECNLELLDNIKEWSHVGPRAKGFVHGLLILDEKRRMT AKAALMHAWFTNSTHKEIFDRIYQKAISDWKPRPNSEGDIRSSRYSDSEFSSGLILAE EAQSYNILGPRVGSTLGQTSIQPFVEIIASQEDLPTPQGRSPSITLSDPGFKGLSSSP PRTPILVSGSSSDERHQVQLLGTERLMEWISVPGSNTELDIDDIPNLGAKCSTQDVDK WIKDTHDKTKPPVRLPFSPAAWNLGRDGPKISQSKGQLCKMGASELKEAPDKHLQTRL ESVYKVEEILRGTRLQRPAKTNKALGETKKRHGGDVFELSTESLAAKHPRIR UREG_04215 MLLPSALSCDARQPPPRSRFPSTRLFPATPPPSDDGFIAGNGLR GTCRALQSLLNASPASSPRRSKPERLQSPLQIRANTITRVAKPVAKPPRGINKRRRDA YEDESDEYIDNMAPRDKFSTPKRQRRAPPELPLGLDLSDFKSLESSSDTEQTSEPTPR QLEVPRLDELQQKSSESTPSQLNVDSHQDPSSDWTTEDDGRLVELVLEKLKLSKRDWT ECARKMGKDNDSVGRRWKALIGEGNVGLRRGRRMVRARIHESWR UREG_04216 MDLSETYLKYANDAFSRLPTLSGQFDLIYIDAAEEEYEEYVRYI LDHKLLSPRGVILVDDVLLEGLVLDRSIANTFPEEIREPYLAVADCMTRFNRYAADEP RVNTTLIPVFNGGHADYVGSEDFGDDFFEEEKRKSQSSSR UREG_04217 MTVSAESKPQIPRVSVDSDLTQVKDILEEHGTVIVESLVPRDVV DRLNKDLDPLVEAHPGGLESEAFPKARLPVSTKWLQFLTATCKTFREDILNNSAIHTI CEDVFEPLGDYWLISGTAMDMAPGCAGQPLHRDDVSHPIIRHLGPEAPITVVAFLIAL TPFTAENGSTRVILGSHKWPTLGRPNEDDTVRAVMNPGDAILITGRIVHGGSRDTTGT PRRLLSLGMGISQLTPYEAHSALPRKVIESMTPVAQRMVGWRSLRPAFPNVIGLQTVR MEPMEDYLELKSEQPLEEGA UREG_04218 MSATPSPAGNSRSMNGTGPKNDTSPSSFALFPPLPNETYVPVQT ERRDIHIPKEASANFKIESFTNREDVLFGLAYDGRCTTAGQQAIMPFRFQVQPEATVA ETVSIAEAHCKELAQFEHMGLEAFCNFSLGNMQLSQFQNLLVLANQKVATHPGGQISI PPVYSQKYPLSVICVDNADAIHVHAFSDPAVVEPDLLQMMLLHFTDAVRIALDQPERP VRDLQTLGAEAWKILMDWNKTSSPQDPDTSQPFIVEAIEAQCAKRENAPAISAWDGDL TYGELHQEASHIAGLLIDEAVAPGTFVGIHMLKSKMAVVTMLGIMKSGAAFVFLPPSM PMARLVKMCQITSMQFILTERKLAAQAKKLGPKVIRFPNDMGPAYEMPPYLETKTKPE HPLYAVFTSGSLGEPKAVIVDRASYGSGVQEFCRRTRLGPESRTFQFASYAFVVSVFE HLVALSVGACICIASEEQSDTELEESITRTNADWAILTPSVARTLSPKNIPALRDLLL VGEALTNADREQWKDYLTLYTLYGQSETAATTFVGSLSGQSVRRVTDLGELSCGNCWV VDPEDHNLLKPLGTEGELMIESPSLGLEYINDPKQTAEVFVKRPSWLQQIRADDDPAR CVLTGDLVRFRDIQGSIQFVGRKGTRTKIRDQRIELGEVEHHMRCHFTGAVSVVAEVV GITISNHREQTAMLVGFVLDNSSAPSRAKPDINEKMFAPPTSEHRQRARKAIQELRRI LPTFMVPSAIIPLTYLPRTVTGKIHRKGLREAASNLPLSDLMVYQWDKPVYTAPRTTE ERTLQSICAEVLALPLSEISINDNFFNIGGDSLTARQLVSKARSHRLSLTLTQVFNEA TLAALAQCAGKHVPQAESVASLSSGHVDPYRALADDFLANLPSGLAAHDIEDVIPTTE MQTLIIDAKVVDYFPFEIDGSLDKHQLRLACESVVQNNASLRSIFVRFREQIVQVILK RIAVSLYTEVELPENGNQDPIVAARAYCMVDKQNPPPMDQPTARFILFPEVNNKHIFV LRLTHAQYDAVCLQPLADQISGAYNSKPVRVITDFPQYRRECARLRTPQAFNFWKSLL AGAQVTRVPRCPDEGKVGQCDMYIKECSPAAPPTGITMATAIKAAWSFVLRQETGQQD VVFGQVIDGRAMNLDGVQGTVGTCLNTTPIRVNYQTGAVSTVIDLFRLIQHQHVQALE FETLEWKDLVAHCTSWPNETDLDSVILHENFGGGPQLSLGGASGAMLDPIFTMGGWKR HTLVTWPEPGKLKAFLMVRAESLDKVLAERLLADFIQTLVRFLDGPEAQL UREG_04219 MLSKYEPRPHLALVRAHLQNVHQTVQAGDVEIDGDSLTIGEVLA VSRFGCRPYLTKNQDTIESINESVQVLKKCLATGEPIYGVNTGFGGSADARTGRVVQL QKALMQLLQSGILVPGDYEVGSDDSRFSSALQSHAMPSDWVMGTMLIRCNSVARGYSA VSIPAIEAILRLIQHHIVPVVPLRGTISASGDLMPLAYIVGAIEGNPGVHVRMGNQVC TADRMLAMINAPPITLGPKEGLGLVNGTAAAAALASLVMYETHHLALLAQLVTALAVE ALRGSRDSFNPFIAQTRPHDGQVEAAANILSLLDGSRLAQGRTPTENDQSPAQPTKQS QHRQGLVQDRYALRTASQWIGPQLEDLMLADRQIAVELNSTSDNPLVDCSTGTIYTGG NFQATSVTSAMEKTRLALQMLGKLLFAQCTEMIDPGLNNGLPTNLVADDPSLSFTMKG VDINMAAYMAELAYLANPVSSHVQTAEMHNQAVNSLAFISARYSMHAVDIVSMMAACS LYVTCQALDLRVLQLTFLEALIPVWHDTLQASMGAFLPQAELDRLAQLVCDASAGAWA AQSRLDLDERCERVVDTMLPILLPHLIQQADPSCATPVAALQNWKTTAITMVKTTYLQ VAKRFYQAPDTANYLGSGTRKIYLTVRERLQVPFHRGFIEHPTTGDGSGGKVDGREKK TVGQWISVIYEALRDHWLAGTLVDAFQS UREG_04220 MVAIISEPSEYDETLARDEGLGQLFYQRVTEAPSSIAVAAPDGQ SLTYETVHNKAAEIAQALHQAGFGVEERVGILVQHSIWDAITQVAILYAGGTCVPLDP LLPDQQIQDRLERIGARHVLVDAANFARNLPSLTMVPLGEKFCNGPLTNGALQAASRY PLPTRLTHVTHLLHTSGTTSTPKAVQIAAGSILHVAYHAPFEPVRRSDVVAHSNPISF DLALFDIWVPLLSGACIQVLNRATLLDIPALADAIRQRGVTVMATTTALINLTAATCP TAFEPLRLLLTGGEAANLQAIEAIFSAGRPGRFINAYGPTECCVFCHVHEITTEDMAA GKVSIGLPIGRNVCCVCDEEGRLVPDGEEGELVVGGPGVSPGYLDQPKKNAASFITVP GMVDPMTGTPYRMYRTGDLVRRRLDGDGQYDFAGRRDHQVKVRGYRVELNAIDATLMQ TGHFADTIVMRIDSSEIGAGAALVAFVVLHDPSMAGSAIEDARSTLLATLPEYMVPHI EVVPEMPLNAHNKVDRRQLEEMYRKRREQHLYTLNKDTAKMQTREHLSRFWATILATP IPQYSDDDDFFKLGGTSLQASLLISRIRKELHTDISLLTLYENSTLGSLSSVVDYSRG GSMDTVRNEQDVWVADTKVADGLKLPDGPVVDWRRDTEGRVFLTGATGFVDTFSSKIL VLCGTLEDQWLGLGEERFLEVAEWASVVFHLGARVNYTQPYSLHRPANTIGTVNVVRL AIAKRLKGVQYCSSISCFGPTGRITGSKIVYEDASLAPHVEALPYDHGYSQSHHVTHI RVCLLSGPLVPSTPSTIGVN UREG_04221 MAVPTITIFAATGNQGGAVARSLLKNPAFKVRALTRNPNSDASK ALAALGAEIQSANGFDIESMKKAVRGSWGVFVNINSDDQAFRNEHGPTEFDMGKTIVD AAAEEGVRHFIFSSATNCTELTGGKVRMKAMDSGYTVKNKIEQYARNIDKFETASFIN AAWYLENFLAKEVAPIFGGFPHQVDDEGYLTFAVPKWGGNEDVPFISMSDDFGDLVQG MFLDPQRWNGSVVHGCSDICTFDDLVAAFEKVTGRKSRFRPLPSWEAFDIKGIPELED PKLMFAFTQATNGRYFGPEPSEKETAALLKQVTSAALGKPEEQRLVSVEDWFRKHFQA UREG_04222 MPMPDSTIDVLIIGAGPSGLAAALWLAQLDVPFRIIDKREDQPR VGQADGLNPKTMEIFERFEIHDQVTKLWEPATDETLWYRDDNGQLRRIERYRNVPPPG VRWTHGTLQQGRVEEIMKKRVFELSGVAVEYHTCLVNLTVDTSQLDIPEAHPCTVLVE RPQGQNEQIHARYVIGADGGKSQTRQLLGFDMQGNKGSSIWGVMDFSGGSNFPDFGTT SIIRNDIDGSVDFVRREEDLVRMYVELNKGPEGEHITRDVITPELIIKKCQYLLRPFK LDVRHWVWWSAFTATQRLSSALSAHQRVFLVGDAVHTHSPVTGMGMNTSIQDSYNLGW KLAGVIKGQLTPRILKTYSTERGPVAHQLLEADRTALELFSTPFGNETPALLQRMEQM RIFLGGRRIRYDDPLLTWLPVQEMGIFKPGECLPEMLVTNHATGRSVSLHNVLQTNGS WCLIVFGGDVSHGGQMQRVQIIGSQLKVLQEKCRGSGRSFLNTVFVHCAPWTAVELAD FPAIFFPPHEETGRDYRKIFIDEGTMYDEAGVDRSSGGLVLVRPDQHIVWIAGLDDFK GLERNLSHVFQIGLDG UREG_04223 MPKPPGVPFPQASVSTISMQSIACAKDRLFCPQSNIQVFGIEWM TLLRKDCAPFHKAAFLQTNRADLGLRYWNWALSANNLSASPLFDGSPTSFSGNGDPID ADPVIPLTPSNVSIPRGTGGGCVTNGPFANMILNLPDLDSAPNDVFPPNGFHYSPHCL TRDLNTFIARAFTGQADVDRLLASPDIATLQHNIDVSSWPAIREAGIMGPHAAAHMQL GRSMDDFWTAPQEPTFMLHHAMVDRIWALWQAQDPENRRWALNGTSTIMNAPSTPEVD LDTQLTWGWLSENKTLREIMSTKAYEYNYEYGD UREG_04224 MVIDGTGTGTLIELLAECARPRPEHDRILTFTTDWECEAASRAI LATIGQERSNVQSPPAAQSAASTGHDEVHDAFLVDYNFRLSAEKIQWLHQLAQSQQIE TAQPGQPSKLVSDDDVVTAVFWVCLRRIRSRPGLDGTPTCTLNRFINVRHRLSPPLPA HYLGNCFLMLDESCSTDGLDQPEQHTKEGFAREIASAACILRAGLNKVDDQYVRKHLA QFSHANNWANTTIHEPDVVVTSIRRLQAYQDFGPVLGKVADFEILPYMNPNGVCTIKP DRGVNKSWEVGVTLEKEAMDELRKDPMFGWLVELGSPLQIFRPAQ UREG_04225 MRFSRWIEQLAAFSLIACVSARPAAFHPQGREDITFSVAVSYAR KSTTPDALLFQIKAPTTVRWVALGQGNQMAGANMFLVYSSSSPSNVTVSPRSAPGHAP PKFNPNAQISLLSGSGIRDGFMTANIRCEACLVFGGGLWDEQSIASEWIWAYTVGRPM SSDDLSTDIDFHDDFGGAVIDLSRTKADSSDKDPFLNPIWDAVRGSEGSDNPPAAIIS TAAIAHGCLMAIAFVVLMPIFAMLVPISTFVPISVTRVHAPLQGMALVIAITGLGLGV KLWTGAGARAAIHPILGIIVVVCLCLLQPSFGWLQHKHFVRTGGRSPFASLHRWLGRI MIALGIINGGVGLLWAGAGVVSGPLHTGLRVYVVLAGMVFLGYTIAHVGISVRTGRQR GACSLHSSASNEDLIWSHEGRLNGGGGASAKYGSTD UREG_04226 MPDVPRWLFSRGTPEDAFQTLFHISHSIILNERRKKDNAKKARG KKSYTGLPTGNDNSDKDIKRAEDEYGTGEPEASANADANNEHWLPSLPSSPLSSPPTP SCNGGSLPLSDRNIYTFLLDNEPSVCGPTDLVSDRGTIPISVDLLSFDKWVEYLKQDF PGHDPEAYEIVFDSHIRGQQVVIRNSRQWKAALREVMATRNSNHFQFMIRFHPA UREG_04227 MLSGILIFNQKGENLIFRAFRNDCRPRLADIFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFLYRLVLLGKGYFGKFDEEAVKN NFVLVYELLDEILDFGYPQNTETDTLKMYITTEGVKSSLTKSPTDSSRITMQATGALS WRRSDIKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGQIIMRAYLTGTPECKFGLND RLLLDNDDGAGDGRRGRAKPTRAAAGGVTLEDCQFHQCVRLGRFDADRIISFVPPDGE FELMRYRATENVNLPFKVHPIVREIGTTKVEYSIAIKANYGTKLFATNVIVRIPTPLN TAKVSERTTQGRAKYEPEQNNIVWKIARFSGQSEYVLTAEATLTSTTSQKAWSRPPLS LGFNLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRYVASACFASCLFL UREG_04228 MVAIDRAVSRRRRPYEDIPLVPIIPANRPPAPSIRAPDPSYQPP PRSREFNPPCAPSRNRGPLLSIRTHVRRPVASPWNPINHVPRTTVIPAPRPIPLSNPA PFAESSRDVHPLLTIPERRRSRQQPSPSSLFVERSAGETESGRTSIAIPRQHRSSGTW DEIDMGDHRQEAGENRFNPLGPSPRFLSDPHHDPARRINSRHSAISHTFASFPSANGN ASTQDGSGEDGAADPAEELTWGPAHPCFPHMNPHVPLHSAEYQNTRVIRIRRDWMLKG DLAPTFSNLYPEILDPLLPESEFRKIIAKVNREMVDIYNPFSLQNWVDATIGFLTGWI WDDIGASRVKSRLRAVEAWIDRWNREVGVAEGVKVWPLRSTGYLSLDIQIPDPKVGLI GSDSASIHGEVPNIHGARIDAPEMVQITGPGD UREG_04229 MFGLTRFAPALRTASVPRAFTTTSARGIATITITGRLGAAPELK TSQNGREYVRYVLASTSGPNRDASWFRINSFAVDKARDHLLGLPKGTLMSVTGDATVN AVENADGQRSFNLNVTQRNYEVLLRGKPEAEASQGSE UREG_04230 MSEIQPSFEMSPAGVALSAIAFLSVIVCIPPLVWHGRNKNFPAI CLTVWLIIQNIYNFINPLIWPTDDIGSWWEGHVYCDIQAKIIVGSGVGIAGPLACIFR SLAKVMNTDSATLMPSKGEKRRTLAFDITFCLLIPAMVMALHYIVQENRYFIFAIVGC MPSYYSSWPTIVVGYMWAPLVLTVAAVYATIVVYRLIKYKREFNYIVSASSTTNKSRF IRLFALSMVMLVGSYPAQLYVLFFNITGWGPVKPFSWSKVHRPDWQTIDKYPMGGVVF FDRWIQVIAGFLLFAFFGFGKDATLMYRSFLLKLGLGRCFPGLEHPHISGSGHSSSGT KGGSFGSRARMIFKKKSQETVATSTPSRADDWNSLTLKTMTSDDFGRRSSSLSLAKPI ALPGQARTAPGGRRPSLLSEPDLFDAGDILQTSPPIYNRNQHLDSIEVKKSFTVEHGT RGSDMV UREG_04231 MLINLDGLKARSEKDPSSHTLAEVQKWLILRPGFEQQATAIENQ ADKQRGLNKAAKANIPGFSPEDNARGSETLDDEQRRMGVRVLLAVPAQSSYMDVILQQ PDGLVAGAKSTIFALAGRLASHPTASRVVVIPGRDGRRLATVPVVNSSEAAPKERRLS VSPSSQFRHRHGSRIISPIRGNLRRATTFSDTLSEARESIKTSTDDVLRPRVSSQTND SNWQSAPLVLALLPAIGGIFFKDGGALLTDVTLLCLAAVFLNWSVRLPWDWYHSARAT VVGDSNDDLVATGHFPKKLDGDSGSDTALAQKKAILASSKSPRPSDRVVTASQELYTH ESIALASCFLCPLLGTWMLHTIRSQLSRPAGGLVSNYNLSVFLLAAEIRPFSHVLKMI QARTLHLQRVVKSASRNQEMADPSKLVDMAGRLDALDAFVSGLTSSELTHQTAQVFSE AGKELDQKIRQIDTQTRKAIQGDIAALTRAVRKYEKRLTMSELQTNARFNNLESHIYN ISPNNAIHAKPEISPSFLWALGLATSFRNALVLPWRMSRAVIMLPVLATTWWFSLLVK SFRLDARSDISNQRRIGRTGVRL UREG_04232 MSIHETTTPSDLRDAPGAFPDQQDDREDAQVASDFNSLSRAVYA RRAEYVRRKTVRVRIGSWNVAALPGTEEDLAKWFMKPARGKENNHKGHKSHRSESGID DKREGADCKQQDDESLVAEDESIDIYVLGLQEIVDVNSPAETLRPYVDPAPSTRWKEA VQNALPDRYTLVSSQQLTGLLLLVYASQSTARTISSVSSLGIGTGLMGYMGNKGAVAT RIVIGGITRIVFVNCHLAAGADKASLDRRNWDASQVVMRAKFDPIDEGDDLDHTSNQT LGEEDFAFWFGDLNYRLDDIPGDDVRRLLHLHTENDFGPLPKPATRNNEDRGSQTSNR SSMEEGPPCESPGEAISMVDNDLELDQDPASLETTLTSLLPHDQLHAQQRKRNAFYEG WREAEITFLPTYKYDIGRIGKFDSSEKQRSPSWCDRILYRTRQDYLEYKRRTKEAEDV RKRDDEMKSLGLDQEAEDASVLFDYDPEFDGAYDENEDADDNAFTDPPSIGEYEDLLS VSCYTSHQGIVSSDHKPLHADFILTYDSVIQELKAKVHQEVARELDKAENEARPDITV VVDVPVEKAGDTQQASNGTTDRDTVNFGQIRYDVPVSRSLTLANTGSVPATFSFQYRP VAADEESRVSPPWLHLRVDWPANGDTQAPNTHKEYTLPPGESAHVHLVLCIFDMDFVR ELNTGKAKVDDVLVLRISNGRDHFLSVRGQWLLSCFGLSLEEFTRIPEGGIRRLEISS FMPYLSGSERNDNVRTSAPRELFRLTEALAELVERSLAEWDMVGNSDEPDEDARPWAS ERAGWPFDSETWSLKNSRERYKLLGLIREALDTSTPFFAHFPPEIPSRHRAELLSETL ISFLRSLHDGVITKALWSELESQIPLHEKPRPAPLITEQAQSRVLEAISTSPAHSVSF TFLTFMLNRIAGEVAPLGTSTTSMPLSPATPSVASHGRASTDLSEPSSPSVSTSTRSS ITFPFRFKSRSRTLSSSDGNDAPMINSRPPTSNAPSNAHTATLARRQAVYKAFVGIFV DVIFSKDIAVPEKDKEKRAFEERKRSILEPFLRSDGDS UREG_04233 MTLRKARQNPRSSANNTQPAQYEPDLQALQGSQSLEMSADAPTI PSPPARSNEDLNITVVRRYNPSISTILSLAPFAVIYIFSATTQSWEKAGIEGTLFVCQ LTKGDLGEERYSVMVLNRRGMDNFEARLISGDDVEITDQYVILKVDGFEKGREGAIRS ADGRTSMIYGVWIFSEPAPSSTAEMRTINAEMIKECAVHGGESRKLAEERLAAERSQS AHVQEAPGAIPMNRQVSLKELFGQQRAQDDAWSVKVHSPLNESGPHAPVQQVANQPSG WPAPQGAHPPSNNDVLGALFRKANLGYQGYS UREG_04234 MDPSKTRITPNSFLTKVGSSKDGDPIIVYFIPGNPGVVSYYHYF LSLVASNLSSSSSASDAFASLASAELEKRTGAGAANSAPESSSSSSTRSEQHVNSSSD EGRRKFKIMRRRSSKKVKRNDGGHPHDDEKSDHKSLSSRKDELWKCHPNTNSEESFLI TGRSLAGFEIDDGASYISSYLLSTFSATDEIHSLRDQVEFVESNLGKFVRRYQDKITA AATAVAVAAGQKKDAVNIRRPRPKVILLGHSMGAYISMEILRRRRERELKRAAETREA GMSSDSHSGGDGEVEMDIIGALMLFPAVVDIAKSPSGKKMTTLGYIPYLDILTSLAMK GLMSATPAPVLRRWVRHVMKDPPDDALDTTIAFLKSRTGIRQALHLAAEEMEQIGPDK WSDEIWGISEQHKPSHDVKSHLTKLVFYFGRNDHWVAEKTRDEVIQARSAKGGRGPKM LICDDGIEHGFCIRHNEIMADKVSGFIREIVDDYRAKK UREG_04235 MALSFQEAEGCAVIWEFVRHVQQHFMGLSGPDDALSDDALDPLG NQIMLPPPELGNLAEIENAMRATTATQAGRDAISKFVIRDDYILKLLPLVSTAEDLES LPDLHRLCNIMKSLILLNDNTIIELVVSDPVISGVVGALEYDPEFPTHKANHRQYLND RSRYKEVVPIKDPIILRKIRHTWRLQYLKDVVLARILDDPTFSVLNSLIFFNQVDIVQ HLQTNTPFLNELFALFNPRNTDNKRKEDAVQFIHQSASIAKNLQATARGSLFGSFISH GLFSVIVYAVKHPVPAIRSTGVDILVALLDHDPIMMRGYMLKAVNEKKIPLTETLIGL LHVETDLGVRSQLADAIKVLLDPQTPVPEILSRMGADFIPKLRSQNVFPDTFVQNHFD ESAKRLFLPLKELELRPDLNNLSFQEVALFSHLVDILTFFVRQHLFRSRNLMHTESLA PRIAQLLTVPQKHLKLIALKFFRTLISLQDTFYYSQMTHNNTFELILNVVYETMPRDN LLNSACLELFEYVKRENIKPIIVHIVENYREKIKNITYVDTFQNLMIRYDQMQGYGAQ PEQTLFSQDECATPTRNLINGGHRWQGVREMDAAEEEYFDASDDEDDEVGLFSSSEMM RTSALENRAQLMASSQQGKSAAEANAPPNGSVSPTAKPLVDYPDDDEDAMEVSEQEQP PTQTVEAPPVQQIDEQPDSDDVATQMSFSSERFFERFSEKRRREEDDEDDELIKLSSA SKRRGSTASVSSVGSRTPGGVQAKRSLSGQSSPVSSTLNKAGEKPSTNPAGSGSKKIS INLSKSSATQVTLKTQPQNTVDSPGNDESNTSESGAAGGEEGGGSNTSGTD UREG_04236 MAGRGRKRNADGCQEPSADVAAGDRMPKAILARREGNGGSVGDA DGRNGTGRSWKRGRNLIAGVDARDGRCRSRVGAKAGQPGRAGQRAGERSQTEAGGWCV ADALERAVRFIRWGSAGRRGGFAADRQLVCCSPTGDAKLHPEMPEIPGSRMPNQTMQE QQFLFRQMLQMKRLFCERAIMACCYNTVSQILIQQAVLVFDGSLQAVEQILVLIKLPL LPRPFRQLYTGLLISSNTVLELKLMEWLLQPFTKESWASPAGQSDIQNSGSYAVHY UREG_04237 MADTDSKGPEVTESIGTSGRESPRTENAGACGDAPSRSGTDANT PDERAASDTAGKGYFAYLRTREFYTVLLIGQVLALCITATNTFTNLLAGAGTSIPSFQ TLFNYILLTLVYTSYTIYRCGFKGWIQLIRERWWKYIIFAFCDVEGNYFIVLAYRYTT ILSAQLINFWAIVIVVLLSFFLLRVRYHWAQYLGIIVCIGGMGVLFGSDHITGSTAGD SRSKGDQIKGDLFALLGATFYGFANVAEEYLVSKRPMYEVLGQLGLYATIIMGVQSAI FDRESFQTAVWNSKVGGYLTGYTLCLFIFYSLAPLLFRLASAAFFNISLLTSNFWGVV IGVKVFKYAIHWMYPIAFVLIIIGQCIYYLGRRVWGEATKPWLGRNQEKGVAGIGTAK RRIESNAGGAASAV UREG_04238 MAYYEPQSWQAPVRQSSWEQPAPPSRSGTSSTAQRDDTPAFASQ FDEVDRAIDNLVKSGKLFNAMPRRESVPLMMGRPYDYDPRMPGGMIPPRHHSISDYDA VRPHSASNLQGFYAAQRFQGRPNDAEHMMQAKRRLAAQRERDLRNYHQEQQYNRST UREG_04239 MSWPVPTEIQSQLPLRPTHTAQQSCSVPATPFHQPHGLRFSSRS RSRSPSPTRGSGSPRSAHSEFNHVLPLRPQYGGCKYETAMAHFRRRMPYSLGADLLPD EAGPLKEQLDSEAEAKLTSDMKDLYKTLLPSAESEERRIKFVRKLEGLLNMQWPGNDI KVHVFGSSGNKLCSSDSDGGMERIVCVSHAKVPIVKIWDPELQVACDMNVNNTMALEN TRMMRTYVDVDDRVRPLAMIIKYWTKRRILNDAANGQPMSSFDDDLNKLVGFGDKNDS TLGELLFQFFRFYGHELDYEKNVISVREGKLISKEGKGWHLLQNNRLCVEEPFNTSRN LGNTADDTSFRGLHLELRRAFEAVAKGDLEECCEQYEYPPEEERTWERPAPQPRAVLT PMPALPSRGGRGGGRGGRHTSHYHRGGSNMGRRASNTANRNNIRSANPGFTTDIALQA QQAQTLLHDHLYQRIQILQAQEQELRIQLQNQALMTGRAPPTLIRQPYLHFPLPQAEN MSPEENPRVRAGSVNQPPLTAPIRQNVFFNPSYIPVVLPSVQGTNTNPPSPSLMSAVP DARRSHRRSSVANNSPRGLRAQSQPARPLPSTVIPTFSTYTPATHQDSCPRKSPEFLH RSTVEAEVYSPNGVHIPKSSLADENVPSEYVGYYVGDSTQSHGPYRGHLASAHPGLSV HNCELPAYLHSSPEYRAYITTSEQSNGCLEHLNLPKPSSQSSQPQRLTRSQTLPDRGP LIIDGSVPPSEHRHSVTNHSSEQFMTDFSTSSSTDKVYDTPRTTPDTLSQGLHEQGSY DDLFGSSYETRHGSRGTGQINGWEPSLNHNDIGATGQMGRVETLSERLQRFQLSDPKV ALDASGCTDANQYRGTQYLYPIDDQLPGLHANGSSDENSQPLFNAAKSPTMEPRMLSP TTKYCVNGFELEKPNGIAHKSRNRSRSDHSNSFQANEYREKQSDHGRKPNGIHHLHTG ANGYHHSNSNGWQTTKRKSKKGARALEQNDSNLRLESVPADQFPRKGG UREG_04240 MAARPLTNGRTNGSAHPQNQYHDAAEERRREHHEADIVIIGAGI AGCALAVALGNQGRSVLLLERSLKEPDRIVGELLQPDGVLALEKLGLRHCLEGIDAIR VLGYNVVYHGDPVRIPYPQDANDKQFEGRCFHHGRLIVNLRRAAQSTPNVTVVETKAV SLVKSTHGDQVLGVQCVTNGEKDFFFAPLTVVADGYASIFRKEYLPHTPRVKSKFWGL ELIDAEFPVPHYGHVILGDIPPVLIYQIGTHETRALVDIPENLPSASVQNGGVKGYLR NVVLPSLPKSFQPAFSAAIDKGGFRSMPNSFLPPATNKTPGLMFLGDSLNMRHPLTGG GMTVAFNDVVALRDLLSPENIADFSDTRLVLKQLSKFHWKRKESSSVINILAQALYSL FAADNPHLKVLQRGCFRYFQLGMIDGPVSLLAGLVRRPLVLFRHFYTVAFLSIWLLLR SAPPYMLPFTILQCFIVFFTACQVILPFILAELRT UREG_04241 MARLSWDCLPLYLQIFLVTTAFSATCYFPDGSEAKRDVPCKSNG ATFCCRYNDICMSNGICMGVGDQPYVMSRGTCTDREWKAEGCPSVCRGPKDNADGGVA ITVFRGGKHPTYCCGGITTKGDTKVCDNEDLPFSLQDGEMIFGHAALSNVVSSASATE TDTTPTTPPAISPPSNSPSSGSCSSKSREVAIGAGVGVPLGIIALFAIGGMIFERRKR KAYIAQGQTAYGQAQWSQHPPETKPHNPGFVQYAPAELGGGEPLEHRLSDNTTDYIIR IEGKKISRERTSIECNSAKRVDSGLVHPGVPAIVSSYPEICTVEPLLQPQFMTMIPPP ATGTALPSRGALRVLRRLALAGSTVGAIGGACTVATISYEVNRRVQLVENLVENKRSL KTTCPNYDSAARGAMVAKMMEAAEAGEFLGIDSMRQKQEGEVKQNAEDGRTLSGPAGK GPSEPKTTDPNAFRRRLEALTWMQSLHGPWNIRQPALRPSTRKYNRNADDTNLTNLLT ELQSKDDGAVDSTPPLPSYRLRYRDSQEENAALAEKLLLQGKPIEASQRFLRAYPTAT PTLPEEARQLCIKLFEDNLRADNVHLAYRLFRWMGFASIISQQAWESLILGLAKKWNL EMMATIYTDHADRFKLPKSLRYSVLRSLTDSFRLEEAKNMVFRFLKEDEQCSLSAVYL GRLWKKSRNVELVKAQFDAIVAELQDNEMPVSRVLYNALLEAYVESGQDELADDLVDR MKSKYNYSLPIRTLGLLAYGRALKSDWEGVERLFDEIQKTEPQHNSKWFAKIFDRVFL EYFLGNSASNIRNFLFHAIEKYNLVPDNVLFEHIIQAYIQKGDPTMMIELIEVAQAKA WPIVMKRGRFLDLLRLQRAKCGKANVGLWQMFRRFGRFSVSQRILGFNKNDFSENGIL KLPRKEEPSIWSRKPDAQRDFHQFPALYQQMIHCMHVGSMDKAIELFKEAKMLGKVMR ELDIDLALTATIIHNGSLEEAKSILAEEKNSFPWIDKSSMPQFFQRLLSTNNASESEA LTMAILNFYKILERQVFPMKHHITISTCSNLIRRGRTKNALRLIRAVNRSKFGGTTPF DSVGVLMIAKASSIVGNLAGVRWAILTALNRDSAVSKDFVAEIYQTIDRFKSRSPEPL GSNSDAYSREIKYLEDLAEVLGQKEKFISRLSPGSTIPVDQKPQVHGDGGPKFTVPEI IDTNGISLSHTLSTWLERVQLEHALTSTNTYY UREG_04242 MPVQAPSTLGHEGESAIHGRPNRHGPMITENMEEEIVDERTTLL ARDGSDTSDRTSTNDKHAEEWTAAGFAGLPWWKRPSIFWVIPPLLPFTIAFGGVAVPK INLILTLICRDYLAERSVKDPTFTYLPVIFGEDNPQCRIPEVQALVSRFQLMLNLIAG GLAAIASPKLGVLSDGYGRTKIIGLATLGTLLGEVLTVLVAARPDIFPLNLLLLGALV DGLFGSVTTAVALTQSYAADCIPPERRNVAFGYFHGALFSGIALGPLIAGYLIKLTEN VLIVFYSVLGCQLLFLLSVTLIVPESLSKERQEQNRRRRNIQLTGMRLSWKHFNPLDF LKPLSIFFPPKSKANALLPGETKRLHDLRRNLIILAAIDASIFGVAMGTMGILIIYAE YMFHWGNFESSMLVSLTSSVRVVLLLVVLPTITRLVRGRASGRSHNTGSDMLDIVVIR ISVLFDMLGLTVGTFPQTVFICLAGLIFVAFLSSWFLKPHVYLPESLPGSNEVEPEDL EDVTDVL UREG_04243 MALQRSMARLCRREMGGSMWRASSIANNYSQKGCGMATAVPPVT QSSTGAKGPTAMVFLNMGGPSTTDEVGDFLSRLFADGDLIPLGRLQSYLGPLISRRRT PKIQKQYAEIGGGSPIRKWSEYQCEEMCKILDKISPETAPHKPYVAFRYAAPLTEEMY TKLLDDGFGGGRAGRAVAFTQYPQYSCSTTGSSLNELWKWRNRLETKRGNGGVEPTGT IQWSVIDRWPTHAGLVEAFAQNIEAQLKTYPEERRKDVVLLFSAHSLPMSVVNRGDPY PAEVAATVHAVMQRLKFSNPYRLCWQSQVGPSAWLGAQTSHTVENYVKRGQTDLLLVP IAFTSDHIETLYELDQEVIKDANSPGVKRAESLNGNPVFIQGLADLAAEHLRSNETCS LQMTLRCQGCTSDRCLYQKKFFAGPQYSHLVR UREG_04244 MADSEYNREEDTFEEEEEVDESGYKSVKDAVLFAIEISESMLTV DPNSGSKRTRPESPATAALKCAYHLMQQRIISNPKDMIGVLLYGTESSKFYADDEDGR GSLPYPHCYLFTDLDVPLASDVKELRALVENEDRAAEILVPSKEPVSMANVLFCANQI FTTKAPNFSSRRLFIVTNNDNPHAKDRAMRSAATVRAKDLYDLGVVIELFPLSTPEGD FDTSKFYDDIIYRASPTDPEAPDYSHTSKPTSSGGDGISILNSLLSSINSKSTPRRAL FSSIPLEIGPDFKISVTGYIIFKRQEPARSCYVWLGGEEPQLAKGITTQMADDSAREV EKWEIRKAYKFGGEQITFTQEEQSALRNFGDPVIRIIGFRSMSLLPIWASIKQPTFIY PSEGVFVGSTRVFSALQQTLLKQGKYALVWFVARKNAAPVMAAMIPGEEELDEQGAQV IPPGMWILPLPFADDIRRNPETEHIVAPEPLVDKMRDVIQKLQLPKSRYDPQKYPNPS LQWHYRILQALALDEDLPEQPEDKTIPKYKQIDKRAGEFVVAWGEELEDQYRQLDRPK PVTTLAKRPAPSKAAEGDGRATKKVKAEGTSDEEVKMHYIKGTLNKV UREG_04245 MSSAPPQANEMHHFEPSVEPMHAKSAAEQNAFLSMLKKDHKSHR AIADNYLNFWDANDGKARENTDDERDGRISNYYDLATDIYEEAWAQSFHLCRFAIGEP FRQALARHEHYLAYLMGLKRDMHVLDVGCGVGGPAREMATFTGCTVVGLNNNGYQVQR ATLHSQRQGLSTKVSFVKGDFMNIDFPENSFDAVYAIEATVHAPSLQGAYEQVYNVLK PGGAFGVYEWVMTDKYDDSDPRHRAMRLGIERGNGIASMMTRQHAVSAIKAAGFLLEY EEDLAAHPDEIPWYAPLAGEFRAGGTLWERLTALRLTWMGRMAMSTLVGVLEGVRLAP PGTLDTLKVLSQGAEALVAGGREGLFTPMYLMVARKPTQRVSGKGQ UREG_04246 MGAFLAALCVLVKSSMLVIGTFTSLISVDFASFVNLVCLSSMNE TAIQTNRLEVRFGSLVNITETSLLQLAARFYWQRTGIFDPFGRLIDSLNGSYNIVHIV EFRAGEKYVIRIPATGWGDRFTQSARRAFVAQIRTMQYIKKNTTLPIPEIYGYNDGFR NEIGAPFMVMGYVHGVPVNKRWFDESGPGILEERRLRILDSLAETMSQLQNLKFDRIG TLVFDQGGLTIGPCYRWYDGTFGQADYGKVLRVEESGPFSSSQEYLQHCLASREGGEI SKDPIVNAGSRALLEMIISCLPPSTNGKSDNESFVLGLQDFNWQNIMTDETGRVTGIV DWDNVQTMPRHFGYACFPFWLAVDWNPVLYHFRLRRSFKENTPEALRYYRQVYYNMMA KYLHNVSDLRLVRKSHIFGSLLTAATNPTTREHIVRKILEEAMGADEQAEDVRKLIRM ASGGLSATEEDSILQGFRTLLFVPN UREG_04247 MADSLPSFGVISDNGVDLEDPFVSDTPVGNHSHREPHRYSSFDS HLFTLNASSPSQVKRALEAHLAETDRRLQEASKLGTALVDQQRELSEKLKEVERQQED GEIGPDLRRKLNDLEREYNEIGRETARVSLGPKSRLMGQDDGLGTPSLDSRHHASPSV FSSQATNSPSKVSVPSRKQRNQPSSRVHDIEFATEISTSLLAQVRQLQTLLAEREEAL KAANLEKAHLELEAEGFTQRLRALDESEQRYKDENWALETQTHELLAAAKEASDRESR LTSNLNALNAEKANVQRELDEIKQAHGRLTEENIAAQKAYDSELHILRRNVNLGETER TALHQKIEELAGQNQELVKAVAAKLRQHEADAAKNSNIAEERKNSDDSTPENSPPPSP NKPTPRHGHLESETLKSSLHHAHRMIQTLKGTIHREKTEKIELKRMLQEARDEIEQRR TEPVRPISSHTKRQKAKAEAFKKPPRPEMLGAGRKGITEIEIAEPDWEDENGEPSPTR SVPRSNRVVSYERSGVDSSTTSDAYQTANETEAFETANERETATESEAFQTGAENFVG ESSDDLTETESRVVRTGTIRSKRSDQFGSGRPISFVSTASTSGDEDEYVDVRTPVQAQ PPRYRLKMSRARRGRLSQESHLHQGSAPLSPRDSPASLNSPPQSPQQRTISGGQSLFA ELSAFAGSDSEFGAPLRSNTMSETSTPSRRPSFATFADSSRPLVDASTSFETIMFTSS ATQCVPVEIPVLSKSEPVVTVDVLPEIRDQCELNTVQHVETSSATLDAVAPIVLEMSP IYIEQTVPISMPEKPHLPPIQMDVSVIAFENTPPSLPSVTPSKPSLELVISTVQSTST TPLTIPITAPEPQQSQALEISSISAAGTQPELPIVSMPSAQLGLSDIQYVASEPMKMT ASPGPPSEVFLSQNDVEVPPSGPSLVDRSTQYQSSTSDQGVNTSLPPETEKATQTSAV ELPKAETLVSRVSINTTEISAQTILTGVQIDKLLMERHASRPVTAIESKQPQGLIPSP QNSPLATPKAKPLSSGQAGLLLNPNNPPIKRPGSAGSQRFNGLSHPPLPADHKQAIAA ASQKLPSELSPGTMGPPIAPASSYRGSGQIRPRTPNEQPGRGSIRNLTTPQTKFKRTS TSITSRRSSMSSFASELDERFNLARAAYPFESGADPRMIQAITQTMIGEFLWKYTRKP GRSDMSNTRHRRYFWVHPYTRTLYWSTHDPQVIGKTQHKAKSVPIEAVRVVNDDNPYP PGLHRKSLEIITPGRTVKFTAATGQRHETWFNALSYLLLRMADDETEDPHSAWEVGNE FNPANGRTSRQAESRRSISSHNSRATRTVSKHFVETAPTLRRPVTPNQRPPSASSRPG SSLRPDQTRPGSVTRISNVFRSSGFKSTFSSRRSRYGTTNGSIDSTSAASNDSAEDLR RVLERQDREADRLENVRACCDGKHDVSSLSRTSRYSSRVSPLSHVHS UREG_04248 MAFEFLSRELGTGFVQISQKNLRRYSAGSIGLNEPPMKVFGDVL KVIRELDFTGDLPYILELTGIVAAREQDGGGSEIIYPRSESAGSLEEQGNGPQEEKME SGNGKKKERKRRREERGLYSRVCPSLHIVTDAGIALRVRVNMELNGGSFHFFGDESSS AAGLTVITKPDVFGALGYEGN UREG_04249 MAEPGFCQRVNDLAEPGMLLAGSAWSFAVVLLDTLFRRGELLAP VLRMSQIRSEAFSRFWIKFSGARNPVSSKPVEPVGSSALMPPLLATASGVILDIGPGT GTQMPLFTNPNIKAMYGAEPAEGLHVDLRAKIEHCNLSSKYQILHCGAESSSLLPALD KAGFKDLGSGVFDTIVCVRVLCSVPKPEETIRGLYRLLKPGGKLLVAEHVVNPWCTRK GSVAGRLAQLFYTLLGWPFFMGSCHMARNTGKMLRMAAAEDGGWASDGLETSFAWGPL PYVSGTLVKKG UREG_04250 MDSGTSFRAATSVAVLPSQSTAPVIKFQCLFTYDLKRKAKRWQD GFLRFHTFNRRVMVYGTSGDFVGDLHMRESNTVQDGDQLELERGVLVDVGERLEKTET DLSELLDKRKSNLAASPARRAFLQTPAPSLMTAKSKSLNELLGKNRTPIGKAALPTKS PFDIRHETGRDTTGHTPGRPSKRRKLSPPAEIEQENDRPRARPKHAVATEDPNDVSLS FQPASALKITPLNRAESGRKSRKTVESNQKSISAMLQGVQGAMAFPSPVENPRKKLMY LELTKQTREGKPSHRNAAAGGSKGIAPRNGVEPLEANTSQPPPQPSKGQKDNIAQLPQ PQPRAFSRSHSDVPPAQNANKPTPPLNPPSPSGLNPGRRHIPPTKGLQKSLSDTSMLR ARPGLSRGLQTRLTTMGNTSAPSDTINEDEQGPWTSEALDLFDWWPPGRPKPELKAG UREG_04251 MLTMHRQFGKLMKRSADESQVSVLLKDFDNADKLLTKIIDSSKA WRDAWSSILTYQARLLQEFETLYQPIVGSSEPTAHPPAITPEATLARTARLAEEYESL RTDLLEEIQNVDTRMIKPAMEAKDYLQPMKKVMKKREDKKLDYERYQSRVDAARKKSK RSDRENSALAKAELDLNKAKEEYQAADDHIRSHLPGLIAATFSLLPHILSAQIEIQNT LLALYYTSLHNYSSEQNFPSPPPAMDVVIQTWERDFLPTQREAESIACLSHTKPGRQP RISDEHRNGVISNGLSARHGGAAPTIRKPSLSPVRTMHAPPNVPTDTRPRINGFHTAP AGNLTTTTTISQYSPPRISPTPSESTDHSAYYTPPQQNYTHPVSRTPQIYTSAPSAAM ASMAAAVAKKKPPPPPPPRSGSSYARFVTALYDFDGQGAGDLVFREGDRIRVIKKTES TDDWWEGELRGVKGSFPANYCS UREG_04252 MTRFNQAFFGLLLSQVSLGLASPSQEPCAQVAAAQQKQWQENPE APNFTVSAGLAQACLASVPFKSKDALELIDGLGYFWDWQTTKDYVRNPPRGYLLPPTD LDGGLRRIRHKAARNGYKGELEFQLDLDTLVRSVHDGHFNLGLDLITTFEFRRSELGP LVSISENGRSFPKVYSLYDLQGRAKKPSAIRTIDGENAAKWLTEFSFKGSFQDPDALF NGVLYTLPRTRVSGLGSFLTSRAVLTGAKTSVRFENRTTMEYDNFARSSANFTGVTDG KSFYEKFCTPSVSAASLSSSSEKHSPFMTADPGFESTTAVVAPRSNPDLEPIRESTDG AVAGYFLKGERSNVAVLYLRNFVGFALNADPLWEYSDTVTKFLADCREAKKEKLIIDV SGNRGGTIFLGYDTFKQLLPEGKIETPFNLRAIEQFDIIGTKVNRLLDNPSDPKAPAA ERERNDIFDINSYVDVDGKKFDSWADYFGPEKVGRFKFANLAYWDFHNEEMSLKAGGL IVSGYGNRSDVAPQAFKTENMVLVTDGICASTCAIFADLLNRNGVKSIATGGHGRFGP MQAVGGVKGTQVLTFRELWDSAQRVFAEYSTPEEQQKLKNTQLGKMISKGKYVLERLL DGGHGGRVNYRNAVYPDDKDRVPRQYVYEPAHCRMWLTKEALLDTNRWWTTLANDWWG RKSRCVFGSRPKA UREG_04253 MENNASESPSAASPNGLKIFPGPKRSRTALNEADHSYPSDQSSR TPSLDIRPATSHGSNAAPTGLAKLIPGAKRRHRKKAKALNSDGLANSSENLGESRVSL PTLEVNEQRRRSMTDPGSNHGTDDSESESNHDNEWGRSPLITISNPSIDERSQSAHDS ALDKLNILRSSTIGAPAHDPSAKESMGSAAGRKLRDAFNPRSSNRSSNSSPDRESTKS SGSKGGKGFLDSAVRKTSFSSKKSKKGLEDIPLILPPPKFPDGDTPKPHRQLPPIDTT PKTPPSIARPPPVTTTVTPPTPTHPKPRDFDTRMVDSPESMTSSSKLPENAVLSPSGN MISHRRVRSASAAHNPSKLSNSITVPPTPIDENKVSASRHAPSNLVGGGFFSTMFSAA QNAASTLSIGLNQGRTRNGTDSVDTEQHMKPSPAENESESSSSQDNTLEQKKELAIDT MGTGDLDFSHLGIDASAGGVVTTPDGVVFTKSDYVGPSRRSTVSQRDELASRIEDLRA ARAVSMAYERPPQSAGLPGSTNENQPPDGRPSAPFSGFGRSSGGERTPPTASIAEGDI AQHVKRSGSLHSRRGIRRKRGSSTATATTIGAIGAALGVPGINLSVPRLTGFAVASKK RNRDFHQLFRSVPEDDYLIEDYSCALQREIILAGRIYISEGHICFSSNILGWVTTLVI GFDEIVAIEKESTAMVFPNAIAIQTLHARHTFRSLLSRDSTYDLMVNIWKINHPTLKS SVNGAQIEQGTGDKTEKAELSDDEDVSMSDDEEEVYDEDEEGGAGSNAEAADSTVASD LSESGKRFIRKSSTMPVSATASSQAISVGAADESKGLDKSKGLPDGTAQFPGPRSHAP TEFTDPSGRYDKVVKDEVIPAPLGQVYSLIFGAASSSFVSKFLVEYEKVTDLQFEEDK TGLTEENKTRSYTYIKPLNSTIGPKQTKCITVEQLDVLDLEKAVLVTLTTQTPDVPSG NVFSVKTKYLLTWAPGNSTRFFMSCNVEWTGKSWIKGPIEKGANDGQLGYGSNLIKAV KAGIAPKTRKVTSKGAVKGKIRRAKTVISETGSNDGESSKSVRDKAASWGLLEPLRGP LSPITDIFSPFWSPNVAIVVLCSLLFMTWFRSWEDIGRGTVAPRTRSRGIPLHHLSIP ERLLAYDELWAKEESELWDWLEDRVGMENLVIPVADTDRSYSKQAHRDAENRLRDERM SEREIADAIRVTQQRLETLQQVVERRKSNRRQTKK UREG_04254 MAGIKELIVVFVTFSLCIHSVLSENGTYHFTIKQVPNPKPIARS PEDLYSRALGKYGYQDHSLAARYLSRTNSSVPAIPEPDDESFLSPVRVGSQTMLVNID TGSADLWVFSSLLPKEQREGHTYYTPSLSAVELKNYTWEILYGDGTSALGKVFRDNVT LGSISVGQQAVEAATSVSPALVDDRNNSGIMGLAFGTLNRVNPVKQRTFFENAMPHLS APVFAASLKHGAPGSYDVGYIDRQKYTGELNYLPVNKTDGFWSIEVAGYQVGNGPKIH LSFEGTADTGTTLALLPEQVVRAYYSQVPNAKLHNGRLWYFPCNTSLPDLGLMINEHY TATIPGHYIRYKNPTPRLNNDCFGGIQAARNGAVLGAMFLKSQYVVFDYGKLRVGFAP QAKGNATARANVGLRNAL UREG_04255 MVKTTTKLPKTNHRFSIERDRTVSRTQSLFDNVVGHFRINKTRH HWVAGYSNKAMPRNTPASLAIEHGSGDDTAHLSRVAQRLCSILSLFGQPGFSNDLDVI EKTYADEIREQKDVSALQRSICTIWQVKQQEISELEEKISEFEKQKSENEKAVKAAKR ERVELEKQKKDLERARGEEKSRMEEESRKKLQAKEKELDKASQKKIEKAKADIKAAYD TLKADKEMLEKQSSASEKALKDEIHDLQLIKKSLRAENAELKIRLSAWEPHLGVAKMS PSNYRDRLRELSEDINNFAERYFDAIPENIEIVGSLGKYEKLSEASPIFEYTSLSAAP ISKYMRKAAVRQFISTRVVLILESQLLVQEGQTTAAEFLQNISASLDPPVRTVWKTIT AKGLDQVPDFSVDTYAQRFAEHTLGLLQSLVKNSRDQVVDDLKKIFAVALNIWKTKRN NSDQIVINNAPDPSDGVWQVDTQQSLLINEQNIEPNKNRPQCKKPKPFALFPQVIGEF ESDSGDGKTTREILHPGIALFSDSPVYNLALDDMEELKIQFRGLHQRHPSSPISPQSF IKFSIPNKLGTNGIAS UREG_04256 MVLDRLKQLSLHVDGTSPTPHPFDPLTTAEIDAAVAIIRAEHGS VRFNAVTLWEPRKSEMMAWLANPHNAPRPHRVADAVVIVPGGKVYDGVVDLTEKKIVE WKHTPGVQPLITMEDLQSVETLMRKDPKIIEQCEIIGIPKSDMDKVYCDPWTIGYDER FGNGVRLQQALMYYRPTIDDCQYAFPLDFCPIFNAETKEFIHIDVPPVRRPISKAAPI NYHVDAIEKEGGFRNDIATINITQPDGVSFKVNGKHIEWQNWNIHVGFNYREGIVLNN ITYNDKGTVRPVFYRLSLAEMVVPYGNPEHPHQRKHAFDLGEYGGGYMTNSLTLGCDC KGAIHYMDAAFVNAAGASTIVKNAICIHEEDAGILFKHTDFRDDSVIVTRGRKLIISH IFTAANYEYCVYWIFHQDGTVQLDIKLTGILNTYAMNPGEDTYGWGTEVYPGVNAHNH QHLFCLRVDPNIDGSNNTVFQVDAVRGPGEVGSAENKHGNAFYAKKTKFSTPKEAMSD YDGSTSRTWEMANTNKLNRYSKKPVSYKLVSREVPSLLPREGGLVWKRAGFARHAVHV TKYSDEQIHPAGRHVPQTSGEPSHGLPMWISETENESIENTDVVLWHTFGITHFPSPE DFPIMPAEPMTLLLRPRNFFDRNPVLDVPPSYARTPSQVLKGINGCGGKCGDGSSRTV UREG_04257 MPPPAGRYGPSGIAGPFPHLQQPHLQQQQQSQQLHNAHPQTAST GIPPSSLGGHPGFGPGSSNSNINPFSLPGANGMTLTGFPSTGGAGGGMPDGGGTGLAS HAAQMGFLRGAQMQQQQQQQQAQQGQAHLVHDGRLVMDGKADKSRIRDVWKHNLAQEM ETLRALVEKYPYISMVNYHYQTLRCNVDLLKMIQLGITLFSAEGEVPPAYPADGTLQP NGNHLIPAPCTWQFNFTFSLENDMYAQESTSMLAKAGIDFTMHEKNGIDPLEFGALLM TSGLVLLDDVHWISFHSGYDFGYLMKIMLCKPLPDDEKEFHKLLSIFFPSLYDIKFLM KHAGRNQTVNGSPLSQGAAQIITNLGQKSGLQDIADELGVKRVGIAHQAGSDSLVTGE IFWKMRQLVFNGTIDESKYSGQIWGLNGQIPAIPYHPSNQPQQTPNLNGATVYSNAAT PSTPNTGHAALNSNQTPVPQSHISSNVGAMTPGGGGGVFGAFQLGRT UREG_04258 MSEQSHTNNPPHAEESEDAFLDPAEADEEILPDDDHHMEDASDD EDLEITLQNDSTAHFDKHTDSLFCIAQHPVHPSIVITGSGDDTAYIFDSTSTDDRPLL PSSYETTPQPRKERESLEPIAHLDGHTDSVNAVCFTEPLGEYALTAGLDGKLRVWRDT SSSLNGLSWEFLADTQEVEEINWLAVCPASQNTSEEKRNVIALGANDGSVWVYRVDKQ DTEAPLYLLASFFQHTASCTAGAWTQDGNLLATVSEDASFYVYDVFGAAAAAGIVSSA GTQTVVGLTAQDQRFAVDGGLYSIAIAPSGSFAAVGGAEGHIKVVGLPRVLSDSNQSS KQKGKSKGGAGAPSAGGGAGTLLASLQAQSDGVETLSFSSPPLTLLAAGSVDGSIVLF DAAHRFAVRRHIRGAHGESAVVKVDFVREGGAAARPTGPASPASGRPWLLTSVGMDGV VRRWDTRGGTAAAGYGLLKEWRGHAGISENDEGEQSGGILGFVHGDQGGKRIVTAGDD GVALIFEE UREG_04259 MRSELQISTLLVPPPGPALRRPTPSNPAPSCNPHVFNDAMDVRT MIFVNEQGCSPESEFDEDDPRSWHWVFYDGRADKQIPIGVIRLVPPPHPSHETLLRDS GASHQLERHAEPEEPYVKLTRVGLLPAYRGMGLSRKLVDTALDWAAEHKHEVVSAGCN KQPWNGLVLVHAQVQVEALYARMGFVTDESMGTWEEERILHVGMWKRVNVPP UREG_04260 MVFRAPSWTEELPPIPDDVALCDFMLDDKHGRHPLASSKHPFTC GMTGRTYSSAKVAERVDVLARALAKEFGWQPNRGTEWQKVVGIYSFNTIDFLVLCWAI HRCGGIASPANAMYSGPELAYQLKDSGAQCLFTCIPSLPTALEAAAKAGIPRNRIYIL DLPVEFTGAGKVPEGMRTLEQFIVEGYSLPKLERLNFAPGQAAKQTAFLCYSSGTSGL PKGVMISHRNVIANTLQIATFEAPFRRSLKPAGTDGFTDVGLGLLPQSHIYSLVVMCH AGPYRGDQIIILPKFDLNQYLDTVEKYKIATLFVVPPIILAMLRSKELCDKRDLSAVR SIFTGAAPLGKEPAEEMQQWKPSWSIRQGYVCTTSAHDVWLGSSGSIVPGFECKIMSP EGVELTGYDEPGELVVKSPSVVLGYLNNEKATKETFLDDGWMRTGDEAVVRVAPSGVE HIFIVDRIKELIKVKGLQVAPAELEAHLLSHPAVADCAVIPVPDDRAGELPKAFVVKS PSAGLDNAATALAIQKYVEDHKARHKWLKGGVEFLDAIPKSPSGKILRRLLRDREREA RRRAGAKI UREG_04261 MASNRIRRIAKELADIHDDSHSQVTVDPVGGGDDLTHLKGSFRG PPGTPYEGGTYRVDIRIPADYPFRPPIMKFDTKLWHPNVSSQTGAICLDTLSGAWSPV LTIKSALLSLQSLLSTPEPKDPQDAEVANMMLRNPKEFDRVARQWAVLHAGAPQKYIG ESSGGATAASIREREIKSKQEQEQEELAAYEGYNKDLIDRFCSMGFDVPRVVSAFKYV GIDRMDGEDYELEEAYMGDITARLLGEP UREG_04262 MAKAKVLVDQSSDKKQAIQNGGIRKARKPRKEATGNPPAWAVHR PELCDALPWFRSTQGGCYFSDGIAYGVLIDADAGKRAYLDHEVIISRVGGGCGKENGE LVLKKDVDDKNHAYVALLFNLQHGIPVMLIIGMFSLNELWP UREG_04263 MSIGYHDDGEDTLGPTIVTLSLGAPATMNIRLKQKYFKIPEETK QRVEPNDLILPSCCMEAERRELKALYDSKQITCAEYWTRLGALRSSNRRREASPICSL ELHHGDMIVMHGANLQKYYEHSVMPNGELRFALTARYVIPEKLAEEVRWKGDYTPSSE YEYDGDD UREG_04264 MAYQDNIPQRFYSQSSSSGSQSQVPSRSQSHAQYSSVSQLGPQQ HQVPLRRTPSYQSGDDAGYFHDHQPAVGGNGTHQDQSGRTGEYPAVGGTGSQRTHSSR HSQFSVGSSQHSVMSHASSHGSAMSGYQHQYQPPSSASPSQPAYNPQQFAQPSPPTSS QSSYNPQAFSATSIPQYASQAYQQYNPAVYQSPTGSYQSSQSSPNPQWQTPQTPHPYA PASQYQPQFPQPQSTGQPPPPPPRPHEPLYNTYPPLQMPSSSANPPLGYSSSISQHLV TSPIATPAPGYSTLAPPPPPSAITPSYTPNDAFQHSQTHTSYSVASPSQHTSPNPPPH APSPQDTMPGLPPYRPLNEEPAFNNRPTSLSISSRISMTDSAPIPPVHQSQPSSPRRT DTLTRHPQARPLPGPPSEQRIGHENVNVAFNGGVENEMSYEDIMKEVEAAVMEGRPAS TRRRSSRANHSIQQQPPIQEADEGFGSPRNSQRVSPDFTQGQTNGHENISSTGTGQYV NYTAYSDDSEAEAAAGLAALQMAEAEEAAIRARRSTQTSMTAPYSSHNDPPLSTAGNA ESSSDSDFHRHDMGLYGGGYDAQLQYGYSGNEHLAPTSESRHDSNELLEEEANGSDYE YPNINDESIHPFPTLNPIARVDTFGTGGLSDPSLNSRRLSFDDGDETLLSARVAQQNS FSATPEEGEPQDLFFHPGMSSRPLPPPPVNTRLASHLIPAGTYRNHEQAYQETYDQYS QPQYPVTPEAFAQAHAGSSTVPRSTSFTGHTTTPRTDPIIRSKTDADKVKIKQGYDSS SISSPSVPIDLPAIPAGRRKKFNPAKLSSEQFKKCTEPWALSAIVAWVKDLSEDETDL KQQAVVDAIVALFTHKVPTMNTADAETLGARVVKDMFEAGALIQDEEWVKFGTETLSG VLWQITGRGCYSSRLHDVEAEPFGRCYSHHCMRTLKKINLQSQIMEPEKKAEDWATFY KIGKEVFTTHSKKEIERQNNLHEIVTTEDSFISQLDVVRTLYRDRLSDSQPSIINPKR RDKFLKDVFGKVDEIKRVNENHLLAQLKYRQKEQGPFIVGFSDIFREWIRKAKAAYVD YAATFPTANYLVRREAETNLLFRQFLNQARENKMSNRLTWDTYLKAPITRIQRYTLLL STVHKNMPKDCEEKANLWQAIEEIKLVAMECDNKVGDMSKKVSLRELGAKLQLRPEMK QLVELNLEHLGREIIYQGDLQRPRTKRFNWVDTRAILFDHYLVLAKIVTNRDVSRSVK YETYDVSKLPIPMDLLILESSNDDPVMKSSVKGITTIAPPIKQGTGPGTLNHTNTSSS TSSGASVGSNKTIVPNSAIDGSSKDDKILYPFKIRHLGKPDLYILYANSAQSRREWCE KIIEAKTKHAASLYAQNAEPFRLRVLSDSAFAYSELAVASKSVVIKDTPLDRAIQEVE RRYANNLSRPLPICRASVNCATVFQQPNGRKMCAVGTDYGVYISDYDDPRGWTKVIGM LRVTQISVFEEFNLFLLIADKSLIAYHLDAICPERGMAVAQGDSQRRAPQKLSGNREV GFFAAGRMKDRALVFYKKRDGISSTFKVLEPVLQKTSSVKSRFLRRGHTDFFRDYDEF YIPADSYSINLFHTSLAISTSRGVEVLTLDKKQPWSVPNLRSDQPDAQAPLTRIANRI KELRPLGMFRLSDSEFLVAFEECAVYVNKHGDVSRSVVMEFVGKAHSACLYGKFLILF HDDFVEIRNAINGRLRQVIAGKGVSLLDDGGNCNNSVGGSTQSALASMAGSFNEAASN TISSNGLGLSTGFSTVPRTVKICMQHPEHERSQIVVELVENEGQKD UREG_04265 MARKEAKPEITHHEAIEKKKVMIPLLSDDEDDEDRSDSNDDVLV KLSNLSTKMELLWHDNSHHTLWQRDYVVQCYREKPHVNLTEYAQLDGAEYSWITTNRI QTVSEKYPHCKCVVVQDIEPDEELKRSEILPILVFMRWRMGLYYYQQHEVFPVEVLSL YGGQARILIPSTGGECLLA UREG_04266 MFQLSSLVQKAQSLVDAANFGFAASTTSDGNPSKGTLFRQQFRL PDSQNPLQEITAELVLPVPYTSSSSTTSSSTQGERTRDAGRPGNTYPGKLHLSERFLC FSTQPTSFLPSASFGASSAFTGQTHGTGPSGNGFTLPLCSIRRVERLNSLGHVFSLAL TTWNGALVKGSGKDSQATAQRFTLHLVGSRQACERFCNGLKKGLRESMKEVDSLRSVI SECHSEYMFTASRVGKPKDQAESEQQPREPPDTGLGLVFRYPGDARKLRDRSKMRLWG EYFRENGRNATIIRQPTFHKLIRVGLPNRLRGEIWEISSGSFYLRLRSPNLYEETLSK FSGRESLAIDEIEKDLNRSLPEYPGFQSEEGIGRLRRVLTAYSWVNEEIGYCQAMNIV VAALLIYMSEAQAFFLLSVLCDRLLPGYYSTTMYGTLLDQKVFESLVEKTMPILWEHL VRSDVQLSVVSLPWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAILRINGEEL LDVTDDGTFISILKSYFSRLDESAHPRSENPKLRAITRFQELMVVAFKEFSGITHSTI TEERAKHKDAVLDSIEGFAKRTSIRNLGPESKKLSVDDLGAIYDRFYEVLYDRQQRMR LIEEEKKRMDRAYRKSAARYSVLASNAEAQVGRVGLGPSPTQMDYEGFQEFLAATARW AVADSPRSSLRESTSDRDKGSYRASSMRSIKSLDSGNYPEPIDHDFVRRLYNKWHTEE SEALSLQNVVNGLARIKGSRDIMSTINYFFDLYDDNGTGQVDREGILRMSEALLFLSR RGFEGTVNPTQAEETDQAPKRSRTNLAPEEKFLGSVSDFIQRCFEYADPSHPQNQDSS GSDNIAETEAKLDAFSIGDDEDEDDLIDVNDDDKNEPPTPSTSNNTPPSPQPIAIPQD TPLSPSKLASESANIALDPAHPLHITLPTFRMVILADELLEQFFENFFPQSFHLSDRP TPTSRSTSLSSNLTTFANLSSSRTSPPKTTGATTVAGASGGIVPPGHKGLRGVLDNIV SDGMRMAAEVKKRMDEAQREMERNALSRNTEDDEDDEDDDGASYDERRRDNRATHAAR ERDRDLLEGAEVMSVREKDEKERLLPSSSASGDTGERPESIVVDKVEFDS UREG_04267 MLTNLFAASLLALQSAAFLVVPDTKGPVHHGGSNHLNLHLQCHE CPFPTTSEGSVVVFNDAFDSWLPLNFSTKGNTLFVNEQPIFPMVRRPTSLQGVLHRQS DMKVSPPVQMGYGLEFQVVDGRDSASNLLLFHFTVIDLAGFPVPVSTVYLPIIQSPNG DLLIVTAKANILSMPRKSWRQCRRNRRCLKHLILARIRAFFITAKVRALSAAKKLSFK GKGCHRKPHGVGSPHHHERPSHQMKPHGGSSHRQHKSMSFAHRFCHVLKTVFLPGLIG IVATISVVIIGIQLACGFAAVRAYCNRRRTRPIADQEQGEIPEKEALMADEQPDLPPQ YHEGDYGNITLPAEKE UREG_04268 MAPNRQNNGSRNKGKQSGRKQGQNPTSTTRNQSEGSGVKRGSQS ATPQSSQAAGRGNGNSQQAAPAAQVPLRLRATMPGRPSSNPGATAQGQPTSSAPPKSQ TAKNNRTGQQQPTGPTTPLAGQEPAAVASPAAATRLIQKTGRGIFDLTTRFGASSWAA AKGGIEAAKTPVSVGGQTATGVQSGTIVNRGWPVANRGQPTASSQWSSWSYQLQYHSS FLELYTDENHGLSADRIDLLLDDSTISTAEKFCHFREMSLAMDRVLRAKAQEINGLRK EILSLDAKQRDLLLEMEAQQLQADERAETLVEEAEQDARDAQNLQDRLEHEIWTLAEE NLYLLGCLEPKESEQASVASERLADNHDEVGGTGLEPDTPIKSELTTGEESVSQILET LDEIASAGKHLERLQDETEDQHQSEVDNDTIGDLEADQAVERNLFVSMESEGVSDAVG FAMADEPSGMDASLPKFVDNSTQTCVDNVDGSTQTVEPVEVALLETSTQTEAEVPLET QDSLVQTEPVIISKSRWWRGLVLVFLMLLWAFIVLWSRSDDQQMWLEANTLVSDVGDM SAWPSWLEELRFDLSNWLQVDRVMLG UREG_04269 MSLPKSAYLSDVWKDGIFNNKVIFCTGGAGTICSAQVRAMVHLG ANACIVGRNVEKTEKAAKEIATARAGSKVIGIGAIDVRRIDSLNNAVDRAGAAGNFLA SIEQLSANAFKSVIDIDVLGSYNTLKATLPHLIKSATTHKSDGVTPSPTGTGGRIIFV SATLHYTGLPLQTHVTVAKAGVDALSNNVAIEYGPFGVTSNIISPGPIGETEGMRRLS KEGADQSSIPIGRYGTVKEIADATVYLFSDSGNYVTGSTVVVDGGAWRTQPGRSAVGF KYPDFLLSNEVVSGVSGMKKAKL UREG_04270 MACPITVAKFVGTVSLGLLTGLSYSVSTVTIPALQLLPTANDAA QVLRDVQLRTRRRVLTLSNITAVSLLAAFTLSSPRRKHPYLVWTSLVALLGGTGLEFW FIRDALSSNCLCPASFCGSVSALLLHPDLAHFSFGSFIAAHLGCSRIQVQNDRRREEE ESNGNGSEIEIVEESEAVPTPTTREQSATPEPADMNGESVRADMEKERKIQKIRAWVV GVAFSMGVVGIWGDGI UREG_04271 MSAAKMDFFRPDEYLPLPTKDLLSWIFDNPPYDQDKPIYINPHN PSESISCNQARVLIRKLIAGLRANGFKRGDCLNVHSFNDIYYPILFLAVIGAGGVFAG TNPAYTQFELAHHIKTARVSFVISEPEILENVLLAAKDNDVSSSRVWVFNTNGRPLPA GRRSWTDLLNHGEEDWVRFDDLETCKSTTAARLFSSGTTGLPKAAVISHYNLIAQHEL LFEVAPVPYQISRIVAVPMFHASAVPSTHTSALRAGHVIYVMRRFDLETYLKFSQKYQ VSEVSTVPPMAVAIVKSPLSQQPFLKSVKNGAVGAAPLDKHVQGQFRALLGDDGRYTQ VWGMTETSCVATRFVWPEDDTTGSVGRPIACLEMKLIDDAGNNISAYDTRGEICVRGP TIISGYFENPRANAESFDAEGFFKTGDIGYCDGKSKKWYIVDRKKELIKVRGFQVAPP EIEAVLLSHPLIMDAAVIGVTFPTDDKVEHPRAIVVRQPVKEAESLTEEEVKKYAGAR LAKYKALTGGVKFVDSIPKNPSGKILKRLLREEAKKEIQQGLPASKL UREG_04272 MAPAVLEINPPCDARELEKISDNVDAVNEFKASAKQSCEGPQQF GQDKDKSKFRQYDEACDRVKPFYEEQHAKQTVAYNIQARQDFKSKVRAEMSVWDAIVK LDTLIDESDPDTTLSQIHHLFQSAEAIRRDGKPRWMQLVGLIHDLGKLLFFFGAKGQW DVVGDTFPVGCAFDDAIIYPGTFVNNPDYKHEVYSTKYGIYEPNCGLENVMLSWGHDE YLYNVVKSQSTLPEEGLAMIRYHSFYPWHSAGAYRHLMKQGDYKMLEAVKAFNPYDLY SKADEVPNIDELKPYYLDLIRRILPAKKSYRW UREG_04273 MSDTAALLATLLRREIDPEQCTFDICSIEDSWYKYRPSLAANAT LTAIFALSAIAFLVQGIVTRRFVGFTIAMGPGDHRGSCGLHWSNPHVGQSWKETSLGS IHDPNLLPHIRTRLPRCRHLLHPYPRIVTIFGVQNSRIPALWYPRIFIPCDIIALILQ GAGGGIASASEDSETSDLGKDIMIAGLVAQVVTLTAFIILAIDFSVRAWLRMRTLGDA ALDPRHAQLRGSFMFRAFLAALGVATLTIFVRCAYRVAELSEGWDGPLMREETLFIVL EGVMIVIAVVALNVFNPVICFKSGYDKDISQEMKGRKSESESESEGNVAADEGPMEEV RWDRV UREG_04274 MSRSESRWGVILQQTMATVIYQDHRPDVWIYSIAHTIGVGSLSL GTMICLDGGTLEGGGQLVRNAVALSALTGQPVRITRIRGNRPGATGLRSSHAAAIQCL LDICGGTAVNATVRSSEITFYPRGQQDGIEPAAAKEKDFAAALRTIKLEALAGVPPIQ PRYNVRLKTPGSAFLIFQALYPYLLYAGACANARAAEHGECPPEATIKLSVTGGTNVS FSPSYDYVSQVLCPNFARLGLPHLDVELKHRGWSAGATDLGAVSFLIHPLDIQKAAHA AGLAADDPRCSSTSFAPVFPAININRYERGTITQIDITVLAPDTTLDDIESARKRRSF KRHKSKDGRTEQYPDAGLAADESDSGPGKRSAPHSVREFIENYAFQAVCNAFTIKSKG GHNSQQPKIQLHHSERTRHYSQTYILLVAHTSTGFRLGRDALFGVSNTSESKIKPGNK PKGQGKGQGRSHAKSRVNTGADMERAIEGMVNRCVEDLMYELADGDGARDVKTMLGDD QPSRLLDSYMRDQIVVFQALGNLAAGEENMPSSTPPERGLSLHTQTAMWSRPGGLLGG YSTMGSLNVYILTFNCARNLIDTNRFASHLFDGLHPGAPQTAAHLPDLLVLALQEVAP IAYAFLGGSYLDRYYDAFRDALDIATAGAAGDGAGGHVHYRNLVTKNIGMTALMVFAR SDVVERIRYIQTAETGVGVHEAGNKGAVGARIGCLAGREDDSSDAGHDGTVEVTVVSA HLAPDETGWQRRNQDWKSIAQRLVFRSEGTEHPLHQEDEEGMPLLRSSASLNEPSALY SPNSYLFVAGDFNYRTADARPAPQDYRTFPRPLENEDPMSQFTDLFALDQLNREREAG RVFNRLMEPKVMFPPTYKYSNDARSLASVDPNGGWKWAKTRWPSWCDRILYLDHPSKT NESGIVKIHRYDILPLCSTSDHRPVVLSASVPLQALPESSDYRPPFEVDPHWEDKRAV ARRKELVVGAVAYLGLTWEGNGIVVATVFGLAAAYYGLHALLAG UREG_04275 MLSRGSSTKLRRSKSAVSARSRGRGRSLAEVIDDEACQRQALTA ASLAMQRAMRPSLDDCHGSETGTHRASLQLSRSRSIRFNEDDTPGLRKADFARTLRAT RSVSNDAVQNKAADSPARRTNGVDEFGMLDDDIPSVPSIPSSYRKLRKSKSMFSTRLR SGWSNDTCQSPKRNRHRPRDAGHRHFARNTLRRSISFFKGESEYRSRSHQSTDNQEVD SKVMRDRFLQDLSRTSQSGTSELSTSTQNWEPRAFRTTARRYSSISNDSDSGCSGQPT HERLKDGSHTIRTGSLSQSIKNRFRRIFRRHSAAQEDLSPRQSDNHQPIAPESQVHSP SNTLSKQDINTFNPYLGFSSHRRRSIRSMKSIDSISTSNSRVTSWTDSTAANTINMKR PLESRLSIIEENRVADMSQSADEYHDGYSAFRQPLQLDRLSNNPVDSQRVYSALMRKI DRSSRTREGRVSPRPNAPEAIRYKNQLPAHSGCSIRRVLSGTSMHHAEAVAVRGPAGG INHGPAQSIRTAASPGLTPQEIAKRNESVHQLSQRSLRCLDSSSSRSVAHRHPARFEV DAMATPTRAQMYESDDDSSTVVVLKSPVSSKFVTSPSVYSRTTSGNTPCRYASRNDLT FSDSDDDTGTATIIASQRLPCKSRGQGRLVRGSAEWKSWMKSQMDLIDRSNLSKTLDI QFSNEEKTHYRENAQIYDEASDSTGASPSLRESGDHEENHKVTGEEQDFSERQPLSEL RPPSQNNFSRPMRRSPSGPMKFGTTNARKPSLVEEIHTPPRSAPVIVESDTPLKPSPR SVGARSRSGAPNQTPLVYHKTRLSGSLGSPAISPRNSMSPRKSMSARSRHRQIPPPAY CDARKALSVQFNSKAAHGER UREG_04276 MSAPVTKKRCGVLGATGAVGTRFILLLAHHPLLELVALGASDRS ANKKYRDAVRWKQSTPMPAGVGEMVVRRCEPSEFSDCEIIFSGLDPEVAGGIETAFFQ ANFAVFSNAKNHRLVPVVPLVVPLVNAGHLEVIPAQRKRHGLERGMIVCNSNCAVVGL VIPAKALIQRFGPIESVSMVTMQAVSGAGYPGVSSMDIFDNIVPYIPGEEGKISTEAR KILGDLDSDLAGFSDKKPLQISVACNRVPVLDGHTVCASLRFVKRPPPTAAQVREALR EYTSEVQTLGCPSAPKRAIHVLDEVDRPQPRLDRDSEGGYACSVGRIREDDSGVFDIQ FVALSHNTILGAAGSSILNAESAILKGYI UREG_04277 MTFMGSMKNSLLSRTTENNISLAILKFDFSLFKVEAPVEFEPLG SALSCRRRDEAENGPQHQTARRLAALQHEPESHQYNWMNSVFNQSPPTPPDLPPLPIN ATKKAYYNAGERVYYVPRGASEWRTGVISTKNSSTIISIVIDDVPGNEEDVRTEYIRK LA UREG_04278 MLVVCEKQKTEEKKKHNEKVMQMQEEIKNKIYQKTKIELAVHSI AACIQICAHAMITVLEVKIITYEHNHLAAAGLSKNKMKKKMKIFQSHLQTSLNKKMLS PSEKMSEKKFEIVISFNKEKKNEKKKND UREG_04279 MSRTAAQFIQDVSSGEKIYMDIFKAACSWDLEAKMQDIVDNSGY DLSPANLKAAYEAPPKWSLAMFGGEYFFSEPPDLKGKSLFVNPTTEQVIMLDDIQEPD LQPGKDATYKWTITSEDDGTSETYTITFDVGFKINELPSSHSCQGARESDSKPVKAAQ RIPDKDDRDRSKVPDPKDTGDGVNDFGVIGAWIGVSGLALVGLVFAYVMYKQRKSEAT LAQSNADAASASHAKDEATLKEKARKAKDALIKAASELKTKVAAHWQARQTFQYIEME EMRHPNLDVEYASLQRPVDATIESYLGDYLAQHTSIKPEDLNTKAYENTLKELQENAT LLARQTFLGTSRDRATEESDRKYAGLAELSELADGVYHDTVRLIGETVAEKDFDARDT SLGKYVPIVLDAYIQKEIARLAWEHGEEYSQAATEANQAAEKARSDKEEKEQAKEEVE RQLEDQTISDEKRIELEAQRDELQAEIAALGEEEKKQEEKSSRDSEEAKKETERAKDA DEKAGEKRKESNRHGEKIFTKK UREG_04280 MSAYLSIAVPPKRIIICAGTLNLGVAPWDDFVEKDIDETFVYTQ NCVLAGDVVAKDLNLHTGSFQTIGIPIIKVTGAPGGDAKSADETHRIGGNGGKGYSFY FSAADFDYRSRFRVDATGGDGGCGYFDATTQWGGSGGDGGPGGKINIMYEDSYQRAMG SYSAYEYALSKEDPNHPEYTERMVKQAAKETVNVIHVLVETDKEYNKEVITAMADLYE QLNKTDKHNRPDITITLQDLKEKMATLRKTLEYRKPGEGMLVELRDIAAIHGGFPGRG YNSTDRPDSGGRGIYGRKGEHWGYGFMDYDMIWDRDIFLYHPDQMALTLRDAYAQYFK GAGGRDAKGIKDCAVILGQLINRLGFLSEIDPKNPTNKSNSSLWKTLKRYESDMLVLP SITTRDGLPASIQSLQRTYTQAVAYMIQLGSGQDIYGHSSTWVPVGSYEHYQAHTKEF LEHFKDIEKNYQAYMKKYRNQVITKAEIDIAIADASRAKEKIKRHQTKMKGLIQDLIL SINRLNEQVAPKRKLVLDSIKEVESKIKNSYVVPIKELLESAVSFCFMPSKLMGAAVG AQAVWSIVEGASNIEDDAGNVVKKEFLITRLQEMDGSVESLKDGIALTNAGSLKPGDA GGPKLVAEKEKLFTLIKQYRSLITNWSTIEAQFDDYINTVTTRNDRALQYNQYLISWW QDQLELQQVQDDAERLRKKGLDQVSPNVAAVTSFVQQAYHATVDRIFKVLYMTERAQL FWTLGEPLGKFDTIRNGGVDGGSLYKDLQSLSDTILEAYEVALENSTSASQKFGDWGE KDGPNTGLTYTLSATELKHLQAGEEQTSVNMANTWAQKSKDKNIFLGKYDVRLTKIKP SPSSSITREWRPSWTRANTRTISITTRAGSCSSISTHLTRKIQRSSPTARCTTARMLK HGNMLALARLRRGILSWVRH UREG_04281 MGRESLSPDALVERMAKETRASGWDAVVSYRLDLFNATLQKGLD ASKSENRAYNVDGVKTYEMQGHQKKLVFELDWKMWIEPPTRLSFTADGQVVLKMSITA KTLINIISGMSRYIHAYRKLNKGWVLTVKSPISSLSASQHGSGLPKEASNPLFAKNAT VLDFNKYSETYQNKMAFNIELRDNWSLSIEWEGAEELEARRRVPKALLDSLKSRIRGD LGGIYFPLPLAGNDDSSSTPKDGGGDASLACVSAYIKTDATAFELIAGDLKPNFCETD KTGKINDLYPIPTGHSASIIINTRTLFWDPLDLSLWAMLGISFKKSISIWQPLKDVRN DSYTRAFTSVGDDLMPEHRGAGFQLKQTWNGEYPAEDAAKYMKDNDENFTDASGVASW NGTDYIYVADPALTVSANGTINWESNLTVPFTVRAARVVPHGIYAEEWKYTAKANKAG RFHVHGLALQATLNVSSSDWTKSWEAPDVNHSQTKYFVEKFLSRLTWPTWECNSKRNL MAITNFLCPGTKDVLVLSSDVRVPYDILLLGEVTKSD UREG_04282 MSPSPVFTFGAKDKTDQISSTVNENGYGVVVRRDKNGTDDSKFY LKNIVSGIPADGEWPEIKLIAPDEKTQTYHPKHPFYLGYDRVTANPILYVHALASGEK VDYIQHTSLSDYKLSNFKRQKSDFHGCSKFFAKRNLIALCDAKDNYRIISGHEDRFSF PTSERLQRVILNIGMTGGLYPIPVVLMDAKAGSSESRRIKWWKAEDSTESYFENSGTK PEAMVCFDDYIVWHSNGADDSTPTIGWIDIKRTGKPTTSFESFSVSRTTVAIANSGDR FKDGGHTWYATSDGGVYYFDASKKKATKMLTASDIKGASSTVAQLWADKYFLYVLTGN GLGAAFKITTVV UREG_04283 MIIYHGVLQRGDHDGAFVLMVPEGLSDGQAFTAYWMLAGVPNFY RGTFDDASSSADIPAGTLLHRGENCEFSFISREDGGGESECFDSIDIEFSWYNKRGIV ASLYLTGDNIVNDGSDRNAAVYAGILSGHPQTDGRLLVLSIFQDSAVGETAQVLLFSP VSAHVERERLFIERFEGSEAGIVAFAASSSRWTLEADRRDFEKESKFTISLKSTESGV TGSATVELVSPSIPTGAITVTLINDSGQTLQYWTARSDPHLAEDLVSLGLDTLSFVAP NWSPTKALQTFANGVKVFQKIKKKISILSGAGDLAKSSIDLLQRKTGKVNSTDFLEPN QRITFWDFDGTITGGMDMSLTWFRLDYEENKKTALLHFDTATTTFNKLKSYSEIRASE LFEVPKDFKTGEKLKRQGSRLRSTLPEGWTAKSKTISLTTPLVRCNFHGQKISNLTLT CGQVPDCSTADSLPAWSDGVLYNPITTKVYKKTFDIYCSDVRTDAAVGYLDNSHLLYV EGDVDEYKPLDYHLYTTKGTFIRHYFYQPPSSSNISKMRATAWSHKVVFLEYAFIQPD PTGTYKNLQVVEFPREVGDPKIATGLQAPPPRSRWYEPFLDAVREGLCSGDNWKKRYW AYWFDPDKDRVYGAVYKSRRVNEKAGVSPWIIRRRYPPTHENLRPADWPVVAFVCQGD MPINFNDCSVADEEVNASKYDT UREG_04284 MTAFKVKVLTYEYNHLAAADLSKNKMKKKMKTFQSYLQTLLKKK ILSSSEKINEKKFEIVVSSDEEKKNDEKKND UREG_04285 MPLPKLTSHILLKFPCSICGFIIIARPDIPTLFANLERHGVSCN APSEWLSDLRAFHPHEPFLTGVGRNLGPGDILDGSHAPSDPAKSCDDGDYQDSDRISF KIRLPPHYQPGPLDVGYPIHHACWQLLHRQAQLQGIEDLTDARYTDFLFQLHNSTLDG TGQVWSGDYGGLLGPAGEIRISTAYDACFNPFDIGAVTAFIKRARAKMVPRMQERPVF GRERKSRELACLFRSLDPLGSKPCFDALPLEIIYLVMEQLSVRDILNFWIASPSVPDF FPQRFWRSRFELGMEFGHLFEFRDLWHNQDVHWYTLFWKARDLLSSPEVGTPIRNRRR ILPVADRTIELASKYVDCPVEGDEGEPLDPILLETDGFSAHRMRLSLPSPDQIKALHI STVQVGCQQYISGLRLNDSRTGLGYYHGSTSKSFQIDTSLRGPVREIICFTDYMGATK LIALGVDTPLTAPQDSRSHVLWRSRLPPDNVTVDLERHMYHKILRPRQTPWNIRYNPV HYHVFDTRDSLTGITGYVLHLNWNLVGLEFSYEEGNNPNATPNIISFGEKRGTPMHFP VDGAGGEHITGLTITTMSAGPLAPVVSLTTNRGRSTWFSVKKSLVDVPQKYVHESHYT PGPDSFGSPMLSLPVPSVEDYDLLLPLISWFFYSINRPQTPLVSLIRLQRCRFKPVVS FERAPGRSYGKKLEMLIWVYENQKQPNSGKHDNGYYVNSQQPPKDGSPKYCDLIVSAK AAHRKKVRLIVVEAKRLKIYQSQSQIAALEAQLRDYCNYALEDLDLDAGPMVYGLAVT GTRGRMFTLSLGEELRPMLAGFALGAGPDGNGYRDAEENIWNEAFLLVKAMPSTGALA KPL UREG_04286 MEHPPSDTRPSMRRRSKLFNMRSATWIYPLMGIVYFLRHRFLWP LFHARLLPIALLSAFIYTLLFLFTYVPQVAFLAIFHGWVGAWINGAFLVLGEGAAIVA LLFEAFFVDETQVDVFDAVLIEKGNKDLVGATRLLHPEAGDPVKMLGKLSTSAVYAPF SFRQILEFILLLPLNLVPVIGTPLFLVLTGYRGGPFHHWRYFQLRDFTKAERKEFISR RQMRYTITSVGSALWAADMERRRNILDERVNQVEAEYRDDPV UREG_04287 MRGCRSIHGIVAVDFGIEPHRLHLQSPSTDRSSGSQAHSHARNA LVETRKSNPVAASEEHDLAAGEFATAAQKTLDKNALRTLLLLEQHHKRLAKIIRFQHE NPVPASSPEPTAPAATPSVTSPAAPNTPSRSAPFTALSPPVVQQPPRLQTPIRTGGRE TTSLASNLASARGIPAYSRGSPAPPTLSTQNENAKMAEMTHKPKRGGTEYKQSRSKRG SDWTPSWSPAISPTEAPQKHSPTPDSGRDVSAALKSLAGDEPFRRFYSTFGGLISKMS APLAFASLPLGVDVTGQHSPTASKSSADTKLDRYSVAAADLTHYSTNELDVKKLVSSA ALRAIRDKDGYFSGNSSESFYVVPTSGGMISYAGILSRAEREARRSSFDDDEDTFVDA RENPPSPETKNSMSRDKNKGTSPSASASRRPLPGQDTTSSNQTAQVEMDKVRELEELV KTHEQELEKVARENEKLRNALGRYRERWERLKEGARNRREGNGSSNTARPSSTTAIPQ TDGTQQDLQSPAPAVENGDVIIADPDPNDA UREG_04288 MWFTLLFSLFVNRSLSNASLPLSLTLREGTRPGDDDGGSPISEW SSTIGIVTAIVGNVLISFALNIQRYAHIRIARDQERKRIERGWKIGNPDLRDVHGEGG QSAGTYGAVSQRAGSFGVQDNIDRRVDDVADIRPEIHDQNACRRQIPTARQSSDGPVS GHTDTDDSDDDGTDRLKQSFLSERTVTSLEKSSKSKERKSYLRSPYWWTGIILMTIGE AGNFLAYGFAPASIVSPLGVVALVSNCVIAPIMLKERFRQQDFWGVLVAIAGAVTVVL SANTSEEKIGPDDIIGMITRWEFELYLGLTVGLILILMWFSKEHGRKTILIDLGLVGL FGGYTALATKGVSSLLSYTLWHVITFPITYALAAVLIVTAMMQIRYINRALQRFDSTQ VIPTQFVLFTISVIVGSAILYRDFESLTLKQGLQFFGGCALTFLGVYLITSGRSQGER GPESEQDEEEAIGLLQGTPYRDSLDWHDQSDNRAVPRVEQALPTEEDRQSRCESLLSE HDLQDDDEDTLRTPRAQLSSSPASVPPSISDTSLLEPPEASPELHVASRWTPHREEFA RTVSADARPGTPPPRSSVILQFPTAPGATESPLDTRLIATPKSDANRVRPRRHTISRT PRSPSRNRLSLRFSPGPLLPPLSGGLSAVVAESLRRGEGSPKKYQRRKRIISTPDGRA SAVDGHADHDVEYESETGFFGDLGNRHHQPYGDELNRASTLGPPVTFRLPLAPNDQDN IASTPPERNDESPSHRGHGRKDSWTDNITRFSASLLESGRRWGRMLKDDGHGQDSPSV ETDENDQGRSNQSTVQRPSL UREG_04289 MPQRNSRRFTRLHVASYGTFECSGSHDHLILEEEYVENQERLRK TKAQASAAPSASDDFDALDRNADERGRVDDMRGSPMSVGNLEEMIDDDHAIISSATGP EYYVSIMSFVDKDLLEPGASILLHHKSVSVVGVLTDDADPLVSVMKLDKAPTESYADI GGLESQIQEVREAVELPLLHPELYEEMGIKPPKGVILYGGPGTGKTLLAKAVANQTSA TFLRIVGSELIQKYLGDGPRLVRQIFQVAAEHAPSIVFIDEIDAIGTKRYESTSGGER EVQRTMLELLNQLDGFDDRGDVKVIMATNKIETLDPALIRPGRIDRKILFENPDHVDL DEFISQKDDLSGADIKAICSEAGLMALRERRMRVQMADFRAARERVMKTKIIALRADG ESLCRWSFVWENLVYAHRKHCKHRHKPPIALGFLHPALELKDSLYSMDEFDEEAFKKF FPGSFGKKSRETDVGAQIDKTKRGDTEASLSHEEKPKDQPQNELDSKASPAEAPAPAS NPDSSSDESEDDEDEFPVTHDLVFKTHERAITTITVDPSGARMITGSTDCTIKFHDFA SLTPSTLRAFKSVEPSAKKNSTASETHPVHVAKFNPISPSQVLVIAATPQPKILSRDG DTLTEFVKGDMYLRDMRNTKGHISEVTSGTWSPTNYNLCATAGTDSTVRIWDANIGRT QKEVIVHKSRAAGSAGRTRMTAVAWGSPAQGGNNILVAAALDGSLVMWSGDGPFTRPS GEIRDAHTRDTWTSGLDISPDGRLIVTRGGDDTIKLWDTRKFKQPVTTVAHPSGSKSY PTSNILFSPNGANIITGSETGELYILNPATLKPELKTSITPNSPLITVLWHEKLNQIL TGSANGQTHLLYNPQISRNGALTIMSKAPKRRHIDDDPNLTTDLSLGFSGEGVVQSHA SFASRHPTVGLTASGRPRDPRRPHLPVQTPFAKSQPDEKHIKENIPLSSMRDEDPRAA LLKYAEKAEKEPLFTNAWKHTQPKPIFAELSDDEEEQRQGPDRKKIKR UREG_04290 MDANGDYGDFIDPYSDDDFEYFVETPSIYQPSADYLYYPLCLGE VLNSRYRVEHKLGHGGFSTVWMAFDLKKQTDVALKILTEGQGSNEYHMQNEILQNVKD TSRLTLYLDTFVLPGDHRVLVFPLRSPMLSVITLKAKSMATRMSAARQLLQELESLHK AGIVHRDLNPGNCMWNIKSLAHLERDDPSPACDIWSYMCIFSTLWCGITPFHTRPGEQ EVSTMVAKLGPLPLPFKGHYIWPEEEEDAWYIHTPNPRNPGWDLEGTITKFSHFSGTE ERKHVLSLMHKCFRYLPEERPTATQLLQDPSSNHGYVLLVEEHFLCG UREG_04291 MNSLGDAISAADGIHARIHAELQRSDNRVQNAQEAKDSAEARQM ATSALKSTIKFVESSISKLRAANVPRSCRTQAQKAITPQKNIPQASLEAEAELPEDGK QFLKQISGDEDDGFGSQAPNLEEMAEAIGPIMDNFDEVLQKPYLNNHSAVVNTVPVLG LKGGNQIMYLSVDADSHGINESWKPFGPLPGDAANDPSKVHFFPYKGNLYVAHGQTAW KKSPRARDDPILKEANNDWSKMYVNEWVKVGDDVLPSTDLQSVIPFAKLDDGGDVMSF DLLILEKSGTIKILAKDDIYPSNSWETMSHEGAGSPPKWTRIAYYNQKLIGLDDGNKT WDITVDIGKRSYKPANQVSIDRVTDFTATDTGLVVIREDNYVYRRQVEAPTGGSSEPT DEKQAIALDAAWQEIDQAIIWSDDLFNTLESSRRRRGRLCPHSYIKSRLDLEQQLTLL RDKLKGLEGHPKRSAGGLALGVIGLFFGANPTILKAAGALFVASVVAAVTLGQKISEL AKAIADTEAQIRVTSQAIDELSSIVTNYTNLDEMYKQMTAFWGGLNLTAGRVEAFEEA ILKQLGKERLSTPANIISARDAMGRILKGADKYLQVLIEQGIELPPKVQVATSYTDLL NSKPPVLLALSFVELVSLRTQEVKDGIQLLARRDFSAYRQRMRNAKAVALLATAAKRR ERILTDNWYDIALLQANSEMFALPARNDMKTGDSEYLLMVLRTKQAGLLTVQCLGAVE QMCLKIQDLLDKYPEGQATLEISDPLLDEAIEFCSQAGTWAVMAHNEFAKINQIARDY QNTLEREIREFEIKIQIHRAAMEEEKKECERDYPPPWWTDGRASWISLQKGYVEMRYN WFIIGPIEKNDIAPRRESQKSGIIFDGNSLTWKEMVEKVNGATGEIALSLDRIKKWIS MDPVRMKRILDAKWKDIAADTALVREILAGFMLVLKATIASGSDHDHMLDVLSLSPTV IKTISDQTRQLNATVQAIDEAMRLPHVRGLVGQCDNAGSQKTSVLDILAATRNGMTQM TALQGESTRALQLMGSRQRFAIQDVVKGKMSLREFVEYNIDSAVPAQKAAAAAVNQWR DISTQYKSCLHYAQMTLRAMENRLDKIQAELRTAKDKDHARNVKLLADMITQAVLSGE VFMPTKASIQLSAALDTATARNWTFVRTSYIVRTILEALPAAEIDQLVDTFSGLKFEL ASVVEHLKKLVPVLSPVGEGAEMLESLSLKMTDRMRALLNDGNVVQQLKLTEDDGRKI EQSWIQISMVQN UREG_04292 MLEDQLYRTSTQYRLWSFTKESLGSIRQNTNSLASSRVKVAIRR AQEARRPASTPGESNGANSKDKGTAGTKEAVPCLTAEDELELVQYYCEKTLELGDEYK PPLPTTVRATAIQYLRRFYLTNSPMTYHPKSIMPCAVFLATKTDNYYMPLRSFAEKIP NTTPEDIIAPEFLLTQGLRFAFEIRHPFRGLEGGIMELTAIAQGNGSAAPHHTGVTSA MLQEIINSVPSPSPEGVAPASVTDRIATAHGKSRQILKTAAQMTDAYFLYTPSQIWLS ALLLVDKPLLDFYLDTKLARAKDDPHPSWIPQVVPHLICDMHKLSALRGELDTVLANC SALLNAYLTSHDPNAPPDPARMKNLKRIGKKLYHCQNPEKHSELNASGARAGKREGSG SVTPALLPTAGEDDTEGRTPKKRKLEADAADAG UREG_04293 MVSASKAARQAKRAAEVNSKNASQASSVNGDAVDDTNLVDEPAT SAAKMSDVKKLTEQMDKHGLSDRVTTGVLSSLAASRDVKITSASLVFHGRVLITDTTL ELNYGRRYGLLGENGCGKSTLLKAIDKREFPIPEHIDIYLLNEGAPPSELGALEWVVR EAENEMDRLDKLAEEILEKDGPESPILEDLYERMETMDPSTFHTRASLILTGLGFNKH TITKKTKDMSGGWRMRVALGKALFVKPSLLLLDDPTAHLDLEACVWLEEYLKKWDRTL ILVSHSMDFLNGVCTTMIDMRQRQLVYYGGNYDTYHKTRSENETNQMKAYHKQQEEIA HIKKFIASAGTYANLVRQAKSRQKILDKMEAEGFIQPVIPDKVFTFRFADVEKLPPPV LSFDDVTFSYSGESKDNLYEHLDFGVDMDSRTALVGPNGVGKSTLLRLMTGKLSPTSG TVTRHTHLKLGLYSQHSAEQLDLTKSALDFVRDKYKEKSQDYQYWRQQLGRYGLTGEA QTALMGTLSEGQKSRIVFALLAIDGPNMLLLDEPTNGLDIPTIDSLADAINAFSGGVV VVSHDFRLLDKIAKDIMVCEYKTVRRWDGTIGEYKTHLRKKMISAGQV UREG_04294 MPIAKVPTIEDFPKLHTLEVDIDRFLNRYIPHPRWHLVPRPVAH FLGHRLHPPKKVGNVLVMFWSLIGVFTGLLIVTAASTHIPSFQERGVPIIVGSFGAAA VLEYCAIESPLAQPRNALFGQFFSALVGICVAKLFALNPNHDNLYWIAGPIACAAATL VMILTKTIHPPAGATSLLAVVDPTARNLGWFLLPVVLLSSVLILVSALLFNNVQRQFP LHWWTPEKLLPTIRERDDSSSTDVDEENPTNLSMPEEKAPDDQQIIVAPGQVFVPESI TLTADEKHLLERLSRRL UREG_04295 MRNKKTVYGNQYGSQWTCFAGIIKTAMTVYALKVRVKYRGIDYG LWALSTSEMTCSSYSRQGPDRFDLAPLPKNYKSPKSTRRPSTVHTERNDFDINPGNQP GICNYKVEVTASTRPPKKIRTGSLGAHRHSFSNFSKDEETGDRITPLPAALLASSCGA NDGIYPPSPTNRIMRTTDVFVSMQQVLDEECPDMDDEEAETKRSKWKC UREG_04296 MRVCTQFSIPLLLAHTVAAKYFPPTPKDLTVVESKFQEGVTLSY KQTNICETTEGVRSFTGYVHLPPHSLDGVDVYQNYDINTFFWYFEARNDPENAPLTIW INGGPGSSSMIGLFQEHGPCSVNNDSSTTTPNPWSWNNKVNMLYIDQPNQVGFSYDTV TNVSVNTRSIRPSQQLQPTDYSDGVPEQNNTFFVGTASTQNGNFSANTTMNAARSLWH FAQVWFQEFPEYKSNNDRVSMWTETYGGRYGPAFSAFVLDQNERIRNGTITTEGQKHI INFDSLGIINGCIDYLTQALSYPRYSYNNTYGIQLINETVYNTAIQDFDGPGGNREKI ANCRRLAAEGDPNMYGHNDTINEACRTVPRLEGYYLQGGRGYYDIGHLAVDPFPPNYY LGYLSQAHVQEAMGVPVNFTSLARGGYLGFGATGDYIRTDARGYLGDIGYLLDSGVKV ALIYGDRDYACNWIGGEDVSLAVEYSDSDRFRAAGYADIHTNDSYIGGQVRQYGNFSF SRIYQSGLQVPAYQPETAYELFTRVHSNRDIATGEIDTAREVTYSTSGSSTTFQVKNE VPPLPDPECYILQFGTCTTQQIYNVGNGTGLVRNYILIDDDDDATPVRPVQHRW UREG_04297 MAAIFKNKTIVLSGTFSGHTHASLKSLVETHGGTFSAKVTDDCT HLISSAKEFENNGTKNKQAASNNVDVVSVDWLLDSIASKKCKKEADYLWSTLRSSQAA PAPTSNGTTDATPAANPRRSARNSQAQSQSQAQAQSQPQPQSQPQSQSQAKTTKKGNK RTLDATATDTTDTAKADSQPPAKKQKDAQKTPPKKQNILVDALCPNKGKYHVYVDPDG LIYDAALNQTNRMNNNNKFYFIQVLEPNNKSDKYLTWTRWGRVGEDGQTSAFNGLDLK KAIATFEKKFRDKTGLAWKDRFENAKAGKYMFLERDYEETDDESEEQPKKKAKTFGEE DAEEEIEVKTAECTLPLPVQHVVGLIFNQAFWANTMATMDYDANKLPLGKLSKRTLQK GFELLKLLSDMYFTVIPHALGRGRIPLINNEQMIKKEIGLLEALTDMEIANEILKGSK KNNSVDPVHVLDRQFSGLNLKEMEPFERHGETDRYLNSPYANIRNSNRRLLWHGSRTT NFGGILSQGLRIAPPEAPVNGYMFGKGVYFADISSKSANYCCAYNSDNTGLLLLCDVE LGNPMLELNNSNSGAAELVKKENKLATLGMGEVIPYGWKDAGCVHEDLAGVLMPDTTG APRNRDDDDEYRCLRYNEYIVYDVAQIRVKYLFCVGM UREG_04298 MATPNQKRWEKAKVYSKRGFDKAWHTLDKLGPPINRLSNKLGAE AFWPTSLDLESEKSARILRSFCKDGFYEEIDEQNEGRQKEGVPRGKQRVVKKIPASVI KQAKGLAIFTTMRTGLWVSGSGGSGVLLGRIKETGEWSPPSGIMTHTAALGFLAGVDI YDCVVVINTYKALEAFKAVRCTLGGEIAASAGPIGAGGNLETEIHKRQAPVWTYMKGR GFYAGVQLDGTIVIERSDENERFYGERISASDILAGKSKRPPTSIQMLMQTLKAAQGD SDVDEGMLPSPGESPGDAEIDATGTFGIPDNEDPDPYGVKALEREGIMIREAGSRRLP NMDTFEFRPVSFSPNSSRWSATGSRRSSVRNSLHSFTSVDRGTQTDDFASDRDDRSPV SPGSSRSLKDFNSPIRISTAETEDLYQEITHNEKDLEHGPARRINLSDHSIPNVSKPT PASFAKARLVTIPKRSPPPLPQRNSERVNSPISTHSEIDDSATLSSISPIDEDTAVLE IQNRLRKLRSEESQTDEVLEARGQSTEQDDFHSAPASPSDAGKTDQDLKPETAKE UREG_04299 MPQIKPRINKTQTGVETGVKHSLNPFDELSLEEAADLRRRKGPM EVEDILALSAGGPKCQDILRTAMAMGADRAILVDVPEGKSGSDDGGLEPLTVAKLLKK VVEKENINLVFLGKQAIDGDQGQTGQMLAGLLGWPQATQASKVTVKDAEGSVEVTREV DGGVETLKAKLPMVITTDLRLNEPKYATLPNIMKAKKKPLEKKTLGDFELDNTKRLKT LKVTEPPARQGGGKVEDVDGLISRLKELGAL UREG_04300 MATYGQRYLKKARTLPKLSAIPFEMNFFPKELWSTVDPKYVGLP GANGFGALSRAGQKRGFEDDEEDEVVDAARKRRTVDEGEDEGSDVDRRRDTDDEGGLE EEGEGEEEIVDDDFEDDEEDMGGDYNAEQYFDAGDEGDDYGDGDGGDGDGGVLLFTLK LPYLLYHFALDVRNNTVERWITSLRFYTGFMSTNTIVFAGKPKGPRGQSAEMAYSENG NPRTALVIYASETGNSQEIAEELGRLTERLHFHTYISELDAVKAGSLNEHSFVIFAIS TTGQGDLPANGRTFWRSLLLKRLSSTYLHHVNFAIFGLGDSSYPKTDGTFVPWAQNLR KFLLDKFPLEPGQHPIPDDVGLLPKWVLTTWSPSIGEPGQTVNGDGTQLETDSENRKG APGRYPALQSQDHDTRPIPNSISATLVDNIRATPKSHWQDVRHLILTVPECLKYVPGD VLHITPKNFSDDVDALISLMGWETDADVPLCFAPRDPSSPSSMPPPTPFLQDNPGFTL RELLTNYLDIMAIPRRSFFSQVAHFTTDAMHKERLLEFTNPEYIDEYYDYATRSRRSI LEVLYEFDTIKIPWQNACTVFPILRGRQFSIASGGKLKRTADGETRFDLLVAIVKYQT VIKKIREGICTRYLAILQPGSTLKVQLHRGGLNPSAKQLLEPSVLIGPGTGVAPIRSL LWEKAALAEAYRHKYGSNSPLPIGPIILLYGGRNRGADFFFEKDWEELKETLDLTVLS AFSRDQRQKHYVQDVIRQKKDQFFNVLHDLQGTVFICGSSGRMPQAVREALIETFEKP GSNRQEAEKYLMDMEKVGRYRQETW UREG_04301 MGTPMTYDHFRDHVDQITSDPSTGLDLRLIEKLQSELFACTDPA VSSALLVQISALFPILQEDPTPLTNLATKAATYLNFSQILSIQPPVNIVAGIKAPSPP INLLALSLLRKAGESPSDAAVVAGDPALVVSLVEIWLTSTTTAVAEAAFDVLWSLLEV DHPGKPQINGDAEKDNSRGQGLVWRRVFGDKNVYKLLFSTCNLDNAGQPGQPSKREKS VAQGRLMDFVARVGSLDWQAIITSHFPDIELGFKSNNLLEFATCHMVDTEDVLLHMTL IHFLSDLLKIGAPGIRQRGNAVGGSPIFSSPSLEFLLSSGLHRRIVDYYVEPSALDPA EAAYLSGPIMAYISQYAELYPNHLLQNPQSFLDKLLARIYQSFDMPSAQWAHGAIPSG DLNILASVPRVMLLEAGKRSLNPLLSIPSKPLNKDTLHALGRIFHGPVHGNDASRDEQ SNTLEQNATSLRAEAAAARTLYFQYLNEHPGLWNNVVAAAEIVAMTDTALAAISFIKA VSSANWAVIKPGLEPESPTSSRFAVPTEDEVELLGPATQGNLPSHGAWALLVPPALTV VLPYLFKDPQTYANFVAGGRGDSESAVWRIATAKYDALVALESSLEVIGGDTGGVDDV LRTMKRRVAQGPWGNTSQVGSRVDALEL UREG_04302 MCVLSAPMHRKDVHKSPALDLVGWFTITPPSGPTPAQLPLHRQI LEHYNEAALILAFHASELQNTSSTIGKLPLTIYESVHEEDGPEDGDKSMDIDGQAHQL TLRFRELPYSIETGEAEMISVDFVASGGGNATAIDAKGETSLTGRLAKSQKQGSLGVG TLSREDEDLIANLTMRLDAVKTLESRLHLIKSYLESTLSSTSDNGPPSTPHLSNSLLR SIYSLITHLALLNPRSSNSFSVESLAQANDVLLVALLGSMGKSVRGMRELGKKVAIAN SIRQSAAREVQHPLDSQSPQHGFMRERGKEGSALWG UREG_04303 MERITFVPRSKSSPFISISKQDFQYELANTSQLASSIIRILFMV PIYAVVSFLSFYHYRHTVYFQVLRDCYEAFAISAFFSLMCHYIADDLHKQKAYFRGIV PKPWFWPLDWFQKCCGGERGIWRVPRSGLTWFNIIWTGVFQYCFIRVAMTIVAVVTQK FKLYCQESLSPAFAHIWVMVIEVVCVTIAMYCLIQFYIQLKEDLSPHSPFLKILAIKL VIFLSFWQEITISFLTSSGWIKPSNKMQLPDIKIGIPSTLICFEMAIFAILHLWAFPW KPYSLNNPKHLSSPEDSVYATPVTAYQGGFLGLKALGDAFNPWDLVKAIGRSARWLFV GRKERQFDPSYQGQPGSSFTVKSPTTSAQEQGTAYIGAHSANDIMMEPSPRRFDSYGG ERDELLANAQADPVTDYPLDTVKPAESGQKRS UREG_04304 MADYSGWKVAELKAELKRRGIPQTGLRLKQQIIDRLLQHDSSEQ NGAPAEKVAPEEVPLEEAETSAPTSPKEAAKPEESIPEQIQPVNDLTSGAADQPPAHK AFKPSEAPPQDVTMPDAAPLTDQPNPDEPVDSGSATGGPATVKVSDGEPNIEEKQAEL REDKNEAEAVQPSQAASTPPLAEKEVAKEEIDDSKKRKRRSQSPPPSPRSVALKRARA EDGQPRVVLQEDLSSINNERGIEESATAPSVAPQPMEIDVSKSQAEFPARDEKEVAQA EAAQLGQKVEQEPQKPIASKIPDEEIEDREQGKGEEERPASPSPQQEEVRNHTEDQIE DVPSTRKPAGDARFKDLFSSANNVSGRRESPPPAEDEERVVAPALHPATTSLYIRDFM RPLQVANLKKHLASLAAPPNSTPDPDAILDFFLDAIRTHCFVTFTSVAAASRVRTALH DTIWPEERSRKPLWADFVPEEKVKEWADLERAREGGRDGPRWEVVYEEREDGIEAVLQ EAVASSTRNNRNQSFNLGREPPTGPRAERGFGRGGPQAGPSALIPDSGFKALDDRFQS TSAKPKLYFLPVPKEISEKRLDKFDDLAARGPTRRPGGDEMRRYTFEDEDYFVDQGPE YGARRGRGPRGRGGGAFTEWGGSWRGGR UREG_04305 MAPKNVGVLLWQLQSGLGSRPRDSEAEAALDCLPPETLVCKYES PSQMEAAARSVDDGGYCVFLDVPERIVFNERRQMGIKRTEYFDKPAISIAKMVTRPHE VVTDWLIHEIQEKMRDMGMRYLTYLSNVGRAKIQHKEPDCALLPRNLPSGRTNEWPTL VVEIGKSESEVALDRDARNWIWKSGGDVKVAITAEVSRSKLTVRRYGRSGTRGVGVLQ TITVNKSGQSPIRVTGDRLRIPFEDLFLRTPARNQGDFIFTETELQDWAEFVWEHF UREG_04306 MGSDAVSVPQPKPRLQRVVSQPENPFSKLISDQHIAIVPKFTLE SGVTLYNVPVAYTTRGKLNPSGDNTLVVCHALSGSADVSDWWGPLLGKSGQAFDTTKF FVVCLNSLGSPYGSASAVTCKDGDQSKGQYGPEFPLTTIRDDVKYAVLISTYIHKIIL DDLGVRQIAAVVGGSMGGMLTLEYAFFGKDYVRCIVPIATSSRHSAWGISWGEAQRQS IYSDPKYEDGYYSFDDPPATGLGAARMAALLTYRSRNSFEARFGRNVPDPSRRQNING TARLPTPPNDHWAVHNDGHKSTRTPQVQTPNEIHSPIPQSEIKFTDPQFSGTTLFNAP PSPALDPSKRPTTYFSAQSYLRYQGQKFVKRFDSNCYIAITRKLDTHDVSRHRVDPAS PTPVKDALAQIQQPSLVIGIESDGLFTFAEQQEIAEGIPDARLRTIDSPEGHDAFLLQ FEQVNHHILEFFREVLPEIMFVDGEAGSSEDHVAQITKTSTFGEAEVEDITAW UREG_04307 MALDNKPPESQKLQGAADSMLATKPEGNESSVDDQADISSEEDF SDVDEKKVLRKMDLRLIPMLALLYLLSFLDRGNIGNARIEGLEKDLNLRGSQYNWCYS FQYPIEETEAINLYGRISPLHLCSRGANASGQDLPSIMIAWGTVMTLMGLVKNYKGLL IARIFLGVAEAGLYPGCAYYITMWYCSKEGQLRQALFFSAASIAGAFSGLLAFAIAKM HGVGGLEGWRWIFILEGLATVLVAIMAFFTIYDFPETASFFTERERAFVIHRLKPKLS SGIRGRNRNLLEYSLINASVLGYRLPAVPVYCTAAIIAIGSAWVADKRGQRSPFILFY MSCMAIGFIICIASAGRGLPGVVYAGVFIAVCGLYPAFPGNITWLSSNLAGSYKRATG MAIQIGIGNLSGAMASNFYRPGDAPKYYTGHGLQLAFVTTGIISVLILRFSYQRINKK RDLEGTGDLSEQQLADMGDKSPGFRYSL UREG_04308 MKRMLNFRRRRRSTTLLLATGPSLLLANDHILIPSLRSISGTRA PCSCRMSTVAAQLGTRASSVNNTLSSSFDSRQLQRHFPLAPLTCQNCGAPADDVPASQ ANRLTTLTEEPTAFREERNDSGVMMTASSQVQPGKKEPYDRPNTSAATKKDRNMNWGN YSLSKSKSTSNLASRSKQSSDTETLTSDPVGSEPHPEQLGNFRESLEQQFAMDTSIQF DINRDMSSSLPHLGYHSDSPFNGPSHAAPARAQQSRLSMLAFEDDTKQANNPHSSDKR HQSFAKRFSAHFSWKRYPKG UREG_04309 MSALSGPPRVAPYAIVFGPHANCTLEICSPQYSVYGYRPSLAAN TSFIALFGLAGFIHLYLGFRWRTWWFAGCMLVGCVSAILGYVGRVMMYYNPFDFVAFM LQIICVTTTPLYFCAAIYVTLSATIIELSPSLSRFPPKLFYWIFVPCDLISLVIQAAG GGLSTKSKGESQVGVNLALAGLAFQVLTICTFCGFFFDYLYRYFRSNQLAKHSLSRRI EVFLSTLFLAIVLITVRCAFRVAELHEGYSGGLVSDEALFIGLEGVSVLRFPSYQFFT SYFLKVHR UREG_04310 MAPGAGNKRKRGDWNNDGSRPSPHRPGNLNLAQQQQSQYYNQSR DGGDNRGRGGRRGSRGNRNSRRASDGPNFQQKESLKVASPFTPDEPRQAQWNGLPARG PTESPAPPPVIVKKTEPSSYAYDFVTDDHVKNWTSTGRQQVVDVGVGLCSKEDRIALA SLFQELIQSAFDGRVPPSECGTVVKLITGERPASNEEVGIVSHPTFDSHSIFLDTLSI LTDADPSRNNLRPLVLSTGIPLSLMRLQLDTPLLQALGLIRDTFSRMGIRKQTNILYR QSNYNLLREESEGYSKLLTELFTTSNNEPPSSEVVEETFERVKAMIGAFDMDVGRVLD VTLDVFAAVLIKQFRFCVKFLRASSWWPKEDTAAQGGAETGLPKWALPGSAGWSTSDE ERKETLLLNQQRDRAFWDRVRQVGIKAFFEIGRRLSSDCDEHTSISYLDPELNDETKK WIEQTGTLPPKGNRVAAQLLGFKLRFYSSSSRNATDVLPDNLIYLAALLIKVGFISLR DLYPHLWRPDEAMEALKEEKMKEKTEREKAGRRGGGTMNALMMAGALADDTLPLPPRT RGLETRSATPAKEQEADKDKTAPPKAEEEQLPEPADQKVLLLKSLLAIGALPESLYIL GRFPWLLDGYPELPEYIHRILHYCLHNIYSSVQPLSSRTELKERRKIVGSEQGAVSQG RVRLSDAAPRRVLRWALLDKEDIDGTDYRFYWDDWTDSLPVCQSVDDVFALCGSLLNL SGVKIGQDPSLLIKLARIGKHSLDEDTSESNRNRWIDMCKRLLVPALSLTKMNPGAVN EVFELLSCFPQNVRFSIYAEWYFGQTSRLPDIKSAFDQARFETKDALKRLSKTNIRPM ARTLAKIAYANPGIVINVAVSQIESYENLIEVVVECARYFTYLGYDILTWSLINSLGH KGRSRMQESGLLTSRWLNSLASFAGRVFKRYSSIMNPVPVLQYVAEQLRHNNSTDLIV LEQLVSSMAGIVTDTNFNDAQVQAMAGGEVLQSQTMLQLLDKRHESKTTSKRLMKALT DSQLAGQLLIAIAQERVTCVFKETEADVELKLLGNIFDEIHRVLTQYLDLLRANFTEE EFDSFVPDVSSLIGEFGIQTEVAFWISRPSIAHRMLEYDKQQREATSKKAESEAATPS KSPDTDVEMGEAGDATEQAGKIDSVDSMAVDSTSQESQKADQESPTIPPTPAVNGITS TWHPVLDEMMETMKSKLPSDLWDVVGLPFYTTFWQLSLNDIYVPQKSYEDELERLKKR VIAISSDRSDLSMAGSQKKEREKKQVNDLHERILLEHKRHVKSFTQTRARLQKEREKW FAGMRGKHDALNLAIIQQCLLPRLLLSPVDALYCFKLFKYLHSSGTSNFRTLGLLDQL FRDQRLTSIIFQCTSKEADNLGRFLNEIFRDLSRWHADSAVYEKEAYGAKKDLPGFAT AVDAEGKPTIFLSYEDFRRILYKWHRLFGAALKTCLTGGEYMHIRNAISVLKAVVQYF PALNWIGTDMLAIVNHLKTSDPRDDVKIPAASLIGDLNRREKKWLLPQAFMLNVPADR PAGAKSTTPQSRSATPRALSATAPEFKPSSVLPKSNGTGKSEVEEGEVEDAQRIAQAQ KPATILSPPAPSEPTNLPESTVNDQKPNETDRQATEIKEQTSEHLTQLPPRPERSPNE FPKEMQPSSGPRNTVPESRAEWKSRTELAPSANIPKRPELEFRQPPPNLPNKPESHGS YRDGRMPGKPSDGLERRDVRREGRETRYQDHSRQPPHGRQEVIGDHPHPGDARVHGRP GHDRDRRDSTRIERDFGRRLPTEDHFSRPSPRDPAALPRDQEHHDRLNRGRLNQPDAS HPRGDSYRQSRDDMNQSRLGDFQQFHDHKMEMNRDHVPPGRLQRDDRRPLSSRPPSPP RADDNRGRLDKGDDRDDRKNFPLQSSRRDEVPLGPRGDRPQPTIPENRPQADFPRDTR DLRQPHPPSDPNYGRLNQDSRYPRTQENFDRPTDIPSGPRRTLPGRGARAAPAPAPTP APAPPQVTPTSRTDRHLPSGPSGWTGQRHGQQQDPAPSSSASVPSPLDTSGIHPDRLR ALQVPDRPYGPSSQPPSPAPISAPSGPRGAPPSGPSPTRPSAPGTGFANERGRGDKRF AGLNNMLQQGSGPLDRGGQGTMIRGRGAVGRQSGSANAPSPQVTRPQTPAENQSGMAQ GPGKPDLFPSRANGAPQHTSHRQELEEETRRVGRTGRRSEIMGEAASQARGSPRQSSS GTHTPDRPTDLGSDRIADRDRGRERNRRGDDEITRGSESKREEYRERPRERDRDRDRE RERESRDKDRDRDRDRDRERGRGNEPADYRDETRNSQESSRRVSQHLDNSQAQTPGRS RRDKRERYDGPGSQSGGPFDTSGRITANKSTPHQPPPPPPPPPPASDDRRWSRGDDRN RDREIRDRERDRDRGDRDRDRDRDRSRREPGNGRGGGSGNRDSGTTGAPVNTWTRKRG RGVPGNGDDVQDQGASMRAASESKRSRR UREG_04311 MTAPIVLKGGCACSKIRYTSTVLPDWIDHCLCTTCRKCAGAPFQ TFAGFPASAITWTTEPPKYFRASEWAKRGFCDQCGSSLTFEVDVTPDKISLAAGSFDD WDVKGGKDAFVKPESYCFTKETAAWFEVPNDGLERHHELPAKVMEEFEKKLNEAKGTS UREG_04312 MDYSSISTDPDHPAGSSPWGSSSPRADRTTSSVADAPSPPLVPQ HDSPYTDATEHMRPSTANDQNSLPERLQSAHIDESNPGDSRAGWRHTSQTQNPNAPRA QAPARYQTGARQQARQNAPNYKLQAKITALERAGKKDPILRFDVHTNIPKFRTTQFRD LRRTHSEFVKLADHLISSNPEAIVPAVPPPLTPAGAGTDEDEVRVKASMQRWLNYVCS NDVLMHDEEMVLFVESDFGYSPMVRMKQPATGVRRKYLKQFAPPPDDTPELLDARPIV KRFYLGTMEAGQKVDRVVKARRGLALAESDLGVKLGQMHVQETHPGLANAYRKLGKVI QTVGDYHAAQGTAEATTLGDPLNYHSSDAFIVKETLTNRHILLRELIQAQQTARSKRA SADRLKSSSSVRPDKVDEAITALDEAIQHEEYLTKKTQRVTNNLLQEKRRWFNRTAND VLLSIKEYTLRQIEFERRTLSTLESVRADIRAIDSSGGLSRLGRESHPAARRASLASS QGPKGDAWSGVPRRGDSLSRSISGSLIAPLPEDDEDVNGGGSRMGMTRSRSGTMDDDE DRVDAKNAASRLATSTF UREG_04313 MLYYSGFTRRIGDVDDGSTVTDFLPAERARGITIQSAAITFNWP PENQSALSLQDLEKKGLPRSALPHTINLIDTPGHADFTFEVLRSLRILDGAVCILDGV AGVEAQTEQVWHQASTYNIPRIAYVNKLDREGAAFGRTVKEIGSRLGGWPAVCQIPWF QGADRKFGGVGDIISLQCLHWEERGDGKQIAVSKLYDLEQSDPQFAQEMKNARVALVE LLSEHDDAMVESFLEHDEDHLAVEPIEIWESLRRCLLGETSRIIPTFAGASFRNIGVQ PLLDAVVNLLPSPTERPDPEVSVGSTKIGLQSLLDGKLVLEGAAKPKHKQKKKLASSS SDANAAIKKLESCALAFKVVNDARRGVLVYVRVYSGALDRNALLFNTNLDVSERAPRI FKMYGNDAIEVQSIPAGHIGVIAGLKFARTGDTLLSFSGNKQTAPGSLSNLQLRPIDI PPPVFFTSVEMHSLSEEKNLQESLALLLREDPSLHVTVDEDSGQTLLSGMGELHLEIA SDRLIKDFKAKASMGRIEIGYRECISETSPPVTRIYDKEVAGRKGKAGCSSIVQPLYE IEQPEGPEDSDVLFTGEKDGNRISIITPGLMSRGMAQFAKDLLPPHLSLSIIQNSLYN GCLAALTRGPKHAFPVHSVDVTLTFDVTQHLFGKDTTPSAISAAARLATQSALKEVSS SSSLMEPVMNVAISVQESSLGAVAHDISSSRGGHIVSLNDDDEASSSRETLSELPQID VSKIYAPPDPFTSSTSLDTALSGMSGNQSRTIIAKVPLKEMVGYLKHLRSLTGGRGTF VMSVDRFEKMSSQREKAALSEMMGL UREG_04314 MSKLWEVDPETRSKKTNGNDRCCDCGAPSPQWASPKFGTFICLN CAGIHRGLGVHISFVRSITMDAFKMAEIQRMEHGGNEPWKSFFSNHSTTMAEGTTFDD ATINERYSGEVGEEWKARLTAKVEGREYVPGEEKKNSNISRTSTPTTLGDSRADQTRG ISPAGVRNIRPSKQQNEEYFARLGGENATRSESLPPSQGGRFTGFGGGMPASRQTSQL NDTMPGINDFQADPVAALTKGFGWFTTTIGKGAKTVNDTYLQPAAKSLSESDFAAQAR LAAAEVSRNATTSFNRFVEGADGHDRSASAPRHFEPERKDFWDDFATLGEERSRGSSA TTPAKSGAIGTAAMKKNPQTGGSGASAGAGSAGKGKDDWDNSW UREG_04315 MSTAVNEVADALANASLNEPTNGTSDAQNGAPASADEGRRLYIG NLAYATTEGELKEFFKGFNVESVSIPVNPRTDRPVGYAFVDLATAQEAQAAIAALTGK DILERRVSVQIARKPEPAEVKVEGDAEGTTGGQRKRGGGRGRGRGRGRGGRFGRGGRA QNGAGAETTDEAPLAEVTNTAEAEVETVEAGKEEATTTKPQGRPRKQRGPPEDGIPSK TKVMVANLPYDLSEDKLKELFAAYEPVSAKIALRPIPRFMVKKLQARGEARKGRGFGF VTLGSEELQQKAVQEMHGKDINGREIAVKVAIDSPGKEDEPLEAAENNEPAAEEPAPI AA UREG_04316 MAKLCPVINLEDSDDEILFLSSAPCSGVIPPEIEAAVLEFDPSA ALAFEAQLQAANGFAIVDGPGSKDEQGPNHQDGIKMQGAETPACTGNQPNIPNQARPN AEIYPFGDKFFADLAESITQNFPYEQFAEEHGCTAGDVYDALLATVPSRGWGLHYRAM TEVNGTLLIEDPKKRSCEVKNDVGTQKEAEYAAAQDEDQNEHLNEHVGPLTSLLDTIP LQLEEVDTPSSDSTNLDEQMVEGVVEKVAPIQEFTKDEAPKTAPAKPCAPITPPHSKD RKRVREEDSVDLSFLKGNKYITSSAPHPFESPSPPKKPRTFYPEPKPFVNNARKLGPF DPFEFDDLEMLDPIEQWKRIFPRKEGRRRVYRDFAGSYIDVVPSSEPAITGYCGHFGD MLESGEIKIVPGPNGRRKFVLAKDMPQYQSTTDMDVEYEEETDMDSEESGDDEVMVDH FVDAGQDSDEDASVPDEFSYFSPSP UREG_04317 MGFCHNDLNTTNCLLDGNFNLKLTDFGRATTVGQFLEYTSAPWA MRLKAGPLKGTYGLCSARTEQFAVGSILYFMVYGHKPYEDTNQSGLELERRFEEMKFP DLGRHEIFDGLILACWHNVYPTMALVAYDFKRKTRDVASIPEEYEIIDRSKERKTCEA LIQKGILGPEWALCFQPLWRKYLHAAKSMFIWHFLISLPRRIRFWLWL UREG_04318 MKLPHINSARTKNIIHAFQAFIIFLAWAMTIAVFTRNGKTDGRT AWYFALCWFSIPGLIYLTAVPIWPRARRFGNPYAFASVDGFYAFLWFTAWIAIATYVG TGKAKGEDDEDNKKKGRTGCDAFAYGSPAKCTLSTGTAVLGAFVFLLFCITAFMSIRD VMDYRRTGMMPYDGSDPTFAAQSKAAFSSNPHELDEEDPDAEFRTGRPGGSRSDRHDD GDDYALLQQNEVDDIGPHGTRPPPSTYDPTAPIAPGPRLSPAPVAPGGLMHDYDTSYG GPYSHTSQPSADYGMGSYNR UREG_04319 MFFQVLKTFPSRVGATSMKASAQARFMASVANGTPKLNSALRAP ERATFTIRDGPVFHGKSFGAQKNISGEAVFTTSLVGYPESLSDPSYRGQILVFTQPLI GNYGVPSAEKDEHGLLKYFESPSLQAVGVVVADVAEKYSHWTAVESLGEWCIREGVPA ISGVDTRAIVTYLREQGSSLARITVGEEYDADQDEAFVDPEQINLVAKVSTKAPFHIS AGSSNAHVAVIDCGVKENILRSLVGRGASVTVFPFDFPIQKVAHHFDGVFISNGPGDP THCQKTVYNLRKLIETSQLPIMGICLGHQLLALSAGARTIKLKYGNRAHNIPALDLTT GRCHITSQNHGYAVDASTLPSDWKPYFVNLNDSSNEGMIHKSRPIFSTQFHPEAKGGP LDSSYLFDIYLDSVQKYKQSQAAFQPERDSRPSPLLADLLGNERVGVQTTIGIEASEP VVPVMETTSASPKIAAAA UREG_04320 MGSESPEKKRKRVSEKHDRPSKKTAVESVLPPLKVKFIDNSDGP APVIGAFKCQIPTAGDTLEEKQIADRIPILASTPGIRLPDSISLSAYTKPRAKRSSKT AATNSGIVSSELLLHSSAHPKFDFTAREGVEQLDSLWNHYVAIYDPKKNSLQLAEARK VTVRSCIRQASPDTEYESEEEEVQTAFSKKSALAEAFGTKQARKAVQSIAENALLSNA PGGAPTAAESALLSSMPKDAISASSAEKTAQQEIQAAKPLPQPNLSASHPSDVYSIDS LIPGGLSTLHSMPVRDWQTALANSEEILTRSRFVAHRIEAVGKTGDKSQLQLLRFILL LIEFAGSLKPTRGADKAGVGSKKIPPREDLRRKLAEATTSKDSSAGGPQFVTDSFIDS LRRKFVPQGAILSRNDLTLLHTTICALTLHVPPASGSPNASNELATDPADIRDDLGLD NKTALQYFRELGCRVDKPRESEFAKWGIKRGKTEAAMKRIARLRIPVEFPKVSRGGRR UREG_04321 MEALLHQSRSLCPFLKRTSPSTLRSLSSSTRPQAAASPGGGTIS NLQVIARRCPIMSKALAVQSARMAGAGGCPMKGLRGLHTSAVRQASVREVGGKKADRV PPALSNLSSIPSPSAAMKRDTVCPGPKPAAPPAGKFDYEGFYSGELEKKHKDKTYRYF NNINRLAREFPQAHMSSPGDKVTVWCANDYLGMGRNPYVLQSMHETLDSYGAGAGGTR NISGHNQHAVRLEDTLAKLHRKEAALVFSSCYVANDATLATLGSKLPDCVILSDSLNH ASMIQGIRHSGAKKMVFKHNDLADLESKLASLPPSTPKIIAFESVYSMCGSIAPIEEI CDLADKYGAITFLDEVHAVGMYGPHGAGVAEHLDYDIHATSPENVKGTVMDRIDIITG TLGKAYGCVGGYIAGSAKFVDTIRSLAPGFIFTTSLPPATMAGATTSIKYQAGYGRDR TLQQLHTRAVKSALNENDIPVIPNPSHIIPILVGDAELAKRASDMLLEDHGIYVQAIN YPTVPRGEERLRVTPTPGHTKPLRDHLVASLQQVWERLGIRKTSDWKAAGGFLGVGDD AAAHDVKNQPMWTDEQVGLNKGEDIEVALQRELKAEAVARQCILNELAEPTRMAAATA QPVSVAA UREG_04322 MTGVPSYGMHSPTQQPRYPSYSPSTRDRQLYSNNDPYQQPQQQQ QHPPRTPPSFPPPASLTRSPHFARAPSPMNTTLPPLNGAVVNADGSPPYHGHSGSANS GYTLPRPFGGSLMSASSHSPPPTYSHPSGSHTHPPAMSDAFSQSPQRELEPYDLRAAN NAHMSQPPLRSASPKEMKPARSNPMSFANILSGPADEPPPRRPSSPLQAYKSNALPPI APTPKLEPEPYSEPEMRHRTSHFDPYNDMPHRPATNGMAPTKSSFAPPPPPPLLSKSR KVMNDYESQKVSDESIARAMEQIEMLDNSDVEAPGFEQEWQRYMMKSTKRAREVDAAE GRKRKRRRTEFLGKLAKMFEKQALQGIDRFNRTHEAEVHAEVQQKEVQEEKERKKDMQ RKRRRENTVRHEIEKLNAAQKKATKIEDEAEKQKLAKEIAKSKKKIKDTTLALERGEL SQEISEVNPLAPNLEGGTTSSFHIRSKSPPAKKKATKSSSRPKKSKEKKQAEKDAAEA AYAAMENEELAPLAPKEDPRKTSLKKESKAARSKEPSPIPATPYDSKSYNQFYEQIWR DIARKDIPKVYRMKVVSLSTRQENLRKTAQLASKQARKWQERTNRSMKDTQARAKRTM REMMTFWKRNEREERDMRRLAQRQELELAKKAEADREANRQRRKLNFLISQTELYSHF IGRKIKTDKAQDTGDATTASAIEGGATDEGKMLDSLMSLPEAGAKVTSFEDLDFDAED DTALRQVAMANAQSAVQEAQDRARAFNGEENKMAAFDEGEMNFQNPTSLGDVEVSQPK MLTCQLKEYQLKGLNWLVNLYEQGINGILADEMGLGKTVQSISVMAYLAEVHDIWGPF LVVAPSSTLHNWQQEIVKFVPDLKVLPYWGSAKDRKVLRKFWDRRNITYRKQSEFHVL VTSYQLVVGDAQYFQKIKWQYMILDEAQAIKSSQSSRWKSLLGMHCRNRLLLTGTPIQ NNMQELWALLHFIMPTLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLRRLHMILKPFM LRRIKKHVQKELGDKVEKDVFCDLTYRQRAYYTNLRNRVSIMDLIEKAAIGDDSDSTT LMNLVMQFRKVCNHPDLFERAETTSPFSACYFAETASFVREGSFVDVGYSTRNLIEYD LPRLLCGPESRLDMPGPGNLKAGFRGKYLSHMMNIWTPENIRESLSDNGAFSWLRFAD TSVGEAYDISHKGVFERAVMRRDYASRLSLMNVAYDDEDDVDLNAIHTHSLFSIVERN DRRALADTTATGYMRELLNVTNSVADNTGIRTFEPCAKPGASAPPITISCSGRVAITE ARETFFNMTVRHALFGSATTAMDQEILSKKLDPTPYSVPPLLPQPGSVKGRYTNITVP SMRRFVTDSGKLAKLDELLRELKNGGHRVLLYFQMTRMIDLMEEYLTYRNYKYCRLDG STKLEDRRDTVSDFQQRPEIFVFLLSTRAGGLGINLTAADTVIFYDSDWNPTIDSQAM DRAHRLGQTRQVTVYRLITRGTIEERIRKRALQKEEVQRVVISGGAAGGVDFNARSRE NRTKDIAMWLADDEQVEMLEQKEKEALEKGEEAGAKKGKKAAAKRKRDITLDDMYHEG EGHFEDSSTKPSGAATPVSAEAAGAPGAKGRRGRGGAGRSKKAKTIKERLRLIDGEVD UREG_04323 MATSAPSLPKEQWAQVCPKVGGPLEYKKIPVPKPGPDQALVKIQ YSGVCHTDLHAMNGDWPIDVKMPLVGGHEGAGTIVAKGELATGFELGDRVGVKWINGT CLNCDFCENSDEPLCADAQLSGYTVDGTFQQYCLAQTAHLTPLPKTVKMDKVAPILCA GITVYKGLKESHARPGQVVAIVGAGGGLGTLAIQYANAMGLRVIGIDGGDEKKAACQQ LGCEAFIDYTKTKDLVADVRAASPDGLGPHAVLLVAVSEGPFQQAASYVRSRGSVVAI GLPAHAFLKAPVFDTVARMINIKGSYVGNRRDAKEAVVPLEELPKVFELMRQGKITGR YVLKMPDAE UREG_04324 MEDETDPDGSIAPLPSPLGFYLSSRITDASLFAESPEAGEKEFW TSWALFILILLLIIALFTSYTLKQRRIQAVHETVLSIFSGMVVGLIIRVTPGTLIQKS VSFNYQFFFNLLLPPIILASGYELHQANFFRNIGTILTFAFAGTFISALVLGAILYLW TRIPLDGLNISFVEAISVGATLSATDPVTILAIFNFYKVEPKLYTVIFGESILNDAIA IVLFETAQRYKPGSTAGSLTVLSLLEAIGIFLLVFFGSLLIGVVVGVVTALGLKYTHV RREPKIESCLIVLVAYASYFFSNGVHMSGYRDCVAFVLRHYAKTLRILQHVTENPTHD PNLSFQILAQLSENFIFIYLGLDLFTEDHLQFKPLFIMVTVVGICVARYMSVFPLSKA INWFIRYRAKRRGKDVADELPFSYQAMLYWAGLRGAVGVALAAGLEGKNAPALRATVL VVVVLTVIIFGGTTARMLEILDIRTGVAEEVDSDDEFDIEVTNGGTYYKRSGTGIGHT PRRGDFTIPLNSVHITNGVDAGPITGESYASSNGHRSPQIGEGSSHLAARKGANYSQR DRAARSRDAASTQTLLGSRSASQNGSASGSSADEAAIHNRNRTLSRTASKMPAEIDPD LDDFDLELDSISDDDHLPPAAPSHRASRAPSRLSTRSPLGPGPVTAQAASPATVAGQV QGPSSRPTLAGATSAFRDLLSGAGSRDHAEWFKQLDEEYIKPKLLLDQGSGHKGPGAV UREG_04325 MNKSLLAFVWIAGPLTGTLVQPYVGIRSDNCRIPWGKRKPFMIG GGLATIASLIALAWVRELVGGILGIFGAAPRSQGVKVTTIVVATIFMFCLDFAINTGQ YSPLLTCLLIAALSDAKSNVVQAAIRAFIVDNAPAHQQESANAWASRLTGVGNILGYI SGYLDLPKILPFFGNTQFKVLCIIASIALASTLLISCSYIAERDPRLEGSPRSDNPGI ISFFKQVFKSIRRLPPQIRKVCEVQVCAWVGWFPFLFYSTTYIGQLYVNPIFDEHPNL PEDAITDIWEQATRVGTFALLVYAITSFIASMVLPLIVVPTYRPTLPEESDASLSNER HPYLMRHPSTSTLSFTASAGPAIETSHAPVQPSEEKRSILAKLQVPGLTLRRMWFLSH ILFALCMFSTFLIYSPEAGTVVIAVVGISWALTLWAPFAFISAEVAERDAKHRLQKHQ LRRRTSASDQDPAASRPGPGADGLSTPDSDGSADNEETVDQAGVILGIHNVAISFPQI VSTLISSAIFKALQKPRGEPWDDSVGWVMRFGGCAALGAAYFTAKLGEGVGGKGLAGN GDAV UREG_04326 MDYVNRADITEPRFAGELDDKAKCITLHRLGEKGLVTFSSAVLI LKRAW UREG_04327 MYSQHGASMAPPQKPETFMLSNEAQQSLPHDAQVALQQVDNLKY FLISAPVDWAPDQLIRRFLLPTGDYVSCVLWYVQLDPCNRPISNLAQEQSLPHLRDRY RAMPFLSFPRRLVDPVKNTKKFEEGIFSDLRNLKAGTDASLEEPKSPFLDFLYKNNCI RTQKKQKVFYWYSVPHDRLFLDALERDLKREKMGQEATTVALNEPALSFQFDSSQSLY EQLTKAQQANSSSFSAHASAAYHPASSMIRTTDSMPPPQLPPAMPIVPEEPQDQGIYN SVGLTSASATMGTGGVKAEQDYGQVQYDRSGVPISRIHQRHTSMPTYMEYSPAPSFVS SHYDEYGPRGISFEPITPPQHTVQLGSEPAYIANEDTGLYTAIPDLGTTAAFNAMMQL PPSNFAAPQFSTASRTFPSTNVYSVIEGSPTYKQRRRRSSIPPGITNALASVTGNGQA HSNPHAAHRPSDLHHSISVTEGDESNQDSPPGLTHGYKADESNKISSHENSRLGTPLP TLDETHADDHLSLINSQSEMPMLTSGDALESSCSNGRSNAPGPVRRARSATMMEMGPY PQKSHSCPIPSCGRLFKRLEHLKRHVRTHTQERPYPCPYCNRAFSRSDNLAQHRGTHG AQAGQQPPANSNKEEHEQ UREG_04328 MLGKRKRETAVVSRPTEQEDPLVVPPNSHDLLRRYFEARFEPLQ DLEKNEESAADSSGVESEDGSAASEWEGISDDDGDDDDDTGKVWSSNVVAEVVDHSGA NNLDKHEDEKELRKHFMSTKPPSSSQMRKSTSKTKVPTSDDEEATDAINLKHDLALQR LLKESHLLESADDLNPTGKNRHRAIDIRMQGIGATDSLFTQKKMPMAHRKGIEAKGAK REDTRRREARENGIILEKPTSKRKTSSQKRERGIGGPSVGRFAGGTLRLSKRDLIDIQ GPRSRIGKGKKKGRR UREG_04329 MNRWQTITEMEITRETIQMLEPYCSEFLIHAADVEGLQQGIDED LVSRLAEWCSIPVTYAGGGRGLADLDRVKSSSNGKVDLTIGSALDIFGGSGVTFEEIS YGYQAAFLAFL UREG_04330 MQREISKRGENIMRLTTSKSLSPLPCERMKGFQTQEFRREPNAP LTVLKREETIIKFRIKFDAVCLEAAEYRESLERVCPWLEYHLPEIQPQEYIRAVKIDP ATAAPSFGSSFEPRNEWWFFCRRGGIGIPGGSEIGRLEAYFVKGTDDGKDDDGEDGDD EAISKGEEVRVSVIWTRNDGGARSYQLHAFSAETTGFIVAEVDEW UREG_04331 MATETLTLRPATQQRKLPKAAKLPPENERYMRACSDIANALIQD YEAQRDPSKPKKDINLNKLRGQISKKHSLSTQPPLTAIIAAVPEHYKKYILPKLIAKP IRTSSGIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAI RARYDPFEQARGRVDQIRSLGHSVDKVEYIIMGGTFMSLPEDYRDEFISQLHNALSGY QTNNVDEAVQAGEMSNTKCVGITIETRPDYCLDTHLSAMLRYGCTRLEIGVQSLYEDV ARDTNRGHTVAAVAETFKLAKDAGFKVVSHMMPDLPNMGMERDLFQFQEYFENPAFRT DGLKIYPTLVIRGTGLYELWRTGRYKNYTPNALIDIVARILALVPPWTRIYRVQRDIP MPLVTSGVENGNLRELALSRMKDFGTTCRDVRTREVGINEVKNKIRPSQVELIRRDYV ANGGWETFLAYEDPKQDILIGLLRLLWFASCMFMGSAVPVHGRDPRKFQHRGFGTLLM EEAERIARDEHGSRKLSVISGVGVRSYYAKLGYWLDGPYMSKMLDPMTPEDDEC UREG_04332 MQSFKRSTLSALQNAARAQRRGYSKPTSAYASTVENLRINSETK VLYQGFTGKQGTFHAQQAIDYGTKVVGGTNPKKAGEMHLDRPVFATVRDAIKETGANA SAIFVPPPVAAKSIEEAIEAEMPLVVCITEGIPQHDMVRITDILKTQNKTRLVGPNCP GIIAPGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPF SGTNFIDCLRVFLEDEETDGIIMIGEIGGSAEEDAAEFFKAANKANKPAVGFIAGISA PPGRRMGHAGAIVSGGKGGADSKIAALEAAGVIVERSPAALGKTLHAEFVKRDLI UREG_04333 MAALQPSLPANLTQQHIQEVYQKYRQMQEQGVRPDDPEFLKAHN LLSAVQRQQALHKQRQLQQLQAQRLQQTQQQQQQQQQQQQQQAQQQQQAQAQAQAQQP APPHTNGVSAENVLNAVDGRNGSVSRNSSTAPDSAPGQSSSTPATSTSQKGATTGTGS FTPEQLTILKNQILAFKMLSKNLAIPPRVQQQLFVNKKSTAIGGTDGTVSMDGASDGT SQTRETGTKADTALTTVPKAMYQTFQSPYEALPASISYGSHSMRKYRPRIPSLMPIGA DLDKMREEREIILYNRISARKAELARLPANLSVWDTTKSDSPDIIDDSVKLKALIEHK MLNLLPKQRLLRKKLQHEMIHYDNLIMSANRSGHRRMKKQSLREARVTEKLEKQQRDA RETKEKKKQYDQLQAILTHGREVINAGIQQRTRSQKLGQMMIRHHHDMEREEQKRVER TAKQRLQALKANDEETYMKLLGQAKDSRISHLLKQTDGFLKQLAHSVKQQQRTQAERY GGNEDMYEESEIESDEEDEEGESRKVDYYAVAHRIKEDVTAQPSILVGGTLKEYQLRG LQWMISLYNNNLNGILADEMGLGKTIQTISLITYLIELKKQNGPFLVIVPLSTLTNWT LEFEKWAPSVSRIVYKGPPNSRKAQQQAIRWGQFQVLLTTYEYIIKDRPILSKVKWVH MIVDEGHRMKNTQSKLTQTLTQYYTSRYRLILTGTPLQNNLPELWALLNFVLPNIFKS VKSFDEWFNTPFANTGGQDRMELSEEEQLLVIRRLHKVLRPFLLRRLKKDVEKDLPDK QERVIKCRFSALQAKLYKQLMTHNKMVVSDGKGGKTGMRGLSNMLMQLRKLCNHPFVF ESVEEEMNPGKGTNDLIWRTAGKFELLDRVLPKFKASGHRVLMFFQMTQIMNIMEDFL RFRGLKYLRLDGSTKSDDRSELLRLFNAPGSDYFCFLLSTRAGGLGLNLQTADTVIIY DSDWNPHQDLQAQDRAHRIGQKNEVRILRLISSNSVEERILERAQFKLDMDGKVIQAG KFDNKSTNEERDALLRTLLETADSADQAGNEDEMDDDDLNDIMARSDEELVLFQKLDQ ERLKSDRYGQGHRYPRLMGEDELPDIYLAEGNPVTEEPEEVTGRGARERKVMRYDDGL TEEQWLMAVDAEDDTIEEAIARNEAKLERRRANKEKRVKRTQGAESSPEPSREASATP QRSKGRRKGPVPKRKADEVIDEAPSKRRRGRQSKAAIAAAAAATETLNNSDREILQTV LNRVYKIIMGLQAEVPADSSDTDDEPSTRPIIDPFLKPPPKTHYPDYYMIIQNPIAMD SIKRKINRDEYQSLREFLDDIRLLCNNARTYNEDGSVLFQDANQIETACIAALKKETE KYPDFADFDDSVDGSTAPISSAGTPLASGAASSQKLKLTFNNSKTNGNDSAAVSDDE UREG_04334 MAHDLEAEAQRLLRVFAHLGPEDLPQIAFGPSAKEAFDTHVFMT AIFRRAICATAVADELLLKMQAVGFRRDPWTAEILIHRIQNNQELQRVIVDFAKLWLM DFILPCCYKLDIDVTTREMERCAITGIYSSQAFTPSTKDEYGKLMCMRIIPENVRHNE QAQQLIRIFTGGLINTVREKINTAENAILMSETITETFRNFQWSLKPAPRNNRETIRS NLVVERLAPFQVPKIAALRPDSPVILGDNVASMTVICPSQDLCRLHLAAAQIVDDCRL FSAIERYFN UREG_04335 MNVNKKLGRFKQWAGERMGGEVKTNVSDDFKAMEAEMALRQEGL ERLHRSMTAYVRAISKRNEGDGGKLFPIGYLGGTMVNHGQDFESTSEFGQCLISFGRT NERCARIQEQYVVDATSSWLESLDRSLAQMKEYQAARKKLESRRLAYDTSLAKMQKAK REDFRVEEELRLQKVKYEEANEDVYRRMQDIRETEADNISDIRAFFDAQLNYHDQCRD VLLQLRDEWPVGHQPVRRSTVSRTTTAHSFHDRFEPVADEPLEPRPTIRPTRTTSSCL ESSSQRPSFSRTSTFEGPLQLRGRVSPPNSSRVVSDSASISSTRSPLRAANSRNYDGH PGSVDDGASSPYGRVSPERYVSGSISASTGHPLTRPVSMALYNGNGVSSSQSSVRAKK PPPPPPPKRNTLRPTEM UREG_04336 MIGDELHNGRYVIAHKLGFGRSATVWLAEDREKCQLVALKISTA ESVDRTNELKYLSQFRDAAKSQLPGKVNVPTLLDSFTFSGPNGTHLCLVTDTARISIH EAKDASYHRLLHLPAARAITSQLILGLQFIHAQGIVHGGTFGPDFQVFKKLTLNTDLH LGNVLLSLPPGMQSMNCEQLYAKTGEPTKEFIIHHDGAPLDDGVPPEAIVPVWLGLGS DEITLADSPIQIADFGEAFSPKVTKQFVAHTPLLLAPPEAFFAEAGADEPLSFPGDIW TLACAIWELFGLTHHFRPSCHTG UREG_04337 MTQAVDNKMAYATPSARWRAIVNRDAAATCFVYGVRTTKIYCRP RCPARLARRANVIFYDTPAQAEKAGYRPCKRCKPEDLRAPTDPHVRLAQKACETMTLV ALAGGEKQRPTLQDLAAEAGLTPSHFHRVFKKVVGVTPGKYARDLLEGKTFQKKLPDG TIIGWPPLGPLATNASATALQAHINAQTQVQTQSPAPVPNSIPGSGTGSTGHDPPPIR FDWNEFDMMFAATTAATRPQVDCVGNGGFCVPTTDHQHHLDPSSVIDGSMFSTNPQLS GPLGFPDYVNDTVDFSLGTPLFDSPALTTRPTSVPSSQQSLSPTDSPPLFDSCDSPLM FEDVEWMENSGLSLPWRV UREG_04338 MGRVIRNQRKGRGSIFTAHTRLNKAPAQFRTLDYAERHGYIRGV VKKIIHDPGRGAPLAQVSFRHPYKFKDITETFIANEGMYTGQFIYAGKNATLTVGNIL PLGSVPEGTVVTNVEEKVGDRGALGRTSGNYVTVIGHNPEEGKTRIKLPSGAKKVVKS RSRGMVGIVAGGGRTDKPLLKASRAKHKYAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKE UREG_04339 MSHSDSDTSSLSSAVSIEDEAMAASINRAVGIKKYFKREKQTES PPPKRAPSPPHEYVLADNPNIAFIVMFRSRFSDIFPKSVPNYGPQDIEKGVTDAVPGD HIERLLCALIGLVLNRKKDVESVCLHSQPRLKLADTHPSSIYIWRGHFQRALEEAVQT HYNQWPRAWEGKNPLHGGRTFATMSPEDRLSFLKTLILWALSSSEAIQAKLKESYKQT RQDGDRNQPLSVQPWGSDSYKRRYWLIEGRDDTHFRVYRESNPALKTNTWWSVAGSID ELKAVAESLGAEKSRAAKELSDRMRNSIPRFEASEEKRKRRDYRLARKAAFARPEPGF SLYEGRTRGKRIKYTFSDEEDLSDEYASRRSTRQQTRGSTPGEPSGPTYTASGRQVKA RVGGIYGETITTRQRKDTAQDHGLMNGRAQRSTRSNGLTRDTNIDSYNSVDAMDEDDE PEAVSSGQEWEGGDEHSAEEDEDMSDVGSLDEDDTVRPSLVVQLRYGQGKDGETLQDS PNPTSSTDGTAPEAQSASAHVTASSSRPTVRLGDHTSSGHDSPAKSVAPLLPAQPVEL PPNQPTAAENQTPQASQNGTRQGYHHSGPEK UREG_04340 MAASEGYPLLCLENPLLEKYGVKANDAILAEEKHMGLYEDLLQN CNAKLIAGGAAQNTARGAQYILPENSVVYIGCVGKDKYADTLREAGDKAGIRTEYRID DVQPTGRCGVIITGHNRSLVTHLAAANEYKLDHLKQPEIWSLVEKAKFYFVGGFHLTV CVPAIMALAEEAAEKNKTFMLSLSAPFIPAFFKDPLDQVLPYTDYVVGNESEALAFSE SHGWGITDLGEIAKKMANLPKKNAQRPRIIIITHGTEPTISAVADGNGGAELKTTPIR KIPQDEIYDTNGAGLINAASDAFAGGFCAGVVQGKSLDQCIDMGHWLANLSIRELGPQ YPFPKKTYTA UREG_04341 MASGSQSPEQTIELQGEKQLYEELSKRDPMFDNAERFLRDFQTS CAHVTFNNLETEAGPTSPELENQIWDAHMRINSRFRKLCFQFREQQARKKRPVEDRKL KSKYQKFISGSQQFYEDYLKFILSRWSSVPELKDAATELSIEPVEVQIPPSVTSTLRD RIVNSCYSTLIRLGDLSRYYQMVLVSDISKREWSLVVNFYRLAGAVKPMCGRFQNQLA LIALAGADHFHATYYFYRALCAMEPHADADGNLELEFKKFLTARSSGRLAQPNDERES LIHSFIYLHAMCYKNVDFSERSKLEDEVLDQMAMQLREGSMDVTFLQTLCLMNIGAEA HARTKSDTNGNAVEFFMRLNVKTFTILLQLLIQENNEQSNLDWSHWTILPALRNYSSW LVANRATLVSQTQYTTALVGYYIRRFWEIYASALNFVASNTSRGRVYLNYLLPEDCET LGFSPLMVGSKSRRHVDDSGNVKPKAPVGAGGDRTKVEAEFRTQEFLLDGLDFVRNKE IPIRMLDDRFVVDQADAVSQSSNGSPSASDLSTPSVDHQVVNQNQAHGDHWDNNESPA ESRLAFDVEAMVDELVNCDTNHSAIEDETTESRYRQSLVIDTDGGSTFSASQEQDSRA ESPEPKVTYSPGPLLPSIFNTAFAPQPGEVSSPSRSLTASYSSLPPHPGAESIHSPFI SSYYPSSVFTRSHVASPGVFLGQTPPSGQAG UREG_04342 MYIELPRRICFYRSSNLDRICPAHVSVQSLPSRHPRCPFVSERD QALEYLRCAFLNGIRVVAEVEERFTVGTAVAHKFLEVVRGRDAHAVELDDGADHAGAQ GALLTGGDVKGHAGRVRAVAGSGRR UREG_04343 MSASSRIPPIAAPFVSERARKTLDLVEEFVEKECIPADALFQAQ LGQGEERWKRTPAILEELKVKAKKLGLWNMFLPKNHFKEGAGFSNVEYGLMAEILGKS RVASEATNNAAPDTGNMEVLAKYGNEAQKKQWLEPLLEGKIRSAFLMTEPEIASSDAT NIQLDIRREGNEYVLNGQKWWSSGAGDPRCKIYIVMGKSDASNPDTYKQQSVILVPAE TPGITIHRMLSVYGYDDAPHGHGHISFKNVRVPASNMVLGEGRGFEIIQGRLGPGRIH HAMRAIGSAEKALEWLIARANDEKKKPFGAKLSSHGVILEWIARSRIDIDASRLVVLN AAVAIDNANAKAALKEIAEAKVLVPRVALEVIDRAIQAYGGMGVCQDTPLASMWAHAR TLRLADGPDEVHLSQMGRRENKQREGPTTAKLTWQQQETERLLMANGYGNKPQL UREG_04344 MAANATSPSKSLPLSDKSTNVFLPSPAKASNEDKAATKKSLEHH RQVLREKLQEGSLKAPGHYVSPSDNIMSPCTKRLTDMKVKMLKNMKPQALFAKTIAKK SYEKMSSEDPNNGGNAA UREG_04345 MLACRSSWSRVVAARHPIFQCLATASAPPRSFCSAAVDGNCVVQ NGLHDQNPQNLEVCGQSGRRYSIEKILQEKELSKLRVYLAVSSGQRFILKEVSGQKFD YYQNIHRELRSPYLRCLSDTVPEQSLFVYKYFTDHLLGLAPQAELSVSTTKRILRDAL RGIAALHERDIVHTDIKANNIFINRKFGNGDQQKIVIDQVQLSDIEDAVYLPPGEYIT GKQVGNYMWRSPEAHAQGPVSKPSDMFSFGVVCIYAILKEAIFSMDESRLPKGVNPLS VILERQLSYFADAQSLNGFLVYLGEENPWCNAFKTLWEGFNEGNPRKPFSMCLDIDER FRDLVTRLMHFDPTRRSTAKEALEHPWFANA UREG_04346 MRGRWPFLRFDTDIGVLNGLILLFLLPLFVAEDGDFQHPHPQHR QGLDEARWANGQNNGICPVRGVVEIQAEYLRHPMCSVTTGGQDPQGDGFLLNTTETTI TTTEKGLETPASTARLESELDTESPLDNEKFLSFEEWKKKNLAKVGQSADNVRGNRPG SGSTEMRRRPYPGKISNALDSLGEEGEIELGFGGFDPDDPNIPPLGKKDARTAQTTSG DESPVTKGTEGEIQSDGVPRRGVARRKDAGTTCKERFNYASFDCAATVLKTNKECTGS SSILIENKDSYMLNECRAKDKFIILELCDDILVDTVVLANYEFFSSIFRTFRVSVSDR YPAKPDKWKELGTYEAANTREIQAFAVENPLIWTRYLKIEFLSHYGNEFYCPVSLVRV HGTTMMEEYKNYGDTARAEEETVQAVQPTQETPGAVSTVDQSNQTQKENCKRNATASV TKTGAESLPDEEALGALCFPELDEIEKLLLGYNVNNMSSIYDLVSEHEYQYDSHDLAE SESVTAKATGSTASEDIPQSDTPSTTNGGDKSQKPASEARVASTSSSTEAENDTVVES QRTPIASQPPPPNPTTQESFFKSVHKRLQMLETNSTLSLLYIEEQSRILRDAFNKVEK RQLAKTSSFLENLNATVLHELRDFRQQYDHIWRSVVLEFEQQRQQYHHELFAVTTQLA ILADEVVFQKRVSIIQSVFVLLCLGLVLFSRSAVGSYLEFPKVQNMVSRTHSFRSASP SYETPSASPNTVRQRPSYSKANLHRRNVSEDQTDSELCSPTFAYHSPPLSEGPSPSEE EEKGLNEVQSDYARPMSSSPVPVENLATLKRQKSSPAELGEATNNAELRPP UREG_04347 MDCLRDKFVGGVLLDGRFLTVTPLNHGSFGMVFLAKDSLTGEQV AIKCLTKQSADGLELAADERSEEMECHARLGYHPNTVNMIHSFETTSHTFLVLEYCSM GDLYEAIRIDRGPLETEHVRDFMLQLVSAVEYMHSKGLYHRDIKPENIFLCQDGSMKL GDFGLVTRSNWSFEACVGSDRYMAPEQYEPTAAGYSPAQADIWAIGICLLNILFSKNP FVTPTESDVLFADYSRDRQSLFDVFPNMSQDTFEILTHSLAIDPKKRSLSAMREAIVR AVSFTTDDESLDDFCTEDRNVIPASAYREPLRTPSIQSPQINQGDSFPWAKALQTTPQ QAVRQLSAIPDTEIYTEDLFATSADEGMSWYTENNGSASMVSTLDSNLGPSFKAMAFP KDRFRQFEKVQFGGSLPEPVGVPIPSMSMVFGKGKDEQVSKSWSDLWDEDQMENEALE LRNRQAQNARTWSQESKDNEPVHSGLAEIDLASSTLNVRSKTPSERKGVFIPRQTGNR TSDEPHFSDHPSSPKHATSKQSIMDKWAALGQKRRNYSPKEPRSTQWKANQIVNRNWR KDAAPIPFGSENEPWTRKSSSPRRNRTRQHQPPQPHMLSNDWRHDSFQTTKPRKDTCP FAVDDSYPDEEFDFIGGWHDLHI UREG_04348 MAQPPSPNKAAYPPDRTPDEAISLFKALEAKFPSATLGDDRWYF IPIAALVGSGQPEFAIQLYTYLISKPEYSTPQARQELVRRLREALVKCVPIVGVCRPL EVVFGISKIEREEDKDYSFSRLVIECPPPSSIIQNKKSPFFPQSFLQKISQARLAV UREG_04349 MALDGPGGHAASSNLAQLEEPLLPIFNVERVQLAFDVAVDFVAA QVANNVVILALATGRILRIDLGSPEDIDDIDLPKKSSEIGVIRRMFLDPSASHLIITT TLGENYYLHTQSRQPKHLPRLKGVSIECIAWNLAQPTASTREILVGAADGNIYEVYIE PATEFYRRDEKYVHSVYRLPNMAVTGIWVDSVADKHEYRHVLVSSNGRIFYFRGTVGR HGREGGGPLYADLFQRETPLVHELSSASLSAPSLLAIQPEPLEGSHGDGILGEKNFAW LSSQGVFHGAIPNSSGPPEVGHRIFDKAKMIPRSMLPATESAKGGKKLIQDPIKGMTM TQWHILTLVEGRVVAINRLSNEIVYDQVVLEPGTSSLGLVSDLKKNTYWLFTGKKIFE ITAKDEDRNIWKIFLKEQQFDAALRYAHSPAQKDAVATASGDYLARKGQYLEAAHVWG KSSKPFEEVCLTFIDKGETDALRKYLLTQLTTYKKPAVMQRTMIASWLVEVFVSKLDA LDDAVLTKAELEEGTNAVDAKNQLQQVKSEFQTFVSKYKADLDPKTVYDIIGSHGREE ELLYFAIAVNDHNFVLSYWVQREKWTEALDVLKKQADPEVFYKYSSVLMMHVATELVD ILMRQTNLNPAKLIPALLSYNKETTVSLSQNQAVRYLHFIITNHPDPSAAVHNTLISI YASHPSKSEAGLLTYLESQSISPPPYDADFALRLCIQHGRVQSCVHIYSMMGQYLEAV QLALKHEDIELAALVADRPEGNNKLRKKLWLLVAEKKIHQRGTGIKDAIEFLRRCELL RIEDLIPFFPDFVVIDDFKDEICTALEDYSRHIDSLRQEMDNSAHVADQIRREIASLG TRYAIVEPGEKCWICSLPVLSRQFFVFPCQHAFHSDCLGKKIMAAAGTGKRKHIKDLQ AEMSKGANTAARREQVIRELDGLIAEACILCGDYAIKQVDEPFIGPSDNKNEWAL UREG_04350 MPLLAPPTVPYLPDDDDCVDCGDLIDESSDVALEIVEEAESEAR YGECPSQYYPIQIGEVLNHTYRIVHKIHHGGFSTVWLAQDLKNQKIFAIKIMVSGSSE EHDYQMQTAIHQTVTDISHLVLYEDAFLLHGPQSSHFAFVFPFLGPSVGSLVGKQLHI STRMGARQLLQALEGLHKAGFVHRDINSMNAIWGSTLPENLSVANQYKILGRPQRMLI HATWKQAELVRPMQFPVTHCSNMIFLADFGITTPVSHSTIPDGLPPFEYCSPERLHGA IPQFSCDMWSYMCLFSYLYFGSNLFPHLKDVVTYMGPLPKYLKGKYLWPKYSRDQWYD PNTAITTSLEERIRYQRPAMDSAERKLLMSVLTRGFSLIPERRLTASQLLRDLDFNAL IDIYCG UREG_04351 MSGSIHRFLSRRDRSHRRKDLSGWRLKHVSAESTSDSALAQTWK LFSPEQTNAENNWQQAKVTKQQIAELTQRVVAYGIPIVSKQQFRYALQSKYADGDVEK AFELLVIIEDSIEGILRTYRPSTKLLGAVNREGVTCYLDALLFAMFARLDFFEGVLYN TFEDEPRRNLVILLRLWVNLLRSGKLITTDITKQLQDALAACGWADAALLRQQDASEA FAFITEKLELPLLTLKMDIFHTGKENAGDDHRFINERLLEVAIPPPKEDGPVTLEECL EAYFNNRVEVRRFLQQHNTSHSVSSMDSLSKAYSTHVEHVELDGSVSSSSQLCSSGLA PFPELTGEPSPLPDSPISPVRPPRVYRTSSIVRERFFPDNDNLNGNSEGSMARPVNRL RKGSMRKEVLMPAWQIFSLIPWYTDSIPANDAEIATHFSTKRPILGMCLKRYSVLPDG KTVRLNTYVDIPTEIGLPHFIKDDNLEDDAPLFGNFKLSLQAVVCHRGTSVDSGHYIS LVRGTSLANSGSSLSECSLADNLPFGHNDYWLRFDDIAEERITITHIERALKDESPYL LFYQILPIDADPADSCPPPYEESDRYGDILFEKGIYKAIHSSSNGDSQELTRLDPSIE DPDWLQVKPPDETASNGTCDISQSISKSSQKRSQSRNKSSSSEKKLSAALSYLSRRKS AEPLSASPSTESTNSRPQRHSEDMSRAIESNIRPMRSGKVTQPDRECVVM UREG_04352 MALHEPFSQLSRAFARRLSPSIYSPIPSLRRNASTDSPTSPPAT DQADLSGTSFFENSTVGDETTKKFDPIARSRARRQQLPRSRYQYRSPKYDKGPLHPHR PPPEWDPSSRLFVPGPFTNSRVEQTYETTIAPDILTLCYVHNPPGFKPPPRAERLRSW DDSSPYHKNRPLRGPRGGDVLRLLRKPISFRNIPKLEKITVHSHISDAVNGSAALHVG GMAIQAITNVRVETHKAKTNVPTWGVVAGKAYVSVTAELRGENMYHFFGKLVDIVMPR IKDWKGVRGSSGDSSGNITLGLDPHMVALFPEIEVNYDMYPPKMIPGCHITIQTSAQM DKDARLLLNALGIPFYGKYVN UREG_04353 MMLSTQSPHPATLPSGPGSATTVTYMTLPSKKQSSSMLNREGVF SSPTESEFSEGCDGFDSVRSWDEKKVADWLHSIRCGQYEAMFKANHFNGDNLLDCDQR ILQEMGIKKVGDRVRISVAVKQLRTKSVSSRKKKNRDSFLALDSSRFTPPSSDSPRPS TARQQPSGSRQWPRQDNGKSSPRPSSPTTEQDRGLRPYRYAGASPAESTRREQNSTYF SRPLDSSQNSRTTTHMRQTPSIDGLTMSSLPPNSPVIRVIYTGGQTKVLNVKHCKTAD DVMLAVLRKLLLPESHFRNYCFYVLDGLDPDPANCRRISDAELMQLCDGSGRAERGRL ILKKLHAGEPDAEELQRSAQLAMDENQVAHINALSTTNVRNQIKLQKLTGESWHNIRQ PRSPLTATDRHRDEYPKVLSPTSDRQQGTKLRSFFGARPPSEMIIHELTSYFPSHQKA DIEKTMRLSVRRSQRLSRAASRLSVVSNISLASSLKDAPPIPSIADTWLAGGGQQATR ASRPLSVSRFNLPQISFRDSIASSNLQPLQEESPVEPNRKSYVSFDSASEATPGETRQ TFLDESVCTNNTDGGSSLNERLSMLVAEDGEEDDVGLADFLAGNNFSNQNWMKGSLIG EGSFGSVFLALHSVTGELMAVKQVELPSATKGTEFDNRKTSMVNALKHEIGLLQGLQH PNIVQYLGTSTDDQYLNIFLEYVPGGSIATMLKQYNTFQEPLIRNFVRQILSGLSYLH SRDIIHRDIKGANVLVDNKGQIKISDFGISKRVEASTMLGASGSGHLHRPSLQGSVYW MAPEVVRQTAHTKKADIWSLGCLVVEMFIGAHPFPDCSQLQAIFAIGNNQARPPAPEN ASKEATAFLDMTFEIDHEKRPSADDLLNDPFLETMIA UREG_04354 MLGVSKLREEFPLLLHRHKRIEPVVMQHKRHVAAGRCREWPLWA QASLPVIPESNKLRGGSESQGTGLLLLLPILVDAFVYIPSHPSYSVNKPLYEIYEATT RQHSLIRPWIISPVSPSQAASILDPTSHDILYLRGQASKAAGYMISHSIGKAPPPTSY UREG_04355 MDETHTAPAKIKKKRASTNRKNLTCDDLLVRHERLVHPAETAAA RGENRPAPAAIQIPATPTSVPPPAGRPVSQPSASDSRILAFSDSLPIQHPSVSRSDLP VPAPQLVEQTQYNPSWGYDLNLLSHAASHVALEGQQEQAVQVRKAPHVQDRPMTDAYA IEPSILDLADLGDPVQDFTTFLESVGLSSDWDSGMFATPEPQESDERGGLTEESSMPR TPWDVSNHDRQFLVSKLEDFAHVPSERHGLSRYLAGYINGLNEHLPFVHIPTFSVSRS PPELTLALAAAGSHYRFENARGIELFHAAKAILLERIRRCDAKRSPPQWNLAQTTSSA IHTSRGSSSISNPSNSPFQPPFIPISDNVIHPAEDSEAQMEIIRTFLLLTVFASWERH PDLLREILALQSTLARLVRDHGLAEPGPPFDIASWEDWARQEADRRTKLIVYCFFNLH SIMYNIPPLILNSELKLNMPSPADEWKAATASQWRRLHRSRAGSEVLFQEAFAKLFVK TPHLSYCSQISPLGNYVLIHAIIQQIFFARQLCLSAPLMAGTSLRPEDLSILEASLGA WKAGWRRTPESSIDPQNPAGPIAFTSTALLGLAYIRLHVNLGPCRHLVTQDPLQIAQA LKESPPVSRHPRLIMALLHSAHALSIPVRLGIDFVAKTHSFFWSIQHSICSLECAFLL SRWLLSIPTTQAEQPLSDHERKLVLWVRSMMEETDMPVEMASTSELEFLNDPTQVKQL SLTVVRVWSRTFKGNTSWPIVDLIGSSLEIYADLLEIIIRIIDVPGRNNVLCATHGYF RFFSFRLTTLIGMNFNADIDFWIVIFFNMTGDEEFGREVLPALRASIGMPLLVVDLVV FICREREDSSMWRKQAAYQLRRRLGCV UREG_04356 MVLPKSKNAVGLGNSFMNDRFGKGKASDRKKVSHNAAAIRRTGP GGETYITNPSEEASWVKMRSITEQAALEEFLSTAELAGTDFTAEKLNNVKIIHSDQKN PYLLSAADERSAVNKHQKNKNRLTVPRRPKWNSKTTRQQLDVMERESFLEWRRGLAEL QENQDLLMTPFERNIEVWRQLWRVIERSDLVVQIVDARNPLLFRSEDLEKYVKEVNPN KRNLLLVNKADMMTPHQRELWADYFESQGISYKFFSAALAKEQNETNMDGETDDTTSE SEDPIDSQDKADSGVSEEDSDDGDSETEESGGVPLPIDSRESRIRILTVDELESLFLE ASPTPTSQDNNSEDSPKRLTTIGLVGYPNVGKSSTINSLLGAKKVSVSATPGKTKHFQ TLHLSSSLVLCDCPGLVFPNFATTKAELVVNGVLPIDQLREYTGPAGLVAHRIPKHFL EAIYGMKIYTRPLEEGGTGVPTASEVLRGYARARGFATTGQGQPDESRAARYILKDYV NGKLLYCHPPPPIGDNDPINAIEFNEGLYDLARLPPRRQAALLNLSSSASVSGFTESN DADTNSEITSLSSSKSPFEGVRSRNLDAGFFTARGDGSAGHLKMPFNHVYTEQGAQQR KKDLSGRKAKAMIALENGIDVSEVRSSGKKHFKGGKKKAKVKVNTKDADDF UREG_04357 MPPKRGWIDKKTATTYQLFHRSQNDPLIHDPEADDRVLHQVSGP SQKPIAPKTTRVLKDLETEFANSRARENEGEAANYGIFYDDSEYDYMQHLRELGKGGG EAHFVEAKAKKDKGKMKRLEDALAEGTLEDDFDGLSLRDSSSTYGGNDAFSTASSYVR KPTYQDQQNIPDAIAGFQPDMDPRLREALEALDDEAFVDQDGDEDIFGALVDGGLDAE VDPDEWRDKFFEEEYDDGWDSDCTEKAPVQPMTSSTTTNDVDSKHFNPSGTDSEIPAH DAPIPDAAHDDGDWLKNFAKYKKDMKSKPSPVEHSENASERHTATSTMFTVGGTPIRK KKRKGALTNPSAYSMTSSSLARTEGLRLLDDRFERVEALYALDEEGEDYEGSSMADDM SVASGLSKFSQTPSMISQSANVPVREDFNSVMDDFLGGWQDRGKQARRKGAKGKRGKN GNEVLGMRMLDEIRQGLGPAKVPEKV UREG_04358 MFSRIGGRCLPCCRRRGIQVSIRVRKFTSNPSAAATAASHAASS SSPLGALTVELDRIAPRFEIQAAHIKILDSPDSFYQTLKHKIKHAKRRIYLSTLYIGK SERELINVISESLRENPDLTVSILTDCLRGTRESPNASCASLLASLVAEHGPHRVEIR MFHTPNLVGLRKRLVPKRINEGWGLQHMKLYGVDDEIILSGANLSNDYFTNRLDRYHV FTSKQLADYYGRIHEAICNLSFLVVPSEKERSGYTLEWPARNIAPSPLETPEDFISSA STILPKLIIPIATDTPTSSLSEEKAYVYPVAQFTPLLKPDESTEFPAVTRILRALSTN PLLENSKWLFTAGYFNIHPTLSSLLIASTSSSASPQTQGIVLTASPWANGFYGSPGVS GMLPAGYTYLSAKFLDRVAEAQKTNSIQLKEWRRGTVGEPNGWTYHAKGLWITLPNEK FPSLTFVGSSNYTKRSYGLDLEVGAVVVTENEKLKRRLGEEADWLQNDAKPVSREDFR MTERRVGWHVRLAMWIVTIVGGAM UREG_04359 MGFQGVAEELLSGSGDAVKIMKPIVARVSWLPSNATSNPEYMFW SERLLAKTCLFGCESVLAEGSLVSESTVEFTLKSFRAWASHPEVKRSDPASTMDTSSA SASPDSRFSIWRAYHNLLSQILQQKLPYSAPSEGPIRPQLASEFRRVEAIYENALLKI AKFPKADASNKEIEQWIEQVIGNWQILCGSGWSDADFGEGGRDALSRNVLDILYRAAT KTFHSTLILRRLFQIHSAVAEFDLALKALDTYIDLVETAKTRAKQAGKSMGEIEDDDT FVRTLSEGIMLVCCFGTYPKAEKAKQLTDLLEITLTEENGDKCPSSQSNGTSSPKNTR FAPGTLAIAYRAVGIGLANWSRWTAVTESRRDFQASAISSLEASLSEDLGQDMNPASI FALGLVLAETRDLDSAIDRVRLALSSADVMGYGIQGSGLLSYTGERDLVSLWHLLALL LSAREEFETASHVCEAVLDTVTIGGTQGKRLQLASLSTDTEHSHNNAAFEDMEIRKKE SILELRMTQLSLIEVLHGPEAAVNHAEELLALFRRLFESIGFPEPEKQGTEHLSPPKT SGGVRSFRGSLFGRKKPHREDHEYDFGMDGSATPRIPSVSELSVDGPPPAIQVTDENI NGPKERPQTAGTRRGSLRRKDGRQPQKLHKREGSITKAIRHRSSERPSRPQPHTHLSQ TDIAQIGTQETATPVPYMQLPEVDRNGLISGKQSLPPVAHNMKHTKEPQPVGHTQQPP QQDVRLPTVGRYNAPEKTLTRFPKLHIQKQAICLLTKIWLFVAGLYRRAKLFEDALGC WNEAKQQAQHFEELVAKQESSAKAFADPGWGGARSSDEVWGDVYAERGCISKTQGQPF EAMTQFEEALIYFPDHIQATVGLADLLLDIWEQKLPTQKPDATGLPNISDLSISPREE LSCHGKDIAEGASYAPAPSNKDSPEYLDRLAARDRAYGLLSALTKLGTAWDNSEAWFS LSRAYEHGNQIDKAKEVLWWCIDLEDRRPVRHWWNVGSGGYVL UREG_04360 MASTMALRAATHRLTTTPVEELPSAAAYLASTLCESSSILSAPE NQRKVAGAPDAALLVTKLKARITSLLQDKSIEGRWTAIILVKAAIEAGKWEILRGCEP WVRALLAILGLTRQYPTLTREITTPSLPAFITACLNLISSKSASDSRRKLKRNSSLVA SVFSSFLELLPNHPTIFRPFSAQIHDLLLPLIGSIGSADFVSEPPVLIAQRLLVALHH CAPKNTAGEEWFKGYRSTILSAHHAGDHLFRGIKEQWESADAELRHSSKSKDYSTPVG DDGQDPLGLQPWNGIQEGSGRLVSLLGLLSQFLSCPSHSSVSIPIGSTLDLTSRLASL HVPASEGEAENSRMINREVSRSERESLFSELPAIYISTLNLLTAIIKSFGTGSVSIAQ SCLDQALCIFDNAKESKTVRTAAYRCINTILPVIGCTSTKQGVSLLTPAIRLACADLL PQAQDNTPTEQNPKAKGNQTVINADAFLGTSARSTKVDTSSSGFQDVQAIACQTLVNT LAYLPTELIPLSLRAEIDRTAILTGNAKLMMASILNPIPSTNNQPGHASILPFLARNN KIDLEVEGLLRPRMPVLTTGAGKRKFDFAIQQNESDVEEAFNTANRDTIQRPVLATEN TVLADLAPKPMDIDTAGLDSRGTKRSRETDSEPQQATAPVIISSPGKKARADMETPII DTLQEESLHQRAASVEMPYAGELLSHKSVVPKPGEDISEPLEPTVSQGFFQASTSVLV QKDIVAVNEDEEMSSGDEIPQLNIEPDTDEEDDISMQ UREG_04361 MEQSPVPSGYRAILAAREQEFNARLQDGQISPLIFPHDFVSLFV TVGVLLVPWPRQGAFKYARQLSFCLVLYLNILTIRKCRSRAMANGYGVGLAFIWHTIW SATLLVFNDVQGKFKRIEKDTTICETKLNGVDSVVNRDGPEERTGLGHLHGQLNGTPA RRRSSDRDMNNSGTSPVKEPGRTHYTTYYRWQPFPKTFSHRLAWVLDISTFSFRGPGW NWSNPNVAPAPDKSATKSALKAMLTTTAIHYLRLDLIKVLVMQDPYFWGVLDSPPPAF FAPLGQYAGIPALAYHYLLICLGIVSALMVYYGCLCIIYLLISLSCGPRSCVRVPIDA PWLYPNLFGPFLSSVLDKGLAGAWSKWWHQVFRFAFVSPTAWVFPYLPHFLRKKPYFP LLRAIVAFALSGLIHACGSYTQLGTTRPWGPFSFFVVQVPGILIQQLAGEICAHLPFA LPRWLRRWSNLAFFIFWILLTGHLVADDFAKGGVWLFEPVPVSPIRGLGFGAVGEGWW CWHGKWFGLWKGEKWWQRGVEIF UREG_04362 MAFGSDVSVNASKFQPCAIPAASHEFNKQIMSMLDGHPKWWQTG AEAFREMIRRGETAFPIPPKLDCGQSFMIPSREAGRNIPCRVIKPSGDSTPSGVFLHF HGSGWVLSDELSQPHMRATIDNASLSQDPLLKHYADAANLVSISIAYRLAPEHPFPAA PEDCYDAAEWLVDNAEKAFGAPLQFIGGESAGGHLSALAVLHLLSHQDPKYSDFKLRG LLVHYGAFDLSYTPTMFTYQRSPSLVLDRETMSQFTDAFVPDPTLDRKHPSISPLYFN FRSLTESGKSLPPALFTCGTEDILLDDTVFMTVKWQMAGGEAVARIVPGAPHGFIGFP PAVVPGVQDTLDLAAKFLKDKTGRFA UREG_04363 MLKSSETDKAQGGAGGQFSERLAVWSAILYLLMEEGLLEDRDPF DWSIDEVVAYLCHGSFDAWSRSKVPPPRPNPVFLERTLRENDITGHVLLTEINKQTLA EDFGIKSLGQRATIAQAVQYLQGLSRGYRDYAGHLTSADIKPENYASIASPAPLGSPH LFRTPNAAVHSHEYLQRAASFGIASSQQNTPAPVDTSIDSSERAFAQPSPDRPVGATA PAENPTPPGPKLLPDIVGSHSETKRRRGEHYVTDKDGKKRRRLELTSLTTRSEKPQTR PRRYLDRKKAISTIFYDDTMGDGGDSEEDTFIIEGSDSCPIGYRHVLNQRMRYYFRQR PQYFKTKEGKPAIAVFPYDGTSLSREDPRFFSLFIKDGKKVNVTRENVSDWPEFVTGD DELHYLLTKYPPKGEHDALPVYGESASEGDYDSETWKEIQEEHEQTNVAGPQASKFLS QTDVDSIIDSCIADFVGKWQSRKRPIEERKARRIWRRARAKQTRLADIKAASNQIAHM DSRLKELRKAIKVTPWSQAKDVRMQCQSMEQTVFEREHHKWIIEVLEREKCPLKVPGP NFSQPKPLRSIQLPDDEESLGSDTDNSGNDTDDFIVPDEDPTIPSKGFVDELPPSLLP TVEESDEDEVVSARKKRKSRRGRQDARKPARKSHAAPSSNKNSRKPVEIDVVDLTRTD SEDGDASLGNERRETFNVRTPPLNSKPRNSSSSHILGDFEPPKSPSVTPGASTNVADL DFAQILESSVAELEKHPTHLIVYYVHQMGDKDREGIKKIFNTKSFGHLKDVMCRGLRN MSQHETTIPGHSNEHSRLYMRMAICYISWVSRKRISDQDRINKQAIEYALQKKKIRQF LPILADVVRTYAEKRPRARKKSKKSSVEDTAESNTDSDMSSKSADEEADALSDFVRTP HRKRKRAVKQSQEAINAQKLAQRRVQLQEEQQQRLLKRFQNSGVANSDPIRQAISFDE PVIYLDPHIGRRVKQHQLHGMQFMWRELIKDEKRQGCLLAHTMGLGKTMQVISLLVTI ANVANSQDPELRKQIPDTFRESRTLILCPSSLIENWWEEFLMWRPGDPESVSNLGPIR KILQSMEPWERLKEIAAWHSEGGVLLLSYDIFRAFILNRATKSRGSSLGAKVHETIKK QLLDGPNIIVADEAHKMKNRNTGIAEAASGFKSKSRIALTGSPLANHLEEYYSMINWI APGYLGDFVQFKAKYVEPIEAGLYRESTRAERRESLKRLQVLKKDLDPKVNRADISVL KGDLPPKVEFVITLPLTAIQEEAYKIYVATLSTGKDDVPNARLWAWLAILSLLCNHPS CFMEKILKKNRDKKQQGVLQDSENESVIDDITDSQTLGPEVIKEVQRVFEGISDLKST ALSHRATMLEQIVKESVSAGDKVLVFSHSIPTLNYLEHILKQNGWTYCRLDGTTPISS RQVATKYFNRTDSPMQVYLISTKAGGLGLNIPGANRVIIFDFAFNPTWEEQAVGRAYR FGQTKPVFVYRFVSGGTYEDAMYNRTVFKTQLSFRVIDKKNPIRYASKSKKAYLFPPK EVKQRDLSEFKGKDPKVLDKILQRPNFAREIALTETFQREDNDALTPEEEQAVQAELD DERLKRNDPEAWARKEAERLKQQDSRLAMEQPYRYINNVLFSQPPPRVPMPPTSGGYP MPGPVFHRGLNTDPNIVFGAPSPLAAQLRSQPAGSGPPPLQPDTSLYAALSSQPPNGR TATYASFTTDALAGPSVPPTSSSMPAPPVMTNMANLAHNTTSPSVSVPPRPLAANIPG SVHHPSPAKLTDVPVTTASTQPIPNATNHVLANPSGGSSNPSTTLPVNDAVRTSSNIP VQPSTAPPSSAPSRPAIASAATSKIASQPTKPGDSSKNPVNPSPAKRPSGNFDGADDR DSTGTSESSANSAGSSPKTPIVEENPTRCATQ UREG_04364 MVFGWGESHEAQKQVYGNEHDPKFSHELLAGAASFEGFKLFEDH QRKKGKSTLEFPFKSMTANAAFREGKQMSHQFAKELLAGFVGAEMDKMAQKRKMDPQQ HEDARRYAQYNAEQLYDQHYVQGQGAGQYDPYQYTAPPPFCLEIGAGPSGVLNNPPLD RLQISQGFIILRFGTAMDQSAYSTYPPELSSEQKKYLVTTVKDWTIQHGLAVRPSPDV VSNASDANSVLATNAPVTLFPSLFPKSCYDSAVSVQTVYNELYAAITADEAWLGEIIE NLVDVDDFVANLWKVHKAVKQEGYVQSLSVGLFRSDYMLHTPEGSNEQSLKQVEFNTI SSSFGGLSCLVSRMHSNLLSSPPGTPIAYPSHQSLKEGAIPENTAIVTLAAGLAAAHE AYGSSKSSSPLPLCILFIVQDGERNLFDQLELSSRLTEFHKIPVFRVISSDVLRLTAI PQGNHSRPLIYTPPHAPSVQFEATTIYLRSFYGPKDYPDESAWQARAHLERSAAIKCP TILNQLSGCKKVQQVLAQPTGPDHLSRFLPHIDPQIIKQLRDTFAPQYDLSSPGQGRD LALNPTTAATHVLKPQREGGGNNIYRNAIPGYLRSMPERDWKGWILMELIQPPNSTRN IALRSDGEVLTGNVVGELGIFGTILWDNANGKVLRNEQGGWLMRTKAQDSDEGGVAAG FSSLDSILLI UREG_04365 MSTAMRENREPSEPSGSEYGSSPFSQASNGGKAAYSGFTTPESI GNVAYRTQATEDVAEKNLTLMSKDPFASYDGLPDKFHTCHHPYHSSYTEDNLPLLLFR LEPPNGNNSEYIGNLVENGMVVLDYQGNPVRNFPFLPRYISVKPSGWLIEFWMRSDTR LTYRDIKARMPVAKEELPSDNVLNMRRERDARKPLGLSCWTARRGGVTKAEVERVEQL SAENIMHNTALKVRCDLQPAVLESRSFYPNSTPQHYPLDTFLDNGNESHTPGRRLNES IELLFKLQVLAMDSGLDDWRNLPESQLPEWWAKSKSKGNSEVGNASQSQESSVIGSVL STPKSAPRSRMSWKTPQKTGLSTASSAQTPQGLVTPQSVFGDTATTLALFTDSNAIED SSNTYHYSSPGYDMATGDEMTAYSSPFNDYQSLPALGYHQLQTSPSSGMDTQGVDMNA MHNAFLFASNTFQQQDVFSSAASQGTMIDYSLFDHPFLFDCPIQNHQVDYTQHFGNVA MEGFHNHTSAYSSDYSPSAHRFNGNMGFSVRQHHDHKLNMNTGEVMGRNNPFPWTGRH RRNSSSQRSFENFLMEDLPF UREG_04366 MVDFPSPSKPAAPVDSGSGSKTQNKQKKKAPRKNSSQARDDKRN ITLIVPSQRHWSWNNLPDILYQFRPTEPLSRSSDLLPTKLSPYPNGPLLKELDILPDR IASYVEEWKVEAWMRLDRRIHLQDILDRMHPEFVVNSNALQQRGVRFRQLFHLTTWGT GNKTTEAHIKSIEQMLQNRNINLEDNTTRGLTPGLIDPHDPSKGRVALPKEYEKKAKR YEEMYMKNVPSNPPRPSTSANPGPSGFPCNSLSRSFPCDNQPAAPDNRYINIYDNPFD LGGKVSRGFLSSSQLSQGYNTYDNFDYDFGRGIGQGFPSLMNSRNDDAEYGALRTDRS VVLNTAGQENKTDNDYFVNELHETNGSGNRRVNERPVEDGRMENRSSKTNDEEDNRIN TDSYVNGENLKQSKGKRKRGETPERNAKKQKMEADKPQAAEEFEELQEPEEPGQAGGS QEREDPRELGEPEKLDIPDNSANTAWSALEWKHTGLNTLNPPTEMPPLVPDEEPYIPT GTSRLIQEQMDVLQPVAGALERLFGNAVQINWSEWLDPRHGPQVEYIDQEDDEMLKLL LNDDEYKYLKDLFSSF UREG_04367 MTKRKRGSTSEAGDSKNTNASEVAISFTSRKPEWAYLHLELVTQ SPDAGSEPLDLLTAKTYLTTALSQFLGLSGTAIPIDILKLQLEELPTATASARRKTRN MLWIRVPHDDAAAVIAAAFAIRDGSRLEKALIHASPQ UREG_04368 MSDMAIQHPAEPVPIQNGAPRNPDYASSTTTFSTKGPSRMPTYI SSVGEERESKVPQNLLSRRPSIDLDDYFVGPRNLDRHSKWPTFLRLHGSVMPRMLIPL LFMSGWSTLITVITKKVHPLGVSSILLTVLGFVVGLSLSLRSSTAYERYAEGRKYWAL LMQSSRTLARIIWIHTDEREGEEGKEDVLSKLTGINMIVAFAVALKHKLRFEPDIAYD DLADLIGHLDTFAKDAYDPSAADTRKAGRLKAIGQYLSVPMAISNPRKAIKQSKKPLG NLPVEILSYLSSYVDDIMNTKIKLPVYQSQAGTALYAMEEVMTGTERVLNTPLPLAYT ILISQITWIYVLILPFQLVENLGWITIPGCIVSTYIIQGLAAICAEIENPFGNDVNDL PLDIFCEQLAADLDIITSSPPPKPKDFIPRCDNLVLHPLSKSGADAWKARSVDDLRAA LRAKATVAPVVVQASGSREKALGANRISTDVP UREG_04369 MASVLRTSAHIRAAVRTKRSFSTYHALRASTAASASSKAPTERA YFTNEPSGPSIKTAIPGPKSQQKIAELNQVFDTRALNMLVDYSSSVGNYYAQIASIPV GYNNPNLLEATKSPEMASALINRPALGNFPGQDWAHILESGLLRVAPKGMNQVFTSTT GSDANETAYKAAFMYHAQQKRGGPEVEFTKDEISSTMSNQSPGSPNYSIMSFESAFHG RLFGSLSTTRSKPIHKLDIPAFDWPKAPFPSLKYPLEDFASENAQEEQRCLQEAERII KEFHNPVTAVVVEPVQSEGGDNHASPAFFQGLREITKRHNVLLIVDEVQTGLGATGKF WAHDHWNLQTPPDIVTFSKKAQAAGYYYGNPALRPNKPYRQFNTWMGDPARALIFRAI LQEIERLNLVENTAATGDYLFSGLERLAKQYPGQFQNLRGKGQGTFIAWDSPQRDAIL KKGKQVGINIGGCGETAVRLRPMLIFQQHHADILLENLEKIVKS UREG_04370 MANADIEAATALKVQGNKAFAKHDWPGALDFYTQAIEKYDQDPS FWCNRAQANIKLEAYGYAIADATKAIELDPSYVKAYWRRAIANTAILSYREALRDFKA VVKKAPNDRDAKLKLAECEKLVRRIEFEKAIEVAEPPSAFEGLDIDAIKVEETYDGVA LGDEMTQEFIDDMIERFKNGKKIHKKYAYKIVKKVKDMVYDEPTMVEVGVEEGTKLTV CGDTHGQYFDLLEIFRLNGFPSDKHAYLFNGDFVDRGSWSTEIALLLFSYKWLRPNKF FLNRGNHETDDMNRVYGFEGECKAKYTERLFKTFSESFSALPLATLIGEKYLVLHGGL FSDDNITLDDIRKLNRHGQRQPGQSGLMMEMLWTDPQTDPGRGPSKRGVGLQFGPDVT KRFCDKNGLKAIIRSHEVRMEGYEVEHDGRCITVFSAPKYCDNTENKGAYINVDPKLE LEFHKFDAVPHPDIKPMAYAQNSIMSLM UREG_04371 MSTFKSSRPAVLELGARYKDLDKEIKATHSSEQSEKADELLFTE MCEICLWGNATDLSLLTSLTYEDIQKLQGSKARKAAEENIIVNDLLSAFKVLNDARKS KKSQERRVDIVLDNAGFELFVDLILAGYLLLSDLATTVVLHPKSIPWFVSDVLPKDFG DLVSALADPQAFFTAPDEKHDPKSNGQLPQPLSDKEVAELKFLFDQWSQFHADGKLII RPNRFWTTGGSYWRIPHEEPELFDDLKESELVIFKGDLNYRKLIGDVGAISLLLVVTC VSLRPKLD UREG_04372 MLGWLANAGRGEGIDGPETPAPVFAYKAFKNAVLGTPGDVDADR ELTIPIKSLNSTYQRSDGLMKKLEQARLEEKGKAQPQGPLPLPKEPTQFMPSPTKSIL VTPGAAAGRRKTVSFGEGVIDNERRRSTFEASSKKDLLSGNISRHWPAPTSDPSKRIR GKSAQSPFNGHKTTQDDELFNIVGEKKVPPVDASAQSTTPPTQNPTEDAEDDSTTNLN EPHSQSGKYWKAEYESYRTKSDREIKKLIEYRRLTRSFARKKDEQVIRLTDKLKQEEE KLKEMESCLAGLASRMADKINTGEPKNEDMVKELSQQTALTLKHKHKAASFRKALEKH GVLDSDESSLDDESKHDALVQKLRRAQEELDQANTQLKAEEKSKDFMNLQALAQESER KAKELESENLSLKRELAKIKKEISGYEDRRKANEGRLKRKQETLESRVKEYSERLRDS SKAHHEAESALKKTFDAEKREMQEVIESLRAKVANAEKTSSTRELSPKKRQVDVLLDQ EHESISYSGHRRESKAGLYAERGRSTQKQTRKPMDQASMPNASQKDPQLSSMHEPDGR ATTPVLLDSNYGLPSPNTIRRRQNNLDLITSARLLQSQRSRSVSPKKSMAREDYLPAA LSSRPSMELSRDRKTTPSQLRQTKFPESRFSRQTTSKPLALNRQLSLASDNHLSASAA AAELKASSPERFAAAKARLQRSGNDDGNKVRPQGKENMWTAV UREG_04373 MPAKQKKGNKKNSHIAAEKRRSQASSDATSAASPPAKVTNYREI HVNEAEALRSIYGDDFEYVETRQSVWKTTPNLTLESADDLRGDAKSRIRNIVTAKPSE LVGNEMIYELAVSIQDILEDAAVLRAQSEAGQSLEEERMAQEAAALQEAERLRQEELK RQQEATKEEEKEYEAAFKNQIKLRQREKEQNHRRKSRTMLEDAEHLDSNEDTPGAVTF DRPMVVIDNEGRQLTFKSVHGRTLINHAHYQETFTVKPVVPAAAPRVPFLVLKEIFIR EKETKLSDVRQRIRSSEDKLEVLRSLRHPNLVEFVGYKIYSPLDPYVSHDNTWHVSAL FEYANKGSLSELLDMVGTLAAENVKAWMIQLVEGLEFYHRHRLVHGNIHCARVLLFRS STGGTVVKLLGSIEEALPLPSNAKRAFAASKSTFWVPPELMQEDAKPTIKTDVWDLGI VFLQMGFGKDVLQRYTSANSLISSLDLSQPLQDMVRELFQSDPKKRPTAFQIHPFEFF RVDSPLIMPTATSSSAPLPRRPRSDSQGVLPTFSRYEHDFDEVGRLGRGGFGQVVKAR NKLDGRFYAVKKISHKSSAALKDTLSEIVLLSRLNHPYVVRYYTAWLEEDYFGTEEDA VESTDHELSHPEIEFGYSTSGLDFMSSKGYPKIEFGYDSDEQPPGDDQAEDHTVSNGI AGEDDDGYDDGEPTQSLTDEEGDELELVDSGNSHQVTSTLYIQMEYCEKHALPTNTGW LESYPYAWYNPQRSETRITFSWMSPIILALEILAWQPAMFSLGIIFFEMCHPLNTAME RDHTLQEIRQKDHVLPETFEIPEKVVQGQIIESLISHRPGERPAAMEILQSGKIPLQV EEEMFKKAVMGLLSDPNSADYKKILSAIFSQPSNKFEDLTWEIDSRESPPVNEMVLQG AVKSQLTSIFQNHGAVETTRQALFPRSNYYGPGVVRLLDPDGNQVQLPFDLTLPNARS VARQHPSIEKMFSFGTVFREAVHGGQPRAHNEVDFDIVSHNTLDLALKEAEAIKVLDE VLDGFPSLRSAQMCYHLNHSDLLDTIIAFCRISVKQRPLVKEVISKLNVGHWTMQKIR SELRAPGISVASTSIDDLARFDFRDAVIAYLKRFRVRRKIYISPLSSVNNKFYGGSIL FQCIFDTRRKDVFAAGGRYDSLIQEFRPKMLSARSQCHAVGFYLSFDKLTASMANFVK GRTKGSSKHGSELSGIWRKRKVIS UREG_04374 MASTDSILPTATTLPAGPSADVTSLENDAPTTSALTKQSDSSLA TTEPSEDPEKKTKRVIRRKRRPARVQIDPATIKSEPPPQTGTIYNIWYNKWSGGDRED KYLSKHKAPSRCCIATDSGYTRADKVTGSFFCLYFARGICPKGHECEYLHRLPGIHDL FNPNVDCFGRDKFSDYRDDMGGVGSFMRQNRTLYVGRIHVTDDIEEVVARHFSEWGQL DRVRVLNNRGVAFVTYTNEANSQFAKESMAHQSLDHNEILNVRWATVDPNPAAQKREA QRIDEQAAEAIRRALPADFVAELEGRDPEARKRKKIEGGFGLQGYEPPDEVWYARSKE LEETAENRQLEAPDQPYLIEDAPTQHTAADVNPTATSETGILSGATLAALKGYGGSNM TIQASNQQNDGPLVAYGSDDDSD UREG_04375 MVNITDRIKEKLARLRAQLLEPTAGSGSSGGTGFDVSKSGDARI SLVGFPSVGKSTFLSKITKTKSEVAAYSFTTLTAIPGVLEYGGAEIQVLDLPGIIEGA AEGKGRGRQVISAAKTSDLILMVLDATKRAEQRALLEAELEAVGIRLNREPPNIYLKA KKAGGMKITFQAPPKNLDEKMVYNILRDYKMLNCEVLVRDENATVDDFIDVIMKDHRK YIKCLYVYNKIDSVSLDFLDKLAREPNTCVMSCELDLGIKDVVDRCWEELQLIRLYTK RKGVEPDFTEALIVRNQSTIEDVCDQIHRTLKETFKYALVWGQSAMHVPQRVGLSHIV ADEDVVSIVTK UREG_04376 MVAETKLYDSLGVQSTASQDEIKKAYKKQALKWHPDKNKNSPQA AEKFKEVSQAYEILSDPEKRKVYDQYGLEFLLRGGAEAPPGGPGGVPFEGMPNGFQGF GGMPGGARTFHFTSTGGPSGFKFSEPEDIFSSFARSEGADIFSLLNSLGGGGGGMGGG GFRSAGGGQPRFRAANEGRRPPTPEVTTVEKQLPVTLEDIFKGVHKKMKIKRKTFDER TGKRSVEDKILEFDIKPGLKAGSKIKFKGVGDQEEGGTQDLHFIIQEKEHPWLKRSGD DLVTTVEISLKDALTGWSQTVNTIDGKQLRVSGSGPTQPGYEEVFPQQGMPKPKEPGQ RGNFIVQVKVKFPTSLTPAQKTKLREIL UREG_04377 MKRRVTFIHDAEGEFDPKRAHLTSDSLSIQLLDAARQERLTFGF QELPNEKAFGQDVNCTVPEISFTSSGDILKSGALPSLQFHQLLPSLEQFATYIREAIC PKQDIICLNGAALIRSADTLDIDYDRVQNSFVVTAYWSKATGKDGWKDTIYHERSSED KTDIGILATQQDLEPDEVRIGGFLAMVGRDEELNEYQLSTADSLFLESHNIRGLRHIA GEADLEAPDWIIQRWGSQLLVELATTNPEEINVDTKNHEDWKSTIPLHLRYLHPSSSG YRNISMPWPIVFWACTYQDQGKMGYNPFDRANLSWDDLFAPKTLFYQFHPSPEPRGEK LIETIQVPALKMNKDTDFIANQAIEFGTIAVVLLGFLWVSWKLGFVARSTGVQSNQNQ TTRVAGSKKTQ UREG_04378 MVPDTGRDPFPPQRGQKRTRSRQGTAEPGRSRSNTAASKFSRPP RSRGSTASIQSCSTQYMPEQQVPEGYEPYMRSQFGSSQPVYHGNPEEMIMRFGDQLAN SDAGTLLDPALQENHNSAKPRMDVHYQNPDMSVHNPTAHGLPADLSHHGMTGEMHPVH YPNLFDGVENQIPDHLLEEHEGSEQGPRKKRGTSSSIANDNELRRLLRQYDGYTLHQM AMEVQKHEGAGGKSEKVKQVFAMIWLRENCRKSTGSVRRDRVYCCYAEKCGTERVSVL NPASFGKLVRIIFPNVQTRRLGVRGESKYHYVDLSIIISEPKPDVNSTESGDKATETT GEDSQEGYTKEKSVNPTHSPLETTSRLLNDTRSSHAPSGSLSHHHCGCHSPYTPQPGS ISDVDFSAMTAQEKLHLLLHFGIDESPAVDNETLRLPSIHGYLPPGSDSDVADALMAL YRSHSISVIDSFRFCKEKNFFRHISAFQGTLTVPVHKLLVHPAIVPWIEECDWLMYQK MVAFIAPLTTQVIPEPVVKAFTSISRRLVPHIEDTFKSQPEHVSSARIVPARLFCHLL RRMLDVNQCANSAAAWLCHIENRTKMWEEFQSFLDITDMVCKARIPRCSLKGVVDIFK EHVKSLLGPLDQSGAEVELYDHDLGFRKFTYSTTEGREYTSFPDRWISFILRLPELFP GHAPQCIIDKADAMWTSILHRFTLEGAESFSAWWMTKVFFMEMIQWQAEKGGFMHYSP KTLHQFLATAETDAESATQSSTLAPEQSNEASSSAATNKNESTTTTADDSTLMAGRAS LNNDDSAIALDEDSLLLSGSKYAEITVSDPADAEGEVVVV UREG_04379 MSFQDRAQHQIAQIDKELSKYPVLNNLERQTSVPKVYAFLGLVG VYVFLVFFNIAGEFLVNLAGFLIPAYYSLDGLFTTTRSDNTQYWVVYAFLTVVESAIS AAYWFRMARNTFYYFFKFVFIMWMALPQTRGAHIVFGSFIQPVFSRYFQSGSTSSNLR SQANQATKEHST UREG_04380 MDLRKPPEHIIEVFADPASVKDVLKGILHTIFFHRYFPCVRPDT FDVLDLTLPAIDDVELETLIDSRINTLIRQHLSSTSNSPNGGVRGRIAVQFFEKKRRK AGTWFGGLAGKNEEEVCWEIWTVNVTIATPRTESERAKVRKAMEKMLQKAAFKILAIA NQGKDHIPPITTSDANPFPYQIILNPKTDNWSNRLGLY UREG_04381 MISATKRWLRRHRSGLAIGAGVVGVGYLATQYVFSKISEASERM SSERIARENLRRRFEQNQTDCTFTVLALLPTATENILGALPVEQLTNELQLKRAARLA RLSGSEVQGSEVSSGPPSMTDDDVSSLRSDNYVHASQVVDAATGDQGQKARSRIQLWN DLKINSLTRSFTLLYTLSLLTLLTRIQLNLLGRRNYLSSVVALASPPQNPSTISLEDD DNPDQSFGNDFETNRRYLTFSWWLLHRGWNDLMNEVEAAVKDIFGGVNPREDMSHERL SELTLAVRKRVEGATSQERRNSVRKWLPYLLPPQEQEDYVLRESGVLSAAEASPQSAV TLRTLLDETADLIDSPSFTHVFSLLNNEAFSYLIDTKCAAEAFKGSCQTQQREQSLPF SSAATIVPVADSPAPKAKLASILAVISRQAHVIGNGISPPNEYLSIMEREVRELEAFA AVIYSSNFDIHPSVSLLETEAVSVNPSADVEDPPGGEASIIEMPASVTNLDQKETAIR SVETGDESGFENVWGKAVEQR UREG_04382 MASVVTQAATAASSAAVATPTNRAAPQGGIFDHSNPSRYDPKNP LTLFIIQAGIIVIFCRLLHFPLSKMRQPRVISEVIGGILLGPSVMGRIPGFRETIFPE ESLPNLNLVANLGLVLFLFMIGLETNIKTLTSNWKVAVSVSAAGMILPFGLGSAIAYG LYHQFRGDPGLAPIDFGTYMLFIGIAMAITAFPVLCRILTELELLDTNVGVIVLSAGV GNDVVGWILLALCVALVNASTGLTALWVLLTCVAFTLFLMYAVRPVFHWFLKRTGSLH DGPSQSVVALTLLLALAAAFFTQVIGVHAIFGGFLVGIICPHDGGFAIKLTEKIEDVI GALFLPLYFALSGLNTNVGLLDSGIVWGYVFAIVFIALIAKIVGGMLASRFNGLLWRE SATIGVLMSCKGLVELIVLNIGLQAKILSLRTFTIFVVMALITTFATTPLTLWLYPEW YRTKVYRWRRGEIDWDGNPIDSETETSGHGSDILQQKARSLSIRKLMVYLRLDNLPGL FTFISLLGTNDTMAVEGSKTHHSHGKTETGQPTSSKKSRPVEVHGVRLIELTDRDSSV MKVSEAHDYSFSDPILNTFRTFGQLNKVAVSGAVVISPEHAYAETLVNKARDFSSDFI LVPWSETGGMSERQIPFLDENSEKFSSGPHSAFISNVLRNAKSPVGILVNNGFGGPAL TQPKPGHIKRTISGGSMYQTNDLAMTPSLEDGHHIFFPYFGGDDDQVALRLVLQLAKN AAITATIVQVVLDGETTSDSSSKRPAVFYGLAVTSDEKEADGAFFNTIRDSLPSELAP RVIFQTMHATGTELISATLQTAKLDVGRSKQNRGDIVIVGRNSVVHATGSSFGLSPSS ATGEIGSEARKALGVVGGSMAAKVNGVEASVLVVQAGKES UREG_04383 MAKIDWHILPVLCVLYLLAFLDRVNISNAVIFKLREDLNITTGT QYNTALTIFFVPYVLFEIPSNILLKKLRPHLWLSVCMFLFGLTTLCQGLVQNYAGLLA TRFFLGLFETGMFPGCFYLMGMWYKRTEAQKRFSFFFSSTTLAGAFGGLLASAIGKMD GLSGYNGWRWIFILEGLLTCVVAFAWYFLIPDFPEDVKWMTEEERAFIKAKLAKDVGD AGRDVSISWKDVIDVVKDYKVLLGGLMYFGMIVPAYGYAYFAPTIIKTYGYSPIKTQL YSIPPWAVAFVFAMLIAYLSDRVKHRFLFAMFPICLAMAGFGIVRNVHGLATRHTQYA ALFLITMGAYSAMPIIICWYAMNLSGHKRRSIGTGWQIGFGNIGGIVATYSFLEKDKP NYIPGHTICISFLALAAAACIVYLGLLLYRNKSRDKAAGTGPIDLTPEQEQENELLGD LNPTYRYQL UREG_04384 MPDGSDSPPASSTQPLSSHVSQSNEARLTLATQLRHLRELISEL HDDDVTLRDRAWDAINREIDDIYPSTAASRLLDYRRSQRFVAGQTERRERLRRTIQQT SDVVQAHLLNSGSRRIRMNRDEDVSTITGARRPTDNTSNALRSAAIRQGAVHSTPSNL LNDNNEVPRLNPREHSPDTPSSRRQNKRVKLDSDDNREGPRGFSYGHYGQVVPGALEM HIHTCDGGPYGMDGRSSWPENILLNDNSLYRAKSTRCNIVLKHQGQTPFCLKKLVIKA PKSGFDGPAGIREGMVFVSMVSDEVLSRTARYHMFYSCRPLQPQPLRNSWQSHSQRYL TALRASLSSPQRTVLVHPAQVSSYENSSIISTDHNRGSRQSSPDFRVTLEQDDKSDDG STADQQSENSAVNAEGVYENFIEALYPADDGTDTSDGELSDMSDHLLGEIRRVVRHSM GADPNDMGIRSRFLSSTVGLPAEPYTNEPEETSQNPAPEEILSPHARFFIRKDKSAVS IKFDPPV UREG_04385 MAQADGAHSITADEIALYDRQIRLWGVKAQEKIRTANILLVTLK GIGNEIAKNLVLAGVGSLTILDDATVREEDLGAQFFISEDNVGQKRAEAAAPQIKQMN PRVQLHVDTSDAKTKPPEFFAAFEITIATDLDFETFSRINEACRKANRPSYMAGVHGF YGFIFADLIEHDFVIEREKSNVPSRTHETMTRTILHIATKVENEKLIEMVTKRETYTP LIEANSSPLPEEFTKVPRKRKQVTPLLTCLRALWDFQRQNGGAYPSFSREDLERFTIL SNEHHLQLKLDPSTLTAEFLRSFLQNVGSEINPVVAFLGGHLAQDAINVLSAREQPLQ NFLLFDGERNISPIYSLHPVNNSGS UREG_04386 MAANATDFATFHSYLVPEALLTEPAPSSANTSRRPSASNPIPAV SSSQKKKQNKAAKKASINESRAVYFSMLQR UREG_04387 MGKFGALAALLALSLTVVVHGHEHHDDLPEGQFVSVEPLDSILW IHILLMTVAFGFIFPTGMVLGIVRSRWHVPVQILGTVVAFLAYFLGHMHKGRRFAPNI HAAFANSLMLMLIIQLVLGTYLKLHLSKGIHSKIRKYVVTLHGVMGKMMPLVSWIQMI FGGITALGFCHEDHLGQCLAHFIMGSAFIAYGIVLTILLLVGQVWLRRTGRSQEFFDS LIIALWGCVNTFTEHRWGQPWRHNDMQHTSMGIIWWCAGLLGLWLSRRRNGRPRRNIF PGIVILLTGYAMSSHPQHLPISAMVHSIFGYTLMAAGAVRIVEICFVLKDRSALSYDG TEASSFQYLTPFLLYASGLLFMGATEEQMELLSGAGIDHVSYILVLYSVACIMFLFVN ILLHIYGVHAFPETAKDNRSARMAAGRPLQRPPHYRASTSFALDRFQLESQQVNGHAR GPSQAEQIRDAQEFELEGLIGAIDRDRDGEDSPTTQGPPVPPKPEV UREG_04388 MASEQKADLKSADVCLPSISGSAPELDEANSAATASTPSGTSTA VPELTPQQEKKIGCVPNGIRSSTYPKTSSPPDPATIVKRHIRLLHEYNEIKDIGQGLL GLIAERRAVRYVDVQKEFGVGGND UREG_04389 MSGFQSSIFSEVSPRRLSHRNDTPFPEPPLEIPDKPFDEHGTGP TSPTRITPGSLLHLKPREHTPSHRPTHRRARTELFLSKSDPFISPGALKDVELHTNEK APTLKTEQGSKLFAGLFQGESAPIRFGILPSPTKEKDPISGASTPNQSRPSSPTKKMA VPSSLKNIAYSNPFSFFGVKQRKESSNMPEPAEDEYLNLDIDSFLFRPEMSGISPEQD FVNFQRNAEQLVRQLRDAYKQRTFALHQALAEKVEQKEELEETHSRLQMIKSQLNGMA AKALKQDEEMKALAEELRLERQKRQQEREARQASIMLEKRSDELADVDGAPTNTTRRH TKKSSGASISCDSGFESADESVSGSIFSKGTDDTAPTRPPSVISTTFDVPRTPLISTY QPSPARPQSNPPIRPSAYDRVLKGISAAGSSLGHVATILQEENRALKSRITELETAVE ECITLVGG UREG_04390 MDAFGTWNGCLHCAQLVKEIPVPYLIGFLVSTFAGLVLLGYTFI FLLAPVPRLPFPEEKTYQTISEDGAVTPSATLPCWYDRLQPGPRRNTLEDAELFMSVV VPAYNEEERLPGMLEEAVNYLERAYGTLTPQKSNSVNKQKPKQDGANNVHNRKSNPSD SHTPLKGWEVIIVSDGSTDRTIETALSFARDHQLSLHPKGHAGPWTPKSGKGVHIPPG TIRVVKLTQNRGKGGAVTHGMRHVRGKYVVFADADGASNFEDLGKLVQACQDAEDSEL RGVAVGSRAHLVGSEAVVKRSKLRNFLMHAFHITIRLLTPPRTARIKDTQCGFKLFSR ASLPYIIPHMHSEGWIFDVEMLMLAEFSNIPVAEVPVGWREVKGSKLNVVWDSLGMAW GLAMLRAAWGFGVYRRNV UREG_04391 MAIYIAAGVKIFAHEKGVQSLTAQEQLMLDGQTRQPSQEQESGS QQPSESRSSCIVPPNDSMTGEQRAVETRPSSQASSTPENQRLRESSMATTVSSERVFG PQNQNRPRHEHELISQNNCTPDQILAQRYSNFADTELAAHGSLAQNRLQNLGSLESDI ASIGRLANRNQAADFERRLDAQLEGLEKGPGFWNGVIFFSTSWDAVRESYGTLKSPRD LSAPLFWRQLSTFFVTSLWERRRIRFKLPWK UREG_04392 MSLPRAKSEPPAPKSYPHRTRTVTDLAGYIEQARFALERQRISF EFERAAFSEERKLWEKERSIMQQRIAELERKDNGTVPAVPGGRTEIPVGTDVGEQHHV WEGSSPTVKPSRVFPEDIPHARSELGNPGFSPSLDEALSPKSRPHDRSGAVGVPVELV DSSLDGITLKSTAIAPDIAAKVSPTIPSAPIPLCQPVGTELKPHLPSEQACLASPLGG IHSDMDATPLAPLDQPLEPGFPFSIAESIPPESPRAPLPSLFPVASLDTAAYHKELDE DPALQGPLSLQNDLNQDTEFLEELDEKLLREAHRALSRPSLSSDEDDEGEGSGEPPEA EPEIRFKQSTNLGTAFGSTQLES UREG_04393 MNYIRTWRDKLAPISHTSTFRSTGQITPEEFVLAGDYLVYKFPT WSWADAPAAKRVSYLPEGKQYLVTRGVPCHRRLDENFAGDAGKEDFMVKDGFGSGEGK GGDDDDGWLNTGGAGVGAGAGVESKIGDVRTVDEAGNVGEKEEEEEEEEIPDMEDEED DEEAIIREPLGKSTKAPLRTYTLYIAYTPYYRTPRLYLSGYLSPSEPLPPQLMMEDIV GDYKDKTVTLEDFPFFDGGIKMASVHPCRHASVMKILLDRADAALKIRRQKLKRANAT GEQAKIESGLEGLVDDTARLSVSGGNSQAASTAGNAGDEWEVLQHEGSEEDEEDPQVA IRVDQYLVVFLKFIASVTPGIEHDFTMGV UREG_04394 MSAPLPALGILSNSLATPTQLATSSSSLDEIPTDLETSVRYAGV RLTQAAGVLLRLPQGIIAQAIVLFTRFWIGPEGGSLAIYGAKDISAASVYIAAKLSFT PVSPRSVINVYAFLLSPKSSPLRFVNPSGPPSQAHPETYYVSEGSYQSERLSLMKLES TILRTLGFDTHAAIPHPIAFTYLQTLGASNPAVSKRTIEHLNAALFSPQLLYVTHQPN ALAVAAIYLAARETGVKLVDCEWWEVFDVDREELGFLVVAMRSMEGFAQAEEEKWKGR LLPLTVADVQRELERRKIMEEEG UREG_04395 MRSPNTIRPAESCDPDYISAQTSSEANGSLVNYTVEPYSSRTSP DTPRLEELHLRDRSVTPGKSRKRQLPQEPVAAPPFRPRPQPKVFTPNYDDGTHRVFAG AKKHTAYDFDSPVASMSVLDSEEMPVNTLTLEPETRQISEEQLINEVRTIYAGLVLVE KKCVEIDRQQANNPVPLNHEQWQALIALHRTLLHEHHDFFLASQHPSASPALQKLASR YSMPARMWRHGIHSFLELLRHRLPEALDHMLAFIYTAYSMMALLLESVPSFEDTWIEC LGDLARYRMAVEESDLRDREVWAGVARYWYNKAADKSPQVGRIQHHLAVLARPNILQQ LFFYSKSLVCVQPFPNTKESIMLLFNPLLDQKELALAHYSPTMLPFVKAHGILFTKQS ILSFLEYGLEFMSYLGTQVGRVGSKWREQGVYIASANFASLIEYDASSRLSKLFQKAI ESPGLNESRLKNEHFPMPESGTKRHLPEDFSMRGLVWSQLYYPSGFFNYSLLEDEEGR SLELPSVTVPRVERCLWLGHRLASLDLWISYNEKQKKFVVKDHGKQIEGKAKRVTLFD DAARFFEERDYVKTSSEDEHDLQMTDAEPVQAVEPDFRSPDKT UREG_04396 MSFAYRCLSAQKEERNMPRTTEIAQPDPSDSRSIIECISELEAS SSRCPSASTSSYNFQPHRRVATGQIEPLYCSQLDYSRPCPREPPAFGLYPSSAQLNYQ SSSTHNSCGTALPNHPQSYPSIPFPPSPGPPNIVAVPRKPPYHSILFRKKKALYKKRL RQSQSQSSVSQSTENPARIAITLAPPDLTVRSMDQRPGARLSGELSLELWPHDAAQQH TANSGQHQPSPGQPTQLRNPGKTLLEAPPMRPQRATTDPDQWTFLAPLVHPRTSDEPS LHSNFEAPLPTLFQQQTGNTSYPETAHSGNDLLLPPSGVDESFPAQYSWETFIRDELE RRVTLEQENQTPSMTAIDPYPGSNLYPGVMTAPTANVQLHNHAQAVNLMDRATAPSTM PQSSMSQGTLAGSSFPSLPSVPATQQRRNHTEAFTMENRRRSRPLEYPNTRRRIQSEA QQGSSAPLNANLNRQQSANDPSRPLAVAQESNRPSNAAMRYATLQRAALLERRATGTH PRRTEAARETMSKGLDNQNDGRPSPKESSEMKVDFECQICLSQVVDTVIIPCGHAILC RWCAEQYIPTNPASPTRPLGGSPNRLWQYRIFTP UREG_04397 MSTFTLPGSNPPVPVHLPANLSENQLLSFPAFKIWLSTLQRSLS TQKSTRHEFHSAPYALRKIEVQAVDFFGGDRVGSSFNLMTFHPARKRKSAGTFSGSAA KEIQEETGLSIQQDELVDMTALTAHIVEKNSQKADDTKEELQNGVYPSPGGSDEFIPL FLYQKRLKRSEIEKLQGQLTGLRIEGEKITLKLVPLKDLWKEGFRDGKTLAAWALYQG LIADELI UREG_04398 MTVFQGTHGLGTAGALDNGHSATQLAQGWNETVIMRGTFWRMLD DGELRTHERRCQCPKARFQPGRKLPKTSTNSYALELQIIFKISSPSSTALWTRNYRSS SLYCLDLSSQVLHELDAHASSILTRTFVYMRYTCACKTANLRLSLTVAVLLSGVLLFA NTLLVTGYDAVYTYDVTAGVGQFNGSFVTPYIQKLQNLSPEYPYRVVPYTALSTVYSL IVNPMHSSISRPIACDDADCDSYLLSGGLIMTTPWPPTSHPSDPVIQIYDVQSAQMEF RRNIEEGDGFVDGDCTVFGDEISVIGIRLCLANSRKNSGSVIAGSRKADIVASRTNYS ITSISNLGKPIRNPDIDLVGYKAALGWLLDYKAANIPATSSIAEHFWSTQAQLGNEYW SRELYQTFQSILAFPLWKFNPNNFGNTELSAQNITASLPPEFHTKASIASPYTRILVN RSMFIAFMVLQLLVLSVIWTVILWLAITHPILPEISSYPLFDFMFKTRYTAPFDPPSA HETSDTSLEPLLETDDKDILSVLNGLKLFLQGKGPGLDSEWSPSTIYSVFFSNTLEAE TWEN UREG_04399 MFRLVLYASFIVGAISRKARQQLDQCTAACKVGVKAVYHYKWYP HMFSSTIVAATVVKIVNTVAGTTRTSTIYNDLPSGYTLPPTNEDGTQVATVTYSQQGS TKTTVLAFPTSFRSWADGYTFQGVLPTEKDGETTCMSAEEPSFVPFPSFPQSAVTETP THTAGPDPKGLLFKFTSLDEGANQYVTAYSDLAAFQECSISKYPLPLVAEPTVRFLSQ TVTSFEGAEATSQASGRAGPTTTSAASPSLTPHSVLVLSCILIAMAVVPGYI UREG_04400 MPKITEILFDCDNTLVLSEELAFEACADIANEILEKNKIDHRYT GDQLIVDFVGQNFRGMMVSLQAKFNFALSPEELESYVKEEEDRVIAKLVAKAKPCVGA TEELEKLAKSGKYGLAVVSSSALRRVRASIQKVDQERFFHKDHVFSAATSLEKPTTKP DPAIYLHALKVLKKEATECVAIEDSKSGALSAIRAGIPVIAYVGSYNGTTKQTEMAKV LLDLGCKVVMKDWAEFENCMAQIEAA UREG_04401 MPDALSKTVPIWSAVMNKSLFPEKPASHHVQFPPRLLGASEKSQ IQSRIDGFVAAFKGLGLDLEALKRRMGKPVRLEWATREYPLPECTNDMEYHLIVLCSA SKRVRGAEMSEGGYIQGAGDDSEGWAYGLTPDIFWKEKDILLSTGEESLPGLIEGLLQ KQRQAVSTEQATVISPTKNVFIGKSESLNDPAISFDLIIDCHGDPDSSQGTRLNLGCG TGKLGSRNLRKVLDRVEEFIPNHLNKDSSQSLLVACDTGKDLSVGTALMILCSFFNDD GKLSFTSKSPI UREG_04402 MAPTTTSTKALALSDLLPLPNSSVKIPRLGFGVYKSPTHVCEKS CLVAFQAGYRHIDTAQFYGNEAEVGEAIRNSGLDRKDIFVTTKIIAPAGSPEETYEKV LESVRKIGGQDGYVDLFLIHSPSAGAAKRKQMWLALERLLEEGKTRSIGVSNYGVKHI EEMKAYAKTWPPHVNQIELHPWCQQKKADAYCKQHGIVVQAYSPIVRNYKANEPTLVG LAQKYGKTTAQILLRYALQKDWVPLPKSDTPENIKANADLYDFALAEEDMATLNALDE GADGAIVQAVENE UREG_04403 MGTIDARAGTSDVVHLGFWVDYDGNSISKYRLTLKTNLALILLA ALTVLVTLCASRSWKLWSSAAHYALERSHRKPSSISSIRQQQVILRNSETAGGSLFAL LGLAITKRPLRSPGWVSQLSLVFLSLGHWIVFVALGILTSQIVTGRTVRAIRTDHCGD WLPKAMPSLDASLAEQQEAFATLNELALNSTLEADDYVRRCYGSTSYATSECTQLSKR YLSHQIEDTECVFSKEVCSEVNGTAVAFDSGNVSFADLGLNSALSDRLFFRRRSVCSP LPWRPFMYTQDQALLSLQSYGANVIEDPKEIQAFSHVKLDEFRNWTTHFRSTLSETYG LYTELAMNGTRTSPLIRPQTQSMQVSVITVMGEIISYHSPFSDPFFNFQSRIDGVDAL GREFIFYRIGPPINSVACQEMVMYCSEYTNFCTQWEGVYFGSHGNIYASLVGDHANDT TVETAFTAVGMALFHSTIYSSISDRGNSALLATRFVANQRQLRLVPGQWKIEIERWFQ VALARVQLVMLRYVKTPGLDRTRVENMWDLIPVVKGVCSIVKFNSAEHTTLSTLGVFI IVAFSLLLTILSMWDMALPIIPKRLLTAWNRDQALELLDALNKSDTNHGLDQEGNGIA TEDKRPHDLEGNATTNLLSPSESVQSKLSARKPVEGENIN UREG_04404 MSHAMSYLGPRAFNHEQNRDAEAEYDRLRDLARQEATKRGSCFE RSQQAYAAGDGAGAKQLSEQGKEHGRRMEEYNRQASQFIFRENNANGRVPDDTIDLHG QFVEEAEDILEERIKYARAHGQTHLHVYVTEYILSSLRPQPGP UREG_04405 MTPTKISEISRDDLNDHSLPASITDVKHLASYSWIETATPTIAV PGSPPLWTPQNAPQRLQKDSGLIYIAQNAARHPESPLEPLFRALYIAHPSFNLSSIDV VTDRNNIRKLLSFINPLYSRNHLEPFAIKIEIVKDTAIFCRVETAVQEVIGPHEFKGY GHEFEKKYTTNQVSNSTGHHRIISYRFGDMSFIIRHETDGYVDTASTEPSNAFQTEDK GLSGMLAAMSLSPPTRTATGLTIKREGQIVSIESTLEIKTRVFHKTIEMREVAPQLWI SQTPKLVRAYHQGGRFQRPSVENVAVQVKKWEECNQTELAKLATLIHKMLREIKNCGG HAVVRYDAQADKLIIWKEKAAKILPDDLYSKWHDRINPEPPTGERESIDSAAKKAPLM TAIQVGDVRFNVELSRIPYLASFVKFRATTQPGITEFVHGPIPLFSEALKGIEGGYRQ CFRCLPPDLSQHRTLCETYDFLGVDILNGQTIREIFNELRSCKADYEREYGRCRVIEG NKSTARDAAFKLLYLMLLGAFDGEIKNRATIFDAVLFLVSHPGTFKWKTRSIIRAAYE KRFVPSAKQKAGLDKWEKGEEDGDVTTDEENAIGFDSDSSARKPNLAAQAQDIDIIEC GMEPIFQEQSLSPGRRISPNPTHNTSGRQLSKHLVHQNIAPALQHLKLRLQSSTQHLK PLQKEAMAMPEPLSSPNPIPPRTSSTGVTNGATYPPSTRTTVLKPVSEEEWIASSRKP HDSPTYNSHPHILSPKYPSVGDSSESKLCTKIVISNTDGMWCSEKEKILVGPYEYMVQ QPGKDIRKQLIAAFNRWLHVPEESLAVITKVVLMLHTASLL UREG_04406 MAMEPFWDPDEPPSAFFPAHPAATSNPLLLSTFCPDDGLDPLSG WRGGSQPPYADIFDTTGFEANNALQEPNCEYPTL UREG_04407 MASRAAYNRQLPIESERIGRPRLDKSQKLLSRFYEPLFLLRVLG QTYGNHTTAPRDLNPERARRRKFLRNLSYICDYRKGGESCTAIGLEDSDTCYNFWVAS NAHNGAIVDFLKSALGSLRVIANQPPSLELDSSQSTDFVKFCIGFAASRVRQETQLLF REAKECCRRLEALNTDPARRLVTWLGSILGYEDDFELCQFAYANRQSEYMDRLMAQVL EEERRVGPQGRRSSFASVRHYVGRLAHHIRAPLELVEDARHLGPLLETYNVRPIEPIP CVPPPRPDSHTTLSGILNRMLKENDPERPEIKRILLSINSQSDIFENFMTQYQRCKPT VHAEVQVLEHFYKMELSFVGNDRYIACSKPACLCCELYFRYHPARMVVPESHRKVWIK WGPPLVKRSTEGDDTEFKRQLDILNKITEEVRSIAISQILGQSSMVPWHPDSRTAITE NWPPSSSPSEFSDNDTELSDISDDSPSTRQQATPTDSQIFAAEIQNQPGCLEDPNEDL SLDDGGVSIDVCVDLGGMAK UREG_04408 MPTINGSLAATQRAVSPEEEIFDCETLRFHLSLLKSPSLTLDTE EASLAPIIKSCVLANSQVASQIPQFGLLAGESNALDSLELGQDPKFADLSDDPRILFN VTPPSSTFVCGSQGSGKSHTLSCLLENCLIPSRVGRLPNPLTGLVFHYDTFISDTSGS PCEAAFLSSHPGVKVKVLCSPTNVHTIRGTYSRFNVQVEALQIDQKDLNTKRMMDLMA VGQEGGRVPLYIHTIKRILRDMRILQQESGAGFDYYGFKRLVAGSGLTPTQLEPLNQR LGLLESFMPHAQTRKAKKSKKKGGSSWELMPSCLTIVDLSCPCISPETACSLFNICLA IFLEQDTNVGRVVALDEAHKYMNASIESQMFTDTLLSAIRLQRHLGARIFICTQEPTI STALLNLCTVTIVHRFTSPEWLHALNKHLAVDSQTPSRNDATIDESTSLFNRIVRLRV GEALLFAPSAILGTTTQENGKVAFDLLGIGFLPVKIRDRLTLDGGKSVLAV UREG_04409 MADKEAIRHDSVSAFDRRESVAAMSHNVTGEIRNPLSDIPKDQL LQDVEDFANQKNLTEILPLLRKGALIAQNPADFENIPELDDADREALRSEVTHRWRLP WPLYYTILLNSIAAAIQGWDQTGSNGANLSFPVEFGIPDSKCADPALCDRNAWIVGFV NAMPYITIALFAGWLSDPINELLGRRGTIFIAAIFSLLAPIGAAVTQTWPQLVVCRIM LGIGMGLKEVTVPVFSAENTPANVRGGLVMSWQVWTAFGIFLGTCANLAVVNVGAITW RLQLGSAFIPAVPLVLGIYFCPESPRWLLKKGKYTKAYRSLLKLRNTPVQAARDLYYI HAQLVHEEVLLEESGLAKTNNLVTRFIELFTIPRNRRATQASGIVMIAQQMCGINIIA FYSASIFEKAGASNIEALLASFGFGLVNFIFAWPAVWTIDTFGRRGLLLFTFPQMFWT LLAAGMCFFIPEDNKAHLGLIAFFIYLFAAFYSPGEGPVPFTYSAEVFPLSHREMGMS WAVATNNFWAAVLALTFKRLIRAFTPTGAFGFYAGLNLVAFVLIFLFLPETKQRTLEE LDYVFAVPTRTHAKYQLTKVLPWWFKRYVLMRKHAVCPELYHLDAPAHVELRADQRVG VSKA UREG_04410 MTAVRAYKSTLFSAESRLSMEALLAHSFDYLSSYDQTKIRKGLR QVEGLLAQICLSKSNKLAVEKRRSGCPEGTPASTKSLSELCDDPAFREFYKLQDGFSV EWSVYFMSHIFALRLISCLEHLLGRGSNGANDLLIIAALDSIQGVLLLHPPSRSLFAR EIYMNLLLDLLEPANCPAIQSSTLLTLVTALLDNPENTRTFEELDGLLTITSLFKLRS TSREVKLKLVEFLYFYLMPETPSILPSAINAGFLGPQRSPSKLGGQLPRSSSGGTDPG HEGSADTKSTEEKQALLGKYLSNVEDLVEDLKETAPFGGSVY UREG_04411 MTSLAADSSLPPSPSPTREVYDTDGNFLQRLDTLELQTLIPFFL SQIYCSQPPPKIVDLGCGTGRNTISLLRTGPKNLNVLGLEPSNKMLAIARKKIAEYFA EMTAIEPAEAGNRVCFEEYNLLTEPRPPLAARGVDAVISTLVLEHVPVEAFFKAVAAM LKSSGVLMLTNMHPEMGEISQAGFVHPETGVKIRPTSYAHTVKGTLEEANAAGFELIG SLKETKIDEELAEKLGPRARKWIGVYVWFGGCFRKK UREG_04412 MSEAKDDVNPLPESPPRASSWIPSVPAPIKRVFDRFPLVTYPPN EIPSRSPSSHDEPRLYMFSSLQGSKQSAPSHNPQCLKWQAYLNFRGIKYQIVPSNNHA SLTGSLPFLLPAAESNDTVNPVPSNQLKRWVDGQLGHGKEETRDMRFDAYSSLLDHRL RNAWIIRISTLSRANFTSIPRQRTCSYGLPSLENYKVQLEMSF UREG_04413 MSRSPRSMSPVDSYTERATVQNTASRQFSVMVCSNFLRAMSFRS GYAYKDNQKVAGLEDEVRRPRRFSAGEATARLFVSLLALDVAFGDLDQPAELVNRAVN LRQC UREG_04414 MENSSGCFDSPTGYIKSLVEQFRSTGTLPPGIDREDILSIDIDP HQWYRLETELGLGETDKFPKFFYDPLVSRLSVEWMALPLHELVVEIFSSGLYDATRHI KSKCSIRTNEQFQQHKDNNYVTTLKAPDLALLSGKKVLILEVGFSQTYEQLVDTAKFW LEGSLDVTRVVIVKITEPKYSCPLKNAGISMLQSLGFPTDDWDIESQFCLESGFGPVT LGTTKWVGEINMFLEHVCEATPPTLPLSLSDFLEVDADDNRNIVIDWEEFHGKLKEDL LKTAILRYQDMLDRCYGREENVCRVKETPSRE UREG_04415 MPLDEEGAKWSWGLWFSEPCIRGHRSSKCQHFDRLMMKVPKAGR PLAKCPHPKGTCSCQKVYAFMVRIPKGSTCLCRPLYQVPMPGSESGQSPGKASPKSSP SISAAPSSTGAAPNRVQKRTRRQNSIQSTSELVAKGLAALAEATETPKAETMKPLTPY TPVHASIAPSSCHVPIAPSSVYQRKPVPVTEHDARGPFPTPIPRPYNFSESNFARGAC CSSQALPQPIPSCPAPCCSKTNLEGNSINAQRHAAQTADPPNIYPSAQETHAYSTFGP NSPVLRRPPSVLPKIESADGRMFHLSDAATMPMAAFPAQFPLSNAPYCSSYPSQNHIE HLHSPPHLVPGSTSPNPANYFGQECQPGHNCGCGDGCQCLGCASHPFNDTTRHHIQEM GYMMALENNDDADNQPTSPYSTNGFTVPFEAYHHQGSGLIQNNTHGNFIDDLGNQSAQ SLSNGASLPSPRGFRAENYELLMQPTAYYTLEYPVGMPDLALCSNIMGTCQCGINCKC IGCLTHDGHNGVPLEPSPPPDRPAESLTLLPDKPMDQTQPEFGSAESSVLNDRELIEL PQNRAI UREG_04416 MADSKDAAPAVPVPRSNRPVSEALLNEKWDRAISSLLIRSGLGL SFGVVFSVLLFKRRAWPAWVGLGFGAGRAWEEADASFRRGDQPWKKQAQQQKS UREG_04417 MEASYEALLELEKSNHGSPVNHLKEAARIIRADLDLYAWGRAPE ASTAIVAQAAAAPKEEWVLRWLMKKLKAPTTDAKPSYKVEESSWVLFRILLDRISART LSTILTENNFLGILQASLEEIGQLLSRVPDLVEKHEKSSKPSSGGRKRKRAEATTEIG PPNVSSSLQTSPIEVFLSLTNAVRHFVALVDRTPGFQTALKSQIKLVLQGEPPVAASL LSQTLRCATAVFHLRNSPNLEVEDQLFGGLSAVIEIWKLRLDGVDEDAALSNGHFTTK VLELALKLLAAVPGGTTDSERKKSFVQGIERLIVLHIVLPLRDIYFTSALPDSSPMEH GIPTSQVNDISNALDSRVGSEIMGPLSEFFPVLLDIAIRALPRDTFKRQATEAPWLET FFAALSARAGYSLSAEKGSEPRTGFALLQGLFEVVITRKMALSLGILIQYAIRFSGIH KDYEFNTQWKLVSQIIQIGVDVFVPNSGLSESRSLLNSLISRITSVCRQPENLSSDIY NLIKTGIIIPLFNGFFGARDSDTILRIWTEQLLLLDEARLNNGKTPSFSVWEDDDLSR VYGTLLLSNISAGHTNSQLDPIVPALSEQGETSSLYANLVILDSIFLPRQGNNEDASR KLYRETIFTSVVALITSRSKRYWRWRLWRVLENLTCHIGRLEQEPSDTITTSILPIAK GIFPGIYRKPGSSDIARRCQEAYCCFKFVVSLSGKLDDTVLGGYLDEFATVLTTVLEK VSNPAAAWNGQMDSVDSPDNVAIACLVTLYSNGRALGLLSTEFRRRLLTGLLVLAEKG RKDTIAKGPSSPAARAVDLVEVWTLFASRDFLLAFPNAVYDLTFTLYERLQGGAESRQ MLIQSLLNVPVKLIARHQRGSLLDLLENILLEGNLGSELQLDILTLMTKLIDAPKSPA KLTSGDATLWKLAKSISVGDTEADVQVFHVFKQLHRAVLDKVLVSSGGKLSKYGRKIY KKALDIEKSQKSSNFETIGYYFLALSVNALYIHQAEFDDGERESIQLLRADIFKEVLL ALKSSSRQLKKRPSKLKLVFLTGIFGVADTVQDLLHGNEEAFEILQELESRATASDCD ANVKSLVKRRTLAYKSPENNIMSLFEYSSLFSASQLQARDQKLLVFELHSKLSALSKD TLISFLHDMRISKVSDDQVAYRLLLTGMASGLLNPIDDRESAASLELTSTFTHVTDAL LDCKAIEPFSLATECLDIILRTQPRSVSQWNVDNILAKISVVVSPSGPQISPRDAGTI YTRVCRLLGTLFGLYRKKLSGRFHLILPVAQRMLRCLFASDSRPTKSSLFTSHPPWLD CTGTPLQAEHAAQYTRLLSSLCDPTVSAVENQRRGPNQGLIDNTKKVKSLAGQYLQYL IIEYAGAQLRGHLTPEIKAALMPGFYSVLDVMSKSTMRAMNAAMDPSARAVFKGLYED YVKFGKWNHD UREG_04418 MAATDALPKTLKSITETKLKELSKQQALFESRKSAVYEEAAKQT SLEDKVRVLLEGVTRLKGFPDDGLDTTDKEDDAVLRSGGDRVSARHAYWNIRRDLVQR KFDSSISDAKLKLFEEELRKQLNFISAKHEHALFFNRLVTEWLTNPRNPVPEIAKDNN SDVSYEQLERKEAHEQRTEWERLVFEPRQTDSAAIEGYLTKLFGQSRLSEQALKDLRK RVKLSSKDFLTKDDHFTVDSLKWVIRGVISKDILSPNKVTILREFLVNDEVAQEVADV LNVRMAQLDTWSWSGQPIMVEMRRHLNGKYRAYMDEDILDAIFLHYIGVKWSITFKEL FTAIIHSHGWKRQSKNIPKDDLERRKYFLYEEDGENRDNYNIVGERRRAFLETYFMCQ LPSDVDSIPVYNEDSQADDQKKSFVDLKHSLLHLVMAEIWLNKALYGEVTVVQSDFRW FGPSLPHTTLLSVLEFFHVPKIWIDFFRRFLDTPLKFVQDGDDGPVRIRKNGVPLSHS ISDWLGEVTLFCLDFSVNQNANGLLLYRLHDDFWFWGQEQDCAKAWKSVNEFCDVMGL EINHEKTGAARLYLNKSHKKEFSDDSESDSNVDQRPDSSTVSVTEPASLPRGDIRWGF LKLDESTGRFEVDQSQVDVHIQELRRQLAACKSTFSWVQAWNTYMARFISNNFGKPAF CLGRPHIEMVLSTLKRIERELFAVDGDASPGSAADYLRLAIAKKFNLSELPDGFFYFP EEYGGLALTNPFVSLISMREGIKTTPERILRDAFIAEDKEYAAAKEKYEKQGPNRTSL GLISSATPRSRFSSPGTQFMSRDEYVKHSESTSLYLKRAYQLLLQQPEEVSAAFTPEL QNAISKNEAIKSLPVDWYSMSSYSKSIVDLYSSGMINKYGSLAAVEDKTLPLGVVKIL KREKHFQKSSDLTGLKYSTRRVLQDFQDDGVRYLELRTTPRESQKYGVSKEQYVTTVL DVIEEFKNDRMSTYLLLSIDRTKSATNALEVVDLAIKYQHRGVVGVELGGDPSKGDVS IFASAFKRARDHGLHITLHFAETAFSACSTELKTLLSFQPERLGHVIHVPDSFKEEIA RRKLGLELCLSCNVHAKLIQGGFPDHHFGYWRNQDCPVILCVRLPATY UREG_04419 MDKLRFAFHLLLLISLLTVCTGAAEGCCDHDDYRSPGRGRNSVK HCRGQACPADDIQLPDSAALAGRETPGFGSLWARHITDFYSDLSRRKFFKPRPVPKPT PKPPKFGSPPPWSFPKNPSSPKPGGGSPKNPGKPDKPNRTQDSDPPRRTSDQMGDPGD GGSGGASKPTRLVPSQVTRAPLPSSSRRAGLVANGGNSWAPHPVLLFIAITGSGLVIF LW UREG_04420 MSPSVAGTGLTGSLTRPRLAVKRARDPPRNAGGQIYCDHPDCYE NAPVFRRPCEWNSGKGFTRQENLNEHLRRLHRGSQDLTVPPTPRSPPSSAKTVEETTS SALAIHSAMKRKRASSGSENDSETTLSNIQALREEVVRLRSQIQQKDSRLDELEKVVR ELRQSINLG UREG_04421 MEVDPMSPSPEGNPARQSLASIHEFAASNTYPELQHPRALEPPG SHDYHPGHFTCPLFFQSLGDDSFRSESPGFHWQYELRREAQRILPFLYLGPTSAARNI AFLKEAGITCVLAIRSNHPSHEFTVNADKAAAAAGIESQHVKVEDYHELGRTFPQLVR LISNHVCRCRTHSASTLPAEKKVLVFCETGNERSASLVIAYLMVIYNIQMHTALGHVQ GRRLCINIDFSMRQILLSFESILNAQRDVVRVSQSPGKCGIYVADGVKRPHAKYSEDD CDVEMGEAEKPAKRQNLDLSCNPGL UREG_04422 MESTLESKGIGVTMKGKVRDVPDICDMETSDEDDSGGFYEEDDD HYASEREYGIDPIALQNVEWRDLRPGLQLEIIRNLGRIYLWPHIVALLHLSPEDSNEA IRHAVARKQQAEEENRLLGEMRAKQLNALLRIDNSVLRQSRVPTQLVFRNISKRHLEG ARMQSDPTYLMSTAKDIIAAKCYLRRVGLDPGFVGEWAFDLATMQHPPSTNPNAVEEL RWTLDSDDPSEIEQDTVAAIGVQNTSPKVPHRSANTREKLTSKSPRITPNLRFINFFG TAHGVVEEGSLQESKDDGSRLKPYRISASGIKVARAIFNQAQEPPRESPASARGSDVS ETNQSEDTVVRLSIGPEGAARVDSLPDMSSPIFSHFLSSPPVSPAASPSVIQSDAPSE DTEMSALSKTKESTETSGPAFAVRQRQPYEKPVERTLSGAWWYDSNAPKPPHLTAESN ASMRLQERLLAARAENEIRQLARSGSQNRAVTAPMVMQLPLRSSPLKYSCSSADGERP TTPQVQSSDGHSIVISSPVKMNDPGRAMPQMTRLQSGDSREAEQEHCSRYSPTPLVKT QFQGDTQAEKGANSKANAFTEANQMEVSIVSTQERPDNLCESNEDSPSRFESRSGHSN SQESKSSYEPRKNAPLRISSSNVINASSMEIRVCPQTAAQNTRSCSMESVEEGSQVSG FQDDISLPELPLKKVRKRPKKAVVSESQRRKSARLNPPEGRSLRPPKANVRYKF UREG_04423 MSYDILHDTGLAAVADGDKVTVYFQLSDGLLGEATSSSNGYWKI HPIQNLSGQAPKLYTPIAALIGRNKQRHLFYINQNNYLSEAIYKPDDKKWSIGGLPYQ SIAPAQYSKIAAAKSFDGKDHIYVFYQTADINGAIRQVIFKEPSWIQDYRNLGDDVLT GTGLAAVGADLGTDISNNNTENPPVAFFQQNTLGLAWLQDTSTRIIDDVDPEASPHTP LAVTGSRDSNVAIKSDLFYTSISNIIQRLAVNANGKKYGSIKDVIATTPKGNLAAVVA KKRTGTKNVDQVIVIYQIADETVPQGQSVPGSTYPKVSLYQTSYTVTESDEGEELSRS EFEHELLRFE UREG_04424 MSLKPLPGDDRHPSSALSVTRTESGETYIFYFDLHGNICYLKGS GAGSYGEYSVPIKNDGASTTAVGSINTLTSTLFEKEQVHVYYVQDDQLKAAWWHVHDG EWKTGLINEMEYKVAPGTGISSLGQQELHGKPGDHRLEVYFHDHDNSDKFSVAYYKDK EWHKALVQV UREG_04425 MQLKLSLLAAALLPLGLSATPVGVENGISAREDVNVFSRQRCQI IGGAEQVNCRLGPGTKYRKDTTLGRYSYWRFDCVKSGECITIRGKVNCPNNNNPHAIM AQQSAYILRLPNEILDIIFPLTAARWYWEGCDTALLLTCKRFYAICLPHLYRAIHFYV PPPTRAPATRIEQFHQTVKSQPALGRLCKKGSFSLVAYFDGRNHKRNLSIATELLCSL PNIRELRLHCDFSMPLVRPMVQTALRHMPLLSELKLHGSSHGPPLNLICKDLNHATLP RLYLVYPIVTAGSISKYFLPEASIPTSAVTSLEIMGFEHGPESLQRFLGWFKALTHIT VRSSVYTSASWESILRVHRTSLISIDICDVEHRDNAPINFSDFTQLETLGINIYNLDC SPETASSTLLAPRLRHLEISCACRDELDELWRDIKRNSMDWMLRFIQLALKQGSALRT IHIDYKPALEWFDGRGIVEYPWDLLKEAKEVLQSQGITLYYADPPMTKKDFEQAIR UREG_04426 MAEKMKEQRKRQQEEARKAEAKKRAIEEKETKNAEPAMQRATRG SQRIAREPKNSASTAQSNGSKLPTRGRGKKSVDGTSISDYFKNATTEIAEDNPTVQEA LAQAADEFEVKPATLGEQDLVATQQPALVTGGRMREYQLEGLEWLKSLWMNGLCGILA DEMGLGKTVQAISLIAFFKEHNVSGPFLIAAPLSTVSNWVNEFSRWTPGIETVLYHGT KEERNQIRRKRMKMQDQKNIDFPVVCTSYEICMNDRKFLANYQWKYIIVDEGHRLKNM NCKLIKELLTYNSANRLLITGTPLQNNIAELWSLLHFLLPEIFNDLNSFQNWFDFSSV LDSSGQKDVIEKRKRNLVSTMHAILKPFLLRRVKTDVETDLPQKREYILYAPLTPEQK ELYMAIIQGTSRQYLEDKAVERIESRKASANQSRAQSLKRKTKDSGSSTPIKSLKSSR DSTPGSNLGRTVRKRAKQRYNDITDREFNAKLRRLENGFDEQEVEESEPSESELLEIE RVKTMKLAKKEIASKKLQNPIMQARLACNSPHNFYWPWDEDSNIDESIVTSSGKMLLL DRLVPCLLSKGHKILLFSQFKTQLDILQDWAYLRDWNCCRIDGAVSQVDRQAQIYAFN TDSNYKIFLLSTRAGGQGINLTAADTVILYDSDWNPQQDLQAQDRAHRIGQTKPVLVY RLATRGTVEQRSLSGLIRSAD UREG_04427 MSEKRIEQWEVERYWEIFSSLSSGQPRLNNAQAATVLRNSRLRD DQLEKVWDLADVDGDGELDFEEFCVAMRLIFDLVNGELADVPPQLPDWLVPESKAHLV QATQALSGREPQFERVEEDDDAPGLKDGFDWYMSPEDKAKYEEIYSANKNHRGELAFS SLQDLYDSLSVPDTDIRSAWNLVNPSASSEISKDASLAFLHILNNRHEGYRIPRTIPA SLRSSFESNKIDYQLDNVRTTKRWGVNNDGDTSTGRKAKFGDAYLSRLGVGGRTSYRP QGTDFSSTIQDEEWEKVRLRRELAELEKKLEAANAAAEERKNGHRTGGGPNWALIKKE ALQMLEYKERELRELREGTGRVKEGENLERLREDINTVGEQVEGLKAHLTRRNEVLAD LRGEIEEAKRNR UREG_04428 MRLFLIPITTRRTLLYCKRASAGVPAQLSYLDRITNKASTTWAQ WEEADRGWKKSLTTYGHRVLQRIPYEEWGLKSVPPLSARREAQELQSHTPVELLYPGN VIHRHNVLGTLKTLATERQDLHRRRMWWSLGVAPLTAPIALIPVIPNIPFFYLVYRGW SHWRGLQAAALSGSKHLNFLLDNHLVNPVSLPDLEDLYSRHQSILPKLPQNEAIKADE KNFQDDPHEERILLDVSDGKALGKMLHAPELHAEVERAVTQVKHLLDKKKRSR UREG_04429 MAISKVESPLKRAALVPDLQTQEVCQCGLSQVFAHPAIFVLWVQ NAPAVKTATGISSQSMPMLPHGARVAEHHFAPHENQGYQGYGPRDKLHCESRWRSFAQ STMPTQTTSLEEKRVDEDWLDAHFGDYSEPWQGKTDEADLESGFGSLSLNQRRKKVMN RFQRTILQSPMIPLIIRLTVFVFSVIALGLGGSIRHFASKFKHPQGPSAEMAIVVDAV ALVYLVYITWDEYTGKPLGLRLPRAKMRLLFLDLIFIVFASANLSLALASLSDVTRSC RSGTVNDEFDPKNDMICDRQKALASVLLVALLAWLMTFAISVLRVVERVSPK UREG_04430 MTAVLNLVEKQRNGETIEQSQIKNVVDSFVSLGLDDNDTSKTTL IVYQFYFEKPFIEATKGYYEGESRRFVAENSVVEYMKKAEARLDEERARVDLYLHPDI TKNLMDTCLDVLVSAHSLLLRDEFQSLLDAERQDDLARMYRLLSKIKDGLDPLRNKFE THVRKAGLAAIDKIAAGGDNVEPKVYIDALLQVHSKYKSMVDAAFGGESEFVRSLDNA CREFVNRNALCHTSSTKSPELLARYTDSLLKKGAKSPEESEYEELLGQIMTVFKYIED KDVFQKFYSRMLAKRLVHVSSVSDDAETSMISKLKEACGFEYTNKLQRMFQDIQISKD LNASYRDWAASTFDEEDRKRMVDPHFQILGTGFWPLNPPTTQFIPPTEISKTTERFKS FYCDKHSGRKLTWLWQLCKGEIKANYIKNTKVPYTFQVSTYQMGILLLYNEHDTLDYA EIEKATSLSPETLDPNLGILVKAKVLLPSPDDGKPRAGTSYSLNYNFKAKKIKVNLNI QVKSEQKSESDDTHKTVEEDRKLLLQVSIFFSSLLTPY UREG_04431 MPQEPILLVVDFHHARGPEIELCFCEDATDPVGQNDWSLLPFMA LTDGAHASTEDFSYFTLQRNATPIVPSTSLFGISCTRQLDSSSLINRPPEVTRSTVQK AVVVIIDEPKRFGQLREKLSIVTSAWFAQRDFSDRDILKRQMLFFGSRCERLCMIQFS LISLIPGLLDHLQDCADPSFDTYSQTAEKPTSLKTSERSSLLAYMGLPLQIFGKGSMF GPYTPLQQLDLLADHGTKSYLVGSTNSLLLQQKDRYSDILINLDEDTITISSPSLRSA LSLTAADRRWIDFLTQTINETWDDAHPDRPKAHGYLGSEEFIRLQFEEYLLALLASVK YHEQLTTQGSLGSPKAGPKSPGFQSQAIDIDGDPALDFNVDFLERWRTTPNYALFSRL TSDALLFSIVEPRHPCAGGLGIEDIQRRLAQQVSELHLDERVREGREVLNKHLATGQK KVTTAFNNLWADLEAMREAQRKKNEPKAQQQQQSSTEKESSPRPSVSSPTTGSWGFAP RKPTAADLSQAQATVAAAGQRASAYFSSWGSWASDRRKEWQEKKANTNSRVSSPTGTP RQSTSIQNISEVTSIAEPSSAGQPFSPTSNDEASVLSRSSSRRQRWSNILKRRDSGDS ISGSAKNEAAAPTSMPSKRPNPEEDTAVCIQDSSTTSTPPVPKIEHPSAQPETPNTPE KSAEAPTPTLRPSDRLQTHHYPDEETVISPLMLDEDNSHNIFADIDLISPKDAKVEGE PLGNEQPK UREG_04432 MAEFVRAQIFGTTFEITTRYTDLQPVGMGAFGLVCSARDQLTNQ AVAVKKIMKPFSTSVLAKRTYRELKLLKHLRHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILINENCDLKICDF GLARVQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDVWSAGCIFAEMLSGRPLFPGKD HVNQFSIITELLGSPPDDVIQTICSANTLQFVQSLPKRERQPLSQKFKDADPLAVDLL ERMLVFDPKKRITAAEGLAHEYLAPYHDPTDEPEAETKFDWSFNDAELPVDSWKVMMY SEILDYHNVDQVAQDLPTASLDPVASVR UREG_04433 MSREERRPRRSTVEGDAEKSRDEPGTSNESWGRSKKTPMLDSRP LDPSEVLAGREIGVASAEGEASREEEASRQVGCGGRWESSGAHHNQRRALQKGETVIY DYSVRAHAWHSRANHWSAFGAELVLNTAIGINTCSSGMATTNGVLFPFSTPYILHDLC RPNLLVAQYGVLAKARASESKSLYRYMAKLQKLRLELQSGDRARMRVT UREG_04434 MGGCLSSSPSQAPDEIVDLKKRSQMIDKKLEEDSKRLRRECKIL LLGSGESGKSTIVKQMKIIHQNGYTVEELSMCRLTVYKNLLECAKSLVTAMYDFNILP SSSRVREFMDYLDEYSIDPDPNTPLDPKVGEAVTYLWNDPCIPAVLDRQNEFYLMDSA PYFFDEVKRISMPDYIPNEADVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKK WIHCFENVTSIIFCVALSEYDQVLLEESNQNRMMESLVLFDSVVNSRWFMRTSIVLFL NKVDLFRQKLARSPLSRYFPDYSGGNDINRAAKYLLWRFNQVNRAHLNLYPHLTQATD TSNIRLVFAAVKETILQNALKDSGIL UREG_04435 MSSSTRERRPSMAAPISELQGPVGPGFSRPKHKRTFTGFGPKDI KKVEASIPEPQKEAWQKFAPQAFKTKDEFEHEVDAIFRAAYSGTALAFRDRLVIDWNK TQQRQTFADQKRVYYLSLEFLMGRALDNAMLNVGLKDTAKDGLSDLGFRIEDVIDQEN DAALGNGGLGRLAACLLDSLATMNYPAWGYGLRYRYGIFKQEIVNGYQIEVPDYWLDF NPWEFPRHDITVDIQFYGEDKKWHDDDGKLVHSWEGGEIVQAVAYDVPIPGYDTPTTN NLRLWSSKAASGEFDFQRFNSGDYESAVADQQRAETISAVLYPNDNLERGKELRLKQQ YFWCAASLFDIVRRFKKSKAPWNEFPDRVAIQLNDTHPTLAIVELQRILVDQEGLNWD KAWSIVQQTFGYTNHTVLPEALEKWSVDLIRHLLPRHLSIIFDINLAFLQWVERKIPN DRDLLTRVSIIEESNPKMVRMAHLAIIGSHKVNGVAELHSDLIKSTIFKDFVEIYGPD KFTNVTNGITPRRWLHQANRRLSDLIASKLGGYGFLKDLTLLDQLEDFLDDKEFKKQW ADVKYENKVRLSKHIFETTGVRLNPEALFDIQVKRIHEYKRQQLNIFGVIHHYLRIKS MTPEERKKLVPRVSIFGGKAAPGYWMAKTIIHLINKVGDVVNNDPDVGDLLKVIFIED YNVSKAELICPASDISEHISTAGMEASGTSNMKFVLNGGLIIGTCDGANIEITREVGE QNIFLFGNLAEDVDDLRHAHVYNPSSIQFDSDLRAVFDSIQSGTFGDAESFSAIINSI VDHGDYYLVSDDFHSYIETQSLVDEAYKDREGWVEKSIQCVARMGFFSSDRVISEYAE SIWNVEPVEATE UREG_04436 MVLQSKPVLAERAIRRLFSQLTSKYLQHRKSISRGVYLTLFLAL IKRIHNAISEQRASQQVDIRGRPGTKSFERNNINDQESAPRKKIGLNREFLRNLVRLL RIVIPGWKTKEFRLLLSHSVFLVLRTLLSLYVAELDGKLVSSLVRGKGREFLLGLFWW MTVAVPATFTNSMLSYHQCQLALQFRKRLTDYVQNQYLENMTFYTISALDDRIKNPDQ LVTVDVARFSNSLAELYSNLAKPILDMAIYNYSLSKSVGGEGLFIMSLLVQLSANVMR ALTPPFGKYVADEAKLEGEFRFQHTRLIDYSEEIALYHGHESEKDTLDKGYFTLIKHV NRILRRRLYHGFMEDFVIKYFWGALGLVLCSVPVFFKIPDQVSSTMGDRTESFVTNRR MLLSSSDAFGRVMFSYKEISQLAGHTARVTSLLEVMDDISNGRFEKKLVSSAATEGHA AVLSKRGTISESDSIEFTDVPIISPNGDILVEQLSFTVHSGEHLLIVGPNGCGKSSLF RILGGLWPVYGGTVKKPAFDQIFYIPQRPYLSRGTLRQQVIYPDGVKEMHDKGVTDSD LYDILSILEVSSIVDRPGGWDAEEEWRDVLSGGLQQRIAMARLFYHKPKYAILDECTS SVTLEIEKVMYETAKRLGVTLMTVSHRRSLWKYHKNILQFDGQGHYVFTGLDWEKRLK LENEKEEIDLELRAVPEIEKRIAELTTA UREG_04437 MSLPRMSRLGDIEWLSGFRPSKRLLDSLARCPLETLTLNAGLML GYHSQADVHLHESFEFSKFDWNRIQALHLFNLNDSESVRLISRVIRHAGDQLKDLKLH FSLPFPRDSEVPNTFQYAAIAPVFDELSQGLQPKQLETLGIYECGEVNLASLFDVFDF STIKHFIFMPQCSHYEVPSLVWSELQKREVSFETVMVDEEGEGMLACLSSFKGLRQLF VQYITTNFEIAPLCARNWGSLKTVFLPHGQDSMPDVEILLNNCECLQELGVTLYTSSM HQLFKLLDQTRTLKSLYFYTSCIRPNLPGTGPEISPKTFIKRFVDYFARGQRRRRPQN FSFFYHNLKRVSFHDALWAMMPTCDNSVDGSLFDYISRNNHPKKIPIHDTEIISTVKA ANQLVLSELRGKGVALVPVRVPWSRHRGDKTLERLIDYLITSHPGRAA UREG_04438 MSPKRNVAPPNPVTEASPKPPQKDEQKSGASPSSTSKSPSVNVH QPSKAKRNAQGPTEAKPSPPQSSTPIPIANKSSRPNPPSRRNSWISNLSSKFSSGSTP PSQSHVKEAVNHSRSQPPQNTRSELPNPFGAAYSPKDSEKDSQSSPFGSQSPKNPSFF HNALRKLASGGSGLGRMTGTPGGGICERRVMNIDRERDRCKIPELNQAKLRRVAFCVD VEIAGVSRRSDSDDDLKSRATQKQEPKTAEKAEGAALKAAHHPPTSPSLTGMNGTAPP QDANGSKAPGKEMTRKQEKKKKSEEERKERKERKRRLAEENGSVPIHFDMDDPTKSSS PHRFQRGQDQPTIDPVRIYRRCCQLRETGILKKLVEQISSPSSALAESPGTVGVLDLT GFMMSFQDIVTFSDWLAIVPVRKLILQNCGLTDEAVRVILAGLLSTKTVAAARVARGT RRSKNADKLVNEEKFGAIEKLSLKDNPKIGPEGWRHISLFLHMSRSLKGIDLSGVPFP SPPPPTNSPTSLTKPPIQPANIANVFSSALSERLAGNRLEELVLSECYPSTEDLAKIC NAAKSIGLRRFGLANNNLTREGFEHVIQYFNAGSCEGLDLGGNELNDHLELLIAAIQK TFPLTALSLADCSLVPKSLSNLLHALIVLPNFRFVDLSHNQGLFTVQPDSLAVLRRYL PKFAELRRVHLADVDLTSEKAIALAEILPDCPKLCHINVLENSEIEALAAAKDAAMQE EACALYASFMAAVRVSKTLIAVDIDVPTADNNEIVKALASQIVAYSLRNLERGELAEQ LSTPTDGQASEKDVVPIPDVLAHLVGPADNEEVDSIAEGDALAPDEDYVIGGTGVVKA LGVCLGNRDYGGTEIAGDQSLPPSGASTPLRRLSHVPVNKKPRDMSKNLLNAARRIRV RLQPALVREDKAGNDLNYRRLQFLDVTLQRMIQRFEDEFPDTRLPAGTDSTTDANSAL EFSVHSADQADKNSDVDETVELSQSPENGPVGQDEQERYAVRLSRTSSNTSLHSRALT SEEGRVLRLGQRLSHDMLQSELDNSEDISQSPPPDIAELRKKLERLRSSESDSQFELC LREDPDMQKTLQGLGPSSLEDLVELQKQDPDALAEFKESQIVALINAGLRNPGE UREG_04439 MPLRGSRWWEGVLWLREDTTAVQMESEGRRNKSSSKKFTFVKAS FLFKLKTFFDA UREG_04440 MLVKNSAYYSRYQTKFKRRRQGKTDYYARKRLITQAKNKYNSPK YRLVVRFTNRDIITQIVTSEIDGDKVICSAYAHELKRYGIKNGLTNWSAAYATGLLLA RRTLKKLGLDEDFVGVEEPEGEFSLTEAAETDEGSRRPFKAFLDVGLHRTSTGARVFA AMKGASDGGILVPHSENRFPGYDIETKELDAEVLRKYIFGGHVAEYMEGLADDDEERY KSQFQKYIDNEFEAGELEDMYTEAHKAIREDPFKKDEEAGPKKSKEEWKAESLKYRSK KLSREERMARVQEKIRELA UREG_04441 MEESQLRPPPARQLYDHWSVLMNTQRQRALVQPWTVRRQLPKSK CLPFGIKFGYYQLLWSPSEDWRDYGPETESDIPDKVVDGVLQKRKSRVQSRIQRLQVS YASHYSASSFLLVIAHAVILTDRESRSIEKLPDDWTEENVTPESLERQFHSQLVVLNA ERNEQRKRLAQYMQLRTLLEPFNQPQTNVQPNLVTKDGQLAAELDRMRMLLAKVAGKV QQIGQQQGGLQTENSVPQEDMDTKLAKILDMT UREG_04442 MSEVQDEGECKLGTLSHQNNPSPHFSSNRQFVNRQCASLIAFPD FDADVAVESSNSSVVGEPIRRRHRRNRASVTQDDLEQFRAGYWGVTREAFRDIQAQRE AQDQLPLLNAALANLAMSTPNNVNAPVTAAGSGPGFANLYESIPSNMPNIPRQNQAAP PASPAGSQNGVPGAMAGLATGMPMNAGQEMDLNYVYQMITELSELLAHNRDTTRNIIR ASEEIARRAANEGTTPNLQQVNGEITSARIADLENEVAKQKRVIDVLKYEQVENMKLI AEYETAVGTMTEQIRTYCCNVNDHFLAQKRRYNGLLQEEKDAHLQSRLERDYWHAQTL RCAEMIRTAYRLRCEEEILPIRVVSGLQNEVRALRNALGLDPEKPEEEYGWEILKDTP PGVDYGTGSILSEMHTLLLSVQWRRFKVYATSSRYPWSMIGRR UREG_04443 MEKQGFQEPPPYQTAETETHMKDLRYGEATDIFGDAETADRYGY VARGLKSRHIQFIALGGTIGTGLFLGIGRALTQGGPLSLLLGYSMTGVAIFGMMMSLG EMATWLPLPGAIPQFCSRYVDPAMGFAVGWNTWYQCAITLCVEIAAASVIIQFWPGSL HINVAAWISILIVLVLCLNIFAVSVYGEAEFIFASIKIVTIVGLLILSLIIDLGGVDG DRRGFRYWKDPGAMNLYLGTGAKGRFLGFFSTLVNAAFSFGGVEMVACAAGEAANPRR NIPKAVRRVFWRILFFYVLGSLAIGVLVPYNDKHLLNAQETNAPGGAASPWVIAVNRA GIPALPSIINAVILTSASSSANAFLYTGSRYLYALAQNRHAPRFLLKCTKTGVPIYCV LITATISLITYLSCTAGSNVVFVWFQNLTTIASLFTWCSICVAYIKFHAALKAQGVDR NTLVFRSPFQPYVAWVSLVFFSIIIVFNGFYAFAPFSARSFITAYVGIPIYFALFGFW KVFKRTRAVNAQSADIFTGKAALDAVEWPAEIPRNFIEKIWFWIA UREG_04444 MLFALGWDHALPRLDGENRSPRCWVQASRIIPIAPPKRVPRRDR RVQGYSGGQDAIPRRRAGILPFPVRDQRLLNLDGRISIRANQQIGLIHNSCRSTSAIV EISRGASWWRSDGRTSPIGRPGASPSGLRPSTSFRQFLPISSSWP UREG_04445 MSPIPSVDTSSQCYESNADDTAWAEALTREDPNLTGAIYVVDDP NAQFTVPRNKGNEAMVYLTYIIDHYDKLPDVSIFMHFHQLTWHNNDFMDSDSAKMVQH LRSERVIREGYMNLRCHWNPGCPEHIHPRVKGEDVLNVPESAVIGEVWEELFPDTPVP AVLSQPCCAQFAASAETIRRLPRDKYIVYRDWLLNTSIKNSVSGRVWEYLWQYVLGGV PVYCPEEHVCYCDGYGVCFDGENEYKSYFKRRDESRELEKQIAALKSENGTRPDELKE TIRSMERTVASLKREMEEMRAKAMGS UREG_04446 MRADLFWFFVAPSILFASSDARTCYLPTGEIAENDAPCFPQNPE SSCCGGSTYVCATNNMCAYYDGSYYIIGSCTDKTWNSPACSSYCYFRDHIHNSVFRCA AYDDTYCCADGPPCNCTTRANTQKILDFLPPYSELVGSSVALNTDVATTTLLTPVGAK RTTSYSTSLESSTSATLTTTGSTASATVGPQVPTEDAMSDTGLKVGLGVGIPLLAIGL VLGALLLRTKRKSQATRHSSNYPEQGDVTMGLASPHSQPEIRHEAPVANGEYYNTAYK PFRTGPVFEAPNELSRDHSPE UREG_04447 MEVFNTVVSAIAVAERVWKLIDDYRKAPERLRRLQVDLKILQSV LERLKAMDFLGNDQRLALEPDIVEIEQILQKILHDKRKASLTLALNAVNLNMIASIDA TNRTAISLIQSGMTSTTDASDLHDAGSISARQFLDYLNPPNYVDDKFQIKEPAEGTAS WIYDSPGYTQWRETSEPLAILHVVGKMGSGKSVLMKSIVKNLQAQTGEPARPESAVLY YFCTCVNRTDTSITILRGFIAQLINHSRGLFEKAIPDIEILQVQRLNASSNWSLGALW HVFITLIRHGSFPTLYCVIDALDECEPMGLEELLQRLFTLSNVRSSPVNTHPTKLLFS SRERSDILTLLDVGRTRFRLFIRPSSVTSDIRIAMQSDFYKLKTLLHLDNEEAEKMQE TLITKADGMFLWVMLAMKDIMSNCYHATHEDLEELINDLPFELKGLYEKSWAKVLRSL PTEQVALAKRVLNWILLARRPLTVSELTVALAVNPSDKNVPHRNKLFRSLSDFILRFL VPFVEIFERDMAKQHSVNGEEGAWTLPTGPTVRLVHQSAQEYLLSVCKRGADGQKLTL SGPSIDIRDGHETMALICMSYLQCKELQLGWVGLGNRHPDGGQIVTDEDRKEVQKRQE SHPLLNYAAQNWAYHVRQAQYVSAADCHSEGCVIGKIDDDVFQRALDLLQKYRSGYEC ATQVQQLMGAWDYDVHYGPGPPLSAAVAAHISILVRHLLDDPATDIYERDKAYGDLPL HYAATVAAPTTHPEEHTIIIDMLLEKGADVNCLNDFGSTPLHFACDFPLPEVAKILLE RGANTSIKNKQGRTPLNQEYALKSYEIVEMLIQAGADLEILDNNGYSPLIKAAYLGSW ETVKLLLRHGLDPKSSSADGLTCLHAAASSEETSMIKQLLDAGVPVDTETQKGYTPLH VSAENNQFEATQLLLAHGANPAITTNDGILPLHFAAEYASPKLLELLLTYQTDVDPSE AYLKPPICQSVKILLYHGADINSVNDSGDTALTSAAVHGKLETVRFLVENNVPVNGSS NMGSWNPLHGAAFYGETEVVDFLLQHGASIDRLGGPCGLTPLELSAMGGHLETLKVLV THAARLSCRNVTLNGALPPACYNGHAEIVRWLIDIGADAKVAEIQTMIIANASPSHAE ITNILLDNGVPIDEPGWTGITALFACVRWERLDILEVLLERGADLNAADSTGDTPLAV ALVLKRTAVAKFLLNRGANPVLSRGTEISAAFIAARTGNIDILSYLHDNMGLRLWDQR SSGGQTLADVAVKYGRMEAFRYLLSVSCCLTAPGLMDPEVLFNIITGDHDGTVFQLLH DTGNFDFDIGKIASPFPFFYEICKRRVTEHGQVAFVKLLLGKCSEAGAKSWVGQMLLL CAAVHGCHAVIKLLLEKGIDTELAIDGSGRTPLSCATINGWPDAVRLLLDGGADIETK DRYGRTPLTWATLGGWDAVVKLLLDKGANIETKDKSGRTPLSHAAEYGEHTVATLLLA KSNAPQRLGDNIGRTPLFYAARAGNATLARVLLSGDYCDNPDLEDHYGCTSLSIAVRH CHTTVVEILLATGHVSLESKDCFGRSPLCWARRRGYTGIEQLLLDYAETKSLLMCENN PSAYFSPGTGVKKCRWCDVCTLDIPENNSFFSCGICNGADFDICLDCYAGGARCLEEP HILTEQPVKKVV UREG_04448 MGTGIVSILLYNLPYNGIWLYWISVGIFGLNIVLFGIALVITIL RYALYPEIWTVMVNEPFQSMFIGTFPMGFSTIINMMISVCSPAWGSWVTIVAWAFWIA DSVVAALCALCLPFLLMIPGRQIELQSVTAVWLLPVISTIVAAATGSVVASALPDPQM ALWTIISSYILWGMGICLAMMILVIYFQRLALHKIPARNVIVSVCLPLGPMGQGAFT UREG_04449 MPLVLPNLGPRVILGLMTFGPDERQGARITSLDEFKKCLDCLSE NNHYEIDTARIYVGGQQEAFTAQAGWKERGFKIATKWYPRNPGDHKPEVIRQNLEKSL KELQTDCVDIFYLHAADRSVPFAETLEAVNQLHVEGKFVQLGLSNYTAFEVAEIVTMC NERGWVRPTVYQGMYNAISKEARASILPETNRANVARSIETELIPCCKRYGIDIVIYN PLAGGILSGKYKTADIPADGRYSDAHGTTGRLYRNRYFKDATFDALRVIEPAAQKHNL TLIEIALRWLCHHSALNIKDGGNDGIIVGVSSLKQLQENLADIKKGPLPEDVLAALDE AWLVAKPTTANYWHLDLNYTYDTTKALFGPK UREG_04450 MAVARPSLNRPGASRSNVPLLKEERRGRPQNATFASSATSRAVS DASRRSSFRSKTPDYDSTRATRRKYIIASVFLILSLISFTVQTQTAVFIQQELGWDKP YCMLYMTHGSWIFLWPVQLLILRFQKRHLSWAAFWRRHVHILWTTAQMVESQDLHLTS RDTHKSPIRYILRTVALVTTALTVAGGSWYVAVNLTTGSDLTAIYNCSAFFAYAFSIP LLNDKLRFDKVFSVGIAIVGVIIVAYGGGHSEGVPPTESEAEKASNRTLGNLIIGVGS VLYGLYEVLYKKLACPPEGTSPGRGVIFANTIASLIGAFTLLVLWIPLPVLHVLGWER FEWPQGEAKWLLFISTLSNATFSGSFLVLISLTSPVLSSVAALLTIFLVALVDWKMNG KQLSGASITGGILIIIAFLMLSWSTYREMDEERRRRLEEEGSDTETDE UREG_04451 MAAEPPIVLDGGTGFLKVGYAGQNFPEHQFPSIVGRPILRSEEQ AGDIVVKDIMCGDEAAAARSMLQISYPMENGIVRNWDDMQHLWNYTFYDKMKIDPTGR KILLTEPPMNPLKNREQMCEVMFEGYNFGGVYVAIQAVLALYAQGLSSGVVVDSGDGV THIVPVYESTVLNHLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKLC YVSYDLELDQRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVEQPGIA EFLFNTIQAADVDVRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLGGNPERL NKFKVRIEDPPRRRHMVFLGGAVLANLLADKDNMWISKQEWQEQGARALSKLGPR UREG_04452 MDHASHPPSHAHTPGSTPISPQNCEFDQTPGMQRNDDRRGTSPP MTGSIVGKKRKAPTTSRGVANLTPEQLAKKRANDREAQRAIRKRTKAQIEALERRVQE LTSQQPYQDLQEALRQKQLAQAENEDIRRRLGSVMAILRPILASANSRSSTGPTGGNN GDAEESRPPLDPLGVWASHLDSAIPSPDPHSDTSSQRRDSLSHPPDHSVRTPASLESL TGGTTYDGSQRGLHSPSPSLSGTVQGYKHNWHPNPSYFHFNEQGLQSCNGFDFQKRNL VHGLEFSGSGERLGLNFLVDSSRQGLKIQEMSPQTTSPSYDVQPRYQQNFPVPPNNLF DTTLPPFGIPVRNAEPTCPLDCILLNFLRSRQREAAEGVSKGHLVGPPYPSVSSLLNP EKSANSHPLSKVFTDIISKFPDIRDLPEKVAVVYVMFLLMRWQIYPTRENYDRLPEWI TPRTSQLVTPHPAWMDYLPWPRMRDRMIASYTDYDFNNWFIPYTTTLSINWPYADSDT LLAIPNSEEYVINPVFERHLRNLNNWSLGPAFAKHYPGLVDTCRIKTSPWDTATNGA UREG_04453 MAHHHHHHHHHHHEGHSAGIASKNEAYWSENAETIFDQDWIRAL GLQIETHLKENLAWMGIDPKQNEGKKMLDYACGDGLLSHVCHYPIQNCVRCQPRIRQW VNHS UREG_04454 MPDFPSHASPYLAPQPVHPKKKFAEYSLTSNPFGFASTSTSTAP NAHRSANIDEKINPTSHNDTAEASAAAPSLPNSIISPALTPPSTPGNLLPSLLSPQPG LKDRPALSRPPKLLPRLPNVECIVRARIPTTSGSEMFLHLYQNDVDNKEHLAIVFGNN IRSRSLDRVREGETEMDRMIRGAYVGRLRPGRISSRQDDQDESEPPAASTKNLLGEKS SEISSEGAGEGIQAPLVRIHSECYTGETAWSARCDCGEQLDEAARLMSEPESTGGVII YLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATAMLVDLGLGVD AGNVGIRLLTNNPDKIRAVEGPNREVVVKERVPMVPLAWRTNGEKGIRSTEIEGYLQT KCVAL UREG_04455 MFRNRARGGPRDNGQMEEVNMWNKIVADLTKAKEKNDRQAVLSQ QIGALNEKIAKNGNNPSLDEIERLDGWHREMMKLAEEEKDILRNEPADVIKNVEILMA LRSASEADPQSRAASGKPRKRKTDLDGGVPDSPGPSTAPVSEKLNRLKSSGQRSASVS SAQTRESAKADEGSEGIKGTKGTASEKNGPFVIGAEVVFKHNKKQQGIEGEGIQCIIK NITGEGHKKRYDVQDPEPIENGEEGAVYRTTAASLIPIPQIGASLPVFPPGKHVLARY PDTTTFYRAEVMGTKKDVYRLKFEGEEDDKEMDVDRRFVLDIPGK UREG_04456 MQVPLFRLQCGVNSYDWVVELGRPGKIGNESAAAQYAAATPAAE FSVQNDKPYAELWMGTHPSLPSKDLGTDRTLLDLVQSNQALLGSEIFEKYNGKLPFLF KVLSIRKALSIQAHPNKKLAEVLHQRDPKNYPDDNHKPEMTIAITPFEGLCGFRPLAE IAHFLRTVEPLRELVGEKGAEDFEKIVMGGYSGVTEKNKAALRVLFTTLMQSSPDSIA HAARKLVKKAQSSPDTFAVSETLSSTNPTSPSELAALVIRLNQEFPNDIGLFVLFFLN FIKLNPGEAMFLKADDIHAYISGDIIECMASSDNVVRAGFTPKFKDVSTLTSMLTYSY APIEEQKMEPTEYPYVTLNTVAYTSGSSALLYDPPIEEFSVIKTDLNRTGAKATFEPI SGPSIIICTSGHGRISVGDAKSEAVKPGYVFFVGATAECVLENSGAEGDDVPFTTFKA FCELALE UREG_04457 MANTYPPKLTFLEKVDLAPANISLIAAAIYTAIAAIFRGQKGAK SYKKHISYAVIRKMLLRLSTRQNQALNPPTNGVYDQYVKQKGMESQTVELEHGGLGHW IGNKDAKNVLIYFHGMSNTLSWDRFKAHANTVYEGGGFAVAANPVYFQLLHEIMDKLN AAGKDIAVFMLTYTLTPHAVYPTQMQQAVEALRHIIGTGRDPSNVIIGGDSAGGNLTL AVLSHLSHPHAAIKPLEISGPLAGAFMIAPWVSFSQDFPSVKDNESKDIITSDIAVRW GSSYLAGQKGDNYNQPLLAPAEWWKDVKARNLLVVAGADEILLSAIDEFVKKLEVRII FPWHPEYLCF UREG_04458 MDTPWSTLESGASSPIPPWDEVQSLESSSALNQTVTLREGSIEC SIKLPRSLLSRCLQLSKKFQDIRAQSTTIAGDPSRSPIELFSKFTQFIIDSVFDESNA EHPDIPLLREMVTIFRHKFLGDENIHAAVASNHNDIPTQRSIIRTFLKACSLTGSEHS SSESRLLVDVRNDNARLMALFNGQGVETYFEELLDSYKAYRDVVVDLVSSLSGCLMYL AEDAAIKDLYPEGLNVHKWLDTPEARPNNEYLLSAPVSVPLIGLSQLLSYAITCINLK VSPGEFRRYLSGAAGHSQGVIVAAFMASVDSWESFNDIAKKVMQILLRIGCRTQQYSR DAPVSNLCTNGEAKVSSRMLSVKGGPKRGLQKHIDELNKYLPETDRVSIALNNGRQQY VVAGPLFALQGLQKKIADQEKQGPQNIARIPFSQRKPVVGTRLLPITVPFHSLHLKAA EEQLQQDLASITLAGSDLAIPVFHTETAENLQSSGNVIPQLIRMICIERVNWENVVNK AFEGVTHVLDFGPGGDAGIGNLVSQQRNGTGLQTIVVTADSGSNPALSYAADLYSCKR PVQYKPIWGRRFSPELVQSLENSPIVSTKFSRLLGLPPVMVAGMTPTTTSYDFVAAIM NAGYHAELGCGGFHNAASMERGIRALAAAIAPGRGITCNLIYANPRAMAWQIPLLAKL RQSGIPITGLTIGAGVPSPEVVSGYIKDLSLTHISLKPGSKEAIDSVLAIARTHPDFP IILQWTGGRAGGHHSYEDFHQPILDRYGKIRSQDNIILIAGSGFGGAEDTYPYMTGSW SLKFDRPPMPFDGILLGSRVMTAKEAHTSPAAKIAITKTPGVPDQQWEGTYKEPTGGI LTVRSEMGEPIHKLATRGVKLWAELDRDIFSLPREQQREKLQQRKDYFIKRLNEDFQK VWFGLKAQGTVSDLYEMTYFEVLERLVDLLYLKDQRDWIDPSFRTLVWKYTNRLEERL CQSAIDNEPFLKNITQLDNPHKFMTELSTRYPQASVDIITASDAEYFILLCRQPGQKP VPFILTLDEDFEYWFKKDSLWQSERIEAVPGQDVERTCILHGPVAAQYANVVDEPVKD ILDGIHNAHISWILRDQYKGDTKRVTEPERTEYGNQNHVESIRVDLGMDKSSARYSLN DDAMVPTLNQWLASIGGPYPTWKHSIFNAHHIVHGRKIIENPIRRLFTPKPGRIVEVL NPGSDTDEEIILKESNHMDGKQNNPVASACLKRQMNGDIILSLLHQATGRAPVKLNFQ FEYKPAAGTLSIHEKIESREARIKQFYQDIWISDTPLSSEALVFQSEPATLDCETIVN FAKCIRNQNPAYTNRQGEPLYAPLDLAIVIAWKPMMSCLFPKCVTGDMLRLLHLSNEF KLCDGALPLQEGDQLSSEGRLKALKIKKGSGKVVRAEGKIFRNGSPIIHLKSEFILLG DFTDYCATFDEKEETVYIHLNSIKDIVLLKSRRWFVLHENVDLKDYLHKLIEFNLTSQ YSFQDANSYSRITVRGSVVHKPDYGEPVILGFVNFSGNSYIKNPVTDYLQRHGGESES PETFSEPRRLLHNLEVEIPDAGEEYAQVSADINPIHLSELFAHYAGHETRVTHGMFTS GLIRGLVEHHVACNDPSRMRSWECMFEGKVCAGDRLSVDIYHVGMSHGKMLLTVTAHN VATDIKVLSAKSELQQPSTAYVFTGQGSQQAGMGMELHKQSPAARRVWETADEYFLRR FGFSIVDIVRTNPMELTVRFGGTRGRSVRENYIALTFDSIDENGVVTPKPVFPEIDRT TRSYTFKSADGLLHETQFTQPALVLMELARFADMESKGVIKKDSLFAGHSLGEYVALT AMGELFSVDEVAELVFYRGLSMQNAVERNADGTTDYSMCAINPSRVGRVFHEDDLHWC VTEVARQTGGLLEIVNYNIVNMQYVCAGDLRSLAALTMLTDFLTAEPSGMADRSKLQE KIKSLVVQVDKMKRPIVLQRGKAAIPLKVNVPFHSSLLKPRVDSFRRFLERTVSDSRV KPERLVGKYIPNLIAKPFQLSKEYIKGVYAHTHSPVLEKVLRDMEATTGDALSALDRC GHSSVESRAEIRA UREG_04459 MGNERESLARLARTLLIELMAYQFASPVRWIETQDAILSCGDCE RIIEIGPSNILKSMFKRTIDSKYRTQDYALRVPRQLLSSETDTKKIYYEDEIPTSPKT KPVTAPSRDSTSLRASPLPKPLDQNPNLPQIPASAKHHINEEIVDQNVKATDLIVAIV SRALKKSSLDIDATKSVKDLAGGRSTLENEIVGDLNSEFGTLPDRVEETPLRIVSDSI QQTFNGQLGKASTSMINTMFGSKMPGGFTAAVARKHLQLNWGFKAGRQDSCLLLAVTM QPNSRLISESDAKIFIDDVAEKYCLNEGLATQPLGKVLEGSSSFATTMDPEALRNLTM SQKALSKKLFEVYAADLHLDLDADRQALDKLHNDIEFRLREELNMWTTEHGETYANGI RPLFDIRKSRLYDSSWSWARQDLLDVFHTVSMQLGSKDIASLDPDILAEKCFHIANAA DDSLLPIIDELANKLQGHGLLSATFDKLVGDCRKALQYGPTFTGTPKQLAPYTVIDDE GKLSYHEQERKGSTRFSDLAFPRICASYGLQGNPLALSTEPFIHLKEKAHHGWVYSPK LTGCLHDALCQVEKVGENFTDRTVLITGAGIGSIGAAMVSYFLTGGAKVLVTTSSFST QVANIYREIYVKHGARGSQLIVIPFNQASTQDIAALVDYIYGRDGLGWDLDYLIPFAA ISESGRKLDSIDSKSELAHRVMLTNVLRLLGAVKACKEKNKYISHPTQVILPLSPNHG TFGGDGLYGESKLALETLFNRWHSEDWGEFLCVCGAVIGWTRGTGLMNQNDLIAEGIE RSGIRTFSQDEMAYALVCLCVNSIYEICQEKPVYADMTGGMSNIEDLPSIIQKLRQEL KDRSDIQQALFTEIKFEAGCTTQHSISKPQNKSILQPRPHVRLDFPRSLDYDKDISPL TRNLQGMVDLQRVVVVTGFAELGPHGNSRTRWEIEAHGQFSLEGAIEMAWLMGFIHHH VGTVDGKPYSGWVDSKSKQPVAELDIKNRYEDQILAHSGIRFVEPELFDGYDPNKKQF LHEIILEQDLGPIEVPEPLAQEMQREHGAYANIKKISGSDHCHVRLMKGAKLFVPRAI QFNRTVAGQIPTGWDARTYGISEDIISQVDRVTLFTLVCAAEALLSSGITDPYELYQY IHISEVGNCIGSGFGGNDSLSKMFRWRYMGQDIQKDILQETFINTISAWVNMLLLSAN GPIRTPVGACATSIEALELGYDTLVTGKAKFCLVGGCDDFGEESSYEFANMGATSNSL DEAARGRSPSEMSRPATSTRNGFMESQGCGLQVLTTAELALKMGLPIRGIVAFVNTSS DKAGRSIPAPGQGVLTNARQIASRLPSPLLNIENRRKRLNFRIKQVREARDIALEDLN LEVATLLSEDPKMDADTYTKERHQQILEDFSRDEQEARFALGNHFWRNEPYIAPICGA LATWGLTIDDLDVASFHGTSTVLNDKNESSVIQQQLASLGRSEGKPIFSVFQKYLTGH SKGAAGAWMLNGALQMLNSGTIPGNRNADNIDSNLQQFHHIAYINRSVQTQGLKAVSV TSFGFGQKGAQAICVHPRYLFATLERPEYEAYQARRINRQKKADAYFYNGMNSNSLFR AKTSPPYMASREAEVYLNPAARLAAVDGKM UREG_04460 MLPAGDFVRYGPNSLLVNTAKGLHDIYSHGKNFKKAQRYGAMVH RAPNTLTVIDKNKHGKKRRIISQGFSDAALKTHEPVILKQVQQLKTQLSLDDAGRQVP SGLWTLPKNMARLGDYFTFDVMCNIIFDIPWSTLRDPTYRFVPDVIEKSNVRVGTLAQ APELTIFRLDKLLFPEAIRARDKFITFIDKVLGEGIKAAGTSGKGVFATLTNAKDPET QQPLRMRELGGESATLIVAGTDTTSTALAACFFYLSHNRSAYDRAATEVRGVFRSPGD IKMGPSMHQCTFLRACIDESMRMSPSAASSLWREAEEQGATVDGEYIPAGVDVGTCIY SIHHNPEYYPQPFSFRPERWINDEAHKVKGDVALARSAFNPFSIGPRSCIGKGLAYVE LQLTLAHIFWHFDFRLALGEQGLVGEGKEGAEFGRHRADEFQLEDHLTAAKHGPYIEF RSRA UREG_04461 MAQTALNGVSGEKTAFTCKFPGLLAEDELQINERAQSVVAQPST ESWKQFWHEKKISHRAVIQAVWALVLNVFTGDDLVCAKVLSLDNEGGLWGLFTAHVDR KVTFLELLESIENQRRENVLFKDDEFTCNTSVCFIGNARKISDSEEELDISLHVTEED EQLGISICYNTSYLSQKYAKIVSETFGHLLAEVSRHPSRELSDINLVHPQILNQMKDW TKNAPLSEDRCIGPLFEKMARERPAYTAIQTSEVSLTYQELDGLSSRLALHLQAMGVG PELAVMLCFPKSAWAVVAMVAVIRAGGVMLCLDPSHPKARHQEIQHQVKSRWILTTPG FSDRWSWSDAAVLSIDRAFVESLPLQSEERIISDITPSNALYMIFTSGSTGKPKGCVI EHRQFLTGSLAQQKASHMNSSDKVLQLASFTFDVSILEIITSLISGACVCIPNDDERS KGPAYCIQFFGITWAFLTPSLVKLMSPDLVPTLKFLVLGGEAVQQENIQVWASHVRLA NGYGPTECSIAATGNAGLSPNTSPANIGHPLGGCCWVVDKDNHDRLLPIGAPGELIIQ GPIVARGYLNEIEKTRAVFLENTKWLPADATGPYRRLYKTGDLARFNADGSIHFIGRK DSQIKLRGLRIELGEIEHRLAAHPLVQQAVVVLAKQGLCQGKLAAVISLKTFHQASDA VELVNENSIHEAMVQLEGISDDLSQQLPDYMQPTIWAPVKSIPLTISGKLNGVLVRQW IENMQSESYNLVTGKAKETEHVTIATNDTERDLQEACANVLGVQAKEVWLNRSFIQNG GDSIQAMQLLEKLRRKGVLIGFADLMRMALNELAAQSVEQILFESSEEAIPTPAAIDT EKLVELNIRSDEIEEVYPLSSVQQGIMLSQQQNPGSYHFRITCEVLVPQGQPVETNRL RSAWQQMVRRHPALRTIFIETEKEDGLLDQLVLRAHNARIVELRGADEGALFKTLDSH RRIDDKSRPAVEFIISSTDDGRVFCTVDINHALIDGVSVLVLFRDLSQAYSGILDRES TIRYSPYMKYFQKLSVDDALSHWTQYLEGCAPCHFPVLNDNKFDNHELHELKGAIDDV DAIHSFCKDNNLTPATVFQAAWALVLAAYTGRDDLQRHKMASCRDFRISTVRLLPFSM LSGFLSPCLTPLCHSQVQSGAEIWGTESKESVGFRVVSERDPTEYNVSVNIFVSKSTI SVALRHYTDQLSDGMTKNVFETFCTAIRRIISSPHIPLGGLNILSDRDQEQIAKWNSY IWPEVNECVHDVIRRQAVLRRDEQAVDAWDGSFTYQELDSTTDYLANILAKNGVGAEV LVPICFRKSRWTVVAQVAVLKAGGVCVAFDPEHPKSRREEMIKQCDAKLAVVAEGVEP LFKELLDTIIVVGPDLLNHDLPGPSTILVPKPALPSNAAFVVFTSGSTGRPKGILLEH RAFCSSSSANSPAMNYGPGARVLQFASYTFDVSIGETFMCLMSGGTLCIPHEEERLND VAGAINRAKANVVYLTPSVGSFLQPSDIPGIRTLVLGGESLREENISTWAEKTHLLAT YGPAECSVYSTSLVGVPKGTSPQNIGYGFGSRMWVAHPDDAAKLSSVGAIGELLIEGP ILARGYLNDQAKTDAAFIPAPAWLPLGESETDRPIRVYKTGDLVRYNSDGTLCIVGRR DNQVKLHGQRIEMGEVEHAILAYESIYNALAIVPKTGALKNKLVAVLSLREATSSSVP STNDIQLLEDIGGQDVQERLHDVRQHTSSVLPPYMVPSVWFAVKRIPVTSHGKSDRSM VTSWLTTLDQDFVSSNVDANIEVILPGNETESAIRAVVASVLNIPEGKISMNQSFLAL GGDSITAMQVASRCRARKIHVAVKDILRSVSFQQVAMQAKTASGSAIPLDGSSGPFEL MRDFKPAVLDNLAERLGIDPQAIEDIYPCSPMQEGILISQSQAPETYKFFAVFAIRSK DATIPVSADYVRRAWRRLVARHPSMRTILIENPSQDRLYAQIVLKNHNPRMDTAHNLD SLFRYPYDHPLDYSELTPPHRLTIFEGKDVVYANLEINHAITDGGSMAIMVRDLASGY SQELSPGPAYKDYISVLQNLSKERILSFWKNHLKDAQPTLFPQLQDERAPNRELRVVN IPIAKDTRLKLQKFTKTNKVTLANIFQAVWALVLRVYSGESDVVFGYLSSGRDTEGVD VESSVGVFITMLVCRVLLEDSSSLLTVLQKIQDGYLDSLPHQHTSLAEIQHALQLSGE RLFNTILTLQRPMVEDNADHAVSLEYLGGSDPTEYDLGVDITITETTIDVSINYWTTF MSEQQAALLGSTFSSILSSLLAFPQSNVGDVDLLGEDQYRQIRSWNNGGAVPDSSESC IHDLVHEQAIKQPGSVAIHAWDGSFSFAELDTLAARLATQLAVLGVGPETPVPLCFDK SAWTIVAMLAVLKAGGAYTAMNPAHPIQHLESIIRQTNSRIILVGSESYGIKFERSVD HIIVVQSSLFHTLPDFEPTVIRNASPENAAMINFTSGSTGKPKGIVITHRGFCSMTAH NEGMCIRPTSRVLQFCSYTFDTSNSEIFFTLTTGGSVYVPSEHDRLNNLPGIINRFGV NHAFLTPSVAISLSPQDVPTLKTLVLVGEAVSEDVAKLWQDDVVLVNSYGPAECTVCS SYAILRQGVVATNIGLARGCNYWVTEPGNSQRLKPVGCVGELLIEGPIVARGYLDADL TARAFIPPPGWRGECLPETRLYKTGDLVRYASDGTLIYIGRKDGQIKLNGQRIEMGEV EKEIKSYELVQQAVVLLPKSGPCKKKLTAVVALKGFAPPISTNSELEPLDDSKKTMAI SQVEKIRERLTAVIPSYAVPSVWLMSGTMPLTASAKTNTPKVIKWVENLDSNTYDKAL AETETDEPVEVSPGSLEGQLQRIIARVLNTSPAQVPLSRSFLNLGGDSITAMQLVVRC RGEGLRIVFKDVMQTTSIAALASHVEATDDQPRSKAEIFDSPFDLTPIQQLYFQDVSQ SNLDPDANQFNQSMLFRLARDVSYEELKLALDSLVKRHSMLRARFGQSPDGQWTQTIP SKSTDSYRFRAHEVETKEQALELSRHAQEGLDIRTGPVFGAELFFIDGQSQLLFLVAH HLVIDLVSWRIMVQEIEDFIESGPSNLDSPPFPFQTWQQLQAQYAAKSLKPDAALPYS VPQADYSYWGMDYVPNFKKDTAELTTSMSPEDTDLLLTQCHRAMGTETLDILLAALFS SFVKTFGRPPPAIFNEGHGRQPWTENIDLSETVGWFTTIFPFHVPVNLEAGPIAIIRS VKDQRRSLPAHGWSYFTSRYLNEEGQRAFKDHMPLEILFNYLGLYQGMEREDGLFQLL PFNEGDVGPAVRRYSLFEINVYVLRRSAHMSFTFNRKMKHVDLIQTWVRNYNDELKTM CQILKSAETILTRSDYPLLPISYNGLDQLCNQALPQLGISPKNVEDIYPCSPLQEGIL LSQTRVKEAYAYHATMELKSTSGAPVSARQLASAWEQIVERHAMLRTIFLEKVTSRPF DQIVLRKSDAKPLLLEAQSYQEAINILNRLEKLTVSPAEPPHRLTVVETTDSAVFFKL EISHALVDGTTMSILITELLEAYTGKLMPGTGPLYSDYIAYIQSQPIDEARHFWIDYL KDVKPCQFPSLRESENRKSELCHVSVPVPSLTQVRQFCQQNDVTLASIIRLAWGLVLS AYTGEEQVCFGYLTAGREIPVAGVENGIGPFINMLVCSLNVSNIGLQPVISELKELQQ DYIHALPHQHIGLAEICHALGLGGTSLFNTVISFQHRDIDNLALEDLRIEYISGEDPT EVRVFGRFLWQHIQRSLANSFFKYDITVNAIDSDKGWEISLGYLEARLSSEQAANLAA TLSTTLTSLISSPQSKVGSIDIFSNRDSAQVWKWNSDLPSMVNECLHTLFQRQAMMNP DSPAITSWDQDLTYRQLDDRTTQLAHLLLDLGIGSGDLIPVCFEKSAWAIVGMLGILK TGAGFVPLDPSHPPQRLASIVNQLSPPLVLTSPNTSKLVVQLVKHILVVSRSASTWVN ESTEAVNVSVSPQHVAYTLFTSGSTGTPKGVVVEHSAAATSIMHHGLRIGCSSETRMF QFAAYTFDACILEIFTTLAYGGCICVPSEAERMNDISGSINRLRVNTTFLTPSVIRIL RPEAVPTLKTVILGGEALGKDNIQIWAERLRLMNGYGPTETCVFAVMKTFTTKDEQHN VLGHAVSCLTWITQPNDHNKLAPIGSVGELLIQGETLAQGYLHDETKSAAVFIKNPEF YPRLHESDCRFYKTGDLVRYSSDGSITYLGRKDTQIKLRGQRIELSEIEHQVKQCLPG NFQSAVEVVEPHNEKDQAALAVFICESTRFSRENLLTTMTDEFRSLAAETQKALTQTL PIYMQPSFYFPINQMPTTSAQKLDRTMLRDCIAALSDADLKQYSLVKHFHRLPTTSTE KSLQELWHQILNIPTSQIGVDDNFFQIGGDSIAAMKIAAASPSHLNISVADIFRYPVL TELASVISSKAKPNAFEETQIRPFELLSKTIDPLSALNEITAQHNIAAELIEDLYPCT PLQQGMMTLSILNPGTYVLRQVFRLAPSVNIAQFQSAWDIVSSQHPILRTRFVQTVCA GLLQVVVKGHTAWKTAKTLQQYLDLDTMQQIGYGASPVRYGITDDGHFIWTGHHAIYD GWSLPLIFKQMQFAYEHGYCPRTLNYNTFIKSLQETESESSHTFWKRQLAGERPSTFP ELPSTQYRPRIGGSSKYKLNLPNKTLGTNVLRAAWTLVLSRYTDSEDIVFGTTLSGRN VPVHGIDKMIGPTITTVPVRVHLKSGLTVAALLDQLNQQNMEMIPHEHFGLHNIANLS PECAQAIEFQNLFVIQPVLESTQVSQNPILEQVDLAIKDFDTYPLIFECQLAVDGILI EARYDESLLSASKISWMLHHYEYVLNQLMDQTAQESMVADISIFSDFDNGQILQWNQQ YPEVVEATVPQIFAEQVVQHPTALAVDAWDGQLTYFELDRLSTLLAKHLVYLGVGPEI LVPLCFEKSRWAIVAQMAVMKAGGACVNLDPAHPLTRLETIVKATRANVLLGFSKPRR NSWIFGRFATSYSDRGIHL UREG_04462 MPSLVHATVDAIKDSTLQRQTVVAFLHLSRRNGNPEVMEINPKL QKELIDLHRALSQMLPSYMVPSMFLPMAQVPLTMNGKADRRQLRELAASLSHQDALLY SLADATKVEPTTEMEFKIRRLWASVLNLDVNEIGAGDHFFRLGGDSIIAMRLTTLARS EGLGLSVQSIFQTPVLADMAAKIETESPKIQNGVLPVYSPFSLVSAASIPKFACEIAA SLQTNPENIADILPATGFQSSAMAHSILKTRGLLNYLFLDGEGQLPWSEIDATDAFTR FLDAHEILRTVFTVHGSQVYQVVYKSLSQCIQFYHTTGDINSFCTDLFRQDMGADRNP GESLTKIMVISSPGCHRLVLRMSHAQYDGVSLPLIWRTLGEVFSGSKPAPEVPFSQYL ANVSHLYDIEKSRSYWRNLLTGSAMTDVVAHSKPSYRNVYDIRLRRVIPNISLTSSGI TFASVLKSAWAIVLSSLTHTSDVVFGHVTSGRNIPAQDIERIVGPCINIVPVRVPLDS CATVNDLLSFVQSQHVATMTHESLGMRDIIRKCSPWANFTRFSSVVQHQNISEASAVT LGNNTYSIKDFCPPADEADVAIKSTPIGDQIEVLLIASSRSTGESRASELLNLLCDVI EAIGSTSSNQIQLSKWMQDQPVLPMQPVLATANGFSARTNADSKDIEQDLITFVKNSW RNALQEPELLLDLDSDFFSVGGDLVQIAILAIVWQSQGYKVTAEDLIDHPHIHDMAQM LAESN UREG_04463 MDPSTFIDPQLTLPDLLVLKNLLKDVESRDGARSVARVETDVVM ISHLLLYLTTSVPSALLLYHHFTWLHGIAHWILHVWYAGTYTLMKHQYVHMNGILAPR YYLVDLLFPITTLKGNGPRRSEFDYVANARATSCVFILPLLTLRLGLMVGNWGQHAFV DVSDPTSDFRSSITLIDVASNRFCFNDGYHTSHHLHPRRHWREHPAAFVKQKARYAEE RALVFRNIDFLMVTLKLLQKDYMYLAKCLVPIGEYQTKLSLEQRAEMLKSRTRRLTTE ELWSKFHKNKLG UREG_04464 MAFWHQALVVTVCELDQSEDDAHSDRQQTSVQAIEESPPLGFGA NKDSVAVQMEHLAMKLNRQDEKKEDKEFLNTNSTHVDVNTSHDCFLGLTWPSHTATD UREG_04465 MGLFSVMELAEKLSSGLSTQNVILAGIAVLLAINLKGLPCVWHI RLFRGLATQLFLENPGKKLVGINGSAPRLFSYLVTTHRNPPIECDYNMHKSNSTFFSD LDINRSQLLVALFNGIPRWSPTPGGKEKRLMVALGGTSCVFKREIKPFQAYEVWSRVL TWDEKWLYIVSYFVKKGTGKSVLKAVEEKEKGQGQNAGFDSSKAILASSVSRYVFKYG RMTVPPESVLTKTGLYPDISSSEEPDKEDGSHTKTATAKEKKSWDLNMFEAQKVRGLE AAMLFQGSDAVGSHFEEMAVSVLGKYADI UREG_04466 MASFPPSPLEGLEWDKLGLVPMDECEYTIKTGKWSEPRFVQDPF LRIHGLAPGLNYGQQAFEGLKAYRDPKGQIQIFRPKDHAERLALSCSTIAIPAVSEEL FLRSINLAVAKNAEYVPPHDSEAALYIRPLVFGSDAWVAVSAGIGYRMCIYVQPYKAY HGINPLPALILDDFDRASPKGVGHVKVGGNYAPVLKWSDQARAEGFFITLHLDSRTNS EIDEFSTSAFIGIKKVGDSYTVVVPNSRSILKSVTSTSCLDLAKSFGWSIEVRPVPYS ELPYFTEILATGTAAMVVPIHSITRKSTGEKFEFSTGGPGECCKKLSGALMAAQKGIN SNDFQWLWQVSQVEDEASPAQSGSG UREG_04467 MPREIITIQAGQCGNNIGAQFWQQLCLEHGINQDGNLEEFATEG GDRKDVFFYQSDDTRYIPRAILLDLEPRVLHAIQTGPYSNIYNPENFFVGKQGIGAGN NWAAGYATGETVQEEGFMLLHSIAGGTGSGLGSFLLERMNDRFPKKLIQTYSVFPDTQ SADVVVNPYNSLLAMRRLTQNADSVVVLDNGALSRIAADRLHVQEPSFQQTNQLVSTV MSASTTTLRYPGYMHNDLGSIIASLIPIPRSHFLITSYTPFTGDNVEQAKTIRKTTVL DVMRRLLQPKNRMVSINPSKSSCYISILNIIQGEADQTDVHKSLLRIRERRLAAFIPW GPASIQVAIPKKSPYLSNTHRVSGLMLANHTSVATLFKRIVSQYDRLRKRNAFLEQYK KEAPFADGLGEFDEARAVVMDLVAEYEAAEKEDYLEGPGGGAEDG UREG_04468 MGSSQKRKNEKKKDFQKAKLKVGKAKPKAANFTDTSFKSKSIVL NQQSLSAAAPSATAQFSHSLSLLGSKSDTQRKEALSNLTTAIASRPVHSPLPQPVSVI LPSLLPLILDGSNGVRTQLLKLLKVLPEADMEGHASLMLPYIRAGMTHLAADIRLSAV EILSWLVSVAGQEVVSCAGGWIKTLNCFLSILGWHTEESAKWSSNRASFGKAGSDGKP MVKILQALADFLRAGMCDLDLMTVAEQEQGVSICDLSFPLLHTAQHLLPTKSSPFAYL NLFGQPKDEEGEMYESTEDRVRIFAQRFQQPVQRGVEAAKKDGGEVGRASTGVTKVMK ESKNRQAPP UREG_04469 MAGLDAISAILGILNISFNVAEALFKYIKSVKHVEEEIRDLHRQ IVDTSSVLRDIPGLLELHFDSRSGLFAHTTVECILRNSSGYMATLWEIKGILNPLCAS GTHEVPVSKRHKMKWPYAKYKIEESLTRLRAIQIELQLTLSLAACNPERVASFHRASR KRAGRPRRWRMSRNRPFDSCYDHENYPLPRSFAENNPSKPPTPRTRDGVEPGPSTTRF GQKTNIFKRLRTINWLHFMNPKKPNSFEDNIVTEGSQVEEWMLDFSTNAWIQCVEYSY NDLPTRGEERLWRQYSQEKFTGTGYKRKLLSIRFLKRAIRVGILRKLEEPVALHVQIL RTDTCTPIRSLPQETSLHDVGQSLGRSERHVQSALGHRDPISGRPQRRGGRRSKFNAQ TCEKDSHLNQRQNNNSSGYISTHSKDQAEQPQPNSSLGGQNSHFGIYKPATHVVPNEA SRPAPSHDKKPQADSTDGDSSDPARGATRGRFYRLHPKIRRKSKTWGSRPRHGPAPSQ GSFVSRGPL UREG_04470 MKLPTLPVLLSLSLSFTLGSASPVFPIADKDAVRQKPIAAGDTV PFHSSPAGAPTNILYAEDEPEPALAGVPIGLPDYFADCHGDPALLDLLGPGNSLLLAL SLGTTFRNTKAGSNISLSIDWWRNAASSNNGTLENTPAALPRLSLLGWLEPLPTTLPE TTKIAVEKCFLGAHPEARHWLPDDPEAAHRGYWAKLVVQKVLWIGGYGDRAKIGWLNP RTWTSIEKHGRESKKGWGDVRLPGEKAN UREG_04471 MAPTLSDRIRQDDESGKNALHYAVHGNPKTVQFLLEHQIDPDAM DKNGMTPLYYAVNGLKVPQPGDRRVTSYIQIIKLLIDAGADPWLPCRGRTPLVDALLC EEPIRIVTLMLPELLVVRHNIQGHSRTMIESVIETCI UREG_04472 MASSSDPASEQSTAKASAASSSKITGPTRNAGSLFRLYESVTST QPTGTEGTSSETAQYKSDFIDIVELREPYGEPEIFKTSANLKRSPIKNRKFGEYALLV RQIVRKDGR UREG_04473 MPRLTRQSTYLSYHGATTPGAGPNPSIDSNYAARNWNFNFSLPE IQKILRKHKVFTVAEGYEMHNLPKFHDLTAYGISEDDELKLWEEEVRRLLDAADMDNP LTLNNGAYRNIDALLGSVDQDGYSKLDRLSMQIVQALSVCVHDSQLLLIEFCPELANF KFFEIGEQKLRNEFDVHERKERYHSSLRLEEGNEECYHALVDYITAVLIRVLLRSAEG PYWQHNCIASVAKHAAKLKVIAFDLAGAISTSLQAASEVRHRMLRQKDSPSMVMPDGD DKESPFERRRKALQGDRSNASLKYFHQIHVFEQSIFALLDAISVNLGSAKRSGLPVDV FEASALIYETYVNGRLTLLCGGRDGSIGITSNPLEIRCATTIFEVCRETLRPLQRSQQ TAAADTQFTEIQWKWTDLGQDGNLKGSDSGKILFYNMDSLITALVPFLMMDGKIAFLL NTFLTGASFYTDPTEPVRPFAEIRFIAAFSIRTSAYREKRSGLISETGVCSVPNTPMW ARVVATSHCYSPEDKLRRDREKAMERVKEGMDAMSQWTMDEKSIIVPSAWYSWSVLSV CGVLVAGGLAIGLSVETRIRGVDPFNIAIFCWALAGFVMVVAKSVRVENWPWRDFLLG QVVCRSITELHAVTGADAQLILALLLRFESRIQLHTRGPFHTVFSRKADDGFSIDEPM KTSTLIDGGLVFVRVQSLAGPALVSISAGYLGCYNEVAHQGSTEEGSKPICRDLNEVG RWERGSDGLPLLIIFFMFLATTISMASHFFVRVLIVFMASKPTETAKKMLSDPVTTVE DIDYDDLAWDVSDLLFEAWKTSKLLDKTRL UREG_04474 MDYVKHESDLIDVLNTPGIARHEQPSLNPETAMDVLQTAYSQMA TIFYFSSISIHPMQLGRFRKLLKMMNLTTAGRSNIIH UREG_04475 MDRSDISVVAVELSGHRPGKAMVLRGDSLQSSKLPPMQPHPMKP IPMDGHWENSASNGVPRAPPTHIHSPHPQRKDSHRAHFRSQRPTSPPSGSEYSGASRE GEQGPRSPGGLSNSDKSKAGSTEQRSNTPALYDLPQGRSTGAVAVRLLLLTRVRRLRQ GDLELQGSLVDGVSRESRTGFGRPSIMTALLLRMQHHFPIHEPPLPPNLSINFELALQ NIVQGVDMTCFAFGFWGIFQAGRYWDDDINSIPDLKKATFPYYGGYGLIAVIPRSKVF SPDRTNRTEMRRFSPQHSTNHGPRKPEQPSLEDEIKLEFASSSTKRAFRLISALF UREG_04476 MTMLFACILAYDPIIACEDSKPALPLIRRAWFCRWGVTLPNPEC LRATPQPVVIGTRPLPPPATTTTPPYHPPTTPPQYLALSVSIVYARLLPPAGAPTHSS LRPVPDLGHSPYRKPTWLCVKVKRFPRHLCYHQRRACPLAAPIRFYRNGLFPPPTTRI IYCPYLPPPPPLPTLLMDTLDFNFVNPYLQHALPRPYPYASSIASSASSSSSSVFSLD SLSSQSSSSSISSSSIDVIWENDENSGQGRCPSVTNQPSSHCPLGKSGYGKVTDENTV APDLRRHPRRVFRTANADIGKSCPRQPPPLVRASHLRFGFVDRLVDSAAQIVEIIWPL AVEAARSDSTLGCKGVLPLRSFIRETLRRSRTSYSTLQVALYYLILIKQRIPSHNFTM EQPHVQEYSRAMQCGRRMFLSALILASKYLQDRNYSARAWSKISGLSTTEINQNELIF LQAVGWRLHISEPVFQRWTDIVLRYTPSSDHSFNGEGLSWKTVIPSLTPELDNVDLDN ACTGPVAIQDLLPPIQTLSPTSSPDTGCRRRSLAANQVPTCDRKFDAQISHSAESQPI LLPNPLKLGVLPTPQMSPQSLSNNTPAASVLPFTARGPSMTSAMRQAQNMCAQRTTLD QRPPLQSYPVCTRRSSLARSSTSSPDSMISDVPSLTSSRSSRSSRSSSISSVASGTCA PTLPRLATRATRRCASTRDGKKTFTIASPIDERSCSDIFASPEPMSASSTHFPDLAKF SLDASVDMAHEAAQSLCELSVAVPRSNKSSALRSPCRSSRKRGRTSSNDMDLQHKVRH LMALDYPAGENNPTFVLPDVQPAESFLLSETKTEWSSSGCSFGSRRPFLPLPFATDRV MERPPCPNGLASYLWNRSSSESVADMLD UREG_04477 MALRIAIVGAGLSGLASLKQCLEEGFNATIFESRDVIGGQWCYE EPDSVTGETASSIYEGVLLNSCRDTSSFSDFPMDPARYPDYFGHRGFFQYLEEYADHF GLKEHIRLNTKVISCSQNEDGKWAVKTVQQGGDPVEDCYDAVFACSGALARPVIPQFE GLETFKGKIFHSRVYRRPTGLEGKRVAIIGFGNSAADLSSEISWQAKELHLITRRGGW IVPRFVLGKPAETFDNHGLMEANVTMRSDLLDNIRTGRIIPHRAAIQSVSETSLILTD GTSIDVDVVIFCTGYHLSVPYVPEESYRMTYNEILSTNNSMDLYKLVASPLFSDLFFI GFVELAGPLIPVAEVQARWATSVLAGRIKLPPMEEVYDDIAVYQASLVSSMVNSDRHT VTIRYLPYCDDLLRDIGATPTFRRLFLNLFSSNPFRAARLLRTVYFGINSPAQYRLFG HGSKPNLASATLLRLADEDEGLSEEERYHLGMSDLESPEQVEESS UREG_04478 MSAADQERVGDRSLHDLKPVTIEQPLLNSIPPGLVHQLDPIYVD HYNRYNVGRLHTHQVPIEDFRANPAKYLIAYGRASAPDIYKITEQKCPVKGGEIKIRI FEPKLVIRGDGKPKKRGAYINFHGGGWVFGDLNSDHHSCKRIVHDLGEGVVAFDVDYR LAPENRHPTAIEDCWAAFQWVRSKAEEFNLDTNRMAIGGTSAGGHLAIVVAHLCRDNG FPLSLQILTVPVTDMHSSFTPDGEFDRENTPYESYREMEFTAPLPAERMSYFHKHWLG VPRPEKSEDDWKISPIFAPNFANLAPALVWTAEIDPLRDEGEAYADKLRNAGVQVELI RVRGAPHIFGGLDDILESARRYREKCIEELRKSIGGSS UREG_04479 MLNSTSRSALRAAHASRSQTPVLSRWSPSTSPFCQLYRSMTTIR APVYRPHTSLFSISRLNVGSGERAFGSTSVNMASGTRTETDAFGEVQVPGDKYWGAQT QRSLGNFDINQPQDRMPPAIVRAFGILKGAAATVNMRYGLVISNRAIEILGGTMGSKK PVHPNDHVNMSASSNDTFPTVMHIAAVLETEETLLPALKSLREALQKKVERFEKLIKI GRTHLQDATPLTLGQEFSGYVAQLDRNIDRIQNTLPDLRLLAQGGTAVGTGLNTFKGF DEAIAEEVTKMTGTEFKTSPNKFEVLAAHDAIVEASGSLNTLACSLFKIAQDIRYLGS GPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCSQVMGNHVAATVGGMSGQFEL NVFKPLMIRNLLHSIRILGDGMKSFEKNLVVGLEADEKRIGSLLHESLMLVTCLNPVI GYDMASKVAKNAHKKGLTLKQSAMELKALSEEDFDNSDEELLFPMDLISPDVAAQLPK GYTIRPLRRSDYDNGYLEVLRVLTTVGEYSFEEWSERYDWMAKRNDEYYLLVICDETG RVVGTGSLIVERKFIHKLGLVGHIEDIAIEKNQQGKKLGLRMIHALDYVAAKVGCYKS ILDCSEVNEGFYLKCGFRRAGLEMAHYYNV UREG_04480 MGKVHGSLARAGKVKSQTPKVEPQEKKKTPKGRAKKRHQYTRRF VNVTMTGGKRKMNPNPTS UREG_04481 MRTYDDTFSGEKIYPGKEVAKKRTRRTVKHQRAIVGASLDVIKE RRSMRPEARLAARQAAIKEGKEKKQAAEKQKREKARTAAAASRGQTSRIQSKQGAKGS APKVAAKSR UREG_04482 MALPKRIIKETERLMAEPVPGIDAVPHEDNLRYFDVKIHGPSQS PYEGGIFSLELFLPDDYPMTPPKIRFLTKIYHPNIDRLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLANDVAQRWKEDEPAAIETAREWTRKHATPA UREG_04483 MTSPSESKAPFSAYDSPTFGEDSSFHIDQPIGSMSISPCGRDVV LASKEGLHVIDLDSPYSPPRYLPHRTPWEVADVQWSPFAARDWWVVSTSNQKALVWNL AMRSWENPIEHVLHAHSRAITDINFSAHHPDILSTCAVDSFVHCWDLRIPAKPVVSFS DWFAGATQVKWNRQDSHVIASSHDRFLHIWDDRKGVVPIRTIEAHNTKIYGLDWNRMR PEGIVTCSLDKTIKFWDYSVDSDIPEKVIHTSFPVWRARHTPFGWGVLAMPQRGNNDL HLYSRTFKDANNDNDEPPLVHSFPGHKAQVKEFLWRPRGSVIDGLDHREFQLVSWGAD KELRLHRVKPEILKGVGYEKGKSFNPSLNLTRKGAIYKTFHEEPGGVEPLEQLDGSHP NHKSGSYRQAASGIGGISMPYSRRWTQGGPRVLYGIHGKSPLRADMNPISWMRGVKVS GWDVETLGDEITHVGERFTRVSFESVNVAQRKATISLHGPWSPDNTSVFLRIDIKFPI NYPKTAAPVFNVQKTSSVTPQLAKSLTSGLKTVSEAYLSRERGCLEGILRFLLGEYTP EEIVAMMQEETGEVLKSPGLLGDGESSDEDEDVGHFQGNDLAMSSSELLRPVNANVMV PVARVCSAVWSNDGRLVCFFPPKEEKVASFLDSIGLREMTRLSRSNRVFEAFGRFQTS SPGPNTIAGTGASNGPLTDDAASEYSDGSYESSSSSGSSDLLGALPQHFGVQRISNLA LSRAKSTDNSQKSTTGVSIMKPSNSKRYNTICIHNLTDILPAKYDLAERYITHGNTSE VCTHNMAVAASFGNAELARTWGLLKMLLAEQYYVDNISLPNNAFQPARQAVNQVKKID SGVDLTQDNTTTTNWSKGDIWGNHPFGARWFLPALFDHFERLGDVQMLGMLTCLLMDP VSGGSNYTTNQQNEMLELHRNPSFSVEHISPPPHLQRGHSNSGSFYVSPVKETHLVST SYGSACSSTDMWQSGTPPLYSTGATPPTAMRNSRLDVERKSQKLAIPISSSPNQSSDP RNLNVGPTLASSLSRSLPNEPLTGSSPLGGIEKNKPSPAGSLATSGWQGNAPAGKIPS AIPDYMHASTAPPSQAPSDTEVETWTSSKSIRSAEKLSTKSKFLTKSPKDIQVVFKHR GEFDDDDSSHASLMTHGRMSTLLAYRAAYANLLFAWNLPIAAREILGVACDDKHLLLG QSNLRDSEVSFHLNPRVVGQVAGLELQQHCFACGSPLHISIFARSEPKKLTTRNKRST DRPSLKCTECNAGPQKRLLCTICGRMMDAAFVPDLICGHVSCHTCHEQWLTFDENCHD SMMLTCPATCECLPSSREASNLSVTAESQDEATWDNSSQLTHRSMIDLQTQCRKRLPK QLSTYKRQRSTKQSKTKALLRLLISVQNASKKVRLKNILVR UREG_04484 MFLRLCLFLAAASALQTLPPVEFTARPGEGFSISSAPKTVYVDS SFAETKDKDGLSLIPPSAYEFAETFIGDLGQISGTDWKLERLERVPEDGKGIYLGRFR GSVDNLTYQNGEHTEEAYELEVGGARVYIGGTGARGMWWGTRTLLQQLLLAGNGQLPA GRAVDVPAYVTRGYMLDCGRKWYSASFLKEMCAFASFFKMSEFQYHLMDNYPLNRGRN ETWNQVYSHFSLRPENPELRPLVDRWNETVSRNEFEDLQKHCASRGVTVIPEIEAPGH CLAITKWKPELALLKKDLLNLTHPDAISTVQAIWKEFLPWFKTKEVHIGADEYDPTLA DVYITYVNEMAKFIKSTASKSVRIWGTYEPSETLAIDKNVIIQHWQYNQSDPYDLEPA GYNIINSEDWVVYMSIKNDHTPIFPARYPQFYNVSRSFHFANKEDWQWEPSLLDPYNI TEQMNPNAKGLKGAIMAAWNDNGYDASTQLEAYYTMKAGIPLLAARSWSGSRGRRLDE ATFLESSKLLSDNAPAQNLDRRLAVELNGKSPPGPLLVWRRSTSVPNDGRYILGYGSK GMNYSLTLEVTGPFTLSSNDSVLYLDQNGSLVFSTDGIPYPLRHVGEEDGFDLGHPGR IWTNSSNSSHEPVKVPLAANITIKTDILGGSRVWVNDSFAGRFEVFVFGGKNMFFSWS QMAFAAPLDQLEGGIEAITVARYSPEDPPTRPSEGTATPTPRVSAASGLWWRSAVEAV VGAAVLYIIIF UREG_04485 MATIPVIVKHQGKRFEVELDPTANGETFKFQLYSLTGVEPERQK ILIKGGQLKDETDLGSLGVKPGQTFMMMGTPGSGDPGMVLRKPKETPKFMEDMTEAEA ARAEGATPAGLQNLGNTCYLNSTLQTLRALPELQEELQNYKPLRQPQSSLFTPSTAID LTASLRDLYKQMSETQEGFPPMMFLNALRSAFPQFAQKARDGRGYAQQDAEEAWSQIV SQLRQKLVIKDKDSNAGDADKDVSFVDQYLSGRFDTVLECSEQAAKDAGEMPIESSDI FFKLNCHIGKDTNHLRDGIMASLEEDIEKHSDALDRNAVYTKKSRISRLPKYLTVHFV RFYWKRETQKKAKIMRKVTFPAELDVVEFCTEELKRHLIPVRDKVREIRKDEQDMERA RKRQKRAHQEEEQAAATFASEPLQKKKEAESKATEPKSKDDNGPPEVFKTDAEYEAEK AESLLAAKRELFGLIDPSLANDEGANKSGLYDLRGVITHQGASADSGHYTAYVKKQGR LVDDPKAPGGKRREEDGKWWWFNDDKVSEVGEEKIETLAGGGESHSALILLYRAIDLP TAEEVK UREG_04486 MSQSLRPYLQCVRSSLTAALAISNFASQTSERHNVPEIEAATSP EVLLNPLTVARNENEKVLIEPSVNSVRVSIRIKQADEIEHILVHKFTRFLTQRAEAFF ILRRKPVKGYDISFLITNFHTEAMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPIFCDGSHSTMAPPAKIFY UREG_04487 MEGSVSYHDRSDCGKSHPYPLLIVHSSNMTTPGSPATVANGPLG IKEERQTEVFEEASGYSIGKRSIGAFRRFAGKSHMNPEAAPFIPSYQGRRREQQEHKP AQSQQEFQFPSANFFPPQSPFPPSVYNSPSPFPQSNPPFFYQPYSPAFYPTYPSYIPW FRHPLPNSVSQSFCYQPCCYSPMASSQGNNYGNKHWAYAPPPQGNHGRGMDRPAPGQG PFHQSANGHARQPSIANPTQNNQFRGFQPTAPPARNNQVNQNNPFQRDNRQHSTSNNA SRGPVAPQHQEYRGPLIVPPSLRQSQTSNRNTHFATNERLERVDEGLQHQATVASLSH QLAAVNLTNNNADVFNQVKSISVTNNIITVQGMPFEIPIDDGTRYSSPATGGVLKLMN IPYNVSRQEVVHLMGRKARLLPHHLGTPVHIIMDRSTAKTMDCYVEFLTLEDAKETID WLNRGLPGAPPRLGDRHIDIEMSSQEELLKDLFPRAKCIVWKEGRPILTPNNDAYSVG FQSFLTAEEIYCMIRNAEMPKRAPFATKCPQRTYEALISTLYKFPWYATTLYTVEDRN RLHFACFSQLQALAPRVAEKRTLGLDSRLILDLLNAGLSCPTFTDRQKVALYSAAGDP NTYNTSPVSIRFWPFDTLARKANVTEETVNKFARVVAASLERKKPGTEILANKWVPRP GVASPFGQIWLEFASGHTHLKWDVAVQYETKVLQGLVEEGLKATRAGPFPWGNGRVAP GRQIRVP UREG_04488 MTTSSRSFEAKKLKILSALTIPDEAYTDLSPKGSVDTGVRDLIR DINQLQGLVTTSSCAGRISVFFEGVGSDVRNSQADRIQREEASDEPNVDSERSRQFAP TGGKGSGKWQFVSHDPIEVDDSKPSFHDLFNLQPTRGSIRPGSNSQLRLARFHFEPMI LHVMAESLKHAQPVLTAASSAGFRESGIQSLRCLDDKNTCPIVAVRSSGLAVESIIGY VDNDSADGEAVVRSLVTEEYLHMLVLIANERFKTNYSRMDRFRTKLMALSTGSPSRMS SQNKGWEDAGRRRERKRAEGLQRRELERQAAQAIEKPPESEDEITIPFWGA UREG_04489 MPRRSTRLPPDAHAGLPNGRATQHLSLDTQTPAKRQRPRENSFQ KDTASSSTPKRSKYFAQLGPESSDDELSAPPSDLASSPRSAYDQSNADTYIPDRIEED EEESTNDFEEDSDDFTPKRKGKGKARSANRRAMGKAQDKKTPIGKPHRKDLWREGVRT GLGPGKEVFIELPQARDPGDVPYEDETIHPNTMLFLKDLKANNDREWLKKHDADYRSS KKDWETFVEKLTEKIIEKDSTIPELPVKDLVFRIYRDVRFSNDPTPYKPHFSAAWSRT GRKGPYAAYYVHLEPERCFVGSGLWMPDAARLRLLRDDVDNRSERIKRVLSHPEVRKE IFDGVPDEEKKIVKAFVSQNQETALKTKPKLHLERTRWPTASIYRHEEHQLGGGETVK AILNVLKASGAASTTFLRHHSWYCIDDTKFGNSGVLAHRRAQAPEATSPSSEFTLPAF DIWFTIFFLPGSLIIATEGFCRPPGYSADNKSIDLLRLRSFTLNKKLGDQDLLGLEAL DRIANVIGIMTPFVTYLNSVVMPDPEEDEESEDDDGATPSS UREG_04490 MEVLDHSSFDPCISRRVAISGGGWPWCAPGETTTRPAGIPSRLG TQRWRECGGAQGRGPLAELRAHDQQRMRVKRKRQGPGMWRSASQPAGPPHLANRAKRG FCATGGDCLALVPGPPI UREG_04491 MRSKSQGAGGWRIQKASLLAHRLPMLDGYDASRRASRCKDGPWA CLNHGRRSSICILMYIYMQTKLEFGHVQLLVQSAGQILFLPEAHLESEHPKATGTESS QLLAWALHGPKADIRQIGE UREG_04492 MSNESHRQGQLPPPPWTAGQPDYPQYPAAPTQPPPPYTQYPPPL PPPGMATVQHHVQHPPPDAYRLSHPPPYPHPPEMYAPPAAPPAPPVVYPTAAPRQRTA IACRYCRRRKTQAFVPAHTAYPHLRNIQATARMQGIPAGGVMLYGAHGQPLSTAPAPP PHGQENALPPVMMYQQPQPPYGKPVSGPPGPPASTPSMAHPPMVHDPRAPQGQRDSGP GYDYSEPPTLPPVSVATSGAAYLPAGQDTRRLSSHSSYSFDQSHNPPPGANPSAPTLP YPTLQPLPQPSTESRQTPPPGQASAARRSGLSVTDMLVPAENTRSDTDNRMVSALDRR GLGR UREG_04493 MGIKNYAQDVRTARNKETVKEFHGLSPSREAFDDADPQKNKGTQ QKTAES UREG_04494 MEKDASRVRPRSQRQPLCDASNRANIAPVSVCQSRYDSATLSSE KQMKSPDPTDPQSDPNYPAIIAENKRLSAVIDSHTDSKRNSAISTTSADSGRSRRKTH IGPWQLGRTLGKGSTGRVRLAKHAITGQSAAVKIVSKKSAALAQSQSIAAMDKNICAA PGSRAIPSGIEREVVIMKLIEHPNVISLYDVWENRGELYLVLEYVKGGELFDYVSERG ALPEIEAPPLRFARNHQWIQIPRPIRLIFGVAEIILFAMLAGFLPFDGGDLQSTLKLV KKGEYILPPWFSPEAVDLVQRILQKKPENRIRMDQMWLHPLLAKYQSHPVIADSPIES IGPPPPLPSKDFKKIRREELIEMLLNDGANHEKLFYKALLKFRDEQLENYEGPPLEYS SSDYHHVSRPMFRPYRRMSSNHGENNMWKRSKFSIATNTSGLRESSSRVPSSARTIAS YDPYRSSRTAIADPKVEYANVTIHRHFSTDSDPALGSRLSPAIGESTGVPTSFNMPSS SNDGPWSSSRRSSLASFQSRSSIGSYRQRVKSGYGYKKNVSFRHLQSHAPSKRRRRVE APRLQSQYSLCEGKPKLPSHQTERAKRLSTDRFSSPSLPSPPTCVRQAKNRVGELELG AKSRQSYQYWKEEARKVSQELEQICEEAFNSSSLSSSRTIETGTRYPESPVTSISTPG NLNSYYARKNLVPVQEESLESSSSYATRELAETRRRLIEHSNQASADGLPSYLSEVIA HLDRLIANKSSAKTTNVTTAVAEQTQTSYNSKEKLQSISEERHIVKNFLSGESDASYS PIPLTLNDWGKRKTIRAVPDSTNNLGTIKPLTIRKKRTTLEFPVHPIDAPSPEEQQRS SSESIARNRSVPRRTTGRFYSGLEPIEENPRSPKKIEARNSGESRKWSWFKPNPQNCE SAGPSRPPKDVYVSSRQSSISSMVNHSSQSVGDTPAIEDNNLNWFKKSGPTEKGKRLL KFFGRKKHDRPALELGQEVNDSDFAVSLGSKDESDNSDTSNPADSTSTRLSYTNSPTL PASSQNWFVKFFHIKPATRTIALNTSKSRARREVLKILREWRKYGMEDIYFDKQNSLI RGKVAELNFLRLRPVEFSAELFTVLEYGRHVSLSLMRLKQERGAASSFRKVVETLSVV LQQRKLLVEDSGKAKKMAKVLNLTVQ UREG_04495 MKCTLLISAAACTAAGFIVPGQNYMKPFIDSVPPTASERVPDNG DAENNIVSFTSFTEEISDNVELSSISALHHPQLQHQAGPEDDTQSYVDGLDYENWGLG NPSLFGHHGHCEHPDKTTYQLMSSKKETSLFANIISQFEDIVDLLNSTEKNHTVLAPR TQSLEKVVNCHPPKEYVKKFVQYHILEEPKTLSGIFHTRTIPTLLEQDELGDHAQRIS TQFGVTGLTINYISRIVKPNLCAKNGFVQVLDHFLLPPFQSSDTLSFIPSVFSSFDFG LMKTGLYKRINDTCNHTGGTFFAPTNMAFRKLGPRVNAFLFSRWGEKYLTALLEYHIA FNHTLYSDAYHHPKKDEDDSKTIHVDLPTLLEHHRLSVDIAGFNRFATMKINGFVTVA SSDVITRDGVIHVLNDVLIPPKHPRHSGNPHIPRSEVDDSPVPTVEDIIERLNPYIKD H UREG_04496 MAKDERKTVVVVGAGALGLSSALTLLKALPSSKYRILLVASYFS ADGPDPSFPSTAAGAHYRPIPATTPQLKFEARLANTTCNRFRNLAAEHPEYGIKFLEG REYVSGEAAPAYQALLPEYRQLDGFRVLNDDEKPTDVEFAARYETYTLDPDTYLFHLL RRYRLDGGEVKRAELKSLQEAFELEGHDVAIVVNCSGMGFGDPQVFIIRGGSPPSSGI LGLIADRFSGQTCLVANLCDKTITQQDADGGWSFVIPRPLGGGTIVGGTKQPNDWNSL PEASIRNNLLEKAAKLYPAMLNAEGKFDVIRDIVGRRPAREGGLRLEAETLPSSTSSK RTVVHAYGAGGRGYELSWGIAEEVLRMVTDILPQSLLSRL UREG_04497 MPPMKFRILISIIAASITAFFFWGIDRYDNAILIKVDPPVSQVA SANSIHSHSDTTNKTPDEMCHTDKTLVPAVSLGEWLIRKNYTRSYMRAKFHPANTKFR SLEPIEGRVLPPFRALDRGLKISNKSEPWPCPPIVDVSVAADPPIDSTNQLLFGLATT VERLDAILPSLLYSYGNTKASLLVLVPDNRNDLDTREAYFRNRGLDLSLRPSPLEFTA RYFGLVEAFMKFVDEERPHTKWVSFVDDDTFFPSLARIANKLATLDASKKHYIGALSE ASWQVNNFGRMAFGGAGVFVSKGLLEALQPVYRQCQDVGDQPGDQKLGQCIKQYGKTK LTTWDSLYQMDMQGNPDGVFESGKEINSLHHWNTWFKKDVAKMSTVAVAAGRHSVLRR WRFDETVETDHTGVQKRSFWVLTNGYSLVRYTMDVNLPTRAINFDHTEKTWGEDGKGY ENRLGPLRPEDQRGIQKDRWMLSDSMVVGNNVHQTYTRKGLEGHSVIELVWLGISIEK RWFNGDFKSTWRKGQAGAPRTGCHMHTSSTNSTQNKPSTSSSHIKPGPYNLERANPKG FTILYLHLPTEPSFLNLLPPSRMSSPAALDAWALPRLAKLLPLDDESLKQVIAYTASL PKQESATHLRNLLDDSAASLEFIAAFNSRRGGNDGSAEQTRTRGKGNNAGAAGRKSQE KKGSIHTPTAVRRPEGYGDVSGGYVKSQRGEEYIAGALAQVQISSSSAGLVTESVASR EERRESRPRLRRARLISEYLPNVKSKKEKAAATSSPTRGIICALEGLQPCSFCGTPLL STGEVQDIIRELRAERGGQKMRAHNESVHRDGGVPTPAFANVNDAKLEAAKAHRDKLL SFQAHNAQRTRVVDEAADFDMPTSASTQWMTPAQRALALKKQQRLMREMEERNRPEWE RRSVVMSLDIKKGKVVRTFERGEVPRTASGGDDDGIEDTEAGVETRGGWGRGCWIADE KERTEADVETGAG UREG_04498 MERQPLRPPFSPGAAPSAHGSISTAMDLLPTIARTPAHDAFLTE TDIDTYLHRDLDLSRLNKIHSHLWMAGRPMNSRPLHRQRMMGLEIFPTEQSDLHLLKF SNRILIKPLPAYIFSHDFWSKHLCASKELHESASGLLVSYIWLVCSPVDLRTAQDANL LPSTLDWPAWKSFVHDVFNHININALDTVNKRYHFGELRLSRVNTIYRVKFFFTHFIR GYLYGYNRYQVFFERNFGWILVVFVYFSLVLSAMQVALDVPGLGDNKDFVRATYGFVI FSIVIVAFFLGVVGVIFGIIFFYNMAAAIHDDRLKRLQRRKLASQTIADS UREG_04499 MSSSSDPPVIAAAKQIAAEFEYSSVDVNRGVKEFLSEMETGLTT DGSTLSQIPSYITSVPDGSEKGVYLAVDLGGTNIRVCSINLNGDSTFKMIQNKDAIPQ ELMVTKAAAELFGFIAEQIEHFLQEHHGERYASHVEKRKMGETDAQQEDVFDLGFTFS FPVFQTAINRGTLFRWTKGFDIPEAVGQDVCQLLQDAIDRRHLPVRVAALINDTVGTL MARSYCSQGRSKALIGAIFGTGTNGAYVEKLARVKKLENSAESAFDTTTGEMVINTEW GSFDNPLKVLPDTGHDQELDRISVNPGVQMFEKRVSGMFLGEILRCTIIHMTKNPSLE MCGGPAVIPPDSALYKNWGIDTKFLSTVEGDSTEDLQQVKKELKSDLGLDHISTTDCK AIKILTHAIGKRAARLSAVPLAAIIISSGRLATDEPIDIGVDGSLVEFYPGYEKYIRE AWREVPEIGETGEKRIQVGIAKDGSGVGAALGALVARQAERRKRGQ UREG_04500 MAPPGPALQAKKQQPKNGEKRKAPPAASAPSHSRKRAKIQDART LAVQSSEAALSKTGELDVSAFVAAREYEIRALEAGMRNARGALTSRAFQKVPRSLRRR TASHNVKRVPSRLRARAKREMIEDNTPTVTARRRKPTEQLRLRLETARRLQNLNARSK AKRAAKRAAVDGAAPATDAEHSTNIAPRVPKIKKNKLSHPAKPTSKYKKRQRGKTWLP THMFHAKRAHMTEPNAPLWRFAIPLTPTEKSYRPTHRANGARGAIAWDMSYMSTIGLE AVEASIAGLLKAVGVEGDAAWGNTGKKWRAGTRSLEAWLHERDGEKLPIAPVTLIWCA HDKTEDVEMVDASKPSSGKKLPKRKMLLRVHPSAFLQLWQELLKVSKIQKPPVLLEDL RFEIGSIELSGPGSTETLLAALSPVSLPEGTIRPSRCPEEVWQSLVGLTNPASLPRNA LLAFNISDPRLRHPPRTVEQSNSEESASDLARLLSSWPPDSTQSRPELFSRPCRLKAS RILPSQKAINKRKALAPPGEYPPSNPADPQIPVILLASRLGCPSVNSSVHGRWTILLP WKCVVPVWHSIMYYPLSSGGNPRFGGVYEQQQLAFEAGEAWFPGDFPGTQAGWTWEVR QRALRKAEWERKPKGKRVEYDSVNLGNDRRGEIGRGWASDWERLVGERTPKDSDDTAN KMAMDTDPKAQATATPKNGDAGPEESQTPPLGIHQLSPSAASYILPRKAKSERVSSPL LERPALATVKISLINRGTPTPRARIYRLPTIDPVLRSKWLSLLNNSSGSSQVAATTSL TWSKPTKKHNPQHNKQKLLAEGSTANSKSHPPPISLLPSSEEPQPHLPLPGEEDLIGF VTTGNYNLNAGKGTGIGAILANKLEAYFPRAPAAHEAEGAGHVQSNIPTVKIGKEKLS RLCIVRAAGEGVGRLGWWEV UREG_04501 MGAGGSKPAEASGGSKHVFRSETPVQFSQNLVESLQSSSEVVIT RVPPPPGFGLQPTPPEPKPSNSISKTASPKSSNTLADLEQRLVETTPSSTAADQGSIS LDAPRVPLAGSEPSGTAQETAKPDLSSKQVAQEIEALREKLAARRKVKEVDAGVEKAR EDVVNCLRGHEKRPLDCWSEVDTFKKEVARLEGEWVKKIVG UREG_04502 MKSKRSLMKFLRYLGQSNEEEGSSAEEGLDMTFPEFLHSRFQVP SDLFDPLRSLSLSPYPLSQTTAGYALPKIKRHLQSIGVFGPGFSSVLAKWGGGSEIAQ VACRACAVGGGVYALSRGIKSVETPKQHPLDGNVDLLNVHLSNGESVRTRFVVGSAWD ILADAQEAIFSASTKVSRSVMIVSSPLESLFPPTSDNGPVAAGTIVVVPGREIVKGDG IDEPPLYLLLHSSDTGECPSGQCVIYGSVLQQPDQGQPRIDAAVKQLLKAADPGSEIL WKMQFTHLGYLGSEGLSKDKFARKSSQILLLPPPCLDIEFNDCMIDQVRHVWKELMGA DADDKHFLVFEDREASEEPDS UREG_04503 MATDVVIDTTMGSITVELYNDHAPKTCKNFSTLAQRGYYNNVIF HRIIPNFMVQTGDPTGTGRGGSSIYGEKFEDEIHPSLKHTGAGILSMANSGPNTNGSQ FFITLAPTPWLDGKHTIFGRVKSGIRVLQRMGLVKTDTDDRPVDQVKILRARVVEEGS EE UREG_04504 MAIPTSFAEAFDSFLLGFNVTKPLIPTYVHLLISALFCIYIGAH ASLSRPSSAAKPAKKTCKSDKSEKEDDEDEDSNEEEGMIQKMEGLEASDAIMLPILSG LTLGGLYLLLKHFDPAVLNKVLNWYFSHAGLMFATAFVKDGFSVLRSLVFPEHYSSHG MFWRADQEKHVFAEVAGRGKSSENLSTRTSPLPGLLGAVGLPRCIRSPLWQVRGLLYQ KATLRTHIRSVLRFRARFTILDMLSIIIAIIVAGYAAFVTRPWWLINFLGFGFSYGAL QLLSPTTFATGSLILGSLFFYDIYFVFYTPMMVTVAQKLDLPIKLLFPRPPTSKEDPS LTALAMLGLGDIVVPGTVIGLALRFDLYLHYLRKLSPKGNAEKGADGRRKYTSATGGW GERLWTCVKPSLKLPEKEASYHEAKSFKKTYFNAGMTGYVLGMLATLVAMQISNHAQP ALLYLVPGVLSSIWITALVKGDISVMWNFSDGVDDEEDDESEKDGKNKEDENAQDQNV GSFRKLFNKIFGKAKAEPVTNKSRRNRTEGIKPKECKKSKEKENGSSIELVSFSISLP RKKTGQTSLHAPKLESATDPVSPASGSSTSSSPVLVDKVDDGPPKKRRA UREG_04505 MSPPFSRWEAISAGLTSRKVHGALESLAKRIDIPFTSMTTPSQI EASTQDPFNEASKYALGWVYFSIVLLVFTFVIRSYHIWGDKIRAALYKEELRTIDKAV ESDQEMVTPATANSTSSFFPVHGALPPPPKRDSSIVKIRWLNYTIAFVRWIFYRPLPV MRIWKLEIVPPPLAAIVIVSFALIFVTLYSFLPQPLFYKSIKDGSPPLAIRAGMIAVS LVPWIVALSMKANLISMLTGIGHERLNVLHRWAGYICMFLALVHMIPFYITPVWEDGA LELFRQFIKGDFYIYGSGLAALVPLGVLCLHSLPFLRNRMYELFVTMHAPIAMVFVGM MFWHCANFLTSWHYLFTTVAIWLVSYGIRLFYLNWTNPFRLSFLIGEESAVTILPENA VKVTIPTQMRWRPGQYVYLRMPGISVFENHPFTIASLCSDDFPSSYGEEYRDMTLVFR PFSGFTNKVLNTALIKGPYKTYRAFIDGPYGGMRRELAAFDHVVFFAGGSGITAIASQ LLDLIRRMRDRKALTRTVRVVWALKRPETMEWFKEELRICREYAPPGTVCCQFYITGS KRYTEQPTPSRPHSGAFHDKINDVFQGVASKRTSAFIREEAAGDEERENELRRENEDT IAALPSAYVPPQRSYGWNFPTSPVAEQENSANSMNFGFPSTPTLLQKNLMRFAFFPTQ KRGGWRTEYGRPDIPFMLRQYSKDFGRRTCVFVCGPPTAMASASKDDSNTKPTTTPTP FLSLSPAHLARLQPHTYVYAHLNPSPDSSRPSVRINGRTEFQFRPVTVNTGSLTHANG SAVVRIGDMTAVCAVRGEILSTNDIPAWKVSSGSTEDRNKKGDEGKEAEEEEDDSEIH TFNLLVPNLSLSTGCSPSILPGSAPTSLAQSLSHRLLSLLHSSRLIRASDLRIWSNPN PTQAQSQEQHEDAEMENTSVTSLPETPEVKGFWTLYIDVLIISMAGNPFDAAWAAIIA ALRDTRLPKAWWDIENEMVLCSDRVSDSRKLQLRGMPIPSSFAVFEADPVGDWRKVML PARNGKTKDQGQIKRWILADPDAFEESLCQERFCIMVDKDADKPEGMKILMMEKSGGF YIGKDEMTALAHLATGRWEEWRALLEGLG UREG_04506 MAGPDVENAGQLKDQTGTLAAVTRHILDDTIYNIIHDIVAKVHR EEKMARATSAVIAVRQLAEKDAHASGDAAEGQHSMAAPNGGGLNPAKVETDAAVYDGG RVYLKGNPLVTTKEILCPNCQLPRLLYPLFGEGARPPPDSNKQYCRKLPPVRMPGRDV HGNPFSVERITKKKKNQGTDNNTPASSPPATAEPSSAPNSFKLLPDKMYVPTTKCPNC PRYFLVTKSAQHLDRCLGITTRQSSRNRTPFDSAINTPSAPAPASRKRARDEEETGAV KKRKEMGMPVKFKTGKPAIPSKLKNGTTPGMVSPGSPTSVNSSKSAAKTKANKPNKDR DPK UREG_04507 MALLMSAADLFSVLGKRANRPEERQQTNHAISPGTTMAPHFFVS SDPPPVLPPPSTMASRRHSNNTNNRKLSSYFAPLRPPPVSAPQQPPSLPPPPRPSAAP KPAASPSNPALDLTPYSDSPRSYPTPHPQITIDPVKTAHIPSLMRITGLLLPIRYPTS FYSSTITDPLVASVSKVAVYHDHPVSGVNLPEKILAGAPLAVGNTEKVIGGIRCRLEP LPAAQSVGGRAAMNLYIQTLHLLSPYRGNGVAASLLYYLIYDGTFHKSQASSRAVSTL VKHYNIRTVTAHVHETNEDALQWYIARGFKVEGGTVEGYYRKLNPGGAKIVKLELNWV DNENVAPDTSPCSVQDTTVANDDDEEWEKVDAAEYPATERLEDYQQINRDGDLEESAN KRVKNS UREG_04508 MPDKLLIAYIIADALFLGGGAAMLAVALTSRDKIQSAPTLDNVA ERLLLSHCPQLGLIIWFLTLRTRSTLNVAWGNETPEVQSLLQQRFNCCGYLNSTSPPY QVDSTCPTDLDAAQKQGCVGPFSNFANSFLDVIFTADFGIVAIDAVLLLCIAMVLKDR KERARYRLIDAKNGFGTI UREG_04509 MGGSAVTRCLVTLGLMLSVTANTPAKPPNIVFVITDDQDSHMNS LEHMPNLQRYLVKEGTSFSNHFCTLALCCPSRVNLLTGKAAHNTNVTDVTPPFGGYPK FVANGFNDNYLPLWLQEAGYNTYYVGKFMNGLSTNNYNPGPKGWTGSDFLLGMTTYDY WGAVMSRNRGAPVDYTGQYSGDVVADKVLGFMDDAIQDDKPFFVAAAPVAPHGQVKPR PLWFDKPEYPERHAHLFKDYKIPRTPNFNPDTPSGAGWVAAMPRLNQTHIDYHDEYQR CRLRALQSVDEMVGQMVEKLEENGLLDNTYFMYTTDNGFHISQYRLPPGKTCGFDTDI RIPMIVRGPGVPKDQVSEAVSSHTDLAATIMTIAGQPRAGLDGAPMAFLQENPRKSEH VAIEFWGVGRTEGPFDPFYQNAFKLEDGNYKNNTYKSVRLIGDGYNLYYSVWCSHEQE YYDVSTDPSQIQNLATDPILASQHKIRGRPYDQIVNRLNALMMVVKSCSGNECVEPWK SLHPSGGVRNLKDALNKTYDDFYAQHPKVSFDSCEMWYIPEKEGPQEYNVYQASHPHR RRDVEYGGHWSYWV UREG_04510 METSQARFPDLHIVEPKGQHTHTAILLHGRGGHGPDFAKDLLTS TTSQGLDLASHFPTWRWVFPSAAVRWSVAFQVNLPAWFDVYTLADTNKRQDLQIQGLK ESSLHVLDVLEHEISLLGGQSEKVILGGLSQGMSAALWTLLCSPGRVKGRLGAFIGCC GYLPFTQHIETAIQDYRSKYASESTTPKCVTISAFLHGVLGCPPIESKPEEVEAVLST PVLLLHGIDDGIVKISLGRQACQLLREIGMEVLMREYFGAPNMGHWIQEPEGFDEIVT FLKSKIS UREG_04511 MADITAVADENPSPTQDDLVPGGNGAVENRGTKRPRLSTAEDDD DDEDKPGRERRKIEIKFIQDKSRRHITFSKRKAGIMKKVMLLLVVSETGLVYTFTTPK LQPLVTKAEGKNLIQSCLNAPEPTSAENGVEAPEVPAESTDDVAHASVSAQQAQMPRA GPMHAGYMTADQQQQMAYYQNLQQQQQQAQAGGQYPGMPVGGRIPQQHQSTA UREG_04512 MGQLGDLSPQGSIAIGVLVGLISTSLQAIGLTLQRKSHILEDEK YPYDIRRPPYKRTRWQLGMSMFVLSNIVGSTIQITTLPLPVLSALQASGLVFNTIFAT LILGEPFTRYSFGGTVLVCVGAVLIAIFGAIGEPAHTLDQLLELLSRPAFLRWVAGTA VIVVATLFGSRVLKGPSTSGRTSGWKFWKKSPKSYTAHHYHHHHSPRIKTLRGILYGA VSGILSAHSLLVAKTAVELLVRTILDRVNQFNRWQSWVILLGLVALALTQLYYMHRGL KLCSTSILYPFVFCIYNIIAILDGLIYFHQASRLSGLHAGLIALGTVILLSGVLCLSW RLEEAPGHPEPGPAPSALAPGLGILDEQATSPTYADFISPSDEESYAAERQPLLVQTP IHQRTPSRLYTSTFSRTSPRHRHSINLTNEAEQIWADLYDESNTINGKPPRSPTSLHR QHHHRHQRSSSGAASLPPNQSPPMPGRGGKSIKWKGVLQNGLGSRSRPHHTRNVWRRA STPVVVAEENPQGHRTVVHRDVGANASVRAGENILSASPGMTGGSETQGLFERSGDEQ SSARSTWLGRLFRRR UREG_04513 MSQSHALSDDQVAGELRKMTAFIKQEALEKAREIKIKADEEFAI EKSKLVRQETAAIDTLYEKKFKQAAMSQQITRSTLANKSRLRVLSAKQAVLDELFETA RKNLGGALEDVKKGTAKGKERREYGEILRGLILEGLYALNEDNIQVRARKKDYDAVKK AAEAATKEFKEKLGRDASVEIDESEPLPEES UREG_04514 MAKERPLANCLEAPAKTSNVPSQDNPPGVPSSPLSSCPSTLPQP FSSPVKPRTSRKQQSLLTTTSTAPSPLPDNVSSDCEPKPLAPSQPSSFGTSFASSQRV IKDGQVVVTGSDGEDTDSALSLGSDYDDLLQMFVGPKGQRSPEKRTASSKWGLGVIPT TPPKYKFSLENLVEHAADDRETEANISKLKLAFQGSSDAGKVNKTPKQRSRMFRDDIL ASAIGENTNTDTMQRLKIAVDRTEAVDQNPATRERALLSGLVGESLAGKTLPDEVFYW ILQAYRISTSIHPAHVEQMFRDLGARPDALSVQDIIFPDFRVFNRPKLRDYKYLLSAL DVINGLANKLNNEARDVALKITLRLTLDEAAMRDCLVSRATQQAITSLLGERGTLFTV SELNELALGLFHTINDVALQSQLLKHICPVTPEIALFRCHLAALFFFQGKAFLDEPTE PVSYLQRMTSRLRDDRFNMGRSSSSEKEPFDYWELAAITSILNIAIDSATFEDSSLDR EAEAKFNRAVDQLAEQVKITTSENFVVCAFERPRRRELGRDQKEREFDEQVPIPRKRW UREG_04515 MAVVQFGDDGEANISKPVRRMRWATQRHAGAGGLKKRVSILDRF HRRVGSKEEKRKSALNKGRESPGGSDLGENDERRIYFNTPISPEDKDEDGNLKANYAR NKIRTAKYTPLSFVPKNLWFQAHNIANVYFLFVIILSFFPIFGASNPVLGAVPLIAIL TITAIKDAIEDWRRTVLDNELNNSPIHRLLDWDNVNSTEDNISLWRRIKKASTRGVKR TWKLIQRLRNKEPPKTTEEAPDRRSSIATSRRNSLYSPRTSTQTTRTEEINGGIPMTP IPSPSLNRRQTESTTWGSEETTQPGDLSSLAAPNGNPASAAHLAPGALGLEGIPIAPR NYGSVVDKTKSTPGTARFGRDYWKNVRVGDFVRIYNEEQIPADVVILSTSDPDGACYV ETKNLDGETNLKVRQALHCGRAVKHARDCEKATFVIESEAPHANLYQYSGAIKWNQRD SKDPDAPTKEMVEPITINNILLRGCSLRNTEWILGVVVFTGLQSKIMLNSGVTPSKRA RLARDLNWNVIYNFILLFFMCLIAGIVQGVTWAQDNNSLHWFEFGSYGGSPPVDGLIT FWAGIILYQNLVPISLYISLEIVRTIQAIFIHSDNFMFYEKLQYPCTPKSWNISDDLG QIEYIFSDKTGTLTQNVMEFRKCTVNGVAYGEAYTEAQAGMQRREGINVEEVSKRAKE EIAKDRVSMLLQLRSIHDNPYLHDDELTFVSSHYVSDLAGEAGIEQQKATEHFMLALA LCHTVITERTPGDPPKIEFKAQSPDEAALVATARDCGFTVLGRVGDDIKLNVMGEERS YTVLNTLEFNSSRKRMSAIVRMPDGTIRLFCKGADSIIYSRLAPGEQQELRKKTAEHL EIFAREGLRTLCIAERILSEEEYQTWNKTHELAATALVDRDAKLEEVSSAIERQLTLL GGTAIEDRLQEGVPDTIALLAAAGIKLWVLTGDKVETAINIGFSCNLLTNDMELIVFN IDSDDPDSACNELDKHLADFGLTGSDEELAAARLHHEPPDATHAVIVDGDTLKLMLGP QLKQKFLLLCKQCRAVLCCRVSPAQKASVVQLVKNGLDIMALSIGDGANDVAMIQEAD VGVGIVGEEGRQAAMSSDYAIGQFRFLQRLVLVHGRWSYRRLGETIANFFYKTLVWTV SLFWYCIYNNFDLSYLYDYTYIVLINLAFTSLPVILMGILDQDVDDKVSLAVPQLYKR GIERKEWTQLKFWLYMLDGFYQSVICFYMTYLFYQPAQNVTENGLDLADRMRMGIFVG CSAVIASNTYILLNTYRWDWLSVLLNVISSLLIFFWTGVYSSVSSSGQFYKAASEVFG GLPFWAVTLLTVTICLAPRFAVKSFQKIYFPRDVDIIREQVVLGRFKHLEDYEAYVPP TAGTLALSNSNLDKPVEVTTTKRATDLPEDERPIYPPSVAPTANTHNPRSQNGSDGTG YTASLDLRHQPQHSLDRARQSSDFRHYPRHSVDRARQSFDMPARPSTERARTSFEASN DFTSAAMLARMESSHGRYDPQGHKSIPEH UREG_04516 MADLLRALGEIKALPDDLHLLCPRQDADDISPYKEREVDRERCK KKDEEACKRLAKIREAKEEARLRREKLLSCMPLLAFSEPELVEHQTWIWDRLQRSLES CELCIMEYYKAKSWLWETLREHYDESEVEKFGKMLDDWDIRRIIGHLDAASKILGGLD PSERSPRVLDRPILCSFFEALNCEALLKRDAEFRQHFDEPFKLVQTHQRLRIAEYVPG LTRFLFDADPLRNKWAVIAWEKISEPLAEEDFEQAVKEPLMSVLYQAICVPYQPDLVE RLWRGLYLVVQKCNEVIITHNLRALEIDICRVSVDHLEVPASCLRPLLNTIRILLEKA PKGFWDAMQTIPPQAILERIFHNPQYDRFLREAAEDEPFDVSALKDMLIFMGPFVSSL LDAHRPQACRFLIDQLLTRLQGPEFPDWVKYYCNQAGLSVILLLVRSFTDNASARSSV ATIVLSETLEIVTKQIDIIFNPPRFTIQGKSNDLTSLCADVVRNVLAFECQSLRADYE MILENKSMQQNVSTYTPLVWDQVVRHLHEGNVALSRAALIGLYPLPGLEKFPTRGEHN TEKTHYNTMYGHITHCFCQVLERLADFKPEHLDQLFQDSTTPLAPISALFSADLNTYQ AAVDLIKAVSGQSGRKEAISHLFQAFWAPTLYAFCWSYRRIAKMKTFASAPRMVKTGT DIIDVLCDTQTGILRTRKLADDTEIGSLQKLWEYQWAGLVTIFNETEAWHRTGVERNI MMEFCRDTMQFADLLFDQFPIFVTAIVESKARMSQSASEVLLKAPTRSLSGMVKWLRL KDEYLATTLGGLVVKLLRRLGELNVELPQDALDFIRAVAVTSEIKTILTQREKAELVR ALEAYYGEPSATAQAAPAVTKKQTKIMDFAKPVDKSAATTPKESTEDEFNDDIPDDYI LQLSSSVELNKARLAALPKKPEKRVPAAPKPIVKPLLAHNVQSFREKREKEREEKRRR DKLEADRRKKNLAFLGVAEQTMAQGSGLDSITANVIEPKPADSMMVSQTTSESESDDE DEGTLGQKAPTKPDAVRAYEESKKMRLQQRMPVKKIKVARSAKDMRARLSPDLTLLHK TLLSWDFFATGDLPPNCGRTDYTLVSNTFADALEYQRTFEPLLILEAWQGFQSDKEDG TFKAFEIKVANRVSVDNFVEVSTSMAPQTVKDFGLGEADIILLSKSSNPATDKQAPHC LARVSGLVKKRGQMEITFRVNPMNPLINAISPGASLYSIRISSLTPLEREYGALMALR YYDLSDEIIRAKPSPILNYSAEAVKNILNTYNLNLAQSKAVKSAIDNDAFTLIQGPPG SGKTKTIVALVGALLSNTLSEHRIAPPRPGDKTARSMAKKLLVCAPSNAAVDELVMRF KEGVKTLYGRSQNISVIRLGRSDAINANVLDVTLDELVNAKLSQAGQKNGNERDLQSL YTEHKETSNKFNEIRERLDQCRAKGQAVPNELDREFDLLKRKRAQLSQAIDSARDKNQ AAARNAELTRRKIQQEIIDGAHVICATLSGSGHDMFQTLSIEFETVIIDEAAQSIELS ALIPLKYGCSKCILVGDPKQLPPTVLSKEASRFQYEQSLFVRMQANHPQDVHLLDTQY RMHPEISRFPSTAFYDGRLQDGPDMARLRMRPWHNTSLLGPYRFFDVQGMHASAPKGH SLVNLAELRVAMRLYERLIADFPTYDFSGKIGIITPYKGQLRELKQQFANKYGNAIFK AVEFNTTDAFQGRECEVIIFSCVRASSHGIGFLADIRRMNVGLTRAKSSLWVLGNSQS LVRGEFWRGLVKDARERNLYTDGDVYKLLQTPQKLLEPNDIEMVDAPPDSIPGSDLPS RPASAMTGGTGGSRPSSRLSGSRFSVGNETPTNLSMDDTPSRSAMSTPVQPLDIPGGG GNGLNEKLACGYCGSLLHISQNCDNFEAKSISQGTCFRCRKEGHSKRDCTAIRCVECG MFGHSAEACKSTQVLSKSAKDRIMRDELQFKLLQKRNVERRKERQLGDHDPKVPKIQV APTVSTPGPHGSPQNGPNVTDIAGKRKRGSLDLPDTKGAKVPRSNRDGPVPNAPRGPK GKRIDPHSVAPPASDLVVPSKDRAGHRPIDAKTHDKLAGPGHNVNSSSVAQPAAPPKR VDRPPFRKKKPVDPFIRPKRR UREG_04517 MSQDVPILETHRCSALSQIVSGPKAPLKPPGLAADDSFSAGTRC PRSTAQAISLSKAPSDSSGRITGVFPTRELGATIRSSSWLGFAFLSSSGHFAFSVSPW SRGKDGENTCFRPVAAPSDTYICEKPHFKASVLLVSFDPQRPSALCAVVQEPERFPCI GTNPPESVSEAELGGSSLQFPGAHSSVSQLAFCINTPVSHRWFLVPFRSCDIEQWKPL LPGQERGKSARLLLWRHKPKFQLSLVLLAPIPIRPETLLIAVYPADPILRTASPGGME KSGPELLLSGAPFSPEAVKSKDICKYTPRSAGSVQRPQRSENLLELVRFFTDYNAPFP EDDVMGFGDGGVPDIWVPKELRKAKKWKSQEDLRRQKGWQKWRKNKPYTSTSGPDEKP PPPICKDPRVPELVLPASGSKSMIGDVACECFYAGVGTLPPTANLSDEMLQYPGNLTS MWTPPTPEMPLTPSSAEFSPAAESRDRKHAGCGNATYRREVESRSSAESKPDRHDDGG MLPQLHPSVYACMEAPEHPQPQPWQPGHILTASKGSVAANKGRETPSSKRDGVCVADS EQTQIALPDILSIAQQQSPYIDAQYLPQRTSSRRRRPQEQPDPHCLAQDYLHSGFQNS LSVSEPEASLLCMPTRKHPVRRPAPLILSPDYQLGGFVTTLPPTPATPSCNMGQAQGK ESPHSLSAPTKALPPTPTEPSSRNKSVGGKKPQKPASKVSSLWPPRLNLRPSRDIATK TEAMSPWRGNAFAQLSSLPSSPTVPLDPSTQLQEAERRASEVQQHTFSSRTNNMAATM GGLANSGAGDRRERDMGSKDDIEISPKSSLTRQRENTEPVRPLTSPNLRKDPGEPPNI PLPANPPKCTSRPDTPNKGHTMRSEQPQTVPNTPSADGRSFEQVLRPKTPNSARAKRS AAASPRNSQSSHYSRHADGHIPQSSCDTVDLTLTSRPVTPSLPSSDDEKGVYAYCGSA SRSSKSRRRHRHDNQSIDAFALSSPIQRRKDRDASESQSPFAASRPSTRESLERRRFG SPSRLSGSSGADSLLIQQLQEKVAGLERQNKMLRAALAAALDMGGRNNGDSTQAGKSA KADAILGPMLSTAGGVSQGVEAAFDIDNVECH UREG_04518 MTLKIATAVKSTASSCSTMSARSQPYTAHRPSLDSADPGNISFF QPNACRLSSRIAQRHPGVDQSPRTLRSPFEHGWLEADVARCPSTLEASFLPFLQPRSR RSSTLPSPSSYLRTGTAKAPNIQRKQSFSVTPKRTGNFLRRIFGLQVPQPRGHLNPDD LPPSGGFEEGQECNPFTVGRKLALKTSNEPRLRCTEFDENGNVTLVSEEFKKSELIQK YGLLPRDLRKIDSSVIPHILVRHSSILISLLHLRVLIKANRVLVFDAYGSADTYTQSL FMYDLEGKLRQKDPPAPRHAVASGALPYEFRALEAVLVSVTSGLETEFEGVREPVVRV LRALEEDIDRDKLRHLLIYSKRLGTFEQKARLVRDAIEDLLEADDDLTAMYLTERSNG VRRDEHDHQEIEMLLESYHKVCDEIVQASGNLVTNIRNTEEIVKAILDANRNSLMLLE LKVSIGTLGLAVGTLLSALYGMNLKNFLEESDLGFGAVSAVCFVSSAFVCIYGLMKLR KVQRVRMWGEGGTHDPYMGGLGLRGSPALPARRNWRSDSIDPAWTGLQIEGRSPRIRK VRGAAATAAQAGASSVSGAKRAAKSSPAQSRPSKAKKQELQPECRPEEFPEPESTVGS AAYSSSR UREG_04519 MPSILTDADKETVRRTVPKPSNKILDVAVARLYVAYPSPHKWTF TGLQGAAVIANDLVGHTFWIKLVDISPANRGVLWDQELYDGFSYNQDRTFFHTFELEE CLAGLSFADEKEAKTFLKKVSEREKHASKETKATPFSSVKGQGPAPVANGKGHHRFGL GSLLGHRSSSAPTPPPESIIPPKPAAPELPAAPVRQETPQEKTSPMDTVDPSWKGLLD ELLAMGITEEQIAENADFIKSYIESKQNGEVQQPVEAPSQEPARKVKAPPPLPTAPPP ASKMSSLSPQSTGGTSRRGAPPPPPPSRKLQADNTPPSRESPPASSKDEPSPARSRFK APPPIADAGKYAHINPPALPARNRAGSHNATLGPPPRPPKTQEDGPVRLPPPPPQFPS ESRSPAPPPPPSRGPVPLPPRRNVSPLQPPPLPPKTPHAPSPSLAPPPPPPRAAATPP PPPRTIQPRPPSTFTAPPPPPPIPQNSGPPPPPPPPPASAAPIPVAPPPPPLPPSYGA PPAPPPPPPPPSGAGGAPPAPPPPPPGGAPTPKAVPGKQDLMASIRATGGGGLRKVKD SEKRDRSAAMVPGGSTDTPTTPSAGPPAGGMMGAIQEALAKRKQKVSGSDDEKSDDEW UREG_04520 MPKNKGKGGKNRRRGKNENDNEKRELTFKEEGQEYAQVVKMLGN GRLEALCFDGEKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVILKYSADEARS LKAYGELPESAKINETDTYGHEGLDDNVEFDEDRESADEKEIDVDEI UREG_04521 MSSENQSPLVKLSLPLQYQQDVFQELRSEDELVILAPGLGLLRI VTNLLHTYDAAGNNLVLVVSANDRENEWLGEALAEHYAVSKTPLARGLKVINTDKAKV SARQQLYAQGGVLSVTSRILIVDLLSTLLDPETITGMVILHAEKVISTSLEAFIIRVY RQFNKTGFLKAFSDAPEPFTTGFAPLANMLRNMFLQKTSLWPRFQITVAESLEGRKKA EALEKVVSMTDKMRDIQNAVLECVEVSVRELKKSNPVLDIDDWNVDSALQKNFDVVIQ RQLDPNWHRVSVKTRQIVSDLAVLKNILHLLLTDDAISLLKYLDTVIAAHSPPPGYTK QTYSPWLYLEAAHVLLETARSRVYRGKLSTQAGLLGPHSSLPSALEPVLEEQPKWQVL AETLDEIERDLYLNPTPGDDSNHAILIMCSDRKACQQVREYLHTMHSRVQSENGGGSN TPEAMEPGPSAEFMMRRKLRDYINWKRDFAKVRNSLYGINAKRSEVKEVPGYTSVTTN RVPERGPPNKRRRVRGSSSTASNAGRTPNSSIQEKADDTTQVSTLLDEALQPTPAEVA EKEHVIVDDLQDMEDYYELFDMKDLIIIHAYDGDMDEHILEEARPRYIIMYEPNAAFI RRIEVYRSSHTNRDVRVFVMYYGDSVEEQQFLSAVRREKDAFTKLIKEKGSMAMSLTH DRSLEDPQEQFLRTVNTRIAGGGRLAATAAPPTVVVDVREFRSPLASLLHGHNMVLVP CQLTVGDYILTPDICVERKSVRDLISSLKNGRLYNQAETMLQHYKTPVLLIEFDQNKA FTFDAFTSSTNPSAFVTDNLTSFATPSFSFGNIINPTNPKSIQHLLVLLTLTFPRLKV IWSSSPHQTAEIFTELKKNNSEPDPIRAVQIGLDFDIASAIGDQSGSRSKEMMAVAGV EHRIFNQLPQDMLEAVLGTTPHGIECLMLKTNNIHEIANMELEDLTEIVGQQEAKAIF RFFRRSVFDAETGETI UREG_04522 MLVSLTVGKVDAGVAVLLTQDNRLIEFPSVLLPSDIASGSIVDI TVSRNIAAEVSSASAFQSLQKRILHTYGINAPSPPVLRLKNATQTSLVLEWDPINLAT ASLKSLSLYRNDSKAGSIPRPLEMRSTKISGLAIDTEYTFHLVLRTSAGVFSSQKLVC RTHKMTDLSGITVTPGVMPPEMRASLESAIERIGAKMVETIQIDTTHFVCVEKRGTGW EKAVEMNIPVVRPEWVDGCEREGTIVSVRGYYLDADPKLRQIGPGVGAHQNRQHAILN SSASSTKGQNRGSISQLPSHLKTENHEPPITPFPGGPQKRIINGPDSDDEPESPPPAP PKDDKHRGPSPESRRTADSDAPSANEEIQENGTNNAATNGNAAPKSPAPRSPEPQSDS DQGKPPESPSDEPGPLHDTDAKPKRNANGSEEGEMDEVPL UREG_04523 MSEIKGFETMFRLDGKVALITGGSRGLGLHTATAFLLAGARKVI ITARKAEGPQGINQAVEKLNKLPGVAGQAVGIPANVAKVEEIERLVTEVKKTEPKIDI LVANAGATWGGPFEPTPDWSTQKILDLNVRGVFNLVRLMTPLLEAAGSAADPSRVIVV SSIAGTRVSHVGDNGTIMYSASKAAAHHLARNLAVELGPRNITTNTVAPGFFPSKLAN GLIENLGGERTLSLEVPRGRLGQPEDIAGVMVFLCSPAGNYM UREG_04524 MVSVASLLQLAFAGLALIPLSAAAAVDTQGRCKFPSLIDATAEE LQDGLSQKCFTSVELVTAYLVRIREVNRQLHVVSDFNPDAHLIAVRLDSERRRGKIRG LQTTSGSYALYNAKLHEDATVAKKLRDAGAIILGKANLSQWANFRSLNSSSGWSAYGG QVTAAYYPQQDPSGSSSGSGVASDLGLAWATLGTETSGSVVGPASSNNVVGIKPTVGL TSRHLVVPISSHQDTIGPLARTVKDAAILLQAFAGRDKNDNYTSAIPFSRLPNYVSAC KPSALQGKRIGVPSNVLAVLAGSPAHKPVLDAFNSALSVMEEAGATIVRDANFTAYEE YVTSDAPYRVLLADFISDLAHYLSQLKVNPRNVHSLADVQRFTQSFPPEDYPDRDTGV WDFALELGTNNTSPEFWPYYQKSYAFGDEGGILGALKRHNLDAAVLPTDIAYDVPGLV GSPIISVPLGAFPEGQEIMHNPRGDLVAAAPGIPFGIGFMGKHWSEEELIGMAYAFEQ KTQVRGKLKRYIEPKTELRR UREG_04525 MAAGIWAMLANWWASYKQAFDLVSREFADDHPHAAVIGTDLSPI QPLSVPPNLSFEIDDCCDEWLYTPESFDFVHVRGLYGCVADWDEFYNQAFKCVKLRPP RALQKHVSIQTTYFLFPRHLKRGGYIEQLEQSVAPKSDDHSTDGTIMDEWGRVSLAVG DKFGKTLRIVDEAKAKMVAAGFEDVTEHRFKCPIGDWPVDARLKELGKVARLYWEEGV EDWSTMLLTRVMNFVPYILWPGGTDMFQAPSFMGGNPGEIELAFASSLWSNHRGTSQE VGVIFEI UREG_04526 MMTTSTYLVDAYTIHAASAMAANTLFRSVVGAVLPLAGLRMYDK LGLGWGNSLLAFIALALVPLPVIFYRYGERIRTSKRFQVSF UREG_04527 MVEPGIESRRKSTSSRLNDVEKSSDTFDVEIAVANVEDKFVNEA EAPRDPNIVDWDGPDDPQNPLNWTLRKKATIVSSIAVITFITYGFALDHLRISRKVSA I UREG_04528 MACCKGVETYAAAQVLYWLGHNGMAYVLDVFLADTSSLKNRGWL FAFSTSPYIATTFAGPAAAQAFYRFSSWRWAFGTFTILLPSASVPVVSIFIFSRRKAR SKGYLEKKSSGRTLLQSVQHYLVEFDVVGMILVIAAFALILLPLSMASYQNAKWKSPA IISMLVIGGCCLVAFVIWERFGARVCLAPFHLLVDGTVVGACLVTGTVFLSFYCWDMY FLSYLQVVHNQTIRNAGYIANIYSVGTCVWSIATGGIIRATGRFKHLALAAIPLQILG VGLMIFCRRPGTHVGFVILCQILIALSGGTLVICEQIAIMAAVSHSQVAVVLAMLGLF SSIGSAIGQAIAGAIWTHTLPKYLQIYLPESAKGKAMEIYASLPTQLSYPMGSPEREA IISAYAVGQQWMLVAGISVLPVAIVWVLLWRNIQLKDIEKDKGIVV UREG_04529 MTRPTQSVLLPPFMNSVMESLAFGLEGLVHIQHPTNQRAEITAI ILALETALTRYEKLDWSPRLDVKIYSDSKYAVNCMTIWVDKWFENGWINSAGYDVVNQ DLIKKAVDLEGRLLNLGKVTYFWIPRSDNVNADRCCNEELDKMESLIHWISPPRGNSR ARSMGNHDEAKAVEAAPDGSIPPPPYTPNQRHDKSLRCPVPMSQLSSLYRPFPATLTA YYQWKVTRVYHLGTSDNHKLFAVSVYAGISDKGPDRPCTVLHNGPSEKDHTLAVAGEP AVWDMKSALSSISLPPLTSTEPDQTTSPTATEAAVTPVHETMVPGVLDDGKTVIFRFA VEVGHPKTGGYRRETFEWRMLKEHELHDVKHGFKLVRSHAGAEAAPLGVLGDDDGEIE VVAVAGWKRMLALSKPFNLQFMGSGQTGELGDRFAVMVVITSLRLWYLDVQSRTTPSI AGA UREG_04530 MPLRAALQLMVQQRSIDHGGIVTARRMTPMVIDPLPTPHRNGLI AIGVTSLLSAITTVGLFVFITYRLIFWRKYHSAYIGFNQYIILIYNLLIADFQEALGF LLSVQWAAQNSITHDSFVCPVQGWLLQIGDPASGIFVLAIAIHTFLIVVMGRKMSHRV FVWFVVGLWIFCLALVLVPTALYGRKTFAPSGAWCWIDENYEATRLWGHYLWIFVAEF GSIAIYSILFVYLRRQVSSSPIMARGQKEHLRRLRRVTGYMVLYPLAYIVLSLPLAAG RMAMARGEKLSIVYFCVAGAFISSSGFVDVVMYALTRRALLLDSQPSNVDRAYSSGRY QPHSSHIATVTAEHRSSRMPHYNHHHHRRQESENPGYHSSMENIIQEESGLEMLGFGM GKVYQKTTIEITSEPLEKGPESPTAASSSGPSCDSANPMDSIPSPVRAWKLK UREG_04531 MQTDFLGVDVSDSELGQPGRGSSSRSCRAQPIFARQSTLGCDTV CCAFPHKRLAPTSFHCPHDTLANSRRPPANRIDGHPPATPKSRNPRRSLMPGTSLSGW SCVAGRPGDFAASTSLVRLVIAPRLTLFASTSNTGQTSTRHREHHRDTSGDDEPTENS TGRIQKTARRRRSSHGTSKRSSTASSTKRKTKHPDTARRSSSSISSLKNKQGSMGAPD PYAESLLSSRAGRPYPAFSKEHSKEAVRSRDNLGARIDILTPEPTDITVERTRDGRPA SRNKNEQSKRQSTATNPTRLPSPPLTNDENQPSRRSTPSVSRTAETKGTSAVKAGEEG KRPKSRPHSTRSSSSLKRTSLENAQKLGDKVHRPGTPSSKFSIFDAFQIPHRSATTSS RQKQQTKDERAKRSNKSQNTVSPTASVSEDETVLGPRTSTASGQRTSSPLHSRNKKSG GRSETPTGGRSTPVHASMTNGQAVPRAASVNPPPPPPPPDLPLMMPKVDYLLQNGGLN HHVPRNFLGAGESANMPQQAFDPSTIGTKLFEPFSNLLDSYGTVLSKRGSLAVATGYR SVARRLLDRLEAVFARDISQEVCECFMCLEYEASEEASGVSWGEVLELVSGRSDLPAW PPFQIHTEPDTVDLGKTAHVPMQKLDIDVPKEMREHYLLHSRKTKQAIDEWLSRQTPD STSPPDMVDDETLAFAMLTYLGNEQRNLFSKFLELPTSPPSPKPQTPKPRGRPEALVL AGSAIQRLYRLPSEPRDPDTALYLVNNPDMHHVLATLSAISNDEWDILISGRFDGFLR SGAEDNIPPTSATAPPFNSKSSSSRNGINGSRPASQPYGRRQGPASFGAPISVDEENE IATLAEIEREIYAGMEALEDAFEALHYKAEAIRTALRERGAGLSLANQARRGSPLVPG PNPKLPGFGSSVNGAECEMDDMIDDGLSSIAPSESASNISSVRRRRPKRRTERRTPSV VGEEDEGEHHLNVPRRTGTASSGRRR UREG_04532 MEGKATRRLLKGEITYSAAQDQEINILQRLAYPEQRTQFFASLH QQQGWMQAIVAHHLGLSSVKACRIADMKQWLHGSFNVCVPVTVTNPARFRLAKGQTEK QFMLRLPLPYRVGESYCPGNGDEKVRCESGTYAWLQQNAPEIPIPELYGFALSTGETF TAIDYLPLWKRWTFKLRCRILSWFGRALPSRYLRHQPSHGIAKAGHFDIGYVLIDYIQ ETTGRMLSATWEEQSSNDCLRTNLFRSLSRILLSITHVKLPRIGSFVIDDDGYLQLAN RPLSLELHELENEQIPTDIPRDFTYSTVESYILDMLRAHDNRVIHQPNAITDLEDYIG QTAALAAMRVTMSSFFDPALRRGPFILNFTDFNQSNIFVDEHWNITCLLDLEWICSQP IEMVQLPLWFTNKAIDRMAKEPDEYDKMRQEFVDILAMEEEEFLSKSSQRKHANDDQL RLSTVLNRGWIRGTFWYSLALGSPTGLFAIFYKQLQPRFLERCPKHDEFLATMPWYWR TDFIEVGMRKTKDKQDYDLRLRQAFEE UREG_04533 MGKAETNTKTSERPRPFASCLSDAKFLPLDLPELSGGLQPLFEH YGIPPDFIVERLHEVTQSSGSYVSPEGSYVSWIHTLCRSIPSGLKWVRRMPDSPSAVP QSLLGDQSSRLSWLRSAYVLRWDPAPRNAPREPEIENRASSASSSSSSSGSVTLICFG APFTVMERFRKQAASDTWTQAVEHPFQIWLIVLDELYRQMDAQAWNLADRFRGVEEGI LNSAKSTAWTRRRLHDDSTFDFVSLHNLAKYCMYLSESFDAMDLTHADLALQHEQYFD HLQAPQAPKLGRQDYHTDGQQCWTTKNKLRHNRGLFKSTRLRVASLEKRINNSINLSF NLVIQRDSKLMLQDSLRMDILATVTLIFLPVATVGV UREG_04534 MKAKHAALVAFLAVGSYALNLSETISDIPQLSNMSVYISQNSSL QSMFDTPDNITILAPDNAAFGNLVRVEPNGSVPLGNMSLISGILQYHIFKGAYKANDF TSKSKFISSFLNDTTFTNVTTGQVVRAVQENNTVHCISGLNDDAQFVNQSRTFDHGIL HIINQVLTLPQTVTYTALLANLGSFVGAINKGNNIDTVNLPKDATVFIPNNEGFRRVG SIFSNMSSDDIARIMSYHVVKDKIVYSVDMTNQSLSTEAGEDLHLSVINGSAFVNSAK IVSTDLLVNNGVAHVISDVLNPDNTTAKPEPKANEQPVAFGGASSVTTDPLTSGISSP TSTISLEPATPIGAITTTTSPTTTSSSTTSSSTTSTTSPTTSPTRGAAPIETANVGVA AILGIGAAIINV UREG_04535 MYHSGIGGGGFMLIRAPNNTHEFIDFRETAPAAAFQDMYKNNSD ASVLGGLASGVPGEVRGLEYLHKKYGLLPWHTVMQPAIQIARYGFPVTEDLVRYMDSA TKGKEDFLTNNPTWALDFAPNGTRLGLGDIITRRRYANTLETIAMNGADAFYSGAIAE TMINALQAQNGTMTLDDLKNYTVAVRDTAQIDYRGFKVTSTSAPSSGSILLSALNIVE GYDDFFAQGNVNLSTHRLDEAIRFAYGQRTHMGDPLFVDGLDEFQDKILNKSVSAKIR ANISDEHTQGIAAYDPSGFESLETPGTSHMSAIDRTGLAISFTTTVNLYFGSKLMVPE TGIIMNNEMNDFSIPGTDNAFGYRPSPSNYVRPGKRPLSSICPTIITHPNGTVYFVTG AAGGSTIITSTLHSIVNVLDRHMTAPQALAEPRFHDQLVPDEIEVEYSFDNSTLAFLQ DRKHNITWVELAASTVQSIRVLPNGTFEAAGEPRQRNSGGLAI UREG_04536 MAAPALETPQISQPHPREASRASEANETNRGGRRHRGRGRNRGG RASHGGNDRRGASAIVEHQSSDRSLRPRGRAGRAGDRGGRTAQHTNATRIGTGTGRRF AGQLTRPDTSEQSHDNSTQRITGSTGDETVSLRADAPEFVPGAPSCTAPQLRPKPSKA PKTKSSASDIATRTHEDIQNGFYECPICTSELGRRSKGRQWSAQEGVNKKILNRFLDS GGVLGATCHKRLCRLLIVAGAKRRSIRDHYLAYHHILVAKHAPSLGMDVHTRVIRFVM LDHVPLVRQWDQPKHVFADATNLRKDAWIQIMRKVGAVWRYVLMPSAIAGMRPRRYDV TKKEWRSKVNVELNWEKLLGRIRPGWVHLAVELYAIVYMTVVSIIVDSRVILRLEQCR TVLLLRMWFGIVSVENLSFPHYSESKHGPHIIVRNYVIRASAKRAPKLFSKKCHVIAA EQYFTLRSPAGLVLQHAISTASDLNRADIHKRPTAVTWTMKIAQSVRFWWKRSVFVDE HRRSNLAHSQTSNVPLRVLNLQNAVRIFVGSIAIDQEIARIRKYPAKNHVESASKRCH APFPCSESTLCQSRVTITCACGRMAEEKRCNATREKPRSPDEKLKCDDECARLQRNRG LASALNVDIDPTTTVSAGVQDSNPIPYSDETLDLYIQASSSSTLATLQGYEATFHSLA TQTTQKSTRFPPCRSQLRAFIHSLAADWGFESESFDPEPVRHVLAYKPPGWQSPGVAA TGQPKIGIRGLSISECIKLRDRDRMKEKEAKRAAAEKARREAEEEGTGWAATERRDEH GWSKVASKKKPQWWIDNDAPKAVPAAPTSQSRIGGSSFGSGRFGSLILKSGVGRGKEM GTSNSRPSSSDGLKKPAPVELEEVVDDWEEEIEKEEKEQEEGKRDKEGPSDIEEQPWD RSLEGESEPHIIETGEPVAK UREG_04537 MVSFQCEACGDVFTKKKLDPHRGQCRGASFSCLDCMVHFQGTEY RSHTGAGTNGLWAKGSSVRLAHGWMLVPASARMAVLDDSCALHGFGPLMICECATVAS RIRCYWAVLGRLLRPGWSSVFRQTCMSEAQKYQGALYKEKPPKGQKRKKTVTIAGNVA EKQPRNPYVEDASDVDDVRPGRDFPPPAPSPPPATSTTQGTSTRKEDKAVNVFEYLVP DGANASKVSLGGTREQMKMVSDAPKLFDAPKELARISDGREDDESIYDVAYEENGFSY GAGPIPPALYDQPSNVSMEFMTPGHRMRNRSRRDHPPSLELNRTNSEKKRKRTNPEHL VTPANQTKYVDDVAMMDAPSSMVVNAPTPALNHSGLTGGLSQLMRYSDSPDSLDYRSD HQAGEVYPHPVSPIKRTRRANKDQNGDNGLGISVKGRTGKLMEILGGGSGTTTVAGVS NDPTMKALLRTRRRTSPDAEKEQAIHTRKQKRHRVRQPDMVKPSKSKRKASGSPAANG DDADWDDYDDERPREIKAIEYNPNGDSETGASSKAGDPAAQLVVYKQGQNYSGELEPL KREKANLFLSLVNKGPDSERGCSIHKILKRFHRDQNLSVGSEEREPKDGRERGRGRRR NQGADKEKKDEEEQELWRMLRLRKNDRGEVVVFLQPDA UREG_04538 MRLGQACDLTAIHVSYHNSGRPKSPPIYWGISRARTGLKPFWRF LQSNTTYSVHTLPLKALQGGQNTVWNISKFVLVFGDVRGTTALLGITTDCSAVNPAPV HLFTPAQVVALSRTAKFRASAAWPRSYEFETRIEHGILGLHASSVLCLWHRVTWRSGA LKTVYSPLAGTQPSGSIIIN UREG_04539 MATRPTSRLFAITGIVVFFILTLTYFRQQAPLSPEARAPGHFGK PLPGAGGISDKMLQGNIVMPKLGNATAKAELGRASWRLLHTMMARFPEKPSKEEQDAL RSYIFLFARLYPWEGRADTGASFLISGECAEHFQQHLKKFPPQVSTRNAAAGWACHIH NEVNKMLKKEIFDCTKLGDFYDCGCSDKHGDAEKPKEINGKGEIPAARLNQLTNLGRE FDSSVLTPVEIHPEP UREG_04540 MAPTWACTLATCPIESSLFGYRPSLAANTFFLSLFGLCTLAQTF ELVLWRSHTFSIPIIIGGLCEVIGYVGRVMLYNNPFSQDGFLIQICCLTIGPAFYSAA IYFCIADIVKRFGPHASRLRPGQYAWIFIPCDIISLILQGAGGGVASMAVQSDGDPKP GTNVMVAGLVFQVCSLLAFILLTLEFVWRARATRSAANGAGWGTEKTSTRNRKLWLFG LPFSLAVLCIFIRCAFRVAELSNGWTGGLIKHENTFIGLEGAVIAVSAIALNIAHPKL LST UREG_04541 MRLQVQPLLDSDFPEMVPALWTSFENPFSGIIRAAAPLLNNDRA TSLAASVEVQLAQHKRDQPESTWIKVVDEDAAGKLVGAARWMVYDRNPHNDGEADVAD WWPEGSLGREYATKLFAQLDAPRAKMARRPHLFLNIAFTLPEYRGHGVGRMLVEWGTQ KADSLGVECWLDASPHGQPVYEKQGFVYIMDQYLAPEMDEENMSVEEKKELKWLRETM EPIHVTCMWRPKRGRYVEGVTVKPWEQCLESRS UREG_04542 MAVEIRFKRKKIREKINTCGVFDPTGEDANIIGSRSNDSCIDNP PRILACSGHMQTIAVMFVGILHGVTSRGLGQSRASSEAVLSPRAYPPSLTYKRRDWEP NDGLRWPGQPKLNDVQEDVIIKGRVRGMSQKADFPSEIYEIESSRRLEVLHPFSVRMA RSAGDFERAVETGIPVMLSTSIVYMY UREG_04543 MESESGGLRCFSEFDVSFPSPALPVLSELSFPNRSRTSAQARFS PAVEQLIFQGGFPQSLRFGSDGRQEGLLGKVDPNRNTRATNANTTIHGCDPLCYLTPA KQKKELLDEPKASSCSSPPLLFFHRSSSSVSHREPLPLARFINVVGIPSALSSTQFSP CSPVNRGPPVSRLHRLVSVIFRLAFLLGIPPVVTPFTLALTAVSITSLPPPSHPTMAA ISKLIIRDDVAHQLVKRANFASRNPGVILVFCIVGIVGIGLAALFIHRKWLARRAART GV UREG_04544 MASLRSTSSLLRAARPLFRPAVFSRTYATVESQTPDGLCICQLH NQRASSFGGCQIKTFQIYRWDPDQPSEKPRMQSYTVDLNKTGPMVLDALIRIKNEEDP TLTFRRSCREGICGSCAMNIDGVNTLACLCRIPTDTAKETRIYPLPHTYVVKDLVPDL THLYKQYKSIKPYLQRETKPEDGKEIRQSPADRKKLDGLYECILCFCCSTSCPSYWWN SEEYLGPAVLLQSYRWLVDSRDEKTAERRAALDNSMSVYRCHTIMNCTRTCPKGLNPG KAIAEIKKQLAFA UREG_04545 MAIPSPKNDSIETMVPRDIGNLQRLHAKQKIQTTSSEQRALIRT HRLSATVTASNHGPGNIDPRILVTRQGKMNLCEIAATALAVLQEREQGPSHSRMELCR IAALGLAAMEERERTPIDSRELRRIATLGLEAMEERERTPIDSRKLRRIATLGLAAME ERERTPIYSGELRRIATLGLAAMEARNRAPINSRELCRIASLSLAALGEYEEP UREG_04546 MTIPWARFQGCWKALDKPEEPNDGILQSCPINASLGSLKRVRWT QEVPICPQTVADPSLLGGYILGRLNCRAPDHPLNTQLPPRLTSPPNQHTLSLSFSVAY LPAVLFSTDILLCARPLNPLSSKPINSIPTSLPSQRRGHLFTASSGNPEIQPLNLHRL PGTSSPPPFGLRVKENFHFSSHIFHPSTDSSSTLIALACPQPFNSTCPLDSPSPPTVP ASSSPYPTAFLLSKTWPHPANHAAHSRTAKNSPSASSATALSAKAISAASTACSSLIP AAV UREG_04547 MEVEDGSSLPSEASSWTSYFCDSFKPACFEATWDIELQNLPLHA AVLSGDTAAAQALLDSRVFPVGEHIDLVNKHTDGAPIHAAIRKGDLAMLEILLDYGAF VHRRAPDEYGELSIPALTIAVRLGNADIVQALLDADADPVVTDRQTIDIAAEMGHTRV VEVLLRWSRSRDVPLDKNSALETAAREWQPETTWALLNDGIENNDAVNGALLSAVNPN AWGLNSVLPFYLRFDSAYRERQSAVMKMLLDAGADPNNQRRFMAGATMASTTGTAVGS DGQWSHPSTTPLHAASSAAGSADIIELLVENGANVDLEDDFGQTALYYGTLSNDIAVV RTLLEWGADVPGETPLHAAAHSEFEANITIMEKLLDLGADINAQKVNGWTPLMHAVDS NVEIKCQYLLARGADAELTTADKETALQRAIFHGRNASVVGALVDYGAKTVTADQSTA LHWAVESPNQSAVVRCLLDHGLSPNVRDGFGATPLINMMKMRGLHAEWEIKEEVIRLL VDRGADVNAVDYLGLTVKDWAESVGYSGFCWDLVWQPMNGMFSPDWLGLGPEFD UREG_04548 MAPPSDYAVPGGGAGRLKLKGIQDGRIEKKKKKKKAKANNPEQD QAKELLKPEDDEHSADERDARSRSRSAAAADQPADAGKTEAERRYEEARKKRLHERLK REGAKTHKERVEELNKYLSSLSEHHDMPRIGPG UREG_04549 MASPNQSSPVSHPTGSLDLSKKLSGLRINRRRQPQPSQASDPPT PNLPDRPCLTAFQFIRPVSRILSPQDLEAFHSSPAYTLILAFIFNVSDSVRQQSVPSV AKLPQSENIKKILSILSAIEDLIDKHPSLDQNGSRFGNPAFRGLFDDIAAHSAQWHTD ILGFEDSKAIEEVSTYLIHSLGSRSRLDFGSGHELNFMMWLLCLYLFGVIEKIDFPVI VCQVYIRYMKLMRHVQATYYLEPAGSHGVWGLDDYHFLPFLFGAAQLAGHPYITPLAI HNNVVLDEEGDNWIYLDQVRWVDSVKTVKGLRWHSPMLDDISGAKNWYKVEAGMKKMF IKEVLGKLPIMQHFLFGSLIPALPGMGERSEQAAQDNGADHSHGHAHSHQTDYWGDCC GIKVPSALAAGEEMRKRQGGTGLRPIPFD UREG_04550 MPADPMRPRTTDPTGSNNNTHDSPHLRRYRDSVTSIDSLSRSQK PDANPSRSSSSGFSSTSSSRNSPLHERAPIIAGTPPRNYQSTEDVHNYPPDSNDNRQR APQPPQPQAQQARAGSPAASMESHSTFGEGQSWYKRALDKYGSLELDNKGSVARDHLA LERTFLAWLRTSLAFASIGIAITQLFRLNTSIQRSPTSASANSNLAPPVISEEYQLND YNSIGFVEESRRLRSMGKPLGATFIGVAIVVLVMGFHRYFQGQYWVVRGKFPASRGSV AILAFIAGALMVSSLVVILAIAPGAVEA UREG_04551 MASMSFAPHSHWHQPSGPRGPSSERRNSATDISSSLPFNFVPQE SSPHNPPTHKRAPPPLPAFSFPQLPEPGPASAPLTTSSQYAGYNKRDSEQNGGETLNS VPSTLSTMPAQTLPNPGPGLSARGPGRRGHAHRRSAAISSVDLTAITKAFPPKPVNGS APTTPVDSKKYHNGNEDAASLSPCSFSNRNAAYTPPLTPRKSDEQLRLSPKLRPEPLE SAAEQRRPLSFVSSEDSMSTVRPRHSRSDSTVDSVGPKTLAGDTVTRPKTAGANFDLG RAQFGDSKDSLTERPRTASASMALSTDSVDVASKLPSGKKPLTARHPLYNSVLSEEIP SIPQRTVSNRKQSKKQKKMRSWAGILTRKGKKRGNKRPPSRRAPTPPPVLTRTNSAMS SLYGVDFDSDNTIVIRTPTDPNAPRRSLPAALKDDTLELDTSWKPQSFYDQGRELDMF SPVIDLDAALGPFNTPEMGSDRPVSGFSAATRRMYSGGRRGEFVGPEMRYHRRAESAP EMPPFDRSGLGLGRHSTAAMLNPDVFDEKEEDEFLAETNKPRQVEETPSHPMLSHMPS TKTEKTFVKHCSTSTIKFETSRNNAGLGIQIVDIADEFLSSNATTPQTIDSCPSTTVA GTPCTPHWDISGIPRNSKLDVSPRDTVEHLDTDPWSGQPDNSTNPTSPPSPLYHERCP SGPFGISQDISKAPLPLQPGVPITDSATPSPAPSMTSFDPPRLATPSSVTDRQATNSV YSGEPGSECFHNSVEDVPSLTSSASTMTGTIPRLSSGLHSKAAGDRCSSFSALNRPRP SSSHTTKRSSLVSLSRLVGVASAEKSKLSYEHKAPTDEVEKKKKKGNRISRLMHFWKS KEKEKQKDIQ UREG_04552 MESPLPQKTLEDRIIYLSRNDFGFNPNNMGAPVITDFGLAVPGE GRIHNHCIQPDGYRAPEVLLGAGWGYSADIWNLGLLLWDLLEDHGLFFDPQTPQYSDE RYLARIIAILGPPPPELLAQGKETSQYFDDEGKFKFTELVPDGLRLEDLLANVEGQEK TMFAKFIRRMICWKPEDRSTAKELFTDPWLDGTGLCSGLRISAPPKFVKTTWFSTGRQ RRFNIAESNKMSPQAEQSKRKENTKRVNGKRGKPSPNKSQPKKVAHNVPPPREQFLSS SLPTPLQQLILNIFKSALLPQQSSSEAQSLFASLTEHIQAIKAHLYQRDFVKAFAEAD EHALRAYALRWSAGRALGYAAVFRGLKELLFRDGHVHVLCVGGGAGAEIMALAGVWRA LWEEEQETSLSERVSGLDLEGAGVSDGQSCKERLVTSSFPKLNATAIDIADWSGVVAC LSDGMKSKLVPSMKDYPAPLLPDDGETERFAVKFAKEDVLGLSEDDLTHLLYPPINRE TVSTSTLVTLMFTLNELFSTSIPKTVSFLLRLTDILKAGAVLLIVDSPGSYSTVSLGS KDPAEVNESSQRKYPMRFLLEHTLLSVATEKWECMLCDESRWFRRDKDALVYDVGEGI GLEDMRYQIHIYRRL UREG_04553 MDNVKHQDNMNGFNWERAQQRRTAGLTNATGGRPKDRAIKKGGE QEKGTPHKFSRARSVWRQSALPTHATHSDNKRNTPDWRKKGAQTSQNKADGWIVGNNQ GSQRTEDSWASKDDIQGNKNTGDGWTMKDDNQGDKNTDNGWTASSSVQKPVTGNGLVV SDAKPTSLPKFHPIRADNRYRQQKSHPCGGSTNIKLSDLRAMQNGILKSAKITHCEYA GSYNWLDNSVPTILIPGAPPVWTPIRGPHQLKQDKGKYFRDQNSARWRKHPLEPAIRA VLDYNPKFDPEDIDVVTCAGILGSLFKFASSVPWTFGFDMEKVGKTVFIVRREKKPDE IIEGVYGYGHTFPEANTSWDTSVKNSVSHQRIIRYRFAGLNLLVRYEADGYFPNMVHK LDELFEVIGRDAKTSDVDSLVDATKKHQVTETRATEHSTLQIRQAGSIVPQEALFDIK TRHKDNEIDMLVQLPRLWARQMKNFVLAYHQNGFFGEKTIIQDLSTDIEKWEDANQST LSLVAVGLKRLITEINKSETSRLEGWRSRDGPLVLRAQDDESKSVLPADLTSKWEGTH SETEEEEDGPPSEDEWDFVDPKGKDLLLDY UREG_04554 MATPLTFVYRYAIPIAFGVSFAQASMYDVKGGTRAVIFDRLSGV QDKVVNEGTHFLVPWLQKSIIYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVQKL PKIYQSLGQDYDERVLPSIGNEVLKSIVAQFDAAELITQREAVSNRIRTDLMRRAQEF NIALEDVSITHMTFGKEFTRAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEGEAE SADIISKAVAKAGDGLIQIRRIEASREIAQTLSTNPNVTYLPGGEGGNGGGKSTSLLL GLRS UREG_04555 MAKDRSDKERKKEKKEKKRSEVDGIHKKSKKDKDKKKTTVVAEA IEKDLAEKALPLSNSVEDVQMEVDEQPKDGKRPVGSLVPFANPLADDKAAKKVLKGVK KAAVNKSLKRGVKEVVKAVRKSPMAAANVSITSPIGIVVLAADISPMDVISHIPVLCE DHGIPYVYVTSRAELGSAGATKRPTSVVMLLPQPGGKKKKETSKDDAEKQEEYSKVYE ELTKLVQKETSKVKV UREG_04556 MEPATIIPKLPVLPRAPESLRNVPVILATPALAAWAQAHNTLLP SIMSHIFRDALQMDKENAELYSISAVVDKLPIPNSASAQYLAPLEQQGYEGISLLLTD QDSLSGDIARPMRRRDVSMPEIEPTITYAVTHAQGDETVFTEIGIRVANTIFVTGKPR TMLASRWQRDKDTTSLKLKETKDLVICRIQSATRSPVVTPYIPLYPVTQPRKVVASMG NVLSQISTGNGSTKSGPASAELEKALPEYIEKHKLQNHKLAVWALVRPEGHTKPLSED EDYISNVSGAIQSGARLHRLMSGGGGWGKKQGLLSLDPEYSYQEEHSSGHRHPINELF LQIPQKPDINEFPSDPFPNLMEFNDGKLVTPLSEAAKPGDTVQFFVAPLDTGVPIHHS QNSKSAKKTAEDCIFGVIPSADESSFPSTDNQDDLQKSTQTLSNDLVVLHNYFGALSE KGITFTTVEKGIVKGRRSLEPPGTKIDVPGSRIVIGT UREG_04557 MSALGLRRCTKSHIVPIVMAGIIGIYGLVVSVLIANDLGQDKSL YTGFIQLGAGLSVGLAGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLY GLIVALLMNSRATQGVTC UREG_04558 MLSSSICRIARTPTVAIPAVTPRPSTIALASSATNLAAPRTHHR RYSSSKPPVPPSDGSRGIDAPSQAPAKSVSPTKKEDAEKRTKRRAKESKSKPETSLNL PSVPSTQHLHPQDIHVASFFSIHRPMSVTTTVPPASTSELFNSIFSSKKQSKARQNDV IYTIASAVNVIENAIPKGPHSTEANDLRAAVTQASTSNAEPDVTHLDGVPMQDLRISV QEFAKRLRPFNPPPPPVPMDEAEQAKAMEAEAEAAETEQSYSTVLTIRESSHADGSRS YEAFTTPFVRVEEMEAPSGVETETMGEQHIPESARLPSRFLDRMRIRQLRWETFMERR RPTMHAISVKRQRKLKMKKHKHKKLMRKTRTLRRKLDKT UREG_04559 MGCSGSKELSPDERQAIKQNATIDKMIRMDKKTHDRTVKILLLG AGESGKSTIIKQMRIIHAGGFPEDERRQTRAVIYSNIIVAFKILINIMEAEGIGFEKP ATQDFANFIEGTEADVESDEAFTDLKVREAMKGMWDDSGVQKAVAKGHEFALHDNLDY HFADKTSSQSYFNSLDRIFTPGWLPNNQDMLHSRLRTTGITETLFELGQINFRMMDVG GQRSERKKWIHCFEGVQCLLFMVALSGYDQCLVEDQTANQMHEAMMLFESLVNGDWFK RKPVILFLNKIDLFKQKLPYSPVSKHFPEFKGPDGDYEAAEKFFSVRFRGMTRMPERE IYIHPTTATDTTLLKATMNSVQDMIIQKNLNSLVL UREG_04560 MQFSRWTMVLPGPAFCQPMSPCFWLELAPEEYRLEALALIPVVS EGQGAECNPLGWAAGVQSACIVRSTVQQEKERHRRRLASGFCGENNPTLWNTPYGGFR FSGRVSAGASKQSPKWPLLA UREG_04561 MCDVHFNTTKYRCGHTMVGPKDRPCGQGRPGPWSSIHPGLKHAR SKFAVNVAKDDLLISDSSPSPRTRLLEGTQFATPRYQNSSFIPSFEYHSSLITIVSNH YEV UREG_04562 MDYGFENLPQSSIEASAFEPLATDAFETPTESSSSPSLDVSELK ELFELSPPMNDATASYYSMEGMIPVEYVGSTSLDERDRCRRQSNSHKAPENLSSMHLR RRAQNRASQRAFRERKEKHVKALEDQLQCLHEQHQALLYSYTRQSEEVGRLKDVIKEL MSELELLKTANNIAFSGIGSPDRPGVFDIPGQYPSTSYPHLSSPHYFTIPSSQSTR UREG_04563 MTEITHPTIKDGWFSEISEMWPGQAMTLRVNQILHHEKSKYQDV LVFESSDHGTVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVLR EVVKHESVEEAVLCDIDEAVIRVSKKYLPGMSLGFQHPNVKVHIGDGFKFLEERKNEF DVIITDSSDPEGPAEVLFQKPYFELLHGSLREGGVISTQGSENQWLHIKLITDLKKLM KEVFPVVEYAYTTIPTYPSGQIGFLVACKDASRNIREPVRSWTREEEDKLCRYYNQDI HRASFVLPSFARKALE UREG_04564 MATLISRLSTTPVELTLWDILCLIGVAFSLEIAFILIKRMDTWL NEPAVASPAAAVPVPVTVASGAMNNTACSCFSCPRHSAILASYFANS UREG_04565 MPGILPMKVIKVGNSLQSRVAQACDRCRSKKIRCDGIRPCCSQC ANVGFECKTSDKLSRRAFPRGYTESLEERVRSLEAEVRELKSLLDEKDEKLEMISQLH SISPTSRKVPLRSTNPSVVDNADDAFENKLERSGRQPLKISMDAPIQTLSVQSWPPQD SRQRIPPLVLSEQLLNVFFQEWAPLYPVVHRPTILSIYSEYTKHPQTVENDKFAVAQL NLIFGIAAASSRDRFPQDPIHFERNWISTLESLSDDISLSTLQCYVLAQIYYSLKADY KSLLRYRSLAVAICLQLGLHQSQERFAFNTLLGELRKRVFWCQYSLDRFSAALTGLPV SIAESDICTEYPADIDDENVNEAGFVPAPSQGSTRISSALALFSASRILNKALEQLYP SPTGYEISLPVIHSLAEELDDWLKELPPHLRLEFIQDKPSTGVTSSRSPVLSIVYYFI RGLIRRPAVCYGKPEIVPPSMLTLVDSAKHIIQLLKLLEERRMSVSVCISKRELIFLS SLGLLWQNMDLPPDSKLAREGQRLLNVAMTLLESESAEVATELGKFVNSLSPDEAQRQ LTGENLQFTNETPSSPIKGVSRDTLLQPMRTRFSFSSESDNSTCSNNNGRPNFMVPSP ELSRSVRSSSSHSDSSELADRFKPHRSETSTTDLGMDYLHLDGDRKQSPSTFNRETGL SDWEHVLSDMDNGHANIYNGIYGGSECGETPAAFAALASSYQRPGQIPQHHTPPVVPS LPPQNGWTSTGWPSTNNQGHMPSKHGEVSSTQAPMSDAYEAIMISNLDAIDENGADFA IPDSWIGQCVM UREG_04566 MKHQVLSIDALSAWRRLNGVSFDGVEVRRLHAEDAVDKGLAVVA THNRVAKEFIPGGNAGAAEPEILMRIPGDLVLSLDLIDTYAKSDRYLREVLDAVGEFG KSARGAILVYLLLLLTHLHNNSSNERKSHVGVSSAWTGYIQFLPKSYPLPTFYTDGEL EILQGTSLKPALESKLDSLEREFEQLRQFTADIPWCKENWWDGETGQLTFHDWKTVDA MYRSRALDIPEIGHAMVPCVDMANHASGDETNAIYEVDGNGNVVLQLRYGRSLGEGDE VTITSVICPAISQAPSDLTWCRYGDEKGAAEMIFSYGFIEGAITDARQMFLELEIPDD DPLKPAKMVVCDEAPGVRLFATIDPEQRTEISWESPFVWWACVNEEDGLGFEIVQSNN GEGEIKAAWKGREIQTSEKLRDILQDEPLWDVYRLRATVIVQDRVATQLASLRESNES ILDWRDKVNGTTIRPLVWNTITNLRELEGEFLTRASDILEDKKIALANSSVVQHYLQG GPGGDITEDFS UREG_04567 MDSQCVSWLSALKQNPQYNQKAVEALQPYIQGTVSASDAARALI DLHDTSVEDLWAILLGLATDIPSASGSIIGLLNAIFNAPNKYQARSLSDNDVETSFSW EWREVHDSLWSDFTSIESTSDPAVQPWINYNIFSAQCVSNGIVGSHWALHHIVEGLEK DLSDPLPPQQEVNMLVAQQYFVHSANYLLQNPPRKADGSYETSWGNYSSHRLSNEGLT KDRWNFWKERWDSARRVESASETLVGGSARALEAMDEAERGMDW UREG_04568 MKFHLLSAAVTAFCLSLGSLAINVPVNPNPFIHQTVFTVPNNGI ICGMFPRGLSTPVFALQFQLTAENFVATSNPNSRQPKRYNHYPADPVTFGGRCTGTGR GGQDQLYEYPIYANADFQAGMAARANRIIVRRNLRQGENQNDATFCGLITHNGVPGNA FRDC UREG_04569 MYQPVAFSVRELFSDATTDHAWPVQVDFDFAAERNALEPCPPHS TSIQMKTKPNLLEMQKPQDYRLLGFEAPARLIFCATPDAVVIVGYLHPKYQRSTRG UREG_04570 MISSPSGFVNSSFAVGHNVVKSILFRIAGIAVQIGVFKLFSLLA STRSAFTAYLMFTEDYIQRLLFIFSRGFSHHAALVLFLTIFLLGAGLYDTFLWGLDSP GYIATETNFTAASFQHRMSKRPGYVVFSSTRPGEVDGLEKHFVGLMNGNLFDSNLNFT LTGEVDLGTPEPVPPTREFEPELGPGPRIWLDDEGFSVSPDTYITVGGYLPSNNLTSY SCPWRPGINESAVWECSFDNAFAGIFLRETMVGKPEIHWDDETDKRYLSVYLSPNRED NPWAVLGMGGDTAMMKQMFTVTKGRRRHTFLDTALKISSVYDYYAPFPFDEVHDIIKR SWNVDPALTNDPTITQITEKLQIAKADNSSMHLGSVVKIGNSVVQVNFEWLNPEGVPG KVLFSVLRISAVNITLVRSETLPEPVKPFEKCDRFYYNEATGGETYGTNCYKEYIANT TGARFFGEMDASSVLIVSGTLGDGGSNISSKAFNQEAFEWLAKNERKLDDLVLSRGYL MSVDPKLVTLKISTSQPAMSYLQVLLVILPIVLAAIIWGLLWYFAASHYSSSLLANLY ATTNIGHTNTSADPQYMHKIPDIDLIRKDQQVLMATSTGVFVHAEDSESNVASVNVVN QKLDDPKAPYAPNNNSYHYQSPALLPG UREG_04571 MSGAGDTFHTTREQLRKDESKTSGFHGGNIPADSDVSQMKSIID SKTDKQADIDRRKANLPLPDQPQGESGLVSANMKTTGEGSGAVSSSAGTGEEASALRQ PATAESSVRTSGEEMKTHTVPFENVGRQGKEGLEDLPKDAKAR UREG_04572 MDVEGGKSTLANQNTSGAKVLRSAQNAEQRLESEDTSRKPSRTQ ATTTGSPAATSGGHADVAPSYIDPGLGPGTQKPKGKNLKEGGFDDDPSQNVSFGTDIG TEKDPGRLAENKFAGKNAENPQEAALPSGGKGSSKKGEQPYGILQPEEEA UREG_04573 MAFTASDICKIIFAIVLPPLGVFLERGCGADLLINICLTILGYI PGIIHALLVSFFCSH UREG_04574 MRLLFQKVIIHSSLAFSSAAVVSRAGLPLVQDVVPECSFPCLQK FISTNYPTSVCADTKGLGCLCTQRSLAGYTIGEAALQCVLTSCPSPQGKELQAYGLCE SVHAAIPNTHSILAGTITVSQIVTPSHIPPMETSRMGAPLSSSMKSTAHDTTDTNFTS TTSATLSREPTQTVHTSRQGDVVVGSSMPSEPYPSLPLETRNAASSDSLSPNQIIGIS VGSGAACLFGLGLLLIFFLRRRKRQKSERQKSEPFEIGGTMAEPSPRPYYFDMPRIPS TPIGAYNRSTFEPLTSIPGLRLHRASSKRSRTPSDQLDLDDIELESPSSMRTVSRLLP DKPDFDLYGRLHPITEKLASARPLSAVTIFEEDPDYRKSGSDDRRSCVRMTYDSTSRA DMNNQSFISPVTGNVQGSGHSYLSPELTTHQNPVRSNPSSGRSSVLMNGTAPAQTGKE HFNARESCINAGSSSSVYSSPRPQSGDHTEPRNSDHWPHTSGIRDSRASSITSFETVA SDDDRTNPPKRTTKQLSPVKENASRSPTPSSYLQVPPPINYSRSLRGRNQWHSRSGSD RVKYTYRTPAPGHPGNITFEQNPYHYLKKPVPAATSNGTMEPNGGSAGRFPPSAGSRA YHSPPLQEPSQGLSDMDGDHKGRRGSLWERKLDTSEREIKLSLRTT UREG_04575 MTTTDYRSHSTSGGHVRRLSNVEVSRSDLIGGPNVIVPPKHLMA KSDAYETAKAMELAKHHLEEDISSADTTPRAASPVSAQALTTTDRYAFAFDIDGVLVR GGKAIPSAIQALKVLNGENEYGIKVPYIFVTNGGGKTEEERCLDLSRQLEYEVSPGQF ICGHTPMREMAEKYNTVLVVGGEGEKCRHVAEGYGFKDVVTPGDIIKFNRHTTPFREL TEEELRNSRTRDFSDVTIEAIFVFADSRDWAGDQQIILDLSMSKGGKVGTRSETFDEG PPVYFSHNDIVWSTSHEHTRIGMGALRASTEALFKAVTGNELKTIAFGKPQLGTFQFA TRLLQQWRKETHGINSPPATVYFVGDTPESDIRGTNEFNESNLCENHWYSILVKTGVF QEGTIPRFPPKKVADDVLEAVKFGMKREFLNALKDSTVSAMEPKIDRTIKEE UREG_04576 MSCLPLIRCSATAVTPMERQGTPGRHALDSHLHRHPSQAKHPTT QPLPARGRMGSGQRNSSPTNSANNASVAATQHMRLFRWLNKIEFSGDPGFSTSESHLE LWAFIVSPLPHAPSSSSL UREG_04577 MPAILFRASAVAFAALIACTDASKLLGFENKRNIIPNSYIVALK EDVPEHDFDAHMAWVSNVHSASVAAAGSASTSGVKFTFKINGWKGYSGSFDDNTLNEL LANENVDYIEPDRLSRIASVESQALVTQRNAPTWGLGRISHKQRGSRDYVYDDSAGEG VVVYSIDTGIDITHPDFEGRAFWGINTVDNIDRDGHGHGTHTSSTIAGKTYGVAKKAK IFAAKVYDSRGIGPDSATLKAIEWAIDHAQKNNHTGKAAMNLSLVTDSPRAVNAVCTR AVEAGIFVAVAAGNDNRAVTNESPASADKVCTAGATAESDSKASFSNYGRLVALYAPG QSIRAAVPGNRSGIKSGTSMAAPHVCGVGAALMSLENIRPQDVCNRLKALAHPSVRNP GPNTTNKLLYNNSGR UREG_04578 MLHQLEIPNHRAKFLDSVYKRRREIQDIAAHHLGISNPRDIAIG YREGWIHGSFNLCLPVVVDRWEKQSRKIFVFRVPLPYKCGELHFPGNAEEKVRNEVST YIWMQENCPEVPIPQLWGFGFGDGHTFTTPKTSPILPWLFQAISRIVRKYLGYPAPSA YVRRKRRCRFDHGYMLLDHISENEGKMLSSSWSESHGDDTKRRTLFRDISRIMLSMAR VPMARIGSFTIDNRGFITLTNRPLTCRLHVLEAEGIPTNIGRRQTYSMVGPYIQDLLD YHNSRIYHQPNSILDEDDGYNQMAALSLMQSVSRHFFQRKYRQGPFFFTLTDCHQSNI FVDENWNIRYLIDLEWACSLPVECFHPPWWLSGDRGVDEIVDDALHRFAERHKEFMQV FEEEALAKYGSWENRGKDNDVYLGLSSIMKQSIEVGNIFYCYALESTKGMYNIFLQHI NSRFSKDPQSLPKEADLDDVGWLYLSRAVAPYWDPKAHQILAAKLDEKKVYEKQIRVL FEEADLPSRDGPPLSVATAGNDDNVENRNRSDVS UREG_04579 MGYTALDQLAINTIRVLAVDATSKANSGHPGAPMGLAPTAHVLF NKFMSFNPKNPKWINRDRFVLSNGHGCMLQYALLHLFGYAVSLDDLKNFRQIDSITPG HPESHDTPGVEVTTGPLGQGFANAVGLAIAQKHTAAVFNKPGYDLVNNHTYCIFGDGC AMEGVASEAASQAGHLQLGNLICLYDDNHISIDGDTKLAFTEDVMKRFESYGWHTLHV KDGDHDLEGIEAAIREAKKVTDKPSVIKITTTIGFGSKLQGTGGVHGNPLKADDAQNV KKIFGFNPEQSFVVPQEVYDLYHKHSAEGAAREQEWNNLFQKYKSEYPEQYADFSRRL TGNLPEGWEKNLPTYKPSDPPVASRKLSEAVLEKIHDAIPEFVSGSADLTGSNNTRWK NATDFQPPNLGIGDWTGRYFRYGVREHGMAAIMNGMAAYGTLIPASGTFLNFVSYAAG AVRLSSLSQVRVIYVATHDSIGLGEDGPTHQPIETLAHFRALPNMMVWRPADGNETSA AYYSALTSKSTPSILALTRQNLPHLEGSSIQKALRGGYMALEAENADITIVSTGSEVS LCIDAAKYLKEKHGVTARVVSLPCFEIFDAQPKEYRLQVLPDGIPSLSVEVMSTMGWE RYSHEQFGLNRFGMSGPYKEVYKKFEFTPEGISKRAVATIDFYKGHKVRSPINRAFQQ II UREG_04580 MFNPAGLFKEIPCPEGIGCKLLNCMFAHSDKDTLSITKTESKDA PVTTGANIANLNEEPLLKRRRIDADCNESQSRDKAERHKHNKSNNTPRSSGPVTEHQV SSNGNQGLKSASKAVSPPLIHRSISPEFKQETKRRPPGEGATDSTVASISTLRRQVKK ETLNPRHLSKPPVSHSIRSAILTKLHEEMVRLNAQLVALNEQAKRALILSEDELIVRA LDEEEKAAKETPSVYSNVIKLRITRLKKMKLSEWKEDVLNYLRPKCTQSQPVKDPEQP IVTGLDVQGEIAMLSKFFASAEAQVKAGYITEAPSKEDIENATTGSLMAQGWEQCDRC NGRFQVFPGRREDGLLASGGPCTYHHARLIRPPRKKTDHIVGQTEAYFPCCNETVGTS TGCTKAESHVYKVTEVKRLAAILQFEKTPRQPEKGALPPVCFDCEMGYTTLGLELIRL TAITWPERKKLVDVLVRPMGEILDLNSRYSGVRPEHFANAIPYKPDQVLASSNSTSPP DPTVLPIVESPAAARALLFEHLQPETPIIGHAIDNDLNACRMIHPTIVDTVLLYPHPG GLPFRFGLRALAKKQEGAAQGHDSMEDAKATGDLVRVKVRETWTRLKPLGWMIKGGKL IEPQPTKTNIKPFSTGLGVLGAGAGTKRTIGDDDDKS UREG_04581 MAPATARKQQKVTKKYVINCSQPANDKIFDVAAFEKFLHDRIKV EGRVGNLGDSVQISRSGDGKIEVVTHIPFSGRYLKYLTKKFLKKQQLRDWLRVVSTTK GVYELRFYNIVNDEAEEDED UREG_04582 MTSATTFYDFETPDKKGEPFTLSSLQGKVVLVVNTASKCGFTPQ FKSLEALHQKITAAHPDKFTVLGFPCNQFGSQDPGTNDEIQTFCQTNYGVTFPVLGKV DVNGDRASPVFDWMKKEMPGLMGLKRVKWNFEKFLISADGKVVGRWASTTKPESLEGQ IVKEIEKAEKTGKTSSL UREG_04583 MIDQSIFENLQLKIDEESKIRDELQDIVQALARRGRNTQAVLSR AHYTPEAKLSPVLDEGSKEIKAQKEDVARLIAIASKHPFYKFNHMWSRELQNLVFSIL FCSWLGGLKDVQEGERGFLTIEEVGKFLEMPVNLKDQDAFHLTIEEYLLALINLVEEL TRLAVNSVTLGDYSRPLKISAFVSEILAGFQLLNLKNDILRKKSDGIKYSVCSQAYHV KKIEDVVYDLSLRNLIPKTSESS UREG_04584 MTKVLASRQSGTKFPLDGYDITKVPDETPVELFNTAPLLHDYQG TRIVRLSRTLVLKGGELARPCEAEIMKLVHAKTSIPVPKVHRVLKIKTQDTFFGCQCY FVMDFIEGDTIQDCWEDLSQAQREDVVSQVAAMFIALQSAQVPQQPGPVDCQLCKAKG CWFSDIGGGPFRDITAMEDWFNRKLEICQSFKQAPDAVPPFCFDKLVLTHQDIALRNL ILDPEGKVWLIDWGDAGIYPEGFEYAAIAARRWTAVLFTDMLFERIPKYLEMEWQLSQ IMYALTTGQWID UREG_04585 MTESTTLKSSRRKRPSFSPVKPRVAALDFHEFSLLDEQGSTPSL GDECHRLLFQYLQDNYGVEDMWVMPPCIILRCPKRPDPSEKPFAIAGCLAFWLGMEDD IPSIRPGFSGGETETSEWLKIDQNLASDLKPFKLLQPETLSALLVQYFPTAQAISFIA DTVIVEYAETDDDSWNEKLKSLPSDFMNTSVQLHFTNGLLANAEWKGKITSKPAVLKD MVSDDFDYVAEMGGFYPGAMLCSNNDDAITAGILVEKGGEVRLTVAFQCWEEEYRNHP DQKLYCVGVRVLGPKRSNDFLRDHQASPPDGQNVIMGQGIYATNDPVIVGSPQLRAGI CGSVLVRLRRAGENQTCVESGEICGIMHWAELAMKYSTEAKFLCFADPMDPLIDDGWN CVIPPPKREEMEEENGPSKKKQAQ UREG_04586 MLQNTLFLYFSLTQFQRAQIAALADIVRHCALQATQSGETVSNL NNNYSPFIISASTSSTTSDTNSNNNNDDDDDESITNKSGVSSFCKKSHAVSEYSDSGA VISDNIQSQTVAAVVVIVLVQHETCDFASEQHRLCEFSPDTEGILKDFMEQ UREG_04587 MAAAMLNTINASFKQKTQNNHTIYKQITALLTLLAEDLNKLFNL LNDQTLQIQCTMQSEILIISQLQITNPVSLQHLCNVCRGVAEMFLKKHLSIFKW UREG_04588 MASIDPEFPYSLTISLPLPNHRLASSALRALQVDAELSPLVCRT LRLARPDPSPPLPAAQLPSTAKVDGPALASGDGSGEVGNGNEPFTVLETQYKATTNRM LRVAVNAFMESLGVVLGVMEELDVDVLATESKK UREG_04589 MPSHSGPFGQVIEYIEDRLYLASFSSPPDEHSPLPFRAPPPKSP SKRRSAVPANAARSIQPVYFNVDDVVLYNAFHADFGPLHIGHLYRFAVLFHDILGSPE TKDRPVVLWTKPDSRNRANIACLVACYMVLIQSWPPHLALAPIAQADPPYMPFRDAGY SQADFILTIQDVVYGVWKAKEEALCGLKEFSLEEYEKYERVDMGDFNWVTPQFLAFAS PQYEPIAPVPQNSPEFAALPSSISEVYASKLPVPFKNVLTHFASRNIGLVVRLNSELY SPSYFTALGIHHIDMIFEDGTCPPLPLVRRFIKLAHDMIARNKGIAVHCKAGLGRTGC LIGAYLIYRYGFTANEVIAFMRFMRPGMVVGPQQHWLHMNQNSFREWWFEDTIKEKLM ASVPVTPGRTSSKHRLNGNSQTATPPNGHQSKRLALGEIDNNEASPGGCADENLPAPT PGQPRKSHRKDSRHHPYARAVSGNLGVEGDGSAQSMRTKLKNASRRLPDHSDNDSDRR GVASPRTPGRSASHRSINSSVAGTPNIHEDVENWVEGVNKIKSPSTSKSGSGVLGVSK STQ UREG_04590 MKLRFSICTALTILATPIILAAGTNCEAPSPSSAPSTIDKEKFT QALKAICPNAAKQNCTDDPKAPGQCRTASQAAEPILRSFSKYNITEKTEMAALVSLMA LESGEFKYQKNIYPGRPGQGTRNMQMPEWNTRYAASIPELKDQLKGPACNDKVKTLDL LLSKDDYDFGSAAWYLTTQCKPDVRKGLQEGTKVGWEKYITSCIQTTVSEERSKYWQS AIKEMKEL UREG_04591 MSDQWMNLPSSWSLQNVQAVLTVVVSALSALMIWVTTRTFWRRG AIPVTKESRTVPILSLLTISSLGEVFDTLKVFKLRVLSVRYLAICVQCIVVVIFSLSG MLSGPIARFSSRMGVEERGQEKIVSALVKWNETQQSLTRAGFPTDQLLDFLPDPSRHW FYREDEWNSSWSARCDFIPATPVELQSTGNRSDTLLYTQVSGLKDLTPERFHNKSVYL GRYQLAAFEENRGNYRDVLLFVLRYPDGWTRANFNWDKRMSFSILSVHMHNAPRPSDM DGDGISFGEGKIEKASYTRTDCDLTRIEKMPDDLQFAYPHTLDADSIVQAYADYYRPN LIQQSIANASISPPSPEELFQFYQVYMITKDTQLPHSVQRVITVEVPTVELSIAWLAV VLFFFCVVILGLILYIVFLFKQRSRLSGIPNSKLDWMAISIKEATGQLNKPDHIGHTN DQDKDATEIVRPTQPMESTGQTTWEQLKSAKFGPIRQSSGSLGTLKIQLP UREG_04592 MVNVAIAGSNGLAQFIANFLSTKTCHQFIILSRFPKPTLVSKGW QVLEVDYANNSKLRYTLTGVDVVISTISGQAETALITAAAQVNVRRFMPSEFEGPPSL RSFSSLPDRGNSTSISLLQQYGMEYTVFTCGVFYERFAPGGMAAFQIGQGTRIDREGE YLINLRSMTAEIPYLSDGRNSFICMTSAQDVARAVVAAIDLPRWPTEIRMFGDRVSLT GLVGIVERVSGQELKKSFVSVESLRQLYGQAKASNNVRQQSRFAHLMDAGNGCYDFDT CNLTSLVNIQPQRFQEWLRLVWSW UREG_04593 MGGEQDQPKVILGSNRVRTWLSTAIFARVDLEKLSDCHFGMQGR DSMAQTGKWQSRNSGRVTEDNDQVLGFALENQLWVGDMGIYLGGG UREG_04594 MSDTDCTHTGSEPSFSNDIERNSPNNGSFLVHSNKTFTHGQPPK VDNKILGRQRRRRTSPEDYAILEAEYQRNPKPDKVTRASIVSRVSLGDKEVQIWFQNR RQNDRRRSKPLPTHETGSSIPKTPNAANTDTQKGKSEDEADTNLHCPNRSLSPCDDPE VCPQRASSSAAELSSEHRDNESEESDSLRVASSQDTVATIQTERLEGICTSVDALETP TTQVGAPHSDQQSSGRKRKWDEIDVDQSVEAKYARRSLPSQLATPPSLRISLSFDGEA MVRLEGEKTPSPPKPRDSLRISFSADGEAVVRTASEPSPSKSTSVQARQARFAHLRRS ASAIAFPTIRGTVRGKDQKSFGRSRDARTWELHCDDDARTALSTALNTRTDSAARDSL WRRDPPLKISKPLAPGSDLHNESSEPQVPAGKRKKLTRAMSSLARLETGTKAPISSGK KSKTFGNEMNENSGDSDKENWIPGTQISSARTRATQKQPIRSRGRRVLGQSSKQLEAK PTGARLKRARSAKMSRGNTQQEECDAAGEHGEVPGFLKVSESNPEEDLDCVQGLLSLS QGAWR UREG_04595 MNSVPAYPGIHLQTQPCNGSKHRPLRSTRGGPSHVGIIGAGLAG LRCADALLQKGVRVTILEARDRIGGRICQGDIGGASVDLGPNWIHGTANNPIVNISKQ TSTVTRSWESPQTVIDSSGQPLDAVTTARLSDFMWTTIDKALEYSQNHAATIPPDLSL FDYFREEVEKTAFTQPEKEACLELSKLWGSYIANLFVASTYKNILHSVAKPALQGAEV HLSDPVVSVEAALRKPDAAHHVTVHTASGQRYMFDEVVATFPLGWLKQNKSAFSPALP ARLSKAIDNISYGHLEKIYVRFPDAFWHTESRECQRNSDNGADEADRSTSVPVFTQFL NPTYTDHPPTPFWNQECVSLAALPESCAHPTLLFYLYGSCASQIVHQLSSIPPTSKEY HEILNDFLHPFYSRMPGYSPASASCKPVGFLATKWQLDPWSGNGSYSNFQVGLEEGDR DIEIMREGIGIERGVWFAGEHTAPFVALGTTLGAYWSGELIAEKVYEMLSGKEGQKQG EL UREG_04596 MARPFRAPEDIMLPPSPPEPIDAPNDESYNHGVEQTTSQHYGIA SSMASIADAAPQLHIPPPRTRSPLAKAHFRSRSFAGSPAAPCMTRAHSSPGLDSRGRY SLAGSQVRHDLPLRRPSPLRTSSEETTYPAINGLNISEPISEQPELQGVNGSPKAHLE VVSLSGSLSPGIHSTFPRVARRRPSSPLNPSSTTSTGCYRSSSPSPSQSNLVMSARFN EPYPSYSFSSSSSMPSTPSSVRSRSPSISSLETIPDIPDAEAAAIEADRIAKLKAAAD KADESAASTDPIRRRSALDIQNSSNPRFGLSRKRWSVCGAEGRQDLDLETIWED UREG_04597 MATKTVTLKGVEGRSIEIPTGLFINNEFVPSLTGNTLETTNPAN GELLATVAAASEKDIDTAVQSAKNAFHTTWKATPATTRQSLMLKLADLIERDGDVLAT LEALDGGILLSGSKMMHIPHSAAALRYFAGWADKIDGKSLVIPDGMAYTHREPLGLSE LIREAGFPAGVVNIVTGLGPVAGRALSEHMEVRKIAFTGSTLTGRAILKAAASSNLKK VSLELGGKGPTIIFDDADLENAVFWASTAITAHNGQICAAGSRIYVQEGIYDKFIAAF TAASSKAIAGDPLLSTTTKGPIVSAGQHSKIMGYIKKGQEEGARLLHGGGQPANGYIE NTAFVDVQEDMTIMKEEIFGPVAAISKFKTESEVIQKANNTEYGLSSAIFTDNVSRAD RVSRALECGQVTVNAWAMMHPNVPFGGTKMSGFGKDLGEESLDGWTTTKAIKYNILPE TPGRP UREG_04598 MDHPVHPLLTLQPSEVRRASQIIKKQFPNQQVSFRTIAVKEPPK KEVIEFLEAEKTRQPLRYPARRLYVSYQFRGKPEEFEDILEINSGTIVCHRQLPHGVH ISSSQEDMLPIQNQILDDPLVRREIVRLKLPRNSKVISEIWPYGKDNDRPEPKRYQVW FYLNSIDPEAQRHPSSNFFAHPLDFSAVVDHVTKKAIRIDRLPLGRELDSISGDNDSW QPNPDAEYATDLQPSIRRDVKPIRIQQPEGVSFRVESNDQVVHWQKWRFHLDFNWREG AVLRDVSYDGRPVFYRLSLAEMTVPYGDPRSPFHREPVIKKNCICLHEVDTGIGWKHT NYRNGRAEVTRGRELVIQTIMTIANYEYILCWIFDTAGAIHYEVRATGIMSVVPADQR EDLTKLDYGIIVSPGVMAPAHQHLFCLRLDPAIDGYASSIVKYEETIPEPMNSQTNPY GVMFRVKETPITESGHLDLNPATNRIVKIVSNDHRNRVTEHQTGYKVLVPPTQTILAD PKSTHFRRAAFADHQFYFTKQDDNELYPAGEFPWQSIGGLKEDTGLRQWAARKDPLRP GNGVVWAIFGLTHNPRPEDWPVMPCEVFRVAIKPSHFFEKNPALDMPASEQLVNQSTL VKSEKHNALTFDGCCRAKL UREG_04599 MDMLDPEVDLDVPAEAEELKAAGSRPPPVNSDYLPLPWKGRLGY ACLCTYLRNSNPPVFSSRTCRIASILENRHPLKDPAQPPHPTKNRPDRDQSPDIARGE AYVQALGLANVRDTIKMLRWNDRYGIRFMRLSSEMFPFASHLEYGYRLAPFASEVLAE LGQVAAELGHRVSVHPGQFTQLGSPRREVIENSIRDLEYHAELLSLLNLPTQQDRDAV MILHMGGSFGDKPATLKRFEEVYKTISKDIKKRLVLENDDVNWTVHDLLPVCEKLNIP LVLDYHHHNINFDADKIREGTLDIMSLYSRIKATWTKKGITQKMHYSEPTPSAITKMQ RRKHNPRVQMLPPCDPAMDLMIEAKDKEQAVFDLMRTYKLPGFEKINEVIPHTRPSPA EDGLDMGGPEGRVYWPPGMEHWLRPEKRIVKRKTENGTPGPKKRTRAKTKVMMAESEE ELVIQAKPARKKASKTRAKKS UREG_04600 MESRGDSYGWGMDGTDDGYQRGSRRKKVFDYLKAANDLRQAYSA QWMQRAQDMQGSSREVPNGLTDIELSRSGNEEMVLFPSYGRKHVKVDDANDKKSDQDW PNPLSDENADKPQPQGTEYWESFWHKFETEDAIVDVDVRGWIYSPQKDPMSRKNRLLV ALARKISGIPSPDPADPSLTGQEDASVDNQAESIARRQSGNNKQSLLLTQDEISTANA QLMERLRPFLTNPAVGMPVTVFFFNDIKSQSRTILTNDGGHFAVRASLDFAPSHIRVL ASENLSATEEIKITEPNGVSLISDIDDTIKHSAIISGAKEMFRNTFVRNLADLSVLGV KEWYSKLASMGVGIHYVSNSPWQLYPLLKNYFALAGLPPGSMHLKQYSGMFQGIFEPT SERKRPTLERIIQDFPDRQFILVGDSGEADLEIYTELVLANPGRILAIFIRDVTTPAT NGFFDRSISHFENPLPRSSSWNTFQELSNEVGKRPPLPPRKPEEATTSDSGLVDLIDL ESDNEAADNLTSVTRKLSNNRPIPPVPPSKPTKLRGGSIEAKDNPEPDSLSMLRRKPV PPPPAKPRALSGNRSPSERPLGTSSLENVSVPYSAPSPRPRAAQPPPVPPPRRSGTNT SAKSHSASFQSHAQASRTATSISDRSSNHPMSSSPSLIIPTPRTSTPQPFSSSDTPIP NKREEAWRRRWLRAREILDRRGVALHSWRVGGDVQEVCVGLVKQAQGNIKK UREG_04601 MTAQGAPSGSPESALDSSKILSLAASCVDKDAPNVRTPWEAIAL VGHACMVAVDFRLIGLKEGQTIVEDEPTTVLPSNWNDSGVYSFKYAHRQSAMQFLLKI IQIGNNAVISALALGHDKTASFDITIRDYISLSALPLAPSSDLADSLRRVFISPERLN DLISLFRINVIQKLVPGLQKEGYEDSFTTSQNRQEAENQRPTRDPLRDDRFPPPAQPH PFHDPLAAPPRRPIPAGDFPPPGFEDEYEINVPPRGYNPEAPYGGERRPLNIGERDLY PPGLGPHDPLRPHFGPSGGGGGMHPTFDDPLFGGTGGQPGPYDPQVPPGARYDPVGPG DGRPFGRGAGRGGGFGPGGGNPFGGGLGGGFGGDII UREG_04602 MEIDSGRTPEPHHLSLASDPESIPTLDGWIESLMSCKQLAESDV QRLCDRAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLFMGDY VDRGYYSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWK YFTDLFDFLPLTALIDNQIFCLHGGLSPSIDTLDNIRSLDRIQEVPHEGPMCDLLWSD PDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNVVTIF SAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL UREG_04603 MARIVANVLRIAWATRQTNIRLAIAAQVFVAAGVLILFILNLIY AQRILRASHPRLGWSRPLSYTFKALYVVVGLTLVIVITASVQSFHTLNPNTRRIDRNL QLYGASYLTFISFLPLPILAYVHLAPRRGQPQPVEPFGKGSWIAKSLIVGAAAALLTL GAGFRLGTSAMPPRPIFSPAWYHHKACFYIFNFGIEAVVAYLFLLARMDQRFYVPDGS SKVRTYSGNGYSSGSEKGQNIDKPINSRERQEGSDGEPVKVPLEGKAVLA UREG_04604 MSTAAKRSTISPEESDDDENDVFHDARFPPSEEAHLLTQSNTQK SQANKLFSSARYSEAITIYDHALSFCPNYLDFEIAVLRSNIAACHLKLENWKAAVDAA TTSIECLNRIFPSPAPATSGDHEDKSKQSDQGREDASAVIELDADGDEEDEAEQLRRL NENDTRKEDVKRIRAKALMRRARAKMELGGWANLQGAETDYKELIQLKVLPRNDELMA IKALSELPAKINIAREKEVGDMMGKLKDLGNGILKPFGLSTENFKFIKDENTGGYNIA FEK UREG_04605 MDGLLIDSEDLYTLVVNKILHEYGRPSLPWSIKAQLQGRPAPEV VPTVPQTQERNQINSLLRSKATRIFHKWAQLPISPTEYSTKLSTLQTEHFPTTKPLPG AFDLVQTLSKTANTDSPVHIALATSSQRSNYMLKTSHLNELFSLFPPSRIILGDDPRI GAGRGKPRPDIYLLALESINQEIRANGNSEPEIKPEECLVFEDSVPGVEAGRRAGMRV IWCPHEGLLKEYNGRREEVLAGVTGEHKELDLIGANSDDGLGTEHWRAIGAGKPGVIG DGGGELLDSLESFVYERFDTHIKLDRLFDPLKASR UREG_04606 MENSMDMVQNEFDMSTGFDAEFNFPDISFLDDFHESLGQVPNGD AKGSPNIKNAPESESDAKDSHFDFAFEDIVHSRGEYKYDCGTGAGDDSHQYGGDQYGD SAGKFIGASFEGFGQCSYNEAEDTVAIEAGAFNGTLPLRLLPAKSPKRNMQAPASPTR FLAGTLDATSMSGPQVVFGVGSKAKPAEPEAVSQLAIPHNPKLERSKCLILNTGPSIE RNTSAPEGTEVIALTFTSAAEANSYAPQKWSAPSVDLSVPKTQEGREAIVRSLMEAMY SLTESKDNDGMIRPWRDGRYCQPRVEIACWNIMERKTICKRLIDVPFFLNFIDDPSYQ ANRVEQNKGLNAKKGMVIKAGKDALEKEEKESGRTMIPAPRKRHRRYAAEEAVTPVSV PRICKRQRRGSTRSYGHAGIPSSHMGVATDGFTTPLPNPQLHTPPNSANSNVGSLPSH GPYNMPPGRAMREHPCAHFSRNPHGVIPSGEYGGDQSRAMPPHHLNPLATPKSEISRN SVGRHPRSTGNHAFSAYTRGFHAQEISSSHFTGTTPQTPQALTYALHAQGYTASNIPA PNMEIHGGQQHATQPNLSTSEGRTIGESESGCRHHSSLQSDMNLQAQHQACYPPYFTA FPNPHQDLEYLPMPPFPHNCHHSNAQESTNEPRRAIKRSPGDRA UREG_04607 MVEDTPESWDLKCVASTGDTGDYFHHPGNVFLKQNLADCGEPNK VEQTASNYFTSADWAADGTTIITNSADNHLRTFVLGCEAHLLSRPPNLLEKSALPHTV TAYHTIASKEPVYSVALYPFFSLQDTSTAIILSSIRDHPIRLNSALYPGQVASYSLIS PTTEAFITPHSILYPTSFNGTHFLTGSDSLICLFDISRPGKDGPISRMPTIPSKRNKK VGGGVGMKGIISTLADNPSGDGIVAAGTFTRHIGLYAAHGTGETIATFSVGSTEAERR IGGKGITQVLWSPCGRYLYVSERKSDGMLIYDIRVTGKLVAWLSGRKAMTNQRLKADI TNGNNPMSHEIWAGGTDGFIRMWESPTNFEGEIHPTWERKIHDGKYLPLFSQIKLIML RDPISSAVFHQTGTILATCSGQKRYLQEDDGGEEADENGGSDSPLSYFPPYKIDNSLK IWQL UREG_04608 MFGARTSAPATGGLTVNTSSANALFGNNPNPTPTTSTTTGQNPS GAFGNLGSTTATSNAGGNLFGGLASTPAISSAPSAGLFSNTGSANTPTTSAAGLFGAL GSSTAATAPQQSGNMFSGLGASTNASATGTTQAQQPGGLFGGGAGTQTKPTVGGSLFG QTPATSQAQTKPAFSLGGASTTGGGLFGATTAQPQQQQQQQQQGPTLSLFANQNSSAK QDQKAPGAPIVQGVKIDISNLLPTTKFESCADELKKEIETIDTYILNQIRMCNEVSDL LPTISAQGALIPNDVEFVQGKLDALQEALENDASGIDHARSLVKEDAENAKLAFRAID TMLLPSQYQPAPGERWWSSTQQPQSISKHSLRTTFGMRRATLALPEDTEVDSTQDGPN NVVDYFSRRADEMDAVLGEYRKNLKEIEDHLHGVELSIQKQINDIASFRSKNGTPHKP ASRVSQLASTLGDVETAILGVAGRLGGVKEEVQEVMLGPLGGHSGKIPNGW UREG_04609 MSSFLRASVLDYARFYGIANDHMAIDPNSLIPQFPRNMLVNSLE DMNQHVSLDLSPLRQSISKQQRERLNISKADAAFLELALRQNSSGRLHPLKWNEFLLN RHRIADIKYDPPLLRTDHESDMLIFRKMLSPDRMEVDLPLEKIDTENDEGLVFPIYIR DLPRQVQERIANEKLDCTREILLFLQTTRKSGTFPALEKSNGTQPRYTKRTDLEPITP PIVPRSFPIVPFIPSSPNMVMELLSEPATPEIPEHRQIERDLTMDSAVFSRGIPESDR NKFSRAILENFIDTETRCQNGPANVKLISPRKRVLSQSLKVDGPLTPPFSVKKRRAER AKKETGEIIKAIPRLRFSYKRRSLFGIRSFPQSFITCRERDIRIQTPTLTDFSLNPPW GLLHTSGESTCGPDSSNGLNTALMKIAKCHNMDCSRQISANDELNLQWNPFPMGISRL HAREQIDNIDLSKFVKATKTENSKYEKLMEQRYTVGLGLEEDFNKHIPKPTLLIEATS SAVSDSSADLDPIRDKQTTEPLCFPADNLYPPNETQPKSTVLLSQFSPMNQLSNFMNI RGKRIQNNPASPPHPAETLDGLFENADAKNKPEKDPYHPSLLRFPLPEISCPARPLTF VISTSFLQTHRSVIRNLEALTIPKTLVFRDYSAIKLLPRVKERVDMHVSLGTTRQVPI QTGRDGDDADVVISPSTAILLTTSQETTQLHLPGHSPNHSSFGSLLSSPLKERIFHVC RRYERLYVLVCHPFDPDGASLAKMASKTFESTCNFISFCSSLAQFCNVIPIVVPTAVE HLTQWIVKLADNHSVHVPWSTAERSSDLAANETRVTIPSNDPTIWELFLYHAGMNCFA AHIVLASSAFENAGQHNPAAAVCIRGKETVYMGR UREG_04610 MGCRLALVLAIGMALSGISAGANLAEAGKQDASFSPFPTPLGSR LPRDNAATTVEAIEARQNKNVPPVWGDPRHPPPTHQRRSEADIEIEARQHKNVPPVWG DPRHPPPPTHQKRSEAAAEVDSGEWASRVTKRNDFQKRRCRMLTPVPPDCGLDQTRTS UREG_04611 MVKEIHTNHPDNLKALRSIKNLMPLLDRVLVQRIKPEAKTASGI FLPESSVKELNEARVLAVGPGVLDKKGNRIAMSVTAGDKVLIPQYGGSAVKVGEEEYT LFRDHE UREG_04612 MKIGFWTWKSIKLSIAIPLMCSHVSSALRAELGLDEEAFGTAAA KKYETLLEKKWTSIVRLQKKIMDLESRNAALQTELANLTPTALSKRHQDPASWLPRSV RHSLESHRDTINSIAFHPIYSSLASCSDDCTIKIWDWELGELERTVKGHTRAVLDVDY GGPRSSILLASCSSDLSIKLWDPADEYKNIRTLLGHDHSVGAVRFIPSGASGTLSSGN LLVSASRDGTLRIWDVVTGYCVKTLRGHTAWVRDVCPSFDGRFLLSAGDDMTTRLWNI SGSNSDHKLTMLGHEHVIECCALAPFASYQYLAALTGLKAPPASSAAEFMATGSRDKT IKLWDAHGRCLGTLIGHDNWVRALAFHPGGKYLLSVSDDKTLRCWDLSQGGKCVKTLK DAHERFITCLRWAPGIFKNVPGVSTRDANGESNGVLNTGLKGDTPDVQVRCVIATGGV DKKLQVFAD UREG_04613 MSYLAFSFQQHCLCPTIQFAVCIQAFKDVSDRVVIVGIVMLISS RKLGIALKALGPLIDQICTIYGIAGLSLGVLQDGEVIHYANFGHRDVEAKIPPDEDTI YAIASLSKSFTSAATGILVDRGDLHWHTQIQHILPDYQCYERGAVCNASVSDLLSHRT GVLGGDAYWLLSDGDSAFARDQFARVFNSLPITEPMRTAFVHNNYGYELIGQVIERVA GTTFGNFVKVNILQSLGMSRTFDSVIPQDTANVAQPYMSLLNHTAHKVKMPLQRQDGL YSAAGGLRASVSDLLKFYNALMDAGLSEWNEDTKRIPENPLKELRAIWSGMVSMPFSG PREHSAALGWYRAQLPSNFGFDDPEASNPLVGNRSSCKLALYNQGVIQGFTSFSALIP QTRSAVVVLTNSAGLGLPSKLVASALLNLLLGEDVDQEAYKLVAEKNYREITSHVLDT MKELKRQRKVDTPTRPLKEYIGKYYNTLNNYFIEIKETKNDCLSLSFMGKSRDTFQLL PYDSDKFFWYMTFDESVLRGRPTGYPMDYFIIKFAFDDNGVALENPVLYWKYDPEYPG DGEPFTLCRTCTGVATLGSDRGSEKPALPDFSMRFQLNKGIRNPNI UREG_04614 MEEEDDDLYDPVDNTPSGQRNSDTVGDSKLEIENREGEGEEEEV EEEDDEDDFNIITEAPEPAVPPPQPPQHSFRNEPPRPSVSDGTSIPKVATPSIPKAEV YTPQQAAVKPAAPQRPGSSYPAQHTSSIDVTANPIHPATSKPILSSDLDTDFPEDDKP WRRPGSDISDYFNYGFDEFTWASYCLKQQDLRKEVGDQKKQLQEMQAFLGLAPGGMPR MPGPPQPPPGGVAGPGGGPPQGAGPQNVMPGMPPGMPELSADMMQGMLAGMMAQGLDP SAMDPMTFMQHAQAMLGGGQPGAGTPQGSQAGYGAQPTPQGFGGQPGGQQQMGYGGYD QRGAAFGGGVRGKGIRRW UREG_04615 MSFGFSISDFLTTLELANKIRKDFVGAPSQFKSISDEVRSLSII LQDLEINLSPQELNDQQQTRLQEISSSCRTLLDELKRTVEKYRELECTGGSLTQRTKR VWKQLRWEPDDVHRLNQRQEDQERCTILDWLTSSDYGPQQSGFLNRHQAGTGQWFLHS EAYQTWLQTAKQTLFCPGFPGAGKTILTAIVIDDITRQFHDDPNIGIAYLYCDFRRRN EQRFVDLLAGLLKQLVQERTPLPDIVRVLYSNHKKKRTRPSFDEISGAFRNISIAICC HDENFLGKSRGLQQVVKAKIVEATKGMFLLAHLHLSSLMRKTTEADLLDALERLPKGS EAYIKAYEDAMVRIEEQNVDGERLAKRVLMWIVCARQLLSTAELQHALAVAIGDSQLN TKRLPDLETMVSVCAGLVTIDEENSIIRLVHYTTQQYFDETRDKWFPDAQTDIMKICV TYLSFDIFERGPCQADEEFEERLESNKLYRYAAHNWGHHARQTSIVCQEIMDLLDCSP KVEASIQALTVMKRGPRDFGYSQKFPRQMAPIHLAAYFGIEELVKALLQEGAMVDATC VYGRTPLSWAAENGHTAIVQLLIEKNADVQAKDKYGRTPLSKAKDDWNTGIVKLLLDK GAGLEITRTPLSRAAENGHAAIIKLLLENGADFEANDKQYDRTPLLWAVQIGNENLVK MVLERGISLETSRTPLSWAAENGHETVVQLLLEKGANPDAKSDYGGTPLSYAARNGHE TVVKLLLERRADVETKDDYGGTPLSDAVKNRYPAIVKLLLDYNAGLEERFEYGWTVLS YAAQVGDKTIVKLLLEKDANVHAKDDCGGTPVSWAAERNYEIIFKLLLEKCSAPETRY EYDGTSLSAALDAKQAALVRRVFNYEPTADLEEEEDNGGTQLSRTAKRELVALVKRLH DITFDPEREEAYRQMSLLIAAESEPVDQVKYLLERGDDIEMRDVDGWTPLLLAAWYGR EAIVKLLIKEGAEIEVKERRFGWTPLICACAAEDESEAVVKLLLEANAKTDARDTDDR TPLIYAAVNGYEAVARQLLEAGANIEAKDKNGWTPLVHAAWYKCEAVFRLLCEMGAII NLKE UREG_04616 MEPPRKKKKKGNKIGKSKLSFGDDDNEAEAEDSAGMSQSGGETR SPSKGLNDGTPQSRVRKLAPNPHLSVPAPKAMTKASLEAEAQMRDNLRKEFLTIQEKV KATDILIPFIFYDGTNIPAGKVKVKKGDPVWLFLDRCRKVGAELGVGGAGGGKGRKDN RREWARVGVDDLMLIRGDVIIPHHYEFYYFIANRVPSFSNASGLLFDYSNTAPPETEE GSPSQIEGADTDPTITKVVDRRWFERNKHIFPASLWREFEPGKDFEEKMRGVRRDNQG NTFFF UREG_04617 MECAGRALAFWTYQTAQEMYAVVGLGMIYAIHGTYHQRSFYQEY LGKNLTDKYTALNKQMDKVIHDANSEMSSLHQRIADLKMNQEQLQKKNQELVELYRDK SKKHTQITNLYNILKSRTMRSQLQTAVSDTVAHTLQNLGTSGTHTSNGFEPNSHLTLS NSRPVQYGEACLGSNNNTVDQLHRRQRSGSSNNQISNTMMPPPSGMPSSFRQSNVPQT TSHRTQIPPPSRAMNTAEARQHQSQRNFVSPNRLFNLSHRSPNNSDPTRGLHTSPNNY GLSAGVKIGRPVDPTTVNTDIPGGMFSRPIL UREG_04618 MEVASTATASVSPAGATFSASVYDKSASLLNTTSSRLTPLPSIP KQILLLPFRFIYRAEVFIFVTLPGSVARMIGWDRFFESLIEKGADLIERISGWIEGGA TDAAAAGLGAIEADGVTGAAGAAEAANGSGFSFGEITHGLSKFGGFFSYVMSKWSIGC FAVALILNRVSIYASTRRHLSLKWNRRLLLRIIPIVLFSTQIVSLLRACRCQTSPDYA ALRYGKPKQLSSFDYAGEGGFLYRLSSFLLQWEDDQASCSAVSMDQPMKAGDIPYGSF SLLWPAFLRFCLGHFVETLSCALQGKPVGSEVGMSIFEHSLAFAEAETMLTQSIGLGV FGNIKPANSAEAASAMSSGTAKLLTRNQVLERLNVTPELLLITLISSCNSLTSNILDV FGKQSKYRFINTTVWGLCFMASIVWGIVNLTIFGRDATVLRFPTVCIVGFVPHLLILI GILACGSIYLLALFLTAFSLPSDLPRPTSFKERLTLAHGNMQGSSQVQSIRFNSHDDF YTTLVRIGYSSLTAASEAVFLNEGKGVIAKRMTWLEEDRLAELLTSRSEHSQQVQPTW DSPTLGVDDGFDFKIPDRTFEWQTGYAQEKKFEKRRRGTRPVRGDSGLGSVGAFQGPA RFHHGFAFFKGIFILLTGWAAFGMVQLLKCAGIVNRPQWLVKLAGSTQTTSGVKQTMP QKSLDFWILTDDGVLQLPENDNFDVEEEMRKREMMHHASWGEPQETLFERKLYNWWKL GGAWGEKDESGDYTPPSDDWDDTTSVVSMNSNEELQHKKIPYPDKSKPTATDALIDTA SLARLLDPKDRESRSEARILSSHLLAGQEGRIMTRSKFKRKVEMERAQVLTLNRSSHP YFRSVSGDSIEKRRPSPDEEAEILERLILSRRLGGHDPSPSARGSSPSWESGASGLGP SGPQCVVCQAAPRSIITWPCRCLCICEECRVSLAMNNFGSCVTCRREVAGFVRLWVP UREG_04619 MRLRFRSGRGIDALSRSQIALEVLLFVALSWHVVHAAQDSPAPL AVPSGSDWVAIRVGSPPQWINVFPNTGSSETWVIGRDGCDSTMECRTARGGFFLSNES STWNGIGFYELGNDLQLDHSGVGNYGFDNISLDDRTVLADQIVSMVNTTDWWVGSLGL GIKKTTFTSEDKLSFLKLQGVRSSLTLGGVDRNRFVENTVSFVLSPDDLPMVAVKSIK VSSNVESSGSDIVDLHTLNRLERYTIDSSSPFFWFPEYICDAFAKELDLEYDDALQLY TYGTNSTTYDNVMNANMSFTFTLSDIPDSGNSIDITLPFCAFDHQLSYPFPNLDANAS SQGLHYFPMRRTSDPKQFTIGRTFLQEAYLAVDYERHNFSISQARFPSDGGISRDLVE ISRPTESSYKGPSKPQNSLSTSALIGIGVGVGVFVVIATCIGITVCLRKKGRKPKLLP EPLQEKAKMKWQRRRYWPFSCSRISSTPSELSSEAHFVVEAPANPAATRYELEGSNQP VELEASEVINTFYSVSGKRRDVAIPPPVYRNEVDDKDTSEPATPQGPPQSGAGPPVYI LTSIPLDASHSEPILVSPLAPSMTVNGSLSTSGPSPLTPNRSFNGSFLGNGSLSIRQP GDSYSSQVTASGVGTGGSTLETGPDGPSHPVDGQPPRVIRRKFSWEE UREG_04620 MADVFSQAGRVGPDLQILHERAEAMASGGIVNDRKYTIEHIIQL AASLPNGSKLRDTLSDQFIKTLWNNLEHPPLSYLGDEYKYRTADGSNNLALMKRDGPS KDHPAKISSTLFHFATVIIHDIFRTDEKDTTRLKNSSYLDLGPLYGHDGEQQERVREF KDGRLKKDVFAEERVLGQPPGVCALLVAFNRFHNYVVGELAIINERGRFTLPEGMTQG SPEYKKAQLKRDNDLFQTGRLITCGLYVNIILNDYLRTILNLNENPKDSDWKLDPRQA LGVFDSTGVPRGVGNQVSAEFNVIYRWHPAISNHDEEWAKGFFKEVFGDIDPNKLTLT EFRAGIWKWTQSLPEDPAKWEFGGLRRQADGAFKDADLVKLLQTSTEVVAVSQGNFGA RNVPAVMKVIEVLGIEQGREWGLATLNEFRLFFKLKPHETFLEALYGNPDDIELYPGI YAEDAKVPLSPGSGLCPGFTISTAILYDAVALVRGDRFYTVDYSPENLTSFGYNVANS SFDVAKGGVLYKLLMRALPGWYRPNSVYALFPFTIPEKNREIFEQLGTVDEYSYDAPS FIGPPIPVITWRGVVDVLSNQDRFKVPYSGGPHTYQLTKHDYMLSGDSEANAEQRDFV RKCLFDPKDALGQVREFYEEVTMNFLQKHSRKAGDLYQVDVVRDIGNLVHANFAGHFF QIPLQSAGGGSDTYTEQELYDALAHLFAYVFLDVDQAMSFQRSAVARRDSQRLGRLVK EAVTGVQAGHFFILKQFLEHSKKDVLNDYGARLVERLSGPGKTADEVTWTLIPTAAAA VATQAQGWAQLIDLYMSDKYYSYWPVIQKLSRSDAPEDFETLKKYALEGLRLATPAFG VLRTAATDGTVKYGDRKVSFKAGDVIFADFTAAGTDPSKFPEPDAIKPDRPDESYIHH GWGAHACLGRPFVTVAAASMLRVFGRLDNVRRAPGPAGEMKSKAEGGAFRVFLTPDGT EWNPFPCTKRLLFDGFGERAE UREG_04621 MAIFLGPKVRISSDQELLPCVCQASNIYEIVETLFSKASCDKVL LQHRSIVGGIEDGTAIEQLLSNVTASLGFSFTLSALRTVGTFDPVF UREG_04622 MAESHHVTKDTRVRDNQRRSRARRKEYIRDLERRLGAFEKLGVQ ATLEVQLAGRKVAAENALLRSLLKSRGITDEEVEAFLKAHREPTELAASTTLPPPPYR ASSAATGQLTGGQGSQAVKSHGKGAELQHTDGGNISQSTTCAELSSSLCSRSYCNTRE ERTAAACVADSCSQQQQQSPEFNNGQLTSCETAARIITTMRGDSDMKDARAELGCASE SNCMVKNMAIFDLLDR UREG_04623 MKLKNILDANYTSHNWRKPLPSASNPLVLDEIRLENRPNDHSQA PSKASQHDEQPILKKRHATTAMELFFDLFFVANLGSFASAHEINTTENVKSYVGYIAI LWFYWLQTALFDIRFYSDSVSSRTFKALHLGIMTGFAVLAPNFDVTDPLNHPRRFRNM SLVLAASRLILVFQYGIVAWYVRGYKKTLSAKIITTGTLFVSALVFLIIALASQMDRA RYAYLGWYIVPAVEAVIMIAISSFWKVLSFKGTPIVERFGGMTLIVLGEGTVGMAKAV TSIAKGTSHPTASSIVLITCYVVILYFMYMIYFDQTDENRFGTIRQQIWALLHFPLHM AILITNEGSRTFVLYSVAKGIYEKAFRDSQRLKVYDSIPQLVDSLKEIVSSLKGRLRD TQEVPDFSSIYGNITAIKNPRTDAQKVEDLLTEFNGSTFVWIMRSFGVDIGESHSTKI ETARERIDKLSEKFFVVFRFFFISAGLVLVFMALLHWFSKSHKSRGEVMSIIITTVAG IGLSLTSIVALVDGNGKNAFSNYLNSGWIVPSVVLVYGFVVAIDNIIIVVSHQRRSKY TSQAYTRVP UREG_04624 MASRALHIYDLRSLATFTEESGSVPPPNKLDIEPWQRRESSLKF MTRAVACMPNDAGYASSSIEGRVAVEWFDPSSESQDRKYAFKCHRQNVDGVDVVYPVN ALTFHPTFGTFASGGGDGVVALWDGIAKRRIRQYQKYPSSVAALSFSSNGKYLAIGVR RAVSYVSLVGFCRCGATKINSFDKDSRRPYCDSCQCQPHIISSVRRLSGAVSAYILLT SQNLSMTQSFASTFAGPTSKERKYDRQLRLWAASGQQALEDSRVLLVNSDGAVGYDDE SVTGVVGVETLKNLVLPGIGGFTIVDPAKVRESDLGVNFFLSEDSLGGSRAEETCKYL KELNPDVDGLWSSQPILQILSQNSGFLVSYRLVIVTGPMRQSTLQVISQQTAELSIPL IYVHSVGFYCSFSLQLPSVFPVVETHPDPDSMQDLRLTKPWPELLATTNQIQRLEALD DHQHGHVPYLLLLLYYLEEWKRSHDGRYPQSYKEKTEFREMVRRGARTNNPEGGEENF DEAAAAVLKSVGPWSLNRNVRDLFEMDDCSNLNAQSDNFWVISHAIKTFYKCHDVLPL PGTLPDMKAQSSDYISLQNLYKTKARKDLAEVVSTVRAIETQLGPDRVVSPISEKEIE VFCKNAAHIKVVKGRKLPFVDSDAPDKETVKAIRNNIENPESLIPIFLSLRALDILVT EYQEKRLHSTSSSSYLDDPTNWQRAMYKLMTSIQANDSDCLDDEAQANIQNTVTETRR AGVGDLHNISALAGGFVAQEALKVLTKQYVPLDNTFLFDGVKGRGEMFRL UREG_04625 MPFAQLIIGPPGSGKSTYCNGMQQFMSAIGRKCSVVNLDPANDR TSYAPALDVRDLVTLEEIMAEDTLGPNGGILYAMEEVEGNFEWLKEGLEKLGVIHLVD SYNLTLPSMYISALLLCLRAMLQMDLPHLNVLTKVDNLSNYPPLPFNLDFYTEVQDLS YLIPHLKEEGPFFAGSKFDALNRTIIEVVQDFGLVAFETLAVEDKRSMMSLLQAIDRA SGYAFGTAEGANDTIWQVAVREGMGTIDIKDVQERWLEAKDDWDEHERKNWEEEAKAR AKTGKSAPEQGDEDFDMDLGSHITESSGIKVTKKQNK UREG_04626 MVRLKHRYLLVNILYPPTPSSNTLLSAKTLTQAKGEPDAETQFH LQVCRPTPDHINAQVLARMIREAVSEMFGDWGMGELGGAGAGSVSVKYLSPATSTTII RCPRASYRLVWAALTYISCLPESKDRKPGVKKVQSLDCVFRVVRVSGTMKKVEQEAVR RARLEVARLSREWEEKGKDVLQEMFPGGTSEGHGMGVIESDDDDEDEDSDG UREG_04627 MALVAYDFKRTTKDIASIIEYEAINYPKERGACEALLKRGILGP DVALRFQPPWRKYLQVGKINNADSHLLAHSFTSADPPLFGVLACLCPEVPLYEPTATW LQPYGWTLTY UREG_04628 METTLHKFTLHPFAMYIVDMLGLSPASYSRGSPRRMDILYSLLS LWNREPSKPTPPRLDLMSLPPEIILCVGDHLNEKDLTSLIGTARTFAELLSPKLYDFI VGCGTPESTFKEDILVHAGRWNSHYALNYFRTKRADVLLRMDPEGGLLLNRVARAGNA KLVSILLERGADVNGHSNRDLHALTLAAKYGHEDIVRILLDAGAEVDVFHPCKKTLLH AIYRYGHRNSPVVSQLLIDRLQERGQISQRDSQNATPLHYAVRYNRAYAVPQLIKAGA DLKILNNDGLSVLDIALRHGKMKIVRQLLETFPGPWPSYYVQKAIWEAADRLDLDALE RIAPLIKAGNVLVDISLSQRSRAGLTALHVAARGPQDWLRSSDHAFSRESSEVVLKWE KMIDLLLNMGANISTQDCIGRTPFFFAVEHGNPERIPFLLQRLGETISIRDNLGRTAL HAAVHNDKSELTVRHLLRAGLDINAQDSQGQTALHYASTCPVTVPTVEYLVNAGADAS ICDKHGYPASHFAANQRRNRALKVFIDAGVPLHSDCDVCYRNSQEWRTENGEWMKFSE PLDLSGLFDHE UREG_04629 MATFVPMTSSAASKLPLTLDSLETQPLPPTKTRCFVYGMQPRAV QGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQSAGKAMAKHSDVD VVVNFASSRSVYSSTMELMQYPQIKTIAIIAEGVPERRAREIMVTAKEKGVTIIGPAT VGGIKPGAFKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELNNIVSQTTDGVYE GIAIGGDRYPGTTFLDHMLRFQADPACKILLLLGEVGGVEEYRVIDAVKNGTITKPVV AWAIGTCASMFKTEVQFGHAGASANSQLETAVMKNQAMREAGIHVPNTFEELPETLRG VYQKLVQQGTIKPQPEPIPPKIPIDYAWAQELGLIRKPAAFISTISDDRGQELLYAGM PISDVFREDIGIGGVMSLLWFRRRLPPYASKFLEMVLMLTADHGPAVSGAMNTIITTR AGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKGLSPRDFVDTMRKENKLIPGI GHKVKSRNNPDLRVELVKEFVVKHFPSHKLLDYAIAVETVTTSKKDNLILNVDGCVAV CFVDLMRNCGAFSPEEAEDYLGMGVLNGLFVLGRSIGLIAHYLDQKRLRTGLYRHPWD DITYLLPTLQKGAPGAEGRVEVSM UREG_04630 MSAKSIYEADGKAILNYHLTRAPVIKPSPLPAPTTHNPPPKLAS LHFDEDCSIEAVLDQAESTHPWLLAKGAKFVAKPDQLIKRRGKSGLLALNKTWEEARE WIAARAGKEQQVETVVGALRHFLVEPFVPHPQETEYYININSVREGDWILFTHEGGVD VGDVDAKAEKLLVPVNLKHYPSNQEIASKLLSKIPQGLHNVLVDFITRLYAVYVDCQF TYLEINPLVVIPNADKTSADVHFLDLAAKLDQTAEFECGTKWAVARSPAALGIRAAAR ADDKVTVDAGPPMDFPAPFGRELSKEEKFIADMDAKTGASLKLTVLNASGRIWTLVAG GGASVVYADAIASAGFVSELANYGEYSGAPTETQTYNYARTVLDLMLRAPMHPDGKVL FIGGGIANFTNVATTFKGVIRALREVAPVLNEHKTQIWVRRAGPNYQEGLKNIKAVGE ELHLNMHVYGPEMHVSGIVPLALSGKTTDIKEFGEA UREG_04631 MAGECSREMAGYPSVLTAAALNCDVPIMGPLLRAGTNMNAKFFY EGRPCHVVEYAALINHIPMMRLLISYGALIIFRPRDGFEDPLVISILQRNIEMMRMLL DCGYPAGFPDERFSLRESTIDDEVLNVLNEYKAAHWFEL UREG_04632 MTPYPPPPKRRLHHIGKPPASHKGPNQFDQCATREKHLAIRASR ALQSRQPVISPFSNLPIELIFEIADYLPPASRLCLALCSRGLMNVLDDSNSLRKSPEF CYPRSLDSDIREPRTRSYIFESGFWKLLCQLEDSRFRCCSACVKLHPTNEFSEQALET RAKSRNCMFGALAGVVRLCPCVRMTFRGKIKLVDKLRERSSGKKDPPPPDREDVVAIP GWHQCEYAGGPTKIIVTINPKLEHDGELVIETIYTLTGSRIPFGLMNMPYFCCPHRSV YDHIDDITTLRHIRTKGDDGILYSNPRKVKCKYCLTTSLDIEWHQDWWTARITYLRFR TLKWMGRDLIQADKTWYENTDNAFETLEEYEQRARWPWGSWLNYTDFPFIRGNSIPHC VEVRVHRWWHIHLCSPGLGHHRGVSLRPGPSSPSAAGRDERKEPTAVSKAEVKPRSTF PVGSAAFLVTPLHSWTRCEPSYNFGRVRSRPSLLSLSWFRLGPPELRLNPGQYKWSII PIFGLVFISGNGYLPGASSNISSVIYDVRENVEKLEKTKRQDSKGDRTTSVDWANGRP SVSLTETCSAFRPQSPKMDMLAALTSLLSMGIVYPASFLASFIYSLLEFLLRPLLHPL RQVYQFQGFLIRMVLKLEPAYTFFGTAIFFGAVAGYLLHCTLCSTYEALGVAPTSHTQ LRLGKPGHLSTTKLKESESDESDTGRMSNNRPQGLLRKTGIRSRYPRWWMQRKDSMTA DILEEEDDSQ UREG_04633 MQFSHALVALVAAGLANAQLPDIPTCALNCFVTALGGSGCEQLT DFKCQCSKPELPGQITPCVEAACPHDAQVSVSNIVVDQCSKAGVPIDLPPVETSGSPT AEPTAEPTAEPTAEPTETGTGAPTEEPTEAPTEEPTTAAPTGTGPGVPSGTGSYTVTG APTASTPAEFPGAGSNVRASVGGIAAALLGLAAYL UREG_04634 MSSTFARSWGLPLGVGTTSSNELETRYSVQTLLRVQTNRLPPLF QTGLRCLLHALQSRGNLDPNPEVASTKMNPITLITFSVQGVHVLTIIPADLPTSGGAQ VRFAICVNHHLKVNGSSNRQIVASESEGSR UREG_04635 MPPPRRRSGNSSTAGHQQTLSFGPKSRVSKPTSVPASLKNAKNT SSPSPPARSRLIAVEISNKAHRSSKSASPEAPDVVDPVVDPQPIAASSKSENVVREQA REQVQLPRSAEDRRAEELTDRDIRRYWHAEEAKRIAPRVHQEGLSVNEKILRHFDLSN QYGPCIGIARIKRWRRAHRLDLHPPIEVLAVLLKEEAQGVAREKAYIDELLS UREG_04636 MTQTYKEISAIARKRRDDALNAFFRVPDINEDTLPRDLRSFPKT SGLLSTEELEIIYSDAETLVQRIKERKLTSVAATIAFCKAAAIAQKLTNCVTEVLFND GLERAKYLDEYLAKHNKTIGPLHGLPLSLKDNFMTPPHPSSVGMSVHANVPTEKESVL VDILRDLGAVFYVKTNIPTAMMMCETNNRIWGETRNPIHKGLTPGGSSGGEGAILAMR ASPLGVGTDIGGSIRIPSAFCHLYGLKPSFGRFPMWGGRPGIPGQDFIYSVCGPMAPS LGSMKLFCETVLSEETAPWDYDPKVIPIPWRKNMIEPKGRKLRIGVLGNNDTVITCHP PVERALAMVKKALKDAGHDVFEWKPIAHKEILTLLGEAFDELGSSSIMPNLQAFQEPL FGAMERMFAGGKASGGKLGPEKLREMILRRNQLQKDYLDRWLATKTETAGPMDCIIAP VAAASAARLGLGEKVDYVGYTGVANLLDLPGCTFPVTYADKSIDHKRGSSFKALSPRD QAIQDDYDPEFYHGAPVSLQLIGRRLEEEKVVEMVEVVSEVLKFQPKPNL UREG_04637 MSNKSIEQALANLLPTLADSLPSDLVQLTAFLLTQSRTHGNVKA EVEIARPYACAEIACKRLSKSLKLPPPISRPPCPPRLYKNLYKHLDQVLSSSSAGPER QKRNDSRLRPRPAATQSHEPAPSVARSKSKSTVNKSSPAKSQKRPRQENTRVIKSTKI QDAPSWTMPMIRRICKALSPHLSNLYPTAPPDVSITFAPHIFAGISTILSLNERAISD IPGQFETEEKDLMLAVTAENYDLESESYRAGVIALAIAVYFMVLVRILGLDQNGTSRG RGTFDDDTLKALATAALKSENVTDEDLVVKDVEAWILIVIKAKWMNGHDWFENVPHPD SAEDEEGEGSEDSGEDEDDGYGVISAKKRRLMNRNCYPD UREG_04638 MSDDEADPELLELLRQSLGLGPPPKNAPPETKVLEGARFIFDNA IDIAIDPAKTKEAAETIWQMMQERSYSTKTWASHELHPKKKNANTVDFIFTMDLLNFS FWSAEKDESKRFAVEYRGTRWTEIPITTPEFWQDQERCTENIIKHVFRSATDEEIPLL QERIACLREAGQVLCDKYGGSFVSCIEEANNSAAGLVNLLAENFPCFRDEAKFDGKTV RFYKRAQILVADIWACFRGKKYGRFDDIDKITMFADYRVPQMLYQLGCLLYSPPLESH IRQLKTIPSGHKWELELRGASIWCVELIRQIIMKNHTAAKAGAYAEGLSSSTSGSQSV EVLDIGSIEEAKERQIPEPQCLRGHGEGLNKEDDDKDEENDEEQPMGVNAILIDFLLY DRMKEMEKDGQEDIPHHRTRSIWY UREG_04639 MAQNGVTPGPRDRQLQQSLGTLSAVIRKASWKQNTAIVSTSRVL LVGAGGIGCELLKNLLLSGFGEIHIIDLDTIDLSNLNRQFLFRHEHIKKSKALVAKEA ATKFRPQAKLEAYHANIKDNQFNVEWFESFDIVFNALDNLDARRHVNRMCLAANVPLI ESGTTGFNGQVQVIKKGQTECYDCTSKPIPKSFPVCTIRSTPSQPIHCIVWAKSYLLP ELFGTSEDDMEMDHSEDSENTEEIAKLRQEAQALKEIRNSTGSSDFAKKVFDKVFTQD IVRLCGMEDMWKSRKIPEPLSYDSLENEASSVDSFISKEDQKTWTLVENFAVFKDSIQ RLVKRLHELQATVSEGPPPIITFDKDDVDTLDFVVASANLRSAIFHIDLNSKFDIKQM AGNIIPAIATTNAMTAALCILQAFKVLKDDYANAKMIFLERSGVRAINTDSLKPPNPE CPVCSVAQGKIFVDPNRATLNDLVEGILRLKLGYGDEFSINNQLGTIYDPDLEDNLSK KLSDLGVGNDSFVTVIDEEDPSPKVNLELLVAERTAPEAQTPVSLETELSIPTKPKVP VVEPTNGTHEQINGKRKRTADEAELENGGPQLKKIAKTGTGSGGDGPIVLDDDTGAIL IDD UREG_04640 MSSIFFSPSSSRNLHIVALRITQLGRFHLTPQWRISVLSSQSVL TEKRQIHSNAPRRPSNTLGRLENATCMVTGASSGIGFAIAQRMLLEGAGKVILVGRNS EHLQNALQRLEEAVPPKPMLEEPQTAAEAASRKETKDEADSVTKPSKWGNFSLKPKQL PPSAMVTVTDRISFMIGDVGSPSFWSGEMKKAMSDVDILVNAAGVSHSSLLPFAKDEA ISEMLNTNLQGTIFACRAMTSRLLRRPTSSHSDISKCIINISSLHALKGGIGAATYAS TKAGVIALTRAIAAEASTSRQGPKLRANVIVPGYIKTKMLDEIQN UREG_04641 MATDTNLPEFVTLTSNDGFDYVISRSAACISGTIRRMLDPANNF SEAVSGRCVLENMKFVHHAPYDAPYWAKIRLTLSSKWCCPRESQANVPDMDIPPELCL ELLLAADYLDIDLANAFVFSRFTESANSVQDALVFDPKIPLFCLTTSQTVRLGLRNKM RNIRDACSVEWDLASPL UREG_04642 MADADEDGMLRPSSTAITSTGVDQDISDEAQDFRFLNNLSLLSD PTLQTLPHRGEKDFEPNPTLHQADTLAASRNAMHNALMYPRLHNPKNRIVGIFCPDGI LEPESSRTVQASQATCAQPPTTENASKVDSTVEGIAQLQVQRQVYRPRGDDLCVCVPN PRGQHFRTMGRADQFNRVWLLPEEALYLLERGSLDIRWPVESSQGGGVIDAIDAMERG VPMSLQAAYACFLGRGGLSSERYIVYAGLRRGGYVVIRAESWRTNLPEALKPATTEGQ PRRFSSEPPRAGLLARLSELFHSIINPQSTCSTVHGPVIGLGIHRSYDDIYRTLSIIP AFNPAIPDSDPTIIESSSRSTSPYRLAFNVYKPSTPFRKSSPGTPDFRLAVINARTHP SVPSLSELGALLANTPLMPPRGEKLDRLMYMRLRHGWRNVILGVVDQGVVSYLRVADA GFIKEPLYEQKAAIGPGGKGGRGTSARKGRG UREG_04643 MAESKEKDSSCFFREDVDKPMLLRLPYAIRRRIYTLAGLILPSA VHITLYSSHIPGSPTAWSARDQKFKDIPGNHPVTIPNQLFYVSRAISNEAIKVFYSEN IVGLDDYRGLLTLQRLSPLALRSLSSLRISVLPRRCPSFPATISEEDLCTRQHSCKLV DKNGFHVNENALCEVLVAQEWERCCTVLASHVQPGRLKISLELPVSTLALGPTIVHQI SRLPTLKQCSATLTYTRDRKLQRLLEATILRVTGQVKSCTFPFNDLPAELQLKVLSHT DLSAPGSLTRINKRQFVLVPCLEMVCLGVLKNKSCHLRGVGNTYMHESNSFKQWINTL NFINKRMNVRSLVLSLHLEFSEEWTVGFLEHTPHLDEEEEKPINSKLDIPGTYRRIVE SVARLKGLKDLFVHIGMEWDEEEAFLERMVMGPII UREG_04644 MAAFVRVSGPPNCNFLVGYPGISATLPRIEGRVEIRPGTGISAP VNISMVTICLQRRETIHPSADSVTKKHLAAPRKELTDIVGKEMLLFRCPMGRDHEEIM AMDLPFVLFLPFGRGGQESSRRLPPSSLQLPSRTAETYYELVVLVQQGPSEQKKYAFP VPLARYDTLSTFGMYNRPESSQKVSDHLVTLGISLPRWSYGPLDPVSVYVQLSPNPDW IAKARKVTIQKLTLTIDEEIIYNHEGDEPQRKVKTLAKRTESVGMKLPEAGYISNLGL VFPSRDLRDSDGILPRAKPAFPSYAVTSFTTTASLYKIEHYLTVKAHLTSAKDIALRQ LIVVCPQDHVGCKEEMEAIEQAAREARHINPDNPMLPLPTIVRAHDPHALDYLNVAIV GNVKKPLID UREG_04645 MSVTLHTTHGDLKVEVFCEAVPKTAENFLALCASGAYNNTPFHR LVPSFMIQGGDISLALPSPDLKSPPQRPSFPFDIPKGGASIYHPEPLEQEIHLPSLRH NARGILSMAAKSVKQSNASSEPKEINGSQFFITFAPASHLDGKSTVFGKVLGLGPKGT EDDTLTKLETAKVKIDKKGRVVQPGDKAKKKDTSQAEGDEEWERIGIESVTIHANPFA D UREG_04646 MASSEDVDKSLRTQQESSAQHLAVKIEERPIENPGEKEDAEAED DVGPMQLESLCMNCHENGVTKILLLRIPFFRDVLLESFECPHCFFKNNSIKAAGQIQE QGAKYTLEVESPGDLERQVIKSDSAIFRLDTLGIEMPKGEGQLTNVEGILSKILEQLE SDQPARKTVNPELYQSLETIIQKLKKILNRESFPFSISLDDPSGNSWIAPAPHDEGNK YQRKDYARTREQNEELGLAAEQESGNMKVAAGDPKDLDIVDGVVYSLPAECPGCTKQS TVNMQKVDIPHFKEVFIWSTVCDHCGYRTNEVKTGGAVPEKGRRIRLQVESVEDLSRD ILKSDTCAVSSDELDLSVQPGTLGGRFTTVEGLLTQVRDQLHGQIFEIGDEDLAPGDS MATEEKATWERFFSKLDAAIKGEMKFKIVLEDPLANSYVQNLHTPNPDLQLHIEDYTR TDEEEDELGLKDMKTEGYEQDATAVGEES UREG_04647 MNQTGTIAQLNFLKRSAEILSSGSPSTSAHLLTVHNQLLHEQSK PISHAQQREFCPSCGTIRSLPLTCTVSSQSRVRKPTRRGRTSPSPSKKSAVVYNCLQC HQQTIHPFEKSEQHQRKKDKLSVNSPPAPRQNNPSPPTTTVASPGTVKAKSNSENASS KKRAKARKQGLLAALQASKPPTTTVASPGTVKAKSNSENASSKKRAKARKQGLLAALQ ASKPPTTQASSTSLGLLDFLQP UREG_04648 MAVPPNYKKIGDFHEYYSGARHAPYLTVFIGGNHEASNHLFELY HGGWVAPNIYYLGAANIIRCGPLRIAGVSGIWKGYDYRRQHFERLPYDDDALRSAYHV REIDVRKLLQVRTQVDIGISHDWPQGIEWGGNVDELFRRKPHFVEDAETGKLGSPAAR YVLDRLRPAHWFSAHLHVKYESILEHNEYVPPRTVNAINPPSQQSRMKDPALNLEAEV DRNKGVQDSVEQPNQAPEPVVSSQSPTMPRMQPRGSEQDRVNAWRGFHEVAAKREAEE NAEYLKAADEFRRRVEAGEIEKPKSQIDYQVTWKKVVTNDGLSREVADVVKTSIKSGK APAQEESSEPAMKNADEIDIEMDSASETAETPNILPSAPALKGDLNTHAEIAAHPEQV DEVPDDLRTQLPASFHRPERAQVTTAVEEFPDGITNKATKFLALDKCELRKDFLDLLE IFPLSGSDMPDSQRPYQLEYDKEWLAITRVFAEGFEVGNKQASTPQDRGNAFYKPRII EAETWVEENIVKKGKMIIPQNFSITAPVYDPSVPVTTLEQPVEYPNPQTAEFCEMLGI HNPFQLTEEQRQLQEEAVLQANEQRKLEPSGGRGRGFRGSRGGGRFGRGGGRRWRGGR RGRGP UREG_04649 MFEEEIQKSRGASLIEILNQTIPDEYYQNETPRVRVVQQTLDLL GRIHTAFVSEPAIGESPLGKQQEPAVEDARRRRALHALLDLLSFEGIYPSLSNGVGVP LEKRVVSVLPTGVIAKQAPNPVDSVTQNLGLLDRILSSLNEILQDARPSIQPIILARI LPDIICGTAELAFNSQGATHSRINSYTKAFYALINGCTTPSLLPVLSSLLQESTATWF KACISSQLSQIPLRKDGVFQTILFLASQFAPALGQRTEGIRLEGPPITVQAIMQSSRL LSSVPQGFSSKVYFGNIASKLFPLLDGKDLDMKKTASYVIGNGILGKRAFGAPGRIGF TIFAQPIFDALNGNITDPVSEWLKTFSSDGSVALDTQTESLDGSIVLNESKLLLALDR LTSLALLHPNPGLLKRLVSPVLLPLWGLQCYAREHRKHWWEQKISTILQTFFSVSANV NRLLKVADNLLWDGPEARVYGPGENGGVSIRKREVGGLGEANILTVIQNLDGRVGMYL ELLAADPRKEEFAGDVFLHVSRQWLLGGLPNEITSEPQLHKKHDELQPEIRKLVSAKI AEKLLDHFKDSLSRHPTKVLELIQQLIESEKNRTQKSAILPQRYQNVSLESLGNIVKT ESADSKDALLPHLPTALVRPATTSSVLLEITLSDSSPGTPRPTAVSPGISDLEMHRQA IHNISSPLAPVQAEGLSILSQLLDKISPILDIPATLTLLLSILTNNDETASTDEFIYL NVIKLIGSLASRHPRTVIKTLAERYADRSEESTLDQRLKIGEALLRTVQNLGNALVDD AGKVLGDTMIEVASRRGMKQKAKEKRERDLSRQQQAASDTELAGIAPSLADQIDEDID SETEDPAKEAYSSKILEAWARGVTADPAPDDLRARASAISLLASAIQTNLAGLGSSLA ASSVDLAISIMTLEPGPESAILRRAAVVLLLDLLKALDEAREAGKDLGFGFSATASSP ATSGQQSTSTYSKARKPTQSFAVIYGR UREG_04650 MFLERLLPSFLAVVAGHAIIVTGKPTVAGTRWPSLPKFPSHRLP APMPPPGFSARKQSENQKRAYNRCGPDFGSCQEGLCCSSHGYCGHGPEYCQAPDCLFD FGSGCDALKWPQGDSTAGIPRTKVGEVPYGVVPIYQCVNPNTVALTYDDGPNVYTNDL LDILDSYDAKATFFVTAFNSGKGSIDDPSYPWRDIVQRMYDSGHQIASHTWSHQNLDH MSSALRREQMIKTEMVLVNIFGAFPTYMRPPYSACSVQSGCLADMDELGYHVSYFNVD TDDYNNASPHQIQRSKDLFDHSMAMHEATGRPMLVIAHDVHEQTVYNLTVHMLRRLYE SNYKPVTLGECLGDPPFNWYRWIDDSFALYPGQTDPDTYRRPGQKPVSNDGTCGKEYT CVGSKFGKCCSANGFCGNGYEHCGWGCQESSGECLFQGEDIDALEKKKHKHKECKCEP IDDKDDEYEIDVLGKKKHKHRKCKCKDDDYGYDYEIDILGKKKHRHKKCKKDKDKCDH YAMDDDTDDINEVDILKKKKHKHKHKECDKNEGTCDAYPMEDDTYDIDEVDSLKQKKH KHKHKKCHKDKDNECDSYVLDDGTDDISEVDILKKKKHKHKHKHKKCDKDKDNECDPY AMEDDVDDADEVDSLKKKKHKHKHKHKKCDKDKDNECDPYMMEEEDDLDNTDEVDSQK KKKHKHKHKHKKCDKDKDNECDSYAMDGDDDLDNTDEVDNQKKKKHKHKHKHKKCDKD KDNECDPYAMDGDDVDNADEVDSQKKKKHKHKHKHKNKDKKCDDHKKCPDTEYDGYER RLLHEGDEAENGDQESGEEQEVIDGPKDDQLPDNDPANEDGQPDDHKEHLDDNDEDNS LEDEPEHDHGEDNDSESEEHEREGHREGQDEHDQDKNEGDEHAEHDERESEDGEEESD ENN UREG_04651 MTAHRVIQDSDESEDELGDIATRGATLQELSQNADASDAKEDDD GPASDRVDPAGAINDVQHSSNPEPDYIVACDVSLHHQNIPELGGDQANVKRRKTAAFA DIAHCHHTTPAVGFGNQLPEADSQAHAPTHNNTILPDATIIEPSEPLFEVVSQNEADI PMKPHRAFEKSFQNTSSRQKPMLIPTDSPHDTEPMSSTTFARARTTWTSYDALAPASS ANSVDELALPVSVQVTVNKYHLQDRRTQIQSKDNSNTDQDDPLSDDFGGTPMEMYRPR PSRSRAKKEELAGVDIHHNTTEYLRGDSPQIPPQFQLNANIESKGGPWNNMRQEETHD PEVDYMKPARIESITTPAIQSEVQPADKRVVPETSAKGRKPAGKKKLKRGKTTSVIVQ KVLDPDVEDDVIWVDERPVVRPLENEKCINPSNEDLRNGSKATAEQTIQKAGTVIETP TVSIQRPDIDINQNATAPKKRGRKPKKKSETVAVEIPPINHGNQDRIEVPIDGLAFHS ATLNDTTLERAQSIASDTMDKPIDVEAQDVTHENEAGQLPLPALELVSNAGKENESAQ PNEPQPSFTPKKSAEKGPDKHSPITVNKQFSYRVGLSRKARIAPLLKVIRK UREG_04652 MAASLKGSSMSWFRDQINVANSFHLWKAFNFFEVSEVHIPEGPS IFTADVSCVCTGSDNLFLGTTDGFIHILSQTFKVIRSFKAYDTGSITHMKQIASTSYL VTLSEDLSNDPVLKVWALNEADKKTGDPRCCSTKSVHNKKRQFPVSAFVVLDDLWQVA VGFANGSVTLLRGDLIHDRGAEQRTVFESEEPITGLEAKRGATTTLFIATTGRILALI ISGKGDGQPARTLEDLGCGVGCMSFDRDTGDILVGREDAIYTYRTNGRGPSFAFDSPK TSIDIFKDYVALVCPPRVPLAKSDALRKFGGSQVDELFNTSTFTLLEPDLKFVAHSES ISSKVKNIFQEWGNLFLVTVDGKVYRYREKTLQQKLEILYQRNLYILAINLAQKAGVD TLQQNIIFRKYGDYLYQKGDYDTAMQQYLRAIDNTEPSQVIRKFLDTQRIHNLIEYLE ELHDHETATADHTTLLLNCYAKLKDTTKLDSFIRAPGELKFDLDTAIAMCRQGGYFEQ ATYLATKHGENDMVVDILIEDSKNYSEALQFIWSLEPSLAYPNLMKYARVLLEHCPQP TTKIFIDYYMGKYQPRRKKEAEVIKDERSQTSGGLQNLASLIPLPYLNASRSGNAKSS ISEPQVANEVEEPLVYDIPKPRTAFSSFVDHPQEFIIFLEALIEKPELKEDDRTDLYT TLFEMYLDTASRKKDPSEKQEWESKAKQLIKGKDIPVSTSNVLLLSDLSGFQEGTTLV REQQALRSDILRSYISAKDTPGVIKALRKYGPEEPQLYIDALAYFASSPKTLEEAGDE LNTVLKRIDRDGLMSPLQVIQTLSNSAVVTMGMIKKYLSDNIERDRKEISNNRRLIAS YTTETEAKRQEISELGAKPTVFQARRCSSCGGNLDLPTVHFLCKHSFHQRCLNTVDAD LECPLCGPQNATIRAIRERQVKAADQHELFQAELQRSGDRFGLISEFFGRGVMKPGNL E UREG_04653 MSPSRPTSPLSLQPLSPSPSDTIFWPSDFESETDDASRVAKRRR IERLGRDYLEGKPLFIFSATLQGPFEEGWVNPWKKSRRPLATKDKHTQPCSEASQTHG AAATPASRADERSLLVSPDSGGKAWNSQQPQRLSGIDAGYQGSEHHTNGAGRTSGSPA APKPGLASTRSSGDRHQSRPSHTIDTEWLKRDQKTLDYRAMNRPRSPSPTPTARSLKI TSLPSKPAQTTARLSSREFGPSVLGSAHAHVSGFTPINHSPTRKPKASMVSETAKPVP RPKQQSKPAASVNVESQPRTSPPATKPMPHKPKLSNINDNPKTNTDTAPTADQIVDPR DIHSRDAAISDSFQYHRVTGAPRGAKQKKIVSKVTKLESGTRLHQKPTEQKTGLKKPS PQLQLNTTMDPLMPESHEAQDQGSTTTIANLPSAQIVPDQPTFQNQLISLYSTEYLAT NGMIVSPSKDSLNQWSTQAAVAIAQKSLQDDLTSPNTLEGDKGQDLSAKSPKDLTRKV MPDQIKPFQSFSTPQPQNSSSGDPPTCQGQTSTQAMINGISPYKFSTEKKVQFGDRSN DGEARAHKQHELVECEQRRCSASPQEPEATRPTSARSNEGPTTTLPVALGENFSVEST GTALPFTLTASTNETRQQDGQGFIAGFDNFDLDQAIADAGSFLQSWELHADISFKTDR SGATSIGQPARSILRANSARNP UREG_04654 MATQEPWLEGLTDDWIANSRLSTFSHALSASPRLPKRPKSSINT TSQHPPAEPKPSRLNNKRSQSPASQAPSYLKTPTSPFSSPASQCTKSTRNRSSSRASL SQVSKRASNTPMESTSTMNIRLDKSNQHGNTPEWKRRLLRGDAPSSESVDLFGPIGLQ KLFTPPSGSHPAAQIEQHARNSLSLRSRLSGNSQRYKVGTLSTDGGSQKLKLLRSWDK ENINLTLMEQMSEVSPADLSRLLRESQISIDDMDMGWLKQDFSRLTLSSAGNSLDGPR DRSASGIEETRNEAISPIPLPTPVAFKDGHSLYFRRPASSASDSLCEPHADQIGEYPD VDDSIEITSHSLPEGLSMGTHEFASAAGFANVRRGGYQEDNTSRNRWLNPSLTPSQLP SSAGARRIRNHSPNGGRQESCQLAPRRAAPPFTPKKQISPQYENSQPSDMKSSGSPLK LFGNHDTFTNNKLLRRMSQFEETFEATLEEGPSCALESAEISEDDTNFENEQFRESQL DISHCHSVQSQHGSHLTRNEEAAFRSSKHADKRVLNSPTKDSAPKRRRTLVAATQPSK AWHEPIIESAEFSSVLVSPQQPDESFRATRPRNEVQPERSSNSVLSQQRSINVKSSLH PSPSKLAADRSHEPYPKIIKHVPATNAARRGSITTQDFLDEATKIMNHIRTRGGPKNG LPGLEEYVAKTEESPGDAFSEASTQEQLSRPPSREVGDLPAQRNPNEPDPRIISHLKR FEDSDELDVFMGASVMSLRLKSRQRGPKPLGPHAETAIESSPANVRIHEGVHSFHPEQ RGTGQESTFSSYDLGISKEELRTATSTESIPTGSTGSARAKGIISSRMISHLIPERVG AMTYDRAHHMWVKGRPNHDQNLASLLSEDDPFRDIPDLSVDELRELIAIRNAPRCQGK AEGLELGNKSVSATDQGQPKQSPSDGNSRPQTRDNASGIPLDTSSVQSKSTQFTSSEP QPDTRATSWATTDLTSQSALLATQLKSDISQHTREAEHESRLHDGYPSPIPSPINGDR KQARSVTITLPSPLASPIDHENENKLGGKHQTKDLAESSPNYLQPRSSESIESIKRRR AALGLNLVQDSLPAGLRTGRSVSRIDECDENIFNELSGLGQELSVLPAGDELSLLAPQ DSPLDTSYSFHLSPLADFTVNQIDESMRLELSYVAERTYSKSLRQVHGTFALAAEELV RHITDVEPYEAYWQYLRRLTLRDKRLITLLQLNKYCSRLQELDASKNRIGQLGGAPTS LRSLNISHNCLSNLTAWGHLANLQYLDVSNNELENLDSLSGLVHLRSLKVNNNKLSCI NGIFNLDGLLTFKARNNRLASIDFRRAELFRLANLDLSGNKITNVEGIDSLTALEALD LRYNELKTFTALERLQHLQSLKLSHNHLQSLDVSISPALRLLYVDCNHLSTIVGLEAC QCLDTLSMREQNSSSEDYGDRLYPPMVDLDLSINASLRKVFLSCNSLSPDLLAPPCTV PTLQLLDLASCGLESLPQTFGKTFPNLNSLNLNFNALSDVGSLDGIFRLSRLFLVGNR ISRLRRLCQVLRDVGGKKGSIARVDLRGNPLTLGFYPSPICGNGRLVRGANDIYHHER KAHQLVKSRNTEHGEDDDNALAPLGGCADIARAEVGEHVSLVRPEAEDVEIEIDDPYT VPLANSAADERYLVHLNEETRLRRRVVELMIHAATSSRLKVLDGLDLSHDGSEFVSLK KDWVWRRLVELGGLKKRM UREG_04655 METSPSRPGPAPRSPRRSHRRNSRHIGKSLARGLRRALFCLVNP CLATYRLIAGPVWNWWTQDHQARLPSEASRVDLVREQRTQDMSWHMVAIPPAASADYL QSVRVYFALAPARRGCTKMSRLGQIRRQGG UREG_04656 MSTDKITFLTNWHATPYHAPLYLAQARGYFQEEGIKVAILEPND PSDVTEIIGSGKVDLGFKAMIHTLAAKARDFPVLSIGSLLDEPFTGVVYLKDSGITTD FRSLKGKRIGYVGEFGKIQIDELTKYYGMSATDYTAVRCGMNVTKAIINGTIDAGIGL ENVQMVELEEWLASQGRPRDDVQMLRIDELAELGCCCFCSILYIGNEQFISQNPDKVR KFMKAVKRATDYVLADPSAAYEEYIDMKPIMATPVNRKIFERSYAYFSKDLKNVARDW QKVTKYGKRLGVLDEAFVPNYTNDFLTWTLDEESADPLGDQKKMCVLQREVARDGGFR RLEVSSTA UREG_04657 MSSFPSAHANSSPGALVTPAPSAHDDSSARNQSNQGDQAASHAP GPTGSSLPSPKPPSPPRSPTIKPSRASVSSSVAVKHRAATTPVEQVRTSASSYRAQSP QLSERDSIFATHYLPSDSDVISPAHGVDVVADGKSDPRRPSALRHQLPEEERPCPPRK VKSFPIRQNVSTLSASLNPARKDVSTANGRDISSCSSARPITQYRSPHPPTPPAPTPL SRGSRVSFAESSSSQLADRRNEAGGSTMLISEGLFAYDGGNSASVRKSLHDFGRSAHD SLPGHRPDRSSSKTGSSRVEKSIEANLTNAEPTSNVRSRKSSHYLGLFKENTTAPERK KREDKPADRQDRRPRNESDRSPTKSTPPIEFRVPPFTLGDTSVTRPSSPERRPQFNSF RSQPEVPRARAHRKDHIPTKAVSDSSTQECMAPPLVRVRTDLQVVPPEELKESQTCPI LPPKSALFESLSCSVPSSSHSRQIGIQFGVDGTVDHPEPEEEQNRTPDTSDGYEDEDE ETERISSALYFPHQRPLDESSPLENESGDALQTEQTVVSGRATIRQEPSGAKPSNHVD ISLLSKDDNSILHGELRQPPETAISDDDRSLASISELETESASEFEPISADDSALSSK EDESSDEAATTPTTLGTKGLSTHLRKRSQHTRPAPAPLGAVELKPYRHQVGGHTTVFR FSRRAFVYIPSLYIGVLNVTFSKGPKRKQTVEELAGNGVGKMPEKSTPPTAQEHDKRD HGKHTSSKSLGDQPRIFSQQQVTGVIPKVILENNRHIIPMDLFMTPHSPTNGRVLHQV RSSEPIEASIPCPSSKAISWGATTVNTKLQEQVLREVFAPPVIHRHRRHTKAPLPKLR SRATHPKDLHTIDGSSKKHSDSRGDRGESLPDSSLSRPSSEKPSLSSSASTVVGANGH LLELTRSESSRPPSLPRDTLQRRRRHSGSGLERQRSMSTNQPGDLLYFEDDGYGGDRE DEIFNMESDETPKLSSNVAALHLNQNGASKRENNHGSTNGDNEVTMPVTLGTNSGEPI RLPVNPKEAQTTRDERVQFFLLLEDLTAGMNKPCVLDLKMGTRQYGIEADEKKRKSQR RKCQTTTSAQLGVRLCGMQVWNVKKQEYLFEDKYFGRDLKAGREFQDALTRFLYDGVS YSSVMKKIPVILNQLSRLENMIRGLPGYRFYASSLLILYDGEKVPSPGDPPKIKINVP QRNGEKGKATFEECDDHSGLRLKIVDFANCVTGEDGIPADTPCPPHHPDDVDRGYLRG LRTLRMYFQRILKEINREDYVERGEGEAMALGPRTAGRQGAANGYWEDGVIDSDPGEV SV UREG_04658 MANSPRPSSIAGGCLCGGIRYTISFTPDMDWPATKNATCQCTRC RKFTGGLVPQLLSVPTANIQPPLSSQQTYKAFQTPENPTGHRMFCSNCGSSVAYVNTN RAHETEIYLGSLDEEVLCGRKGGRKETEWGVWHERSGGLGMEICKTQRHIWIENAIPG ITDGMEGRKWLQEPPEGKPFEDCDLAELKAKLVN UREG_04659 MSNTKRKSRRNGGTNIDKRDFDANRSLLGRVAHSEDIEYDRRTG VPGRSSTDSDGLLSDVVSEIVDRDREMLKREMVRVGSFIWGVLTASCAGSITGFSIYG PLLLSRLHYSQFRVNTVAVAAEMTMYLPVPLFGYLCDRYSPGPVALLSAFLFGPGYLL AALTFRSGPPPDAGGSGWPYWVMILAFLGVGAGTASMYLAAVSTCAKNFAQSKHKGLM LAMPIAAFGLSGMWQSQVGRHLLCEWGPNGEKGDVDVFKYFLFLAILLFSVGLVGSFA LRLVDEEKLIDEAVEELERSGYLDESSFFRPREEVNAEYGTFGAENNGTVGIDDGDGD SVTSSQEEKQKKTWLLNQETKLFLRDRTMWWLAAGFFLASGPGEAYINNVGTVIHTLT PSSYPPNLPPPAGHPSLHVTIIALTSTAARLLTGSLSDAFAPTSRTRYQSQEEYSPVR SKRRLTLSRLAFLIPSALLLSLGYLYLSTPFPLQHPSSFVTTTTLVGFGYGAIFSLVP IIISVVWGVENFGTNWGIVAMVPALGATLWGMVYSAGYEAAIELKLGDTGRLIDYFWK LLTSHLVPTAHGPPARTSTYDYIPSALVHEAKALQPAMAEVISLLSSPETCRPHRSRK SSTSKHGLVRLSNDLLSDILDDSLLELEEIAQPPKRRKLDTALDIPSSQNRLATHTVL SSFISNDSFERSGSYEIRKSTLQRFESWNDEISDPVLSSPRRINTSYHEISKRSTNVI KIDDSFDDIVEDDLLGDPFQFSPPPASQSAFSDRTSGLLAKLRDQPARGSKKGRKATA SVQSSDEDIPLPRPNRTKPKRATQKTDSDKASSALEKEAAKLRRQKEKEEEKERKRKL KEEKEKAKKLASDIAQANKLKINKKESTPEMLIDLSSTFQGSSIGTQVNEYMRHLEVQ TNFIATEIPNIVSWRRKVTAIYNDEAGHWEPCPLRIEKEGHVLCFLTAQNFVDLVASS GASQTVESHFQNVIRHYPGCKIIYLIEGLFAYMRKNQNIRNRAYQAAVLRQIGDSHDA TTEQRSSSRRSAKTPAPSAIDDDRVEDALLQLQIQHHCLIYQTATAAETAEWIKNFTE HISTIPYRRERISMQNAAFCMDTGQVKTGTDADDTYIKMLEEIQRITAPIAYGVAMKY SNVRELVNGMKAHGPLSLQDVKKCANKSGALTEARIGPAVSKRLHKIFTSVDPCSADI UREG_04660 MKAAITSHRSWAISSTKGPMANMCASFSMFSAIIWGFKPCNLKP TNILLELEHSQDAISRYLAAVPPRTSHQDGFAVPLREVIKTPLVSEMKQPRIRIVDFG VEFVQGIVLFSGIASEKGSWTADDDRLAKMIEILGPFPPEFLKTGNRTASFFDEKGNL LRLPDLRPTTLERLINGTSKPFIKPQDMTDADIPIFIDFLRGMLAIDPKRRKSAAALL QHEWLRP UREG_04661 MDTKESVERFGDGEEASCSAQMPSSEPQNTVLYTVLNTEEVDSS IREFSYIWSSGGFDIGKPDTGRLGGGGDGSCHIAIFKIDTEKPESTQNKHQDEHTVAA EPQSDHELLTLPATCYSAEKENQSMDLSKGHRRDSSSVYTPMPRFPVPESSFDTQSIQ RETNQLSNSAIRLPFYDGGSAKYSDCLQDGEAYCTNRYVRPGTASTNRVKRPRYQQDV HYWQDTTRGVQSSDIPRFQVELPLPRSRSVGRRFISRLKFWKPVNQDNASSKPQSYMS HSKRSITASIASSWSRKAKGYLKHMSTRDMYPPKKAKSSHHSIRTVSPKSIFSRPQKS PVSNYSDFLTELNSNNRVGTSARCPGTPLSSCSKDLPILDVDIQMPMGEPRPFQCTFC LMQWENNGEWTFHEAAFHMRPYGNLYPREDAAGNVEDTDGEVMFSMSDVLSIHTDNEH PISTDKVEEAANECLSFGYHLYTDNPQTEEEPKKAESWNWLEKRSSWFWNCGFCELIL RTWTERQEHLAEHFEQGITMLSWNPLASPYPFSKFTLTPVEGFPPWDFSPLHSLQQPG FQDDVYRASNCPSDRECNTCKKHFPDVQSSMQHANLWHNTPESWICPGPEHATNPAVF FDTETVTADASDLASLNLDEHPDLQADQTSINTKTIYKYDYCLCCGEVFHESPPDWDA RKQHLRDMHHISETDDIRYDHRHNHGQRFYREELFSLHLANCHNVRLDYLTEFTEFCR KKAQAPVLMVQSRHLEG UREG_04662 MRSLIFTRCLALFQTAWSGCSGNDKRPHSREPSGHGALNQSTRK RSGTADVAVFGLSSVLSQQQPSESRRQAGKVRPVATGQLVTVGWMAGNLVASHHPTRY GRLVDEEAFTCWGIKASNRQVNRSAGVPADHPKAITILA UREG_04663 MPSHPVPNSRPRRKNIIFIHPDLGIGGAERLILDAALALQSRGH RVTIYTSHRDPSHCFEEARDGTLNVRVRGNTLFPAHVGGRLHVLMAVLRQLHLVTGLV WERRKATIRLDKEEEEDAEDDVFIVDQVPACVPVLRLFGTQILRGWRRKGRERILFYC HFPDQLLARRDEGHLLVQLAKGLYRRPFDWFEGWAMSAADKVVANSRFTCEVVKEVFG NSLGGIRVLYPCVDTSSKALQSDGQISRGDGSLWGGMKILLSINRFERKKNIGLAIRA YHGLKPQQRKGTRLVIAGGYDNRVQENVQYHKELDSLATNLGLQTATSKTVISALSIP ESVDVLFLLSVPTAFKQTLLSSSTLLLYTPSHEHFGIVPVEAMHAGLPVLAVNTGGPL ETIVNGKTGWLRDADSVAEWTGVIAQVLSDMDATQLESMGKLGRERVEQYFSLNAMGD SLEEVIDEMVGSLRPTFIRAQQIIIWLAVTGLLVSMLVGAGLKFRPK UREG_04664 MAHKRPRILSAFLSHLPDEDRVKLQQEQPLPTNHNPQLSLEEFM EKNIQDLGSRLNYVKEYWKALQASCRQSLIIKDKKVEEELRELEDIRLELEIETYTVK RQKRLILDDMKDGYPSTWKIEDAYIAAIRTKTMAATINKRRFRQTEFKKVVADYLQAQ RQTEGLKYMWCHILGDWLEARLVKTAHIVPKSLDPDAIGYVFGAFSMTLDDERNGITL HHSIEEAFDRGTIVIVPVAEGQIKRWKCVLMDQTKQKLMVMPGVKWQVGPRQGLFSSL YPLLYQP UREG_04665 MEGVSRKPVPSHYNEPDARDTVHTQERPADDAFPPQYDPLAPSS PWRTGIWRRFPWTGYAALIIALCAAAVMIGVLVVSDGQEVSQWPVSPAVYLAVASAVA NVLLSFALARGTEIAFWVKALGSKTTVRDLHNTWSFGTSLKDAVLSGKAFNLVALAGI SVALAPINGPFLQRASTVSVEQSSRSAFIRVPVARELPLGYTGTIAGRSHNTAFLTSN FSAVVNDYLQQRLFNVSRSGCSGRCKGKMLAAGYKIACAQSFPILNMTPGSNNISTVT RPHEAFGTNFTFDRQNLGGEDSERRYAERLLYSALVKRDVGCTTELKWQKCSLQPALL EQHVLILNNSITLDPDYTYKDDRLIQYNLAFTSGGETSGTGTTHGGMGLILSSMFDSL ATVSFSGAVGYFLAAKGRASYQYSIKTFFPLDFNCNFSWSDPTEDMLATARDIAFNAA LRAANVSNASDLQNFSVTQEQMVPLYRSNYKFLAVALVFTILSAICVALIFSGFWKLG RDVTLSPIEVAKAFNAPTVVSSDSNSTVNTLMKEVGDRQVRYGVLSDGHFGGTIVRKL AMGDRELISAPEEGGFYGG UREG_04666 MGDYADFPDPDTNEYDDLDEYTEGLEKYNPVVTRNLFYPICLGE VLNQRYCLEHKLGHGGFSSVWIALDIQNGTNVALKILAEGLGGNEYHMQEEIFKTVRD TSHLTTYIDTFVLPGHQNNHRVLVYPLRGPGLSIFTLLWTIWTEMASTFTVDLLLSTT SIADLGSIANRTQKASFAGLRQSGMSSTLELPAPNSSLDL UREG_04667 MTNSPPGSPTRSGLQRPMSAMIRPPRSHSRLSVGSKQGGSRASD EDGKTAVKVAVRVRPPLKPTDPGYELIPPRFQRSTVHVTSDTSLAVDAPQGRKLFVFD RVFNETVDQEGIWQYLQDSVNSFIQGYNVSILAYGQSGAGKSYTMGTSGPSEQNDPHA MGIVPRAAQILFEKLTESNASHSRTGSTGLRTPARYSMTSSIPSFGKANLDKNWQLKA TYVEIYNEQLRDLLVPESTPLGERSTVTIREDTKGRILLTGLNQVNINSFEDLLGALN FGSAIRQTDSTAVNAKSSRSHAVFSLNLVQRKDLTSTMSAREKRFSVPIEALSGGETS FVTVDSKLHFVDLAGSERLKNTGASGERAKEGISINGGLASLGKVISQLSSRQAGAHV SYRDSKLTRLLQDSLGGNAITYMIACVTPAEFHLSETLNTIQYAQRARAIQSKPRIQQ VADERDKQAVIERLKAEVAFLRQQIRNSEGSERRNGLLQDRSERQTEREIELQNHLLD TQESYTALSQRHAKLISELTKASDFNGDEDDLQNIISGSAMERLKRSHSFAESVEQVV LEYEKTIQSLESSLSNTRSSLSNTESTLLERETKCAYVETVNAQLQARIQKMMDREAN TENYLHDLESKLDGHATGEEKNASLIAELRKEIARARENESSCEDYISTLEERLAEAD QDMELMQREMERLEHVIDRQRSLGKLDTLLYELDHIQQNGTKTEKENTINRQPSSVSK RKSSRARNHSLDVLTEAVETAIPESDDDLVEQSPVPEQAIPHIDAPNDDSGLETLEKA SAPQVVNVLATDDRYGPQSPAQSRFVADKLESVTQELFELRIQHESTVNDYDLLNAKY DEALRTLAELQDAVDEARHPQKSNILSPAHGTHPVSFLEDVGARQPPNGKQHSSSQSL SSELSLEGQSSTSHGEPDTPATQRPESHQQDASEKDEADSRELERMRKLLIEHQEGMG MVTQKYAELQAEHEETLGIVDQLKLEIQRSKMASPTTPTTPKSQVIRRMTSQNLINPD RAHRSFAALRNIATEEFESRPDTMQNFELNLNTAMHELHSRMERIQALEAENKNVKRE METKATIISGLTRERSSLQGQSPIDSSVVSQMRDQIVHCEAQIDELQAAHEARERELA VEMQNLNEMLASHRIALEDKEAEIQNQKQRIVHLEEENTEWQGRHQSAIRELQSAEEK LQSTLEDLQKASVERSQWQDKHQVAADSLVASEKQLQSTLAELEAALASVESLRTEQR EADGTTAQQIADAATSLRDERAKHEELVNNLQKDIEEQKAAITANMLTISELEKAHAD SKMELANHFAAKEVSDKELTSYQSRIVELSQEIDNHKAMAEIQKTNLESLQSSHSEEL KELESRVKAAAEAEYKARIAEDDAKHQLALDDLQSEITASKDELTQLINTISSVLNAP VTTDSLHNQLQDILSQKQHFADKYSELIEANEELLRQLDEKQNSHSILEKQVSSLNEK TEKQEVKVNELAHLVATHEDSLTSKDELIKKKEALITELTVEKDKSLRLVEELEEQIT NTFDQHHNRLSVIQAERNQALEEANAKVSLYEKEVESYRSRIEQLETHPRIGTPEGHN VDRTSSMSSNLRKSASAASLPSPPPAIPLPPLPNIAAAANTGSISPPSSRHTSKELVN AQLVEDQEARIRTIEKHLHAEKQLTATLEEALGDLEAQSNKVKTDMEGWKKKAWQLEE ELNTLRSERNSARLSLQAVEEERNARREAEAARAHLEEKMNAISKKKKKSTLNCF UREG_04668 MAHAGLFGWDLPRLSLGGESIRDRIEIKDETSSEEQPKHPGESP ERSSASVVLTGECTRNGIKVLPQPTVDPLDPLNWKKWEKNTILGIVMFNYFLLTYATS VSIPAFQYVQEAFNLSFDEVNKGLSLGALGWIVGPITLTSLADIYGRRIVQVISTAIA LVATIGVATSATFPGYLISRFFQGYGASPAMTVGMGAGLLVDSLGVNWDSWVSAILFA VLLLLQLFFMPETLYPRNFMLCRMPKIGETAAIDQEKAAPYAITIDEVTLKRTKDLPF LNFRPVPGLEHPKVWDTMLRFFLTFRLYVVVIACVVVSTLVYSWEIAVLTCFPLAYGD ESAATQALLYLGLLVGTVASEILCSGRLSDWIVTVLAKRNNHIRVPESRIWIMYPALL ATGAGLALWGMSIGRKSHWIVGQVALFLCKVSVSIFQHFLEMTDGFFISPWIKASGWT WTFAAQGIMVAGAVVPVFAALHKYGARLRAMSPLPSWVNPEYDTPN UREG_04669 MRFLQSTSVLVGTILPFLSAFPTYRPNENAIIPDKYVVTFKEGT TDAEMHAHTAWVSSVQRRNLAAGFTTAEAPGVEGMYNINTFNAYSGSFDRETIKEIKS HPNVKSVEPDRIVYVAGLVEQTDAPFGMKRISHRSLPITDGYWYDDKAGEGSFVYVMD TGINKAHNDFEGRAIPGVNLHPDSAFDDSTGHGTHCAGIAVSKTYGVAKKATVVDVKV FNRASGAWSLLISGLDWSVKNITGEGRLARSSVSISISGATYGPMNEAVKAAVDAGVV VVAAAGNDGRDASRNSPGNAPEAICVGSINSRRNTDTRSRFSNYGSTVDIFAIGEGVL STARNTRTGTANMSGTSMATPHIAGLVGYLQSIHNLPNPAAARRMLLQLASTGTIADG RGSPNRLGYNGSGK UREG_04670 MTGCYARQCAEQPDHLQHPTLISSNVAICFHPWRSRRLFPKLQD SHLVNFFLISTPEYQLVMPLADNLLARPLTPDLEASSGGDTEHGLALPEENVAPLKPE A UREG_04671 MAGPHPRSDSPSGQSVASHYDDDGSVLGTGLTSRHLEAFGRKVT TTASHLMAPKTDPTFTTHYQNAMSDIQRELRRPTVQRKVFSFTQTSPTDLVRSKLSTS EIQYRALSALPDELLANIPADTSTYSLFQGFQASIPDDNEHRKSHRRRRSHGQKTLEG SGKSARPLPQTVGTLKRERDTLNRRLEMMGIRKNMCSTEIHEIDNKVANLNHMRKIVL DRLAGLEMDEAELEHELVQLENKLEDMEEGVGESAAGASTPKTFDAETTPVDPENQAM DASFMSESIYEKLPSPKTWRHKATRKRSMPILHEHFEPGSMIREIQAHNDMITAVDFD VPFGTMVSSALDDTVRVWDLNLGRCMGFLEGHHASVRCLQVEDNIVATGSMDASIRLW DLSRASYAPRDNRINKAEDEDDDDALGFEDPSAEPPPPPPSSMEDCPLFSLEAHVDEV TALHFRGDTLISGSADKTLRQWDLVKGRCVQTLDVLWAAAQASSTMGGGESQWRPTGR LPDASADFVGALQCFDAALACGTADGLIRLWDLRSGQVHRSLVGHTGPITCLQFDDVH LITGSLDRSIRIWDLRTGSIYDAYAYDHPVTSMMFDSRRIVAAAGEDVVKVYDKADGR HWDCGAGTTAEEEGTTPAIVERVRLKDGYLTEGRKDGTIGIWTC UREG_04672 MVETRRGRSGRSVSQEPSGQNQYTRQGTAPLGSQNDATAIPASS FDNPSLPAIQTQQSFAYGATGSPAFPRQLRASPPMAAQFGAKIERPSLNTEANDFERI QEQARANPGTGRATRLRTNRQSASPTRRTPGRRTRTREPTPDDQLLGSLREASEEAEG SKEVVLPSIEDSSVSWNTERHVIADPRHGSVANSADNASGAGSFQVQPQWRQVHPMAG PPLRPSARSQPAANFQVPQEARVGPSVVASSQSAPQLGAIPVLAPSQGEDYGDATPIS KKAPSSAFHSAPPTNRSQQGVRFALMTVFFMLFAFGGMLIKVEDVRGVLPKDIGKGLN LPPSFCGGQPATSQYADALNKLSTGVDQRLADMARDVAALKDEWNKRLPHLRQAIWPE REDPLLPRRINWFSTGMGAFVDPYLTTKFRPGLLKGGTERAVGVKRTNPPAAALTRWD EHGDCWCVNDHTSEIQLAVLLGRPLVPEEVVIEHIHKEATLDPESAPREMELWVEYSS RSASAAPSTVPPGARATVAPGSSEWLTQRPELLESSAEAREAYSGPLSPSQREDIIST LRMAYPDEPETAYSQDTKLGSTYYRVGKFQYDINGKHNIQRFLLDAVIDLPNIRTKKA VLRVKSNWGSVNTCIYRARLHGHM UREG_04673 MDAAIDLSDASKALDLDNIRFQLIRLEDTITFHLIERVQFPLNK RIYIPGGVKIPGSELSLMEWMLCETERIQSRVRRYQSPDEYPFFPSVLEEPILQPLEY PHILHDNDVNINDMIKSRYINEIMPNACRKFGGREDRGETQENYGSAATCDVSCLQAL SRRIHFGKFVAESKFRKETEKFVKLIKAADRQGIEDAITNVQVEKKVLERLRLKATTY GRDPANPDENSSKIDVEAVVSMYQNAVIPMTKIVEVEYLMQRLRGTEWEKQ UREG_04674 MGKRKQLKDGDVEMGNDGRVGDESSDEEMEVVNVDFEWFDPQPA VDFHGLKVLLRQLFDTDAQLFDLSALTDLILSQPLLGSTVKVDGNETDPYAFLTVLNL HQHKVSAVIGNRQHCAANSFRHDRTCLSYSP UREG_04675 MSGRKSHVGNPSLYEDGDQRNYSQAEIEAARRNREHPPASSGRG SAHSKEQQARRGSKSPTDEELAKKDPLAPATFHGNKPSRGAQVDAELKRDDEERLREK GLK UREG_04676 MPKYGPCKKRLVGIVSLNDLSSEAPSISNRDLELATKTKYSNKV RIQLNYIRDSLSDQLPPFMIPQIWVVVRGIPLLASGKLDRKTAMTWVDNIDENTYEQI MGIDDENKDAEEASGVAKLLQKIWSTVLNVPLNKVKLNQSFMSLGGDSITAMSVMSRC RQEGIHFSLHDVLRCKSIIHLSQRAGSTVVAQEKEEIIDQPFSLHRFNDIGASYRYRV HDLIGMHQIPRLIGESQTCLDIEKGPIFAADIFNVQNDGQMLFLAAHHLCVDMVSWRI ILQDLQEHLEKGSLSGEKPLSFQRWCSMQAENCEKNKLTKLLPFDVKPSDLSYWGMEG KRPTYKNAEYHTFQVDEEITSKALVDCHKIFQTEPIDLFLAVLAYSFGQVFTDRGCPP IFNEGHGREPWEDSLDISRTVGWFTAICPIQVDVDSTVYGKGDIFDTLRRIKDTRRNI PDNGRSYFAQNLLSSKTMASADTTMEVLFNYLGRMQQLERDDSLLQQTDFVSNEKDML ATSDVGPDTKRLALFEISAIVQEDKIQFSFMFDRGMRRTQDINRWIVECQRVLKEVVL RLVRSSPEPTLSDYPLLPISYEGLKKLVKVTYPKAGVTHCGQVEDIYPCSPMQEGILL SQLRDTDAYLFNCIFEATLKQSEKQVDVEKLANAWQQVVQRHAALRTVFVDSLYKGGT FDQLVVKKVDSGVLFVNCHDSDAIAKLNSIKLKDTNFKKLPKLPHQVTICTTTSGRVL VKVEINHAVIDGGSVGVMMRDLAAAYEDRLSNGTGPLYSDYIRFIRDKSPDAEIQFWK NYLRGIEPCHLPKLNSEMKTKRQLSVFEVKFDRFPELHELCEKTNVTLANVMHTAWAF VLRTYTESDDVCFGYLSAGRDAPVDGIQETVGAFINMLCCRVRFSPSSSIHDVLRKVQ DDYLDSLPHQRCSLAQVQHELGLARKALYNTALSIQNHSQSKDAVEENLTFETLSAHD PSEYAVTVNIETAKHDEAVILRYWSDVISGYEVEKLGNTMAHVLTSFLNQPGQHVADY NPLIVLESNAIRNESVKATSVSREQNEPLNPLRKPDLFVEQDTESFYQQSMVGSSPDL RKAVDSCVREVIQEMLQSGTLQSNAGFDMSDLMNRKISEVLEKNQAFTESALTKSHDT FSPYRKQRLELPSASTNSYEALDYNPKGDPDSHSMAAQIRRRGRSAVIEKKLLALWSS MLEMDEDGITPEDSFFELGGDSLTAMKLVGAAREEGLALTVADVFRNPVFEDMVAMIR VASLMTAYEDGRDDANVDGGDLTQYRRAVPVLRSAAKSELYQRFSLVKATNVDAFLQS NICPKVGVFKGGIADVLPVTDFQGLAITGSLLESRWMLNYFFLDGNGPLDLKQLKLSV FRLVHAVDVLRTVFLPHGDRFLQVVLRKMRPDFFVYETESNMDEFTAMLQQRDRDQGP RLGEPFFSFTVVKQKDTDYHRLILRFSHAQYDGVCLPKILMALQSAYHDEPLPPMSSF TNYVRTTASTITSDHYQHWRTLLKGSRMTDIIRRHGPNYRRSAGSTTQLKQIILLPSI AHGSITTATVVKSAWALVLAQLSGRSDVVFGHTISGRNATIPGVESTVGPCLNIVPVR VQFCEGWTALDLLRLVQDQQVSNMPYEALGFREITRHCTEWPDWTNYTTVVQHQNVSY GNEMDLGGNTYKIGGVGADEDFADFSVISTPKGSDQCELTLSFSQNSDITPIFAQKVL NMLCNVASSFTADPNSSLMSPAELTKLAPQIIEETSRPNDNYFVSSQLQGLNRADLLV LSDVLSRSWRQVLGDDNTNGLNLESSFFDLNGDIMGLAQVSWLLEQEGFKVRIEDLID HPTMLGQMAAMCASKVEQSKMSESMASLIDEDTSTPPTPTTPVPKSEKKSWLKAMGMA RRIVKRNTRPS UREG_04677 MATNAGLAHNAAKHWQNHLAGLTPCQFPRLGAKSHQRTKRPMTV RMNLRESQKLQDLAVSGGAALPTVLRAVWALVLRCYTDSEDVCFGYQEIGSGAIHSEV NATKGLFGVPIARLQLNGDATLGELIELAQGEYSQCLPYHGHAPFARSSEHQPFNTML VFRTSNVGASSDASVNSRPFNAILPEECRIRILAKQLNGTISMFMEWWSSDMTMDQAL DVASTFDRVLNIMFCSPQVRIENIDWFTDRHMKRILKWNNKPLEKVEKCIHEVFQAQA VRRADSEAICAWDGSFTYAEFDRVTSRLANHLVEMGVGPEVRVPCCFDKSKWYAVATF AILKAGGAFVPLDPAHPIPRLQSLIHKLEAKILLSSTHHAGRLLETTEKIISVDQELI DNLASLPTQDNYVPRCRPGNAAYLIFTSGSTGEPKGTVVEHAAYVSGAKAHAPALSIS EESRSLQFAAHTFDASLVEMVTPLLVGGTVCIPSEEARMNDIVGAINEMQVNLAVLTP SFVNFVEPAQVPGLKVLVLAGEAMSQSHVDIWSQITLINGYGPTESSVSAVTNSHVTA ATDPKDIGFPTGLHCWVVNPDDHHQLLPPGCTGELLLEGPSLAREYLANPEKTSDAFI NRPIWAKQNNGECRRFYKTGDLVRYNSPEGSFDYVGRKDTQIKFHGQRIELGEIEHHV AADPNVNHGLVLFPKNGRCKGHIVSIFTLADHLVSKVPSDPKLLGLVARDVRHTPVEA IRQRLTANLPSYMVPSIWLCVEMLPMLSSRKLDRKAVSKWVDEIDDEFYLQVAPAENA GDDSEEPANEVEGKLRMVWSRVLNLPINRVGLSQSFLSLGGDSITAMTCMGQCKKAGL GFTVQEVLRSKSIRDLAVLARTNIQTVDYQEEVEQLFDLTPIQQYHFQVRREDQGHFN QSFFLRLAKPTTEETLRQAVHAIVDRHSMLRARFSQTADGNWKQRITCDVASSYQLRI HQCRSRDQAESMVGDSQRSINAENGPLFTADLFDIDGKEQLLSVIGHHLVIDLVSWRV ILEDLEELLLDPQSPSLASKSIPFQTWSKLQIDHCQDLDLSKVISTEDIPSGNFAYWN IDPRLNTYGDSACEGFEIDAPTTSTLLTDCHDVLRTESLDILLAALIHSFADTFHDRV VPAIYNEGHGREPWDDSIDISRTVGWFTTVYPVFVPTLASDNIVDTVMRVKDIRRRVP GNGRPYFASRFHTEGGKDKFRHHAPMEVSFNYLGQYQQLERKDALLQPVDEMAGEARE AGGSADYDKTTPRFGLFEISAVIACGKLRFAFTFNRHMRHQSAIRQWVSQCQRNLQLI AEQFVAMAPKITLSDFPLLPLTYDNLDSMITEKLPQIGISSFDQVEDVCPCSPMQQGL LLSKTKDGAFYSVHGTFEVKPQDRDRVDADRLASAWLKVVAHHPALRTVFIEGLTSDG LYNQVVLKSVSAELIRLQCKSENDVLHTLQKQESFDYKVSKPPHRFTVCQTSNGKVFC RIELSHAIMDGMSISIIFRDLELAYAGKLATEPATPYSAFIGYLQTQPIAAGISFWSS YLKGVEPCHFPVLNDGMTAEKILRTLRLEYKHLSDLQSFCDSNGLTLSNAINTAWALT LRSYIGSEEACFGYLSSGRDAPIPGIDNAVGPFINMLACRVNMPVNVTLNKLLDDVQK DYMDSIPHKHTSLAEVQHSLKLSDVALFNTCVSYRRLPPRKNAKRQEIEFSEHCPIHD PTEYPVSLNIEVSDVQVAIDLDYWTDSVSDQQAKNIANNFIRSLENITYNAQQLIGEL DNVSAKNYEMIWSWNSKIPQCINECIHEVVGKQAKARPEAPAICAWDGEFTYRELDEI STLLANYFAALGVVPGSFVPICFDKSAWTVISMLGVLKAGGACVPLDATHPKNALEYK VMDCDAQMVVASPQRAAMFEDMVSYVVAVNADLVDQIAEYDEFYGTAAEPTDPCFIIF TSGSTGKPKGVVLEHRSIVSSAEAHGSALGFGPDTRVLQFAAYTFDNSLEEMFTTLMR GGCVCVPSEEDRFNNLAGAINKLNATFMDLTPTVASFLQPADVPSIKEIGIGGEAMTK AVKDIWRSIPIHNQYGPSECSINCTHNPHSATSGDVSNIGKSTGSVSWIVDPVDHNKL VPIGAVGELLVEGPIVSRGYLNDPEKTAKSFIENPSWVAKDPNRRAESTRRMYKTGDL VRYDSDGSIVYLGRKDTQVKLNGQRIELGEIEHHVKTNLPDSTQSAVHLITSGGIKAL AVFMCMSTSSTTTTTDSDDLLLPMTESIRSIVRALESALSAAIPTYMVPSAYIPVTKM PLTASGKLDRRALSHLALSMSDEQASTYRLGGMGGGREPATQTEKLLQDLWASILSKP AEAIGADDSFFRHGGDSIGAMKLVSAARSKGLVLSVAAIFQKPKLSDMAADCESSIHP AANSEPQSAAEREPIKPFALLPKDVSIVPLIDEVASICGVESKSVQDIYPCTSIQGGL IALSSKQPGAYVAQNVFRLASDIDINKFRKAWQAIADVDAVLRTRIVFTEELGFLQVV VNEPADWKSVKTIHEIQNEDRHLPIHDGGALSRYIIVGEGSKSPQFVWIVHHALYDGW SIPTLLDRVAACYGNPNLSATAVEAPFAKFIEYLNSIDTKVSDDFWRARLDDPTSAPW PRLPRPQYQVNTSEKIARLIPIPPLLDREITVASIIRAAWALVVSLYSYSDDVIFGEM LTGRDAPVPGIEDMIGPTFTSIPSRIRINRELTVAEYLKDVQNQFVQAMPYQFCGLQN IKRLGSDAAVACEFQNLLTITQDADESADGFWDMVGSGMGGSNFFSYPLNLSCTISKS EARIDTHYDPEIIPSWQVEQVLSHLETILGRLASSEHSQVKVGDMDLMHSRDLELLRE LNSSGATLYDRCIHDMIFDQVQKDPNAMALDAWDGSFTFKQLDDLSTNLAHHLITLGV GADPETFVPLCFEKSAFIVISMLAVMKAGGAFAPLDPTHPISRLQEISADLSAFLVLC SSKHESLCQSISPQVLVVDMEFVKALPPPKQRLPPVPSTNPAYVMFTSGTTGKPKGIL IEHRNFSSSAMAHGPVMFIRARRRVLQFASFTFDPSLLETFSSLILGACVCMPDEFVR LNEIQEFINKMNIDWAEFTPSFIHLLTPEEVPNLKTVSLVGEALSHSHVTTWADKVEL INGYGPTEVSVLATVNNKITVETSPVNIGQRLDRCWIVDARNHDRLVPVGAVGELLVE GPTVARGYFKNPEKTEAAFIRNPRWAQSISPGDRRMYKTGDLVRYNGDGSMDIIYIGR KDTQTKVRGQRLEVEEVEHYLRADEAVLNCLVTVPTSGLHAKKLAAAIFPKGIAKGDS SNKLDLITSKNASSTVTAIRERLRQRLPPYMVPTRWLSFKTVPILPSGKLDRRQIIRF IEQLEEQQDSVHQASKAVEAKEIGVPPQIEIAEELRRICSHVLKVPFEEVELESSSFL HLIKKFEKAVEVAKTPTTNAVPTADIVQELRRVWSHVLKLPLEEVEPEASFLHLGGDS ISAMQVMARCRSQGLGVTVQDIMQARSITELSRMVKISSGTNVRTKFETEEPRKLEEG KPFDLSPIQQLYFDYIGEARGQFNQSVLLRFTSPVKSDKITRALDDLVTIHPMLRARF QKDQNGIWRQRISGEISKSYHFRIHKSAQQHHLESLIEISQKSLNIEKGPLFAVDMFY LPSQTVQISLAIHHLIIDVVSWSIILQDLEDALSGSQLKPHSSLSFQNWCELQSKKAQ EELVETVLPALDVPTADFDYWGMSNLPNLYGDVLTEEIELAAHVTTKLLAACSDSFGA EAVDVILASLLLSFCKEFPDRSMPPAVYNEGHGREPWSMDLDLSSTVGWFTTLSPICL PADASVEGAHAILDTIRWVRDSRCRTSGKGRSYFAYRFLTDDGKQRFDKHWPMEICFN YLGQMKQDEHNDAILQPVDGAGGQSINTLSDIGAEVPRLSLIEISAVITEGTLKVTFG FNKRMKRRNGILNWASACSSLLNDAARFTRRETIGPSLKGFPLLPLVYGAQTKLEEQL SEFAVPSIDAVEDAYPLSPMQQGILMSQQKDPQKYAFHFAFEVKSNQRSHRVDARRLE AAWQSVVARHSSLRTIFIPSTSSQNLMDQIVLRKVQGRTIFLKAQTAEQAFAKIEPLE CSEQRTPHRFVICETFDGRLFCKIEISHAISDGTSMGLLLEDLTKAYETASSLEPGPL YSDFIQYLQETPREKGIQYWKDYLSGIEPCLLPSLANVSRSAKRQPGEYVFTISHGAE LQKFCRTQGLTPANLLQLVWALVLRAYIGTDEVCFGVVSSGRNVPVNGIQEAVGAFIN MMVCRLTLSSGTLVGDALQQLQSDFIRSMDYQTCSLTEVQHELGLSDASLFNTVFTFQ KGSNTDSDGPMISFEHLNSTDPNEFNLSINISLIDSSLVIGFGYWSDTVSDQHVVNIA NTFEHLLRELIAENGGDKLIGDIDLLGEHSCKQIRSWNSQLPKAVNKCVHELIEQQAL LRPRMTMAVEGWDASFTYAELDSISSRLAAHLTGFGVGPEVYVPLCFEKSAWTIVAQI AVLKAGGAFVHVDPAHPESRLRMLINDVGADFVVCSPKYHEKASRVAKTTFVLDPKSI RKLPRSQTPPATAPQPSNPAYIIFTSGTTGRPKGTVIEHGAICTSALAHGEALLMNGS SRVLQFASYTFDASIMEILTGLIMGGCICVPSDEERMNDLPRAITKLRASWTCLTPSV LSTMKPERIPTMKVIAVGGEAMSEKIMEEWKGGPAIINAYGPTENSVVACASLKVDAD GITRDENRSSIGNAVGCRGWIVDPSNYNRLVPVGAVGELVVEGRTVARGYLNNPQKTA EVFIDRPDFTAEPRFRGLFTRQSRMYRTGDLVRYNPDGTINYISRKDTQIKLNGQRIE LGEIEYHCKANLPEQTQVAVDLVVPSDRAKKTLAVFFTTSGSGSHQHTLVKTDGVKSD ELLVPMDDTIRAVARSLETGLGTAVPTYMIPHLFIPVSKLPWTSSGKLDRARLRNMVQ DLSKETIRLFRLSGAMNKPAATSGMERKLQKMWEKALALPSGSVSTGDSFFRLGGDSL AAMQLAGAARAQGISLTFGNIFKYPILTDMARTCGALKADAEAELKPFCLLSESIPQV KKEVSELCCVPESEIHDIYPASSLQEGFITLAIKQPGAYVAQNVFRLSATVDIHKFKA AWQTVVNELDMLRTRIVHTASSNFLQVVLKEETIDWTTVSSLKAVGEKVAQLPSYNGG RLADFVLIDDKSSGSHYFVLSISHALYDGWSFPVMLKRVENAYFEKTSPPLQTSYARF IQYLTNADLVASDEFWRSNLAGISSVSFPQVPRSLTEQYSATRTISSRTEIPTQRPGD VTIPSIVRAAWALVISDHTGVDDVCFGETLTGRNINVPGIADVVGPTLTTVPTRIQID RKGTLASYLQKVQQASAEVVPHQHVGLQRIKRLDGDTAAGCDFQNLLVVQTSHEDAHE SLWHFQETDDVQNFFTYPLVLECTIGDNYVNTTVYHKENVINSWQVQRVLDQFGSVMQ QIVETLKGTNIKINDIEVVSPSDKETIAWWNRRQPILVNECIHDVFIKKANSQPDAAA VCDPNLELTYRGLQTHASQLALYLINIGVGPETLVPICLDKSAWTVVAIMGVLMAGGA FVPLDPSHPTSRHGEILQETDAKIVLCSPQYEERFFEFARHVVPIDKAFITNLPRCDS SQFLGRASPENIAYILFSPLEALDEPKEL UREG_04678 MALEHNEPSKRTDIRFPPGNSKCPLKSSMHLMVPCPKSGKSWIV AKVHARSRDDGEGWKQTRIDTTPSRSSMPHSSGHGRGPVWTVFYSGIDLHPTERTRPP HFFFRIRCSASLFAGPPPQGSRSAKLLNVFALVQIAIVWRERLTSQEVEWKVASITGA RGLAGPMRSELARASPQMLSRYFTAMPKGARLSSCKRMQPSAPKRRSARCRI UREG_04679 MASHNLSSCVQIDDTFGPYALHCRGGFDFTLLFEETFLTIVPLG LLLLIAPFRIFYLLKKRKKVNDGPLVHLKLTTLSAFAVLHLILLVLWVRPTLWLRREN DFGYLIALAFTVTVALKAVILLLEGASKRGILKTEYQSYPPEATSGIINRSLFWWINP LLWFGYSNFLSIEDLYNLDKHLLSERVHQRMEIAWNKVTKKGPHSLLLVTMKVLKWPL LSIIIPRLCLIGFMFGQPFLINRAIKFATYPPIDGAASGENADSVGYGLIAAYILVYV GIAVSMGQYQHLTYRAITMARGGLIGMLYRKATDLSIKDVDPAASMTLMSADIERIVH GWQTMHEIWSNAAEVGIAIFLLYNQLGIACVVPIVVSVVSLFLSIIAMNFVMAHQALW LEAIERRISATTTMLNSMKGVKMCGLKQTLLTNLHNLRIEELTISKKFRKLLIWNMGF AYISQVFSPILTFMVFAILAKRDGNNSILDFARVFTSLALFALLSEPLQSLIMALATF LGSVGCFARIQEFLDKPTRVDPRHKPMDISDDVTNSSQSLLATARNSITTEKTRVSSA PSILDTSKLTFPFKDVPQPLSGREVVSIQDGSFGWDPEKEPLLKEISLSIPREKLTMI VGPVGCGKSTLLKAILGEVPCMGGRVDVSTLNMAYCDQTPWHMNESIRDSITAVSGFD EQWYTTVLRACSLEEDLRQLPRGDRTIVGSKGIALSGGQSQRISLARAVYAQKEVVIL DDVLSGLDASTENHIFHNLLGRNGLLRRLHSTVILVSSSPKRLPYCDHIIALDAGGKI CEQSDFETLNSAAGYVSSFTLPPPDWTYKPDPNTDHNLSLLAQEEPEGLRTNEALEAE ASRRTGDVKIYLYYIGSVGWFAAAIFVIFVCGFVFCIAFPSIWLKMWAEANLARPYER LGYYLGIYVMLGFVAIFCLMASCWQMIITMVPKSGEAFHLTLLKTVLSAPMSFFSTTD MGVTINRFSQDLQLIDMELPVSALNTFATFILCIAQMILIGVSSIYAAICFPIVLGAL YFVQKYYLRTSRQLRFMDLEAKAPLYSQFSECLTGLTTIRAFGWQRSLEEKNRLLLDR SQKPFYLLFSVQRWLTLVLDLIVAAIATILIVLVVQLRGTIGGGYAGVALVNVVQFSQ SIKLLITFWTTLETHIGAIARIKVFNETMVPEDKDSENNTPPTNWPGEGGIEFKDVSA EYRPGEPVLKGVSLKINPGEKIGVCGRTGSGKSSMVLSIFRMIELSGGSITVDGVDLS TIPRQEIRSRITGVAQDAFILKGSLRLNTDPTGFLADGAIINALKSVHLWSAVDENGG LDADIDDLHLSHGQKQLLCLARAMLRPSSILILDEATSNVDSKTDEIMQRVIREKLSQ HTIIAVAHKLDTILDFDKVALLEGGVLKEYDDPYTLLSSDSEFSKLYASTMSEQPDEV GIMADDITISSRAPVSR UREG_04680 MSAQGPTAAAAVPLPQRNRKSAKQVDGSSPAPRKRRRRAVGSGA AEDCFTCASRQVSCDRRRPYCTQCLDLGGRCSGYKTTLTWGVGVASRGKLRGLSLPIS GSQNVAAPVSQTTTRKSIPRSVSQAKAQPAGLTPTQTQSVRSQQSSAPSAHSSPYSTS ASWASTVASIHVPPAAHHQASAQAPPIIPSFPQASYAHSSSPTEDISGQSIYTGLDPV NTPVEPTSFSSFHPTLWRTSPALQQFAKPPSPAYSEQSIYFQGNGPGNCLTNSYTAQD ENEICQTSQVPPTACTTVSSQQQFAPHTIYQPFSNQVIGKTPRMRYLIGYYLEVLAPV IVAFDTPTSPFRLYMMELAKTSETLQHAIATLSLSNLRQRRKNWGPSTGKTLPSRRSC QALSRLTDSSMSEDFGLLNPDEQYREELLHKAIVIESVNSQLADPIARRSDALLATLL VLSIFHMCDTGMASFKTQFAGVKKLFSLRKNTKSFSTDVSKWFMRMFIWFDTMTAAVN DRDSQLGSELLDFAAQTGDEWALENLAGCDSRIFRLVAQLSRLNQLSQMKHVEPNDFM EKAVPSATPPPGILHYPGYSAPIYPHGTFISFPGDMPFNPYQNADPRALFWQEWYSMR QKLESWRLGLPESASPDTPSPITPTHTPTSVQCSSPAEFLPSTSSPASSLAHVPPANL PDVSNISESFRYAGLLYLERLANPDIPSSHPRIQNLVYGALHFITAVRSDVFLLWPLF ITGAECVLESDRQIIRDRCCDIQKDSGFVNNLSCLQLLEKIWASESSQGATALSAHTT TASKGEYIGNPVGEMGQLATARPLLGGEGFKWKRIVDQEKMKDEYIVV UREG_04681 MEHASGVGADTQWFNTTKHQKHALVTGIVDIEKRLFALPFGATG SLYFKGDLPVQLRRELYMPGSTDEGGDSNIYCIGPICDYMFWYGQRSNLALDRGPWEN PTQYLSAVATKEVKWIEQFGKPLECDFPHNTVFPGVNSHQDYLELLQKYLAIAPCLLP KDPSNPLNRPVLRHPDLTPGNVFICPDTHKVKCIIDWQHTVIKPLALAAGYPRLFENP DPEPPQGLEAPKYPEGYDNMDTETKAQIKELVRRQTLFYLYRVFNGGLNKPHLAALQD PLFSLRQYLVEFAGRQWGGNLMTLRGALIRTFESWDCLPGRENTQKCPIEFSEQEIQK QNDDEPMWCNLNALVQQWRDELGSSRSV UREG_04682 MSSDNDMAMLLILKNKENRAPFGIVDPVSLDKIDKLFACGVGEL IDLPQLVVVGDQSSGKSSVLEGLTELPFPRDSGLCTRFATQITFRRSPDTKVTASIIP GRDATEGHRERVRNVMGIGSVEHESKNTFSEDIRLEVSGPDQEHFSVTDIPGIFRKTT PGVTTKADRTMVDRMVREYMENPRSVMLTIIPPNVDIATQDILEWAEEVDPDGLRTLG VLTKPDLVDEGAESAVIDLLDGRSHQLRFGWHVLKNPSQAQLVDQRHSRHELENEFFT SKAPWNRVSRDKAGVHSLRTRLQEIMADHIRREFPKVKLEINRKLKAASKSVEKLGAK RQSFAEQSQFMTKLALEFQRNVTLAIRSEYSYSDIFDKIPSLRLATAAIGRADKVANL MATERHVFHFHSGNQAEVPAPPAPEERDGDAADPEKLKPLLRTAFDVLNEITPDERTR AGLESMLIDQLRNKYAAAISQTEFLLQVELESNPATHNHYFNDILGKCRQERLRTQLV KKAIPNTGFEKVVPWEGIIHNYPPSNADHGAVEIHDILRSYYTVARKRFVDCVRMQVA DFLLITGPNTPLSIFSPAFVASMTPEQLEEIAGEDAGLKRRRAQLEKEIKQLMDGKRI LT UREG_04683 MYHHYSSPPPGWSAAYDYMHSPSAAHYNYYNTQYSSPHASPRSA KRHAYRASYSGTKDGPQFYTTSSGQYPWQGYYDGVPEYVSTPRKPEHPHGWQRARRPS MPSKHHYSHFFPSRSRKQPDFFDDLRSDSDSDVSLHSPVGGGGPFYQPRSSRHDGLRR PEHESRRRQPTNTYFDYDQAPTSLYDEADSPKRSRARRASTSTRTPQKPPKPAANIKT PPKATEEDAVRAGVPAGFSIKNWDPTEAPILLLGSVFDANSLGKWIYDWTVFHYGATA PMSDVAGDLWLLLIKLAGKVKRADECASTIRCRETREMVEDFLESGERLWARFKKLLK ACEYFMWKAAKRESGRNTVTMGRNAGCEFVDSIFGRDRELENTEKLMNSIRLWNMRFD ANCEEILRHPEEA UREG_04684 MTAPISSLQSRMQNEDDPRQLPEALREPTVNGRSHSSATREEIT SSSAPKQSWLSQRLDGEIDLKHADIVFLFCYFLSGLCDSSVFRAWGCFVSMQTGNTVF LGLGASDYALRQTSKWLKSLVSICSFVIGSCVFSMTRWLNPQKRRTLFSTFTVQGLLL LIAACLLQTGVISGKTTSAPGDGGGGGTGIVLASDPRPDTAMYLDVIPIALVAFQSSG QMAASRLLGFSEIPTTVLTSLYYDLASDPGLLLPLSQNVKRNRRFNAVIGVIAGAIVG GWLSRATGGIEAAMWIATGSKFVIANSWLLWKGKEKAPLGLLSPA UREG_04685 MDQLGIGYGTLSKLNPAIIHASISGYGASGPYSKRAGYDIIAAA EGGLLHVTGEANGPPTKPGVGLTDICTGLFMHGAIVSALHARNKTGKGQKIDGSLFET QLALMINVASIWLNMGREAKRWGTAHPSIVPYEAFPSKDSYLVMGATNNRQFAILAER LGRPDLAEDARFRTNDARVENRAELNQILHELFRAKNTDEWLRVFENSGMPYGPINTI ERAFGHAQAQARQMVNTLQMDSAADGQFKVTGFPVKFSESKPSVRINPPLLGQHTDEV FAELGIAGKEIDELRQQGIV UREG_04686 MSLAGRGASNGVLRQTLRHRYSSHLLPHTRVSVRCSQRLARRAL TTKATEYLPSSNPDWTESQITVRESIARICSDFPDEYWLKSDQSKAYPTDFQQALAKD GWLGICLPTEYGGAALGISEAAVMMQTVSESGAGMSGASSVHMNIFGLEPVVKFGSEE QKKRFLPPLIEGKERACFGVTEPNSGLDTLKLKSMATRAGDHYILKGSKVWISTAQNA EKILILVRTTPVDKVSKPSHGLSLFYTDLDRSQVEVTEIPKMGRAAVDTNTLFFEDWR VPAEDLLGEEGNGFKMIMHGMNAERILIAAEALGLGFAALRRAAIYANERQVFGRPIG QNQGIQHPLADSWMQLEAARLMVYQAARLYDAGHSTGEYANAAKYLAAEAAFRACERA VMSHGGMGYAKEYHVERYLRESFIPRIAPVSREMIRNYIGERVLGLPKSY UREG_04687 MKLSLLVLASLGSLASASFAKTCVDIHLFHWYLGARCRVGGEPG TRQTSIDLNYCFMNDNGRIVPKSRGFFWNSCKGCTLRPGAKLLCKCRQRNGKWMFNEN DLTYISNNYGRLQCGDQRGKFSLEGSDDYGTLLNNGTVLNNGTMANNATNVTSGH UREG_04688 MVTVTVCLFTPPIEFEPPSNRYLEAGFVYCGDRLISEGILYITG PSNTMALANMDVDCDGANRSDGDCKNDPSGQDQTAFKDEVRVYGISDLDSNKHGYVVL GNQGTSPWFEPKVYGIQPLSVVAVVCNNMLFYAVWGDTNGGILTGEASMSLAKACFPN EGLNGDNGHETHDVLYVAFNGSEAKPGAKGADWRANNFTTFEESLAHIGDRLVKRVSP UREG_04689 MAIEIRSDTEKKPRIKVIGMPGKHVPTKTLQTLNDIVKAVPPTN GWMVELGYDSKVSDFTPRYRIYISGDTLLVPELKEIAELYSGLTIDLMLIHLGGTTIP SPKLSPMTVMVTMDANQGLELVRLIKPDLTIPIHYDDYDVFASTLEEFQHVIEQEGLK EKVVYLDRGDQYRFKVKK UREG_04690 MAAKAVAVIAGVGPGTGASIARRFAKSYAVALLARNPDNYQPIV REISSSGGHAVGISTDVTDEKSVKGAFTEITKTFPGAPLAAAIYNVGGGLVRKPFLEL SGQEFEAGFASNGLGAFHFSQAAIPLLLKGLNLEYPPTLIFTGATASLKGSARLAPFT TGKFALRALSQSLAREFGPQGIHVSHVIVDGIIDIERTKQIHFDAPDAKLDPNSIADT YWYLHSQPRTTFTQEIDLRPYVEKW UREG_04691 MKPDAERKNEQGYLSQDGLATESSMVSFDPQKEANLIRKIDLHI VPFLVVLYLFSFLDRVNIGNARLYGMEKDLGLHGNQFQIAVSILFVPYCLLEVPSNLV IRKLTASRYIAFITTVWGIIATLTGITQNFTGLVICRIFLGIVEAGLFPGLVAYMTLF YGKREIALRVGYLFSAAALAGACGGLLAYAIGHMDGVAGQRGWRWIMIIEGLPSVLLG IVTWFGLADDPDTAYYLSNEEKALMRARRSREVGQTESAQQFHIEDAKEGAKDWTVLL FCLGQFGVDAVLYGYSTFLPTIIKGIGQWSTTQVQALTIPCYAVGAITYLVVAWFSDR TQKRGVFTVIFCGIAIVGYGVLIADASAGVHYFGCFLVAVGLYVCVGLPLAWLPTNLP RFGKRAFASGLQLTLGNVAGIVTPFLYPNNTGPRFVMGHAVTLGLTAFSACIYAFMWY WYKRANTLREQGKEDDKRAALMEYAFWTKAAHLPGHDVKTTGFPRQGWQGVSIVSENP SLAVTMNGASDKIGDQTSRGINPFGTNARLGGSSTSTTHVAPNSTDNGNSIGRYSSLT GKDGPSTHSDAPLGPWCSGSGTSSPNGQYEMPEEQDGDSDKILSHSRSAVIEQSSAGT GAPPSEEWTNLQQILSTMFGRARQEVSEEEKTRHVGLVWKNLTVKGVGIGATLQPTNS DVLLALPRLLYKLFTGNIRKKKPVRTILDDFTGCVKPGEMLLVLGQPGSGCSTFLKVL GNQRAGYEDVQGEVTYGGIDAKTMAKNYRSEVLYNPEEDLHYATLTVRQTLNFAIKTR TPGKESRKLGESRRQYRQAFLKSVAKLFWIEHCLDTRVGNAIVRGVSGGEKKRVSIAE ALITKSQVILLTEGKCAYFGSTSDAKEYFENLGFECPTRWTTADFLTSVTEPHARRVK QGWEDRIPRSAEQFKQAYDASAAQKGAVESIAEFENEIEAHKDELESLRQKTPKKNFT IPYYQQVLALSQRQFLVTIGDRQSLFGKWSVVLFLALIVGSLFYDLPKTSQGVFTRGG VMFYIILFNALLAMAELTATFESRPILIKHKSFSFYRPSAYALAQVVVDVPQVFTQVF IFLIVVYFMANLARTASQFFIALLFVWLVTMTMYSFFRAIGALVTSLDAATRITGVAI QALVVYTGYLIPPGKMRPWLKWLIWINPVQYTFESLMANEFYNLRIDCVPPALVPQGP NASPQFQSCAVQGSEPGQTDHLWRNFGIIISLFVLFIALTMFGTELQASSRSSAHGGA AVTVFMRGQLPPSAKHEMQDTKRNRDEEEGKQSMVSNGSETEPMDEKEVQDISKNAAV FTWQDVNYTIPHKGTKKKLLQDVQGYVKPGRLTALMGASGAGKTTLLNVLAQRVDFGV VTGSFLIDGKPLPKSFQRATGFAEQADIHEPTTTVRESLRFSALLRRPKEVPLKEKYD YCERILDLLELQSIAGATIGHPGAGLNQEQRKRVTIAVELASKPDLLLFLDEPTSGLD SLAAFNIIRFLRKLADVGQAILCTIHQPSAVLFEEFDDLLLLQAGGRVVFHGELGTDS RKLIEYFEGNGARPCPRDANPAEYMLDVIGAGNPDYEGPDWANIWASSPEHQAVTDEI KRIVDSPKQGESNAGAAGEQEFAMPQRTQIIATTKRSFIAYWRTPNYTIHVVAGATIF YRGWNFVFLLLMLPIGHEAKVFKVLRNMVSSRFVRCWIYVDAAYASMSPNELFASLLV PAFFTFVVSFCGVVVPYQNMPYFWRSWMYWLTPFRYLLEGYLGVITNKVPVRCAENEF ARFRAPPGMTCEQYAGPFTRQGGGYKWRDYVSCALLVRDFTDSRLMVIDSGDILVKYK VAQLLGAVLSPSSA UREG_04692 MKTASFYLLAYIYLFSLNPIAAAPSPEEQQYIDFCAERFGPDTA IQPAEGGGFQCVMCAGSAYQDPVTGDRKCCNVLNESFSVDKVVQTRGGCCKAPLVFSY DPAAKAGKCCNPGHKFMAGACTPHEPSRPKCTQCSKNYACAHDGHLGLRSAKAPPTAM LTLAGMFPRTALGPFKISLAAVKIQGLAGSAITPLTWALHPSRKLPGQPYSRGRDSAC MESVQSAYA UREG_04693 MESFEGLIDYSSLVPLTAGERSRAQEALNEIIDHVASYGGIKCG YSHHLLVLHSYNNSQSEPSKDTFLRTFFNIMGRDISSNEDINVDDDQILSKFIHFADM LLEQFFVPSMSCTTLRASNPHHFQLTQFFTVKASGRILTPQPSPAQLSAIQSIQSPHE IMDTSERISHLRALCLVRDQHRCVISRAFDSQEALTRMKHHGLDAQDDEGRKFDNSVR FSFLEVAHITNSPFSAAGKRKVRAAHGMQSAWPTIFHKHFGDFEIYFEPIDGQEHTYH IKGFLPPIVRASLGLPVTRKLLFSEGRAVHAPLPKLLALHRAIAHIFHLSGAGEYIDK ILGEFEERGVQEDGSTDLGRIVNLRLGGWFDDEVH UREG_04694 MASTGTTPATTSIEVTATVPQPPDDTDDNGYYFGNSDEEDDVYE RAEPWERYRKNNNSRVFYPIRIGEVLNQRYRVEHKLGYGGFSTVWMAHDLLKKTDVAL KIMCAGDFGEHEFLMQEKILQNVQDTSHLVTYLATFCLCGDGCNHRVLVFPLRGPAVD LYASRKPMASRMSAARQLLMALDSLHKAGIVHRDLNSRNCMWGIKPLDRLSRGDKYKK IGRPLKQAITHIPDLWKPGELVVPLTVPEELRTEMFYLGDFGQATQIGSEAPVGSPPV AYCSPERIHNKAPTPACDMWSYMCIFMKLYFGFVPFHAYWEGGVITSIVRPGG UREG_04695 MVLDYDQPSQSGSGFGDDSSVNNDPYFAHNPPNELLRKFPTTIT LPPFTFGRRLLHWSQANYENKLSLLICAQLDAASAMMNRPVTQEETNAIVDQASMLSD VPEAAGLLGGTLGVWLGGKPVNVNPKDAEAMTKLSPAARLRASRTAHIAFVLPICFLA GYWISSSIARISVALATARDQRMAQFQKDVTKQNPEEVQRRLKQVRDEAYARRRAAMY GDQPPPQQQRGPPSGDDASPTGGYLESGGSYAQYGSQPNDSKILSDVYSQPNGGFQSQ SPPQYPQRPQPPSALEPTQGQSFWDDDASPTNPDVDITPRTATGSTWERIRQSAAART PPQQHQQQQQQQQQPPSNQEWRSSDYDAGRDSSDNYTQAPRERAQKDFDNLLEREREF GSDRDRDGGNAWQRRW UREG_04696 MSAGHLERRRSMSSGSRSVSAALMPSPPLDINNDLEPCAATASS FLFAQGSTILSLHHDTLALDRKFEAHSDNILFIAVDNVSETGAGRLVVSYDASQTAII WDLFTGQEIARFASFEMLRVAAWMRNGNIALGGEKGTIILFEPSTSEHISARTIFDPV TALAPAADCRSHAIGYRNGSILIATLQPFTILHTLTTSRGPSPIVSLAWHASSTKQKS DMLATLTVDGDLLVWSVSKHPTQDSARVIRTLRKSESVATSPKWLAWSKNGRIVQYSD SETWAWDVRTKHVTYERIPTVQGVRGIANYGPTATLFTLGPNHTVQQYELINPTMVAD VQHLPSAQASTPAEGLNASMPLQAALASSTANQAHRTIEELKQGSPPIFPVPSFEMSE AARVGRGSLQSPDSLRSRHHHSRPSRSLYSGTGTTFSTSSPVQSTAESMYSLRYGSSA SVTSGGSLRTASRLRHEYVPSKSEEPLVELFPYTRSRLNDVPFKPPQRLNETDLDPDA LRKQMLNVVFGWEGDIIDLLTDEIRRHSPGSEHAVILARWLGCIDQNVLLGMASSVTS RYTGWMLLALSTLNGQAETKTMGHAFVQKLLARGDIHAAVALLIGMGDGNDGIEIYVS RNMYMEAILLTCLVMPMDWSRQSYLVRRWGEFVVQNSQQHLAIRCFSCADLNNSDAWA SPSVQMTNILSNQLANKSAASSPSVIVQPPQASSLSPSSARPTAKNQALKLITSFGPE APAFKFPGLQSADRTPTNMPGVTPIDSAMGDSVMSPGGFSSYKQKTARQTLSARAITP GGHSHRLPSIGETPTDVNPPNLAIPKSLPTPDNSGSEKEKEKGKETEETKAGTTQYQP VQQEQLVYLTSARYTPQNEPAESEQASNVPHGDGSTTALPISRPDNLSADFSVSTRNG SRDRKPEGLHIKWPPAYSPHDVTPSSGGSLPSLTSLQRRDAGGVSGASPTWTSDSAKS LNAGSSSTRNVNNFINTLDSSSYYSHTQGLAADYGHKGHKKSKESRGRSKQRYIQPAK RSPSSPVPMSPEDLALYASTGNSTSSKTKESKRHRSRSENSRPRSSRARGQQSRDALD RSQTRTTQGRSRPREGSFGIRSPSSPVPMSPSEKDSTAEAHLRLVTADRQQRLQSRER ASSRQPERDQSARRGGSPDRGRVGSRSRSRPAREPAANPLPTLSNQRFNMPGHTEDGT PIFAETIEWAGPSNDAQKMKEAERIRKEQAAAELEARRLSLVRRPSAPAVPLPGELGT PNSVVSARSRRQSGGSPPLTNGSFSQRAGVRQGGSPATSTYSDTSTGRGAAPVPVGLP ATPRAMKHPKYSVGYNDIPPMPDLNFDSISPIGNGEHGRSLSISRSMSAPIPEDVGDQ PAEGIPAHPHFRPRLPSSRGARISMHRKEASHDAATLNGSQYGSVSGQASRKPSEAPI PPVLPELQHLSDPPPPPPPPAPSNNGIETSSEGLMHAEERSSLISGVGTINIAIGEPT VLNTSEQRSQSTLPSPEFMPPLPHRSASAQGPMPETHTHRRGRSINDNLANKFRSLTG RMRSTSRGRNVRTPPSGDPDASMPYESVPMASVIEGGLDRMNKNEF UREG_04697 MRRDGQPEANFVRIERRSLRPRVERDLGVLHNCDLLPVLRSNKA RRDLGDEWRPWALWSAEGMPRSKMVAAVNLSTRASKHARWDLLCYTDGEQHRGQWGDM SQDWRQCNRPIGGNGERHQKQRASAEDGSEHAGRSQGTIVGVLDGMAGGHGPIRPHET GPGAILEPGN UREG_04698 MQKSAMSGRFSIQTLGLGCHSIDENNNPFRLGQVLKSYRADDTR TRPAGRQSDFDTAGTDYNIQHYSFRPRLPATRAENRGEKQHRYH UREG_04699 MTRRGRVAPRSWLLWLGELEALSSRARTWTVSRERVYPTGMKRG RVAIGDPNRLRWYKRGTFYGGYITDDKQIWPHASEPRRGSIPAVIPSSSECTQGQYIT SERGLWAVVSQR UREG_04700 MWYRYGFSSAASSPSPSSLPPPPPPPSPNDSLLDISPSKTSFSI ASGRNTSCAFPSWPNRSSLFSDSDESASAFLSDEDLFVASTPDTVLSEDDLSLPSSAS DLTTEEQISRIQAARDDDAQGFFAHVQAHARAQQALRAAQQVMAAQAGAKRKKRRVVT DKKRRTGSASSSKASTSKPV UREG_04701 MDGGATRIDSESFKARREFREAALSLPPREPHPWTPALRRHVWA ALKGKGAVGILQPGQATTRSSQFRGSGPDAAPLLRTRLLDWSSDRDRDLFDRGGPRIQ HRQTELLDMGSSRLSRKGDECGTVRLRGYKMLRGNGVCSSEMCAADRRAVLSYDYR UREG_04702 MVTYNQNGTSRGIAAITFARPDTAAKAAKELNGLLIDKRPIKIE VVVDASRAPPAPPVKSLGERVAHPKSQPKPVSAAKSGKRDSRGRTQRGRNANRPKRKT VAELDAEMVDYFETSTTTNNAAPTNGTAQPTGSTDDMGMDEISLG UREG_04703 MSAKLDTSLDEIINTTRASRRQNRRDTRPSRARATAAPVGGVKK PSRQAKTASKAIPTAPSTGSGESKIIVSGLPSDVNEANIKVC UREG_04704 MESLKAVFFKPDPAAQMRKCNALIRGNARKLDRDIAQLKALDNK TRQFIVAASRRAQKNPSQAAQAAQETRIFAKELIRVRKQSARLTTSKAQLESVRMQVN EAFAVRKIEGSLRTSTGIMKDVNMLVRLPHLSETMRQLSLELVKAGIIEESIDDALPN DQLLEGEEEAADAEVDRVLQEVLQGKLAKVEGPKAEEPLEEPVEEEFEDQEATLEQMR GRLEALKS UREG_04705 MANTQVPEVLWAQRSSSTDAAKNIVFLSFTVPDVPKSSAKVNLT PTTVSFTGHSSTKNIDFKVDLELYGEIDVENSKTHHSPRGVDMVLRKKEMKEEFWPRL LKESKKVHFVKTDFDKWVDEDEQDEAPEEDFSMPGGFGGDGGLGGIDFSKLGGGDISG LAADAAGDAESEDDEMPELEDENKDSSKPKIEELS UREG_04706 MPPKKPEQPKKKKATVEDKTFGMKNKKGGTAKRQIAQLQAQAHS NKSADQKRKDAEKERREKEKAAAEQAKREAAELFKPVQVQKVPFGVDPKTVLCVFFKK GSCEKGKKCKFSHDPAVERKAQKKDLYSDSRDNENEKQNDTMDNWDEEKLRTVVLSKH GNPKTTTDKVCKYFIEAVENQKYGWFWACPNGGDKCMYKHSLPPGFILKTKEQKAAEK ALLDKSPLKTLTLEEFLESERHKLTGTLTPVTEESFAKWKRERLDKKAAEEEARKAKE ATGRAMFESGNWQDSEDEESEEESDDEGQDSWNLEAMRKETENLRDQSEQDRLAKLSG GQSLSNGNDPGSTSVG UREG_04707 MITDSELYKLAIFLGSCAMLLIVLYHFLEVNSKDKDDTVADGGT SSSVNPKNSMPTNLSSTLATTEKR UREG_04708 MSQLSPLPRRSRGRPPNSKNRPKGNPASRVEVVVRSSSHSSPTY REYICSWNGCNAKLHNFDTLKKHVARVHLPFNSHVTYCQWSGCAEAGNHFVSAVELQE HVDIVHICSLAWKYGEGPATKGSGETGNNVAHPIVIV UREG_04709 MSYPGYNFSSQHQGPGRSQTSNSSYTARQPASNNPSSAAPISSW HNAEPVYSQYGWQGQNSSLNRQPSNSSNTGNSYSASNEPHKRPQAYSQSTPYQSSQSA LDQPRMSLGPSTDNPYNNARVGSGTPSQTDSSVNTNLYYHQKAQIRIPSSDAAPSYQP SQSSLDPMDRPSYAQRSRTAAASAMTALSSSVPNKRFSPHAPIASSTVYSSQSHTYIP TVPDTSPANNRSPPKYSSNPGLGTRQTVTHQASNTTQPPAVHIGQGQDTSHPPPQTPY HSPIPPSQRSRSMLPQDLTPSGRTSRSAATAPPPSHGPAHNTTQSEPAYSSNIKGSQS TITRDDSAMHQYSNPETRNQHTQSDNLGTERSGAVPTQSPLQSSLPTFVDPSKIYDPY HDYERARAAYNQSTQTRQPAQATPAESSQNTTVKQALVAALASNSSQSPLQTGKEETA PVVQDASNPTTGPQGRGIKKVNNSNKPGPKRKRPLPPSSTSMEHENRKGAQEKPKNTP KKRGRPRKALSNAVTKEVQINPPQTTADPNPHSLDAEPSLGSSMEESMASEMRLMIEK MREWRSKDPSLFAKLWEDVKKAPVSANSQSPSNFQAPATQPVSKPNETVAVSDKPPVQ TSVGPQAAVADTENLSDLGNFPAARRKRTAKARLTSSDIVAEDAARIASSKATSETVV EVTQKDEPVQSTATPAAVSEEVQKAPGSTQADPQPQSAPKVAKKSNIWPLSKRQALAE AACSYITGHLINQDPSYTQLCEMVEQKGFSINRVHFAKHLLKAVPDLAGGSQPKTTGP TAAGQHPPPAGRSKTSFHTFCGATSHPPLLAQNLPAMPPPAIHPGNRAQMAPPPMFPQ LAGSPAPLHQNAPATSQGPNRPDLFQNPSTGAPHTTTLLTLPQPPQMVNLPPPNSSTT PLPLAALAPDAGPHSRLFPPLPPVTRLIPFPPKGQNPSSNGPRPNLSKMVFYQAPAGQ APPNRHITFSSFNSNRSLANNKPKPRTIVPIPHTQEPPPGSKEAQAKKRKFSEIVDLA QASSDEDMADVELTSKMPFSDDPKTAAPSSPATAPLPTMDLSQVQAR UREG_04710 MTKINKELASSRRKSRKAHFGASSGERRVIMSAPLSKELREKHN VRSIPIRKDDEVTIVRGTNKGREGKITSVYRLKYVVHVERVTREKSNGQSVPIGIHPS KVVITKLKLDKDRETILDRMGKGREARAKATSA UREG_04711 MASSSKPSPSLLYACIANKTTILAEHSSPGTSSSSASSLASIIL PKISHQSPQKLTYTHDRLFIHYIADSPSAPSSSSGPDSHAPLSYLVVATAELGRRIPF AFLLEMKRSFLTTYEPDRTDFGTLPAYGCAAFNNDLRALLQQYNTAPPSDSLASARKE IDNVRDIMTENIERVLERGERIDLLVDKTDRLGSSARDFRVRSRDLRRRMWWKNIKVV ALLVVVVLFLIYLFVGMGCGLPAWGKCVGKKVQDKMVL UREG_04712 MPSIEDAKRAAAREAVANHYPQSPKFVGIGSGTTIVYVVEAIKA LGVDTSSTSFVPTGFQSNQLIVNAGLTPVAFDALPEGAILDVAFDGADEVDDDLNCIK GGGAYYRKLQSRLLTAWPSVPIEVAPLASRRVITALKALGSPAPQLRHSLVQREGPIK TDQSFFIVDAPFPQLLTASDIASGKADAATDAQWEVEALAREIKQIPGVLEVGLFCGL TGPQAQAAGGVGGQKPIAAYFGMADGSVQSRKAKSMPEIVAAKS UREG_04713 MPLFSSSSDAKSPQSPASPLRASDSLFPVAPSAGGAVAYLQNEQ QPPQAAQSSISPTVIPPASAQITEDSGRSILLELEDGTIYHGLSFGAEKSVAGELVFQ TGMVGYPESITDPSYRGQILVITFPLVGNYGVPSRETMDELAKDLPKHFESSQIHISG LVVASYCGEEYSHFLAESSLGKWLKEQDVPAIYGVDTRALTKRIREKGSMLGRMLLQK STSGKDLVHDLAETVDGEDSGRWREHFENIEWVDPNKRNLVEEVSVKEPRLYTPPKAA ILHPSGRTIRVLCVDVGMKYNQLRCFLARGVEVLVVPWNYDFHSLGGNDYDGLFVSNG PGDPAMLASTVENLAKALKECRTPVFGICLGHQLLSRAAGAGTIKMKFGNRGHNIPCT NMLSGRCYITSQNHGYAVDSSTLPEGWEELFVNANDGSNEGIRHVSKPYFSVQFHPES TPGPRDTEFLFDVFINTIKKSIESPSGLNEPVYFPGGTIEENRLAHPKVSVKKVLILG SGGLSIGQAGEFDYSGSQAIKALKEEGIYTVLINPNIATIQTSKGLADKVYFLPVNAD FVRKVIKHERPDAIYVTFGGQTALQVGIQLKDEFESLGVKVLGTPIETIITTEDRELF ARSMESIGEKCAKSASASTLEEALEVVKDIGFPVIVRAAYALGGLGSGFADNTEQLRD LCAKAFAASPQVLIEKSMKGWKEIEYEVVRDAQDNCITVCNMENFDPLGIHTGDSIVV APSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSKEYCIIEVNARLSRSSA LASKATGYPLAFIAAKLGLGIPLNEISNTVTKVTCACFEPSLDYVVVKIPRWDLKKFT RVSTQLGSSMKSVGEVMSIGRTFEEAIQKAIRAVDYTNLGFNATNALMSIKTELQTPS DQRLFAIANAMQSGYTVDDIWELTKIDKWFLRKLEGLSKFGKIISNYTASTVPVSLVR QAKQLGFSDRQLSKFLSSNELAIRRLRVEAGIMPVVKQIDTVAAEFPAYTNYLYLTYN GSESDISFNDKGIMVLGSGVYRIGSSVEFDWCSVRAIRTLRQQGYKTVMVNYNPETVS TDYDEADRLYFENITLETVLDIYQLESSSGVIISMGGQTPNNIALPLHRLNVNILGTS PEMIDAAENRYKFSRLLDRIGVDQPAWKELTSIDEATAFCAKVKYPVLVRPSYVLSGA AMNTVYSQDDLANYLNQAVEVSREHPVVITKYIENAKEIEMDAVAKNGVMVGHFISEH VENAGVHSGDATLISPPQDLDPETVRRIEEATSKIGNALNVTGPFNIQFIAKDNEIKV IECNVRASRSFPFVSKVMNVDLIEMATKAMIGRPFVEYPPVNIPKHYVGIKVPQFSFS RLSGADPVLGVEMASTGEVACFGRDRYEAYLKALISTGFKLPNKNILVSIGSYKEKME MLPSITKLHHMGYNLFATAGTADFIREHGIPVKYLELLAGEEEDLKSEYSLTQHLANN LIDLYINLPSSNKFRRPANYMSKGYRTRRMAVDYQTPLVTNVKNAKILIEAIARQFEL AVQPIDFQTSHRTVVLPGLINIAAFVPGVADVGSSDLQLVTKASISAGFSMIRVMPVG IDSFVTDARALKIAQNNSQKATYCDYNFSVAATSTNADQIGQITANVGSLFIPFNHLA GNINKVATVTSHFASWPSSKPIITDAKATDLASILLLASLHNRNVHVMSVTSKDDIGL IALSKEKGLSVTCDVAIYSLFLSTEDYPQCTCLPSKRDQEALWEHLNTIDVFSIGSLP YQLAGKEASPEVGIADALPLLLTAVAEGRLTLDDVTSRLYDNPKKIFELHDQNDASVE IEVDRPYTFQTEGAWSPFNGKTLRGSVQRVTFQGKTSCLDGAVLSDAARGTDMSDYRL APPPSPSVKESPKSPRWDNSVGRRLSFNTTPVPRPSRLRHMDTIALSGNELGPPLYAQ PTSAPTTSPSLMELLSRSTFKQKHILSVNQFTRADLHLLFTVAQEMRLGVQRQGVLDI LKGRVLSTLFYEPSTRTSASFDAAMQRLGGRTVAVATEHSSTQKGESLQDTIRTLASY ADAIVLRHPLESSAATAAKFSPVPIINGGNGSMEHPTQAFLDLFTIREELGTVSGITV TFIGDLRHGRTVHSLIKLLQFYEVRVQLVSPKALALPEDVRQHLVTSGQLVLETSELT PEAVARSDVLYCTRVQKERFEDLKEYERLKDSFIVDNSVLKHAKSQMIVMHPLPRNAE VGEEVDFDQRATYFRQMRYGLYCRMALLALVMAP UREG_04714 MRRIHTVRCWRAIRPPLFPCRFSHSVSAPPLVQITRATFYREYP TPELPQKGNLPIYPDLSFILPSKASSPKELQHWAIVGSSGKAKFLDVLRGRYICIPPA ARSYPYLATEEIDGKDHRLRFPGFAIKHVGFNGDAKRASGGVRGAYLSARYESLREET DFTVLQYLRGETELNPLDEGSKVDQDVLDRVIHDLKLEALVDMPVANLSNGQTRRARI AKALLDGPELLLLDEPFMGLDPPTVQSLSPLLYNLAAASNPRIIMTLRPQDPVPAWIT HLVILGDEDTVALQGVRSEVYRQLHIWRAVSDRATSSTPEHGKVALLPRSPQEDTFYK GLTSTEQAIYDHAESLRANGLFEPSLSILNDFGLVAAKAEESKVSTRPASYGEPIIEM DGVRVSYGDKVVLGDWRQSVNGQTKPGFHWTVRRGQRWGVFGLNGSGKTTLVSLITSD HPQAYALPIKFFGRSRLPEPGKAGISVFDLQKRIGHSSPEIHAFFPRRLSIRASLESA WADTFLAKPQLDQERDRDVDSALKFFEADLNPDFMPRSARARNMGWTTSTSFSSLSMA QQRLLLFLRAVVHKPELVVLDEPFAGMSPSLRDKCLHFLEVGETTAASSGSRRVKGCD LWHLPADGSEHEIRHTGLSEEQTLIVISHVKEEVPDIVSHWMVLPSQAGGDLNLRMGM LKENQCLASNEVWERIWSTSSTTLA UREG_04715 MDVRGLTLRSKSRRPQISAPKPIADPNSGSSKAPGLPAATPRPQ RNGGTTSDLVKRRYSAKFNQLPDFAVGEAPPVPGLPPALQSAKSSQEHVNDRPSTAAS SQPLRVELKALKDPGLQVETYVASLLANASEQDIRDYQTSLRKLKNRTSADLQQNVYQ NRTQFIKISKEAEKLKDEMTTLRGLMSELTTALGQASATSGSNGALDELAAGRRRANR SSVANLESMWNTQLQTLWKTVERSQKFLPAIPGRHIVLESGHWIELDSATWKPRRPVH IVLLNDHLLIAAKKRKRVDPNTQSNSKAPAPTKLVAEECWPLQDIDLIDLGANIDGES LDEAGERAVPNAVNIRFGPKSFTYRTDQRNVRAKTDLVMTFRKTIEELRKGLRTEAEA AAAKSSELLGGRQSLSRRSEMFDSDSRDKLDILIDVDGKQQNMKWVDGQLDELDINIA LQLFEEAVARVEKLRKLAKSLRANPTAQEVINSKIDLRAGKLAEVLLRALVDTHSFLN ATKMNVSWLTRLGFDDRAREAYLRARTEVMTKRAR UREG_04716 MSQVAKGEALCADGSSNVDAALAFYKALKVYPQPKDLIAIYDRT VPKDVIEILAEMIALDSSLKLGSAASDAGMDSQNVE UREG_04717 MPRCFSGSVNTVRIYNISPKINHDECVSIFNAAGIYLLLDVNTP DYGEHINRADPASTYHKGYVKHIFSMVEAFKDYPNLLGFFGANEIINEDSHKDVPAYI RAVQRDLKKYIAKHASRKIPVGYSAADVRSILEDTWKYVSCDQGDDGHSDFFGLNSYS WCGDATYQSSGYDKLVSMFSKTSLPIFFSEYGCNEVKPRVFSEVQAIYGKEMTEAMCG GLVYEYSMEANEYGLVTLESDKSAKLLVDYDNLMEQYSKLDIQRLQNIDPSTTSITPP RCSSSLIKSSGFLNDFDIPDFPEDAENLLERGVPGAKRGKLVEVKKTKVGTKVFDKEG NPISGLELKILEDGQSNSPGENTSGRSSGSGAPKPTGAAAKSVMAGLAPVLAAMAAAF SLLA UREG_04718 MSPETTQQPPLPVAAETCRRIKKVAGSKTPTHVTGLPLPVLATS THSRFSSSSLLLLNDSNLKAFVPAPNPSIPLSRPFFSYSFMQPEVDQSISNHESEHPS RNTDTSPAQHGNFPSQQSIPRDLCHGNGGAREDFADRLCDASSAAVAGATGVPAGNSI NPANCERSNSPGERISEYENAYALSPKIVEELGFKVTPPRPNAPPRVSIEDFPNEVLT HILSHLPAASLSSMNLVNRRFHGLVTTPHAWRMAFSRYFLGPAAIEAERNKLDSDEFD HLMLKKRAFCRLTTFASWRNEYILRTRLLRSLARGKPAEYQSTGLQGSPRTGPIASQA VVTYNSLLLYPVTHIDGAFGSGLEKKRAVFIHGASEQGIASASDPATGKSGLGAWGIS DPQMFNHFADSFAGETQWGLGLGELVGHPNVMDVSQQYGLIYGEGCPRGRAYFLSSSE KRGRFMALADGPAQPQLGIPRLSTMNASICSVWIAKSPQILTTTNGLCGGVLTAYAIG PNHSQNQRYERGQITARWVLCPGIPIVAIRIDDNFSTKRHAHRRIWAVILNALGEVFY LVDIPFEREMNPKPTPEQLNRSAWQTGRTVRWELVEATRRVAKADPFHSMAVDGSYTP RTSSDSMCLSQAQLVAETAEVEKYLAFKPNHFRKLCAGWDMQRKLEVDFAGDDEHGAG ESITVITRGVTVGQAASLKRFTRLKFSTAAPSVQVETIPRVKSAASLPSVFGNSQPLP CVGKGDMPALCDCSGSQCTLATIAWTESALKADLPKLAQITTTAMDMSAVAQLTVSED PLLSICGSSESSEFSTPVSSFNEPSDPAQIPGHRARFLAVGTSTGTVIVWDIRAPVSQ NTEVINHVDPIRVINTDSPQVSSLGLTSLYLVHGGNDGLVQAWDALGSSLQPIRTINS RFSSRARRRLQQAETSVQGVGHNYFAAGAICLDPDPTRLRGIVSLGTQLRYWSYSSSA ADQYRSNKRRLRYKQRGNNRSPEAERYSHTGRGALKDYIMNEQLELQRQKKEKEKNRR HLSGRFGVDLLGPDASEEQLLAYACMLSEESYTSDERTRRESGSSGGTNSSGGTVAPL SLVNAGGESSSFTTLNHASNDESQILQPLSEELEPDVAEAIRRSLEDVSMSSSTPTPS QDDNSQLLATDPGERPFEFGAESSASQQEVDDFELALQLSLVEQESQGLGEPSPTTGS LTPEDLLEDFPSLDNAATSSRSPRRGTGKGKGKRKA UREG_04719 MSLGEADTIRILVSTDNHVGYNERDPIRGDDSWTTFHEVMCLAK ERDVDMVLLAGDLFHENKPSRKSMYQVMRSLRMNCYGDKPCELEILSDASENFQGAFN HVNYEDLDINVAIPVFSIHGNHDDPSGEGHLAALDILQVSGLLNYYGRTPESDNVQVK PVLLQKGRTKLALYGLSNVRDERLFRTFRDGKVKFFRPSMQQSDWFNLLSVHQNHHSR TETGYLPETFLPDFMDMVIWGHEHECLIEPRLNPETNFHVIQPGSSVATSLVAGEAVT KHVTILSITGREFKSEPIRLKTVRPFVMKDIVLYEEKEAQKLARKENNRTEVTRFLMT LVDELIEEAKNDWLESQDGFNGEDSEIPLPLVRLRVDTSIPEGGSFDCENPQRFSNRF VGKVANVNDVIQFHRKKKATTLTRGDANAPDETVVSHLASLDTVKVERLVREFLTAQS LTILPQNSFGDAVSQFIDKDDKHAMEMFLNESLESQIKHLMNLDRDAEEGSDYDEEGA RQTLQAAMDQYRGQLEELFSKGKGKRKGGKRRFKPRPDGWESEFDGAWEDQPGALIHS DNEGDPNAEEEEDEVPATNRRRGTAATATRGARGGRARGTASSRGKIATTSTRGRGRG RDRQVVSEDDEDNADDRQQEHVMLNEDDEDIDMDDDSLFVRQPSTTKSRATATAPSSR ARKTTTTAASARNPPARSTAATRGKQSQATLNFSRGSQPSQSQTIAGTSSTSGAGRRP TRSAASKTNIVISDIEDDEDDDAFEPIPASSTRSRRR UREG_04720 MPSQSKRPIRIAGASGSASDRRHAMAEFARNYPKDPVDVIISDY MSEYNMAVAAARRVDQANTTPTETSAINGPAAPAYEPSFLEALEPALEDLARYKIKIA VNAGVTDTKSLYDVVVEMVRKAGLDLKVAWISGDEVLPAIKEALASGESTFKNIYTGE TLDKWAFEPIYAQCYLGGLGIAAAFSKGADIVLCGRVSDASPVIGAAYWWHGWSRSDL DKLANAFVAGHLIECSNYVCGGNFTGFKGLENAGGDGWTNIGYPIAEISAEGQVVITK QSYSTGGAVTIDTCSSQLLYEIQGPIYFNSDVTAILSDIHFEQIGLNRVAVKGVKAAP PPPTTKVGLTARGGYQAEVTWFMVGLDIPAKARMLEAQIRRLLAPYSKNYTLLKFSVL GSCPDDPLDQNSATATVRILAQAPRADHLAPTKFLRQILDNIMQGYPGATFNLDIRAG FPKPVFEYYVTLLPQSRVQHQAHLPWLNTKPESGQPGNPHSR UREG_04721 MEATLEGSNSAETPPLADGGTRSHRRCILVNELSICILNMVKYY PSLSPDFQAWILSQPVFFVGSAPSTGRHINLSPKGLPAASLALLGPNEVAYLDSLGSG NETVSHIRENGRCTLMFCSFEASPRIMRLFCRGEIIEWNEPAFPVLISRMALNGNTAL KEGVRAVVRLDVFKVQTSCGYGVPRLALATDPETKEPRPYLKDRATLAQSNAKYIAKN TFYSYLRDNNWESLDGLPGLKASMVDRGMWMVNARIALCRWRRELELGGVMLLSSGLT AAVMWASGWATFKR UREG_04722 MALSQKIQTPSCTYEQPLGLFINNEFVKGVDGKTFEVINPHNEK VFVSVHEATEQDVDRAVAAARKALEGEWKTVTPTARGRMLVRLADLMEQHCDTLAAIE ALDNGKSLSMAKADVANSANCIRYYGGWADKIHGKVIDTDHETLTYTRHEPVGVCGQI IPWNFPLLMWAWKVGPAVAAGNAVLIKSAEQTPLSALYMGNLVKAAGFPAGVINVLSG FGRVAGAAISSHMGIDKVAFTGSTAVGRQVLQAAAKSNLKKVTLELGGKSPNIVFNDA DIDNAISWVNFGIYFNHGQCCCAGSRILVQEGIYDRFLQRFKERAQQNKVGDPFHPDT FQGPQVSQLQFDRIMSYIDQGKKDGATVEVGGERHGTEGYYIQPTIFSNVNDEMSIVK EEIFGPVCSIQKFKTEEEAIKIANGTNYGLAAAIHTKDLNTSIRVSNELKAGTVWVNS YNMISYQAPFGGFKESGMGRELGEYALNNYTEIKTVRIRLGDAMF UREG_04723 MSEETPHPSRIPYWRQVLQPGAVTQEVVDYPYRGSGTEDDPYVV EWIPNDPRNPLLFSNAKKWSITMLVAMATLAVALISSAYTGGANQIMQEFNVGTEVMI LGVSLFVLGFAIGPLLWAPMSELLGRQVLFCGTYMALTAFNAGAAGSQNIWTLIILRF FAGSFGSSPLTNAGGIIADMFSASQRGLAMGMFSVAPFLGPVLGPIIGGFLGMTSGWR WVEGFLAIFSGALWIIGSLLVPETYAPVLLRKRAKTLSRLSGKVYRSRIEIDQGRVTV GEAFATALSRPWILLFREPIVFLLSLYMAIVYGTLYLLFGAFPIVYQQVRGWNEGVGG LAFLGVLVGMLIAAALNIVDNNRRYIPVAQRHHGFAPPEARLPPSMVGGVAIPIGLFW FAWTNYPSIHWLASIAAGVPFGFGMVLVFLGVMNYLIDSYTIYAASVLAANSVLRSLF GAAFPLFTRYMYRDLGIHWASTIPAFLALACVPFPFLFYRYGARIRLRCAFAAEAEAF MRRLQQSSVAPAEKGGREEQGEKGEEEEEASRESTPESEPSGLDTASATTRAEDERRF VLEPIRPLSRPATRTRRASSVGSSEYAGNPYDIDRVNTRTSVVSGRRGSTKTH UREG_04724 MVVFFNNHQHSLVPQVIEVLQQLEDDPQRLALERDREPPPPYQS SGETTQPPTPGPPVIDEYAQRCKRRKAHYRSQPGIQFDSQTYREHKRIIYQLDRCRSG RKQTLPFDQSMDLQANAENNVRSRWIEQGIWLDAWGPAWPEGSEPMTTRWRFSRHRPD RFPEPGGRWGHEKKPEEQPDSAPEPQEDQQSKYHGSVFLSGASKPEQSKAAVEDSASP PQPRDSAEASPRDPEASRPYYQFLAQISHEREWIKDELEYKRTRTFDIDAMAYESVKK NWIEDGVWDSEWGDLPGMTWRHEYPMENEADERPYPFVSGFASIKDTQLAPAPGFSGY PRLFGPGALEGAESGRREARARGPFCAPALEPVHPGQDNGGAKALNHPPADANEAQYP EQITNRRLQDVGSPDEQRNIEQAPSAADSCVQDRSQRFVESAQASNSVRNGKKRILNH DEDNEFCEERNSKPPPSKRPRRGRRGQTLINRAASEANANSAGGPQDTNPVARKSIRT TRKTGSAGNEASQNRAGKDVIVNTASRRDGPTEMVVDSPAPPALGKPPLRRSARIAAR EKQSRAAIDKPEPPRQKVTKVIQAPTAPLQGRQLRPRTTKVAEGNHPNRRAGRGTSKP KGRMNTNRRRRQ UREG_04725 MARPKPHPLALFSIVPKNDGAWAILHHPHNRHLVSVVPDPEVKG QSTYGLNIGFHIGSKSRSTLATLGRGADVTVEGANISRIQCSFEIHETTREIMLYDRS TSHSTQVCGTGSTPFKLGHPRRVVVDNRTNLEFGFGGVACNLAHFHLIWHARNFSIEE QINCREDKPCLARTVDETPTVVPSQRVTRIHTPGNQHPEIRYTLRVQLGKGSFGEVWR VANIDSGEHLAVKMVEWPALQSHNYILLKREVETLARISHPNIIEYISAQGPKDNYLE IFMGVRDGTVQDLIRVDDLFVRDPLSADLLLHQMLQALDYLTFKGLIHRDVKPENVLY TALPDGSYHYQLTDFGLCNVIGNARTFAGSGFYIAPELENNRGAQQTSKMDVWSLLVT LTYAMNMGRFREKPLHTIQLRIKAVHEAVSEEIFRPLRDMAHIDPNQRASAADMLDEL FNGVGRTTPRNQIRNDITQNALLRPQVQQFRPSDEGGKRPEQLHGAMVTKRPEQGTND QPGSREASQTSIFPDRRFEN UREG_04726 MSTATQPAKVHKRAYQVCRFICSPSTCLQNQIGIPRIVIVGTSP CGRCRRERKFCHFSATRGKKKTSATPTGSSAPADSAQQIGRIVTIDGTLAALPGVNAL PLPTRGHSDSVGSAGILPPAARIAVPLSHVIHTDRLFSVLSGGDKTAATLLRDAAYTS HDALSLLWEAGRHSERVAGIQHDSRPSRPRPSTDDINRPSAPPSNQGSATSTALQPWS NLRFVRTGLFTAEEALDLVNYFHKYLAPFTPISSTAYQDASMHAKLLEEEPILAVTIL VLASRYMEFSGAGAVSRSFMVHERLWQHLQGMISRMIFGQEQFTGATHDNGSAIEIIN GQSPESALAGSSFGSLRTLGTCEALLLLSEWHPRSLHVPAGDDGDSIIVKDEVRRTRA STAGIGGRIRIDWLEPVWRSDRMCWSMLGNALALAVELGIFDEYDNTTIGARESRRDI WNNPQSSQRAYLVQHLLWVYLTQTSGRLGWKNLTNVSVTDHDASVKHGDTIRCWVGVA SLMKRGNELLFPSRDRTRDIIKSGEYLSVLRMLKPLLHDWQREFDRAKLSKAMRSILA IEFGYVRVYINSVALQAVVEHYNRSAKEGGSMPLSALLNPYEGNKEYFMEVVNAARAM LQLVVEELLPEDRLKHVPVRTYSRILAGAMFCLKAYALGAKDSETAISLTLVERTADA LCTCVVDDVHLSNRFGELLHALASSLRSRVVTIPTSEPSISGHITPNNGQVPRPQFEL HESMAPEVKPMISESFERSMPQQMHLPHPLTSGEYDPRFSTSLTSTAPDAQVYMPMNV MPDQQGFQHDPLISFAGLGPNQLGWSGGQDFFDMLGPLLDVQYEQYR UREG_04727 MLVLRESDIAPILKGLTRDQCHRLLVALWQALARYSTQNMSQRA EKLIHQPMREQIVTSKNNITLFMPASDTKTSTGSKIVTLPGHGGPPKGAINIFSPEGD LEGLLNAELITAFRTALASMIPFQQFSLPDRANVLVFGAGKQAEWHIRLALLLAGEKI TKITVVNRGTKGLEKLKSDLDAALLEGPVVDYLGRDSSAFTTEILATIVAQADCVFCC TPSTEPLFPSSCLGDKPQFISLIGSYKPHMQEVDSTTLLSGDNTIFVDSKDACLHEAG EIIKARVSETQLVEIGELFARHGSSSKLFGSGGNVVFKCVGMGIMDLVIGRELLSLAK ETQAGVMINDF UREG_04728 MAYRLSSVCRASKLTYGNNQLLIRSSHHVRTFHVPSESPENFPQ TPWDTTKPDAAALGGQAPQRALGRMPAPALLRSIMLQTVMSRPRIMDVASNMLRQNVR LFTRNPVFRCLVDKMFYAQFCAGRTEAEIRRTVEGLREMGYRGVILAYAREVDLSNSQ YQAGSAESNALHQEHVAQWLQGTLQTIKYAQSGDYVAVKYTGAGIGCVHALELGQKPD QLMADALEQICTSAKQQGVKLLFDAEHYVQKAGIDSWTMDLMQKYNADGQTVVYNTYQ MYLKESMATLRSHLQMAEQGKFNLGVKLVRGAYIHSDPRHLIHDTKADTDRAFDNAAL MLATRHIENPAGPKIGLVLASHNKESTFKMRELRQEQLKRGLPLADVVYAQLMGMADE LSMSLTQKSPDLEEEDNQVFKYVVWGTTEECMMYLLRRAEENRDAVERSSVSQRALWE EFRGRLTPKALQRRH UREG_04729 MADDTYATGAQRALSLWHSYRGYALALVPTIFILSRLISARKTA TETPPPLAPPPAAKNPRRPGLVKPNSPATSRPEEGATSPNEALKTKIKTVPKRVVGTR PQKKRARSTVDGLDTVKETLVQPIIFFSSLTGSTERSAKRVVEQLQREPQRDGRRSSR LLDPQLHDLSYIDFDDYFVSPPKPPVSNLNVLHFYCIIVPTYNIDTILNTFLGHLDET HHDFRIDTAPLSGLAGYTVFGFGDREGWPTEEAGFCSQAKEVDRWLAKLTGKKRAYPL GLGDVKSDGEKALDEWCAGVQEVLCDMAANGGALGEGVPGSGEPLESDEEDVEDDEHA SNTGSGRRKTLRGDIADLEDIKVSADGSAKLDAVFPVDFTTYSKSTPISPPIPKEMVP TTSPTYAALTKQGYTIIGSHSGVKICRWTKSALRGRGSCYKNSFYGIKSHLCMETTPS LSCSNKCVFCWRHGTNPVGTTWRWKVDPPELIFQGAKEGHYKKIKMMRGVPGVRAERF AEAMRIRHCALSLVGEPIFYPHINEFVSLLHKEHISSFLVCNAQHPDQLAALHRVTQL YVSIDASNRDSLRKIDRPLHRDFWERFQRCLDILREKRHFQRTVYRLTLVKGFNIEDE AVGYADLVEKGLPCFVEIKGVTYCGTSTSAGAGLTMQNVPFYEEVAAFTVALNDELNR RGLGYGIAAEHSHSCCILLASHRFYVNDKWHTKINYKRFFELLEKENTDGEAFTPEDY MSETDEWALWGNGGFDPKDQRVYRKGKNKGNTADAAPALEVVAA UREG_04730 MAHRPHGKSLTAGLLPMAPSTSQQAAAPKPNFTRTTSPRLKLLV RRLPPGLTQAEFDVALGTEWALGKGKVDWLSYKHGKVSTDYHYTTSPSKPSKPSRAYL RVTSSAIVGELSDKIRGASFQDARNTASDPSLLGPATLEYAPYPRAPGAKVRKDARLG TIDQDSEFIAFLESLTNPVPKPSSDDLLEPEREEKITITPLIQFLKEKKANKAREASL PSRATKPSRPAPKDSKAVQAKKVLSRTEKPAPAATEKKGKIEKAAKDTVKATSKQVAG QAPKHKGGKSAVAANQIEPTQPPSPSAPTLERKRERGNLTAATKILRRDLGLVPPRRR GDKPAPTDSTPTAVAPKESPSASSAETAKQESADTRQLRPEAPPKVSTPRLLKKPPIE PSAARNQKANPPTGPKNAPPSSPKVPAPRSTATQAFLKHANPSQGVTEELLDAGFSKF GKVVKVEIDKKKGFGYIDFADPEGLRKAIQASPVPIAQSQVVVLERRSTAAVAQARGS HIRNHAPSPPPPPPPAPPMTVSTAASGEGSPAPSRPLPKGPRGGGRGGARHRGGYGRG GRNAPPPR UREG_04731 MTDPSPDIIPDVMELEYHRFLYVQSEAVEENVLISLPLGFIADT WKITSKGMFAGSCVGVVLLVMALEFLRRLGHEYDRYLAGQPTLFSRKIPTVQSPAPKD LASTDRDITPTANFVSRRRRLTLAL UREG_04732 MVDRPIPQPGPARLRRTAGPDEWLEAAKNCKYLSEHHMKQLCEI VKEYMMEESNIQPVSSPVTICGDIHGQFYDLLELFRVAGGMPGEPDSEGISTPSAVIT SDDIEPPSVITDPKLRKKIRLSREHEDDEESSHTQVDNNGGSNDTATSPTGNFIFLGD YVDRGYFSLETLTLLLCLKAKYPDRITLVRGNHESRQITQVYGFYEECIQKYGNASVW KACCQVFDFMTLGAIVDGKVLCVHGGLSPEIRTLDQVRIVARAQEIPHEGAFCDLVWS DPDEEPSTTWAVSPRGAGWLFGSKVADEFCEVNDLSLIARAHQLVNEGYKYHFNNQNM VTVWSAPNYCYRCANMASVCEVREDLKPTFKLFAAVPADQRHVPQSRPVKTEYFL UREG_04733 MVARPLRFPSLPLICFSGSGISLQHKDDPRDSGESATADGPTRH PDTSCSRDEYGDSPSLPVPISSNVLPPPSASSTGSLIRVSLARLLLNDQLELQRLGEA CREHGAFYLDLQMTEIGNHILEDADRLFLLGEQLFNLSSSEKEKYDMCKFGGYYGYKG YQNRMRDMKEFYAVSKDDILSIPCPRSAANARPILPQPSAIQSACPHIHSYIELSHTI VTILLRHLTALLKLPAGTLENLHRQSACSGDQVRWIKIQPSRETRVNRGDEVLLDEHS DSNSITVLFNRKGGLEVRQPYPHDKEIGTNQDGEFGPPIRWIKAGPLPGHCIIHLGEQ IARLSSGVLRASVHRVCILPEQQRAGPRYSLVYFARPEDNVILQQLEGVAVVPQPHGP DKPGVAGETSKTVAWIARWVRRSRRRQHKYDGDCT UREG_04734 MGPPPSSPPARSEPKTQPEIIPEVPALSDPTVIEPDTEDLDSTF GDNSDTSSYTASLLSEVRDYKYENGRRYHSYREGEYVLPNDDQEQDRQDLLHHVRNLM LNGALARAPLPRGPLRVLDVGTGTGIWAIDFADSRPDAEVIGTDLSPIQPTWIPPNLR FFVDDAESPWSFSQNQPFDYIHARDLGGAITDWPKLLNQAYDNVRPGGWVELQEFEVT LKSDDDTMKLAPTLCDFLKKLHEASEMFKKPMNIAEMHKQRMIEAGFESVQEEVYKVP CSGWPADPLLKEVGRYNQCSLMMAIESYSLALFTRVLGWSNEETQVFLAAVRKDVKNP RVHSYCVLHIVYGRKPAAA UREG_04735 MALGGPLVLSDIYLAVADEQLELARSALASHGLMSGPRHTSGSL TVQPRKVRQDGLAIGFYLTKPDLTMVLTAIIDALAERHGDEELNGQITCYFKIQYSYL LSVLHDDVLSCLPVEDQFFIDLFDKVIFYSARKKVCYFRQQIRAGLTTVETARTLVPR KDLEIAAIKAKYHKPGQTTNRGKPSVRIFFNTTNIMPHR UREG_04736 MAAAGIKLQAEFRTGTSTHGIWDPVPVPSTTLIVKAQFRFKYKI TELMTPRDIPEPFNLKGSPDLNRPAYDVGRGRYGLEINNKDKSQVRVTTDEPEVAIPF ELWTALRPRAFKGKRGKGLAISRPNIIIRLFYRLRVLAPEVAESYVVKMSEKIASSCR DILDKLDPLAVDILSSSAVRRKRLAAILRTSLLNHAAAESWVRLGIITKLRCKVRELY VNLAKESINKGGARVVEGGGTETIAHRFHSMPVTHQTRPEPTLV UREG_04737 MPSEVSDIKQFIEICRRKDASSARIKRNRKTNQIKFKVRCQRFL YTLVLKDSDKADKLKQSLPPALKIADVSKGGAKKKSI UREG_04738 MITLSEPPTRDTVMQFSTSGHRGHIYTAKHEGWYSVSDETFYPS SAVHATLDPATGRKIMSAIETGKEVEWSSETNYHFRLSAFKDQLLDFYNENPKFIRPQ RYMDDVVETVSSGLSDLSISRPVQRLTWGIRVPGDETQTIYVWLDALVNYLTYAGYPF TPGKENKSIWPADLHVVGKDILRFHGVYWPAFLMALDLPLPRQILSHAHWTIGREKMS KSTGNVVNPIYAITRFGVDPLRFYLARDGGLSDDADYENAFVTERYKKTLQWALGNLA SRLVRSKKWSVRQSIERSFGGELSKPSEADRKHQELLEEVRDVTKTWMDDVNPRKAAE SVVGIIYETNKYFQDSSPWSLATQSEMGSPNRLDRVVYNTAESLRIAGILLQPFMPEK AARLLDMLGVAGDPAKRSFNAATFGADRDYGVPRVDVKRGTEGTLFPPLLTED UREG_04739 MGSFEDPRQQRKPKPWIETPLIESTSLSQAAGWLTFPLRAIGNL VLSYASDPANQGKQLHFFIASAGNAGLAAAFAANALSYPCTVCVPITTKPVMVAKLQE AGATVVQHGANLDEASTHMKSIMDALRGKPADGDSAVPVVPIALHPFDHEKIWEGVST MVDELAYQMPPREDEAESGEFDDESLPIDGIICSVGGGGLMNGIIMGIERQERMYGKA RAGSTYTNSTERRNNIHIIATETQGADALAQAVAKGSLVSLPGITSLATSLGAIRVAQ RTLDNALYPPAGVKVHTLVLHDADAAKGVLTLAEDQKLLVELACGICVETAIGPTPSR RKSKLQGKRVNGHQKPFSPVNDYGTQTEVQSYLRRFIPNLNSKSRVVIVICGGSNVSL EMAAEWRQKLEEGWGTENGIVA UREG_04740 MGKYSSSPLWSSITPIPLDDGASYSGDTGTGTNEAGNETYPLAT ITYTEEYAEATAYLRAVMAANETSERALDLTVDVIMMNPAHYTVWLYRAKIIKALGKD QHEEIAWLNKISLKHLKNYQICLPESEQDFLGQMFALDSKNYHVWTYRHWLVRHFCLW DSPRELSDVEALIESDVLNNSAWNHRWVLRFGPRGGTPDSGVPNPTDQGGSRGRLDIA DEDLIDAEIEYAKSKIVIAPENRSPWVYARAVLRAAGRPLADLKAFASRFVIEEIKDD EAVDYQVKSSLAVEWLADVLAEEAQTVREPTRTAECKVEAVKMLNLLKEKYDPIRKHY WDYRIHAIERYD UREG_04741 MTESSDGMPTPSPSQFGGDGPSVDSQRSPSSTRRPNMLRKPSSP MAPPFMVSAPGKVIVFGEHAVVHGKQAMAAVISLRSYLLVTTLSKSRRTVTLNFRDIN LDHTWDIDSLPWDLFHHPSKKKFYYDRVTCLDPDLLEALQPHISNVSPDQPPDVRKVH QGSANAFLYLYLSLGSPQSHGAIYTLRSTIPIGAGLGSSASVCVCLSAALLLQIRALA GPHPDQPFDESEVQIERINRWAFVGELCIHGNPSGVDNTVSAGGKAVIFRRGDYSKPP SVVPILDFPELPLLLVNSRQPRSTATEVAKVGALKEAHPAITEALLDGIDQVTSSAYE FLSSKRFSKDSSEALDYLGTLFRINHGLLVSLGVSHPRLERVRELVDHNGIGWSKLTG AGGGGCTITLVGANRQSAALKRLEAQLAEEGYDLFETTLGGHGVGVLWPAVLKNGSDE EGGEEIDQQKFETAVGNDGIERLVGVGVPDVREGWKFWRRGLMG UREG_04742 MGNQDVDAHAASQGTSMSFGEHTLTDAAQPYQPVRSGSATSIRP ENCTPDTTVPRSRRSISRNGSRTNPRSQSAARVRRASLSSQHLGKLDSLGRKERGAPL SAAAELSKKLGTFSGVFVPTTLNVLSILMFLRFGFILGQTGVLGMLGMLVASYLINLV TTMSISAIASNGTVRGGGAYYLISRSLGPEFGGSIGTVFYLGCVFNTGMNAVGLVDCL TQSFGSASGSLSNFLLEGFWWQYLWGTIVLLLCTGICLAGSSIFARASNGLLAILLVA TYSIPLSPLLLKPFASGRLGIEYTGFSLTTLLGNLKPRFTKGAAGSQISVKESFQNLF GILYPATGGIFAGASMSGDLKDPSRSIPRGTLSGLGLTFVTYSVVIIVLASTATRESL YRDVNIIQDTNISAGLIVLGEFATTFFSALMGLIGAAKLLQAIARDNLMPGISIFAKG TPKSDEPTHAIIFTFMIAQLTMLLDINQIASFITMIYLMTFLVTNLACFLLKVGSAPN FRPSFHYFNSWTALAGTLISGASMFFVDSRYAAGCVCILLLLFLLIHYTTPPKSWGDV SQSLIYHQVRKYLLRLRPEHVKFWRPQVLLFVDSFETQYKMIHFCNSLKKGGLFVLGR VIVTREFAGAVPEARREQTLWNRFVEYSKVKAFVNVTVAPTIEWGVRNVVLNSGLGGM RPNIVIINQFKHNSPAGEIGNRPLARGNLPTRHKTDGVELEREVVDRKSPMSIQSYVT ILEDLLFKLRINVAIARGFEDLELPQPKHRGAKRYIDLWPIQMSAEISGEGCYSSQNI VTTNFDTYTLILQLGCILHTVPSWRNSYKLRVAVFVEYETDVEEERGRVVALLEKLRI EAEVLVFWLASGGLASYNIILNGAQPVAEQHMMERVDKVLGGDDWWHDVKRFRRGEHD RKSKGKPGQAPRLGSMLPGQQEEYENGRRYNGLRRIIESSRRRRSVGTLGGMALSLGM QTQRLLDSMVEYRSDESDETSSDDESGVEAYVSEADDEDEEVDAEEMADEPTPPADDA PRPGLLESPRKPRINLGKRRADSVSESAVTDSADSGTEPSVSNPPHPALSRLKAEGIP SPRDKPGMVRTSSTARFSSSPIPETKVATEEGTGPSIMFAAAESARQGARAGSIYKRS ISPEPLGRGSAAEEGRASGYPSQASIQLSFNDLPCRAQHLIVNELMKAHSQRTAVILT TLPSPVEGTCQDPAASQLYLSDLEVLYSGLPPCLLVHSNSMTVTMNL UREG_04743 MPDHDDNSGEAHPLPPPAADSLPVAVRAPSPSLPSDSPVSGSLP RHITTARLASPVPNGAEFQDASSRRASTPLQVAVDKLPDLKGRDMASSPHRPGDSILT SGLRNVSPRPEPEPSELPLEADPPSLTENGARIGYGVSGTGEGSPHGTPLPTENPEII KRHLVQPQGSSDGNNGNSSESESGNGKNPVDGDEFSSLQLQGGDITRPVYKWAEEAEA QEASKRRRSFTVPRPEPESATLDIGSIKIPGGFRRDYLRRAGGGTDNTGLYTSPGHDW RTVEPQLPTRSFLEFLTLYGHFAGEELEEDDEALGPDEYFVPELWHEGEEVVSERTSL LPPGAPGKHPRRHRERAPKATNSASGAMMLLLKSFVGTGILFLPRAFLNGGMLFSSVI LVTVSLLSYYCFILLISTRSKIEGSFGDIGGALYGKHMRRIILGSIALSQFGFVAAYT VFVSTNLQAFVLAVSECKTFISIQFFILMQLVIFLPLSLIRDISKLAFTALIADAFIL LGIVYLFGVDIKTMVDQGGVADIQAFNPQSWQLLIGTAIFTYEGVGLIIPIQESMKRP QQFPRVLALCMVIITVIFLASGVLGYAAFGSATETVVLLNLPQDDKFVNGVQFLYSVA ILLSTPLQLFPAIRIMENGLFTRSGKYNPGIKWKKNIFRFFLVVFCAAVAWGGAADLD KFVALVGSFACVPLVYVYPPLLHLKAVATTRFRRWSDIGLAVFGTIVCVYTTVLTVRN WATGTTDPGKPGYCDV UREG_04744 MAAAIKAINAKIRSNKVLDYFCSTHFWGPASNFGIPIAAVMDTQ KDPEIISGQMTAALTIYSGTFMRYALAVTPKNYLLFLCHFVNFNAQLTQGYRYMKYWQ WGGRDAVLAQQAAAKGQEAADTAKNP UREG_04745 MSEGKDKSSNPMRELRIQKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSET GNFGFGISEHIDLGIKYDPAIGIYGMDFYCCM UREG_04746 MAGHPGDRPEHPPGSTETMQSAQSQPSSAPVSPTVTAATPHRPP PAEMPKTPPQTPAESPGQDQRMVGPPTAAAARPGLTTYRRASPSTSTLEGQQRYAGLT FQKRSSLDEGVRQRKVSWREQPVGSNPQTARWFTRWWDT UREG_04747 MDSEQPPHSVFDTILVLDFGSQYTQRLADLTWKPKASTSRPAAT RPRSPLRPSAFFDLGVPILGICYGIQEIAYRLDKANVTAGTAREYGHADLTGKRLDDQ GHVDRLFSGIKDNTKVWMSHGDKLVKLPEGFHTIATTANSEYAAIAHQTKPIYGIQFH PEVTHTPDGTHLIRNFAVDICGAGQNWTMAKFVDQEITRIRKLVGETDHVLGAVSGGV DSTVASKLMKEAIGDRFHAVLVDNGCMRLNECQQVHDTLGKHLGINLTVIDASKQFLD GLKGVTDPEKKRKFIGGKFIDVFEEEALRIEAKVEHTGAKVKWFLQGTLYPDVIESLS FKGPSATIKTHHNVGALPKRMQEGAGLKLIEPLRELFKDEVRQLGRELGIHNDLVMRH PFPGPGIAIRVLGEVTPERVEIARQADHIFISMIREAGLYDKIAQAYAALDPTRAVGV MGDKRVHAEMIILRAVETTDFMTCTAYPFSHEFLSRASTRIINEIHGVSRVLYDISSK SGMAFLTAYQPPATIEME UREG_04748 MLLSSLISLALLSSQVVADPAWAPPDKGLKPEVARLLPPFLRYR RPIYAIAHRVVTVGGIKDAISHGANAFEVDMCADSIGEGWWANHDCTNGRKAGDSARK IFETFAAERKRGKTVTFVWLDFKNPDACVKNQGCSIEAIQQLCRDILEKQGIRVLYGF YKAEDSRAFKTIRNNLNDREAISLNGATTKVLKLFEGTAPKVSKHQRVMDYGDTYLDK GFGDCTEKDWYTCTELRQGADLRRKGKLGKVFAWTSTVNQGRLVDQLLGKAHVDGIIY GFKLTDYYDHADSRAAANDIISWVKRRRALYYMATNDNNPWIDIHKLFLYLLSWFSCI LLLMMNEWTCPQDGA UREG_04749 MLGCWDAGCCSWSCTASGPAQAPQTLAHPGALSLFPNSPISHGL LPHLPAFPNFNLTSSSSLRPSSLFLFFSSSSCQLILSLLYIYLHLSLSSLSLHLPLLS LRRTFSPPPSTPSRPGCPPHDEAVEDHSPSNFEHSVHRRLRANSTIIQFHKILVANRG EIPIRIFRTAHELSLQTVAVYSYEDRLSMHRQKADEAYMIGARGQYTPVGAYLAGDEI IRIAVQHGVHLIHPGYGFLSENADFARKVERAGMVFVGPTPDTIDALGDKVSARRLAI KCNVPVVPGTEGPVSRYDEVRAFTDQYGFPIIIKAAFGGGGRGMRVVRDQESLRDAFE RATSEAKAAFGNGTVFVERFLDKPKHIEVQLLGDNHGNVVHLYERDCSVQRRHQKVVE LAPAKDLPVDVRDSILTDAVRLARSPPAPAFEQLGLTQERISTRGFAIQCRISTEDPT KGFQPDTGKIEVYRSAGGNGVRLDGGNGFAGAIITPHYDSMLVKCTCLGSTYEIARRK MLRALVEFRVRGVKTNIPFLASLLTHPTFIQGTCWTTFIDDTPELFSLIGSQNRAQKL LAYLGDVAVNGSRIKGQIGEPKFKGPISMPTIVDARGTPVDVSVPCTRGWKQILDEQG PAGFAKAVRANKGCLIMDTTWRDAHQSLLATRVRTVDLVNIAKETSYAYSNAYSLECW GGATFDVAMRFLYEDPWDRLRKLRKAVPNIPFQMLLRGANGVAYSSLPDNAIYHFCKQ AKKYGVDIFRVFDALNDINQLEVGMKAVHAAGGVVEGTLCYSGDMLNPNKKYNLQYYL DLVDKIVALGTHVLGIKDMAGVLKPQAATMLIGAIREKYPDLPIHVHTHDSAGTGVAS MAACAKAGADAVDAATDSMSGMTSQPSVGAILASLEGTEFDPKLDIRNVRAIDSYWAQ LRLLYSPFEAGLTGPDPEVYEHEIPGGQLTNLIFQAHQLGLGTQWAETKKAYKQANDL LGDIVKVTPTSKVVGDLAQFMVSNKLTPDDVIARAGELDFPGSVLEFLEGLMGQPYGG FPEPLRSKALRDRRKLDSRPGLHLEPLDLAKIKADLKEKYSTATECDVASYAMYPKVY EDYRNFVAKYGDLSVLPTKYFLSRPEIGEEFSVELEQGKVLILKLLAVGPLSEQTGQR EVFYEMNGEVRQVAVDDILAAVDNTSRPKADPNNSSQVGAPMSGVVVEIRVHDGLEVK KGDPIAVLSAMKMEMVISAPHHGTISGLLVKEGDSVDGQDLVCTIHKV UREG_04750 MAPLPIKFTELLQLTNLDIVVCIASPFSLYNRLGICDLGLGHAA SFKCVAKADMVSALAGIHWIQFMCKCPLYASAGRASGSLSRVRSIQTLESDKFVCIRQ KLSENDKTQVIIIDLKNNNEVVRRPINADNAIMHWSKNIIALKAQGRTVQVFDLQAKE KLKSAVLTEDVVYWKWFSETSLGLVTEAAIYHWNVFDPSQHAPLKMFDRISNLNGCQI ISYKVNEDQKWMAVVGISQQQGRIVGTMQLHSVERGISQHIEGHAATFASISVEGSPL PHKLFAFAVRTQTGAKLQIAEIDHQEPNPKFPKKAVEIYFPPEATNDFPVAMQVSKKY DIVYLVTKFGFIHLYDLESGTCIFMNRISSETIFVTTPDSESTGLVGVNRKGQVLSVS VDESTIIPYLLDNPANTSLAVKLAAKAGLPGADNLLQRQFETLLTQQNYMEAAKVAAN SPRGFLRTPETINRLKSAPQSAQGMSVILQYFGMLLDKGTLNKHESIELVRPVMQQSR KHLLEKWLGEDKLECSEELGDIVRPYDIGLALGIYLKANIPHKVVAGFAETGQFDKIL AYSRQVGFQPDYVQLLQHIVRVNPEKCAEFAGQLVSEESGAAVDLDRVVDVFISQNMI QQATAFLLDALKDNKPEHGHLQTRLLEMNLVNAPQVADAILGNEMFTHYDKARISQLC ENAGLYQRALENTEDPAVIMRNIVRTDKLNPDWLTNYFGRLSVEQSLDAMNEMLKVNL RQNLQAVVQLATKFSDLLGANNLISLLEKYRTAEGLYYYLGSIVNLSEDPDVHFKYIE AATKMGQMTEVERICRESNYYNAEKVKNFLKEARLTEQLPLIIVCDRFNFIHDLVLYL YQNQQYKSIEVYVQRVNPSRTPAVVGGLLDVDCDEGIIKNLLSTVDASSIPIDELVSE VESRNRLKILLPFLENTLSTGNQQQAVYNALAKIYIDSNNNPEKFLKENDLYDTLVVG KYCEKRDPNLAYISYRKGQNDLELINITNENSMYRAQARYLLERADSEIWAFVLNGNN IHRRSLVDQVIATAVPESAEPDKVSVAVKAFLEADLPTELIELLEKIILEPSPFSDNG SLQNLLMLTAAKADKSRLMDYIHKLTEFNAEEIANMCLSVGLYEEAFEIYKKVDNHIS ATNVLVDYIVSIDRAQEYAERVELPEVWSKVAKAQLDGLRVSDAIASYIRAGDPSNYN EVIETATHAGKDEDLVEYLKMARKTLREPAVDTALALSYARLNQLPELEDFLRAVNVA DVEASGDKAYEEGYHEAAKIFFSSISNWAKLATTLVHLEDYQAAVECARKGNNVKVWK QVNEACVAKKEFRLAQICGLNLIVHAEELQDLVRQYERNGYFDELIALLEAGLGLERA HMGMFTELGIALSKYHPDRVMEHLKLFWTRINIPKMIRACEEANLWPELVFLYCHYDE WDNAALAMMERAADAWEHHSFKDIIVKVANLEIYYRALNFYLQEQPLLLTDLLQVLTP RIDVNRVVRMFEKSDNIPLIKPFLLNVQTQNKKAVNNAINDLLIEEEDYKTLRDSVEN YDNYDPVELAQRLEKHELVFFRQIAASIYRKNKRWEKSISLSKQDKLFKDAIETAAIS GKTEVVEELLRYFVDIGSRECYVGMLYACYELIRPDVVLEVSWRHGLHDFTMPYLINV LSQQVRTIETLKQDNEERKAREAAQQKEEDNTPVLGGSRLMLTQGPGSPAGHAMPFGG ATNGITPQATGFRPF UREG_04751 MAKSAILSVYDKTGLLDLATGLAENNVRILASGGTAKMIREAGI PVEDISAITHAPEMLGGRVKTLHPAVHGGILARNIESDEKDLADQKIDKVDFVVCNLY PFKDTVAKEGVTIAQAVEEIDIGGVTLLRAAAKNHARVTILSDPNDYTEFLNELKKGD ISERNRRLYALKAFEHTADYDEAISGFFRKQYAGDGAQYLALRYGANPHQKPAAAFME QNKLPFKVLNGSPGYINLLDSLNAWPLVKELKQALGYPAAASFKHVSPAGAAIGIPLN DKEKKVYMVEDIAGIDESGLAQAYARARGADRMSSFGDVIALSDVVDVPTAKIISREV SDGVIAPGYEPEALALLAKKKGGKYLVLEMDDNYTPPGEETRTVYGVQLRQNRNDAVI SPNSTFGTIITPKDLKSLPESALRDLTVATIALKYTQSNSVCYAVNGQVVGLGAGQQS RIHCTRLAGDKADNWWMRFHDRVLNIKWKTGIKRPEKSNAIDMLCSGQVPKNDVEKAD YERVFEEVPAPFTPEEREEWAKKLGEVVVSSDAFFPFVDNVFRAARSGVKYIAAPSGS QNDSAVFETAEKLGMVFVQQSIRLFHH UREG_04752 MNLTSPTLYGIYSPTAFEGVRDGSGTPWDTGAQTPNLQNLSPSQ REAFFSPGGKGISEQSVLQAHAVKRHGFRGYVLPLVRKSVFLFLFGMAYGGIITHLHG HPKVAGRVPVNMDNIDLDQRSLGYLGLWGLAGVALGSLQPWFDLFWGDNLIVGRSQAT ERRSKGSGLLEWSPMVRSIGAFVGIAFAIRKLPWQSTLQVSLTLALVNPVLWYLIDRS KPGFVLSTVVGLTGMLALLGINPTIVPSPATAQPSSSSAAVSPGTLLNSSTLLQTAKV IGVTQESLAVGTWIASVLFCSCVCFGNIGRRLAD UREG_04753 MADSHDPASRGRSPSPTPNSHSPSSSRPKPEPSPASRPPRVNGI ARADHDETEDTKMATPSRKASVLPAAASPPANPVLPSDVDSSRQKSGRPNAEPAQSAW EKPVKPRRAENVDPSGTRRDTNTRRPSDPQPATESAPASTRKQTNGTIGSVYSGNKIR HLKKDDGIPLWRKDIQYEFLRLVFADKTPCFTRFPEGDKNFTFTDIYLDAMAKSSKTS KILKEKLQTEKSSAINMAMVCLLVNFGRMNTTLNFFPEMRAQLRTYHSIPSLQAHQDS NAYKQLQDAPRLKSILKGASEDVEQPGTVEKVKSLPVPRTNPVNLIFVLSHYAPKISE LHFQPPGDFFDLVMRPTLSSKSRARAFLWLMWYYLESDFSEKAALENPFGPGTVGEGS QGLPLKVPGLEELTEQEAEAENVDSPEEIRYGEEKQRERKRILEEDDVIFRHAKRPKK GDNPLHSTDPCVHVRDRSAAGGRRSDVYGSVAATPLNPAKRALEDEDVGEMQTPPQTS RPRSKRPKRDSSANRPPGSQPQRLVLKTRMEQTPDTSSPAPPGAAHPILSQYVSGNSV SGGQSGSRRPRPMTQHQIALELNRKQRVDYALAQRRMETWDALRVRREKEVPFARAGR LLQSLPTSYDTEDEKSWGKGGICPNPEEEEDYGETASFYFSVIRKVARRLQRWDWDSV LSDDKDYPVNGLGANYNRPPVEEHHELEMVSHATSSFHKPKSRSAARRERKMIEDAPE EHEQTKKLSSRGGTGQKKSTATGSKRRSRPSKGTSKPHADAPEQAEMPDDSVLHGDRG AGRGDGPMDTIDQELLGESSLPDTRSRQDDTSSIGLGPEVVQGDGDDTEELSDVDMEL SKLGENEYSRGDSLSPDNDVEASQLDDDETVLEV UREG_04754 MRILKQLGRLQLAFFHTHQPTQDQFLCLGLLPLGATLQERIAPR NITSIYALISARLTKVLALHEQGICHGDISHANIALGVHPEALHETFRWDDRSYIQLV EVPGPPPPRPANLPEYIVFHQFPLQTNRDDLSLLDIIDFGKGLSGG UREG_04755 MENEGRDGIRPYKQCLGSWRPDFLVEETSHAAGRESIAERFAIC EINARFSFNGFCMSEAGQQGLADMGATQKHLLNVLEPGQVIEGLLSLFDTSRPLHLLK GEEYGVDIHLFAHEVERRVGMRPRFITPDELRLVPSQDARFGYKLCAIARDEDSQPPS SGRDSIVGKPRRAARFVHNAEVLEEVHQVALELHQRELRALSPEMLRQIALRCFNDMR TILLVHDKRMLGIVLQELDDLVARHGVLTEEQALVLRDGIAQTIIPGSPAMEAFIRQC ESEPGLKDGYLLKPIRSGKGAGIIFGDEASLEEWKDKLEALRQPALTPGQTSYIVQRQ VKQPTYGVLLREQDGLQHNRLIGTYLSVNGKFLGLGVWRSGPERICAVSHGGAFLFSV MSSRHQVAAARGGGSVSRYVQRLHSIGSWMKRRLTLRLKNVD UREG_04756 MSSVHETGVPPGYGMHTIPPTVHAAIRKHLQTGPSHKESFYVVD EEFIHSQLRMWASKFGHVQPFYAVKCNDNPRLLSILAKHGTGFDCASQSEIKRILDLG VKPDRILYAAPIKSEDHILYAKEHGVVQTMFDSEDELRKLVKLFPPAQLYLRLWADDP TSRVRLCDKFGVQLPQAKRLLTLARELNMTVVGLCFHVGSSASDCDAYRRAIALSREV YDYNESFGADKRHPIRTIDVGGGFSRGNFDNAVRAINEGIQEHFGADNQLRWVAEPGR YFPDEAFHLVCRVIGTRPRPSLSDEPPPGEAFPVGDVYINDGIYRNFLNAITEQVVPP ARLLDCTGSPYVRGDDTEDTYVLWGQTCDSFDKINSSCVLPRRAKVGDWVYFPSMGAY THVTANDFNGFPKDTQTIWIPNSTEESKNAVSAPFRTLKTLHYYVVSAFSLPGYAARE AERPGLKASGELAAFNSNAGLVGKFFAGARALHIFSGARHDEQ UREG_04757 MWHTLRRVCKPGLGAVGRGRRLSSCRHNSTIAQLRAPSPSCADE RAHIDSVHELLDETGVLKIKLGFEDDESRYMQQLILGLHKHHGHGLPITHSASRGWFW DVRPLPGAHPTADKPARSETAQDFPWHTDCSYEHLPPRFFALQVLQPDRCGGGTLSIL SIDMLVRLLSPSTRTSLSRPEYRITVPPEFIKSDERHITAGLLGEDPGNGAPEFRFRE EILCPLTAGAKMALQELGAVLSSPQAKAATLHLTPELLPRGSVILINNRRWLHARSEV RDPHRHLRRVRWDARPFVAGDSRVV UREG_04758 MASRFYSLADILASASVHPFYSAAEYPSTPEAFAETLAAAKQQN ALDLKSFPLMRKERLYQTIQRLSSDTSPRNGYRLQGYISVTGGGSGGVPMMFVTDSAE NRRHRNAIGALVKDCGLIEPGDLTLSMHISGSFYRALDLVTEIVEQAGGGVFCAGHYM SHDDVVRAVVSHRINVVAGDGSQMVQIAAYIASLPAAEREAIKITKILYTSEPLIRTQ RAFIRSILGPVTICSIMGSSEAGAWAVANLDLTGDTEDDHTEFIYDTRAMLLEVLPPS VEDTETPADETVGEVPEGQPGIIVQTSLQRLRHPLVRYVSGDLGSVHPLPPAALAKIP AEEAQHMKLLRLYGRDRRFSFKWFAEYFSFSKIEALMRTEEYGILQWQIVHSYTPGTP EIAMEVRLFRPDSGAVTLLSRDAVVERIQRFFGFLPINAHLLTITFVQSDKDFERSKT GNKVIKFVDRTKAKPAE UREG_04759 MAESLKMAGLSLEDSQHAPNHATGRAPYIPPHLRGQQQRGPVPM DGPAPQARAPMPSGSFAANGAPNNWAPRGANVNGAPGWNAGSAPRFDPNAYGHPGPRG GQNYGGAGSAPSAGAARGSGDGQWRDGKHVPGPANARLERELFGVPNDPTKQHTGINF ANYDDIPVEASGHDVPEPVTTFTNPPLDDHLISNIKLASYKTPTPVQKYSIPIVMGGR DLMACAQTGSGKTGGFLFPILSQAFKNGPSAVPTQNANQFSYGRQRKAYPTSLILAPT RELVSQIYDEARKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLVATPGRLVDLIER GRISLCNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPPVNGRQTLMFSATFPRDIQM LARDFLKDYVFLSVGRVGSTSENITQKVEYVEDNDKRSVLLDILHTHGTGLTLIFVET KRMADSLSEFLINQNFPATAIHGDRTQRERERALEYFRNARCPILVATAVAARGLDIP NVTHVVNYDLPTDIDDYVHRIGRTGRAGNTGLSTAFFNRGNRGVVRDLIELLKEAHQE VPAFLENIAREGSGYGGRGGGRGGGRGRGSNTRDMRRMGGGAPPSMGSAPSYGGSYGG GTNNYSSGGSFGGAPSYGGGYSGGGGSYGGGSYGNPSGPTGPSSWW UREG_04760 MPPKSRKVRASAAPATTASDGPADGHPPSSKQQQDVFHFVSVNP TSEVQKSHNRTVIRSHASKYIWRQHRAGRSEKGGSRKPSARDSEPALSAPAIPKPSTH EPSWSLPPPPTGPAELESGSSSSSLARESEEPPTKVEDFNEVKPSPYTADASLSPVLY RQERHPGNILSANMALGNSYDLVDGPFNQLTSWLADPGHIYPSMLGESAITVSELWPG LVLGASNQKWDREDAAENWLPRAMKNPPLFTAFLYGAAGHMQTRKRLEGAHFSPQTKE EKLEQIVCETETIKQLNQMMQKPSQACSDEVILAVLCMAFNRIDYSGWTVSDPWPKAP LRNLQWLDVYGGLSLNDHHIKGLLALIEMRGGLSQMKMPGLAETLSTSGVMLSTKYLV KPRLPFVPIFEETAEGRTPHWPTLLNPAMIEGQPDPIATAGLPADISEILQCMRDYNN VINVYSQGLLPELELAVIADRRNWIQYNLVSLRSVYEYHEAFFDAHKTYEMCRLAAMI YSMLVIFPLPAANRPFKRIASMARMALMETETEPDPFASWRPGREMLLWALVLAGMAA KGTPDREWFVGKLAAALATAGPGTWEEVKEALGQVMWMDSVCDMGGQALWMDAMLAGG AGTGSTAGSI UREG_04761 MKFSHALFAFAAAGLASAQLPDIPPCALLCFVDALGNDGCSKLT DFKCHCSKPELPGKITPCVEKSCPDLEARISVSNIVVDQCSKAGVPISIPPVDTRVPT DTTTAAPQPSACIPRRRRA UREG_04762 MRPRCRIRRTTSQDTLSSFRSRVTAHGFGRREHSGPAFTTTNRP INYLTTFSITGSGGRSADNTARDWVHSRTQQQSRANVVSPSSFEQFLASRTARLCPSN VRDVRPGSQHSQPSWNPQHPPWIRLCYGAQNATRRSCATEVHHAVGGGWCSNKDSTFQ TGGIAKPTHVRISKRRKREPVG UREG_04763 MANMKRLVALGSFVLFAFFLFLSRAEAKGPKITNKVYFEIQHGD KPLGKIVMGLYGKTVPKTTENFRALATGEKGFGYEGSTFHRVIKDFMIQGGDFTNHDG TGGKSIYGSKFPDENFKLRHTKKGLLSMANAGPDTNGSQFFITTAVTSWLDGRHVVFG EVLEGYDIVDAIQNVAKGVGDKPAEDIKIAKSGEIQMEPEGSRRGRFRTYFESPMYDP AKRQ UREG_04764 MSSEIIHTSPSARDGGHSGAIKSVRLLSPNQIASSGMDRTVRLW KYSDQEKGITPQIEYYGHKGSVDSISVHGNRILSASADHTVGLWSTRKSESPAAPPNM LPTNSARSSKRRKLNSSVSTPQRGPLSMLKAHTAPVSAAIFDAKDSTVGYSTSWDHSL RTWDLVTATLVDTRTTSHSLLCVEHLPEHTLLAAGSAARHVTLIDPRASATTVSAMTL RGHSNAVVCLARDPDSTYGLLSGSHDGTCRIWDIRSTKTDTDGVVGESIYSISRNSVG EGKRVGGDGVKVFDVCWDKKVGIVSVGEDKVVQINRGEGVVPKAA UREG_04765 MASAPSNEAACQALLDFVTDGRFPDSERLVSADFPLEIIPTELQ EIAKAKKAVENEISTLSRETATSIDDWITQAKQLHLDIEHSRLAAREIVALHEKGREL RDRVADAQSAVDGLHGEIAFNGALLQTLEAARVIDEKAGEARLALDSGHWIRAIELVQ EVQGSLSGTKLPENTNVVKLLSTKATELRTGIANALRAEWDSLIRIDATSSEPTVTSN DSGRFADMLAGLSRLQISDSVFQSFEQDLINHIIKPVISPPAVSQGRIFSIDKDCLRL KQLSSKPPITDLLNSVLSAFSYFKEYFPDVVVDRLLVTLAGTIASPLIAKWLTPSVPS DLSLLDGFQETIGQLRQFISGLESQGWCGLEELNVWIEQVPRLWLTQRRCKALNDVRL AISRSSGHIRKVERIEKECISEGSAIPGEHIIEDDWNAEWSDNEEESTPEKPSNKDIP QLESGKTDAGDEDVEETDESWDWGDENDNPPAVGQLQEIAKSRPQTSGHGSAREESRR EVILKDSYAITDLPDCILAIISAQISDAENLAKFGFAPYQLVIIIENHANAFQRAHLN LASSRPALLALPTLVVAMFKATAPLFYSHKFTVGQIRLYNDCMYFAEQLRSLSETRSL PKLSSDAESIEKFGKAAYGKELQSQRTVLTDLLDGCQGFSNCSQEPFLGECKNAMAAA VDRVTDVYKEWHPILSRSALLQSIGALMSAAINKLILDIEDLSDISDAESRCLAEFCS SLSKLEELFLPEPSTDANAANQPEAMPMTAMYVPNWLKFQYLINILEGSLADIKYLWT EGELKLEFDAEELVDLIKALFADSDHRKRAIAEIRRASNVP UREG_04766 MVSQQGSKSYFRQLHKFKTDYSASRFTQYESERTGMRVVVIDQK GPKVYGYFVLATEIHDDSGSPHTLEHLCFMGSRNYRYKGFLDKLATRLYSDTNAWTAT DHTAYTLDTAGWEGFSLILPIYLEHIVAPTLTDAGCYTEVYHIDGTGHDAGVVYSEMQ GVQNRSSELIDLRSRRLMYPEEVGFRYETGGMMEQLRVLTAERIRAFHKDMYQPKNLC LVIIGEVDHVDLLSVLDQFENTILDAIPIPDAPFKRPWVESTQPPQLEKSVIDTVEFP EEDESFGEIEIRFLGPNCNDRVQTAALNVALMYLAGSSAALLENVLVEKEQVTTAVYY STDERPRTEIRFTLTSVATENLRDVERRFFEVLTDAMRNEIDMKYMRECIERHRRNWK FSAENSASSFADYVISDFLFGDKNGSRLLEIATLKEYEELESWHDNQWRGFIKQYMAD APHVSVLGVPSAKLAAKIKVDEEARIQERKEKLGEKGLQLLAEKLTKAKAENDKPIPR EILAKIQVPDTDSIHFIHTTTAKSGTALKDGRPDNKFQQLIDSDDMNSSLFIHFENIS SNFVQFSLVISTQGVPVELLPLLSVYTETFFSLPIDRDGERISFEQVIVDLERDTVGY TMDTSPGNSEMLAITFQVEVEKYQAAIMYLKELTWSSVFDPERLVAVTTRLFSDVPDA KRSGSSMVDAVRYMIQYAPESLPRARSTLVKAKYLKRVKRLLAKDPREVIQRMETIRK TLFQPKNMRILVIGDLSKLKNPVSSWKPYVDGLDMAKPLEPVVKLHERLSNAGKKPGQ LAYIVPMPTLDSSHVNATTKGLNSYDHPKLPALMVAIAYMNSVEGPLWVAVRGTGLAY GASFRHSTDTGLVHFNIYRSPNGFKAFEAAKKVVDDHISGAAVLDPVMSPEGAISTIV AGFANEQATNILSAQDSYIRQVIRNLPADYKETLLKRVRAVTIDQLKEALRDIILPVF TPDSSTVVVTCAPVLEERIKTGFESLGFKPEVQSLSYFEDDYGLQLDEEEEEDEENEE DSGLDSDAGSSLQQYPRLPAIMAPMSDYSDDDSQPGSPTLAADQNGADQDDFDDLEED LEELDAKPLKSALKQPSSAPSAPAEPKPELPEQPDPETLDLSTLTPLSPEIIARQATI NIGTIGHVAHGKSTVVKAISGVQTVRFKNEQERNITIKLGYANAKIYKCDNPECPRPG CYTSFKSEKEVDPPCKREGCAGTYRLQRHVSFVDCPGHDILMSTMLSGAAVMDAALLL IAGNETCPQPQTSEHLAAIEIMKLNHIIILQNKVDLMREDGALQHYQSILKFIRGTVA DGSPIIPISAQLKYNIDAVNEYLCTHIPVPVRNFAASPHMIIIRSFDVNKPGCEIDSL KGGVAGGSILTGVLKLGDEIEIRPGIVTRDEQGKVKCRPIFSRVISLLAEQNDLKFAV PGGLIGVGTKVDPTLCRADRLLGFVLGHRGKLPAIYSELEVNYFLLRRLLGVKSADGK QAKVAKLSKNEVLMVNIGSTATGAKVMGVKADAAKLSLTGPACTEIGEKIALSRRIDK HWRLIGWANIVA UREG_04767 MAFQAQPNPLPPTSIHSTTSISPSAAEKFLAAFLERANGDPSYQ PDSTLSAHGPVSANPDTALDPIIHSLKRVHAGLTGKRLGRDIVLERRLEEGEQRANAF TDGEDWGGERKRKRVSKGGKQDVEWQDLGSFEREQVDLVEGVEGEGDEDVGATVVEGD VGDDDASVIEITVDKEERKRKKKERRKAEQRARASAAS UREG_04768 MYILQQLARLLDQPFLPWKKIIVGFSLGQYLLEGFLSLRQYKVL QQKRPPKVLEGEVSQEVFEKSQAYGRAKAKFGFVSGLYSQIQNLAFIYYDALPKLWAV TGIWLTRYLPDRFQGEITHTLVFVFTFNIITTLLSMPISYYSTFVLEEKFGFNKQTVK LWLSDMLKGQMLGIVLGTPIISAILKIVQKTGTGFFYYLWMFGVFVQLFAITIYPIAI LPLFNKLSPLEPGTLKTGVENLARKLNFPLKELYVIDGSKRSAHSNAYFYGLPWKKHI VIYDTLIEKSEPEEVVAVLSHELGHWSLSHTTKLFGIAQFHMFYIFALFSAFVNNKSL YKSFGFHKEYPIMVGFLLFSDALAPMDAIVKLLMNILSRKFEFEADAFAVKLGYSAEL AKSLLKLQIQNLSTMDADWMYASYHYSHPILPERLGALGWMGGNVTGKKSEDSEKPVK AADREL UREG_04769 MARVTALKRQNPFLRVNIALGGWTFNDPGPTATTFSDLAASEDN QRKFFKSLTSFMATYDFDGVDLDWEYPVDTDRGGRPEDFENFPRFMANLKKALKSTGG REEVSITLPASYWYLQHFDLKNLSPHVDYFNIMSYDLHGTWDKGNKWTGNFLNAHTNL TEIELALDLIWRNDIDPSNVVMGLGFYGRAFTVQDKNCVEPGCLFASGSDPGPCSREP GVLLNSEIDDIMRSKNIQPTYDEDAAVQILTWDDQWVTFDDDTTLRVKTGHARQRCLG GVMVWAVSHDTADNKYAKALSDAVKPSFGIFLPIEDEDGDTIITVRDPVQQCKWTACG EVCPRGYHVVDRLDKEARRDEIMLDQSACPRGSVHTWCCPNDWGTVECGWYQHNNGKC SSTCPLGAIEIGSNSMYCNNREYQAACCKVGKRSLELYQNLEWSKRPNCDDGLCPFIN QIKSQVLARSRTGSGGATCNTRWVRTGRFPEFEGWESRALCYGTRDPGKLEDCVGNEF TGGNNPGHSSLFCDSGCPGDKIRVAMDTEAPGCEKHGGANSVCCRPSLYVEHSKVNPE ISDFRDSLDRYLDNEQCPVRGPFDLHATNDGDSAMLKLKRDLGLPRDLDLLVTTLWEL LQTPIHTAVQKRQASDWDTRVGTKYPNLLIARLKVFLVGSVVWKLKGSLYAVYNFLCD LPGFNEQFDGRKVCKCGGDICDFGIQVCSPEDDENHPPARPPQSALGEAPSSEPQKRH QPRKLQKRAEVKEYKAYCPDGSYHTLQVTAKEYPSAGDWGFNHEIWGRVVDLADLTDC GNPDVSIYGTAPDNEYHTEHIFEIQTIALFFEAASQGKYIDGTDGGYTRIDCDFFLTL ETMLIDQDEPATFPGGLSTPYPSERIMDTLGSSDNSEHFWLLQRGINGMKALVWANKV PQHDRMQALVDNVDDPWPAIISIQSIIAVFSYLNNAAVHDSFTTLANRIRGVFELIQT AYEAKTTKEVKLVEAWDAFFLDLLEGMESVAFKFADHWIREMLRLWDTPPPPNPDCAI AVVADLEKLKGSLGGMILRQATNKTRFCISSRKWWHGMEGLASRKVPPDAMAKRKRED AATPVDSSPRKTLKSDVVDGVTVQIVTGSYERVLHGITATIPRISLQGPAHKPDAIQF LDTFLYHAHASAIRCLALSPMSDDASQSRNVILASGGSDERINLYTLSATSAPTDDRF PSIPTLAGNKILENPKNRELGSLLHHSSAITALYFPTRSKLLASAEDNTISITKIRDL SVVSTIKAPRPKATGRPSGDTAPAGGAPAGVNDFAVHPSMKLMLTVGRGEKCMRLWNL VTGKKAGVLNFGRDVLETVKEGKWSSGEGRRIAWDAAGQEYAVAFERGVVIFGIDSVP KCYALPSPLTKVHQIKYVQASGDKKNSPSEFLAVSTEDGRILFYSSGLDSVPQVDRSN GKPSVPAAALCYQLDGKEKGQLSRVKDFEFLPTAESRPGEPRSLIVVTAGSDGVVKLW ALDPKQLSRKEKPKVPAEKKAKKEKPADQGPENVGWLLGTYETGNRITCLKAFVLGKA TEDEDEFDGFSGLSDDAEDESGSSGEESEA UREG_04770 MKRPLSTTSCLASFPLWLSCLFLFLLNQVIALQHGSHHHHNHLH HRAIVNSTTADTARLPLNTAPPPHTDAEKLVRKALDALSAVNKARLENPRLNKYEFRR ANRQPPKPPSILEFADVVPKSPSPAMPTSILAATAGSTAARVHEYSIPPELVEAARVL AEASPPERLVGNHSLVAAQVQAKYRLKTNDTNTPPQSYVRPNGLEGYIVSGDNLQQVI TTESNSTAILEKRATPQFWMASMQQRGSSPFAPAGYKVWRNVKEYGAKGDGVTDDTTA INSAISDGNRCGDNCGSSTIYPAIVYFPAGTYLVSSSIIQYYNTQFLGDPYDYPTILA ASSFVGLGVFSSNVYHEGGSGSEWYLNTNNFLRSIKNFKMDIRRTNPDAYGIFMENGS GGFLSNLTFVGGNFGAYFGNQQFTTSHLIFVNCKTALQVHWDWAWTMQDIIIESCGSG LVVTGGAGGTGSTGQSVGSLLLVDSIIANTPVGISTSLHGRNSTSLLVQNTGFFNVPK AITEAESGDILLPGGNEVMVDSWGAFGAKGDGETDDTAVLNGILSRAANMSSVVFFPF GVYVIKNTLQVPVGSRIVGQAWSQIMATGPKFADDLNPKVAVQVGKAGDTGIIEIQDM MFTVSGPTAGAILMEWNVRESSPGSAGMWDAHFRVGGAIGSKLQRTECPKLTGTVNNA CKAASMLLHLTPRSSAYLENLWAWVADHDLDVVTQDQIDIYAARGILIESQGPTWLYG TSSEHNVLYQYQVSGAKDLLLSMIQTESPYFQPVPTAPKPFRTGLFPNDPSFKNCPAN STTCASSWALRVLDSTSTYILGAGLYSWFSNYNQDCVKTDNCQDRGVEIEESTDIWFF NLVTKAIVEMVTPKGTRPTYAAENKNGYTSSLLAWVRDKETTIGERMFPGFHLYENTT LSDITQSCRTAVTQRIDCHPLYETWILPSYHGTLPSQEITDSLCDEGCKSSLKDWFDA VSIACAGQNITGALPTILGGYLYQGYNETCLKDPATGSYCNDVIDGFTVVPNVNEMPQ SELCSYCYTKRLEIMQSSSYSVYNEDYKHAIEVINSKCGLSLATELPPSPVIKPPPPP PICVSDMKYTIQDGDTCDSIALAQSVSSAALFSGNSEIIHNCSRLPVGSELCLPLTCE KVYTLQSTDNCTSIEIATGTKVGGLRAYNNWINMACTNLHFASQVHGHILCLAPQAGT YTPTGVGPGTTPNPIPAPGYTRRTVAPPVNATVAAGTTMECGKWYTIVEGDTCAAVCT AQLIPAHLFWEVNPSLSTTACDPSLMVGTTYCVGPVYNWDHTTTPVPTPTPLTTVAPG E UREG_04771 MLRDELLKAKNDEIERCVQSRKRKLSELYFATVVCLGTNVSLQT EQYRRQEAAFLDANDITKGRFFDQDTLPRRPSLATIPDAGASSIHAPKQHGIQVQTDN RPLSRAGDASTGVNGLGILDTSDKHVSAPAKVDAPRSPGWSPNSNASDSSKPQEPRDL RSLHPQLYPTPLLPQKQTLAQPASSPADITFPNTKARAVSIAFPQDAAPSSPISTGPS SLNTPATDRSPASTSTEESVAYPDPLSTTKSQDESVSRIPGYQAAIATKVPSTPDEQL KFEAAMSNASVTPKPRLEEIRQDKPSFSTTPIDAAPSATIPTPSETPITQKPATDLVE VVRSPGSETVDKASPANVGGAQVSRPERMTTRVSSGAIRHKSVSEILGETPRSPISPT DKALFDKQPRERTTSPRSQASVTESQLQLREKRDRKGRSKLSTVVFPKPASPQKHKSL ALSNQTDAPSPNDQDDYLYLLFLVKAYFPPRGTHLSSLIATAHKTLSTANHMTDYAEQ ANTRTLKRLYQLQHSNRWPLRQLQRSPEPPRSATHWDMLLDHMKWMRMDFREERKWKI AAAKNCAEWCAEYVASKEEDRAILRVRVRPQPVHMELDVEIPLQNGEHPTPDLVPSAD DDSVSDGFNDEFQPDLDYGPVPAAVFSLPSDEFTFRMEKTLASEKILEELPLYTPVKI LPGTKQPSLKQSPDAVWKKDIAPVSKWIDGKIRFMGEGPPRKKSRYDYDTHDYDDNDD ADANSVDIPPEQTNVALFQPENKPIRDRVHPSHCFRPPTEHPMPSVGFYESRQSSQWT TTVEVLIRCGTPNSLGMF UREG_04772 MNQARPHTTIQGMPNVATGNNALPPGGIPMKMMPQAGLQQTVSA RPGIPLPANTDSARIIREANRLQEQQRLVQSRQQQQHQYHGQQSFVQQAPHPSPTLNI QGSNSAPNNTAMIGAFQAASGVGSPFFPRSGPGPRVSLPPPLV UREG_04773 MSAPPPSSSARPESKSLSISLSSKKPTTQRPGSSIALPRRPPSQ SSTPRPRFLDHDSDASDAENTEAKAEEVTGFDQSAGGAISRHAREKEKQPLVIKVDKK NGWRERLLSSTRARGRSWLPEEVRAQREAEARGGAEDAAVEEEAFLEIDTEKEEEGHV SGRSGAACLDQRKQGEDGTGNPILVIQSKADLMMYPRV UREG_04774 MSKISVKTTFEASQTIRPIYTGGSLALDASGRLLASCVGEDVLL TDLETGKQLASIEGDGEPITSISITRSASHLAICSRSLAMRIFSLTKSQDSKFLRPEL LRSLKPHTSPVVTTAIDNTGSLLATGSADGSIKIWDIKRGYATHTFHGHGGVISALCF FEASGINEGNRKSGKKRKSKQANPSPDFIPLEEPAQHEEVAAGFRLASGSEDGKIRVW DLLKRKSIASLESHVSVVRGLSFSASLNSLLSASRDKTIITWDADTWEIQRITPVLES VEAAGFVEGSLCYTGGENGRLRIWDTSRGSEVTQDQEATSEQEGIVAVEYYSRLPFIL TVHVDQTLKLHSVDTISTFTPGSKIEPLPVIRNIAGNDDEVIDLACVGRDASLLAMAT NSEYVRIVSTTSIGGQNYFGADIARLEGHDDIVICIDVDWSGHWLATGAKDNSAKLWR IDPATNSYTCFATFTGHAESLGAVALSRSPPPADSPGFKSPLDHPPAFLITGSQDRTI KRWDTGKLTRPSHPKAVYTRKAHDKDINALDVNHNGTLFASASQDRTAKIWSFEDGSV QGILRGHKRGVWSIRFAPTGTPPINAKSGSTTSRGLVATGSGDKTIKLWSLSDYSCLL TFEGHSNSVLKVIWLSPPRIASADEDISSRGAAQIHPLVASAAGDGLVKIWSPYTGEV ETTLDNHTDRVWALATPFPPSASSNRPLSNEPQPSFSLISGAADSTVTFWKDTTSTTL SSAVSANAARIEQDQQLQNYIHVGAYREAITLALQLNHPARLLSLFTTAMDTEQSSSS PTLTGNPDIDAVIQSLSLDHLYLLLLRIRDWNTNARTSRISQRLLYALFKFYPPSTFM ELASRPNPSPTRTKGGQDAEIKDILHALTAYTERHYKRVEELVDESYLVEWVLGEMEG MEMDLDMADGDQNDDALRNDVIMVGS UREG_04775 MGRRGYMNMIALGRSPYDLPEVPSEGAIPGHSIPSNTNADGYVQ QYDDRGHPINPASKTLGKQLRRAKNDILSTMGIVVSGEDGKAGTPKERKKAELLAREN TYDV UREG_04776 MTKRPADAVGDDASADGSTTSKRLRMEDDEQEKERGSTPLRERR WEDDEHNGADILAAADQEAEEVEEAAGHISDWDAEEPASQTAVRQSEPLQGYSDLYLD TIQRSNLDFDFEKLCSVTLSNINVYACLVCGKYFQGRGPKSHAYFHSLEIGHHVFVNM ETKRVYVLPEGYEVKNKSLDDIKYVVDPTYTKEEVARLDTVVIEAWDLAGRKYRPGYV GMNNIKSNDYLNVIVQLLAHVRPIRNFFLLHHFQIPGTPQLPLRFGTLVRKLWNPKAF KCHVSPHELLQEIALRSSKRFTLTHQSDPVEFLSWFLNNLHLSLGGSKKPSPTPTSVI HSAFQGHLRIESQAITARSDSANARLVFSESSTTTSQKMPFLILTLDLPPTPLFQSAD KESIIPQVPLINLLNKYNGVTAIEKLSHRVRHRLLHPLPPYLLFHIKRFSKNKFVAER NPTIVTFPSPRGLDMSPYVEPNPSVWPPGEPIIYDLVANVILDATVTAPGDPETRAPE NNRGGLAEAAAAGAGGATLGVSTTPGASAGSHRVNWLIQLHDKAMGVENAKAQTQRGP EWLETQDLWVQRAESETLFTREGYLMVWERRKVKGKGKEKS UREG_04777 MRNETELSPSAVANFVRGELARSLRSRSPYTVNLLLGGVDPITQ QPSLYWLDYLASLAPVPYAAHGYAQYYCLSILDKHHQPDISYDQGLKLLEMCTDELKR RLPIDFKGVYVKVVTKDGIKDVNFDHGNNKQAVSA UREG_04778 MSSFFTLPASQRKRKREDQGSAPVSKKRGVTGKAGASSGERRQP RQERDESISGSDSDDDAPVESEEESIDEDDLSGSEEEETAAERRLRLAERYLENIKEE VDEAGFDAAEIDRDLIAERLKEDVDESKGRTYRQIASQLSFPTATHSYFRADTQSTTS VAVRAPFVYTVSKDKTLIKWELMSPSAPDVERTNTKRPPRPVRKKPKKIRSIRGLQQG EGGGESQGHTGPILAVAVSPSGKFVATGGMDKKIIIWDAETLIPLRTFTQHRDAVSGL SFTGRISAATTGEQLFSSSFDRTIKTWSLSPGSHAYVETLFGHQDHVISVASMVTDQC VSTGARDRTARLWKVVDETQLVFRGGGSKGSQYAENSIDCVAVIPPAHFVTGSDDGSI SLWSIHKKKPLFTVRQAHGLDPIPDPINSSEQDPAAASRSTKYMRPTPRWITALAALP GTDIVLSGSWDGWIRAWKVSEDKRKIVPLGCVGGTDQSTSIISEQLEFSHAQQSNGTD ATSTSPNEPLLNGIVNDIAVFERRPQDPNKSANGRESKAPSADCIQPGVCIVAALGKE HRLGRWKVFRSIDRKNIGGSEAGGRNGAMVFEVPFVANTNGSGS UREG_04779 MSLSKKRKSPACSSDGHLAVNNLQSPERPDVSGLLQKGIDDIRA LVFCGICVRPLYEPFTLGCGHTFCYSCLTQWFVSHERKKTCPDCRAAVRSEPAPAYMR AETERIESDKNGENSKSRGLFQGCFRNAILGGLPIRDIADGVERCPVCTWELEDGDCI HCGYVSMGDNFTEDGDYYSDDDSDMLEVLEGAAWAARFDPENMLSVDHFNSMDSYGPY TSSDEDNDGEAGSDDMDSFIDDDVEEDHGEDSEAATSTVVGNGSYLTENSNQSVRTDQ SVPSEIGDSMSEGVSEHPFADLDLFRQPEVIELDDDNDDDDDEPIRPATMATRRRRLR SSSSTGISLDSVSTSRVSPTPSANDSSSEGPHGPVRRRQRTQNFPGHFLSDTESDNSG SDTESC UREG_04780 MTIDGKSWGWDACSTECSAEITFQERLAKRDYCVIFLVVVRGKT CVMKVHRVQGPKQPWDSQVRETNLFICESTAYRRLTQAGVCARGITPRFYGTVENIDP TQSLPHLQSFVNDEHPPTAILLEYIPNMKELKWTNYNASRMQNFIDGLNAIHGALVYH DDVHPRNMMIVEEDPERAIWIDFDRAQTFNGKLTERQKRWITVEKELMAGVADFMVRI LCFLYSYARFQLTLLYL UREG_04781 MAAADTLTPIFPPALPAHVPSRSLRLHNGKTKPADNSGDSIEQP ESVQFDASKHLSFIPPSKVYSMKELGYKDEVGVSPVGVSEPFPLFSAEAVKQMRKEVL SENVWSHYKFSSNIAQCQLRGFAAEFAPFVYDAWKSPKTLDIISKIAGIDLVPVMDWE IGHINISIQSEEQKNKGLVDAAKKAQDGDEEEQMPIVDWHTDSYPFVCVLMLSDCTSM IGGETALRKGDRSILKVRGPQMGCAVVLQGRYIEHVALRALGSTERITMVTSFRPRSP VLPDDTVLTTVRAVSDLSELYFQFSEYRLEMLEERIRLKLKEIRDKRRASRPFDTHKL KQFLGEQERFLAHMNIEMVDEDRVTRGFTDDSHLLSEDLKERSRKRSRTTVE UREG_04782 MTAQTGASTIKTKEDESHEYWGKAERTFKNKSASEFFDPCQEFA DRSIKCMRRNGGDRDMCGDYFQAYRDCKKAWMAQKDEAKRKS UREG_04783 MSSATVIERRNKQIQDAVEGGNLKQALQLCEKRLKKGENTPFLK AWKANILFHHADEGHRRRGITEALELCNSTPAIADIEALDMIQNTLVSFDEYTETLRA LWQNAAKAKPQDEDIQLRWFTLASEANDWKTAQKAAMNLQINFPRARKYYFWAIFMCY LISADPTSSPADKSLFGTLAYRMISKAADSVPADPKELLSPGRAIQTAEELFLLIKIF DQQGRFGDIIKLLNSQHLGVKSRIAQNDWSFVTKKLATLEKAGLWEQALSYAEELLTL PNELEKGEKIPASYEEKDDWQVKTFIACYITRQPKSRNAKLAHLDLVCREVTTSKRLS TADLLAECITYFKDNKAKLHCFHDLRRCLNILDEPLLQKFHAHVQNTFTEGFCGVEAK SNDPFGSVPIINFMKLEYCFQLAINSGEEAISHAEDFARRCLQLFQSSRQADLEEESP STIETQPSDDFCLLAAMSLMRVHKETRHLQPYPSSVLVQAAGILEHLLLKSPHNYEAL LLLVRVYLLLGAGSLALKTFAQLSVKQIQYETVAHNLFTRISTVHPQSAPPFAGLERK DFEPQTALRQALIFYRNGVNSTTHARSAGLDNGSYVNVEGSIDLQKNLKDSVCRKMWA LELRKIQRLVGGPSVKQYDQLVFKMDAVIDKRSFDGFMNCELPDSPAFEEQVRLGPLP KERAVKALAVTDVLLSYLYTDPSLRENLMEQINKFAGLDLELPITELTSMEIESIKIH HLLIKLISTLNRMQAASDVGSIDAIFSQIESWLNDKIATLTVSNPAEVAGTINLAPSS SSTVSPAPSWIYLHSNISLLETLKAICLFVSAQAAGKSKSKPANSISKEKLESLKILS KKLAEVVKVNTRRLKSHIAESGMLGQLVSLATTGPRGTSEGISVEIAKMIDTSSLELF CGSLMESWDEALDGVVLISSSI UREG_04784 MVGKDCVAIACDLRLGMQALTVSNNFPKIFNYAPSTYLGLTGLA TDVSSVSDLMRYKVNLYRLREERQISPQTLANLVSSSLYEKRFGPWFVSPVLAGINHT TGKPFICGFDSIGCIDFAKDFIVSGTASDQLFGMCEGLWEPDLGPEELFETVSQALLN AVDRDALSGWGAHVYIIEKDRVTKRLLKGRQD UREG_04785 MSSHVVVIDTTARRATIKVTPAKHLSDVLGEACAKLGLDATQYG LKHQKKSLDLSLSIRLSGLSSGAKLELVQLSRSPSIVSIALQLPESEAADVPGCRLMD KFPSNTTLWLILRKFESGVAGNGVSRNLTARGAPAITPGATGSGRLFYETPVIQIMSK EFVSFTDLQKTLAQVGLNSGNALLRLNFRVTDRPLEEAMNEIDAYFASVDADQQTPLE KSPPAIETPASSGPVEGAPEITPQSTPSNADAELEEASVSKAEMTQPAPEQSSHPLVS SRPVTIFAPPTASTPQSAQTPYKEEDYIPTVDHAKAHQRRLNTAGRNTRLAGDAELAL QEAAIKERLARVTEVEIKVRFPDQSQVVSKFTREDTAHSLHEFVRNCLDTPLAKEGFI LSYFPNVSHDPIAQKGQVIIPDAPNTYLIADLKMNGRVLVNFLWKENAALAMRSTGAP LLRAELRERASQIKVEEVAAVPVEESEGNKQSWLNKLGGGDRGGKKGGGGGVPKWLKL PGKK UREG_04786 MPPSTQTYHDPQAESLKAILARTTRTPIFCHPKAWSRVHLDILR VSGLDQTHPLDHVIGKPVADNPSAHVLRDEPEETTTPEEPDSMLLQGFEEGLKENDGQ KPGELDIAHLCICWIEVLRNYPGNYPHQSAKDMLGRRSISRLELQLRFENRGASLKGP HVLYDALNNEYPPTYFPIIAVLAVDHESYRPRSTTNKVQENIRLKRWRKRSQPAELAA ILLAMAQQQAQLLAKRLNNEKDPRFDTVHPIVVTVKETVVRFVRAHVSLRYLDALTDP TRILKESLVMEMSEPLDMLAEPDRLRFLPAATGVLDQYFADLWPILSKRKESNVDG UREG_04787 MDDLDGTASNDIPESSHDQLLFDALPALPSSPPEGIFQDGQLEP VHCLAPAFDTGQSNAIEQLVALKATLRDADSETFWTRLMEGLTAICHAQCAFVAIDNH TSEEDTDVEMLSLDERSSSLLGVVVHYNNGKGLQATHRDYKYLAWEFPCTAMNHDKVC LVPEGLASILGKDADRLPFPVEAYLAVPISSPGKCSAHVGLLWTTEGLESLDISWPYL EMILRSLEDLILQRITFGDNNKKSSQVRRWEELDKRLQGKPSKTTMNQNAFMVAPAFK PYARSLSHELRTPMQGVVGMLDIMHATVQERIENALESHLLSVFTEFRDNIEAVQDSA RRAIEAADNIVHAYNLNMQVPDTPQNPADEEMQSASFRSSNETLDNRPNIFIDGSNIM VNPYKRRRSTPMDWQSSSSPKHRCTTSVRRGISPRTAELKAAIEEGDKIVRSTSHGEV GDSVFDVPHQSLGGRETSSSRPPRTVFPPMVLHDTKIRALLRFVINESLQVGGRPDSA SAESTEYGERIEVRTSGRNGQISTKYIDWWVDPSVPESLCVDEKDLTKLVSCVFLNAL KFTEDGEITVTATVGGKPSCVLINVQDTGTGIPDDFLPKLFKPWAREDGSTTRSKEGL GLGLMVAKGLSRKLSGDLICVRSSTKEPNRGSEFQIRLPLSPNDSVSRPPTPYTSSST PKTDGTHSTPVDQPKTITNGEASSPLPSPILSDAREPMRRNGAEPGQITASRGLSGNP KPIPLTAPTFDKFLAKKHPLTFLVAEDNKINRRILVNMLAKLGYHDVYEAFDGKEAVR IMSEVLSSHSSPSPVATTPTSSTRSRSNSDITLDTAPLSLPESTNTLPGDSLNPTQTQ TPPDSDPESDKTPPSTKQHKLIDLVLMDLWMPDMDGYEATEKIFALVEEHRERIAKAG ASTDGNLEGLRPASHTSPTVFAVSADVTDEALERVTRVGMAGYMTKPYKLKDLEKLIG VFCSQREKYNIT UREG_04788 MTLPPMRLPPSHSTLAAQPTALEARHFAATYALFRPTPSLHCKT VKTPLRSSPSVELSRIARLGAAGGGNKLPPSGRWQKAPKIDMGDRARRQIEDLVRRSA LWNPNGIRIPDAEKRNIVDSFCHLGFRKSHVEEAVAECKDREEVLEWLLIHVPEDDLP KWSLPEGYSAGITLASADLAKEYKIKRLATSGYSTDLCAEVLDKYNDDEFKAAEHLQN LLVDDESLPSNSASSESEIDAWDEENQTLEAIYGEKYKRLSSNKCEIVSDYSRSQHAV TYHFQKPAGASYPDCPLVFTLSSQTIPAYIRLSAIRQAIQYARENLLGGPMVFNLVDW LDENIPQILENPGKLRNISIEAPVRPNAGRPAATSLKGSRKSSRKVTPGNEALQSEAL RKAWESKQLRPEQQKMIAARKGLPAWEMQAAIIQAVSANQVTIISGETGSGKSTQSVQ FLLDDIIQRGLGTTANIVCTQPRRISAIGLADRVSAERCSTVGDEVGYIIRGDSKFKH GVTKITYMTTGVLLRRLQVGGDNLAESLADISHVMVDEVHERSLDTDILLAVLKEALR ARPDLKLILMSATLDADLFIRYFGGDSRVGRVNIAGRTFPVEDIYVDQVVQMTEFHPS GVPSSWDEPSGGLEAPAETPIGTILQKLGKGINYDLIAAVVRHIDAQLKGKPGGILIF LPGTMEIDRCLAAMRDLSFAYLLPLHASLTPNEQKRVFSDAPKGKRKVIAATNVAETS ITIEDVVAVIDTGRVKETRYNPVDNIVRLEETWASQAACKQRRGRAGRVRNGTCYKLY TRNAESSMAPRPVPEIQRVPLEQLYLSVKAMKGIDDVAGFLARTLTPPDTAAVQGAVG LLHRVGALDNGQLTALGRYISIIPTDLRCAKLMVFGAIFGCLEACLTMAAILTAKSPF ISPKDQREEAKVARARFSTGDGDLLVDLAAYQRWLEHVKSQGYRRMLAWCNDNFLIPQ TLRDISSTGPTY UREG_04789 MLSSSVHRAFQSRDALGAYIKAPESSPGIIYYTEDFVAIADRYP KSSVHLLLLPRDPSKYRLHPFEAFEDAEFLAKVQAETQKLRKIAASELRRIYGKFSVL ENARLEAMDADPPPDELPIGRDWEQEIMCGVHAHPSMNHLHIHIISKDRYSPCLKHRK HYNSFATPFFVPINDMPLGPHDPRRHPGREGYLQQDLKCWRCGANFDNKFTKLKDHLS QEFESWKCS UREG_04790 MPLSLQTPLAGCSNLRVVTDTIPEHLLFVYEYFTGDLFDLVGEE GVSLAAKKTILRDGFTGLAALHENRILNGDIKPNNIFVDYEISDGVVHVKRTQIGDLE MGSDLPPGLNVRGALLGNPMWRSPESRAAARINLPSDVFSYGLVCIFTVLRQIIFRID KEGLSGAERERIIVKRLLSYFGDGPGLVGFLGYLDDESARWRDLVLAVAEEFNPDDAR QPFAMWNDVDELFRDLIVKMTNLDPTQRITAKEALQHPWFQDV UREG_04791 MDRLVNERSMHLLPASSRDASTTLFAPNPNTSLVSDPILIEKVT SWVKEYMTHYDSSHDFDHVQRVLGLARLIASVPPVVSSFPSSPPHYNPLVITLSALLH DVGDKKYLKPGENPDTMVYELLVSLGAPHRLAEMIQTVVSNVSFSTETKSPESRDKVQ RLVHEIPELGVVQDADRLDAIGAVGIGRTFTYGGAAGKVGQEARGMRETIEHFTDKLE RLEGLMKTTEGKRLAADRTRRLKLFKEWWEEETQEAQEGLKAV UREG_04792 MRIRGDENALPINRNGKTLHHRNKSSPALSSLARGEGPREPLKR AALGNVSNTANSLRLAKDDNFISNAKEKSKPAEKLTTLTRPVQRASQILATKVPNKNN TIDAAGALVRTAALDALPVNPRKPPVRRNTVFQDSILPPLVESTASITDGNQPPAPEK LDSKSKSPTTSHDQHDCSIHSQEHQDGDSVLDGAYGLQKIEGVYIEDNGEIKIYNPTK PTACIPGKLSTEPQSTVLPLDSESAQDKCTAGLIESLSAEEAMAAYGHHDPASDPEES WYDDNENFVDDGSMPPRSHFYGTDNTTVGTTAILFPEFTANEKRDLAFAKKFVESTRT AQDYEDECFDPTMVAEYGDEIFDYMRKLEVKLMPNPHYMDNQAEIQWSMRSVLMDWIV QVHLRFNLLPETLFLCVNYIDRFLSSKIVSLGKLQLVGATAIFIAAKYEEINCPSVQE IVYMVDNTYTAEEILKAERFMLSLLQFELGWPGPMNFLRRISKADDYDLETRTLAKYF LEITIMDERFIGCPPSFLAAGAHCLARLMLRKGDWGATHVYYSNYTPSQLYPLVSLML ECCDIPQRHHPAIYEKYSDRRFKRASCFVESEILSGFQLPNKPRVPSANRLLHIHNRA SAARRT UREG_04793 MPVVSLVELPSHGCSLYASSRNQTLYGRMMAFWSSYYCTKCWDF GESESGIFRVGIHGTLTPCSQGTVSGFCQPMRMAWVLQSCLPSSFSSPSPPKQKNPPK IMRTPGCERGPAIHEDWPANSDQDGSNSLDVAAAEAACSANQSPALRRGGYLARSVLV CEHARCTAREGKRLSAKSHRQQLLHTFTQQAQIPKPIPKCSTQSFAIGSVASLNAQGI RISAIAGLQSLLSDEKGCSGGHAPASPHLVHYLGVKPPLFATSTSPNSLSACTFSTST ISISNSLVLFYLRIQVLGSALSGLLHRLVFFTLPAA UREG_04794 MPEDHATLENGYSTTPDPAPSNSDCSGRVDAHQTLPSSSSPKNV AFELVLDEESKVRGRIPMRVQIFPHDTTDSIVTTVKNFYGIYEGMGSGVSFEDENATT LIARYENLRNNMTVYVRVIPNHGYSTQQLYYGAPQHEGAPRPCLGEPFQPSSDHGRPP SRPTSRVSRKRSASPSARGRRSVSTQKAARSRNKSRGSSTHGSFLEEPLVPYSDSDGG HASITSSKKARSEHFASSDISMENILHDGRRKRPKFESSGLRNHEHLYSLQGNSPYAT PGAPHHGHHLRERPHSQSAGPHGNKINNCGVLPTPDPTIASCISDEDVAMQLIRLGDA SNFSHGRTSLSTADDAFSGIADASSSTGATSDGEMSVDEGEPSLKAAQMNQNGGSSGT DGINGNYRLDEAPVATKNEYEDSHTYGSQAANTRRAKPKFGNTSSAKTKQGTISKSAK VIKARSGTVARKPKSTTTNGTSKIALPPPSISPQIQRKASGSGLNFQHQLAADEEDLS TKPRCQRCRKSKKGCDRQRPCQRCRDAGIGIEGCVSEDEGNGRKGRYGRHMGVPIKKV NPGPADQEPQTMAPFFTAASTAAAQAASKKRKR UREG_04795 MPPKAAGNRQPGSRRPINVDSASSTPEVAGTLSARATPAARGGP VQRLQTLKKRTPSGSLVPLNSDGTAPKPTLKYQPKAVVRRSKEEREARERLEAERQRE RISEAAATRRTAARGDRGRGRGRGRGGAFGRIAADAGGPLGSGRSGRFGVKYDQAGGK RSGIYGGGSGDVSSDEGSDYGPRFSIDQINISSDSEGETADVGKGKAPAKMASAGSRG LRPIRVERQEHVERTVDINTEASSSKSAELRRQAKEKAGKDDSLFVESDDEVIEGPAD VEMVDSTAEAGPQLKEEPTDTGAGIADDVPAAIDDTGAPSIPKKAPKKTIIKDPRSLF QTKEERQEYDRYEQDIEQLKETLGNISTTDTTLGDQADDEAKVKNEAIKDERQGRLFL LQFPPMTPNLMAPSARKNDVEEATSTPATQAPESITIKAENGTATQIPLDGGPTNPPV PGKSASSHPLISATNSSLPPGRVGKLNIHKSGRATIDWGGISLELNKGSDVDFLQDAV VASDSKPGDNSGSDRKIWAMSQVSGKFVVTPDWDKLLDE UREG_04796 MFLTDLPTEIVLEIYKYLAVPELLCLALTTKQAHSLWLGLLYDK AIEHDENHVGYPLSLCLAARSKQLRPFKALLERTRTISSEDIPDRTDKGVHLCGMYSC LWDGFTSTLLHVVAEAGEAAMLRLILDRGAHATARDCGGWTPLHCAAWRGNPNVVEML IDAGALDAPKTPREYALAIAIAQGHYEVVKLLLKHGADVSLPVWGLIPLAAAAKHNEA EIVKLLLDAGGSAPCPFDDNPLETAFEESLQADGVEAFRVLVEAGARGRTPIIKRKAM SCLSKLRILIEFGADVVGLDPSDGTTVLHYLIPRPMVIQLLLETAPELTLMQDKRGRT LLDAVYMAGFRCAEQIPMLLIEAGAAKGSGRAVDEYGNSALHMAARYGHVGVVKALLD ADPALALSRNSNGYTPLHFAPTDRLFFREEDVPIKHQSPMKYKYSTGDRALDGISIIK DLYDAGADLSAQTDDGSSALHIALYNRNNPVAITLIDLGIDTGLIDLFGSTALDLAIL TGSLPLVRRLSRTKSDSETRTMPRHSSEDR UREG_04797 MRVPQSVSLFVLAASIPLTTASSHAYDRLPPDQEAALLPILPFL RNPAATPGSLSSHSRPPPTRPPSLSERGIVDAPPAAQPTKVTQMSPVMTINVDGKPVL YTQKFSPVPDQLPQPKKGAIGLGTLEGEIGETSTIDKRAPAETETSTTNGEPLRLLAR HSRCKTLECVRAHS UREG_04798 MDQHNAAVSAISSTVRNLYSRKLPFRIYHGSTNSTRSQSFQHDQ IVDISALTHVLTIDPAAKTALVEPNVPMDKLVAATLRHGLVPPVVMEFPGITVGGGFA GTGGESSSFRHGFFDRTVTWIEVVLGNGDVVTASPNPGENEDLFWGLSGSFGTLGVTT LLRVKLVETSKWLEVQYFPVHSARDAIDLLGKKVKDEKLDYVDGILFAADRGVVVTGQ RIDTMDPKKQSRVQTFTRPSDEWFYIHAEQICQESPSESTIEYVPVADYLFRYDRGAF WMGQFAYDYFFIPFNRLTRYFLDYFMHTRVMYHALHKSRLSSTFIIQDMAVPWSAGNE FIGYLGENFDRYPLWLCPIKPHARQHVSFHPQSLIHGDTGGDNMLLNVGLWTPGPSSH RAFIEANRALEHKTYSLHGSKWALRPDFLHGR UREG_04799 MRFSNQLLGGTAFALLAGLGSCFAPGFVTLNQDLEMAQLMGMDI EAVMADPSMVRTENIDIESRPEPESVELPIDHKNPGAKYKNRFWINDSHYKSGGPVFV FDGGEANAQRYADYYLVNETSFFVHLLEEFKGMGIVWEHRYYGESLPFPVNLDTPAEH FQYLNNEQALADIPYFAERFKRENFPNDDLTPKSTPWVMLGGSYPGMRAAFTRDKYPE TIFAAFAASAPVQAQIDMSVYYEQVYRGLVAYGYKNCTKDVRAAYKYIDAQLRHRESA ARIKKLFFGETAEQNNNGDFTQALIWNWATWQSAGPNGPVGQFCDWLETDPETGKTAP AEGWAPTKGGKAMAERFAAWPPLVPSINPYFGTNCKGQNPNKPTSCNLGMRNADPTLI SWTWQYCSEWGYYQYQNYRGHEILSDYQTDFYYQKYLCYRQFPDGLKSGHFPLRPRTH KVNRDTGGWHMRPSNTYWSGGQWDPWRSLSPLSTESFAPRVKVTTEIPKCNVPTPRWK LFGYTIPNAQHVYDFRSAFKPGQVSRDLWRAALHEWLPCFKKRD UREG_04800 MSNNHETDGHLGTEAGVVIEEGYQSPIEAPPGLYVKALYDYTSD DHTSLSFRQGDIIQVLNQLETGWWDGVIGDVRGWFPSNYCAVVPGPDAFSDRLNLGGE ISIESGTEDDYEDDNDDDLDSQGNSKEDVSVPPIENTTPGTQEEAAFWIPQATADGRL FYYNTLTGYSTMELPLETPTSPHESGPRNRTNIYVPEHTRAPADIVNRPAGGFEQDYD GSASEAEGESLLLASNGSFPRRRHSGLSNGVSPATSLDSMNPSPVTKLLDSQILRGAA QSASLTGVANTAPSNSRSPSAPPPFSIPRYFLDDATQIPPTWASLVENMRQAIEAYRQ AMLAGDRSQFVSRAEDISDHLRILLAAGSDTTDNHSGNPSIISTNKALYPHFRDMMSK FSKLVLSSHIASADWPVPDSSKKCLHEAEGVLAGVYGYVNVARQQKGEDIRRLIPGFV SGSTSGGHWQNNNVRDKDPTAFLDYESGRDPRTAPSIILDSRLLDRIDELRKEAVASC RFLDKQLHLNDKTVTPNKHIAIGDAVCTAGMRVLETFRPWMSHIESINLAPLGTSFQN PQLADFSLQKQRAYDGIADLMLACQAVSAPLADEWAELRSDALDTRLNDVKIITRQLE QYMSQIGFSLTLLLEQTPLESPAKQLGGTAEASTSKRTRSDSQVRRVPPESIGIPSSY PINGEDLIEKPPRSLDKAQRFFGQPVPREAPPRDIDEPPWFLGLDHEGEVFYDTKSDV PQVKCGTLAGLVEQLTRHDKLDPSFKDTFLLTYRSFTSASELFEMLVHRFTLQPPSGI NATELQTWTEQKQNPIRIRVVSILKSWLETYWMEPNDNANIQLLRRIHAFVMDAVANT RTPGTSQLLSLIDQRIRGLDPTAKRLVPTLSAHTPTPVLPKHMKKIKFLDIDPTEFAR QLTIIESRLYAKIKPTECLSKTWQKKLGTDEPDPAVNVKALILHSNQLTNWVAEMILT QSDVRRRVVVIKHFVTVAEKCRQMNNYSTLTSIISALGTAPIHRLNRTWAQVNQKTSL TLEAMRKLMASTKNFGEYRETLHLATPPCIPFFGVYLTDLTFIEDGIPSLTPSDLINF NKRAKTAEVIRDIQQYQNTPYQLQPVPELQDYVLSNMQAAGDVHEMYDRSLEVEPRER EDEKIARPLEMLEQVEPCYPCDRCCGMIVPRTRRTFQFDAALTSGRDSGSASETQEHD DYGLIRERPRLAVNLVDRVIARPTI UREG_04801 MAPTTSASAKKPAAAPERKYKCQFCNHTKERPFKCLKCRSTFVR RDLLLRHDRTVHAKDGGIPLVAEGRRRGQKAANNASNSNSNSTPAAAPATTGGSSKPS ITIDPAALEQIEASSDGMVDLETAAMLMTDFQHKAAATASQGLSGDVTDPTYSSDHGV VLDSSRGGIYQEMQRYPRCPWDTFMSPSEVKPHSIAQDTKQRFSVSHSHQSQLASSIE RNTVASEPLGHVLHPLVSSLPVSGNSTPNALSPYPSMTGPVSPVNYRRSPGPSQILTQ PKPPQISSDQEREIITERVQASDALGALPDTFQLPTTAAMNRYLSTYFNMYHHHLPFL HQGTFSPKDVSSPLLLAVLSIGALYTFEKEPAFMLHIGSKVLVNQFLQHKENFDSRKC PLWAMQSTLLNMIFESWSGDPKGLEWTCSIKSLLANMVAGNRYQLKLRIDARGDNLPT HEEWIEDEGCRRTYYAVYVFFGMLTLTFNHTPAMSFDEFDTLELPSSESMWNLEVSDS YTWRRNLAAYRTVSSREAHDSLFRGETVRYSAFATRILINALFLEVWYHKRSIEALQD VVMGYKLRLALDTWENSLDICEPETIVVPVSTPQKGHPLIFNSMAVYRNTRARLEVDL KSIQEALRYHSSCHSRPQLGCHSFRDKLERGASAVWYGLNGHLKPVALSPGT UREG_04802 MLQGKQTPTILLSFSSFQLTDLLPNPVPVHHLGLFREPTTLQPV EYYNNLPFHRSTSSNPDANGTAADLAILLDPIVATGGTAAAAIQSLKEWGVKKVILLS ILGTRTGLTKAASEWPEGVEVLVGDVDDEIDAKELRRTYPRHYDPDDTTEFLQLSATS RQENTLIR UREG_04803 MDAEKLQYYWGGTWETSCGSPRYMDMDAVEQGPSDERQRDGRRE SLEAPARDEEDIVPPTELAFPQVEEGRLEEEKERRQRDEELFRRAEEQGAGPSEVAVP PPVERHPSRWEPPVTLESAESRISGRPPSLRSVVPPEPTISEFATKLYTVSYLIFFSI FGALARVGMETLTYYPGAPVTTGVLWANVGGCLLMGFFAEDRNLFREEWGERQTAEKD DDMATCSPPRLDRRATIREDDLKIHKAIKKTIPLYVGLTTGFCGSFTSFSSFMGDVFL ALSNDLPNPNSGSILPRNGGYSFMAVVAVILYTLSLSLSSLAFGAHLAVALDRYTPTL PFLVTRRIVDRSIVVLAFGCWLGSVLLAIWPPDRHNGARENWRGRLTGCQVLNGIMDG FCGCTTTDKIRNYYLGSGYHQQFLHRTPVPGVYHSRSDHEVLL UREG_04804 MAPLNDVVVHLYHVMEQYHAPEARGQSTMITGIVLMAIAVLLVI NRFHVHCFTGRTPGFDDYLIVSSLVFCVAMNGVNTAAIQYGYGKHVSDVPKHDMNIAL KLFYCFQVLYKCTINLTKVSIVLLYRRIFVTRFIFRLVCDYIVCLVATFAVASIFASI FQCMPVSRAWDRSIPGTCIDFAAFWNANGAWDILTDAMILTLPLPLLHTLGLPTQQRI GLVAIFTLGFL UREG_04805 MDAAQKSWEIENSVELVDAHRDALYKYDPAASQAVVSSKPWSKD PHYFTSIRISAVALLKMVMHARSGGSLEVMGLMQGYVSANTFIVTDAFRLPVEGTETR VNAQDEANEYMVSYLQACRDSGRMENAIGWYHSHPGYGCWLSGIDVSTQDTQQTYSDP FVAVVIDPDRTISAGKVEIGAFRTYPKNYQPSNAEQDDDGYQSIPLHKVEDFGAHASQ YYSLTVSHFKSTLDTKILSLLWNKYWVATISQSPLFTNRDYTTKQIADLGEKIKKATR AVENSTQRESALTTKDQHLEKVVKEGDRIAGEELTGLMAAQIKRELFQGVGQQAADKT UREG_04806 MTVDTSYLTTQVNNIVEQLHGLFDEIGVPNHERDSRESELFAAL SETLNDHVRRVNSEKNDMIEEANRLITTIKQMEASVEDNVYTSQRQSDDPDLRVTLPL NHCLALLREKHAAVSKLHQERFEQVKKLVEALESYSSHLEATFVRIPLPPTAPGTTVP PSFDLSASYVNALDSEFSRVYEEYNRRVVTVSTVCEEIIKLWAELGTPQAQTDPAIVQ NYRDSPEQLGLHESDLTSLKGKREKLLEEKRGRERKIKDLRAAVESLWDRLGVEEADR KGFLAANRGCGLRAINEFEEELARLNELKRQNLHLFVEDARCRLQELWDSLYYSEEEM LDFTPAFSDVYSDALLSAHEAEIARLEALKEQRAPALELIDKHRSLVQDRNALTASSQ DASRLMGRGTGGQKRDPTRLLREEKMRKRIAKELPKVEVDLRKVLENWEDEYGRPFLV QGERYLDELAPVPQKLPPRSKTPSGPSSVARPMSRAATSRSGGTIRGQQNQPSASKTP SANGTLKRSVLANMPGNNNTNPRSKSPTKLPARVPLSNMPHGNNSPDRRPNQAYSCST LRGKMGPPRAPPPKMRDLFSREETPQPPPQPSLSRSRSVMSSTSSGKVRPISPEDVYD DRQRSFMSSSMSHYRPLSDQSFQSSYISSREDVSFCQQPKFANQQYQQQYQTQIPAPR QISNSSTTNTVTSGSENWETYDDASEPEVDATEAYYAKVRAAQGNRLRAESQVSYQKP KSIRGVGSDDALVQDSFHSGRLDGGDGWTNELETY UREG_04807 MSVADPAFSGPRPSCPRCGFEGSPFSHEDANAKAAQRRISELEN QIRYLNQRAATTADYEDEIRLLRSKSQSASTSTPALPQTPTFTPPSQLNLSPSPSPPR QPQQPQHTRFATLTSFLPYGKRNNSSANGAPLSHTVSLPEPTQTSPPTTADFSLTTSL QDALQREQELRKAAESRLTQANSELEELTTQLFSQANEMVAQERKARAKLEERVALLE RRDGEKRRRLDRLEKAIQRVDRVREMVSG UREG_04808 MALTVVEEAPKAAIPEPETIQPSQDVLVTPCDPWPRPYYLEKGF RRVAPYHYTYNTYCKERWRGRNLLEIFTTEFRDRPAEYYKEAIESGHVAINGKPGNLD TRVMNGDMVSHTLHRHEPPVTSLPIGVIHEDDKMIVIDKPAGVPVHPAGRYNYNSIIE IMRAERGHSFNPRPCNRLDRLTSGVMFIGKDPKAAEKIAEGLRARTMQKEYIARVKGK FPDGIILCDQPMLKISPQLGLNRVRSSGKEAKTKFRRLAYYPPTAVTKKSSSEDEPAT PPATLPDDAPMDFPNNNNKATTTPDDEGYSIVHCLPLTGRTHQLRVHLQFLGHPITND PIYSNRRVFGRDLGKADNTGEHDQEIIARLSKMGKTETAQTIGDHRSKNYETFQTPPP EHMTKGGDPNVINDLLAREHDLMVNDYLRRKGEKMSGEKCEVCGTDLYTDPGVHELGI FLHAVSYSDLAGGWKYRSKMPNWALPPEGHDGPTSVPEWTEEGEDIIVGRGKTEGDTA LVEGVGTVVISEIMDKEKAAGAEASSANV UREG_04809 MRGCKADDGEHKLTKFKLVTNPLVKEVYITNLCQLTTGLLSTIE EVTTASAPPPSSVTAAAAPLPLFSMDALLTLQKEQKDLLEAIL UREG_04810 MITATEEENTTNPKLAHSMTLHMAALYTKKNDFAELKMLSVKVS QQQSKKKIKILDDIVLIKKMPPKRPSKRKFKQEPLKEIQQLTRNPPTAQKTTNGDQAP SEKEEDGDQNFELLPLSVQATVSMQAAVPVQAAVPVQAAVPVQAAVPAQAAASVQAAP PVQATVPAQAAPPVQATVPAQAAASV UREG_04811 MICRNHKKFMKFLAWSQIHEAAMQLQQTADTPAMSEVPPEDHIS LKLNTFVNLDDAEEAYNSADSVISNISDVDSELEDTRVDDIIKEVLTHMLSDIDESNE DENITYNPVLTDIADRQLIESGILNMEDNTFQVVPHMRTREHESLSHFVIALKLWCEQ SEISCQQY UREG_04812 MAGIISKRMLCPNHIITWVISVPFQLFIDGFGLYCNMYRNITDF YLISTRLPASERRRRNNVYTISFGLHTTNFSDLIGALALGFQSLDKDIDVEIAGEGTV RIIASCLAFLSDMPQQNNNAVIKRLSATQSCWSCTVTEHQRHNMSFDTVANSQYHYQQ LHIQNWVNAAPTTAMRDKLWKKHGMAKDQSPIFGICPCLNLVTFFPSDPCHSELSGIF KMVHSLLITYILTANSQKEYCQILQWFSFARQGS UREG_04813 MELSELEKKRRRERKDYPYRLEYRTRWSDNDMYAHMNNSIYAFL FDSIINTYLIERCGLDPFSSRSRSPEPSNPQSAISEPLPTSPQIGLVVSSYCDFFASV SFPDVLELGLRVTKLGKSSVTYEVGVFRKGENAVKVVGGFTHVFVDKATMKPTTTGMI PSIRAGLQRLLVSNELESKL UREG_04814 MQWPPPERRLISMAALASHPDKAAEDEREAAEVKFKAVNQAYEI LYDDEKRQIYDIHGMSAFDGSGRPGAGGPDLDDIINSMFGMGMGGGMPGFGPGGPGGP RRPQKGPNEEQTYTVSLEDLYKGRTVKFASTKNVICSLCKGRGGKERATPKKCSTCGG QGHKETLMQIGPGLVTRAMAECKVCEGSGQFYQTKDKCKKCKGKRVTEERKLLEIYIP RGAKEGERIVLEGEGDQIPDVEPGDIIFQLDEAEHETFRRSGGDLHADLDITLAEALC GFSRVVLTHLDGRGIELVHPKKPGDILRPGQVLKIAGEGMPFKRSEARGDLYLTVQIK FPEDGWASNPAVLDKLRDMLPGPEPPIEAETIDEVDYDPKATMDDFGAKDAHGGSAWE DEDEEEGGAQCAAQ UREG_04815 MRPSTLRLFAQKHWICKSCRANFSTTPTRAAKKSKPSLPDSPAR TRFAPSPTGYLHLGSLRTALFNYLLAKRTGGQFLLRIEDTDQSERTELYKLQVGSLIS TGHAYRCFCSPERLDTLARHRHDLGLPPGYDGACVSISKEESEERASKGAPHVVRLRV AEPYPMFDDMVYGKTGQNRAAKHSEVADTYADPILLKTDGHPTYHLANVVDDHFMKIT HVIRGTEWMSSTPMHVALYNAFQWKPPQFGHVPLLVEKSGQKLSKRNADIDIAYFRDQ QAIMPETLVNFAALLGWSHLQKSDVFSLRELEETFDLKLTKGNTIVAFEKMWFLQKAH AQRYAASGGPMFDELVRLISSAARQELNPEEYSPILKSRSLEDFVSSILREGPRSYTT PVEFIHANKSFFTQTVHREPYVPASATSLDEPSKVPMSALHTAAAALSMVPVSHWNAE THRYNITSYIYTDPSLSADLESKQAQKSAQQLFRKELYHYLRWALSGGAPGLGIPSTM EILGREESVRRLDGAREATKSWASVGKGQRRRVTVQEESGERSNAWMGSLAGASKE UREG_04816 MRNFSASGPKQDITNTLLQAFIFRERMGLMTCLTPYCYGPLATV FCPRGNRSAPGLKVNVSHISTRLLLKQNLTPENLSKTRSNWHKELFFYAYLEISQRRE TETTQYSAQQLRNGNTAKIPRPLVSLQVYPAIVRETLQRMLSSQASYNYLQIRFPILR ALIPLFSILFNFSNE UREG_04817 MVKSFRAIIESVLEEIALCGDRGASPAEVLGFIDAIYALPDAQD VRQTEPQRLPKVDHRLKCKLWEWLTKHPEVSVGKNSEGNSLSLDEALVRDPQPAAPAS EIDPALVAAEESGLNEAVGDALRVFVSEERAWRAITGHKPDTSRVFPSELALLSIIAS HKARGIVQSDLVKLSGQDKRSVPKRTDSLQRKGYIEKKAIQYKGARTSLCILRKYAVS APAEIFQTVRPESERVGNPREDLMIDFSALLDKLLQCLREQNVIERNDLKKKLGMTVL WRSKILRRAIRKLEAIGCVRRVHAVSQYSEVTLSAHPCVMLIREPTEKDIQLFHDDSS AVIASLQQGDVGNLDDAEEQDEDEDMEDMLTTGPLQSTEVKGGIVQDVGRIIPGWTPD QSLPNFIFNLVDGAGPRGMNNLEIAAKSLGNFFRRPVESLLSRLVDSWQYTQPLHLRH LALIRDTALRSTTFYFVQYSFRNFAALVNDGQASWEAVGTAVKKNAGRPPPIDAKAEV DKYGFVIEKCPSNLLRQGNATLQECLECARPSNYATTSLDPVVVYLRDGTFGIRLGKQ IRTQATPGSTIDVGVAALAETGDKIERATKRTASDAGLLQELEATAESPLAAARTAKR KKKMEDLEAMSELDRLTAQGYDKSWTVYSALTLERPCPGLYLTPIGKRRAVGMRQGRP RKSRIAIFKFPRLKEFDWFINETPAGHSEARDGMAVPVAENRSISEAGEFPAPVTRRA LRKSSIAAEPLNQEVSESEVSDTVSPADTSQAEEVVVTTTRVSKKRKRAEDEGTITEE PNKIVGALGGRRRGKPPQREDSGIPPEVPVGHDAPTTSVIEGPLRMPKVGAGPQSQQN QIHDVRMDQEQSVNMSSITRIHSESMPENALLGSHSHQPNKDAIAMEPELTPVRTIDM IPSDGTNAPNQREGIEPCESIDEDGISRVERSPPADTAGQGRGASVSQTPVDLKSVSV TPSTSPTKFKSKAKRASTKRDIRGGSIAFQRRKIVMDIIEQCGGAHPMGTELWYPFTT AWLKTRTEKPDMRTIRSAVSALIDAGTLRQHTFSGKNSKGLMVTKTIIAKPEIDPTDP IIRNLQGALLKTDPQLYLHPNVTVDPTLKKSHKGLSGHDLKLPEVEDEVRVTLNYIPA RFRPRPPKPRRRFRFVQDRRLLRAARRDFGAGEWQKRVRLLKGLHHRPSASPFLDSLS IPAPHSSETAHLPVLRPWPPNMYLDLLFGEKWKPTLLVMLMSPPQTFNAATGTFGTGV CYMTKKKRAYKPKPELPKITSLPDSLDNILSMSGKRVMDIYNILDPISMIFFSHVDIV REWELRHEFPDAPLGEELKYVNHTIPGPFESAPLEGPIVFVDRPRARPLKPAERRLTR QATARGVRAYTYRRLFLNALPRQDRADQTFVLVPQRAGAAGGRRLEQFQEPIKPLQEA AKPMERVSKRGRPSKEFPEIDLRKLMMAIVVLRVLAGGLEARLTDWSLVASIFPGYDA KSIHDCGKSILSRHRLQIIKMQRDFQERFIEAYELDLLPRIDYNNLEGYDWGAVVDWA EQELEKPSLRKVPSLPATHEQFSSIFDVRKEPAVGIDELYQYNVQPTLPRKRTLYATI PFAVPVVHKRPQTVMPLNETKRAEAIRRLDLAKTWVRANIVTAEESYKPTEARQILER FGEELIGDAIQSLITERVISMGNRGRITPGRNFDVTENFLNAFGRKRATESTQVMRAI HFKLNVLDPAIQAEGKYQVAYEAEDGDIIVLLNMLSEGRVTLWPMNPPQNKFGLTERG YLTRLMDKSKLFFDMEIRPVPGQYVHGNPLTDKIQATPVPHTDLSKDGFGAGSVLPKL PLWIDVHGGFVRLLWELAVAAILGLLAARPGAGVEVIKRTMQPYLGGWEIELILQWLV TVDAIETVGGNEGDGVNSPAGWIVKEWWYLALG UREG_04818 MDANPTVPPAPVLPELPYSLRDRKRSIALFWTLFVIDTLAQPLI LYFTLWYLTDLSHNLVFTISTAALGGVSIGEYFYRFWILFKKDSVCRPLNARRSWLDF FQINFTLVWVILGVELIIGTVQEEPYIRLLAMPLPTFMYYFGIVHLTLDFLRARGYQA PFRISSTPKGHVMPTALYVLIEDIVAVDGGGGQKYRRALRDRYLASPYFRQMLFEMNC FWGGGSIVWATIITILIFTTPRDVAYTLGWTLPFVWAGLWTIITIPWVQADLRREKAA WAKGNTHGGEPFTDDIHEPTPLTRFVSVSGRLASMLPFHKPGARRLDLEGGGNADTEI ANTSTAGVTNPEYKQEG UREG_04819 MAVSLSPTSVFVTILLLYISTFVFFAIVRFATGISIQRIGYFSL RRIAYSPREGIHVSIRGLGISIHWPTFAQPTYVSLRIKELSVTVDPKVLHGGDKTQTI PADEGPNAKFLDDGDSSGEKFTRPSKQHRDNGAIINKTWKTLTKAKDVIKRLHRRIHL LSLVDVTATDTTLRILKVGEIGVGSFAVAVDTRRNVMERGRLFRHKKDPSGDQLPAEW MINVKNVLLALESQEPEEIVDNLGLNIHGLLHKDVEGLRDVSVSVKVGRLHVPYDNLL SLSSRISGAQTASQQNTFNSGDKGVSFTDIVEELDHPGTHEGAVVDTVAESRQFLGSL LRGIQEIQVALSFFRVSRVVQRLPEAKKPQFLNIVTHEVGIDFHRMDPNEPAHRMYFQ KNDIAHQALVAAISSSVSLDDNTNDHDKIMYIPMATATVKTTLPAKTVSLSESHDAAE RNTNVLFANFVVTSPSVDLEPGKVAQIIGLLQRRNATRRTKKKSKHLLISRLLPKATI KLSIQEPVLRFVLPASTASEQQDYNLLVSSISSISLDIESSHSAEEGVQYSLASVYRV ASHVLYYQMASGAKHHLMSTESLELKTHLNATTELCVVASGNLNTFSVHLVSGEVTQG VHQVVEQFHDHVQPTKLPPSMNPNSPSILRRLPPWLLQFQFEILGLAVEVAGTDDTIQ PATRGIVLQLGGCSAHYQAQKAEPNKRVARRRTPSHSAMSDDPTFRFASSSPSPFTLS IYALRIAFTVIQRCLSLNSHLRRDPSMGVGSKSSQSSPPSPALPKAELVTLDFKAPLI EIKLVMPMDPAMMVQIYGLAAGRHRWSPPFIRAHLVRLHAEAPKLKGVWARIISVKSM RVGFRESRSKQAESITDAKGYDISTDFIRLAVPHHMIMHRIFDNFVNTTKAIQQLNHR FKTRTNEYVLEKHPEGPKHVPRISLRSKALLFELEDDAFEWKLSTIYRLGLLEQKQRL TRDEAHRMKVKKVEACQQRIATSRLRTHSAHPSSQKSPALSRNSGETQRSKSVDRHER SRSTSRGRRAKRKVRYDPDAITSFSDCCKVALHDAWTSLQEHNAHSWRTRIDSSIRFQ NTAIKEIRALFAGADEPPEGFQDDETILVVPNRPGLLAVTISDVHLVLDKPSFPLSSY AEYIHKIGKGMPLDTKYSLLIPMSVHLDMGEARANLRDYPLDLIHIPALRPGQPTRLP CWSVHGDFVIAEEFRDYESSRRVMVNIVPPTVTPDGVSHPGFSIDVRRTVSPVKTYSD PKIEINTNLPTSISWGMSYQPVIQDMMKIIEGFTKPEIDPSERVGFWDKIRLSFHSRI NVMWKGDGDVHLRLKGSRDPYVVTGFGAGFVMCWRKDVQWEIHTRDDPKEFMTVTSGE YVLAVPDYSHHARHSYELPMSDSGSLSSKSSMRHDPTFKKVVMKLSGNVRWLAGLVFE RTVHGTEERSFEFRPHYDVVLKNPKYLSGEKRKDYDAYRGFRSNHIHLSVAVVAPVSR VWSVTNREPSTSYNTVHLSPRFFTHFFNWWSLFSGVMSLPVRQGPLWPGLTKTSKKFS RHLGTVKYNLFLSPLFISHIYKHKEAEDYNKTTVFATGIKARLDSFMLDLHQRREQVK TQVKGRLKQTKASTMRINRAQLDFISADFRAVSASIGGTNPEDIQRARDDIISTFQEP VAPADLSRFTIPDHDLNWVDMDDFVELDWILPSESNPKTQILPLAYSPRFSYLRQTDH HEVGPDETGYSHFGDEPTHYCVMTQENDPRTVQIDLIKERLHVLDAQIDSHARLVGEH ELQLVREGTVDEASRSQYELFLKQGESLRTRREFLQEGLLRLTQQISTTTGVSESDSK CPSPDSSSETQKSGDDVGAQMHYASPDEDFISDFNNRFIIHNIQLKWNNSLRNIVLRY IHQNSQRRGFVYYMSRRAVKFILDIVEEQGKSKFRHMDSRRQSFHHAPNSNEEDQDDE GTVEARIEQLLNDANRFVNAEDTGYHSDKQEQAEEQDDIAPEFTAQNSYHLRLIAPQI QLQSEKNKKSVALVTAKGMQLKVISIRDKRRESDDVSGLVQRRFTLDMDSAQFFVATQ KTFSSHAHIYCGNRYGNAPGATWPPWVSLESMFEFDRDPSGLSRIIQKTSASLRYDKY NALRLKYNEKVAKEDRGPVCDAIANENRIDQISVDFPQVRAICDSAQYYSIEPLEQVR NERLEKIMLASDFSDLRGAPEMVNKLQQRIRHLEDIKNLFQIQSKYLDTQGWKDRMAL EKDLATCEDELFFIMKAITTSQRKNEERTKHHSSGLLRWTLSASEIVWHLMREHGEPL VEFQLRNAAYERTDNIDGSNHNAIEVQRIYGLNLLPSALYPQMIVPYLDEQRQNPQTE EDMMLQVKWYMLEAIGGIPVLDDFEVTLFPLKIQLERELGQKLFEYIFPGVGSNAFES GGFSPFMIKRFNPQEDEEESDGNPEVHTPGASTSSGSVDDSQMSYPGSIESRLKPTLS LQDNHRSKSPGRRPKIAGFTPINKDSAKSKAGSPGDRSRSATRTPPPSRLPVKKNSVD SLKLLGRSQTDRSLASQSTISATDEKGKKFAISRSSTKAFGKAENPTDDVSYMMSRAS NYMILSHVKINDVVLCLSYKGKGERNIEDVHDFVFRLPILEYRNKTWSNLDLALRLKK DAIKALISHAPAILGNKFSHNRPSKQQQRRLRELATAKQVFTSDSVSNMYPSDGSNSI VSRSSTEQSESPRRSFHSHVSPFSGARSTMSSLNSAGPSTGLALDSHSARSLNMDARE DNPAAEKVPYSAAS UREG_04820 MGDTSSHASHPSSSLIPAVKIGALSGTAGLLYGATSGVLKLNRH PVIHSISHGIHWFAFGTSFWWVRSNILRIQFQESPTSNQRAYASAVAGGLSGGAVTWS IHRRFVPGMVAFSLLGYVGQLSYNMVDGWHTQRVTQPKTPLVERIVESKWIPIKSLSD EKYKELLNEKLLGVEVEIALIDDRIKELRES UREG_04821 MAPAKSKAQKMSVGAFLADENFGSWADEMDDLPLPTSSESRTGY GGERRTYGGAPASFGHERDERGYSIREELPLPTQPPYTAHIGNMSFDATQADIAELFA SCEVTNVRIVEDKLTRAPKGFGYVEFATLDGLKKALTFQGTSLQGRNIRVSVAEPPKD RHESRDLSDWSRKGPLPDLPQRRGSDRPGYASRGFDAMSDAGSERAGRRPYEPADGKI RDFSSWERKGPLPPAPPAGREGGRPRSKEGPQIRRASPAWGEGRSQDGSRPPRREFQE RPPPERAPTAPELDNQWRARMRPDQPAQPPAPTPAPAAVQASPASPVAPTGPALAMRP KLNLQKRTVPDSEVKSPSTGEPKSSIFGGARPIDTAAKEKEIEERRLQKKKESEEKAK AEKEEQERIAKEQELAKQKAKEAAAAASAANADGPKEGASDVAQGANFEILQRMEENG NGEVAGATQEGAPTPTEEKPQAPKDVTRPQPASRANSNWRTGGGRRGSGHGQQGPRGR GAPKAAPQQPSTPVEDEEGWSTVGSKTRGGRRGGYRNAA UREG_04822 MRFDTRFDAKRERLVEDWANLLSKSVPAVCDLAGAFRQDEACYY VSHHCGSFNFSLRLHWEDGKPDWLIRFPIPGKSVLPEDKVRNEVALMNFLRQHTSIPK DTTTDEKESDVLDPDISEDTLKTLYSEISKVLLELWSVDWDKIGAPDFDTSNSWRAQR PPVTLGMNEFIRYGGLAENLVLSNTFSSSLDYFMTMAELHSTHLKEQLNRNGWSLDPL YWNLLDNYVYGKASITERVARTTYETGLHGNREPYVRSKIEDLQRYNEAVASDECVDY EEPAEDETPEYWIKSDEKGPPQQISSARINGSRHPATGFLEN UREG_04823 MAAPGPTLRRLVKEAQELSESSPSPSPYFHAHPISDSNLFDWHF TLAGPPEPSPYANGIYHGRIILSPQYPLRPPSFRFLTPSGRFEVNREICLSISGHHDE TWQPAWGIRTALTAIRSFMDGDPRGQVGGVEAKEEVRRDWARRSREWRCDVCPGRKTN EEILKEWWEVCKSKGVAVEDQEDRAVQGDKVPEGLKASYKDEKAKKTDTEAQSEKGIS SSQKSQPQSQETERGSNIASESVRAPVTPSAHASMGPAQSTPVSFPAAKRTQVQTSQT QPATTTASTASRATQTTADPWLDRAILGVIMALVLMVLKKIFYANSSEEHL UREG_04824 MEAFASAASESSIIPRKRRSTRLLRKCERFICSTAKYFPLVFVY AVSTWAVWIQATVGFSYAKSTWIGNTSAIAGIFFYACLAVSYTVAVFTDPGSPANAPI TRSGKSNRQDYSHLPTTEVAAYNALTVNSSGGKRYCKKSTWVWKEMLTEARYADHALP VNVILLAIISGVIALVLTGFTAWHISLAIRGLTTIECLEKTRYLSPLRKTLDNQRRQF ASYDGHRDTGFSNTLHSYGQQLVDMHANAIPGVTREEEGEERPSPTVTRPFDTHHLAD AYNPNEHADNYRTPAQQSLYRSFGELERDRERDRYEEYLDERDSEKFPNAFDLGWRRN LTHLFGPNPLLWALPICSTIGDGWHWEPSFKWLEARRDIERQRLKRWEQSQGQGQQPV QVHYHPQRQSDQLWRDGYPVNEDSSAARFYDGPGEVHHSPAGVSMRTLPRPSRRQRPA DEDSDANVSSDRYSTSSDEERGLQNPKHSSGSRPAGEREDGWRDWD UREG_04825 MPTFSCKSLAFGFILFNSLLLTAAQPTQPPLSCAKDTDCISGCC DFYLGKCAPVGSQAFNKNCGFGGPQPRKREETEPSPTTSTAPAATTSSETPGATTTSS ETPGETPTSSETPGETPTSSETPGATTTSSETPGATPTSSEAPGATTTSSETPGETPT SSEIPGATTTTSSETPETTTTSPPTTTTTTAGNLPTDKPGEDPIPTSQTTMMTASSTV PANPTNGPGNGAGKQYITGACSSDADCASGCCAFGDGHCLGPIDALEKFGGCGFGQPV PNTLPAQKWREQWKAGTQ UREG_04826 MEAWLHPLPQKQYDEGRTIYLSANNFGRLVRGAGYVQLSDFDCA VKGNGKNNGAIQVDIYRAPEVILQAGYSYSADIWNLGVMLWDWLEGSPLFTAVDTNRG NEYDDINHLALITALFGPPPDNLLRQGERTDFLYDDLKEPYIKPTPNEFGFEQSVNRI SGEEKEQFIKFARRMIKWQPDERSTAKELLKDPWLDVKLA UREG_04827 MAEEPNYKALFEHERELRLEAERRLNPTSFLDFLKHCHQLMTIP LQVNYDIKLTTKGTTAPKGRLCPLFLKQWDDFPALRSSLLQTVESVLHPSSSDPIRRF PSLEKLTGLGELFARRKLGSELDLMGYQRPRSDQLCVYRKDDGGHSLLYIMEYKAPHK ITPDALKTCIKVSDFWEDIVQVHLIPTNSKEKQIYRDKQIAGAALTQTFDYMIKEGVE YGCLSTGEALVFLQIKAEDPNTLYYYLTVPKHDIEAETPGDNFAHPKTAIASLLSLSL LALGSERRAQSWRTQAAQSLRTWEIDVNFLFEKFRKEDETPDTSFSASSFAPSSPVED TVDRRPETRATSQRAKQSCADEHDSTENTDPNTSTEGSEGDDPTRKGTGMSLKRTIMH SSPPSSPSSRRPTKRSTLPSRHRSPLALYCTQTCLAGLANQSPLDKDCPNFSIHKNQS ACGRHPIPKSKVAELVDKQLNNDRDDGCVPLSICGRIGALFKMTLFPYGYTFVGKGTV IEAVHLLQHEARVYAFLEKMQGNQIPICFGAVDLEHAFIMDNLDEVVHYLLLSWAGKP LHELEGFNDEDSAKCKAYRRAQKKLAKALSRAGVIHDDLYEPNMLWNEESQQFVAIDF DRARLRLKKQPNKPLEVLRKLGGEPSSLAVAVRNGRQEIEDFHVRWTTRQARLTLWRF PALLWICHRRLVTEGVAILYLLQARLIDTSIELTSRTSKSWSPNTLRTRLVLNFKRIP YTQSFISYPDIAPILESLSVPPIVPQYLPYTLPAIIHKPSLWSQNPQHYAMNDSTPIA LHLESAFPAPHHPSLFPTPASYPLAMAVLSIMSEIMVKQRRIALPKTVKYLDPAGQEY FNRTRSELFGQPLAELAATGDELERVWQDLAVPLSTLATMLRGEPGKQKSGPFFEGDK AGYADLVVVAFMAWFLRNDLGDWERIVAIGNGEFKRLWDACLPWVEGQGEEIEWEVPK Q UREG_04828 MAANEYYNSNNQHSWNHGNVPSSPQPYSDPYGPQHSQFPLNSDH DISSGGGKYNSNDYADDIPLKPNASQPGRPEWSDVETHYAPGQYPHSSHSPEISAGPS KRKRRSRFKKIPWVVYITSAIQVAVFIAELVKMGQLTGTPIMIRPQFNPMIGPSPYVQ INMGARFVACMRNVKGVQDAPEVMPWPCPDSQSDDRADPKNQCTLSQLCGLGGVQDPR AGGSIDDKPEPNQWFRFIIPIFLHAGLIHIGVNLLAQMIIGADMERNIGWWRFAIVYY ASGIFGFVFGGNFAAPGIASTGASGSLFGILALCVLELLYKWNTISRPVTYLLTMILA VVISFVLGLLPGLDNFSHIGGFLMGLVLGVCLLRSPDTLRERIGVSTPYLSVNGAPSR DAKQFIRQPIGFFKGRKPLWWGWWLLRVGALVGILVAFILLINNFYKYRSECSWCKYL SCLPIKNWCDVGLIKTTPEQSPNSRRGLLAATSMGQAWL UREG_04829 MSNIPKARRSNVVDLTVIPDKWDYYPCMERSYVKSCTFTNLSSS THIRHSQLKDVKLHSENGKCSYIEHCNLAACTISDSYLGRCQLRDSRVEGVGHMERST ASNSELVGAGNIERSTFRDSKVGGRTTVTRSEIKQCSLGESSRVENSVLDTVFLWNSQ VDKAVLTGCDVKDCKMTKTKLSGMVLRYGIWNGGDLVGRTSREHEVIAMPLEEWKARE SLDEKGKEQAQEADSEDHTAATPSPVSDVPANAKAPLTLHINPSAPPSYASLQQHDED DSQARVSREFTPPTPSSTSFSDVTELVDDEMETVDPRSAEKERPPPPYQP UREG_04830 MPPQSPAGKCTLDGSSKPPQTPQKTDKPARTKASIIGSDSWTSA REYKPGAGEPLNPKKDERHFLYQRAVDFNDKTDWHAVVATASRLRNGKNCSIRRRFSI GIFNMVKRIVFEDGVSWVIRLRMPSLGGMLGRKERLPPGQALGMEVAAMIFFKTKTSI PVPKLYHYDLDPNNDIGAPYMMMEYIHDMGKGPWTTTTDYYRDFSNHCMETTAMADAR LASEDSFINPVLFNYVLHTVEDPKRRNGPFYLTNYDFGPHNVLVNEKFEILAVIDFDS VIAAPLEVAAQLPFMAYLDLGPPPGLADSASEGSSSAKTSFLEYKRMIKTAEDKLNDG RGRDGLLSDAVFSKAAILFGGIAACGCHSSSVNSSWLRTYISVIRNDVISAISPTENP S UREG_04831 MSGKEDHMAYGDYPGQESERSSGTRSLLGDTLNKFRDKYHAHTQ GQQQQSAPGYQQPHYNTQGGYPGQPPAQGYGQPSSQGAPPPHQQQQGGYYGSTPVPAQ TQNPPYYQGTKPPKTDLVSGLLGKVQGIGSDLAQKIGSSIDPQAYATYGSSTQADGKI RYSSFAPERDYNDAKWYVDGCTYMWAVSRALETAKESIWILDWWLSPELYLRRPPAKN EQYRLDRMLQAAAQRGVKVNIIVYKEVTQALSLSSSHTKHHLEDLHPNISVFRHPDHL PDRQTLHSGMVASFQNMTLNPAGIAKLSSDALKGIYGMNDNVILYWAHHEKLCLIDSK IAFMGGLDLCFGRWDTYQHSIADVHPHDIKDTVFPGQDYNNARILDFQDVVHFEKNEL NRQTNSRMGWADVAVSLHGPVVEDLRKHFVDRWNFIYDEKYEVRKSTRYSKLILYQRP LSSAGFHQHGVQGQNPSHHHQGTQQQQQQQQQFQTPAIPPAYGHGQSQVPSGTGSQSY QPPGQQYPPPPPGGPPQQHTQAGSGYQPQWNQPQTPGSAQTPYYQPPPQDSQTRGFFD GSEPQQAGGRGFSNLKTDVTNFGNVLRSQLAGQVHRYQDRYFSGNPGQAQNAVRMGCQ IVRSSSKWSHGTTQTETSIAEAYATIIRESEHFVYIENQFFITATGDSQAPVKNKIGA AIVERILRAARAKQKYHIIVVIPAIPGFAGDLRDEGSLGTRAIMEFQYNSINRGGNSI MELIAKEGLNPMEYIRFYNLRNYDRLNATAVMQTAEKQSGVSYQAAQHQYDAGMTASR PVFDTTAAYGQYQQAAQHATQGKSSPGRWDSVAECYMLGGESILNVPWEHNDASAEID AFVSEELYVHSKVLIADDRTVICGSANINDRSQLGSHDSEIAVVIQDPSPLESTMNGQ PYLVSRFAATLRRQLCRKHLGLIPAQDYQRPNANFEPVGVPNQYDVGSPEDQIVADPL SGTFQSLWNSRAKQNTEVYRKVFHAVPDDNVRNWNDYKEFYEYYFRKEEVNKQKQGQQ PAPAPIPGEGLTPAPPSKYECGHVIRDEFPEGVRAVKEELNKVKGTLVEMPLMFLIEE DIAQEGIGLNKLTEEIYT UREG_04832 MVATLGLLPRNTVLFKPETLDRRDGYVVESPRRKRARHKRTASF GTQLAIVGAAAVGVYEDLAAAVGEPHKAFDTEVMGEKSPRDRVFSSPTGLLIFCLVSS ISFSFGSASIFNSPRINPAAAKFHLEHQGRWHHRLASAKRKFESQSPFAAAIERRRFR LAIDRLVTSSRRERIKASPRIVSRLTNYINLSDGSAWKHFRHDTSNLPTMAAELHSIY TSNPPHVKPRPPAFIDDWLSLYSAMPTTDVPPAPATQSIAAAHRPNVLKRVFDRLCLE YYRYEVTCGVYVMTPGEKLIFNTFILVVLSLLTWALLLYFPSLLYQKLSRLDWLLTGR DGPNANVTIPMSTRTDTTVSFLQAPPRCT UREG_04833 MTHPVQAVSSAIGAAGFDPRAQQLTSLFQGANDGPAKVAARITG LQQGGKRADDAPYFTNNEGIPFPDAAHSKTAGGLPLVSDTFLLQKQQHFNRSKNLERM GHIAGGSGAFGYFETTKDMSSFCKAHFLRGSGVTTPVFVRFSTVTFGREFPDSGRNPR GFAIKFYTGEGPDVIRSQSRNPRNFLLDYNSLFDLLANTPEGVNGEGKFVYIKYHFLA DHGQRQFTWDEAVRMSGEDPDYSKRDLWSAIENGEKISWTAHVQIMEPEEADAVKLGF DPFDVTKVWPRDRFPMHEFGKLILNKNPENFHRDVEQAAFSPGSMVPGIEDSPDPLLQ FRMFFYRDAQYHRIGINLHQVPVNCPFMAQSYSSLNFDGPLRVDANHAMNPQYTPNSF VHKFRPDTAEARYQTADKTVGRGSHFYHEGTLSEYEQPRELYKRVMTAQARDHLHRNT AGALRLVDDVVIQTKYLAQLYLIDPEYARGVYELLPEKKFEFSTVEEKSVGAEKAGKE PKFLPGSDSERLLGVPISSSYG UREG_04834 MATEIQEDPLIEALPPASDYLTYLTILEYQLTPARLPTLHRLLQ DETLTTNIGWDLVQLLLPLLPASEECLQDVARLGNPREVILRVSDALMKLHPSDDQED SEGENLEEKQDAEKEAPLHIMQFNCLISMLAILHSRIKTQYPSRFIATSLHAALEAYT EYPTSETTTAILEFLRDIYGAKRPALPPRNASEQLVSRACGPSGPDPEAEAESDDSLT QERVLTQKLLQFGLVEVLKTYLLHCTSKQPPGMQWTLRLHEKLDPGPVLPTLNLTEHF SCMEYAKERDATIGKITALSRDFGLETEELLRVAAHKGGGDSAPLDFDNVPQSADDIA LERHGCLILLAARYTTTVLFGSAQLERPLTLYPEISTMFENFINGAQTGSLEEVTMEI PTPLLDSLLALALISGHFHPNTMPNNDSDFGKLVLSMVACTRAPNLRQLSRVEKVPTK IFSENPSTQSKYNLIRQIFDDESLQYARESAISWFKHEVLAGASKPTDPSNPFISPQS FSVLFWVVYKPLPDYYSDLNSLPTPALSTEWMRFISRLANFYTVALNFYYMLCKSGPL HSRLDLQGLDLEFRAKFLHPVREFAKSIRDNDVVSDRIVEELGEDIARVGKSAADVVL HVIGEIEAVE UREG_04835 MATASAPSAHGIPLAFATCSLGHPETDSLHRRLEAIASAGFSAI ELSFPDLKAFASQFLKHEVKEDDYDELCQAASEVKRLCEAHELSIMMLQPFSNFEGWR KGTGERNQAWERVKGWSRIMQACGTDMLQIRTMAYLEQVGSTDTPAEKISTEPENIVN DIRELCDFLKQHNMKVAYENWCWSTHAATWKEAWHIVQQVDRPNIGLCLDTFQIAGSE WANPCSISGCTETEVTVAQMEDVDESWQATLEELSKTVPKEKIYLLQISDAYRPQKPF EGAEKGGMPPRARWSHAYRPLPYDGGYLPVESVTRAVLKTGFRGWFSVEVFDEGPDGK GRSRDLFDFAKKARDSAAFSLRRRPILTKTSLFNPGLPSNSRPDVVGNRTSDPESEML PKVYSIGLHQQPKSSCLQQLTCLPLAPDSLFVTPNPPLIMLKMCRRVNLSLDGDFLSC RAGTTMASSKPTYPLEGGCDCGMIRYRMETAPLIVHCCHCTWCQRETGSSSALNAMIE LSSLTTLTPTKPFHVSTPSASGRGQTIARCPHCYVAVWSHYIAGGLLPFVRVGTLDKK QQVKPDVHIFTSTKFDWVDLSGSQWEGKVFEEFYDREKVWSPGCAQQELICLGMLKNI VERYGLSWVTLEHLRCLETVLVVSLQYTSGDMPITGPEAEPAGEDADKFRELVCNLPW SLRRQLVQFFDTEPLLDNPYIERFRRGVENGNMPPYRRQGSVQFIEPETGRLRFVYSG TFHGVRSDISVGNIEYGDA UREG_04836 MSDQPFKSIGIVGAGNMGTMMAFGFSEHGVNVSLWDVQSSNVDE AIDMTKQEDPKRLKGKINGFHDIHEFASSDEQTPEGHRFFIFSITHGGPADSVLEKMQ DDLKKGDIIIDGGNENYRNTERRQKELEAKGISWIGAGVSGGYQSARRGPSLSLGGDP KAIDMILPLLQQFAAKDRRSGEPCVGNMGPGGAGHYVKMVHNGIENGMLSSICEAWGV LHHGLGKSYDEIGKIFERWNAEGELKNTYLIQIGSEICQRKKTAHGDKKGEAASTSGG YVLDDVLDKVVQDDDDTEGTLYWAVMEAADRHVSAPTIATGQYLRVASGNRGQRQRVA QKLTIPPPTKINGLKDEQAFVEDLRRAVYVSFLCTFCQGLELIARGSRDEKWNVNLGD CMKIWRAGCIIQTEYISDLLMPAVTSDARIMNIKLIDEVAADLNKHYDALKSTVLKGT EANSYIPSLSASLEYLKYEAAKMLPTQFMEAELDFFGAHNYDRPGVRGEDPGKVSKGT HHYEWRPA UREG_04837 MAAGLILELKTGKWLAYAAALQIGSIKDVLGRKVCMVKEVQSRL TNHGIAFYTSGQLFLEEYYVLAMVGKAGLNTLHMDGNTRLCTATAAAAMRESFGCDGQ PGSYSDIDCTGCLFLVGHNPSATQTVLWSRMLDRLDGPNPPKLIVVDPRTSDTAKRAT VHLKPKVGTNLALLNGIQHLLFKNGWINKSYVETHVIGLEDLKQVVSKYTPEIVEQIT GIPPLELQKAAEIIGTAESLVSTALQGVYQSNQATASACQINNINLLLGHIGKAGSGV LQMNGQPTAQNNREAGCDGEYPGFRNFQNPKHMQETADVWNIEFMKMPHWNQPTHIEN MLNFIANGSIEVFWISGTNPLVSLPNLGKVRDVLTQPNLFIICQDIYPTETTAIADVV LPAAQWGEKSGCFTNVDRTVHLSRKAVEPPGEAKSDLEIFIDFARRMKFTDKDGNVLI PWSTSKEVFEAWKKMSKGRPCDYSGLTYQKLTSGIQWPCNAEYPYGRERLYDDGKFFT DAEYCESYGHDLETGAPLTRVQYDALNPAGRAILKSAHYKPALEEPDAEYPLKLTTGR NVHHFHTRTKTGRTKLQQACPEPVVQISETDAKAAGIQDGEYVVVKSRRGAVEMKCSV GSIEAGQAFIPFHFGYFDSKDGRARAANELTTEQWDPISKQPTFKSGAIRIEKCADEF GVVKIHARENHTSTVRHAEDVKREAVGRKPASGNVRRLELWLGATHDTLEGLATIYND LIPKLVHDMEIHAGLRVMNRITHDMLDALTPHMDKYHESHQYGHPAARHLRETTFADW SESLDPLEALNALVHLRMYMSYIEGHLIALGPASQAIWDKPFNEAVLFAQKELGRQQA WVAQHIKVKSPQTLLVPMVPKADLQETAYSRE UREG_04838 MPLNVQRVLRRAIDARKRCAAWFEKRGMNDIPALDGHAFFIDVL QKAFDTLKRPNEDIKRPHAASADPPPSTKPSAGVDFDSAGSDTTSGAPSDAHGPASEN KPRDKSKSPIYEPEESTWDVRFSIFCLFEDLHKIREQVIKVWTEYNKGELDLVSASII TQAAIELVRRAEKEIYQTYADFFPTQSYEKLVYCLWCGSPFSDKLADGKLDPIKIGPF DEFIFLPTAQILFRFAIFRNLFTMGSWPPPVFPLSLHFISSPEALTESPRMREAEEED RILSQLFLDMILQDKVDCSKQWEAVQLQQLATFPLDEVFKESLNETTYASARVIWKKG IVSLQNVFTWRLFLDVWRICGKTFEGANMLTSVGNQITKLLFIQHDSSNRNEIRWPRT ADSLLRHISHLIEFRCHRVINSFIKMSVLEQHASYKPPDKDTQMRIASNFKIRSEPER KQLQENMEKLDLNFIMPPDEYEFMINRNPLYVGDSLMNLYVQTGYVGIELANHSVSIF SFAHLYNAWRQMGLVESLGLEWNIIEMMMDSQIVPLFAGEFPTTPGAIASRYRYRMGR TSAGNSAFLKRRPWLFRLPAADAIRQFFGDVEQKERGLHSLQELFQKRNQQASGSRTG SSKRANTSHRQLTPVQFMKQLLEHIPGMVVEMTIDYITLTQNCSEIIEGVYKELESSN DFEFVSREKTSEDNLCVTVTEFILDSNAEQYESFTRVGRPSEPFTGGPALKLAAKAFG EFLTKERERQKQNGTGKMHGRFVV UREG_04839 MANLATLDHPYLPQSASLLFDAKAKANLTFEDIARHIGRNEVAT AAIFYGQAKASKEDVVKLAELLRLPAQALEMQMGGFPDRGRSVDMPPREPLIYRLYEI VQNYGYAYKAVLNEKFGDGIMSAISFSTNVEKETDDDGNNWAVITLRGKW UREG_04840 MADSASQLARRINCTFKGCMRAFPTEKELIKHKKYSPEHDYCVK CNEDFEDEESLLMHKIESSRHIVCPICVDEFKSEGGRDVHLRQIHSADQNLKCVGCQA SFNRAHGLMTHIEEGKCYGFSGDEYRAQRAQKRATRVALNGNLGNKKAHSGAATSAAS VDGGVEINIMDDSEFPALGKGKEKLLAASEPEGEENASVFDDGASELSGITRSIKHWP GTGHVGQSPSKHEDLMAFSELGLDDQDNNDSQDDEDENELDEWGELPPETEESERKMP QHFILWETSQFYNSVLGAYVCPCDRVFKTNAEISAHLRSGIHDGGVV UREG_04841 MSNPNANSDTTLRHSDDYLRFKRYAAITFVVAAPVLIALPPRKL DLYTISLASAFLFSANHVVADQTGRSIMERLDARFGGRKGPGMFSDLPTSRAEEVSQQ IRLAREAARQPELQRQRAQQGGEQDKGGLTDLGKKVWMGGETEGWRERRMEEERKALE EGKGYGDLIMDHIRDAIGMEKDGSDQSSTEKNGKP UREG_04842 MANVAVFSKATPFADQFGNKYSWKTAGPPSLTNPFLANLERDNL PPTDPMDIDICPPAEANNGFNTNEIHDVEMIDIDALDALDADVTMGDQQPRSSSNAPW PRHRNQPNHTHPGGSRGGQRQAGPRNRFPQPLNRQRHPEQARQQQSHRDNLKTPFRGS RGNNPPKSPPSQTTGSPPSRQGFQGNGGRRRPGRRQRQRQQPQSPGEGARCSHIGRYR VQAK UREG_04843 MSAAYNDSTPAHIPQRHKESKKRSREGDEWVNHDFQQPANSRVK RQRQFLEPRYPSEIENDAVENPPYIPVIDVEDISDEVEARLKLKEEARRNRMGKKDRK RKRDSTGSVTGNSTGVNDAVPKRKRSKLTGDPGRNHERGQGAETEKRHVEMRHR UREG_04844 MPEALLKPPKRVLGDATRIRGSLQPVSPNAVKKRKLESDQPNII TKPRLHDGFRKPATPISSQSQPKSQFVEEVLEKLTQDISGLKESNAEKDQQWERPSLG DFDSTRDSLCFQQIEAEEGRFSGGQTAVKLFGVTEAGHSVLLHVTDFKHYLYVAAPVS FTTSDCGPFQAFLETKIAHHQEVIHSVTMGMKENLFGFQGNQRNPYLKITVTDPKHIN VVRSIIENGDGNYKGLWKVIDGILTFDNIQYILRFMIDTGISGMSWVEVPPSKYKIVP AHARQSNCQIEATVSYRDLIAHPHDGEWAKMAPLRILSFDIECAGRKGVFPEAHQDPV IQIANIVTRYGESKPFVRNVFVLDTCSLIVNTQILEFDDERKMLMAWKDFLQKVDPDV IIGYNIANFDFPYLLDRAKHLDCSGFPYWSRLKSAISQSKDSNFSSKQMGNRDTKSTN TNGRIQLDLLQLVQRDHQLRSYTLNSVCSHFLGEQKEDVHHTMITELFNGTPDSRRRL AVYCLKDAYLPQRLMDKLMCLVNYTEMARVTGVPFNYLLSRGQQVKFISQLFRKALEQ NLVIPNLRNEGGEEQYEGATVIEPVRDYYDVPIATLDFASLYPSIIQAHNLCYTTLLN KTSVEKLKLKKDEDYIVTPNGDMFCTAHVRKGLLSQILEELLSARKRAKKELAVETDP FKKAVLNGRQLALKISANSVYGITGASNGKLPCLAIASSTTSYGRQMIERTKDEVEKR YTIANGYAHDAKVIYGDTDSVMVKFGVQELSEAMKLGQEAADFVSAKFLKPIKLEFEK ASSGRIQTSMIKWIAKVLKLFDETTAGWFKQLSRLF UREG_04845 MSAAMEFFRSVEFPARVNTLMKEHRTPGLAVAVVQHDEVASMGF GLASLDQAVSVTADTLFDIASCSKALTAASIGLLVDDNENYPDVQYDAIMSKLLPDDF VMPGTGYTENVTVEDILSHRSGMARPRAQQPDDARSVTRNLRNLPVAAPLRTKFQYCN MMYTVATYLIEAKTGQSFSNFLQARFFEPLDMQSTSLQPQSARVKGFGDRIATGYAWN KKKKAYSGFQAPDCPEAQGAGSIITSVNDFIRFLKALINQEGPISKRLYQGLVRMRTF ENPNLQWLKPGSSPNMYAAGIEAHFYRGYLVLGHDGCVPGFGSHFFFLPDFKFGCFVA GNAESGATIGTILRKQLIDAVIGVPKLEHWHRNKKNATQPQSKGDLFVSQRLKPSVKR GLAPQNKAKNGQRHSMDSIEPQPQEKPLSTYLGTYWHPGYHTLVLQIENDKLFIDATD RSMGFSLTFDHVHGQTKYTAHMSDFLEGGDVPLDAEFIFEGEKVVKMGLSLDQQLKEM IWFERLEQSLQCTLMEAIGIVGMRSVDSGNVE UREG_04846 MSKKDRRSYIDAVYCLQKKPSLIDEKIVPGAKTLFDTFTTLHIN QTHTIHNNFSFLMWHRFFTYVYEKVLREQCGFEGTHPYWEWGYDVDDPESSPILDGSD YSLGSNGVPLKDKNETWILWPPPPFKPTKDLISEFPAGTGGGCVHTGPFSDMTVNFGP ISQGSERHLDRMFQYRPHCLKRDINPHIGQHYLAFNWTIWNIVESTDMMGFQARITGD RRQGHGDYKLNKFGAHGAGHYFGGGPTGAFSDLYASPQDPLFFPHHAQIDRMWAIWQW LDIENRKDALYGTLTYENLPPSRKGTLDDLVDLRPIIDPVRIRDVVDVISGPFCYFYE UREG_04847 MGPRRSHRKSRLGCLQCKRRKIKCDETPPPCGNCRKHNIECQFA AVPAKPTSAGRPSPLPRTGLAPPPPLPSIVATANRVNPVNNLLTGATPAYLPPTPPNV FAPSPSNADQLDILSSFVSPSTELISDLHIHDLELLHHYTTETYRTLSYNNEHKEIWK NYIPKEAMSHPFLMHGLLAIAALHLIDICGDEGDNRRKYIELATRHQNLALASFRPQL SNITPSNCHAVFAFSSLIAALAFAFSKTAGNVRSGEPVEQVLQDFFLFRGVEGVLNTF WEIIRKGKLGPLVRRPSDLTCSQPISRDVINALDYLHDCNGETVTHISAEEKAAYNHA IRELRISFERCPSSWETVFRWPIVLPEAYLMHLKKRQPMALVILAHYCVILGRLDDCW WSQGH UREG_04848 MSLFRSPGDLSSSDEDDIFENHEANDETNPSYHHENATRAHEGH SRQLSFDDAVSGSFEGALEHRDPEHEIAGLDAEGHAAMMTTALLEYYCLSKATEILNE QAGSHGKYTRDSPEARILGRRLYTHKSQFLSANGVVAAGVDGDDWEITRKYYRDTLDV LGLPALEGLDLNAQGPSQGMRSESRVVLSRRTTENPTRDWAEPREKRSSPSTENRPNV QKLLASGTEVGFPDRLPAAMNFTHMSQVQPTNYLPFTGLPGVYQPYKPSILMSRYATE FEEESLIGKGSYGVVYRARHYVDGQMYAIKKIPLNSKRLKQLQDRGLQELDHILKEIR TLARLDHSNVVRYFGAWAEYNTIQIPLGTPKPANKPLGLLSQGSMAEDESSHGIIFEE SSHGIRFEASSKDEIGFVEAISLSESPESRRGTSNRTESRYSRKSFGGKSYDDREDNE VESIGRPLSHQAHGHAQTSTSALDSDVFSDGAGGNMSVHVDQNAAAGGKLSPITLHIQ MSLHPLSLAKYLRPESEGSEKSSPRHCYHLIPSLKIFLGILSGVEYLHTQGIIHRDLK PANVFLSLSAKRDEIACLRCGTDGKSSSHYTIPRIGDFGLVADTSPEDENKSSIPTKL GPVGTEFYRPPVCQCRHDARGRRGARLHANVGENNENPRCDCAGASPEEHYIHESLDV YALGVILFELLYKFDTRMERQMVLSDLTCSPNSFSWAKRASSSSVLLDEVKPEPILPA DFKTKVDLPGPAVDDEGTALSMSEKLERCIISMVEPGTRRRSTCKQVRESLEEILSLA DRRTQP UREG_04849 MEAIRVTEFVSSVSSLRPQKVPLPPSPGPGEILVAIHCTALNHV DLLYARGKHQNNTSLIRPPFTLGLEFAGTVLAVGYSLHNARFNPGDNVFGSGLGAYAE QILVPERALRHIPADWGFESAAGLAATANVAYGAVALRGGVKKGDWVMVHGAAGGIGV YACQIAKALGAQVIAGIRDTKDVEKTNALRSLGCVDSIVATGAGPDWENQVKSVTGGS GVDVVIDNVGLVKESIRCLRPAGGKIVLVGFAGRGGIMEQLTTNRILLKQAVIIGYRY GDTDRRDPKESEQVWAGLMEMIDSGAIKPVVYPRKYHGLDAVKVAMEDLQARRIYGKA IIYVKKVRNAL UREG_04850 MAIARRWILVLVVSFCFLFSLLHINSATNSRLSNLPSKERPPRH WWKHYVHEYPVTSLISLPTGTPKPIPPIQFQFGDEDPKSKALREQRRESVRAAFLHTW EGYKTRAWGHDEVGPVHGDARSTFGGWGATLVDSLDTLWIMGLKEEFEEAVRAVEHID FSYSEEAMLNVFETTIRYLGGFLAAHDLTEGVYPILLQKAVEVADLLYLAFDTPTRMP VLRWFWQGARDNLPQEASHANILAELGSLSVEFTRLSQLTDDSKYFDAIQRITDVLQE NQNGTKLPGMWPLGIDALAPSFTADRRFTLGAMSDSLYEYLPKEYLMLGGQSSQYREM YETAMEVARKHLLFRPRTSTGEDILISGTAHVASKSTTNLNPEGQHLTCFSGGMIALA AKIFDLPGDLEIGRKLTEGCIWAYRSMPSGIMPEIFTAVPCAEDEDSQCTWSPRFWFR TEDDEKMTTAELEKKAEDQGLIPGFLRVNNAQYHLRPEAIESVFILYRISGDQSLQDK GWDMFTAIEKHTRTNIAYGSLNDVTSPKPEILNEMESFWTGETLKYFYLLFSDPDLVS LDKYVFNTEAHPLKRPS UREG_04851 MESFDDVALSANASSETIALGEQSAGPPESLEIDSALLNGNDHA GANQDALQQGLPEQQRDANESDDNPPAFPQLLYQRRNSIDMPIFDGDGDGGDEEPSVA KSFERSSSPNGTTQRSREGGDERPGEDGISRMHKFSLYETSTRYYMVGMDLLGKRFRI LKIDRTSESDDLVISEDDMVYTKREMNELLDAVDDGNKSSGGLKLRCSAWGLLGFIKF TGTYYMLLVTKRSQVAMIGGHYVYQIDDTLLVPLSPTNSSKTKSEKHAEEARFINIMS NVDLTRSFYFSYSYNITQTLQQNIASEREALETGQPGVNGYNLNSMFVWNHYLLMPVV SSLKNAYDWCLPIIHGYVDQSSMSVYGRLVFITLIARRSRFFAGARFLKRGANDLGYV ANDVETEQIVSEMLTTSFHNPGPKLYCNPHYSSYVQHRGSIPLYWTQDSTGVSPKPDI ELNLVDPFYSAAALHFNNLFERYGAPIYVLNLIKSRERVPRESKLLVEYTNAINYLNQ FLPEDKKIIYKAWDMSRASKSRDQDVIGTLEDIAEDIIPKTGFFQNGESPETSLKMQN GVARTNCIDCLDRTNAAQFVIGKRALGHQLHALGVIDRTTVDYDTDAINMFTNMWHAH GDTIAVQYGGSHLVNTMATYRKLNQWAGHSRDMVESFKRFYNNSFLDAQRQEAYNLFL GNYVFSQDQPMLWDLATDYYLHHSDPRTWSEANRHNYINWYTPEFLIKRQMPLAVWPS DKPKTPIRFFDDYWLEYYRPLAVSSFSKVFAFRMKSTLHNLPFRTTQQGRYDLSPFVV RIDHETEPREKKTPRKEPLVIQQPLDGSTEDGRSTGSVNEPLPPSEPLRLWLQQASRS KPSSQGSVLNPELFPPPLVTTNSDFLSQKAQKNQYNVNQLVKESLNPTISASEAEEYE RYINHPLKVPLVVTSESPITAAAGHKDLDLVDYVNKVLLEDAALDALAEDNLADYIEF LNAREEGLTVIKEDYDKKRYKRYRQWLKGKSLFKQGIDL UREG_04852 MDSYRIAARNWLESADIDDTFHDDFEVIQGVSFRALLGDIEANE KPTTDLDICENILVVQMVVKSVEPEKALVEDERRAADGLISWVSSAILPLAEFEGIWE KVQLATGTSDFSRLISRRIYAEFPIDATREQLLGFKNARIKATLGLTLLKELYRFIPI DETTDPPHSVAVLAAFTDPSDPWTTPTAASAANFLLKPYGRLCQTKSTLFEDVLTRFV KSSFLKTKTPAITSAGRKDVHPVKQPKFDPGLFDKSSKPWKHKDVFVVTILSWTIGQY TPYQPRLCLRGGPHTMSLVPSYINPRGSVNSPSKVRIPSFILRHSNEISRFSSLKEIL QPSAIPAYKRRARVPIAKRHSDTSFAP UREG_04853 MAVETDVAAETAPAVELTRIEKTLRHLLLDVVEYIKQKGVRNGN ESAAGELVLRFTGGWVRDKLLGVESHDIDAAFTRLKQIPEKSKHLETVATKIFGLEVD LVNLRKETYSDHSRHPEMEFGTAEEDALRRDATLNALFYNLHTSSIEDFTGRGIADLN SQLIRTPLQPYQTFKDDPLRVLRLIRFSSRLGYRIHPLTEDAMQHDDIKSALKLKISQ ERIGAEVEKMLKGPDPLRALHIIDRLKLYDTIFANHQDDVVVDVSCWSRAYQPLMAIL DDNYDQEFATQQDRAFLRNVLLRDKDDVYHSWMLAALSPWAVVPQVAALPNRKELPPR AATVARDSLRADNKTFNILKSTVKYYRDVSDLKSAFLKRELGQTLPDIRWRLGHSIRL MGADWRLCVVQAIILETMHGEEPRTGKSF UREG_04854 MTSPEDVPPLKPPPSFSPATSILPSKSRYTESLQNSQPLISPLS ESSFDHRSFPDLQSVVASEVTSRSSSPDRRLSRSTLRLSRSSTATPLSTQIDGSDDIR SIIIRAFSPTIGVLSSPDTDALIQRKGFKGGFLELIRPFGERIAGKIVIRDGIGSSRS WDDFGVRFVELSGQDTTSRKRDDVPVGTRLEQVLEKHLESRDQPLSSWARGGDPSSKS SPSPSPLYKLFLRRLLSITSPTPHETFTHPVASVVAISSRTPAALESLRQLYSRTSHG DKKPPAWVHPEYLRYYVLVHDEDNDDIAHSTALFDQMKRHFGLHCHLLRLRSTQCVIT DDDSTPFPTPEWLSPSEDMASLGIQESLVDVETEPAYLFESDITAIKSFIRELVAQSV VPHMENRVALWNDQVASRRRGISGRFISLSKRWAGFGSGSRSSGSPFGAGPSSNYDSH QGFYQPETPEAILRKMADYSFMLRDWKLAASTYDLLRADFANDQAWKHHAGAHEMCAV ATLLNPLTSTSKSKLEPIEQLLETACYSYLTRCSDPHHTLRTVILGAELLKSRGGTAA ESAAGWSTRVLNMGLLGSIGGLLLHERVSACFASKTATNGTGWGTRRRKAGMWALLAA EGWLKQGLPHLASNSMDEADRQYLDTLESGTFPLPEMQQVVDDLRLAIKVGCLEFRGM NGENIDTPTEAGPEETPVKLDYRGHSHRKSLMGGGNPLEGIPPTTLRPIGLDEDAEPN DDFE UREG_04855 MAVNLPPAPAVHLLLGSLPLLILSETNSFHTGHALFKIFSSIAF VSDPLLRLSADPSPYHVLITSGLLFSVLGDILLIPSRKEYYGLGSESGKKDKPGNSAE TDEAVISVSFQLGIVAFAAAHIAYILAFLRDSPENLSWPSFATVFVATMAIARWLGVI YPTPRLRSEVSWTNSNVLNLSVPKDMRFLVLVYAVIISSMLAVTVSTTSSLQHQRVLG AVMFVISDIFVAKDAFGKKLQTTGGAEKKRNWWLQTATGWGLYFWGQMVLAGTV UREG_04856 MKSRKLGKLRHIFRSTKSVDGLYPQFPPPPPNVLLSNRQHFTDL IHHRKYLTPPGVQDNPLYSLYRLYEYVILDENTHLRNEIEYFWRNHSWAVCDIPDPED EDPERYAVLSCIPQLLVLAFNNNIELGLPRDAPAIMTHDQMDEYRRREKVWEKPPDWV AKVPPVKETLKIPYKKQVYVEHPEYVVLEHLDNLEASEVFREKNILTARPHIYFI UREG_04857 MGSLLSKPKQERKKERKHRKHHLLGRRKQKEEEHISEISGPQGC LQLVPEPSRDERGMPIRDKSYDLDRRNLNKALEYAADFLHRKRQNLTIVAVGGAVNTI LLKTREVTHDVDFFNGNLSYRVSQADLLRDAAAEAASRSSVPLGRNWLNNSTALYMPK ELQIEMTEAAMAQDKVIFQKPGLRVLAAPWDYAISTKLDRMGKAHRRDYDVNDAAIYL RQYIRNHGNKPVPVDVIRGWARHFKFGFSEQLAAELNEEYRRLYGEDGIIF UREG_04858 MAISSSVAGLRISAPIPAGTPLKRPISRWDQWITNQAARLYRWH TSGAVHYRSLASLRPIKVVCISDTHSAEPELPDGDILLHAGDLSERGTFDQIQAQLDW LNRQPHRYKVVIAGNHDILLDPAFVDRFPERIVEGPGSSREDLKWGDIIYLNDNSITL TFYKEADAFSSREVKIYGSPWTHQFGNWAFQVPPIRDIWTDSVPEDVDILLMHGPPKY HLDAHALGNIFLNRELCRVKPRLAVFGHIHAGYGEKTVVFDEVELLYAELRDGKWKGC VLVKMIWRVLAARLAMSELLNFILSNDEAFKRRLGSLYSDFPLQRDPDGYAINIAAWQ KAFANATLAGLIPTPARGAKHDGIHAAKSHHHNRLVLSTSNALSAALETREWGRPLAL QAVIDESLRTGNMIALPEFLNSPTSPFKKSWVRLPPAPSLSQILGWGMKQARGFIIGA DYDHPETSRSLQSQDLVLVDNLKEVEKRLMRETLAHHHSVVDRIYSKKLFRVQFGHVL GGNTELSPTDLDVLLTFLSRDQNSILYDGETIKFKDAGDSSVSITQEDKTIASLKTLI FDITAQVSSLDAKIRDLTLNAQNALGNKNRILALSALRSKKLAERNMKQRLDTLYQLE EVYAKIEQAVDQVDIIRIMGASTGVLRGLNEQVGDIETVEDVVEELRKEMANVDEVGN IISETAPSLNRRRGQRSRRCSPPQRYHLLLSRTPN UREG_04859 MDFAFDIPDSTDWLATPISGLSRVESALRCQVCKDFFNNPVITS CSHTFCSLCIRRCLSAEGKCPTCRSEDQVVKLRQNWAIDELVDSFKKARGDILDFVRN ASATTENGADEEHTSKRRKIEAQENDGQRRIAQRESGRSPRRTRSQGRQTYNEASSTP SAPMVIEDSEDDSYEPSTTFLLVDAIQSSQPSNNTSDDGLVACPICESRMKEGAVFSH LNKCPGPPAQQEFQSTYSLMTARPPERLPTINYPLMKENILRRKLKDLGIPDFGPKLL LQRRHTEWVNLWNANCDSRHPKSKRELLRELDIWERTQGGQAPSLSREQANTIMKKDF DGAAWSAAHGDDYKRLIESAREKRETGISPIPSDSRPTDKENSPTITRSCSELQPSPR HIENAESSSKIEEQSCMDSNTEAQPAFESSSQRIVVEDTAA UREG_04860 MLYDFHQHENSKKPNSVNATYLLTGVLEKQNSLKDQGQFKDGED EIMQSSPFMSSQAVPDDESSEEEIQETSVLLVREDELQDAKDKYRTIYSIFMYSVQPA SPPDLHAVADITALISTQDPLQYGLMYGMIQNKNVKRRTGPVPAPALVSAKPKVPKQA VVKQEEKSQEEPKAETKREIGSRPASRQSSGSQTTAKVSQRPAPSKNASSDLFKAFAK AKPKIKKAEPATAPGVESGEPSGVEDVVMDDDSEEEREDLFLDTGERTTNKTRESRKE REEKLRKMMDDDDDDEMPDVQEDVQEESPSASGETSQADKEKPEPAENSSESAPVRST GRRRGKRRVMKKKTFKDDKGYLVTKEEPVWESFSEDEPEPPKKRPFPAPSGGKAAKGG QKSSQGSIMSFFGRK UREG_04861 MKFSLQILVLLTAAIWSHGAAGEQEAWQDDQDDLAEACPDYTEY AARKHPPYSEGPLKLPFQRPASVCRTFTSPYVEKVIEDITTRMVDKDLARIFQNAFPN TLDTTVRWHVNGSEPTKKSKKKKSVAPAAWEGPQSFIVTGDINAEWLRDSTNQLAQYQ VLSKKDPNIKNLILGAINTQAEFVIQSPYCNAFQPPPPSKLPPTSNGQDDTVHPAYEP SVVFECKYELDSLANFLSLGNQFYENSGSDAFITQRWYQALDTVLKVVEAQSQSTFDE SGRYVGNEYTFQRTTNAGTETLNLRGIGNPLNSETGLVRSAFRPSDDASIMGFFIPAN AMMSVELKRTADTLQKAGGKTDLIDQLRRLSKRIEQGVWEHGVVKHKTYGDVFAFEVD GYGSHILMDDANLPSLLSLPLLGFLDQNDKIYQNTRKMILSKNGNPYYLTGSAFHGIG GPHIGVQNAWPMSVLVRARTADNDEEITESINMVRDSSLLGLIHESVNVNRISQYTRS WFAWANSVFAQTILDLAARKPHLIFGENANPYRVADIEL UREG_04862 MSMDLDTPVSFEPVSFQPQQQTATILCCNCGAPIDGTTAAGALC EDCIKLTIDISEGIQREATLHMCKDCERWLQPPSQWISASLESRELLALCLRKLRGLS KVRIIDASFLWTEPHSKRIKVKITIQQEALQGTILQQTFEVEYVVASQQCPECAKSYT ANTWRASVQVRQKVPHKRTFLHLEQLILKHGAHKDTINIKEVKDGLDFFFSQRNHAEK LVDFLSSVAPVRVKKSQQLISMDIHTSTKSYKISFSVELIPICKDDLVALPIKLARSL GNISPLTLCYRVGTSVNLLDPNTLQTADVPAPIYWRSPFKNIADVQELVEFIVMDIEP IGQSSGRFYLAEATVARASDLGSNDTTYFTRTHLGGVLHPGDSVMGYHITGTNFNDEN YEALEQNSTYSSMIPDVVLVKKHYPRKKKNKARNWRLKRLDRDEEEPSSSSNRQQNQN RLEEDFELFLRDIEEDTELRSTLALYKAKQTRKQNTHPDQMGDVEMNVDDEDNEDDDD DGVPKINMDELLDEFEELNMEDNQQ UREG_04863 MNRIMLRGIQCYGCRSHVIQSFLAIADITFPAPLYHKPYTRSHL QHQSSFSSLHGQSFRGQSVAHSTSSRDPLEDNGTEQHPDSASHQSNEHVPWYLREESS EVPPHPLGSRQSIPPLPDNPPPILEGLLQHISVDIGLDDLSLLDLRSRNPPPALGANL IMIIGTARSVKHLNVAADRLCRWLRSTHKLHPTADGLLGRNELKIKLRRKARRAKLSS NAGSAFDEKDDGIITGWICVNVGSVENGKAAATEQKQDFVGFGSVADGAQIVVQMLTE EKRAELDLEGLWSGNFPPRSASEIRMFEQHFEAFAEKAVAEAANGRAAHRDPRGVRGT GSGMDHGQRRGLHTIRRVPNISVEAAVLGPAFIPRQGATVQQEPRLGPAPSVPPKASK PLNLSPETASLLHHLTQVPKDDAIRELGSGPQDLTSTLFLRLFQEALSNAEQNPDAHI LAKLELIRVAVTLQHPHYSKTDLFDAFKEFAASGYDISESQALKTVKALLSFAENDPD GSHTAKRVSRSDIDLALKVLNHMSLRGMDILSREVFSMLYTASGFQVPVRPVNENPSP SSIETETSIPVSAEEFDEVRLVQDRLRKIMDAFDVKFGPDQFLTLLRFHFHHGNYDQF WAIWRKMSLLQLPRSKEFYVLLFRLHAERGNQKRAAECLSSWVPMMAREQPAVAIDAD VARVIMACLLVADPDVKQRADNGDPGEYPSLWDRCWNVLVASKATQG UREG_04864 MEYMSALQNDFDDFKPSLFELLSEQQLSALLPPSLRYLLAVATH RHPRYLLRILNSYDEVYALLSLLVERYYLRTFGGSFTEHFYSLKRERVLEIKNGEIPR AQLGAAGPVRDTLKLRNSDIWRNLAVMVGLPYLKRKLDEGYDIHAAPQAALAMGGGPR YNPADDLPHNPTVRQRLMFYYKWFLRNVYPSINAAYYFSILAFNLAYLFDNTKYSSPF LWLIRTRIRRLSSADHHAIALATQPPSPSTAHARPGASRLGMLSPQNLYPQVLGSLKY LLPVSIFALKFLEWWHASDFSRQLARKATEALDLPAPVVSGMPPPPSSKPTLPSALKG SGQNKLTTLPTKTPPSTSTTKRPQPPISSTSYLPIFTIPLPQPEPSSQDAQNTCPICL HPLVNPTACQTGYVFCYSCVFRWLNGEHDRQLDFMNGTGGEWDGDPDAEENGPEDSGK GQGGKE UREG_04865 MITSHSTGIQTPAASDADQRALVHAVERKMMQSGQRSHGSTAAK EVDKRSLDYLLRSGLAGGLAGCAAKTVVGPLDRVKILFQTSNPQFAKYSGSWFGVAAA MKSINQQEGVRGLFKGHSATLLRIFPYAAIKFLAYEQIRAIIIPTKKNETPFRRLISG SLAGVSSVFCTYPLELIRVRLAFETKHHSRTSLRRICSQIYNESSGRAAFTSSSVTAA VAEGSPSAVVSTVSSAVKQSTPVGGISNFYRGFGPTIVGMIPYAGVSFLTHDTIGDWL RSPSLAPYTLIPDSERPTRFGEEQPRSHRAQLKASAELLSGALSGLVSQTSSYPFEVI RRRMQVAGAVGDGHRMTILETAKVIWIERGFRGFWVGLTIGYMKVVPMVAMGFFVYER GKWYLGI UREG_04866 MLRSFIKNTVSRESWNGAPWLVKPHIAEFYNIVTDVPQHLQYGN KAGKKAKVSADKQEDEKLFGFFSSQRPQMKQSAKGQKAKTPQELAKAKEEQYEAYRRS LSGNPSFRLPKNDKPKVLPSSDVRMSFIPEISVVINKLPPSPPPPPPIKYPIEDLDIP PAGDGSKRPSLHFLTSDEASTKLGLGLKPESVGPLLETWNTLNVYCEVFQLDSFTFDD FIEAMRFSSDEINCELFVEIHCAVLKTLVNSEKDQEGAIQISLPAIPDEESEEEAEES EPEPEPEPEPEPTRRATRSTMARVEIVNTVTPPRSRSNSADVKIHRAAEMIAEYSWID RLRKRDFKNGGWEVVMVGLLHRLSARPRLQKVCDEILTHLAPLDAEPTAQTAHLQYST LDVNLRVQALQIICMLTVETKAMKNYLEECNNQMTEFRKEKIELQRARKATLEELRKL HEERKELEPDRPPSPAPDSEEMVETKALGTEDESEAAGDSDEEESHIGRSLRGGADRE LERKRRQEEERVRKEQAAKLPKGSKQYQRVLKKIDDLKAKVQSYETDIAVLDNDLREA DCPRTRVLGKDRFWNRYYWFERNGMPYEGLPNSSTASVGYANGRLWVQGPDKIEQEGF IDLPGELGKQYVKKFGMTVAERKRSEEGPAGVLNAEEWGYYDDPQDLDKLIDWLDSRG VREVKLRKELLLHRDKIAKYMKNRKEYLSGAASLAESEEESQAKMPTRTKKHADPGNL HCLKWKNLTALRDNGHLHVEAERPAKRAKRSMDHAKDIKVMNRQGKPLTRQGTRYNF UREG_04867 MEEMIVGTHGIYDAPRFDGLGITIDSSQGRGMVRGFLNDGTTDY KSHRNVDSLAFGHCEYNYRNLGRPSQITIKQTGFSFEVSVDGRSCFQTKKVFLPKGNV FGISASSTDNPDSFEVFKFHLSHPQSDSGNAAKNQRSHQAQKPPVQKSGNNQQASHDG VSGVQSEIKDIQDRLQRLSGATDRLLNELASLSKKFDDRHQEMSHSAANRDQVSSVDQ RIMRLERMVESVQKDLASKNYEVHFTKLEQALHHSHSGLLENLHDSSHRILSSAPRMG FFIFLIVGLQVSLAGAYIFYKRRRSHMPKKFL UREG_04868 MRPCGCGVQSIAFPSINTSEWTLDYPPFFAGLEWLLSKVASFVD PAMLKVENLNYDSWQVIYFQRTSVILLEFMLIYALKCYIKSVPDPKKGLAHAASLSIL LSPGLLIIDHIHFQYNGFLYGILILSIVLARKQSTLLYSGMMFAALLCLKHIYLYLSL AYFVYLLRTYCLDPKSVFRPQFGNIIKLGIGITIVFAAAFGPFAYWGQLNQLKERLFP FSRGLCHAYWAPNIWAMYSFVDRVLILVAPRLGLTVKQEALGSVTRGLVGDTSFAILP EVRKEHTFALTLIFQLLPLCKLWLQPTWDNFVGSITLCAYAAFLFGWHVHEKAILLII LPFSLLALKDLRYLGAFRPLAVAGHVSLFPLLFTAAEFPIKTVYTITWLVLFLFTFER LAPVPKRPRVFLLDRFSLLYDTVSIPLIVYCSLVHGLLFGSKMEFLPLMFTSSYSALG VVGSWVGFMVVYFTS UREG_04869 MDPSASIPDDSVQTDLQSQGPPPDMVEHMERDNAGDSKNAQEAT DPQSTHRSSTPGSFVEGKQKAEVTQPAAEPQNEASRLDDDHDDQDDCPGSPDLQDESA NAMVLPQKRSRSGSLKRSTSPPVELPAGPRDTPLDKIQLEQYLHREWLHSALAASRTP RHDILHEKRAERDFYLQLQHERQVNPAAIFGVGYEGFGNIRTDLKSQHPQLLYPSNRR KPGGRKSRDVRISREEMDKQADQPENLVPIRLDIDWDKVKLRDTFTWNLHDRVTPPDV FAEKLVEDFGLPLESCGPLVRQITQSIQDQLTDYHPHVFIEEEPLDPHLPYFAYKNDE MRILIKLDITIGQHTFVDQFEWDINDPQNSPEAFAIQTARDLALPGEFMTAIAHSIRE QTQLFTRSLYVVSHPFDGRPIEDPDLRAAFQPSPLTSTFHTFQQAKEYVPCLYELNEA GLERAEGAVSREQRRQKRSVNRRGGPALPDLKDRQRTIRTMIMSSVIPGAASSIEETR IFKRSGAGRSRRATAGQRDGLEDSDDSDSDESSGASPAMSHLTQGTARTRNMRTASAI AQSAMRSHLTRSATPEVSSILETRASARRRDYREESSEVPEKLIVKLRINPQRLRQFM RDLKARQKGFIGSPATPNAPTPVRPAFTPARGSMGPPASQPAATPKKPTPSQLNGVVD APHPPQPGVPGPPPPPWLNRGLNNLKKIYPRDSFEGTMRYTAVDPQTNLPIPNAAATH PGQKLVYKYFPRIRCHDCPGKLYTPGPALTVDNFEVHLKNRQHKERVEERHARIARET GVASVPTVPAGGSAS UREG_04870 MNQDPSLASWSDHVPEDSLISGSGEDFSNILDFEFDLADLESAV DQHGRAITTSASQTPASMVHDTQLTGMEGIETTQPHQYPTSFVEQMRSIDMQGVNCMQ SQVNQTSVYFQKQQQQPQQGIMPQSFGQPHQFVPPTPNSTELHGGVGRYPPQLDSATQ RHYEPYTRATDDPNKGTISELGSARLQDSRRIVLRFPIAPNVGNWRPGKTVSLSETPK VPSRGWDAASIRIVTIGVFTHRGSRKTVLGMSTHLDDQGSKSRHESAKLILKKVDEYL HGEYKDRISGLFLAGDFNSKVNQEAYQVFIDPTSPLLDARDQVKPGDRYGNEITYTGF GYEGEPATRIDYILVGPRSEAGFPWTVNGYAVLPNRFDDGVLNSDHRAVVADAVLD UREG_04871 MAESTEIDYTLNNPDTLTKYKTAAQISHKVLEAVTGWCVEGAKI LELCEKGDKLLDEEVGKVYKGKKVPKGPSFVTPYTPLVSDADEAATTLKAGEVVKIQL GAQIDGFGTIVCDTIIVPSAEGPTTKITGREADLLLATYYANELLLRLMVPPGLVAAG TEEEKKKAAAEKPPTQTKISALLEKVAKSYDCTVVENTTTWLFDHNEIEGKKKIIVAP GAGVKGEGTPEVMEVWGVEVGLSLGSGKVKTLENRATLHRRTATTYILKRPSSRQTLS EIVRKFGTFPFSLRQLDDEKAGKVGVVECVRGGVVRQYEPAGDADGAPVSRLLTTVAI LKNGLTRLAAPPPLDLSKVESDKKITDEEILQILEKPLAKSTGAKGKNKKKKKKPAKK AAEDAEESSSEEE UREG_04872 MAPQLRSRTRAAGDSSRPATPLDAPQSNTATSSETSRPKKQRKT GAKTSVPVGNTAEEPARKRKRKTKVGPAESIQESSDQQTTGAESQTDPSRPPSARNTR PLPPSTWKEPRSWKKKTKPSFEDLKVRRGGIFQHQFGLGSRPTLANLRTGKLVEDDEE TVAKKQAAKGKKRGRVAKSRRSRKSKKPAKATSQAKATQALETAINDSTAASTSQEEP VTPETVPAEEEQHIVTEENEPVVQHTHSDSGKDLEADIAQPVNTSTAPPVPMELKCSK ERFNEVFESTISRAQASNDIKVAEGLRWMLTAAETDPFLLKIMDDVVADPTQEHTAVF QAALRDVVKKLKAEEPVAAATVEGREESSSSLSTAKSLEADTTKSLEADTAAPIQPPK IWQPLWDEGLRPEEEDPNPYLPTDPVIPACPKKRVGKHPELVALAGKKRALSNAKEYP GYKVRASNLRTRIVVQPQPQPPRRLARSFDDDDAASVQSVENRKSKPADIDNNDFCRV CNGTGNLLCCDGCVDSFHFACLSPPLDANSPPAGQWFCPTCERKGPGAVFEAAMDTIP RARYEVPAEIRNEFAEVQTAEDGSYEHHRPEELPPTVKETKKLTWDQMADARVKVFHE RDDNGNLIICTRCHRANLEGERQVMKCDHCSSWWHLDCLDENYSHPPLQFTGSSNPRH YWKCPNHLDSMLKGLGDGVRFRRRRRHHELADVELLPSAYETDSFHQEDNYGKGFRVT ERGMIQNFIERARRDHAERRALNALKAVEDQARALLVPTGGTPGSSVGVANAAGALVD RCPEEREGALALLAMANPQPASSRVGQLVSQLVAESPETVRNATSEIELLQSLQGLIS QRLQGLTATSSTDVTN UREG_04873 MPIREKIKRVFSRTSAASSSSSPSSSITTPAPQRSKPKTTTVVL AGVKTVKVKPRLGKDGKPKIEIYKPHEVPRSKYRGPFDQDHLRSLAAYSIPTAMSDRP RSMVSELSPMGTWAPPTRRNSLVRHEAVAKKMIDGLTQALEEMERQVDSPSEEGEENM RFSCRDTRGLNTPADGTSPEVPYFANTKGNVSSSTLLSLRTLHIEDTQTLLSSCDERG MTILNDGRCKAGLIN UREG_04874 MSAKDTKTSSEENTTSRTSNSRSRTETGTNTNTGTMTGSGTTTT ILSCSGSSGCPTSIPTLLPVKERDMLYAERAIFSSPATTTSRSCSDSSGCPASVPTLP PVIEPDMLYAEPSMLSTSGRAKTTTTKDTPTSTKKTTTTTNTKSATTTTFKKQATTLS SQTKTAAGTHSPAAPAVLQKCHGLKTRKYVTRDTVKDLISSFCRAAVKQGKLDKNSGA ISRTYLKGTMEEVEIAIDWAPKLDFKPTLKDCERNLIDRALDGCDGNDPNNPMNWKGG GRVTVGPVTYRIDPRTKRHPAPKKVLGTAYPRWNEREFLFRVWGRGWLNSDFGVALQR EVKKKCGRTPSEFKFEYRLEDDGSEWSIDVNTGVRDAHCLLEAAKSAGGPKDFAFHRT LPEARS UREG_04875 MLLLGHRRKTEAATIQKQSPSHLFYYIDTRNGILSDGASSHWVV KSQRMRSGSKILYLFIYILAEILCVCEAASASINEPAEIMPRAGSWTRRRWRNGLMKY CFDVQNPAKRKELEEIFDDGWAVWAEHQGFPLRRQKLANCPDTVGERKDTLVVKLTDS SPTTTVGNNGEAEMKFNVDFKGADKNIVHTMVHELGHAFGLIHEHQKPGAEKHITFKC ENLADYDTIKKKYPGSINSLCRNLNGAVSAEFTASNFIPYNGDYRSGVVLEHGANIDA ADYIDWRSIMIYGSDFGAKRGLMGLKKKVITKTDGSEIKPNGDPSARDVAFLIWWYSQ PDEDDMDLPFLTGGG UREG_04876 MPSRNRRARSPTMEVEEEEQSGLYRLRFNEPISWRAGRPILVAD LLRRLESLASELRELDQEETDRDSLTKVSQELASGHLLGHRDKGVRAWTACCVVDILR LCAPDAPFTANQLKDIFTTIVTSIIPALANPSNAYNDQHVYVLSSLAEVKSIILLTDL DAPDTLILPLFSSCFDIVSGSAKASTGEPLAKNVEYDMTRLLVPIIDEASSLAPEVVD VIVAQFLRVDPRLLEGSGNAKNKKEAAVDSKQSTLWMKDYPPAYNMAKAICSACPDKM TSYVSQYFNNVIIDASDPSANGHSKRHRQVDFTDSDDEGENVKELDKAHRLIRELWRA CPDVLQNVIPQLEAELSAESMSLRLLATQTIGDIVSGIGVAGPPTPASMDPAAYPPIP FARDSESDTSTSNALLTPLSPKPFSQAHSAAYDSFLSRRQDKSASVRAAWATAIGRIL LTSAGGSGLSDNEEKSLLEGLKRMLIDADEKVRIAAVKVIGTFSFSDVIRKLGIDGGL SEPGSLLSTLAERVKDRKHAVRQQAMPILGTMWAVASGEIEANNELVVPILKDAPSRI LDAFYTNTDELHVLLDHVIFEILLPLSYPPIKVKRSKFESSQARKSKSSEEEQPDPDA VRLRRILTLAKNLDEKAKAVFFALQGRQLKMRAFVTFYLEACEEYNGGVMDSDEDVIK ARLTKVIDTLSKTFPDSSLVSADLWKFAKMHDRRSYQLVRFAMAAASDYRTVTKAIRE LTSRIQSNTSATSSMLGNLLPLVYRSSSLIFNRSHVPAIMKLSRNDELGLGNVAHEML REISSHNPEVLEAHVQEMCRDLEAQAPTSNCPDNPGVEEILKACAGFAKKLPNKLPKE RNFLVALSNYALYSSSPPAAKNAVTILLAASDRKQMYAKDLIKKSVKGCSYGSEHFLT KLATISQLNLLSPQEVDEEGDLILDIATKQVLLTNRNPEPDSDYSWSDTTDEETSAKE WALKILVNRVRSKEIPEDDDGEFQAYASPLYTILNALITNRGELSKAADTPAIQKTRL RLLAAKSVLKLCASRVLCDRMFTPAHFNSIALVAMDHVFEVRSGFISQLKKRLIQTPQ LPPRWYTITFLLAFEPVPNLKDSTLTWLRSRTAFHSRQSQGKSSEQQTLMESIFARLL SLLAHHPDFPPESSEESTKVDDLIEFTNYILFYLTAVASEKNLSLIFHIAQRVKQSRD AISTSDSDVFSTRLHTLSDLSQATIRCFAEIYSQQHKIGGSSGSGAANILQTYPGKMR LPSSLFATLSSHQEAKDIATKNFLPQEVEDRLEKVVRNYIKPKTNSAGRERKTESGHS DKGRDEGTGSAKKPRKDKNGRTIPIRRRSSAGTVVTKETKKGRKLRCRLLLGAGVVAG QRRRVSIMRKEDSDEADKEMEQWEGTGARTANKKHHESVDSEGEEVSELSSAPDSLHS DSADGGNNEDTEMSDVEKSSTPAQAKRGSKSKESMSPVAVSKTGRGRQPKSRPEEDDE KPTKAARRSTRRGR UREG_04877 MHVEKPPVVEPISGSGSTTPYRQISDRSLPSASSDDSVGSDATR FDPRIPLATPLKDPINRQYNRDIEKEPGVTQPDISPDAPHAGDPDLVGWDGPSDPENP KNWATGRRWYITMLASTMTFCITFSSSVFSQATAVTAVIFGVSTEVTTLATSLVVLGF ALGPLVFGPLSELYGRRNPLLVGFALSAVSQIPVAVSRNIQTLLICRFFVGVFGSAAM ATVGGILVDMWDPVNRGIAGATFASATFVGPIGGPIVGGFIVHSDLGWRWTAWITLIL EVVIGGVGILTLPETYSPVLLQRRAIRLRRETGNPALRAPLSDEKLTLGEVVSKYIYR PLKMLVLEPILLLITLYLAVAYGILYLCFFAYPVSFQEDRGWKHPGVASLPFLGILVG ILCGCAFIVYMTKTRFARKMQEAGEVIPEERLPPMIIAAFVLPAGLFWFSWTSFPTIS WVPQVMAGIPIGFGIIVIFLQGLSYLSDIYTVFTNSAYAGNTLVRSGFGAAFPLFAAR MFRTLGVQWAGSLLAFLTVAMIPVPMLFYVYGKRIRAMSRFTPQF UREG_04878 MSDTCIVCLGDLGEGASDPTLAVDSLPRPLSGDGVCEDVTAPQS LDEFSRYIAHLIPCGHNLHNECLKPWVERANSCPICRQKFNVVELAENLGGQIISSYA VEDRVQVAEIDPTLIIEDLIDDSDTQPCPICGDDDNEEWLLLCDGCDTASHTYCVGLD SVPSGPWFCCHCQAHRSLQPDRSRSQNRSSRRTRADVRRARTRNQIQALHWAQVWQSV WDHLNLDLDFPYDDEQAVDRIIQQRRREAANRREFRSWERRFRQTERNFGSARFRNNA SDLLEVGRGWPSRPRQRVETPEPESIDEIRAWNAFERAREIQEAPTTNRRKRKSPTAS PIEPEQSQPERRLKRPRTRRPEELADLLERGEPSRTGRPSAIAGSPLAESGPTFLQSL LKEVEDSSSSHPIHGAFPPSNLTSRAVDSISPGPSSPALSPISSNRSSPHPSSHTSPQ ATNGPATPMSSASDPGFSSPEFSPSCSPTRDTLLVDRTRFSRQLRRQLHSGDPHSDPS SPYRLRSQDSSPSRPELPLDVKSDLQKIVRAALKPHYRKHLVSKDEYTEINKRISRML YDLAVSKESGDPMDTESKARWAHIANNEVVKAVQAIQKTGPNEGSESSAASS UREG_04879 MTKRTKKVGVTGKYGTRYGASLRKQVKKMEISQHARYTCTFCGK PTVKRTAVGIWECRSCKKTVAGGAWTVATPAAVATRSTIRRLREIAEV UREG_04880 MIDQYDEAAKKSGAIMIPTNGFESAPSDLLTWSMATKMKREFGV KVKDVVLSIYDLKGAGISGGTAASILAAFSNLSFAELRSMSDPYRISVSRPSAIPSTP LYRKLVGVHNVRDIGTVTTAVPAACDTAIVHRSSSLMPNLYDRNFHFQEFAKVRNVFI GFAVHMGLGLIAISLMLPPIRWLARKLLPEPGQGPKKQDTLADHVEYRGIATADPAEP GKKPIRLSGKLSYQGPAYPMTGMLLAESAMVLITSKKVGKDIKGGYLTPATLGEEYIT RIERCGVEIEMHALED UREG_04881 MLLNKGLRVVAPDCLGYGRTLGTSKIILGGHDWGGFVVYRIALH CPDFVTHIFSVCTPYGPPHREFVPLDELVTTRLPFFGYQLQFVSGEVEKAIKTEKDIR QFLIALFGGRTPTGEFGFDVKKGVLLDKLADLQPSWLLSEKVIKAVSSIITLKINWYR IREQNYKDDLELIGRGLDIPVLFIRATNDDALRPELSKNMHKYLPNLIQAEIEGTHWV LWQKPERCNEVIAKWIDNVVFETQGKL UREG_04882 MAEGSIASAVALPDPPLASPGASFKRRQSPSEEDNDNKRRRVSS GNVQSTGTDASPISQADERVTADRRAEARGGTRRRSGQEEERKRGQRLFGALIGTLSQ SSFTATQKRRADIERKQHAKLKKEEEEYGEETRKRREELMARRKRSQKLYEKESLEVR HSNMRATAHSLKTKAHPVLYYKPWQLRPEDKDIINTQIEETEATIAREIEDFECRNQP TTEESLPEGQKSAKVPPAAESTTTGNTMESIANNDPKPDAVGCDTNHHEAPETHPQTV TNNVPISPDRDEENSHAKPTEDDSGEVILEDKEDTVIY UREG_04883 MSRHHPDLVMCRKQTGISIGRLCDKCDGKCPVCDSYVRPTTLVR VCDECSFGNYQNKCIVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFFQR KQHRTTAM UREG_04884 MLFASTRATVVRELGSEKFSGTVFATDEEEILSEEVWKEREADE NVARGGSGNTIAGGVNDAEQRRQDVMGEQEKALDAIRRAEDEARSMSIRRDIGIGGTV GADGTADIKGVPFPLGEGVQEALQRLENEEGMATLLGIDIPTETLTLRSIESNVAPAS LSGLMPNSTPQYTFYRYPKTSALVFVYTCPSSSAIKERMLYASWRRGTLSMAAGLGLT VSHKIEASSPEDITEKRLQEEIDPPRNEGPQRGFARPRRPGR UREG_04885 MASKDRNSKPASAAVNLIDTVKVRMQLSRKARAPGVKPRGFIAT GKEIVRRETVLGLYKGLGAVLSGIIPKMAIRFTSYGWYKQFLADKETGKLSSSRNMLA GLAAGVTEAVAVVTPMEVIKIRLQAQSHSLADPLDTPKYRSAPHALLVVLREEGFGAL YRGVSLTALRQGTNQAANFTAYTELKKLLQGWQPQYNELPSYQTMVIGLISGAMGPFS NAPIDTIKTRLQRTPSEPGQSAMSRIVSISRDMFKQEGARAFYKGITPRVMRVAPGQA VTFTVYEFLREKLEKSTLPIVGGKYEE UREG_04886 MTSIAGSFEQRCCFERIPSCINASKGCRGKGTNGIAFGERFDAE KQNEQES UREG_04887 MRIMGRQRIDSLKHTRFLSYLLMLMVALLAVIEPYFALSGFRHI SWRPRSAGASNNDEYLSGIGKIFIFPQYLLIGIGLSCLLAYLFPNVAKHGGIIRAEYL ILYAAVAIIFLISGLSIAKEKLLQQMLNYRVHVLVQGISFLVVPATTIAFVHLIYTTD QSHRIDEAVLAGYILVACLPTTISSNVVMTREAGGDDAVALVEVLVANILGPFITPGW TVTLLPKAAEFDPWRDADSDLGSMYRQVFQALGVSVLIPLILGQAARWTWPRQVEHIV QKFYLSKVSGCCLILLTWASFSTCFATQALESMSKETIVFAVFFNIGLYLFLTGICFF FCRPPKFLTSNRFGGRVFPRLAPAETIAVCFCGAAKTTGLGIPMLYAMYKSNDVSLNA RMSVPVILYTIEQIFCARFLIQPFRSWSRKSHGKGASGSESVELECDSVVTDPLNVGN GK UREG_04888 MSDDGISPAQSQTLSASQSRSSNKQLQQAYKQASQLFLTRRLQE ALSVVEPLVTPSTPEELQRDQAHSNGAGDAIAPIASASSNLRIKVWNLYITLLSSIVD LGPEEGKRQIGQKEWKTLASKVREGEIWNSVVQDGYRGWEGSVDADVVYNLSVSSVFP TTVSIMGVTGLLIRLNHRATLLLNHSPTQTLNQERLEHYLSSSGLPDFDIEAHIQKSS PTSRKQRIASSRGADTPKDLTIRVRLVELFTLHVLPRNGEWEYAREFIRLNPVLDEEK KETFLQTLDGLQEAKERDSERAAELQKEKEEELERQRKQQEEEEEARRKLEEQQQRAA EASAAAKSAAGNPSIGHRRISSEVDYGIEQSNPNGSLKTPGITKPAIKPTSRSESSKQ IKKSEKPKPGALRQVRHLGHLLVACLQRMAKSMSSSPMSFLRTILLMIGVIMALGRPD TRERLRRITGSGWEKIRATLGMGVKNHTWGIVAIDF UREG_04889 MDLSKQCSLSSKWSLRNIQAIDVLLKSGFCMEAPFKVGLRYISR EEEQAAKARVRDRKTRLAAMDDINHDNLDAESVAFLAETRRAIDDWVGIPNKKVNYVN IPGPEKRSASPSGINNYQKRLVHQLVRSEYPDLVSIGKSTFIQVIRYDQQRENSVQEA RMRRVREHIFHQTGFRWIVEAMVGGDLSRLPPQTFVDPSCGSPRREEKILENYDYMQA KLRSKHLILVGHNLFTDLVNFYKCFFGSLPDKVEEFLATIHEIFPTIVDTKYLATHDC GSDFPSSMLEELDDKLNAKERPTITVDPDHPNYNDQKPLHEAGYDSLMTARVFLRLAA QLSEEGEHIVATGNGSQHGTQDAGDKLESRTTGLSPNATDIPPSSSIKSTVVIRGLDN HGGPAGVPSHQIAIAHTTKFDILVDRMDDDEPDDLDSEGYVFEDDPFLTSGGNPAVES KVKNGQLIPRLDSEFWKVYGNKLRMFGTAQRFCELKD UREG_04890 MSAGTQGIRRVATLLTSRSTFDNAICRSCQETLNRRGYASAAAA PVSSSIDPSSNTPLPPSLTTSTPSISTSSASQPVYTIKAGLVLSRAPQITRDLTPFEK SFYFYQRRLNERLALPFTRYFYFKRGTPEDVEWKRRYKERQTPARDIGQYNAYSKDAW NDELLVGAIESEPEHQVEALVKDAEGYIVNESEDGDVKKETIPRPLLRVTEADQKNDQ TSLDRLLQRTIYLLVKSKDGYWSFPSTVVDQAAKESLRMAVERGAFQTAGPNMNMWMV GYHPAGHYVYNNRKPKQTPETGVQLLGEKTFFMKGRILAGQADLAANTQGITEFKWLA KEEIQQHVNAQYWSAVKNMLAER UREG_04891 MELFEAHSMVNQWKLVLHDAAAPAHGVRKPGESSIRFGLSLLQV DKYLSGISAIGVQVKQEIVNLPDIAVCLPSRLWTNLLQTSCTHPLCVQFTVCRLPPTA PQPRSLAAIFEYSCSPCRKLTWYLRVCMIEKLPSALFAAATYADAWSTSDPRKIFTST MILGHNPPRSSRLPSPARQKSSRPPELRPTVVAPESTQLDDGPCFVKEYEALPNWIIR GRDDCSWSSLLSSSMVATIQLWWRENADPANQQQHQRPPGHQSAKSNNSYSPTEKPTP AVKRKNDMFYGSTLSTLGGGGGGGDSKKPLGTSGYAGVSKNKLLSSKAKNPYAAYSSS CEACKTKVEAGRKYCHRCAHSKNVCAMCGKSPTKSTQKQPVIQGQKFTAK UREG_04892 MLCQSSLSWIARDDSPGGFLGSFSPLRLSCARHSRSRIVAASTR SRIDQVHSSSARQWLLPPGLWLLQDIVIDTPCQIDLPRASSPPCNLGQDPAAPDDACY RCRSRETGPPIQDQSIDRFKTSNCWVRIAPAGPRILPQSTHNQRRRRVFKLGETFRPL ALGPFGRSLAVLRARGLEGDPSLGVDAGDGPRAATTAKLLVGVARTLLERAESFGFTN KRNGLAKRVRCKLDMPPARSCFTALNLPPDFTLPECMDYFSLSAAPNTDIWRKPPDRE TTTAPILFTSLRQPFVIAEVTVTADWEMEWDQGGLVIFAGPVPRQQQQQQRPHQSTSS AQQPPQTQTQNPTQPPPPYPSLPTGRNKWVKAGLEFSAGTVNASSVSATADGADWCLS PLAPPNVPTSITSLRIKLERIGHSLWVWYQIPGLMTEHAARTPGAIGNSWRKLREVTW FFWGVEDKSVHVGVYASRPANLSMENTMWAARNGGRFTGRTDDGRGSGGAANGLVVEF EDLEIF UREG_04893 MTEASKQSVRVATQRPTSTPAIFLLASFTVPAWEPIELEAKPVP STEGAASAPSEAYEFSKTFELAEGKYEYRFRVGTDDISFCNTEMPTSTDKDGNVNNIL LVEKPSHAEKEAPEAEDSKPEDATGISHETTEETSDKGSGTNDEPVVQEEEPEPKADI ADATSQTAEGAPAKAEDADKDLKTSNEELEPAVEHPADPSVPAPKGKPCTINSSDLKL TNLAEAPQQTELDSPELTVEDDAAKPENAESNPPPEELTPAAPDVEPPELKPEPTPET PEAPVASEETEPTLPNAEVEAIPEQSRLDQTSTISEAVTDAPAEAPEQLEVPEKPVQE TESEASELSEPSEQTEKPAEPENIPEEVTVPVEPIPETPSEPAGLVGNTEAKSGTLSN GTSALNPAAAEFKPGMPIEVPTPKPAEGDEQPTALDDTVASDEATEPDPLDDGNGKKE ALGPSPESEDVNETTKDDITTTREAEQTPLDAAIVEQAEDPASEPTSDAPKASESNAD AEVTDQAMEHQDESVPEKQDLAQVVEDTQAPPTEQPAGVAEIEPANHQVTEMTGEDSS QSQKDDIPPKEPVCPDEEPSDDAIASTAGTTPLETGPEPVETDKTPMGVAATAEPLPE ESVVAEAMSKDVAVEEVGKEEAKQVEEQQQTDETNAKDDITTDLTAEVVSESAEQSPV TKLDQETMTSGEVDNADLPNEDDVTHANGSVETAEENLDILSSPPSQQPVEIPAEPAE PQKDVAEDAPASPLATCEEDLSQLPGIAQSSGPSDTTVVDSDKEAPSEVPAVAEPAAE GQTQEEPEANIIVNGENEAVEADQRPNSELDSQAVPEVPVVEVDPTENPETATPAINK EDLETGAKAEDATASVEDAAPIDAKTKGGAPVVDDNTTSETPIDVDTEPPSENSDGAN DVVTSVPKVDTSSLNGDISKDELPAAQLNTEPDATSKSAVIGHETTPQKGIEVIEATL LDSKPTETFVDNKSDPLPDHTHSSIQKLQTEVVEPSANKEPEVEPIAQLAKSQEDSKT IEDVEKANGNTLVASTESVAEVPELKPLPLTAEPALQATELNAANTETPVQNKVVSEA PLPTQQPLELTPETKSNSAIANTDPTLPVKENEIVKDKVVSSSVVKGPASDNSAQVPA VPVVLPNALDKPEDALKAGTDNSQQPAFVESASTPAASRSTVTNSTGEPSSPPAVVSK KTQNGPPSTSDLDVKQAQPTADGTISNAATEPVSAADGSKSVTKNANEPQRPPTANQS VTSLTTHKRDSFFRALWRAVFQNFLGGLFSAFRRRDRPSQ UREG_04894 MESQCSQTVSQPPEISCQGPVIVHLPVVQPKTQSLQPQGRENVP SASAENSPVIDKLPTSLPYADVARGAIAEPGPLLLSKKRVSQGYQFEDPIAYPTLSES RIRAASQSKRAFRRRSKHSQAPLPPGPEGQPARCSPKPPQLETEALTSPRTSLEPAAE SQLKEHIHDKTSTSQQASSAAQLTEYAVGKCNANKQGPSSQTPTGPPSEASVVQKSDN SFIAQLKATLNIASENQPGFTDVLKSADLKEEENPASTINSPPDAESARQPGCKVQLF FPKPKASASPNFTVPLSTNKLPLDVSRSSPSSPVSRRKVYKAFSVPPTPLQNMTSGNA GISNRPLGPNHYNSNQASNGIPGSGEEPKDEIQGIIMGGPALSPTRLGTYATQRSAEL VEHRGIPSMHGVMGIATPGGMSVTGNWEQDRLSGNWHRLSAPYSPSIYDPVSTPLHPD FGPMYHPSEVRTSRIAHMAVPIETLDRLFSQIGGLSNELGLVRTELQAQTFQLQQLTA HVNLLHSQPRANGPQASVPTPTTAFRTPPSVEGSRYNNAHNDSGSPNEGHIRQASEAT IRQNRQAHDARVAIPPALFSNASSVENTPVPAPISKSASGDIVNGTTTTRRNPSHPIV FGEAHGDGHTVPHSPVVTFRQIQRQQPVTQPHDFETGPVAANNGAQHRRSNSSRSQPT HYNPLVPQFRPGAGHDRVAYGNSRHDGLADNGSHQGPSGGTWGGTRNWYHHAYGNETN QD UREG_04895 MAPVHTAKRRRLSPTGEAAPSSAMKTFYASASQWDLEQDYERRP RKGSKKDRERTRLPIKTAEGNIEHIEEPQHSEPESLSPFDSDEQSDDDAPAPKPVQEP VSQVPPKLQIIQAKEELARIAMIINEDPEEHMESFKRLAEMVKSSSLPAVKKLALATQ AAVYRDVIPGYKIRPLGEAELTVKVSKEVRKVRDYEQALLSGYRNYIQELVRLARSKH DEGLKSVAINCACGLLTAVPHFNFRQELLKILVSLVTRRHLDADGIKARETIKEIFSN DEDGIISMETVSLLAKTMKSKNFNVHHSTLDTFLHLRLLSEFSLKGSHDRIDKEETEG NTYKGKKIKEKREFRTKKERKLLRERKAAAKDLKEADALVKNEQRDKMQAETLNQRDR UREG_04896 MRPLTESETQTLFSKLANYTGSSLNHLIAPPSSSEGNGSEDERH VFRLHGSRVYYVRLSIANLATSIARPNLLSVGTCIGKFTKTGKFRLHITALDVIAPHA RYQVWIKPNGEMPFLYGGNVVKAHIGRWSEDCPEHQGVVVMSMDDTPLGFGVTARSTA EARRLDPTGITTFRQGDIGEYLREEDTLFQTT UREG_04897 MKLPESANGSNPKLYPKSHYFHTIKADKFSFTPVLRTRYPAGSG EYVELHYADYAVPFPRSKLTVFESLQPRISKENTVKVPVASKRPFCTFYSFLFKQDYP PELVQTTTPYFTPSATQGPPSIRDFRADDPAYLVNDIQMFALGSQMSFAELRNKAFER LYSMGETHNDPMAVFEEIYGDNTIENQGDKDTLRKWARDFLSKQVDESGKTNICILQQ SEQWKNRLAEFRKVNELFDVDCSKVEEDLLLNKALKALEKEEKKEKNEDGKRNCEEIG GLSLDELEALHDAFPEIHSRLLDKHEADQKAKEQKEKEKEKEKGKEKDGGKSKENATA KDDKEPSTCNHNCTHCHNASLVSHHGKDVACIAHDPHFWTGCFDSPLFMHPAQSHTTY GHHGAPYYPIPPHC UREG_04898 MPGYTSNQKQLIAQFVSFASVKDSVAAKFLKGNGWDVARAVDDY FQHNPSPSSQAVSALNKIFDGYRGDIKVNLDEVVCLAISELLRSPSMGEFTRENFVDG WKESGSDTLAKQALHASNLRNRIPTEPALFRRIYRYAFVLCRLPGQRHLTLEIATEQW RLFFTPDNGGIPWNTNTVPWLDWWIEFIENTWKRPVNKDLWEQTEVLMRKTLEDPSLK WWSPDGAWPGAVDDFVVFAKAKLGTSGANGEMDVE UREG_04899 MAFPTSYHHGDSDADDEYERSVLVSPRLVDDSEASPTDSEPPSA QNTPTTFTNTADERSSPTSSIIEWSAEDCANFATNLGLQQYRDSFIENEIVGEALIAL RHEELKELGISSVGHRLTLLKSVYEIKVKQGVSFDPDHYVPLSAEQSNAKEIATQEDV ALLIRTIRKRDERLAAAESELRKLADDYRRLREELLPVFKMAKDRSQPLPYQPTSSYA GSTLVSDPYNHEPSATSPSIMTQPEKTGPSLSRTFSKKLFAGGTTPKTNSPTHIPQSI PEGRAYSDTSTLDPSAAAMAASSHLTASMNGGQPSPKGIPSPTSPASFYPQQTLASRS YSRETASINRSHDHPEDPQSQQRHDRSTPGHPSSNSNPPGSRAQNDPAGPSVEIFKSF RVSMEDPCYRVLPAALKKYNIHEDWRHYALYIVYGDQERCLGLDEKPLILFKQLAGDG QKPMFMLRRQTPISDGTATNIYPGGGIGIAPGSASIDGGGGGGRVQPGAIQLPGGVL UREG_04900 MTVILKTPAQASISQKNEEGRRDLTRFMNQLGSLLPSVYASDVA NGTESTLGTLEVFPLEVLHMILSGLDIQSLSSFRAANRSASTVVKTISKYTSLYTLAP SVFQAVVSFGAGSWITCEELRATLSSDSCATCGGFGSFIYLYTCKRVCPECITRDPEY PTALVGFVKYLCDLDEKTLGKLRTITIPEKRACPDELHQPLQLINRNDALSAGLSLHG ACSLLLKFGGEESDDDGDDLGYDEDACSTVVSCAFSDKADLISGITFYAGAVRAPQVD FTTGSVEWGTCCSACRDSGRHGRGKMEWDEEGAEKYANYIKECSGAMTILAAMDYCQH VARSAAVGYVPMVSEE UREG_04901 MPSTNSKPLTSAPAAPLDSLYCIKTLTDPRSDPAQPPPLEFTSQ TDIALAYLSTTTKLEDLHTSLLHSLSASGWTERVRNLAFELLRSGRCTRFEDVVDNVV RLATTAKPSDQTPPTVLGKRKREGKELNGTAATNGVREPAVKKEHTSDAEEQGEDRDK ESTHKETNGTAAAAAKPNGKHASTSGPTEGDNTDGDDSILLAEFDVRIPPHVVGKGVK ILNEALGDICIKPTEDEDQDGEAEPDDQDDDDASDLPLKVKSAKMR UREG_04902 MSGEPDHAHSKHKVNLNDPSGAEKKDKKKKPNSLIVTDAVNDDN SVIALSNNTMETLQLFRGDTVLVKGKMRRDTVLIVLADDELDDGSARINRVVRHNLRV KHGDVITVHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVFLAPYFREAYRPVRQGDLF TVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQREDEEGNLNDVGYDDIGGCR KQMAQIRELVELPLRHPQLFKSIGIKPPRGILMFGPPGTGKTLMARAVANETGAFFFL INGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRDKTNGEVERRVVS QLLTLMDGMKARSNVVVMAATNRPNSVDPALRRFGRFDREVDIGIPDPTGRLEILQIH TKNMKLGEDVDLEAIAAETHGYVGSDIASLCSEAAMQQIREKMDLIDLDEDTIDAEVL DSLGVTMENFRFALGVSNPSALREVAVVEVPNVRWEDIGGLETVKRELIESVQYPVDH PEKFLKFGLSPSKGVLFYGPPGTGKTLLAKAVANECAANFISVKGPELLSMWFGESES NIRDIFDKARAAAPCVVFLDELDSIAKSRGGSVGDAGGASDRVVNQLLTEMDGMTSKK NVFVIGATNRPEQLDAALCRPGRLDTLVYVPLPNESERVSILKAQLRKTPVAPDVNLE YIASKTHGFSGADLGFVTQRAAKLAIKQAISMEIDRTKEREAAGEDDVMDEDVEDPVP ELTRAHFEEAMQMARRSVNDTEIRRYEAFAQSMKNSSGSNFFRFPTEEETAQAGFGDA GNDDSLYD UREG_04903 MENKVGYPRDYQRALNNVLSERRLEELLKGSTPVFLLGPLMLPR MLKSVTDAEPDFDIAANMTQASLLDYKLWIFEGADLPIVMPSKELGQSVDGVLVFALT SDQRNWLYQFEAESYKELAHVQVEICLRDGNLRNIDAATFVWKGEMDGIVAASSKSWK VDAFLESEWYKNAGRRYHSH UREG_04904 MDDEFLNDYRKAAEVHRQVRQYVQTIIKPGVSMSRLADEIEDGV RALTGHQGLDTGDALQAGMGFPTGLCLNNVAAHWTPNPGASEVILHYDDVLKVDFGVH VSGRIVDSAFTVAFNPVFDSLLAAVKAATNTGLKEAGVDARISHISAEIQEVMESYEV ELNGKTIPVKAVRSITGHNILRYKIHGDKQVPFIKTHTNQLMEEGDVFAIETFGSTGK AYLRDDVGIYGYGRNEHANPTGLHHASAKSLLKKIDENFGTLVFSKRYLERIGVKNYH LGMKSLVSKGIVESYAPLVDVPGSYVAQFEHTVLLRPNCKEVISRGDDY UREG_04905 MGGKVFSEPKGNEPALQTPRMSEAVYTRTRDFCIRQLQDLFDVV VSPPEAPGKADYGDVDTLVQGPRPGFTWEEVGKRLNSRRAVHNGVTHSFAVPITVDDS DAHDEIYAQIDVHVCRPGFIEWECLLDSYGDMWQIVGKFLRPLGLTANDKGLHVRIPE IESSNRAHSMVYLTHDAMDVLEFLGLDVERYQDGFENLDELYRWCASGRFFHSTAFLA KFDTANDRQRMKKRPMFQNFIDEWVPANIHLWRDKEPLTREDIMQQALARFGKQEDYE QRISAWRLKVEEERLWREVASIVAAECSGDVNIVIRGLKRWVHFLSEDGDKVVKPALR TEVEMDAVRQPRWASQISHSSLSKKSLFDWVKEHWREVKVLEKRRVAAAQAERKMSS UREG_04906 MGNQLGPGCHPLTVFHSIIITGCYQINAPSNLQASRSRSVIFES PIFESPLFESPLFESPLFESPLFESPLFESPLFESPLFESPLFESPLFKWFELFQIFR AAKLLRVNSDAAIRRA UREG_04907 MAGMMSKRSVFTTVTPLPPYLTRETVIETLHQHSDMIQLNPLVI KHERCNPPPNAPADEFHCIWYELMDKIHYLPGGMLSGHVSYKACFYDLPRGLQTHVYA PTGLDIKDKWSICGNMPGEPREPVELGLTDAPREGLYLREDVDMRCNIFVTSFVKKTL RKAHAVLVERLVVKADILKQRSDYASSVRHSLLAYPPTETDSYRSSWQSPTSPGFRVA SPDVTSQISPSSISPTELHFQGRGSSLVLDPAQTGPFPPTQYSKPTKPNEHIYEIDGA PVQLPEITVEQVDDNAQNELPASSVKRKPTASQPGQAELA UREG_04908 MEDTVSTLDPSEGVAQPVAPKTNGPTKKPPSDPDVQSNAQGNPL MKGVLSKTDTTILRLSRQVQRFLLISTSYGEETVLASVNYSASLLHYILFSPPLRAIF ARLHSRLGLVARTRKGDSQSQTPPLLALAALISETRTALRLLGLIPLWEWGSATVKSP PADPVLRGVAFAQVFVNVVYQFMENIAFLASKGIVSQRLLRRWGGVGKWYIWSTRAWL SHVVLEFVRLWRERCLAAKQKQLAVEKATGAIAADEEERGEALRVRAWRKSLVSNLAW FPLCLHWSLENGAGISNSMVGLLGFIAGAWKLSDSWKATATG UREG_04909 MSESPKDKPASENMAAKVEASNPPGPPSDIERDGKPMATPAYTA FSPSRRTFILTVVTVAGFFGPLAGNIYLPALPVLTDEFNVSTTAMNSTVSVFMVVFAF GIVEPKKRARAMSYFLLGPQCGPILGPVLGGAFAGQASWRWIFGFLVVHRIDATLAIS AFVLWLVIIAALPETLRARVGNGKIFHERGWILWPPTPFSQLAPESERGPPPPKPTLK GYWQLFKYPPIGIVCFDTAILYSSYFCIAIQLPTALQGVYHWSTASVGAGYLVVGIAM VIGSVTGGHFSDWRRARLAKTLGEKNIPPETRLIDQIWGVLLLAAGLLMFGWFVDRSI HPAATLVSTFLTGFGMSWTFVASNAFLTECVAQQAAGAFALGNMLRSPGAAIAAAIIA PLVTRMGWGWCFTGLSILNLVVVGAAVIVLRVKSPYWRKKREAGMASKRTKA UREG_04910 MSSASNASDIHDSDLDNTKKGAGASAQEIPRDGGSEGETSAADS SAASLGSRKALWAFLILCYSTGPTASMVFNYVSAAIQSAANLVGHQPGSDKPCGRRGS NIKCVVKFGAGEIDYLSYLLYLRAIGRAMEGVITILVSGLADYSSYRKTMMMVSIILF GALALPFAGLTESNYSHLTALSVLYCTLTTIQGVYVVIEASYIPIFMRSVGWFRPRQP ALPADAAADSSGLEKRTWTKGFTVSVLALVAGNLGGLTALIIGVILVYSRGSYVKIGY HNYLLAITIGGCITILFATIGQFLLPAIEGKKRPKGADVFLLPARGWLLMVSSVRRYP EAFKLCIGWVLWHTSYSNYLGLIQSLFLQVTGISNGSGVYQVWSFTNVIFACMGSLGF LFIFPRIQAPIKSWAYVFLAVNFLCIFWGCIGISNNVPIGYKHTAEFWVEQVLFMSTS SALRSYNRAVYSSLIPKGSEAQFFGLEITLDLATGWINPLVQGVIQNRTNNLRFPMLA NVLLISVAILLYIWVDIPKGIEDAKVPLGETPTDTVPE UREG_04911 MFSGALIAMLSKVLLAGVVVLTLSLYWVLVPPRHPRNIPAVPFW VTLLPLFFDVDQENTYRRYIRKPLQQYGVIKIFFGGQWNLVIQRPTYVAEMFKHEEVY QKSGNQKKIPHSVLAEFLGDNIISSRGDDWKLYRNIIKPGLQKTFETQPIVANARKLC SLLKKSQQALGSQGILIQNHIQCYTTANISKCVLQAEIESLSTENDTLMKLQLAVKRE IFKPIFMNFPLLDRFGSFIPSRAHARELVRRFSSELESRLVRDHTEMPDSEASDNVGS RLIAARDRGELTQQQFRDNLNVTFVAGQENPQLLLISTMYLLGKYPEVQCRLRSEIEG CGADEPSHLILRDMPYLTSVTYESLRLFPPISQLINRRVAKPVVLGGEIYIPQNTYVG YNCYATNRDPTVWGKTADEFRPERWGSTTKEISMRYRVARARAEFTSFHGGSRACLGE TFALLEMKLSLFILLKNLSWRLDPKWEDRKTPVRNLPRPLF UREG_04912 MEFSNDGDSIYHRNIAIYVRRTNGELIRCLGKNHQWVNTSWPTI RSTVLMAKSNHVRYFEKHGLSRFRLSNTALETETIDIYETKSHKENEVTGLILRATEP ERMSASRFEEFFSMIILTQTQIDITMPIAELPSEGDSTVQSIVDLFDELLRYKVPNDL WNDQGREYFASKVRFFTSRNLRLEMCLPAFPCKSSNPEKVAGRLPDKGEEIALRRLHG FVRQIENIYTPGARVWIISDGHVFSDCSESISYFRAIESSAGEQKERVCFRSLIDIFN LKTTDEIEEYPDMPNLEHHIATELKNDAETCRRILMAGCEPSQTGLRARIESQESSIL ALYRGFSRFMLEDLDLHPLTQSLSRSQRKKLATKVSFEMILRNQAYSNLVELMFPDHI RLSIHAHNNSGPKFGIRLFDTATARATHSLSAADGELLSHDLLHIPTPWHNCVASCAG ESMIHIVKSGVIREALSTPDYTGSWVEGNLLEGQGGYFSLQKRAVSRGLTMKDGADHA AVSRDTIHAVHVKDKVESQTVLSVVSRIPWTLYDLSIGWLMSVVSVRWRAWMKRRRGA A UREG_04913 MSVKFEKETVKTATKVGGELAQKVGAKLTGGKTQNGYLAGATMV RMLTMLGLAKAYIKQLQSNPLRTKMLTSGSLFGLQELLASWIAHDRSKHGHYFNSRIP KMAVYGAFISAPLGHFLIGILQRVFAGRTSLKAKILQILASNLINRFKLLIAILDAAQ ISPIQNVIYLASMAIIAGARTFHQVRATVKAGFFRVMKVSWVVSPLSLAFAQKFLPEQ TWVPFFNIVGFIIGTYINAHTKKKRLEALRRKQYGSGKSTAGRSEDYPPRPNY UREG_04914 MAWDHLDIDKPHVAYMILGGFTSLFMLCSLFVKEKLYIGEATVA TLCGVIFGPHAANLLDPISWGNVDKITLEFSRVVLVVQCFAVGVELPKSYMERHWRSV ALLLVPVMTWGWLMTSLFIWWMVPPLTWLESLICSACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSLYILAYRPNTNEVALHWICVTLLYECILGAI LGFMIGYAGRHAIKFAERRSLIDRESFLVFYFVLALFCAGTGSLLGMDDLLMGFSAGV GFSNDGWFTEKTEESHVSNVIDLLLNLTYFVYFGAIIPWQEFNAPELGLPVWRLVILA IFVIFFRRIPVMLLLKPFIPDVKTWRESLFAGHFGPIGVGAIFACILTRAELETHTTQ PLDELPPKGSHNYTVIQLIWPITTFLVISSILVHGSSVAVFTLGKRINTLTITMSYTT ANEEGPSWMNRLPRIQSQSKASLSLRKDSLDSSSEGLAQVPPGTLGPIGIPGNFLRRQ KEGDQPSQPGSRASSRKPTRRKKVGAGGPISQSAIMPQRRGELTAADEGPDLEQGVKS PSAEEQPSREPELEIYQEGDHMIIEDEEGNVLETRDISHLSPDQREQEILAQHKRLQE DTTGVFAKGRDHPHERMEGEDIQKAAERNLARPLKKLKGRFPWKNGKQKDMGSPEVPP ERKPKKERARRSARAYQFANTIIVEDEDGEVIKKYTIPGPKAAKKEAPEGEPSSKAEA EPRPRPRRMTRMGTWIGRGDGKEGESKSKDGEEASSDEERIRFTVPEKKGHRHRLGGR RMTKEDFIKEIQQLDPKAQKEIFEEGIASQLKPVTGDEQLEQAQQARGRRPKAQKSPS SFGSPDYPSLCRAR UREG_04915 MPASKRISKQEVLKSLLPPTDVPESYVDAYDITLGSSDNRGNAG VGVGTARRMLTSTHLSSEQQSRIMNIVAPGGEVTAGSLGRSQFNVFLALVGLAQEGED LTLDTVDERRKRLPQPNIPYLEKLKAPNNTNGNSHQSETPRRMRHDSFEDPESDPWAT PVSHRGRHNSYENRHRANTNGSFATSPGPGINSINNNFPTADNRQTRSAADSNQPPDS GVPSSGGGAPSWGGEGFNNPSSGDFRNQGESGFGGGFGQSGDDQGNHGSNGISRSLGG RGMSNNGAEDVITIHMLPEKEGMFMFQHRNYEVKSARRGSSVIRRYSDFVWLVDCLQK RYPFRQIPLLPPKRLAADSNSFLDKRRKGLVRFANALARHPVLNQEQLVVMFLTVPTE LSIWRKQATISVQEEFTGKPLPPDLEDSLPPNLQELFDTVRSGVRRSSEIYINLCNLL DRLAKRNQGLAAEQFRFSRALQALTDATGDTYAVDRNDIPLLNDGICSTAKHLITSQG LLEDEARAWDEGVLEDFKRQRDCLVAMRDMFDRNERLSKDNIPQLEKRIESNERKLQD LRTRPEGQVKPGEIEKVEGAIFKECVRDELYIFQKSQYHISRLHQDWSQERVKYAELQ ADNWRALCDEVEAMPTSE UREG_04916 MAAIPPSMRAMVHRWPGSPSKVLSLEDASVPSLPSETSVLVRVS HVALHPGTVIMMHLVPSLFRRFPAIAETDFSGVVVKTGSQVLTGPEPCTRHFPVGTPV FGSFQVPVHLRSGQGALGEYVAVDSSCVARVPNGVSYAEASALSVSLYTAITLVDSVK LPPKSAMLINAPCGGVGSFATQLLRHRYPEGRIVGICSEAKQSLAKELGCDEVVDYKS FSNSEHWSLTQYLKSRYGNESKFDAIFDAYGSQELWEACSGYLKSGRDHVYATVGPKV GCAYSAIPGFFWKVMKNTLLPSWLGGVPRTYRQISAFLDADALEKCREVVLDGAVKAH VGGVWELEKATLAYDQFTGGHAGGKLVIKVWEPEPPASDS UREG_04917 MADQNVSSSQQSQDLMTINEVVNSGPVQQTGGTSTSTNNAHKAS NPNIPPPKTEKPRPHVCGICTRSFARLEHLKRHERSHTKEKPFECPDCTRCFARRDLL LRHQQKLHMTTTPSSRPRNVRRESTSSSTRVRKNSIANGGNSMRPRANTISHVDRPAL GVVNTSDSSRTPQAGHAHHPSLSSVPSMPGLDFRGMPSDNRHPTMNGLTKLDTAAIPL NLSDGLRTAPAFGSFGTDFGLGDMMMGHGSTINPAQLHFSGSPNGFLDTPTSPFTPAF SNMPAARNLYDDDIKYDWINGFDNTMKLSANESAIDNSSPSAMSTGSPGGISADILDT SNHVITSSSGPWSNTLLSHSQPSQFGLDFPQTTFQDLSGHTGTVSPKSLLNPVPDHSF STSPSLASMGGSTLSNIPHNMFHNAGTSNNPGYETNFMSPALPAYANTITDSTRQALM AALQRPSSFTSRRYSQPASNSAFLPGNIRLAMFESSSAVLPSTHDLQRYISAYITYFH PHMPFLHIPTLDFKAPEHANKFKTANGYGNANSSYPPGDSGCLLLSMAAIGALYEFET ATSKELFESAKKMIQLYLEERRKADLAVLNRSSSGRDNSVQNTPLWLVQAMLLNVIYG HNCDDKTAADIASTHCAALVSLARAAELTHYHPPESLLADQFGFSSTGIDGNGYGDCW NVQSPDISPERREWLKWKVVEERKRTLYSIFTLSSLLVSAYNHAPALTNSEIRLTLPC DEEIWAADSPEAWMSLGGEVRTEQTAIKFSDALKSLLVAGQHNPQAHNQFGAGKQMDG LSPSDLTPSTFGCLVLIHALHNYIWETRQQHMGKQWTSQETEALHVHIEPAFRAWQTA WGSNPSHSLERPNPYGAGPLSADSIPLLDLAYVRLYVNLGRSREAFWQRDWNGMVDEL SRAAEVLQPSDGSPNSEFGQELMSEFNNLDVGVGNDPNLEAAYHTASSRRAKSSKCER HLRKAAFYAADSISMADKFGNTFIEFTSRELPLQSAMCLFDCAQVLAEWISTVQERVG PYLGILGQVPMDLTQVPAIMLLEDEDYKLIRKIEDILHSVETKMKDCAPSPCSSSGEA WNCLPSLMEGGYASKISLSIAYLLDRAGVWPVIKLMVSALEAQAQRMRERAQNSLAGT IFGGASGV UREG_04918 MTSHRLVNSRAPLESSGGRSAALCSLAGTPALARNRASLARSSN NDNQPGRDAQRKAHGLLLPTTGDHAKLVISDWGLVMLRALHQEPDSRAEKPVDIKSCG GR UREG_04919 MALQAPSLLAQLPRPLGGSTGKCRFSEVYSLAGGKKRKRYEVAA AIDGESVNIYSVQFPKLISSYAIPPQSSLSCPPCSVREKSAETSIVKRYTYCAVDKPE RQIKCFAEEFGASDRSTAKFSTSVFALDDCESPAVFMTVIPTSQSPNKQDEAFDLIVV HENGQVRRLSSDMKTQRWNILRPANELLGDYHVHAGFVVSFEDAQKVLFKKRQDLVAS ILGAGHGIRSDSSTILMLVLHPRQSKTFLPSEVAVHLFSIPVHSPTNYFIVDENQRLR HLLKMKLADLPGQTPLVARNVNWSANLNQAELSLSFDQGYISYNLSHYTPEVDSHMIV ANASFSSVMRISPRSVMGANQSTVSLYDAKYRSLQADLPVTELPQINSNKQAGIVSSL EYITYFSKLSLVVAVCGSALLAFDLAIIHNWGDMSRKRQRTGFLIDSIGKGIGGPDSD AKRPALDSSSLQFVKPLGLTNNGIADDWAEVKSELDSASKANEPAKFDQIMKAKFWKS LNPSLENPKGFPSAKDYVDPERVSFLLSKIFSLVTEGDSDIPKLTIRFLPFETFQWLV GSRHLSMSNIQIALRSSNPDRILPAIPDGSLVQALAQSGRSVKVLLLVLRSSVHFETI ELGHALKLLLDVARSHSSNSAEPPKALTESPQKQNPGTAKEVTPVTSKQSPSAEAVLT DAVSGLNLTLLKLHSHPLDKVTRSIRSVLSNSDILSIIHHLRHSLATSGYTSRFTEDA PPSFASPKIPLLPLSAIVDLLNACIDAVGPSGWISAAGFAGAEGSEVSLVAEMKSEVS AALAGVEEATYLKGILREFIRCCETAKDISQRQPSKRARKQSRDVGLRIKRTEQVNGA KILVYDKTTDQSGLLNTDTKILPLSLKMTGQSDDAAGEEPSKTKVVKSTGEVKKRTFR DIGYLKAHFESCATGNKGSSWHGDLVGRPTSSWYSERFSSHMKPARSVLFGSSNQRSL SKRQSQFNIRLIKEVKTSLEEYPASADFLA UREG_04920 MATILKAMGQHDQRTLHPFFKKHGTNSIAEFPQLANPQLKQLNN QPPCETVDAGSDFAPGHYTNQDENEELSRRKRRKTTPPSREDGRHGPELLSPDTVEPE AVDLTISPPSNDVEERPATARYSLRKATASSTPVRYTFDDPLKDGLRGAKVLQLNPNG KLLNLPAQPSNQENKELKKRSRRRKNEPDVEPSKLAILKYGSGVLSRQYIGGAIHEIM GGNTTYALFKQRIGLPQRAPEPPKPTHSFFLNRQPPKKVDGEPPKTNTQDTIATRPEE QKQPIPKPTWPHVTIAIPRPSSIKTINPRKGNDPVEPLWPPLDMVRIDGDIPRGARKP SKHILFDQKKSKGPAANVPDPESILGMVSRSLKKDRLASLPTESILRHPKRTLQQGPI LTETIASNLHGPSKQGAANGDMKVLSNSSSQIDSSHPAVTALLSSIATTRSSFEKGGY DDSPWTQKYAPNTALRTLQAGSEAVVLRQWLQNLTVSSVNTGTSKADAKTSKSHKETL KKKKKRKRGSDIDDFIVSSGDEESRMDELTGDDDGELAGAVTVSRKTLVRAGGLLSTT DITDNKLPLSNTILLSGPPGCGKSAAVYAVANELDFEVFEINPGSRRSAKDVVERVGD MTQNHLVQTLKQMDSNAAVSSDIGSTSHGGDKQISMGRLFKQKPSSSNPSSTSKTVGA TNNGGQFKKLKTNQRQSLILLEEVDILFAEDKQFWNGVLALIAQSKRPIVMTCNDEGL LPMDNLSLHAILRFRPPPPDLVADYISTICANEGHIVDPKAIFDLYTVLGKDLRATIM QLNYWCQMAVGSQKSGLDWIVDRPLLSKFKLTPDLPRILSLDTYIRGIGWVPRDMVVG RGDTAEKRIQLVAELLEQWHISVMDWVELRLAASRHNERHNLKSLEQVSFLSDMESSL DLLCRGNDPSQAMLDYSLPPTPGEKQHLDYIEGHQFIQADSIPDYSGMSKKLCVTLSV LLENVLSDSSPDEYERDIIEHILQRAVSQTSVTTHQSMFERAFRPILDGSDYPTPASG LRPLSFEHGTSILSQDVAPYIRFIVACDSRIEQQRLEQSGILAQTGFGAKRPRTTRAS RAALEGGDKASARRGKWFSGRVVPEQIMLTGGPDWENLLLLHLQQLSEERLASGTGVS ETASSDIRDYV UREG_04921 MNPIHIPWGQDGGTGLSLCDANSSIGGMPFTTLYIIERQADLEV LHAIVDNPKLMAKEKICGFLFLNHNFSMRGCKRARILVDTYKFDIPIELSLAHKTKII PWARSRRRMKKARSLPKRKSIRAEKLQNWEHSNEHTARTPLPNVDNSNRLRIRGGCLG LFKKKKPLDDEEVVPALVWWFAGGRSANDGSRPTGQQLREWKRRSKGDWGEGHQRGFF KECVFVMSRGKLCRHQRRRKQPEQPPEPETRTGAGTGC UREG_04922 MAKRGAEEADALAGALKAGERPMPDAPPDEMGEFEDEFEDEFES EDEIFEAGVDGRPDAEREEDERDAMEVDKQTFIPGRTKLAPGETLSPDPSTYDMLHTL TTPWPCLSFDIVRDNLGDNRKSYPATVYAVAGTQADRPRSKDNQLMVLKLSGLSRMER EKGENSDDESDSDDDSPSEPILESKSIALSSTTNRIRAHQTPHSSNDPTKAPQTLTAS MLENSQVLIHDVSPYLASFDNPGLVIPPSALKPLSTLRMHKSEGYALDWSPLYPLGKL LTGDNDGAIYVTTRNEGGGWITDTRPFTGHTYSVEEIQWSPNERNVFASASSDGSVKV WDVRSKSRKPAVDVKISNTDVNVMSWSKQTFHLLATGADDGQWGVWDLRHWKPDSSKP SQLKPKPVASFSFHKEPITSIEWHPTDDSVVAVASADNTLTLWDLAVELDDEEGRDAA LADVPSQLLFVHYMEMVKELHWQGQMPGTLMATGSGGFGVFKTISV UREG_04923 MAELDRLPAQEDRAQTPQPAVDSLETPQASVQPEHDMPANTEET QANSPQPYNSGFSNGQPSHPTSVDYAQSAPALSSHEGDFPSRFSSPTMSYQQLQQAPQ SSSRPGSGLSSGGDRYGYAQSQPDQNSRPQAGPPSKNSVVIKVGMVGDAQIGKTSLMV KYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVCNDAVAI LFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPLLVGTKYDHFVNFPREDQEEISIQVSL EHQLPCLYFKSLTNAGQALCQGDEGEFDI UREG_04924 MIRGKEVLPPPTIARTGQQKPALPQRPKPPGPPVPSSAAPPPLP VRKNTDQSKSRPPLPPRKSSDVRRSSDSCFSTASVSTNSTGITDHSSNSSPKYALRAP AFREAELPPLPPKKNKGIKVGGQGTGCQPSGHAITSGRPFASGATSPGARYDTGLGCK RRNLAVFNWKLVTSIPRSRTNARENSLIMGFPVSTPKLPSRFKGRLLQSPNPPVTAVE HPSKRELSSISQRKDTTTATCTCGSQKDQGILIRNIEQL UREG_04925 MSNDDFGMRHYPSDNTQFYRDDGRPSISWEEYILTNGPKGVGEA VTVFSNSVEEHGVGETTFQPLSKYIPVSTPGPLHFEFALICEHWTLQKHSRKSAPYVF MIGVHGIDGRKDDYVPFEYIRGSGPGGGGDRWTLDIPDPRTLGAPGQTLTLYALTSYG NNQDGRGLTVRQYLEMKGRTAMGWAGVAQWQLVA UREG_04926 MGSHDSALEARQESAVCNRGAKFYAGSRKRQGQRSVSGSDQRQV ESTHRSASPGHAGLIVARFIPASGSRHHHSCHLKVNRKYAPRPSSLESGYWYLLRVMA AKPPPTGFQPASYQRNGGPLSGYRNLTVEHRSIPLQSHRDKLPLGCTSSYLCYFDQYP KVPGKKCGGPKPDVQGGITASMAGGSWLGAVVSGFISDLVGRKMSIMVGACIWVIGSI VICASQNITMLIVGRVINGFSVGICSAQVPVYISEIAPPSKRGRLVGSQQWAITWGIM IMFYFIKGPAAFRVPWGLQMLPAFLLIILLFPLPESPRWLARKERWDACRAVLVRVHG KGDPNSPFVAREYQEIREMCEFERQNADVTYLELLKPNMINRTHVGVFTQIWSQMTGM NVMMYYITYVFAMAGLKGNTLLVSSSIQYVINVVMTVPALLFVDRWGRRIPLLVGAAC MATFLFLNAGMLASYGAPAPPGGVDGVEAVSWQIFGPPSKVIIAGSYLFVASFAPTWG PVSWIYPPELFPLRVRGKAVALCTSANWAFNFALGYFVPPAFVNIQWRTYILFGVFCV AMFIHVFFMFPETAGKTLEEVEDIFTKGKWKYLGTPAWQTKVSYGKAALLEQTGVKED EKLQRNPLDAAETAQAFPKEE UREG_04927 MDQATHPPRWKYLVVFLLLAIAWFRFTGVTVQFRTSLLGNDGYA SQKPFDEQPIMAEHDGGQKPIAPPKGLIPLKFEPLPLGSIKPRGWLLAEVQSMASGLA GHESDFYQVVRDSRWLGGSHDYSDLNEALPYWFNGVVPLAWRRRRPGAGRPGRLWMRC TGLWV UREG_04928 MFVRLGADGIAHALLGPGSVQTTTKSGSRVKITCDTGYPFGKVF WYSIEAEGDFVFHVRVPKWANTDRSWISVDGGPRHPLSPNTNDGMQGLRLSTGKHVVM YSLDTEIRVVPRANNTVSIYHGALLYAVPLNPEVTYTQSTYPNAPPLAREYTMTPRKK WNLAIDPSSLRFKGLSDPHAPLPKPVWGEGNSVTTIAARVCQIKWELTEPRGHAPNPP RGSDRECVGEPFTVELVPYGTAKLHMAELPVMQ UREG_04929 MATATSESPKSHKSRSLRSPSEKTVHTHSKRRRARSVFRQLKNT CLRHTWLLPLILLTIILSAYLVNPNESNPIHKLLFLSYALPPETPGGPVMYGKGPADL AFFGFYIIVLSFTREFLMQCMIKPLARRWGIKGKAKTARFLEQFYTAIYFSVFGPYGL YVMSRTKIWYFNTTPMFEGFPHKTHTADFKAYYLIQASYWAQQAIVLLLLLEKPRKDF KELVGHHIVTLALIGLSYRFHFTYIGLAVYITHDISDFFLATSKTLNYLDSSFMGPYF ALFVFVWIYMRHYLNLRIIWAVLTEFRTVGPFELNWDTQQYKCWISQYITFSLLSALQ AINLIWLFFILRIAKNYVFNNIKQDERSDNEEDDEVDDIPVLNESQNSTSVPTIVLNG NNPATARRRNPDKENEVPNNSITSRANGAMMKSHVETTTAAMNGNKVK UREG_04930 MVLPRSTAAFRTFFSAQSTRAASRTARSSRAWQQASWRNYATEG AAAHKSSSDMPWLIGSVVVTVPCAYFLYKSGPSNEGHGHGPSGHAEHVSGQPHVESHA AKPEEEEKLGKAELLEEQAQEQETPHVPVSEEEKAAPVAADEARNIKEPGSYASMSGK QAGLTGGDTHHPILKEEELAEGNVESARAKGTVSSDSAQHTKSESEAKAD UREG_04931 MSIPAKFGPYMKTLAPFIFSAVSERELNEMEDDQSDTEEHDPKE DELRETALVALETLVSYCTNDMQPYLMDSIDAALRCLKYDPNVAEFEDEEMGGTQDEG SDDGATEEPDEDNEAYEDFEEEEGYSDIDDQSWKVRRCSAKLLLAIISTQGRSSTRPV DEDTIYQKIAPALLARFTKEREESVKLEVVSTMTGLVKKATEISASIGGAPLIPESHG RNSRKRRRQDSDVGLLGYECEAQAFAALDSPAITPPTPQTGPIGEIVRLTPGIVQGLV KLWKHASIPLKQAAINLMRSLALVRYGGLVDFLQRIEDPVADALKSSTMSGGVSVSAG TTSVTAGNLQIDTLGLVAAIAQTHTSNALLPFLIALIPGVLAAVQERNYKVASEALGT IEEIVKAMTPPRVSPEGQDFKLQLGKLYDVVIGKIMDNSADLEVRQRALHVLGVLLAR TSGPKGAKFVPPAERAKGMSTLVDRLKNETTRVAAARAVHDVAVLACSDSDVTPAWLA DVTLELAAQLRKADRALRDASIGALKGLAINRYCRQHYDQNTVQILTSSFLPLLTASD LHLLTPALVILSHIIPGHGAQLVDANMIQALCSVIQASPSGVALKVYLHLIRVIGEQG AGALLMKALLQNVGVNGDPSIVGRSIGTLVVYGGPQIGVKTQDFLNELQSQEDAQRKC LALAVLGEIGLCLGSKSSLTPDLFMSHFDCKSDKVRFSAAVALGSVGASNIEAYLPVI LAELEKDHSSKYLLLHSLREILQHPENVRTDVAPFATRLWEILLNASDDEDNRVVGAE CIGRLALIEPSSYIPLLQEYLDRDTAATRGTIISAFRYTLADSGSVYNDVLRPLIIPI LAKMLSDTDLGNHRLALTTVNSAIHNKPDLVLPHLNQLLPVVMKDTYIKPELVREVQM GPFKHKVDDGLELRKSAYETLYTCVDMACSILDIAEIYDRILAGIRDEQDIRTLCNLM ISKLITLAPKQTESRLDSLVDPFRAILSTKLKESAVKQELEKAQEASLGVLRISRELQ KAFPAAETSSEHHAWKQYLDWMSREYTQLLRILGNNS UREG_04932 MSNPQLHLQPVNSNAARHKRYSYLPTPSEYQAPTFPPRNNEKPE EATKASALDDSVSSPGPRSPPPFSYNVNNAPTQTPSPYRQPHIASPPPPLSEHPAQYA PYADRPAFPQQQTQPIRYQSPPPAPPGSLPPKQFPDVRSHRPKEYTVAPDSNPLQPPP SLSAPKPSSLSGGQSQLGTRITSHELNHAPGQVVHPRQEIKGGTWSYGLCDCRDPGVC CTGLLCPCILYGRTQYRLSRKSEQKDPTNLLGYETCNAPCTAMALLCGCQWLLATIQH IRVRRAYGISSDVATDCVRASCCTCCTLIQDEREIKYRAEAARIAGGVPGYTPPAYAT PGQMTFSPPPR UREG_04933 MPGLFMDYGHHLHKNRTRGCLPIALVKGGKITFNDTYSLTLPQN AIFEPLCRDYPNARPVDPSTVINMHEPFYASTFPQMYAVAAATVISYLLLIMLFITPR TFFIGGRGGGFLSRHGMISGSYGSSSVIGVGGRPWLQKVAALTVVISLTIATADSFAV AKRQYDMGFMDSMALTDEVVSGVEIRTIRVISSTFLWLAQVQTLIRLFPRHKEKVIIK WVGFAMIMLDTIFSILNNTIRQATTMLVQPRQIVDAIPALNYLFELALSLLYAAWVIF YSLSKHRFAFFHVNMRNICLVALLSLVAVLIPVVFFILDVSKPDVAGWGEYIRWVGAA AASVVVWEWVERIEALEREERKDGILGREIFDGDEMLETTPSEEVDSPHDRHGGGTGG KKGVGGSIWTKMMGLTPRPLRSRVRYQHRSHPRRKRQQRAKTEDTPESVESEHPTPPP QAITPVSRADTASTVYRVRHHSIGSLSPSMPDMMANEEAEKGFELVRTRDSDPRSGHA ISSPIPSIRHGIDWLHTLNPFRRRRGSPPQEVASAQAEEGNIRHPTPAGSKDEAQAGS TLKGHIGSSWRSFLHRDWGARDEDVQRRESVLPVTVIPARSRRERTASHGAVHRSGSQ QSRDGSNDRGATGTPLPVVVIPARPRIAKAWDSSAFEEYVRNQPNNLSPALQNDHNST SLGVQQPQTTPSEQQDVNPLNQLSSDYEADTGGAQRSIESTTRFPSSRSSSGRTLTGS APLTLDDTGQRISVPERRSPSTQHRRVWDDSGGTDGVT UREG_04934 MAVLIPFLKKLVAIRLLIPTGPAAQTSAPPKPQGRDSGTNGASR TTAGLAPGILDTQSLSEIVELDDRIFNEAMGARLADKELYSKLKVLGLGWKAWELGEF YTIPVTEGTKVFDLGLSALNGTDAGNAILPSLIATPGAAPIEAESTSSQVQDPQRPNA QMRRTWNAQQSVGTPSAISPSSTSTVLGKRKQPPCSRPESSRGPAEFSTYATPDCSQT RNSTSHIQSQQTDAAGLLEELGSKLSEDTRAKIVQCIHEPAVYSIGGEKVLWRRKVRR VGWEVLKHWEIWGLDVQEI UREG_04935 MHHVIKQNQLKIPDRPTRDSVKQAHPQRPRTCSTTSHPFQDQVA FTNRAARNSFPLADGIVANCILRGMLEFCRWHASHPSQRSSHHTRTRARWLAGVFWFA PRDGEDRHEQFCQEIMPDAIGADCASPGLATWFPKTPVALLNSTSKRVSASRKPSMKD GIVLGSARSVGKKMMPPASISIRVVRCRDVLNGCSFRLGSGTSRQVDGGAGLIEHFGK VGSKGRRCSLWQ UREG_04936 MAARPAPTPAPDLTANPSFSPHRSPSPPPQHRRGYQACDPCRKR KVKCDLGSVDNPRPPPCVRCRRESKRCEFSATRRKRKVSDEDDKSNVLRRDKRMMSAD GSMPPEDATNSNKVNGVTSHPRAAVQPYEPDVRPSSQRQWDDSPPGPPHRISVPSTQP YPAPTSVPSDQYHPPLPPSRSTSFAGNVRPILTGVLESGQHMMNKTAAELLSPAISNT HDALHLLSEAAGRTEDLNRQMDYYGARHSSTSTFNSSVSPMNQVGTPGGGKASRSNSN TQPGAPRGWYQQNKDANPPDPLAEGQEAVLSPPKLLEDIEYANARRAWSRLRFVRAGW FSVDEAMAYIAYYYEHLAPLSPIVIQNFSSVATHVTLLTEEPVLTVTMLTIASRHMKL SGNGAISRAYSIHEKLWSYLRGMIERLIWGQEKFGSSGLPSAKPRQFNLSTSFFGQQS KLNGNLRSLGTVEALLLLTDWHPRALHFPPGDDENTLLDTDPQMFNQNGNNDEDHDSE SKDHHGGTEGRLAFYKWLEPVWRSDRMSWMLLSTAQALAFELGVFDQKNELKGPSDSS FEHVRKRRVRRLILVYVSQSSGRLGIPSMLPLPQWSQNIEPLGADSKSREIKEDLAVD MMQDCWLDISKIMYRSNQVLFPSKEYTTNLIKTGQYRDRIAEFIPSLREFKQKLDRLP RVYVNCLALQAVVDRWTTMSHESSSNLAQNPSNGPNSGQNSSTGTVPLRVLMDQYEVN EPYIQEVVDASRKILQTVLDGMIPGDHLKHAPVRTFFRILSGMIFILKTFTLGAKEDD VRISLELQDRTIESLRTCIVDDVHLSVTIADLLQLLTSNIRTRFLRFAPFDRVDCSSR ERTPLPPSSRQQSPQAREQEFRWHSNPIGQGTGNFQFDTSSAPSTTNDPLASIPAQPI NSSNLNVSFMPPPPSVYYNFYDHGTSPKMEVDDSTIAPHTINTSGAGSNSNTMSDWFA LPLDQFFNSSTAGVDQGLGGTGPMVGEFDMLEVLLKDQYTEGGSGGNGGGGGSGGGAN GSGGGSGSNGTGLPSQFL UREG_04937 MSTRTGFSVLVLLLLLGPSQANHLCTSQRGAKDDDFGPCNLAAI LSRNYSLQGGIAGPECFNREPEPELGGNHPKSWSSWLDFLNRAMISDIDRHLIISVSY CHRAVQPHDTVLEAGWKNVDRMIDATKHSQLWG UREG_04938 MSVAEDELPSQTEGLGKKERPWLLSSNRRLRNLQGISVRNLLVT PPPANRPRGKTIDDESIPNTLQTPAKMIANKEARTLHHSRSATELNHVASSTDVGMAG VQDGKHHARKMPTNRRRSTLPWSGANPGVRQRRLEDIAASRMADTWFSLHCAGIEEPV YVSEVIERAMNPSFKNFDLNPCGSLVIFKLWARPATMEEYFLLLEAKVQFKALQYIGK TPQSFRHPLPMNCVVFHFVDGVYTSLTDVVVSEPSPLSQTRGKTPLVGEMTPTASYDT LMRLANLDGCIQDALATRDKLEAHINAVLEEHKAYFDILNRKAEVEERLVSVTKAIAA EKKRVQQSLRQKESLIASIEARKAAMQQGHESQEKTSSYLQEAQATKISSEKLLQQAR EDSGGQIRRICEDLSFIYPIEPVPGKALAFTILGIPLPNSNFENIDKESVAAALGYTA HLVYLLSFYLSVPLPYPIQPYSSHSFIKDPISVGLTQRTFPLYPVNAHYRFEYGVFLL NKDIEYLLNRQGFRVIDIRHTLPNVKYLLYLLMSSTGELPARKAGGVRGLFAGKALTP SLSRRESVDSVASGNSSVNQKRVSQGRPAILINGGGMPKPQQHAGDDEDQRSVTTVTN PTGGQIGNRQRHENLAFGPGGVQRSALTG UREG_04939 MATTAANAATKAPRTLQLLDPTTLATSRAVRFPSTDGIPIQGQV RFPNSRAAKNFRERESAKLKRALQSLTHGKNIFAYNNLRTNQVVYSLSRTLERENVLS QLIYHGKKTVPATVRKDMWIPYFSIHFPAPSLGLQAYKLLREFSVQRQLSPPQESIIN SEETLARKRPRDPLEAKKWDEIWKPRIGQFMMKKDRARVLMDQKATSVADVAAVLAIQ QKAEEKAEEEVEEEGKEEEKKTGDEKTKISHRARKRLVRARRKEKALEESVRQRIAKL ERALSRKGIQAKIDENGELEDHKVAEGEVKILWTDLQDAQNAEEWPESVRHGELEPTR EHIIGSKLKAQELQPAAQSQPVSSEAGSTTEGHPGAQEQTEEPAKKGLNRLKFWKN UREG_04940 MFGGSSNAHKDKTSSIAPSTTTPANRTNNTETSASSREGKGSSS DLFKRSNDKHQNGDKKRRGSSVSRAAAFLVSAKNSLHIPGVRETQKAPQVAQTPLQIL GKKDPALIVPQGSLNNSAGESLPTPRSSFRVGVTEDKNKKCRRTMEDTHAYLYNFLGT PISIPQFESTQTSQSKEPGGLESGNSTPVIETDNGYFAIFDGHAGTFAAEWCGKKLHL ILEETIRKNPNTPVPILLDQTFTLVDQQLEQLPLKNSGCTAVIAVLRWEDRPSNSPVS TSDNTNNKALSPELKGEKETLRIPNNVTTATPLGRDSKVSLNAETAFARQRVLYTANV GDARIILCRNGKALRLSYDHTAVMRMMGCGSSMLLADIEQPCQRRSCCYSSVGGHLYE RSRHRPSIHNGDDHPTRG UREG_04941 MNIVEWAFGKRLTPAERLRKHQRALEKTQRELDRERVKLENQEK KLVQDIKKNAKNGQIGACKIQAKDLVRTRRYIQKFYSMRTQLQAISLRIQTVRSNEQM MQSMRGATILLGSMNRQMNLPALQRIVMEFERENEIMDQRQEMMDDAIDEATGLEDEE EGEEIVKEVLDGIGVDLSQALGETPTDLQTTGVREGRVAQAVGGGGSAEDEDLQARLD SLRR UREG_04942 MSQQYFPSDSSAGEGSSSQNGRRSPPILPHHAPDYMVVGSGTTS ENATSLIASLNQDSGYGGSVDGDTFQDSSKAWHAGLLEDRPTPAHTPILPGPSNFAGY LLNILSNANRTQLGRYITRAMELLKELREMNRQWPAHYPSVVPSEIPPNRPNFTPVKS YIESNDHLPEPSSSRPDPLRRAATSIANAPDAESSQSAERRPPPEPRLITPQIAREFS ILKLDLKLGTLSQAELVHSLEKASIASLLDGKVSQSLKHLLSLRDRIDDTSSKVLITG DLNAGKSTFCNALLRRKILPEDQQPCTSIFCEVVDARENGGVEEVHAVHKDMQYNRND ESTYDVYSLADLEELVVDNTKYMQCKVYVKDIRSIDESLLNNGVVDIALIDAPGLNSD SVKTTAVFARQEEIDVVVFVVSAANHFTLSARDFIANAAQEKAYIFIVVNGFDNIRDR NRCQRMILSQVQKLSPRTFKESAELVHFVSSNAVPVAPSGSDVGGGGDGDDSGDGDDD NPTGSGVTGEVEEDKGKGKEKEKEKEKIQDFEILEGALRRFVLEKRSRSKLAPARTYL LNIFNDLHNLASVNRDVAASELDHGACDDVYNHTRSTLSTAISHVAESDLGVDYPGLL AVFQYAEDIKAAMLDQISACVTSCEDYARSKSVQGVSMIHSLGLLHVGEDKFPSLNFR AEVMFRQQKHSLARQADAEIEIWDFVDIPGLWERQEKVAGTSMAVTVVGVLGGRALGG FGWIDGIFGAARILGPKTLRRMVIPSILGAALLTAAYVVSQIPKSLPSRLSRKISATL TEVDYVHSNASRISTEVGRVLRMPAARLQTALQIAAEDLAKRKAEVGKVKHESEVARK YFANLFRESADNRRAVETIDLDATVPGA UREG_04943 MSSVVGGKPKFELPQSSREPLASLHSTVYFDENDFEDDDKFDFS APILPPSTNEQPLKASVAVSDNKAKARRVSEDAHVAREAAPPSSVPLPWSSSPPAHFQ PPKKRTLPWLEKEPAAPRIEEKNVKTPVAKPTSYAWDKTLSAVKEEQKELRKQQKLRA AIEKQALGLPKPTSRVASIFLSEEQKKLRQKYKKEPDRVAVTASTGLAACNIEGVTLH SFAGVGLGKEDATGLVKRNQKARNRWLRTKVLVIDEISMVDGEFFDKLEEVARKIRNN GRPFGGIQLVVTGDFFQLPPVPDSNREARFAFGANTWNTQKDPEFASMLNELRLGQVS QSTIDTFRQLSRPLNFEDDVEATELFPTRQEVDQANSARMNRLSGDTIPFHAVDSGTI QDVQMRDRLLSNCMAPPVIHLKKGAQVMLIKNMDESLVNGSLGKIVAFMDDMTYDHYT RHEESYHDADHGHEDDEPSRARKKIKSMAYDPKNLGTTTARLWPLVSFVLPDGTERQL LCRPETWKIELPNGEVQAQRAQVPLILAWALSIHKAQGQTLQRVKVDLGRVFEKGQAY VALSRATSTAGLQVTRFESKKVMVHTKVKEFYGNLSTANDASASKSKSKKLTATKSDA GIPGLDNHIYYVWIKYIIPCTASVFCVISLFELKHFPVIQLIQFASGYDKELPLAGMI LCCTSILPEQRVRSSRQFEIFALRVLILCLRSKSHLAAIAAQMGATHNYDLTSDVTHL IVGDTNTSKYKYVAQQRSDVKVVTAEWVEAVRASWLLGGDTDIRALEEEHRLPTFVGL TICITGFDDPSHISLRLPGDSQKYKYAMMWNIKVVSLRWLEDSLERGMILDESLYDPL LPLEQQGIGAWNRAAPIQVEKRPKASEITFQRPRKLRRVASVKLGDQNEGIWTDIMGS NSAGLSENELLDDLADATPKPRLQTSIQATRSFASETTFGEPRDSITHGMSVAQAQTV EIPRGIWYKTKFFISGFTTKQNQILKNHILARDGEVAASIEHLLANDAEVDSKQYILV PYSLPQSDIPSTADSEDEVEIVTDMWIEKCLHTFQELSICSTGFTGIDLLHLSKLVTL LGAKYDEYLTPKASVLICNSASPNAEKLRHVRQWNIPAVLADWLWISVQTGDIKPFEP YVIPSRRSSSIDIGTSGGEHRGSKLGRQMEPESSEKVSQQLLKSQAGYGGGPDEDHSP LEAGPETNSRASPTKSPSHSPDLAPPKTDSKEDKPTTSSNSLDFAISELLRQKRSRSK QPSLSDQAAGSNAPPQRRKRLFGRAISNSSLSGPMGMSRASSIDTVNEDGYGSVVDSL NSPSAKGLSKAPSFVSFPPRVAAAKGNANPTEAQQLLENRLHLFRNGLARHESERTEM EDEETPPMTQLGYEDPDAVAMREKVIQRAREKNATEGDIRDENQKGERKSRGGTLVIG QLQDSEQFAGWGSGRRTRSRKAPNIEEDI UREG_04944 MAEQFVGYTVLVTLRSPPNCQVQGVVADVVGQRLTLRDVTLLWN NQNLPLYHIEAPGIADLELSADQSTAPASNITQRAATFHSASPLAPPQYQAQVSRPIS NSPLTQVEPSLQQFVDPAILSYQKPPNRPQNVVSTIPPLAKTPTVASPIMIEGHELPA PSRATQTVPFTSAPDSVTATATLSAPFNGLSLKGGDGIMEEKTVYQDATEQACPEGRQ GAGPLEVPLKYTGKRSRRGGRGKLPKETALQTSRAEGNELHTKATESSPASKGWRQTA FVEPAQNTRSSRPGTARQSKRRHRRHTEEQNGWATEDATDIQELGDFDFQTNLSKFDK RRVFDQIRNDDTTADEERLVSYNRRARPGTNGGRNLHYTENVLDPSPETKERWDDEVG ETDEDDISENEFSNGQNSSRARSRVSIHAPPSRKGSASPRPSQKQLAHTASPMTGSSS SAGGSLHIAATNRRCPSVSPLQMLEIEQLTISELGLTEDIITENAGRSIAEAVILLSN IHVSSSILIFAGNHRTGSRAVAAARHLRNHSYRVNLCVLGLDRENEFADGFRKQVDIF KRSGGKVLRWGDISNRLSAVDYVPELVVDALFGMHVAFEDLRTDDQQTAFEIVSWSNR SGIDILSIDIPTARSASSGESTLVQGSRLAVNAKFIVCLGAPKTGLVNALVAGEGDTW QLAVADVGISQAAWRKFGTRRRHGVDFGNKWVVPLNFQASTP UREG_04945 MRWNRHSDFDKLVKGHRFSNWEQFLSSPIVFLATVIYRWATVIT SLEANNVNPLLGSRANSTSPITVVCISDTHNNQLEIPDGDILLHAGDLTQSGSRSEIQ AAIDWLNTLPHQHKVVIAGNHDLFLDPSCTRSTSLPQRSIEWGNVTYLQNNCVTLKCV NRNIKVYGNPWSPRQGNWAFQYPRVENVWKDMIPSDIDILLTHTPPKGHLDLNYGCGF LLQELWKLEKRPKLHVFGHIHAGYGQQVASFDDFQSQFEAIIRGNATVVNSTNLPGVR AVSVVGARSQWLSLVVQTVFVLRKTTLRALYDGSVA UREG_04946 MNPDPTKRREMKMAVFVISAKRKGWARITHSEWASEDSFSASVG AGAAKSKNAAAHASFKRLPFNFCSLSLQPFSHPVCTSAGIIFDLTHILPWLKKHGTNP VNGEPLKSSDLIKLNFAKNDAGEYVDPVTFKVFTDNTHIVALKPTGNVFAWDTVEKLN IKEKMWRDLVSDQEFTRKDIITLQDPQNIESRNLNSFKYLKDGENAQQGEQGGSLNLE AMGSSAKILRAKEAVAKARAEREQKNGSTAISQPKGRGSGVTKSGTANVSKTIGKQTP FNAARHTTGLAAASFTSTGLTPHTSADLALLTDEQYMLKRGRVKIKGYARISTNLGDL NLELRTEHAPKAVWNFIQLAKKGYYKGVIFHRNIKGFMIQGGDPTGTGRGGESIWGKN FADETIGPLKHDSRGTLSMANKGKDTNSSQL UREG_04947 MAPRDGVFTIPAFVRMMTHGPVPERLEPMHKIYRRRYKAGVRHL SRCRDLFPPDPDTSLAMFRVDSREFQSRVDLTKRGARLGSRNYGVKFLRKLRAGKICR DIKDRFEIYGFVGKGTSAIVLAAREKSNPKNQYVLKIQGMADRKLWNKHFNPPAGDYL EVGTATKRYIPTEAIYLQLLSQCPRFPRLDSVYVHSDMCIIIMSAEAIVKHPEMERLN TNHPLLHQFPSYSGEDLIAGKTPRLTEIQVCKVASHLLQAMTYLMDLGLSHDDLSHWN YVVDEELNTTLLDLGFIHASPNEAGWRARTWMTLRATESLLAPEVAMKLSTAEVTPTN ARGGLVINHPNDVRKQHLWRFGALIYDLLHGYAPWEPPDWDPEIGALRDFASFDMNEK RVQYIRERRQRMINEELTIDERLSQDCIDALRALLAKDEARRPTLREVASFPWFQGHW VDYPKGVFNRPPFLPRPPSETKLRTYSEQRS UREG_04948 MANLAQTLSNVDICSDASQPRPPILDIPHEIYGCIFENLDVQSI FNFGMTCQELWPVAKYFVKDRFKQVLGVWAGVPLICPGCDHPKGENSYPPGLLSKAAE EELKKGLRVGEPGPLGQLLHIPGHEEIEQRYYKTNLFRLAIKRYKMLPLVSTAVNSMI HVSNTPGLRRPRDVFRFTRPMPSMFYPLGEKWILRNLTTRQIVRAEEIALKQEYIQGP LIKILGFGEVIIAKTCWSDHADTWVNYPVNKGPWAGHSFDVVPISKIKDDDTWEDISL EIAAELRKMCRLQYGHGWREKLIYRFERKCMDPWGCWRDETLWETVQRQAKSSTQGWF GFFRKKH UREG_04949 MGKLVPNAFNLAVVIFVALGSTACSYGMAIISSTIGQPSFYKDF NLAQQGEPGYDKTASLIGAMNGLNSAGSAFGCAFVSWSADAYGRLRSLQLGSLILILG AALCAGSVNIPMFLVARFIAGFGIGILVTAIPMYQAEASAPSSRGFMVSMHGVMFAVG YSLASWIGFGCYFMSAAGNMSSFAWRFPLAFQAAPAILLLIGSPWLPFSPRWLLDKGR QKEAREILIRLHRTADDPNDVAAEKEYFQMQKQLELDRQIKQNTGKWDIFKTSANRRR AFVGFALMFGNQFTGVLIIANYGVLLYASLGMKTFMPLLLSALWVTASFPGNVFTAFF VDRLGRRFFLLTGLSGILVTLVMECWTQAVYLGTDNAGGQKAAVFFLFLFIFFWSTFL DATQFLYLAEIFPTHIRSQGMSLGMAGMFAASIIVLVSGPIALDHIKWKFFFVLICPT ALHLAGVYFLYPETKQRSLEDINAAFGEKVAVNFYGATEEDEQMYAKAMEQRGQSVDA DAAAVPSEDEKSPSHIETASKV UREG_04950 MGATCDMFLSRSWKRLGLKSIQPSRTSLLFFTQISRKLRQQPTT NSISKVALSRNVFPTSLFEYTAGRWLHLDKQQRDARYIKFNMDRLLEKVLSLRPSATS VTSCQKIEGGFSKAFIIETDDGRCAVAKLPTSAAGPARHVTNSEVATITYLQQKTKIP IPAILDWSDDPTNPIGSAYIIMEHAGGVLLQEAWTDMPSDKKVKCIGAICTSILPISE LDFPAYGSLYFAGASFLDASAVRKLHNDLKYCIGPHCRSSTYWDCNVGEPRYYAFKGP NRGPWHDLSSYATALIDSGLARLPPADHPILCQQQSSFQGSVHRHLELLKVGQTVFSE LLQHPDIRSNAKPTLFHPDLHKRNIFISKDDPTIVTGIIDWQCASVEPAFYYADEVPD FAKVPPEGTLESDEGTLCSQAYELGWALLAPRLGTTRKINETLLRPFHYCHRTWRDGF VPFTHELIQLRDAWKELGFEKDCPIPPLSPEEMSFYKEQLGIYDGLLEFRQDMVETLG VEGDGWVSEDRWEGVKKAHQYFYETIMAAMENDKDREELRTMWPFDQCQPRE UREG_04951 MTNENPNMRRMPPPQVPHLEPELLHNGMARAYDGGSNVNRIQPL DAINTQFKRLSIDPTLVHDATLGNLNRDPLFRNHTPFSDEEDSYNEEEEEEDEDEPYQ GWSLYRAKPTAAGQEPDWSRVTKSRMNLSQGDLDKLVKEQKKGFVAKTYSSLGRLKRK QIDDLIEELKRKDSRFNWAVVYIQAITKDTGRRGFTVTYVTITINLVLEKTLKPGVSS PRKPSARFKNDTAFELRRNGRGLSPIQGSHPQNTHPSLPPAARTNPVHMAQTDPRPQH PQQHQPPPLPPPQLLPQPHPQSHSQPPRQVHPSPHPQPQPQTLPQMHPQPHPPPHPQA QFQPPPQMHSPPHPPPHPQAQFQPPPQMHSPPHPPPDSQTQPRPLPQIQPQPHPHPHP QSRQQLPERLPANSGGFPPMHPPAQNQLPPGVQVINGPPPQPRLHIPPPAMVPPPSKQ RPIHQQSGKGHSAQTKQIQPTSQIIHLGTKSPFKEKRAIDQWHDAESSNGDNDSDLFE LAYDSSETDDSFLPNDFEQKDYKHYRSRHTSRGRREREIAYRTHRRPNANYPVSPRRR DSQYSHGEVDLILAKSSHRGQLVRSASVSHAARPPLKLVHASRSPRTRLPPPSLSSFH YPSLTNDIVVPKKWELEGLERQKEINDYVWRKRLEQMEDDLKRRERNIERREMRVGHF DLPERSPILDSYDRLDRMERLDRERRPIVEPPRRRDSFHYGGRLFR UREG_04952 MARKFFVGGNFKMNGTQKSIADIISNLNNANLDPNTEVVLSPPA LYLLLTRSQADPKFGVAAQNVFDKPMGAYTGELSVEQLHDCGVSWALVGHSERRVILK EDDDFAARKTKAAIDGGLGVILCVGETLEERESGKTVDVVTRQLGAVASRLSVQDWAK VVIAYEPVWAIGTGKVATTEQAQEVHAALRKFVADSISPSVAENIRIIYGGSVNDKNC QELAKQPDVDGFLVGGASLKPAFVDIINARL UREG_04953 MIDFIDYIQLSFSDATRWNRDNSYTALTDTANALLDFSIPERLR VHLSSLSTPQFATTYTLGTVGLIDGSISYLFSTLPLQTTQSRSTLIPLRKLVPGYRQI HPPLAPESWDSDGPGHEGSDGQEDETTPWKRRRETLLHAILHLPPPTTLNGLFLRRLS PTTQLSVAVCSTQAKPLSKSTPQASILTQLSHDTGKYSAEFLFSTDNALLGFKGLYNF GPDPRDRTNAQRPQRASQSVSLLSAGGEMYYSPLSSVVGLSTGLRFTTLPAASETLHS PSSSAYPAPSPISTFPYTLTLTLTPLTGSLSTTYSLLASPNLAFSSRFGFNVYSWESE MVAGCELWRQKRKPYPYVSGEKDDLAWAKRKMGLLPPLPAPPTPAKRMDLTAGAGESV ESDSVIKLRVDQSLNIRLLWEGRVKDLLVSAGVGLGPTSLSTGGAAYGWTGVGVSVLY ST UREG_04954 MPVSKRAKVVHESKVTKKSHKEQTRRLYANVQEAVSKYDHLFIF SVDNMRNTYLKDVRTEFSEDGRLFFGKTKVMAVALGTTPETAFAPNLDKLAPLLNGSI GLLFTTRAPESVLGYFSSFHPTDFARAGNVSPRAFTIPPGIVYAHAGEIPAEHDEPLS HTIEPTLRKLGVPTRLVRGKVTLEMQDGYQVCKAGETLDSRQTSLLKMFGVAVAEFKV EMRAHWNKETGKVEVLRKDENMEVDS UREG_04955 MTDKLPANLLALFAPRPPLKYLKPIDRAPEDTRPSDIGGVAQFL GELQNYAEEVPYNATESWLQRKARQKLEKKEQLERYITEGLEKYDPNSDPQVRGDPFR TLFVSRLSYDVKESDLEREFGRFGPIERIRIVTDPSNTNPKKKHRGYAFIVYEREQDM KETDGIRIKDRRVLVDVERGRTVKGWKPRRFGGGLGGRGYTKAMPSRPMGPGGFGPPS GPGGFQGGGFRGGYGGRSGFRGGFRGDRGFGGRGGIGYQGGRSGFGGPPNGISAGQPP PNAPSGPGGGRAGGFGSADDRRGGYDDRLYRGGSRYDRSGGVTGSNREPVRPRDGYDR DRDSHRDRDRDRDRDRDRRDRDRDRDRDRGRDRDRYGRRDDDHSRKRYHDGDEYDDPR SKRRY UREG_04956 MPIQGVKAIASGRNGVSAFILQCKRLDFHYCDWAGSSKGMNAFL RHTLPKFAAENPQIEIRISPRPSKHPLIKGHYINGREKAVCVRNLEKEQILQKATLLK EASGEKLRKVRNPVASTNESVRGIWGSLSWGYQENMTSVTVQYPEYILGAGV UREG_04957 MIGNVFEKRLIEAYIAEHGKEPVTGEEITIDDLIELKSARVVRP RPPTLTSIPSLLGVFQEEWDALALETFTLRQTLAQTRQELSTALYQHDAAVRVIARLR KERDEARDALSKVSVGAGRASASGDAMQVDSTGLPEAVVTRIDETQEKLSKTRRKRPI PEDWATTETVQQFKPVTASDPLYPGGKSLSLNESGELALVGGVDGVAGIYSLTDRSII ASLKGGGGAITDAIWVKEKAVISTSTGLVKVFENGDEIASFNSHAGEVMALAKHPTGD IVASVGVDKSYVLYDLTTATVVAQIFSDSALSCVQFHPDGHLLAAGAGDGQIKIFDVK TGTNAASFTCSGALKTVFFSENGIWLASVTEGSSSVSIWDLRKSEVVKVLEIGNRVDS LSWDYTGQFLLTGGPNGLTVQQYSKSAKSWSEPLRSAVPAVAVAWGRLAQQIVALNAE GVITLVGSG UREG_04958 MSFKAKNLTYEKNEPAFLRRLRGQYGDGTGQRHGPSNVRPIKAK DPDADDEPTYVDEESNEVISKEEYQAMLQDAAGNTAETHSDGLGSGDQNHASKSDKTA SKLLKSAGGQKVAEIGGSKKKKFAMVVAEDDQIAEKGTQPRESGPPNKKQKKKKIKLS FDEDVET UREG_04959 MHDGPLLSHCQPLPTTRYEKLTDHGKTSLTDSLIATNGIISPKL AGKIRYLDSRPDEQLRGITMESSAISLYFSMLRRSAAETEPEKKEYLINLIDSPGHID FSSEVSTASRLCDGALVLVDVVEGVCSQTVTVLRHTWVEHLKPILVFNKVDRLVTELK MSASEAYSHLSRLLEQVNAVIGSFYQGERMEEDLLWRERVEERVKAAAAKEKDKSKKR TEADTQADEIEDFEEGDDEDLYFAPEKNNVIFCSATDGWAFTIRQFSGLYEKKLGIKR ATLEKVLWGDYYLDPKTKRVLGQKHLKGRNLKPMFVQLVLESIWAVYNATTGGASKTG DSALLEKITKSLSITIPAYVLRSRDPRNILSAIFSAWLPLSTAVLVSVIEYLPSPPAA QAIRLPDMLDDSPGASFVSPPVRTAMETFRSGKDDPVVAYVSKMVSVPESELPSKSGR GGGGTLTAEEARELARRKREQLAKLQAESNEQADDNFARLTSALGSTGLNDTGTDAAE EKVEPEHLIGFARLYSGILSVGDSVYVLPPKFSPEHPHAAPKPEKVTVTALYLLMGRS LESLDSVPAGVVFGIGGLEGHIVKTGTLCSQLEGSVNLAGVSLSSPPIVRVALEPVNP ADLNKMIHGLKMLERSDPCAQYEVLPSGEHVILTAGELHLERCLKDLRERYAKCEIQA GESIVPYRETIINAAEMALPKNPELPRGTVLATSASKQLTIRLRASTPGSIKRLYANR RSGNAQASEEESNESAAQEDVQEGGQEFGDGSMSSRNILSEEEFKKELGTAFAETKEK DVWKDAIGQIVEFGPRRVGQNLLLDATSIGAFEKLFPELAQRVDNSQNGDRSNKKRNA GLFSDKVSYAFQLATNQGPLCHEPVQGIAVFIDDISLTEAGDEDVGRLTGEVIRLIRD AVWQGFLDWSPRILLAMYSCEIQASTEVLGRVYGVITRRRGRILSESMKEGTPFFTIL SLLPVAESFGFSDEIRKRTSGAASPQLIFAGFEMLDEDPFWVPATEEELEDLGEFADK ENVAKRYMDAVRSRKGLVVKGKKLIKDAEKQKTLKR UREG_04960 MGAPQLPSIAVPGQRLADTSAYTSGPGTYIHEHSIRASIPGPVI VQESDTDQKGKKAKIITVARSSAPSTAPTTSTPAQPSGLALIGSTNKRIPLKFNTLPT VGSIVLGRVTRVQKRQATISILLVLPDQRATPTLDAEGASDADLPSILASASISTASD SLNADELRPQALIRKEDVRAVEKDRVVVEESFRVGDIVRAVIVSVGDQVAYYASTAGN ELGVVMARSSADEGGGNMMFPVSWKEMRDPATGKGEMRKVAKPF UREG_04961 MGNACVSCLTGIFASIDRWCHISDLLAPIKGRSRDGLYEPVLAD NEREAVADLLQYLENRNETDFFFGEPLQALSTLVYSDNIDLQRSASLTFAEITERDVR EVDRNTLGPILFLLQSPDIEVQRAASAALGNLAVNTTHEENKSKIAKSGALGPLTKLA KSKDMRVQRNATGALLNMTHSDENRQQLVIAGAIPVMVQLLSSPDVDVQYYCTTALSN IAVDSANRKRLAQTEPRLVQSLVQLMDSSTPKVQGQAALALRNLASDEKYQLEIVRAR GLPPLLRLLQSSYLPLILSAVACIRNISIHPHNESPIIEAGFLKPLVELLGSISDSEE IQCHAISTLRNLAASSDRNKELVLQAGAVQKCKELVMQVPLSVQSEMTAAIAVLALSD DLKPHLLQLGVFDVLIPLTASESIEVQGNSAAALGNLSSKIGDYSIFVRDWTEPSGGI HGYLDNFLDSGDPTFQHIAVWTLLQLLESGDNRLINLIQKSDKITRLVKEISEKSIEA EEGEEDGEGELITLAQRSLELLDQFSKQNLIEA UREG_04962 MAAETYARTANGVAAPSSGPGNRPASYSNNTANGVSGLKSHVDE KRRVNGGDYPANGSLAHGSQQQAASKASSDGLSHELSNPSTPALTRNSTSKSMPKGSA ALLQQRQQHSSSSTTIPTHTAAPIDPLSQQILQRTNTEKSISKLRTQASVDVDAGPSE GGRSLTHEDSPVNRTESNSLTQKSSKEKKKGVSFLSRIIGNKRRDDVSEFNDDVSELG EHRAAGMDAEIFAQPIGFIPRFPPPPKYIKVRAQYKKTKDFNRVFVAQELRGTAEQAS RAAEKHATINVFESDAAAQECKAIWATVFSKDGRYLAVAGQDRKVRVWAVIATPEDRQ AHEIEEEARNDEPLMRLSAPVFKTQPVREYEGHTGSIVDLSWSKNNFLLSTSLDKTVR LWHVTRNECLCCFNHSDVVTSIEFHPKDDRFFLAGSLDTKLRLWSIPDKSVAYVAPAP DLITAVSFTPDGKYAIAGCLNGQCVIYETDGLRMISQIHVRSARGRNAKGSKITGIDT IIQPPGKESGIVKILITSNDSRIRLYNFRDRTLEAKFRGNENSTSQIRASFSSDGRYI ICGSEDRRVYIWPVVCNERFPEKRPMESFEAHSAMVTTALMAPIASKQILGSTGDLLY ELCNPPPITLVSQTGSIASRSITENGGNEVSSTTRPPTSSNPPKAEESPAYVARSSHP EGNIIVTADYNGIIKVFRQDCGYHRRHHESWDATSFSKRLLGRNNSVSTRRSIRSLGK ESLHKTPSEQILSWRNSVLGARDNGSVDNLRTRASSTRSISPNRSLRKISSAPRADTS QTIKRPAHDSVISRPIGSSKLGFSHPFSGAVPTEIDPENREIDAPEEYDGSARFAPAN PEFMEGDQSFTFWNKAQFASQATNRRRSSVEDDEAEARQQLESLTSEQSSDGRAIEDA IDEDEEEDEEELSCPRCRGTNFRATRSKIGEQRLKCVQCGAFAS UREG_04963 MGDARLPDIDTLPTLPPLHCNEILDTLFERSKPLHSLAESLFLQ KECTSYQALIDFVRARLTALASSDNPDDRTILLDILGSHPRLGEKTSPPNSGESRALQ ESPAQHLSELSRAEQSNLNEKAQEGSAQQLVALNREYEQAFPGLRYVYGINCRIITFV NGRGRDAIMEEMRQRIDRHDIELEVLEIIQRKTLKEYEQPACVGHVRYRGRQSAQTPA ENRE UREG_04964 MPYFSNSQEFLKQSSLLLQAYPHTTRITTKYSYPKPPRKSKPTT DTTSSSTPAPPPTSSQSTSTPATLTLKTYNPHTGICLKYRTTKAAEVSRLIAGLGRLA SGAPITDAPTVATPALTETPTQAQSHTVTSVSGRDADMLDVADVTGVGSDAQGNAKGN GGGGGKKKKSGKGKR UREG_04965 MASQSTPSKQLKMSDSPVKQLQFDMTDKENAPLASTPGVGLKKV DIDTIKPSAPEEPKKALSPKELEAEEPLLQENPQRFVLFPLKYHEIWQMYKKAEASFW TAEEIDLSKDLHDWNHRLNDDERYFISRVLAFFAASDGIVNENLVERFSGEVQIPEAR CFYGFQIMMENIHSETYSLLIDTYIKEPKQRTYLFEALDNIPCIRKKADWAIRWIQDK DSTFAQRLIAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTDFACL LFSHIRHRPTPAAVEAIITEAVEIEKEFLTDALPCALLGMNSKLMCQYIEFVADRLLV ALGNKKFYHATNPFDFMDNISLAGKTNFFEKRVGDYQKAGVMASTQKKDDTEEAIENG GAFNFDEDF UREG_04966 MLDPAVDAFGWIDFSKITNDEDSQKVPTTKRDLQRILKLENQEE WVHWLQSELVKPFWVELWDSYLSKRNSTERSVGLGEIQAIILGESGDAQYRSLYPNKN KIVWGLKGYQTQIDSPSYIATSTGGIAIFGTIHPIIGHRTLPTTDPKKSEANKTEIII GESSGGPRGSGASEASEANEVIEGVESVGDVEELTAGEVLVYTKIPEATKGDITGPGI EAWSKDLHFKAEQD UREG_04967 MAFDVAAGVELNLLVTSCFSGGWVITPMEKSNKTLNIAGLTAEN AQEESTSWAKTASCGRAGGSLYATAVLNALVQTTEADTSDASALDEEISGSPTYINLC DAVYNTNKEIDPFFRTRGISFAAQDDIWDSEWRTRSGFPLVNFQKRWERLRRIHSDQS PGATLSFRGSIGRSYDNVLQVKAQLYLDSFPGPDNVGPNVAFHGRLRKLVAGGNFSEE ILTSLDDALDYRLSVLKLATKYASYLNLDFPDAFKIDTDSCLKAASLKEMDLENDDKS TAATWYERVQEVRSRIIEKRLFDKPGPSQGWAYSKPRDYLAIALLSSSWDQERIFQAI DTLLVLKAGAQRYLASTPIAKSIMNNREVIRHRDKLYQMPEISGRLFHNR UREG_04968 MRRSHRHPQLRNSKDPYVYQVLLNGCRLGFYEPLRECLTSVLYK DSNYQSLGVNIFSGAASGILGAAAGSPFFLVKTRLQSFSPFLPVGTQHKYKHSFDGLR QIYGNEGVRGLYRGVGAAMVRTGFGSSVQLPTYFFAKRRLIKHLGMEDGPGLHLASSA CSGYHHVENV UREG_04969 MAEASTAPTLPPQATAKVSDIVKNYRPSKVFRGNSRSNGQQYIT SVDFDDQGDYLVAAGDDEMLQLFDVKEGKPTKTVPSKKYGVHLARFTHHSRQILHAST KVDDSLRLLDLHNESYLRYFTGHTDKVTCLALSPGADAFLSCSKDDSVALWDLNSRNA QGKLKLATPYLTAFDPSGSVIAIASQSTSSILLYDFRNYDKPPFATFDMAPQEDRFTP TTRGRAWNKLEFSNDGKHLLVGTDYHGHFILDAFDGNIKAFLVGKSGVTGRAAPVSNS GKPLGQGDVCFTPDGRYIIGGAGDQPDTLVWDVHQTPDSSCFLQPIARLPHRGKAAIV QVNPRYNMFASADKEIVFWLPDDSAKQPDK UREG_04970 MAALPTAASTTNTPPSPTQAQSLSETLLSQCHALLHELSTFQAY LAGLGRPHLVELRQFKAAVRAELKSLEKSCELANKAAEEDSEEDKSIPGGKDGEDSDE AEDSDRRLGVGERKVLHSLRSSNLPFYWMVWRVATTACKGLVGFNKRFYWQEAKKRRR LGGEYEAIEGEFEKLGLSNGAIGRREDEHDAAVSVSSKRNVIVDIVAEHGDEWVKVST ITPTRLLFELAKQGWEIDSDNEESDEEYRLQTYDSDDDDDDDDAIELVKLAADMKKAA AHTRVRYKHPRIRFILPKIIEGQTPHVDRVIREIRKSGVTVQCGTIPEDMPAPGAENP DVALLEATFESLLPSPYPHRTPTLNVDCTLLLALVSDLSHSRHIAHSEHHHRAITRQI ELEAEKPLVPSELWPAMGDKSLVCTNEAAQRMQEIVDTIGTETEKARAQLLMGRTKEE LKREDLISRFQKLSDYEVPVDWKLPIRVVEAHGEITRGFDNGQLPDVARKVASQLSDI NTTTEPW UREG_04971 MYGKKAWGGDVDPFIHVTLNKLPPKEPSPMMSLVIFEWKDEGLI GRYAAGDKNKIRKETLCHHDNIKAGLCDEKSLGMFILEPNATTRARSTLVTMAVNLTD AQPIKYPVKKSGFYCVVTFPFSGDDYSGIVTFRNAYGELSAPQIPKLAFYGGLTIFYA VIGVIATTSLIVPPVPVQNYITAILVFLVVEQLMTWGFYDYQNRHGMNAVTRVLMFIV AVLNAGRNSFSFFLLLIVCMGYGVVKPSLGRTMIYVRFLAIGHFVFGVIYAVASLSIA PESAGPLVLLVVLPLAATLTAFYVWTLNSLSATMKDLLDRKQRVKALMYKKLWWCILG SIIVIFGFFFINSFLFASKRVVDYIPEHWKTRWFVLDGWLNIVYLFDIAFIAYLWRPT MNNRRFAMSDEIAQDDDGFEIRSLASSLDDEEALGGNSNDRNAGGAPAEHPSIAALST QHPPPRDSIDADHFAVGEDVDRWSDDESPRNSTERKGLMHKDD UREG_04972 MAGNSRDCIDCKAAPSCVTVRTRALCEFLQTKVLRRMDRYRPSG LLEKQSSAGPKRTAFDLHVLVVDTASSVAPKSVVESGRLDKIKETYPGHTYTEMPLHR ILEYDASIKGLLSHYSAIPSDNITRSDEEALALFHASFSTATARADIKGILLRRLVVA FATSHECDGILWGDSDSRLAAKTLANVAKGRGSTLVWDACDGMSPWGIYFNFPLRDLY KTELELFASYAMRTIEPVIERDPQNFGDMSTRHMSIEDLMSQYVMTQGAKYPGVMANI VRTVDKLQTPAATDGTLCILCGMPADLAADGEPTMPSAEGKTGKVQPTCYGCRRTLLD MKAPALWSG UREG_04973 MAYFLLSRQRIILVLLTVCFFFLWKSQVALQYSSFPSRTKGPLD SQQILNPHSPSKSDGQSPWARIPQRYPVRSMIPMPSPVPNAIPTIQHRFERESSEARA VRIARLNAVKGNFTHAWSGYKAHAWLRDEVKPLSGQAHDPFGGWAATLVDALDTLWIM GLKDEFERAVEAVAKLDFGSCTMDEVSVFETNIRYLGGLLSAYDLTGGKYAPLLQKAV ELGQMLYVAFDTPNRMPITRWNFAAALNGGQQKTQETVLVADLGSLTLEFTRLSQITK DPRYFDAVQRIMDVFGEQQPRTKIPGLWPVVVNVKKLDFTSYAGFTLGGMADSLYEYL LKEHILLGGGTQRYQELYQHAMEAIKRHMLYRPMTDGGEDILFSGSIWADSKTPLSQL DIDAEAQHLACFLGGMVAIGAKIFNKDEELEIARKLVHGCIWAYETNRQGIMPEVMHT VPCDDQTNCPWNSTKWFEAVDRASPQDSRAAVLKIKEKNLPPGISGIDDTRYILRPEA IESIFILYRITGNPSLPDKAWRMFNAIIKSTITDIGHAALEDCTIPNPPKADRMESFW LAETLKYFYLMFSEPDVISLDEYVLNTEGHPLKRSV UREG_04974 MASKVIGALSKKVLKESAENRFGQEDPYFEQVPATNLLGRPTTK KRRKAAPEGISDYDAKILTKVKRRAYRLDLCLCNCCGIKFGWSSVIGLVPAFGDVLDM VLALMVVKTCSNIEGGLPGSLYLHMLANVIFDFVIGLVPFIGDLADALYKCNTRNAVL LERFLKEKGKRNLKHQNQAVIESGDTTPRNDSPRRPERAQLPRENSRPGNNGRSDRRR EPDLEMGMR UREG_04975 MAEQKMKLEDWLDDLCVRFIINLPREELESVERICFQVEEAQWF YEDFIRPFDPNLPSLNLRSFALLIFQHCPLMSQWSSYHHSTAFSEFLAYKTRVPVRGA ILLNEAMDEVVLVKGWKKTAGWSFPRGKINKDEKDLDCAVREVYEETGFDIKQADLIE DEAKVKYIDISMREQNMRLYVIRGVSKETHFEARTRKEISKIEWYKLSDLPTQKRIKQ EEGNASNSNRNKFYMVAPFLGPLKKWISLQKKRDLQATNLTAQMGAENAGNETAEEGP ELQISGALPIDHAIETTYPTSLSGAPTNTDPSVQLKRLLNIGTTNTPIQPALTSEQNM PGATPGSALLELLRKGSDVLTQYPEQRFAPLPLSAEPAGPVTYPFHLPAIHPTNNNNT PRSTAMSGSNLGIIGQGVPNRSNITHYNPQVFAPAAFPPGNGCPPFLPEQLHRFPQPP PPQPPSHLGPGPFSGYPHHLEQRNRPWLAQGPAPLPNQMFDNIQRETPTKTELPRYQN GSQSERPSNFQAPSIPPASKLPPPKLNSHTLALLNVFKGDSSQKSPEQPPNAPRETGA SRSDRDSLLKLLTTTTPPSAAPDSLQQGNITTKHPDMANGKARVTQAKGDGYLGPPQT VVSGQKGHPRPSMKAQTKSPKSKPPAKSNARRSPPPLSSEPQSAPKHNIMILPRPTPI AKESPPTIQPSQTPRSPVKKHAKISELTKPFKPKILRRPDKDNLEASLATHTVTVSAF TKPQRETMLDVPKVTEELPNPPPNYDRRQTQSNAHKGKFTCSVRTAKHTYWTACFERQ GGRIPTIEVASGIHRGQLTRGFFGTKSY UREG_04976 MADKFINSINQTRMPPRLPTRSACAKCLLQRRGLSSTASLRQKQ SDLPPPPPESGHVRLTNRALISLTGVDSTAFLQGLISQNVVTPKNRASPTTPFYAGFL NAQGRLLHDTFIYPTFAPEGSNGADTGSELGYLVELDKAQVSNLMKHFKKHKLRSKLK LRALEEGEKDIWAVWDNTGNWEAKDSGDVLREVLTCVDNRVPDFGHRLLLDEGSLQSS LELFPGQEASLSTYHLRRILHGVPEGQDELVRESALPMDSNMDIMGGIDFHKGCYLGQ ELTIRTHHRGVVRKRYPIIIPTSRGSEYNEIQRVWEGKKCWQIHFRNRECRAGLVSIG SYDGHFTDGRVVSI UREG_04977 MVKATHEVINFNYLSALRPISQQYTENVEKSTAWFLMAPSDDLK AHAASSHDFYALLDISPAAADTEIRRAYRRTALKYHPDKLQDPKPADIEKFHLLQIAY DVLSDPSIRQLYDNAREARERKKRENEMLEGVRRKMKEDLEARERGVKRPFGATGLGR PVDLDDAEAQLEEKIRRLAEDGRRRRQQKEELMRREVLEEEERREQEREERERAALKE REGQSSVGGTAVPEIERAVKIRWIREEQGLDLDKERLEKMFSAFGNVESVIILKDKKK RVGERREKKTIGTGIIVFSSIVGAHAAVEDSKKQPGSDWDTVESVFWASNKEPDLESY RAPSSASNPQPESPSKPRSAPKPRSFNFPGLNTPAATIGSGTGKAPSFASFSSAANVS STTKANLGPNSPSFEEITLMRLKNAQRKRLEEQLKKEDEEAAAVEPENDIRKSVKPSD DRTITVPKDYFTAELPPPFAQPCL UREG_04978 MQRNLGAQQVENWRTNGDISDHAARRQDPVNIELDVVIVGAGLS GIYLLHLLSKGRLECQNRRSWPRPGWRLALELLSGKDVIYGETITKATFDESTNKWTV ESDNKSTFTARFFCSCIGFASKRLFPDWPGLEDDYKGQVLHASFWPDRGIDLRGKKVA VAGTGATGIQLAQEIAREAGKLTCFVRTPNLTWPMRLERIDPEQAQKDKGSLPYLLGE KRYTTIAGFLYDDTTRRVFDDTSEEREARLEQEYRDGGYRIFFSAYFDILLDQAANDE IYNFWRRKVHARMTDSQKAEILAPLKAPHPFGGKRPSLEQDYYEQMDKPHVTLVDVKS TPVTHLVSNGIVTTDGAVHEADVLILATGYDAVTGGFKDMAITGLNGLTLAEKWSDGT QAYLGLTISGFPNFFYAYGPFAPTAYSAGPAAIESQADWILEVMRKMRAEGATRIDAT QEAEREWREKVLAIHAMTLRENVEGSWYLGLNVPGKKREPLNYAGGLSMYRKEIQDAI APDWKGFVVS UREG_04979 MHPYHIPAPTPAEFHAAAFGKVVIITGAAQGIGFAIAERFAISG AKAVIIADLDPNLGIAAKERIEHGAVFIGCDVSSWTDQVQLFRETIKRFGHVDLVVCN AGINPELMVGGECDYLVELEDGDEEYLRPQTKVFDVNLTGVVYSVRLAMHHLSRDGGG RIVVIDSAASYIPVPDQALYSASKHGILGLVRSTSKRRECSKHRISISMVAPWLTETR VTSGIFQEAISKAIPVSSPRDVASAVSTVVTQPLEKINGKSLWVQGQLSTKSIPEWAL RTGSYREILALCGSRQAWSRTSKLIADEEAVWESLATLFYRACRARTYAGVGS UREG_04980 MRNRFDYYNKLNDRVRQPIFTICMLGRKIYVVTKAELISRADRK HKSISYAPIVSEFCSITCGTSNEATKILNHNLLGEHGNWGLCEEMVSGIRAALKPGKD LDNISLAMAQEVSCLLDAIKPDPGQGYSTIQLSAWVKQIVTVATTNSVYGPHNPYKSQ EIKDAFWEFEGGLMLMLAAPFPSYTARKHILARDKVTKALEKYFAERHFDHGSKVAKA RFDTSVRNKVALPDIGRFEIGGTVAILTNTYPAVYWTLLLVYVAPGLLADLRAEIDAA LIIDPLKNNITIDITVMKSRCPLLLSTLKESLRYRGMGVAVREVVEDTELGGYLLKKG AMLQVPIQVVHSDQACWGEDAKSFNPRRFLKDDTVNGCCRLHSTATRPHKSHRPILS UREG_04981 MAASDVRLDIQPPLDGPSPPIIHITREVRRSDKVNDAYSQALDE HGPVIIVPRHGRDEYVIDHRYAHEILTDTKNFTFEKAAFDLLHLGFIALFDNGMFVRD IDSLVEKNVQPRMNAIIDRIFPVFQSYFDRMADELPNPANDKTPVECYNIFSCLQKAI GHAMVTMILGPSHSSSVTAGHFAAVAVAMANMTGMHENTHEWAWFPWLWVLLNGFKAV FFTIIPRFFFCIVPSLWKMRKQHLATGLAARHGEYVPLFDILLVKHYHEKTGFRALGG FAWCVILCVGLIFASIHQTVVAGSWILIKLAQKQDEYLPAIREEWDTVAPANEPLSVK KLSQLTLLDSFIREVFRTKGDTWGPLRQTTRPVRIGPYVLPKNAMCIVLISRAHQHPD NYGSEGKVFDGFQWQRKGRPAVQGGADFLTFGLGRWACPGRQLAIHEIKIMLYMLFSK FDIRVKDGSFRVLDTINTTSVPPEATLLLRRRQ UREG_04982 MAQQENLVYMFLKTFISRLRGYAQSFLPFLRPRTKARINTAEVV FPLSDDVVKLLSRYSDENSELMGEQLLISLKQLIWESPKIWESVIRGVVLKCSDKIAL KVVRQRPDYTEYTTLQYLAEHLPDIPAPRPHGVIRLKPFTAFFMSYIPSMTLTEAWPK LDHGGKASVQEQLEEIFIKLRTLRKDDGYPLGGVAGEGVKEARISCFAQEKTIDTAAS FVDLQFSLSKFLGKSYIQLIQTLQPPPTTGSVFTHGDVRKDNIMVEIGEDNACKVTGI IDWEDSGYYPDYFESTTLTRTLDSRKEDDWYNYLPPCIDPARFPHRWLLDRLWGIHHL VF UREG_04983 MADSEATELQAQFSRPGGTIAGSLRYSGGREDERHIPSELALPP VDRGVHAWAFLVGCFFIEALIWGLPFSYGLFQDFYTTHEPFKSDPSGIAAIGTTALLV FTQGALYAVGGTLAYGPAIVFVDEWFVRRKGLAFGVMWAGTGFAGITVPFLMSWMLER FNLKVTLLAWAVIVAIVSVPLLVVVRPRIPISQSHRPRRLTFGFLYEKSVWFIQLGNI LEGLGYFMPNIYLPSYARTLGVRNEAITATIALLNGAAVFGCIFVGFLIDRFHVTTVI LISTIGATFSIFILWGLSSSIALLCIFSITYGFFAGGYSSTYAGIIRELRSDESDGGG EPGMVIGFLAAGRGIGSVICGPLSEALVKRRPWVGKAGMGYGTEFGPLIVFAGISALL GGLSFGARLLRWIK UREG_04984 MTSLRAIRESLGLDTSLKPFSVSIAELERQLHALDSMYLELPRN GREYCRGVYKLQEKATETINEIENSDYPEEIVIEALSRVNDILGEVNLIAGNTWLMTR YGPNLRASVC UREG_04985 MAPRNRRERRAAAATGSSADSFDPDSIPMARPPTSQFASQKNAR TLLEIAAERRAATGKAETEFIHVSPSGQIVGVEKLTDLSGSAGTSAPASESDHDDEAP EDDAVIPPLADSIFLSLPLSALHFTLSFLAAHQYAEEIPLQKLIRNSLFVAFPVLTFL IHFAHGHLVSIRLPNFLDKGPVTGDEIPGSQAATRLFSLKSLFPLTTRNIIFCVMAVA SGMHLIATANEGSYYAVMKKTPSIGTLWVWCVLEISLGPAILGLLIPVIWSVGIKGHS II UREG_04986 MVSIATFISFALLPVTFFALWAISATGPSSPFANSFPTLRDQRI CLLIAHPDDEAMFFAPTLLALTKPELGNHVKILCLSSGDADGLGHIRKKELRKSAMHL GLRSPSDVFVLDDPSRFPDSMTTEWSATAIGSLLASAFVPELALNRTNDDVDTSSPSS TQHRKTSTRTNGSTNGRTNASIDVLLTFDPSGVSNHPNHRSLYHGAKAFLQILVKANE NYACPVYLYTLTSTNFVRKYSGIFDAPISMLIGAIGNIIASASGFRRGRPWCRRIKVR WFGSDGGGLRSGGI UREG_04987 MRTPSKLFRPVSTVSRTPSSRKRSANSGKWAPTTSRTAASLHGF AVPQPNSNISASEPFAGEDTRSPPAPRNLEQGSAAHSINVQAILEEAQPGRILAALKS SAELQNAIQEVPAATYVELLRLLSPAYFIEPYKELHAHLHPLTAHLNRILPLTIRMAQ FAEQLDAIVKLRRRAGHRLGLAEYTQLLSCSASMGYGQMASFLWTEMGEDGIEPTVEC YNYLMEAKVWDRAYIAKENHRVRSTQWIYDKRRRYPRNPGYRGYRTGKDGVRDQVHQL FRAMVASGLIPNEATFINVMTAASREWDMKTVKTTLQTVWGIDVDLLENDPGSHPPVS IYATSSPLHPTPRLLHAVAHIFGSNNDFSMALKLVDFVSQSYNIPIPQRVWQELLEWS FVLSLERFGDSGDSMVGKIPRNSPVKVFEVLTSSPYNASPNFKMYNILFKVAWIKQSK DTFDHMKSGRELFRETLRTRNRLFHRLLRRHGEIASTRNLNNPLDINPSRRSNQPDDD EVLPKPAHEYRLAPLFPSITCPGDYWDLYNTVKSLNIKVARELLYIQRWIRLILTRRK WRGTKEQWERLGVPNFIEEWKEFLPYQVFYHTKTGVVEFDPSSFWPEGHRWDLASFML PLWDAENRLLSKSEQETFLDVAPELTTDDDAPGIMTGRS UREG_04988 MADGDDGAAPSFSEPLDLVRLSLDEIVFVKLRGDRELKGRLHAY DSHCNLVLGDVEETIYMVEEDENEQEIIKVESRRRVQAMAHDTHSGIDNKATRRNAIC SRFVISTPLLSPARLLNSTLGDSVVLISPQSSP UREG_04989 MSQKGYKQTLEERRRGELSLSEFAEYVEKQQANRYGGSQARDVS VAPSDSGYFTATRSTAVAEEHPELDILDQLGFSDSSPPVKLKSLLLDDSPDAETTLQQ LATVVKSRVDEGQGETIFDLGQEDNGEWMGFNKEQWDLALRRLQEAAHLYQADCSVLL TYNVGGPAEAETKSDRIKSAYGKVLIRRVAGTVEDVIETRIAVVGNVDAGKSTLLGVL VKGKLDDGRGRARVNLFRHKHEIESGRTSSVGMEIMGFDTHGHIVGRGQQRKQSWEEI GRQSAKVISFTDLAGHERYLRTTVFGMLSSSPNYCLLMVAANNGLIGMSKEHLGIALS LNVPVMVVVTKIDICPPQILQQTLTQLTRILKSPGARKIPVFIKTLEETVNTATQFAN QRICPIFQVSNVTGESLDLVRTFLNILPHHGHYNPDAPFEFLVNDTFSVPFVGTVVSG VVKSGVVHAGDNVLIGPDSLGQFRPTVIKSIERKRLPVHACSAGQSASFALKSVRRKE VRKGMVVLPKLEKPPRVFREFVAEGKYLPVPLLILSHATTIKPKYQAMLHVGAVSQTC AIIDIDRDFIRTGDRALVAFRFIQRPEFLSVGDRILFREGRTKGLGIVKAVSYDPKNP LNPNLKKPEEHNGTAQ UREG_04990 MKNAYHAAEKVIWGEERPPASKEEPIAGVKGEGTPSDPYDAGNV SGEEPISGVKGEGTPSDPYDAGNVSSSGPVDSSNTQTSGFTEGHSAKVANPHTSPHTG IAGCSIVPVGATLPGAGTSSLSGASKMPNDPMQSTAPTTGGSIQPVGPMEPGRDVSGS SGLEQFKTAPSDSPSTGLKEPTGTAPQKLSSNEPFASFPNRHEDFKPQHRATGPELTE VYHNQGGSGNQFDRREMQEDPGMKDRSNTKPTLESENYHPAETEAGLSTNAGAADTSH EQTSTKTPKGGETAQQPGEETVVRSTGFAAEGGNFDAKEPGAGREADRLLDEQQRRDP NSEPVKRHSVDRQKPEDHHGGLWTGTHKAGITFTKAKEKLHIKKPRDS UREG_04991 MALKMLLTDALGCEFLSSIQRADSSTLFCDWDSQRETGKEGKKS SGRDLHDGSSAR UREG_04992 MATNPVRGIVVFSGGSAANSLVDVFNAVRKNKNCPLSYIIPISD NGGSSSELIRVFGGPGIGDVRSRLVRLIPDCPTNLELAGIKAFFNYRLPPAADAAHDE WYSIVEGTSPLWKSITPAKRELIRSFLNHLNLEILKRARPPSSTFDFTSASVGNLFLT GARLFSGSFESAIYLLGSICSVPLEDVRVTPAINSNFSHHISASLADGTVIVGQNSIS HPSETTALQPVRRRPSLLLADGEDFSSESDDLSYEDSHLPGSLPTLRNKNINFTKSAV EELPSRISRVWYINPYGQEIRPPANPRVLEALRSSQAIIYSIGSLYTSIIPSIILRGV GQAISTCPARYKILILNGSLDRETGPSTHPYTASDFVEAIVRAGEESRGRGRGLQKLN GTVAIPESHAPVKSAPQGSTPGLSLPHTAYVTHVIHLEGPATPRVDRERLNEMGIECV RVYGRKVESPSGEVVGMTYDSTALTQALEVVLGKRGDAMVRGTLGEKSRRNTMESMAG K UREG_04993 MPRSSFVDLTTLSSSPATNDRDEIRRLTGSYSSMPNPSSFHVSE DSHDSKRRRLNSDAAAGPSALTSSAPLTPQEDYATETPDVDAIDLTDVNDPSSLAHAL SKQQEDAVKAQHSANDETGRSTLTSYKCPVCMDTPVDATTTICDAHMKLVKRHAGTVQ FAEKFLPGPTVPVPGGI UREG_04994 MLVSTASTSRALRRASLQLQRTPLLSRIRQAPPALSRRYSAETA PNDVGSTTKRSSLAVPSTARFNEIGVQQLSSHVHSQIFRRPPNPPDPELVALSKDHLS RHELLGKAQDGSPPIAFDLPRLEGESLDEHFHRLGMDASAPYLDFAKEYMSIHLPAAP RKWNRRSGWTKYNADGTIEAVQAPDETMLTFDTEVMWKDNPFAVMACAASPTAWYAWL SPWLLGESEDCQHLVPLGDPSQSRVIIGHNIGFDRARVLEEYDLKQSRNFFLDTMSLH VAVNGMCSQQRPTWMRHKKNRDLRDKIARQADSLELAQLLENKALSEEEEELWVGRSS INSLRDVAKFHCNITIDKAQRDYFGELDREGIRARLDELLDYCAADVSITHRVYRKVF PNFLETCPHPVSFGALRHLSTVILPVNETWAQYLKSAEETYNTRLNDVQKRLEELCQV AVEAKDKPEVYNNDPWLRQLDWSGQEIKMVKGKKKGDPPRPAARQKLPGMPKWYKDLF PKANAPMNLSVRTRIAPLLLKLAWDGYPLVWSDKYGWTFQVPKTEVHKYEHQPVVQCD MMEEKSEALKSDTQHVYYKLPHKDGPDARCASPVAKGYLQYFEGGKLSSQFALAKEAL EMNASCSYWISARDRIARQIVVYDKDVRRLSGDEIPQNRSSHLGFILPQIIPMGTITR RAVENTWLTASNAKANRVGSELKAMVKAPPGYAFVGADVDSEELWIASLVGDAQFQIH GGNAIGFMTLEGTKAAGTDLHSKTAKILGISRNNAKVFNYGRIYGAGLKFAATLLRQF NPSISEEETKLIASNLYRETKGTRTMRKMISESPFWRGGTESFVFNKLEEFADQERPR TPVLGAGITEALMRRFINKGSFMTSRINWAIQSSGVDYLHLLIVSMDFLIRRFNIDAR LAISVHDEIRYLVKEEDKYRAAMALQVANVWTRAMFSQQMGIDDLPQSCAYFSAVDID HVLRKEVDMDCVTPSHPQKIPPGESVDIEQLLLKGERAFLDPAIKPVIPPAPEKYTYI PRQTVMSSLESSQNTAYIKAQIVSDDKELRGIIRELYKTKAATPGSKAATTSGRKRGA SKEPSYAESQRVVLMEVGPKLLESFNGPANLTKPPQFSSNRYGWKPKVSPSP UREG_04995 MSISVRDYAQSTEDSSISLPDIEAQACPTPQKRHRLMPSSSGHD ASTADDGAQAGSSPSIKRRARRSNTARSYYPDGAAGQPGWRPGEEPGLDPSEPVLPPF RVQGEALLSESIHKRCDITVVDFSHEEIRVYQLDNDTLQGFLEREREPWVVCRWINVN GLSWDVVRLLATHKRLHKLAIEDLMHSIDRTKADWYSDHTFVLLEMQKLIKLKSAETS EESEAGSDTENEVPNKPGIRTSTASKAVSKRGTMGGVLKDALIDLLTPKIEKRRLRQY GSQNNLQPRAIRTLQRSRGGPNEDRIEFMERHAALASRGLGVAIEQVSIFLHADNTVT SFFEASGDDIEGPIVQRLRSPGTILRESCDASMVLQAIIDAITDLAIPVTRAYQDVIG ELELEVLTDPDIHQSTTLYILTSEISVLRNAIQPMASVINSLRDHSSGLDIKKVASTP FLQPVRPPSRNSAHPDAEGVRMASAVAIRLVFEVIKKRDVSADMLQVPIRTKGYFGMN FPRFTGVNNHSDATVRLRDNDVPDARNDKAVVIEASAKTVDLEFKAASEG UREG_04996 MPRKYDRPSYTLSTFIPRQTLDFYIALRALNVSLSLIPDSTSNP TIGLMRLQFWRDTITRTLAGTPPKEPIAILLANALSELDARTKGKSRISKGWLMRLIS AREQPLTNTSYTSITALEQYAENTHSTLLYLTLSALPLTSLTVDHLASHIGKAAGIVT VLRGLPLVAFPPPPNHHSNQDSLGAGGGSRQGHITLPLDVMAQTGLKEEDVFRQGADA PGLRDAVFTVATRASDHLITARQMLKNLRAGKDVGHDFEHEGEEGHEYYQNQAESGDS ASKQSNFAVQLQEVERGFGILMPALPTKLWLDKLQKVDFDIFNSELLSTDWKMPWKAY WAFQRKVF UREG_04997 MNFTDIDQRPAKKRRFFFDDEPEAGSDGDQSIQHADASPRLGPP NDPSAALPPIAQHTDSAVSVQDTAPSANIKDEFDVGFFTSVVGDELPKTTIQKVRELA GDNMERAINIYFDGSWKKEAKDLGATRLNQTPSMAQPQSKQPLPLQPAIHSDPHPLLG EVDILAEDPEARYLGAFGVGGWATRSGPSLLKHGEEVRIERSKAQLNFKPGRGGRGAS RSTNQRADVITRFTTSRGDEIGRLPRETAEWVSTLIDQKICKFTGTCVYAPDRIRVND TIYLQIRAFLLKDSFRKGAFSSEEDHTPRLFEQQETAEEKALRLRQAALVTLFDEINL KPTSTNETTARYKKEGLLKAAERVEGHGTKGETPSSQDKGRSSDDGEVEQLDQDQLDT LYQKAQRFDFNMPEATPGNYFAMDLRKYQKQALHWMLSKEKDMSSNRETSMHPLWEEY AWPATDVDDKPLPQVRDRDKLYVNHYSGELSLEFPIQDQHCLGGILADEMGLGKTIEV MSLIHSHRPDSATLETSSKQSPNALLALTNSSSTAAEAPYTTLVVAPTSLLSQWESEA IKASNSGSVKVLVYHGSDKSVDLRALCSSTNPEGPLNLIITSYGVVRSEFFQIASNRG RSSIAQSGLFSIEFFRVVLDEAHYIKNRVSKTSRACCELKAIHRWALTGTPIVNRLED LFSLVRFLKVEPWCNFSFWRTFITIPFESKDFVRALNVVQTVLEPLVLRRTKNMQTPE GEPLVPLPARAITIENIELSDQERDIYDIIFTRAKRTFNDNVAAGTLLKSYTTIFAQI LRLRQTCCHPVLTRNQNIVAEEEDAALASEGANALKDDMDLQELIDRFTASTSSNNDV EPQDRTANFTTHALKQIQTDSSGECPICSEEPMIVPAVTSCWHSACKHCLESYIQHQK DKGEIPRCFSCRETLNTRDIFEVVRHKSPVPSPVVDDLYDDSDSPTNSSSPPRISIRR INPLSPSARTSAKIFALLTHLSSLPKNTKAVVFSQFTSFLDLIGAQLTREGLDFLRFD GTMQQKARKAVLTEFSRVPEVFSEDEEDDDTAIFQSTRSHKPKIHKSTPNILLISLRA GGVGLNLTAANHVYMMDPWWSFAVEAQAIDRVHRMGQLKDVKVTRFVVKNSIEERMLR VQERKMMIAGSLGLRVGEASEEERRKERIEELKLLFE UREG_04998 MGRIPHAAFSAVNSVLAKSITDNVLLIQETIFDLLPTIRRFWVT KSSPLRDEMLMTLMLGSDVLTKHKASPELPIEALQGLADQIHRTYVRLPEKDILQVDD LIFNFESSPIPMALKFMAPRITVGKSLQHWMTLSTVANLLKLLDNFYLHSNRPKVDGE SNKRQQFMSRIDDIFRDASLAPAVNRIAALQIIPFVLSRVELSSERVSSLMQLLTVNV LDESPTVSSWAMIAIASVASCESACSDELKANWAHMWELASRTLPSPGTTRAACTLMC AIIHYQLLGYVDIVSTFESVISSVDLNGPSTLTDSALYLWTTMMDLRLKTNPAQSQEM AKQICGWLKTTWTIGPVTDRLHASQIAWFARPLSILNLLISCTGRNFITLTPSFHGPL SRLAKMWIFYNQKRKLAKYLLLIDPQDCFECSTETFQGSIDISPSTRSNPHDAIVLDL LQTRLDSFGQVWTQSFADKSSHTTAETLQTLVSLCVIIYSFIEFVPSHSFRAENLSRA TDTLWGSLCEHMSHDAESLEHCLDVLSPLILSLELPFNRKSIILLALSKLSSGLLPLL NNRRQLERDGVGTGEAMDLDDQFSSQESNYAQTQIVSKYNREDSGMVIFEDTDSIRIA TTIQLSLLEKFSTVSDPTELSAFISSYIETWDEVDILAGWPYLCKFVNCMPGIPRADA CNLIEYFGEQCLQSYNLERCEASMCACIQLIACFVELWTTNENDDLYDSASDIYMWFV DVLIGKGVGTSQALIRLAGLLKQVLNINPKFLRENRCPSPRTSLFSILRDGDLVVKFH VSSLIPGIFGGFVLKEHDAIFDDILESLPRDREWDEGIALRLFVLAKLASRWHTLLRR SIYHIFETPGQVPSSTSYAKACLQNVSEALGLNDAREIFKLFSSQIIYTWLETQSLTK LPFGVFGYLSLRDLLVDIQDEVIAQMVMRVKDEDMTEISACLGSSFPNLLTESFYKAE AYSIARDISMPPSQDPKLRGSESGMKKLLGPDKFLSLVERFFPEIVAVIFKSMDQTEQ IERAFAKRPRFQRAGNTLKNICERSASDAILPIGQQPSFRARYLIDELEFLSKRTGYD LESMWTPALVSFVARELIESIHPALGSLHACAIIRKLRALVCIAGPLALEDYPLEMLL HCLGPFLTDFQCSTDAIGVFWYLIDSGREHLSSNPSFLAGLAVSTLASLRGFLSSKHD STTQETHFRATMSKAEAFHRWFSEFLDEYQAPALNEEEKTSLRKIIRSSQNIRLAGNA SKSTYEGELMVELLQDKVSGRKLLSSPASDLVFSRLCHDFQRPNTFRDDVLGDDKAAC SNTLGLWTSLENNSLGSGYRLWVARALGRSYAATGVVSELLLREQRVEFPEGFNEDNL VNSKVSILHSLCDCLFSNNHRSVGLAERTLQMIMDGLTKKSGFEECEEAIPASLMKAL VWAPYRCPELALGETEKSSIDPNLRWMPSVSVLEFAKAFSLALSLRATGDPVVGALQP ILFAIPTLAAQLLPYVLHDVLLLESDGSQKTRQAVSAVFREAFRDAQECTLPHIRLII YCILYLRHQPLPNESTMDERDNWLDIDFIDAAYAATKCRMYKTALLFVEINHSRIATS SRRSSAVKFVEPTELLHNIFSNIDDPDMFYGVHQEPSIETVLGKLGYESSGLKNLAFQ SAYYDTSMTIDGNSDDTSALGILKALNSTNFQGIANAMFRAPGVGERRPEVFDSMLST ALCLKQWDIPIPNTTSPTGNIFKALQSLNTFEEKAQLVQVLDECFLDMLGCLSKENQS LSSLKDTMQALGVLSELDEILSSESYQQVADSWERVLNRSLWLKFESFHDIGQILASH EAIFSMISRKPHLRTMLATNARDAQLLEVKAIRESLRISREHDVHQASLKSAMLLTKL IEPCSQLGVEIDAAVTLDMANVLWDQGEMTTSIKMLQQLSEKRDVHKQSIPVNRAEIL ASLGHHIAEARLEKPDVIIQDYLSPAIRELKGHLDGEEAGLAFHEFAAFCDQQLQNSD MLEDFKRIEQIRHRKEKEVQDLEQMMKAAQGKERDQLRIYRSKAKQWFDLDDREYQRL KQSRETFMEQSLENYLLSLKACDTFSNDVLRFCALWLDNSNSETANKAVAKYLSQVPS RKFAPLMNQLSSRILDVDDSFQPLLFDLVFRICMEHPYHGMYQIFASSKSKAGKDSMA KSRYNAAGKLVERLKSDRAAGPTWVAIHNTNISYVRFAMEKADEKVKTGSRVQLRKSI TGQRLEHDVTRQKIPPPTMKIQLRADCDYSNVPRLTKFLPEFTVASGVSAPKIVTAIA SDGLRYKQLFKAGNDDLRQDAIMEQVFEQVSNLLRSHRTTQQRNLGIRTYKVLPLTAN AGIIEFVQNTLPLHDYLMPAHQKHFPRDMKPNACRKHISDAQTKSLDQRLKIYRQVTD HFHPVMRYFFMEKFQNPDDWFSKRLAYTRSTAAISILGHVLGLGDRHGHNILLDETNG EVVHIDLGVAFEQGRVLPVPEVVPFRLTRDLVDGMGITKTEGVFRRCCEFTLEALRQE SYRIMTILDVLRYDPLYSWSLSPLRMKKMQDTQEAAGGAEAVSEGGKGAVNEPSEADR ALTVVAKKLGKTLSVAATVNELIQQATDERNLAVLYCGWAAYA UREG_04999 MDGDPVLDGLRGLYQDLSALSRNALPNVERLVFELEATVDDFRK LLDKVPKKNESRKAVLSGKIDLEGVEYSLNDAFQQDVLQVADALDIDEVQAASYYMQA QLDTIKLDRSPVMVAIIHFHERRAFLLECLRLVLHESFEIEREDTRALMQDIVAMVLE IQNGPLRNGSLYARKCMDSMGDIEKWLVLLGEQIQKASIVGVAQDSDVLEVLEYQRQS LGKQHESLGAILYYLFKGTFTSSEDFRKLLEKLKKAERFDMLLVHHLPALISAISQYG SSEGQGPLREARSLHLTIAGNKESANWVLPKFHAAVVVFWLAEYSGWFFDSGPVSPLQ GINPAHELSALTQTFMSSLDAGGLEFILLVCAGVAGEEWDVPARNELVSLILKDAQRL SVEPDRPTAYFHELLMVGLEAFTESLIANMPDAIRHLKSEEDSQRLDQMTALRETANA SLHRGLIEPRMHLESLLVIIAFTFDKRDEPAQEFWADTDGNLYGFLQWVSKRQTVPRV SAFCEMLCSLSGGEENSASAHSFLQDEDSSSSKFRRSISMNWDQMFAELQLYATRVTE RPPASQPSVLRNRKPETVDIDEPESPVMLTCYLRLISHLCKENTQIREWILKYPTANI ANTLLTLCAAPIPHYLRASIFVTLRCIMLQRTTTHGNEMWTLIDQWISGSGASPLSLT KLPVLTSSPTLNERHVFQRIMESFDQTNAFVDLLIVLTSPTVDSGDSQICLQFPESLG SSYQGKEARLLQCNCLSFVAINLESFNENLVSLVNQTTVSSHTTIGNVPLQTYLRLHP FSRIMEWLFNEDVLRALFACSHQDIEDVAQASSDSVLLQALIKSIDVMNMIMYHQATF FNIVRPFVRSFPHQGKSNMANTSLASFEDSVMDNLSLITDLCLYCGTGHPQLTLTSLA LLEKLSASRKLNKPTAIFQWQTPNQLVELLNSNVDADRIARSLASQMVPDMRELENGP TAAGYLIKLGLVQLLDKCLNMIPNKPTIAHVLLGFRCIGNPLDVASDSLFEKGLSLLH AIIDLVKAYPDGGDGTIISWMIHLKQLAFHVLQCLWTSSLSSALVLPQLRANRLLANL LASQAIIAPDSLWDGFRITESDFWFSESAVGLSEFLVYRSLLFDYATTETRAVFKESS PSFQRDTLSTLLGNSTSEDGTIISHPSIFDLFDFADLDVEWEYAFPGLKYFRDVDLSV CAASQLDGFPVLYDLSSVESLLQLTKDNLLNSGQVSMQEEDQVSTERDKLLLFLRASN QNRQIRYNRLVALKSWVELVITIIVNGEMDPTRMATLILHTLQVILPKLESSIIENAA EATELARLAETLIEKLVSSKGNEDVIDERLHHLFHVCVRGIPSVLEEKALRETLYHIC SRYLSRITKKGGAKVRFGSRAHQIIKSTGSSLIDAVCDDAYSGDENCRISALVFLNLL SVLCEQQSSSVLVTLISQSNYLLMFLDAVRSMASEFRNTQGAETSQLLVFYEALLSLL QQLSQSKIGAIHLLDAGLFQAVKESQIFAADPDIGLDIDNPDALHKYFDLLLSVLRVI VAAVFTRGLHNKQIVEQTRNFLSENRQSMVGIFKRSAKVGGSERTDIQDSLRELVKLY VALIAAVDFLDFEDQSQQPAVQKIIFIVLFNNEI UREG_05000 MQNHGTRGNRRPTGKRKLCEGNAETAEELVRNNQGTIPDLFSRG RNNISGHKNRPLSPSSKRVKRSEVESHQQRDPSVQLQPTQERLPVERMYSFSSQDAQN SSIRQQNNRISSPAVIQNYPSPSTTPTNFTPHTGPKRLTVKNLRVVPKLDQDQYFETV WAQLDRALTAIFNGQKPADSLEELYKGAENVCRQKRALNLAQKLKDRCKTYISNYVVP TLVAKSKNNDNIDTLRLVEAAWATWSLRLVTIRSVFYYLDQSFLLRSTEHPTIYEMGM IAFRSIVFLNASLKPKVLQGTCELIDLDRNNDPSADSTLLRRAIKLCLDLRIYKHEFE PVMLESSKEYLKLWADTEANSSYLATYVDKSHRVIETEMARCDLFNLDMSTKHSISEM LDTYLIANQTNTLLKESDVLGLFRTNNQVALEQLYSLLQRLDLGSRLKSAFGSYIADE GSSIVFDKDRENEMVVRLLDFKQDLDDILINSFQKNDVLGRTLREAFETFINKRQRRA NGAQPGEMIAKHVDLLLRGGLKAIRKREVPMKNGEDIAMIDEDVELNKALDQVLDLFR FVHGKAVFEAFYKNDLARRLLMGRSASDDAEKSMLARLASECGSNFTHNLESMFKDID LARDEMASYNALQREKREMPAMDLYVNVLSSAAWPSYPDVPVKVPRVISNALSDFELF YNNKYNGRKLNWKHSLAHCQLKARFPAGNKEIVVSSFQAIVLLLFNDLSEGQTLSYRE IQEETGLSDIELKRTLQSLACAKYRVLTKSPKGRDVNATDAFGFNTKFSDPKMRIKIN QIQLKETKEENKETHERVAADRNYETQAAIVRIMKSRKVISPQELIVEVIKATKNRGD LDPADIKKNIDKLIEKEYMERDTESNKYKYLT UREG_05001 MQTKHFFNNPTHLVSSALRSLTITNPSLAFDEENKIIYRRPSKD ACIQPKVSIISGGGSGHEPAFAGFVGKGLLTASIAGTIFASPSAEQVRRAVMQRVDTS KGVLIVTMNYTGDVLNFGMAAEKAKAAGIKTEFFSIGDDVGVGRAKGGKVGRRGIGGG ILVLKIIGALAEKGAPLSEVYATAQLASHNVVTLGSSLEHVHVPGRVIPDPNSNEMIP NDEIEVGMGIHNEPGSHRVKATIEQLIQTMLKQLLDQNDKDRGFLKWGPSDSFVLFIN NLGGVSTLELSGITAETNLQLQREYQIKPVRTIQGTFLTSLNGMGFSISLLRLADTGL GPGKSLLELLDAPAEAVGWAAPIQTSTWENQSDETFDGRRASSVHEAPSNLKVDASLF KKALTSGLNRLIAAESLVTRYDTIVGDGDCGIGLKRGAEAILDLMDNPSIASNNDIIR SLNKIISVVETTMDGTSGAIYAIFLNALAHGLREQDSSSSPTPVTAQIWSHALQSSLK ALAKYTPAQLGDRTLIDALVPFINKLAESGDVHAAACAAVKGAEATKGMKASLGRSVY IGGEDEWIGRVPDPGAYGLSEFLSGLALEI UREG_05002 MSDVKIQDLLSKPRSELTEYEVSILEEHELTTGPLSILQTATRS HSQVLISCRNNRKLLARVKAFDRHCNMVLENVKEMWTEKPKGGKGKGVNKDRFVSKMF LRGDSVILVLLS UREG_05003 MEESDGISVHPMRRELSCIPPPNQAHFLTLPPVKVLYTFDDESK TNCLARWPHLLDIQTAFLDEETQVGVIELKTCIQAIVSASPELVAKLGRDYTVYAYDY SEYETPLVGQGMLSWVLASASPTPNAPAHQSKTMVTGRVSKTAGLFARGAQETLEVKL KLVPVPTILQSEYLNSMQKYRELSSVIPQDFDSQAWTNFIQANPGLFAGSNTQQAAER DTPGVNRSGIENVHRMLSEGSTPHDFSNLNNFRADSPAHFTSAVPSRTSTPGLARPVT QSQKRGAEETFRPSSRGSVHNVNPSIHRRGSVMSGYGSSDEVPEGPAQKRAKLMKADL DKTNLNIERQPGSLRVAASTAASVRIHRPTPLNPNSQGNQPSNDDPIRPPTPVPSMAN APNRRGARPAQSGLRRQSSTFSQPSQASLYVSDDRRPSEMTTISPPDEQRFAVYSETP FNMPSSPPVVDTTCPQTSSPVLPPFPDQDSGFMSGNIEALDDDHVNNEYNNVQRQNSM VEATNSQILSRPGNHSSNGYQYRQIMSDGMAPIGNTASTLPPQPRKATGSRPSSRASI RTSKPLAPAPAPARPATAGNAQLSLPPVPASDPVHLAQQQQTNPQASSCPMSDMATVS TPAPIGVSDARIRSGAGARRVKQVQARLDQCIKQGTVPPYCENCGAIETTTWRRAWSK VIEGSAEDANASRNDPGMLFWEAVDTESDGAVTSYKQFKKSLANEDKDYIQLLLCNPC GLWLHKFKNMRPENKWNKPPPKDKRKRKSNRRPPAVPAASTRSQMRLLAAKRSESSPP PTEASSPNDEQTTPKNGKGLGNNRVSQCRSSTRRANSAEPQKSTTNNDRWKQEDAFEA LRRAIQSSPARNLETRNNSFMEPNLTPKPVRRTLFQSSKDDNAMKTLTEALVNSVRRS PRSSPKKSKQNTSPKGKSQLPDDGLDHLFEGGEDGLFDLETPNSPSPKQKDRSNTQTI NKENLNTPSKAPNTSREQQTLGQAIDAGKNPSGESGISSFWNSPNSRSFETIDGLVLN IFESDQESLAQTDAFQSFVPSKPANGAWADWDASDYISPKTSEGAEGLADKQGQNSTA SNEDNTRFSTSPGLCNEDAPNEVSDSRANEEAELRSLLLASTSEYMRFNDASGISDSD IFDPALVDPELLNSMWDKDDILTSPSSSKGTGVEQFDAEVISAIIQEVTSKTGTT UREG_05004 MALTTPRILPGHLHAFLPSPTNRTPNAVRIFGVVAKLHGETATL SCPNHGEVTLVLSRDSHLQVGKMFDVVGKVVEIEGGAGIRVLGAVDCGNPNECDYKIY EHVVEMTHRFKDVFYTASS UREG_05005 MATSTRVSVVWLLALIAGLISPTIAQTWTECNPLERDDCRPNPA LGTNYTYDFTGLLNPAVWNTTAGEVINGKKGGEFTIRERLQSPTIQSHFYIFFGRLEV HMKAATGQGIVSSVVIQSEDLDEIDWEWVGSEQGSVQTNYFGKGNDTTFDRGKKHDVN DPMHSIHNYTILWTAEKLEWWVDENLIRTLKYEEAEGGKFYPQTPSTVRLGIWPAGDE GNRAGTIEWAGGKVDYSNGPYTMIVERLMVEDFHKGKEYVYGDNSGDWQSIKVIDGVS DVSKEATKPPPKSLSQKWAELSAGTKGGIFIGIAAFVGLILAIIAFCCIKQRRAGRKE FNNENSKFVTEQTSNMALRTQWNHKYRQVGG UREG_05006 MPPPAFPSSNRRMTANPLKPAKRYRPGKPIIDERESSEEEEEEE EEQETIDEPQRPEPQQAKRPPPPKATSFPAIGAKQITSGVRDVTIEEDEDEEGFVTEE EPEEPSQPTTAPGHRPVPPIVSESEQSSEEEEESDEEESSSEDEGPKRMLLRPTFIKK TQRKESATPGPALAATSAADEDEAVLRKAKVDTLIRDELEKEAASRAAQKKSWDDDDE TGAGVEEGNIDDTDGLDPAAELAAWKLRELKRVKREREEIETAEKEREEIERRRNLTA EEREREDREYLAKQKEEREAGRGKAGFMQKYFHKGAFFQPDSEKHGLTERDLMGSKYV DEVRNREALPQYLQVRDMTRIGRKGRTKYKDLRAEDTGRWGVDAYYRSSAPANSSSRF GVTDERFLPDRDRPSGPTGANASSVRERDRPARRTSRSRSPPRQSYRPRSRSVSQTRR RRRSPSYSRSRSPPRRVRDRDYDRSRRKRSPSPYSDREKRRRVDVSA UREG_05007 MDGMPSHVTFIIINDRALQCSIIKPDTIFKDSVQDTLARFPLCV HTLHFVKTITMMQVDGCPRDLDAQLETYGMIVAVASITIITAGGFLAVTYLKNSSPTG ALDYLLALKPETGSYGGYVFHRASYPDPGASQTL UREG_05008 MAWNVRTLSRKLGNIRLKLSVKSVFLLTKPRDKCLVQLTRDVTR WLLSDLRETQYTVYVEKRLEGEQDFDVAGIFADEPSAKGRLKYWDLNLIRQSPQLIDF IITLGGDGTVLYSSWLFQQIVPPVLSFSLGSLGFLTKFDFGNYQETLQKAFHEGVTVS LRLRFECTVMRTKDRAKGSQRDLVDEILGEEADDDVTHMPDKTFQILNELVVDRGPNP TMSSLEIFGDDEFFTSIQADGVCVATPTGSTAYNLAAGGSLCHPENPVILLTAICAHT LNFRPIILPDTIVLRIGVPYDARTSSWASFDGRERVELLPGDYVTVSASRFPFANVMT TNSRSHEWIDSISRTLNWNTREKQRSTGRDKYCGKCGN UREG_05009 MAQFDRMSVDLGGNYAFANPTYVGGLARRHPSRITKLASTGNSP RNNGRGDIRSRSGSCRRFSAQGDQLAASFYAALQKATASHHLPVADCQPRPCYAEPQM PGTCNSFAKWPPAEMSSLAGRQPYERNSFHLSFHGDFQQPWEEDRESEPESDWPTYIP MVSSTTTPNLRHYERPTPQNTTATSSPELFSYHHVPEPKTPPFLAADDGAKSDEVLVG VGLYDEPVEAISWEESSSMESHFGIRTNLDGPFLKPAQIIGKGLKLEETFNPPPVESD AEDDSEDEACGE UREG_05010 MPQLQFTPWKERSQLVAVRDQFYPPLDYNGPDMRPQASALVWVW KIRGNLPHAVEATALLTDAILHDDASKNSIFSIRATYSSAFCRFVTGLVDSKLHGRKQ TMYQKAMTLGVPASFVELRHEATHRELPSLAVLRDAARRSLDWLWEFYWAKIDYGGSA PLGSSETTERALSDIISATLQSLLAKRSGQDGGGKDQSSRLSVRELAMICERESDGSG LVARGLLQSGVLIPQDRSFGDSMDRAFSTWDEHIKQICRHHTPFLTQFVENMVDVLIH SPSSDADNQPYQEGVYAWLQHILQSSSWALLRRELLVLSYAQADCRDGDGHWRRQLNS LMESYQDGLEATLEMKGMAPRAAEIESELASDIEGLQDYGWSMERRRTFKPIGLV UREG_05011 MVTKTPAHGEKFKIGDRITVTALHTPCHTQDSICYFAEDGNQRI VFTGDTLFNAGCGRFFEGNAQEMHKALNEVLASLPDDTKVYPGHEYTKSNVKFCLSVS QSDPIKKLHAYCDSNPRTDGVFTIGDEKLHNVFMRVADPEMQKATGATNPVDVMNALR EMKNAM UREG_05012 MSQSGTKEVPGDPMTLQNQVLTTGASMIQNFNPVNQICAHLHAY HVYADDPTRIADFRQCLIYDSPEKNARLIGVEYMITPKIYETLPPEERKLWHSHEFEV KSGMLVMPTPKGTPAAVWDKAELAEMDNVIPLYGKTYHMWQVDRGDAVPLGAPQLMGS FTSEEAVKKACPGGIKELADKTGERFGIDIAKKKEERQGLRPGWEIHPDADAFWKK UREG_05013 MGARYFASAWLHPEEVTHAKQQESQERISKKLTILGSVLPRRFH SLISSLQADLHHLYSPVYPQVLTHGDLCEMNILVMPETGQISGIVDWADARWKDDENR GQLEEEFWRLFWDAVDVDRNGLKDQLERAVRVARDIGVLLRHGFSWDEGIYEGPVSAT DTNSMRYFDALLLSTKQMT UREG_05014 MVLISGAGEKAFCAGGDVATLAQQNTEGKEGQQKSKDFFSLEYQ LDHLIATYSKPYIAILDGITMGGGVGLSVHAPFRIATEKTLFAMPETTIGFFPDVGGS FFLPRLDGEIGTYLALTSERLAGVQAFYSGIATHYLHSSTLSQMVSRLSELTFKDYAS LAERLDVVNSTISEFSTGLPDEPILLAGSLRESIDRCFSGSTVEEILAALEAETVNTE WAQKTIKTLKTRSPTALKVTLRQLRVGREWSIAETFQREEKIAGHFMEHPDFVEGVSA RLINRPPTEPNWKPATLEEVTTQDVDEFFRIPQGEQRLSLLNPEADYTEYPHARFALP SEAEIEKFVRENSTSKTQIVKAFEAKWREKEGVRAKVTEVLNRKTKSTDKGRQWIDGV EEIQESVEEEEKA UREG_05015 MATGSKAFGALKWLSRSCAWAPVLEPSKQCLPKQLSRSMATETQ IPTGSLLTAFADAHVSPISPAADDIPAPAWSSKPVIATLYDFPSMEPLRFLEYRNEHL LLPLRRDLLHRAVVYEGDMTRQGTASTKWRSEVRGSRKKVRPQKGTGRARLGDKKSPM LRGGGVAHGPHPRDFSTELPRKIYDKAWRTALSYRYRRGQLIIVNDNISMPKDTSVHY LKEIFDRNDWGRKFGRSLLITELKKEKLFESVEQIGEDARVLDREDVDVKDLLETGRL IVEKMALDRMLASHSSDLKSKPRRA UREG_05016 MPTRIHDTQQQWIKNETAGWLLAGSLTRAEYEILDIAAGSRFEN FQAPYSASSKEPDLCICPNTQPLPQIVIETGWPESWPRLHQDADLWDDGRVASAIELF GRDSARNVRLQQTERPTPLTPARSHLNSSLRPCERPKVCQGFKGTKIYGGDLRINMNT MQQDIFPVPITGAPQTLPITRRQLFGAYLLAGRNGNDGFQLSVENLRAIATQRIQAMG LTPA UREG_05017 MAHCELASKPLLQCLRQSYRNGFVALPLQSARSFASTSTLSEEA QADSSKQPFYRAIDPALVSSPRLERRLIRAGKFPIGSRRRRAALQDSLNIPFEQLPYQ CFQEARKVLLEDRAEKLKQIETERGRLERLRAANAEDVGGEAQKQTRIKSMEKYLEKL KVLADINDPMIKRRFEDGLGDMSKPIYRYLADKKWRDYRREILVQRITQMKVVPDVLA HVNPVVDVTLSFNRKPVQPGEFVNSRVSTVAPTLTIQPFSKGQKLVTIAVVNPDVPNL ETDGFDYRCHFLAVNVPITPTDISVPLGKLSAESQVVLPWFPPTALKGSPYQRLSILI MEQKDQKPLDLKAVSEKVQREGFRLRSLETRHQLKPIGAHLFRTQWDENMADVMNEFG IEGADIELKRKRIEPLPYKRRNPASFR UREG_05018 MPPKRRGAASASTAQSQPKKTRLSKLAKENDITAEEETEIKEAF HLFAVKDLPADVEEDYASEKEGVIKTEDVRRALILLMGSRALGLPPNSQSELNSMLSA VDPSRTGYVCYEPFLSVCALKLHARSDDARSEEVEHAYRLFTRGTDGPILLSHLRRVA RELKEDVSEELLRDMIREANGGEGLHAGVNVEQFGDVMTRAGVF UREG_05019 MSPPQCMRLWWSRMFKPKQDCRSISKASTLSSNHYIANKGAPQR DWNSNDEFFKFTRGRFVVDEAENLRSREIRFDLNKLARIAADSVGAAQCISIKKYPDG MFNKAFLMSMDDGQEVVAKVPNPNAGIPHFTTASEVATMDFARKVLDTPAPRVHSWNS RAKSHPVGAEFIIMDKTEGVPLSQVWASMKLNQKLQVFLAMTRLQKQWLSVSFSHYGS LYYAGDVQSPAGSHYFKNGEAVNDSEFAIGPATGRDWIDGGRSALDIERGPLSASNRG TRDKGNSIFKDPQTNCFVLWSKIYQPDKHKKRAALAWYRDIIDALVPNDTAITNPCLW HDDLHDDNIFVDPHNPEKIMGIIDWQSCHISPLFNHNPDPAFLSWDGLEPETLDQVPR PNLSGLSPEERSAAVHEYSVQNLFIGWRKLMHAKNPDLYRVVEFRKTAAFGLIFLAHR MFEYGESHFQSLLVDLKDTWADLPAVTRNNQFPFHFSEADIERIKLDSDGAVAGTDLA MGAKESMGDLWPDQGFIEHERYDDCRAAL UREG_05020 MSLDPRFYNSIAHLPPRPPMSHSSSNYPPEYGSFAPSMASFTSA SVAGMRSTASSPSLRSKERVMAPVTRPGTPVTAGGGGNVKVVVRVRGFLPREIQRGTR CLIRMNPATQSTTLLGSSDQTPKSQSRSKVVEDKAFTFDNSFWSHDDNDPHYATQEDV YNCLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGTPDNPGLIPRTCEDLFQRIENS ESPDVTYHVRVSYFEVYNEHVRDLLVPRTDPPYYLKIRESPIDGPYVKDLTDVPVRNF AEVMRYMRKGDTSRTTASTKMNDTSSRSHAVFTIMLKQIHHDLATDETIERTARIRLV DLAGSERAKATEATGQRLREGSNINKSLTTLGRVIAALADNKPGRPRKNKDIVPYRDS ILTWLLKDSLGGNSKTAMIACIAPSDYDETLSTLRYADQAKRIRTRAVINQDHVSAAE RDAQIAEMAETIRTLQLSVSQQSVSKRDMEMQNEKLEEYQKQVTKLQRLMEETKMVSE NKIRQLQTENEALRLHLHLALDSLKNPIPPVEVMKRRNRNSSLTLASEKDDIQSEKCF EKENMAPQEPPDLIWEDSASELDIEVQAEMEDLLSDLSFFRRKLADDCERFGVKRRRR GDRKTLCEVPMNR UREG_05021 MEDSARQKKGFGKVVVALSRRCLRWPKRRLMVLVRRRKKSQVTR SSGEHQLVSANNTSHHDHHDANGNALEHGLLSSTDTIMSVAKQKIINSAQGGAEEGPG SQLLSGGESSAAKGDESSANASAAMNGGAENKNRKKQEAKGGPEAKQGAEKKDSQQQQ QQQQGEGPPKLSNAELKKRAKAEKAARRAKEKQEREGEQAASAQLQNQQQQAKKGGGG GGGAGKQKQGAPAAGTKQAAQRRGSVHVPAVESKKKKKSENENIVAVFGHLPWHSRRA GIAGVGKEIHPAVVALGMQLRDYVICGSSARCVATLLALRRVVQAYITPIGTSLSRHL TTYLSHQINYLTTCRPLSISQGNAIRALKLEITTIDPGDSEDEAKQQIYDYIDNYIRE KYTVASQVIANSAADRIKDGDVILCYAGSSVVQKALLTAHAQGKKFRVSIIDTRPLFE GRNFARTLSKAGLEVQYSLINGITTAIRDVTKVFLGAHAMTSNGCLFSRVGTALVAMS AKERVGGINIPVIVCCETAKFTDRVALDSIVVNEIADADELVAVEAPEQVTNLSPPTP APTGKGGKAASTASSATNADTGSIASSLGPTSLVTDPSQPLQNWREMKNLQLLNIMHD VTPAQYIDMVITEMGSLPPSAVPIVHRMSTESEEKDAKH UREG_05022 MAARHTIAAFLGIIAVCSIIIALASLLGIATGGLIALNRVWPGL FSLFRRRGQNERSLEEGLATEENQVNASGNAECQRQTKQTTEPTKLNWAAGYPAFAKG KKLSTKVQILATGSGLGPGMIRIAPLFPDTVMAVFDSDPIAVGETNSRIMKLRDQFAK TSKPVVGNPYQNISAFLMVHQAAAPNYFTKGQLSKVFVSIPSQESVLEKSPSAVDISQ SYASVTQSGGVFYALFESEDALQRFAGEFDIAGHLWLRVPEEEWTKDCMMAAVGDRMK EGSMHESRIAVWKRK UREG_05023 MLVRHHVVFVLRVHGLKLRVHEDVFDGEEGRGLGGGVGVAGAGA GCRAGGGGGRRRCGGVVVVAVVVDGGGGGVRDCGRIVGGRGVGAVEVFEEVGETGVVE VDVGWRGVFGLGLGLVWI UREG_05024 MGEETTLNLKTARALKRDILDTLERESKAKGHLKPSEKRNVVAA TPRAVNNNQDVFGMYATVVPFGVGLISLLIFGRLEDLVPNTPYSAGKSIKRKAGFDTP STKANRAGDFSSPLNPRTPMKHAGGQLDIPAPTPFSERQNSGQVLETLNSELPAAQPP MAPYSESRIKLTANTDIKKFTYKPMAMKLSESSEVLDDRIDEFAAIFQKQYTSDDTPF GNAAQQSTREIIAVGRIASDSSEGRLNTASLVLEMSRRTGAGLRVPLKVDSLPSVQFF PGQIVAIKGINASGEYFSAIEVLSTPLLPPAASTLATINSTNERLSGSGSEPLNVLLA SGPYTTDDNLDFEPLNALCAKAAEQSADMVLLTGPFLDIEHPLLATGDFDLPDIPGID PDSSTLSVLFKHCISRPLHQLTMAVPSITIVLVPSVRDAVNKHVSWPQEMLPKRELGL PKQVRMVPNPVTISLNESIFGLCSHDVLYELRTEEILGGKPTETNLLTRLPRYLIEQR HFSPVFPPSARERLPRAGTEDGVATGAMLDLRYLKLGEWWNVRPDVLITPSTLPPFVR VSFLLTPLYSRLLDIPS UREG_05025 MEPSKELPPAPVEPQGPPTETTAVPVQEHEGQNQESTPEKPIEN QFSSDSLPLSSADGPVKTLFSRPLDDCKPSPPAELTPEQQTKYETILKAVSAWTELPT ASAKNSPTAPLTDDERMFLTRECLLRYLRATKWNVADATQRLQATLTWRREYGVKEHT PEYISIENETGKQVILGFDNSGRPCLYLNPARQNTEHSDRQIQHLVFMLERVIDLMGP GQESLALLVNFKQTRSGQNATLSQGRQALHILQNHYPERLGRALVINMPLVILGFMKL ITPFIDPQTREKLKFNEDLRQHVPPTQLLQAVGGDVEFEYDHSTYWPALNALAAQRRE EYRERWVKGGGLLGEYENYLKGGPGKCRAETEAASSIEVEEKMEQLNVDSSPMAQTQA AVA UREG_05026 MMPDDAPTRPPSTTATTKPSHPASNPGSPPYGASRGGHEAGFVQ PSSYLRPRGVSRPIAPVERAVDRDEKQALWHIRDFLKNHTSYDVLPLSFRLIVFDTSL SVKESLNILIQNGIVSAPLWDSNTSTFAGLLTTSDYINVIQYYFQNPAALARIDQFRL SSLRACRYMLSSRARRIPLVSYDSQTDRQLVVSVVTQYRILKFMAVNVQQTQNLRKPL KDINLGTYKNIVTASIDTPVIDIIHKLVERSISSVPIVNSEGVVYNVFEAVDVITLIK GGVYDDLNLPVGEALKQRSPDFPGIYTCSIEDGLDTILDTLRKSRVHRFIVVDEFFRL KGVLTLSDILHYLVIEGEQEEV UREG_05027 MMLTQARQYLSQLRRRAYGNPALIPLKSESESATATVPVTIDLA EKTKLGPQSPELLDLDVADQLLVQRSRQSSGASETSALEKETSKYSGSTIVSDGPSSY AKQSTPLNNQREWLDPDRADSQTPKLHGLVPAKKLRLSPKAEAILHEANNESIPTPRN TSNQTTFSEKSDITVCHDPSKRCQSPIVALDTVLSETATDPFSDAHAITECSKISSQS RTLQNTTNHDDATEKRSFEQFTTPVDSNDVQLPATVINSRQASNSSYGASRRILEGTN IARREFARSRAFLAFNTSAPYFSLEALAPPRGDPEVFVIPTTNHTGSLLHRIRTAKSS LTMGKKYSIRGKLRRMKTLATLVTQYQVGSLTGKRLEDLSRLGGESILSKLPPRYFPG TLRLPTCIAAPISALVNYGTATPFIHREMGNHEQVNALYSYFAGQVLGAEKLKEKISK TMRPTDLPLEQINAHGRGSNDYMHVISTVLKHFFAEIPGGILGSMRLYHALERISAND FSPINSRHDPGQKDYLPDIPTSLAARVRMIVLAIIALTTDAQLELICAIFGLLAVTAD ESYVLREFHYHHLHPKSECDRCEGLTDSIQLGEDFGHLLYGIREAGLTTASKMSLETR AADVTTMLIDLWKDISRQLWTWEIIDARMG UREG_05028 MFPVKTAARIAFASIFAWIVLALVYAGLPSPPPRDNGTDYPTKV LRSGKTLTRIYKTDIYFPFGESGHEAIDKAHITKESNFALSFTLTPTSSITKSEALSI TISDKSPIPLNPPGNSHQNGGHKTKDGPPATSPETLSKPFTVRSPAGDKDGINLPWFE EADAVLLGASVSSGRMYITLRTRWNENGQFEIHTDGPGWVCIPIPS UREG_05029 MKTPRLKSSSAKSVRSRSARREASPGLDVDKSVTSLPRAERTAV TRSSVLNAQHDSAVSKKKQKRQTRSQRLRQEKGLERAEVVMDKTEKKLAKSVHKGKVV KARKSTWDDLNKRGKNAYKILEDQVDAMDTDDKEARPKQSKQPGPPPSSVLPAPSAQP TQTEMFDHEIDGEIT UREG_05030 MAPANPRADWEKVGDQLYQKVRIYDAVFDEDLELENYIAVGAPY GGAIALYRDETKLQRYRDPQTAKSSIDIYSYSGQRISRINWDHGSIRGLGWSEDEKLL VVTEDGTARCYYGLNGDFSPFSLGSAAEEHGVKDCRFWPTGFVALLYNNQFISVTRYD EPRPKLLASPPEGEIHSWSLIPPSYTLSRSVEVLLAIERTIYVVDATDAEDRVLQNGP FKHISVSPNGRFVALFTQDGKLWVVSSDFQNKFSEYDSRAKTPPKGVTWCGNDSVILS WEDEVHNVGPNGVSTRYFYDDHVHVIPDLDGVRLFTNETLGIDVTEEVFMLGSSSPAA VLLDAVEQLEKKSPAANENVQRIRPSLVEAVDTCVRAAGHEFNPHWQKQLLKAASFGK SILELYNSDDFVDMCEKLRVLNAVRDYRVGLPISYEQYLRLTPEKLNERLINRHEYLL AIRVSEYLRLPADKIYVHWASQKVKVSSEDDEAICHLIVQKLHGKHGISFETIARAAY DEGRAHLATQLLNFEPRAGKQVPLLLSMEEDTVALDKAIESGDTDLIFFVLLQLKRKL PLAAFFRTINTRPVASALVEASAWDQDVELLKDLFYQDDRPIDGSNLLFREALKQPDL QGKVDKMRVASRLLSDSKDATAQAHLKAMAEASQLLKIQEALDKDLSENTNFAGSSID PIWLRKTRQQDPERI UREG_05031 MAMVDYNELFDKLEDLSDLEVAILLCLVAQEHGIIDTDSQTIDD LSRELSLIAGGTFGLSNVVLDCSPHISLEEFSNAILTPDQNGGRRSRFSQSSTDADSY PEPISYGDITSRENAKSRLRQTHLDDRKVVNIIIAKNFDQTPEEVQIQALENDHIFLS HFHDRADGFTNLEDESGWISDDANSFTSVVRPSPNTLPALYRPRLIFEEVQ UREG_05032 MAKPAYDGNGKPLFVIPYIPIQRELPEGITGASIPKFYYLLRLY YLLDHLWNAQLGALYPRSPENIALAHAFWTEHGPRTWEGKRFEFSENWITDSILRMKK DLEEELRISRLRELVIAKRKEKAAATIIPWQSKLEARFLKNSRGIEPETAPAIHCRVP RSCNTQSRPDDSARTVTLTENKVTPAVAQEKEAAIVVDKRNKRVRKVSLPATAPRRSK RVCAQQPKGRPKQPSRVERLKVRR UREG_05033 MSSLSTTATGADSPPPLTREGTRDAYTYENLRGWRRYRILRPGW GIYHDIRRRLPYYKSDIVDGFTYRTIASTVRMYFVNLLPAIAYTLDMYRRTGEFFGIN EALFSSALAAVVFSLLSAQPLTVVGITGLISLFNFTIYDIIKIYDVSIYPQFICWTGI WAAIFHWLVAIWNWCDYMRYVTDFSSEAFGMYVGIIYLIKGVEELVSEFASHGLAAGY LSCLIGVLYFASIYALEKLGASTVLNPIVRGLLADYSYPIGTVFWVGFSHIPGRLEAA DIGRVPTTRAFHPTQPRNWLIDFWNLDTKWIFVAIPFGFLTMLLFYYDHRKRLLLTAA KNVSSLTAQARQFPLKKPGGFHWDFFLLGCTTFVAGILGLPMPNGLVPQWGSIESNGI LQKVIFLFREDRFIHRDEPLLLIRKSKVMLYIGLQMFGVACTVAISHTIAAIGMTPAL ATSRISYIPDAYPSLQPITKSSWPALVELQPSQRAKDLKTTDWNASTRKEGMVFQGSV LEAFIADADACFTHHRGFIMTVGSLIVAGSSKIDKRESHPSLSWVRKIIKQSPLPKTA VEAFPISLILAFPEATLVPHHNPIRCPESIEKFDFARFLILRHFTPHLTHSQTWHDAR CKNPTNVEICLSQSEETKDDGEDGSGPHFRRCFRTNIT UREG_05034 MAMQKPLERYPLISQLCNIFGTDSTQLESAIAAAEHSERSCFLR LDAHVCFSKTSEANDDQKPLILGWIDLRNGQTLVTPEPSPGPELEDQDLAHTRSHENR PNTVLHCDTSKPAEPSHPTQRDEYEGRKRRKVVGGLRLRPRTSYSRENGHDSSSYSNF QTEPVRQLLSETRFPQRSHSKQASRATGEPSSVDKLISGIWRQLHSNTELNWSALATH AAVGVSGEATAKLFKAVSNLCLRQSNKTQTARALEMIVQAYWIDCYEARLKSIRLERP TSSASETRITALKEACSALGWGEKELRNKMAIWRGYKEIKDAGGWASLVFASSGVYRF CKYRTRRDDGLASRLQQLRPAVELAADTLHPQWRELLNVIGQESATLYNGHPHEWVIM PNRSVQHISLTYRHIQAEVLFKFIDESIIDRGLFGDSDPRYTPGIDPNTCQVCGQLQS DDTTLNRCACFPALFGAPRKPVPIQIFHTTNGKNNGVVARCDHERGAAIAEFVGLITN GIEGLDVMAGGSKTRGYQIFQGQMGNFTRFINHSCHPNSQFQKFFWRGREHIIVVSRG ILAGHEITVDYSDHYWERLDKLSISSAKGCKTNI UREG_05035 MQVEARPPADAPPCAARENLAPNRSSSPSLCDRPPAPFPSQLLK ILKKKQLSSSPIPADVERPVKAPQEIAKTDILPGSGVILIRLISPSSSFYLNKNMNNT QMNASAASPASFAPESPPSGRSLATRLRRLSQIRTHHSHASNAPHSPTQSRSNRYSLT RAVGLALSPHSPVFDSAPDHLSHDLHPIVATQSAPEPRPSRTVRVGARSDLAINQTSG SSSSSEDRSDAASHSSRSTPANEPEIVSSDTMRFRASTDPPAARIPSLPLEEEELALD PEQSPLPASETHPDDSSPQDPAKTRPLPTIRLFPHHAQYSRPSLPFTPITRTLPEENS IIKVGRYSERDGVPVANPSKPSAAPVGFKSKVVSRKHCIDFRGGEEMIFRCVRIRIEC NRTWQQGPNEFNKNTESLINNLGKGADADYKGCRECSICLNSVLRPYQCLFMAACAHV WHYKCIRRLIHTPEYPMFQCPNCRAYTDLSADVDDTNDLGDESQMEPVHCRDQPAASQ PCEPVPLAVNQEPSAEISQTNGDGPPPADPPPEAIPTTQSHDPPPVSDEDANQEPDDF LLGNPRSESIDEIARSPNIDIPIRGLARTIDEATQSRLATSASGSPLVRDNFEDLWGS SPDETTASASASPQRMDHQTRDEVSAASTSPPARASRDPYLNTSIPSPPICSLVISPR ELHDDDALGAAVEFRQLHDKCPASPATRDSSVYELSQIKASKIPSLSIVVGLILVFRN QTSYNRFWDGRIALTTINTAARNLTRNILINSCNRNRPLTAAEKQDIERTIRVLIAFP YAVKNYLRTEWSAGWSVQPFIGPTDDVNGINGGGSSKQEYIDLLPTGFECLEDDGLSI PLQLSFFVEGFIKRGVERGWYDPPGANSMGNHVSTLIDAYGKMETIKLTPIPIAHLYV VFPTPDPCSAFFSNVTGSIHQKQVLALFGCVLPFAMVDDMGWWAVPIVSLVIFTLYGI EGIGSQLEDPFGYDRNDIKMDAIVEDEKTEIEAILNEWKRVTEVSDEAVEVNGGSADV ENGGAFRKREMFIFAR UREG_05036 MSLNGLDDPAIIESYQTALTEAGGWFLLKYVSRDVVALLARGTG GVAEIRITIDSYEEKSPLYGFLQYRRRKIILRYVPEGISRILQGITQDRNAALAFLNL DSRFWRLTLTIRPLARISVQFQSILDKFSPHDTVFALAVSSDLTENALSSACLLHAAS RSMTSSSSSLRRCKLGGITEDAEVEESASIAGISIAASSVSVNDRRASVYSRTSEATA VPDGYRPGEPKPLTPDAAPIRSRAFSNRSDKPLPSIPQEYTNSHTNGVAQYHLLDQLS QPRDSIQSSRPSTRDLDQPSLRPPKIKLGPRPVDVNGRPRTAGSMGRSRQTRPVAALP AGVRLTTRKNHAPRPKSQPVILPPSIPSDAPPVPALLPPPPSITSLSRNPPASPRSIK SYASSIGVSPEKQRLMKALEMRKRQMEKQAQEVERHKREQKEENEAKEEEEIKITVAE VEKDSSRESSADPDVESGTREAVDESLPTSQSVELEPAQIPNEPPEDIKNEVKAPLAD PSKLDSAVDLSITEQSSQKDISLPCVIPDRVEEAPSPTPSSMVDAPETDVAGPSEIAQ DDNSPVNLERVDKEHVPKENKSPQTSSATPSNSDQQTEVHPCEIEIPSDLQCPDTPTP RAIPAEFPQTVGFVPDRALVQHEEVAISNETASLSDTEVSESQAAVEIPTANDIDKSD QTLEEPSDGETNRKDRKQKRRAMLEPIFIPQRNDELDEDHLLSDDSFMEELKSATLEE AKPVAVPKTPLTPFSSNGDISSSERWKGSRVVSNPSAGGIDVQALPIGRSASGPYFNS QNAVPVLVAKKVNVSSGISKRIKALEMFSSRESGASHAPVLPSPATVSSPFDKFRKRA SMTPTNMLTPAATPKGNKSPLAPEGSNPLPSRHESLSPTSSMKGKPNSVCVTARIVRD PSIPPPDPSADPSEPSVLNLQRSQLIVEHDGDTEPKQPIPPTSPPAKQEKKRWSISSI SSKHVQDPIPLRRSDSITSKLSVSSRSKPDGTLPSSSIDAHLHLDSVDEVLEEKKESR KSRLMRRVSTITSSSRRGLINALSPTLKEEDPPTPPPKEEREPTPEPPQVVDIGEVNV QFPDTLLWKRRFMRIDDHGYLILTPGTIDGTARNTVKRYHLSEFRPPTLPDQDRQELP NSIVLDFSNGSTLQCACESRNGQRAILQTLLDAHNTYRHR UREG_05037 MTARIESVLAARNPSLTDENDWEEFALTDVRVRVPGKARYANLL TASPESPVSVTGQLELVEQNQESLGISHSPEPAIAGLLINPALVLDENYRSKRVIIEN VTHYAYGQHEDGEVGIWVAGEPGWFSITPAKGYKPVFNEMIEAVDLLYFIIDKHQPKG RRRKQWNPKMDYLFDQHTYGACEDADDAAEVFDKHHAFLIKQMVQGKEDVDWDTAPVY KYFREKYSELFGILEEKGSNEDHISDGNEVDNEDAESVGTETVEKSQADTIFETILDM KESTRDFKRQLTLKTAAEQLLKRYEMDSLDYAINLIKARAAYLIEMMDNANTLSSIDW SRRTIYRQLKAAERSKGVQDVRTTPLHPRPVEDQGDQSSSVEESEDENFSHHRRHARM SILRPKSSVSAKRARKSGKQPPNETSDSEQESEDIDISEETPTRRLGSHRLIQQPLPS RVSELARSIISISEPSTSSPKKVAPLEMARPSETTAHPINGNHITMPPAALISPEVDL PRDTWVCSVQGCGKTVLKASSKRSKEVILDHSLAHADDTQTKMSLVFAEQRLNVNASV DFLLNRIREFGTLEGEPSDAGMNSDAKRIRLGE UREG_05038 MSQAANLEGVFAVHKPAGISSAEVLRNLQHHFNRSKFFQPWISA ERERRQQEGGKRRRRDKRLQVKLGHGGTLDPIATGVLVTGVGKGTKQLNGFLACTKTY EAVILFGVATDSYDRVGKVVSHAPYEHITREKVEEALKAFRGKTMQRPSIFSALKVQG KKLYEYAREGKEPPVEIAERPVEVLNLEIVEWYEPGKHEWKWPTQEAGAEEKTIAKKM IAQEKDLINEDLKHGEENDSLKRKTPPIPDDHAQGTAPTTQEPSLKRQKTELSNEETA TASDSTTQQQSAPTPEAQKSEPAPDSSELHPPPPAVKLTMTVCSGFYVRSLAHDLGLA LGSNAIMSELVRTRQGDFELSPERTLEYKDLEAGEEVWSAKMQRLLDDWREKNKAKDG S UREG_05039 MVKKSKLLNALDAHKGRDFDKERQKKLQKAAEKKKRLKAGNQVE GEAENEEEANAPAELKNGEKAKKQQVTKVNKEGEKQKANSEQAQTEVNAPDNEEDWES EDEAEDEEEDEDIPLSELSEDDRADVIPHQRLTINNSAAIRASLKRISFINENTPFSE HNSLTSTEQMDIPDPNDDLNRELAFYKVCVSAAAAARSLLKKEGVPFSRPADFFAEMV KTDEHMGKIKKKLFEEAAAKKASAEAKKQRDLKKFGKQVQIAKLQQRQKEKKETLEKI NSLKRKRKADGGAPTEDENLFDVALEDASKSDRKRQNPAGGSNFKRQKKNEKYGFGGK KRFAKSGDAVSSGDLKGFSVNKMKGKKSGAAKRPGKSRRAAGKRSFRSSGVAIWFLGS E UREG_05040 MVRLSNLLVASLALFNDVVSAKSAVLDLIPTNFDKVVHSGKPGL VEFFAPWCGHCRTLAPVYEQLGQAFAHASDKVHISKVDADAHKSLGKKHKVQGFPTLK WFDGKGGNGEEYEGGRDLEALAKFITDKTGVKAKGMKKAAESVVTMLTDQSFAKEVGG DRDVFVAFTAPWCGHCKTLAPIWETLTEDFIREPGVLIAKVDAEAEQSKATARDQKVT GYPTIKFFPKGSKEGEIYSGARSEEAFVNFLNEKCGTNRAVGGGLNAKGGTIEALDAI VAKYVSGEALEKIIKDIKAAVGALKQQYAQYYLKVATKLSQNSGYAAKELARLQKMIS KGSLAPEKLDDLTSRSNVLRQFLGKEAKGTKDEL UREG_05041 MPIRGFDDWASTRGQTLSLSALKGAVIGIHASHYLDLHLNHHVT KEPLLIALGGFPFALKAHVERELQALKNAGISCIFVFDGLEIGKPEPDIVAQNQSTRA LEQAWEYYDQQQADQVVDAFSNAGSAKPESLYKFLQRILLAEGVDFMVAPYSAAPQLA YLEKGPQIIDAIFGPSDLFLFDVEKVITKLDTDLFHFNWITRQTCANDLNHLSNEQFA DFCLLLGSPYLRTFPPFENHPYPGKAVNIRDALNLYNSAGRNALALCAQFEDDQRVHD LQYLDRFKRTFIILKHHIIMDIDGKVGPMDPENAPSDLHELIGQRLPEELYFYISKGI LGPNVPNWLTSGEIPVTLPLGTEDSDVYRRLVSDTLTPIRTQSLCLLSNSLHRFYQTK AIHIRPWYDNKSERTVSLKSLPSVKETIVSWKLGKFQLPDNLQELQPLSSKAEILANV MWRFLQLRGYIDEKHQLTGWGQSLEAALSSLTSSENLEEPTFLAIEMLRLGVLNAKDM FSNISGGPMRGTSEEKSFNLLVSRVASLGKIRHKSIGYSGPLSRQLLSFHSLIYAVRS SLRDLIEVVLAGLLLSGEAERDRNDWSSLSLSLPFIDDNDCGLGIAVRTYLDDLPQQN EPTSQTVRAEVKAKGKEWFQHSHSFEGNLGVAFQLWDAVCKAARNTPSKEVPGAKIWE DTNKWLEERR UREG_05042 MSQTQLPIQLPIIDISNADPETGKAMIDAATNYGFLYVDSASTE ISNGNVDSAFAMARKFFASPHEEKQQVRIGPNTNSRRRAFNFGEFKDNKAQQPLPPSL IPHEAEISNFMHLCRKTCDRILSLLALGLGIPPDWFTIRHDPSQGPSGVTFRFLYYPA IQSHSPSTPHRTTDIRAGAHSDYGSITLLFQRDGQPGLEIQTPTGEWAPVPVRPAPRG PAETGFPPILVNIGDILSYWTNGLLKSTVHRVVFPAEEQAGASPGKDRYSMAYFCHPL DDAELVPVPSRLVEQRRVEGMGLGPADGRIMTAREHLVRRLAATYGRSPDEYK UREG_05043 MREVNFSIPSVNKAAVSITTTLYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKEGRSRDLMEMWKWNLAFQCIVNIGVRGSENV RTRVVEADMVPVIATILENYIQVVDKVRSSSESEAQRSSAKTPKSQPRPREQVVRPSF DRAATSISDHRTTRRQAPPPSIAIPHPFSQDARQTDGGTMEITPSPQMVLTSPPERTA FPREMHSHRNLQPGGHRHRIIQPLATAVPSIDTADGFGLRPVRDVDRLPSQVPGLQTG LTSQPESPTTPHTAADPQAIPQYNARARRRPSVRQQRSTSGESDDGNGEDVVMGEDSA EPNVAEPIIGLQTGMEIENVGDQDTMMDDGTDSHGIALSNPSEQPDAETFNITHRSVP DGSLMNPAAQQTNGAITLPPTRIAVTGTPIIHQIPYPYYLRDRTVSHAVLTALPRDED VLMALQLLAYVSKYCSLRSYFQNTHLVPKLKIGKDINLLDDLKGQSIPPTEPGEEAEE YLLPDDFNIFPLVEKFTVRHHTKDMQYWACVVMRNLCRKDDSRGGIRQCAYYKCGKWE EYQRQFAKCRRCRRTKYCSKECQKNAWVYHRHWCHSSP UREG_05044 MAFNPQVRDSPLGFFHVGSTLGYAVSTLAHLQDAFRLEHESASG RLLSHKAVSWRAGALPEEEEPN UREG_05045 MDGEQLQAKITAARREAEGLKDRIKRRKDELADTTLRQVAQNNT DPLTRIGMRPRRNLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVHAIPL RSSWVMTCAYAPSGNYVACGGLDNICSIYNLSSREGPTRVARELSGHAGYLSCCRFIN DRRIITSSGDMTCMLWDIESGTKVTEFADHLGDVMSISINPTNNNIFVSGACDAFAKL WDIRLGKAVQTFSGHESDINAIQFFPDGNAFGTGSDDTSCRLFDIRADRELNIYQSDQ ILCGITSVAFSVSGRLLFAGYDDFECKVWDVLRGDKVGSLSGHENRVSCLGVSNDGIS LCTGSWDSLVRIHRLNPNASSCSLTISR UREG_05046 MASISQKPKVLAISYPEYTDKVYLDDFTSKFELHVLKSTDRAGA IPEIAQLASSCGPFDAVMVRMGILPFKPFNAELFGPLLPHLKIIAAAPAGYNAFDVDW MTRNNIWFCNTRNAVCEATADMAVFLVLAVLKNAAVAERSAREGRWRGLVLAPTIDPR GLTLGIVGMGSIGKVSTSRQSWFFEFRLVKRNQAVVDMSLRLTENYLPTREKLEIVAL GQKGTGV UREG_05047 MLLSERALIDTADCFELEAQATFFAKSSDDGNPQPLYDLKPRLR SAHMRCGSLVLSAMRQRLGTRIVTRRRCNLRNALRAALWLTGAFILVSVLEALLYPSY QTPPKHYHTLKQTIRSRSSQAGRGNPDQEKIFIAANIINEDLIKGAWGDAVLELVDLL GEENVFVSVYENDSGDGTRNALRGFQAKLSCNSSVVTGDHISLSELPEVTMPSGEQRI KRIAYLAEVRNRALRPLDHTYKPLKGQIGFQHANMEFDRILFLNDIFFSAADAVQLLF STNNGKYRAACAIDFVSSVMFYDSFVVRDTNGYGMGLMFFPWFAPTGSAQSRDAVLAE KDAVPARSCWGGMVAFDAEQFQEMSTPYTPRVTTRFRYEVEPFWEAAECCLIFADMED AYGEPSIDQGTGVFVNPYIRVSYTRRTWDWLPFFQRYERIFRNLQYIVSKINYPEYNP RRLDKPGQLVEHNVWMSNQDTNGDSFQMLQRPAAPGGFCGQKRMFLMKRDFESANRHG RKNWEKVPVPW UREG_05048 MSSAQDEVDRLLSTHKDSVSCHPEDRHHRDDRSSEDENDDIPHR EKRSTRLTYIPSDSDPDDDDDEDRSTNMLTSKSTSYQIPSTVFDANTGPKGVISDAQS YERAKKRSFRRTLMNAAGFDYQSYTKPEASYHARTSSPRPGSPEESDDERFMREWREA RMNELQNRSTRRSSPSKRRYGTVDVVGANGYLDAVEKVTADTVVVVCIYDPESSESSI VEDCLNTVARKHLTTRFIKLHHEIAEMDHVTAPALLAYRGGDVFATIVDIFRQLPNGR NCSSSSLEALLMQHLVL UREG_05049 MSLYFDAVSVLSASPDAGGSLKSRIYNSSLKSSPPQIYALIAEV TKWNAVLKEVVDNSAILAHEPKLTPLLALLLTHDLLLSRKGIAAPANHPLRLAIERHR TRLNAEFTKIRVRRGCASVEQLRSTLRPTLRGPGDNTVPNPRWVRINNARTTLEQELK TTFGAYSPVASLSGLAATGSKGYYVDRHIPDLIAVVSTSQLLSTRAYKEGRIILQDKA SCFPAYLLLGDKAAPWRGDLIDGCAAPGNKTTHLASLLFASGQTSSHIFSLDASPTRS KTLQKMVGIAGVKNRVTVLAGQDFLALDPRDPRFKKVTGLLLDPSCSGSGIVRREDVP QLALPEPSSRTARGSGSNPRKRKRKADDSLSATGESSATDNAENEPVNGELDIARLTK LSNLQAQIVEHAFKFPSATRVTYSTCSIHAQENENVVSRVLRSSTARERGWKILPRAE QVDGLRTWHHRGWLTGGHEPVEGEESWELTDIESDACLRCSPGDAEGTGGFFVAGFVR DPDLQATDENQAEECLGGEGMVDEDSDGSEETWEGFDTD UREG_05050 MRSLLFSAIVAALTAATAAVPFPGPIAAPNAEAFSEAESPNFLR PIKLSDLEPDNSLEARTFTDFTCFNPLQTANMLFGGIAGLGKLFLANMTIHAPDNLPI VMMEGFESLTSSVDCKGDDGEMSLTFKSKAAYEYALKSWAYINAKADAEFIMIANHAS CGLKGQRQAYIITDVSNNASKFVVTLTAKAVAWKQFAGNFDVDFGHYKLSLQALLKLE AKGIIGWIGEALEKFKGGIEGIKGGLEAIGEVIEGGDGGICLPVNIPINIFKPNTEIT LYKSPGSPSEVEVACKNCYVKGTFLTTGTLKVENHITTELKLEIEPKDFSTAAEFQLD LVNLKKEFKYSKQVFEAAIPYTGVEIPGVLLIGAKVGLLIHGDIKFTGSATVNFGCHA SLPNGGKLTLVVIGGGKCGVEGLEKIEAKTDVIFNNGYIDLDTTTVPEPKITYGITIL GGIGIEAGLKFGVPIDLNVTAGHKEEGWCPDNKITTGVTVSAGASFNLDFGVWENGKT PIFEIPLLSLPIQCPKYCKPIPELEPVKPGKPGTDKPEIEVPGSTQPPKPCTNCGVCK PKKK UREG_05051 MIHFTPLPTTSSSVLALPTCHHPLSQESSRSPSHGTSGLMPRYL QVKRTVKLITEQNPTEKTSEFEGLPVRRWSIEVYLLNEHGEEVPATLFNEVTYNLHPS FGDRAVQTFKSPPFRIEEEGWGEFDMQIKFGAPDKEHVVNHDLNFQQNRYESKHVLTF RNPKPAVLSALREFGPVPGDENGLKSKRAQDDSSKKKKRVDKNIDMERLADGLQKLGE DDLLQVVQMVHDNKSPDSYTKNDIEQGEFHVDLYTLPDSLIKMLWDFSQEKGVV UREG_05052 MAKEEAPAAATTTEPEGETKQGEATEAPAAEQETKPPTATSDSV FSMFGGGPKKEKKKEAEEDEPSGASKKKEGDEGDVEAEPDVHFEPVFKLTEQVETKTN EELEEQVFKMRAKLFKFDRETREWKERGTGDVRLLKHKENQKTRLVMRRDKTHKVCAN HYIVPDMKLSPNVGSDRSWVWNAAADVSEGEPEAQTLAIRFANSENAQLFKEAFDKAR SENEKLFGQQ UREG_05053 MTSVASDRPASSLSESWATLSNSDAHSEDDWRSEHTDNISLVGH SVADDVASLDDQDLDSEVDTDDTESHASESRGFLPPIYRETANDTENGHIMQASFMST SESILFDEPDDWPSTEPVELKHTLQVLDEAEASRIGFPRCIPDHNLSVTVRQSISKQA IDVDRPFRVLYIGDPGFKHSVLEKIGDTLVASPKNSLYASSTNSSRFHVVPASFGPDS TPNYAELLPIHVQLVVEECVSATTSLGPENPDGISLSLKGRETVTSTRAGSGFQLMSA SSWVAPDITIFVMGDNDDFATLVTRNCALAFTRRHNIPSMIISQSPLWRRPNDSVPLD TRTLHLCLEITDPTTGDSQVLGRYPIDLETFENIAPSQLNRNLASLNGTHGKASKSSK MSDVRSMRLACEIPLR UREG_05054 MGIIRRLAKRVSYLPIASSLENALSEKGEVIPRFAFFRRRIRLR RNSAVSIPLGFVLLFPCIVIVLIVLLIVTHPSSSGGILVPAGTPPTIRKISEKYDKVF ATGCLEIDTSTPRANAAFVVLARNKEIEGVIQSIKSIERHFNRWWHYPYVFLNDGDFD EQFKATVRNYTSAPVEFGKIDSTMWGYPDWTDHEVAKEGIRKQGDAAIMYGGLESYHH MCRFYSGDPFLKMIENNKTYGFTIAVKELRETVPNIFRYASAYKRINNLKSKGLWEMF LEEPEETEQKPKEEKPGENSLPDEILQTAPGDGGIQDVDPEAMEGEKYNMCHFWSNFE IARLDWFRSKEYEDFFTMMDRSGGFWMERWGDAPIHSLAAGALLSPSDVHYFRDFGYR HTTIQHCPANAPARQRDRIPWLEMTTEDEKKRQEEDEYWDTPDPPKENGVGCRCRCDT DIVDVEGKQGSCLADWVRVVGGWASP UREG_05055 MDGEESGWDMSDHDGNRGDNISSPTHRAPAPRRSNFLEVSGNDI TSCSEFSDVPRQSPPHRSTGSLHRHEHPSLSPAAHVGRQPSPRHHISPHSDIESTNFN PERVIFNGHLTWLKIKKGVRQWKNLWAVLRAEKLSLYKNDSEYSAVKIIPLDRVIDAA DVDPISRSKVFCFQIITEETIYRFCAPDDEALNQWLGSLKSVLTRYHEAPRHQQSGPA VPGPSLAIR UREG_05056 MGFFDYLQKKGVGAIQAQKVQIRKVERKPSAVKPSSLPASKSTP SRTTLVSKATVARRSTSSASTPVRDPKPRSKSTSRKRNASEQTLCSSSDDSDTDQLLD FRLRKRAKVSASAEPDPQRFQLMVPRDNDGFKPLDDIVQVIEIVSQNYIPEEHVPLFD EESNGLLRRLRRALVQGSLANFLDGIKHYNETIKRLRLDGSISQHLDSLHMLRLPLVE RILTQVYSRTVSPRVDSLRQYVNGSDNVYGELLPRLISKIFAETRLKSDQTFVDLGSG VGNVVLQAALEIGCESWGCEVMQNACDLAELQEKEFKTRCRLWGLAPGKTRLIRGSFL ESDSIGKILHKADVVLINNQAFTPELNNKLVNYFLDMKEGCQIVSLKSFVPPGHRIQA RNLNSPINLLSVKEKQYWSDSVSWTNAGGRYYIATKDSSR UREG_05057 MSNWRAEYYAALGVRDEREKANTSLYNAYTRLADRTGNLESNLI TPATPSTPTPPPTTPSRQPSRRDIPPAQLPNIADTLTTARHDLAEAQRSRTELLARLK KTTEELESAKRKASLDNKKVLDLSVERTQLQQRLKDRDEELRGKAKLLDVRMPLYSSC AFLPFFHGRF UREG_05058 MASRKKVLLKVIILGDSGVGKTSLMNQYVNKKYSASYKATIGAD YLTKEVLVDDRLVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFENLDSW RDEFLIQASPRDPESFPFVGNKVDVDESKRMISTKRASTYCQSKGNIPYFETSAKEAI NVEQAFEVIAKVALTQEESEEFNGDFTDPINIHLDNDRDACAC UREG_05059 MPSEDGTSSANAHHAEVVSDQDEPQASSQGLIARGTAHQSRGKL SDKNLPLGGVARHTLGVSLLLVVVVLWTLSNFLASTIFADNTYSKPFFITYLSTGVFT LTLIPLTLRHIFQWWKERRSNTTGLLQTEAGRRDGEESHPFLTSGEDVNGNQQDGPGP VAGVLPCYNKETGPGRMDFRATARLSLQFCLLWAYGH UREG_05060 MASLKKAKCFLIFARHLSWCQVAAECMPTDSLIVGVDLSPIKPI PRVITFQSDITTDKCRATIRQHLKTWKADTVLHDGAPNVGTAWVQDAFSQAELVLQSL KLATEFLVPGGSFVTKVFRSKDYNPLLWVFKQLFTSVEATKPPSSRNVSAEIFVVCRG FKAPKHIDPKFLDSRHVFAELQDPTPNNEAKVFNPEKKKRKREGYEEGDYTQHKEIAV TEFINTTDPIAILGTYNTLSFQQSASGDLALATLERLPETTDEIRKCCEDLKVLGKKE FRTLLRWRLKVREKFGLAVKKGGKKTEEQEEVAEIEPMDEELAIQEELQRLRDQDTSR KKKERRRENERKQKEIVRLQMHMITPTDIGMEQSGPLGEGSMFSIKPIAREGATKKVT SGRMVHVESDDDEESSATGDEESDEEEDRLERDLDALYERYQEDREMRDSKLRAKKAR KDLETEEWEGFSDSNKDDGSDEEDGDTFQPQSLTLRSRPANGLSNKAAMFFDQDLFQG LEDIDDEDERMEEEQEQSQPVQDEAPGEDGSEVYETTDNESEMSVDPSSMAKESKGRS ERFDEEPRDDPRTKDGKLNIDIITAEAMALAQQMATGEKTSADVVDDGFNKFSFRDVD GLPEWFLDDESKHSKIQRPTTAAAAAAIREKLRALNARPIKKVREAKGRKKMKQAQRL EKLKKKSALLAEDEGVSERDKAQSIARMMSRAMKKKPKQNVKLVVARGGNRGISGRPR GVKGKYKIVDARLKKDVRAQKRLAKKAK UREG_05061 MEPMEPRKLVNQRNDCEPDPPLKTDLRDDSSKSGKENAVIWHMR SWPRKSKAAAVTEVARESICATNTGLSECNRSSTAVLRPSRTQSIDLMKKGRASTRSL PAEVTTTRINIASSGSFPVLNTPDGLPSTVKLDNGQSHTDQEPPNTLPDLKDVAESDQ AESKGSPGAGGETTDPGLTAEKDIQTSTTNRSSGWFAWLSRKDGIDDPVASEHTENTD RSPDKSLPKDEVTPEPEPEGVSQPTANSDQGNIETTIQGPLATDDNTSLATSQKRSWL FMWTSGSAPQQADSGNGESEQAGIRLVEEGPTKSDQTASAQHGDISETENGVSVNEDT RPPAKDMPKSWLFWPRDKQPVETGRSGLQEIQATTLDAPQQDTAETSADKAGAKVDPT LPTTKPKIVSKAGSLKSAKATAALSIEPPSEQARQPASSRVKKTQALPNLVLPSFEST FPLQERPGLLRQLGRLIYYDKGPTPNHVLRAHDRPQVKKALAIGVHGYFPAPLIRNII GQPTGTSMKFATMAEQAILQWAAVNGSPCQVEKIMLEGEGRISERVDLLWKLLLNWID HIRTADFIMVSCHSQGVPVATMLVAKLIAFGCVSSTRIGICAMAGVNLGPFPDYRSRW ISGSAGELFDFANGESKVSLDYMAALQTALDFGVRITVSHLVGFAMKLRNLGISDHGL IRELSSPLAGSLYSGEGHSRLYDDETVYRLAVQFALETTSASNTKLEIHQHRASAPAN PYILPFAMRGVLEEEYVRRELSQETTELLQQFDDWKPSTKVLKDVKFRLEGIRSKL UREG_05062 MPKNERNGGSLYRGTFQGDRTDASLDALRGAMVVFPSQAGKATA ILNSGIQSKNAESTNEHKCHDVSQSTESVRSRVKQFSSGATGTLESPTVTPGNSTQME YPQHIAAKLAVERSAVQTSLNRGTKLPTPMVTGIYESQRVKGHSSHSALHSTATHPND QTTSLSTTRENIKLLSKETFTDAGLDVIGKPDTISSMDKNSPKGPPALPPRKKEAPRV PPKSATISADAARRLRLDSHRQPEILRRSTSKPTLLPQHTSRSESSLADAIAASSLAS SRAASPSKMESKPPPPPPRRSQPRSNLLLQAPHADRNKSRTPSPSKGLKKTLRDETKS DSDAPRRKSPKRVTIDPRPYIFDKGNQKRRPDQITDKDRKTYEGVWAANKGIARFGLM DVPDIEERRIRKSVSFEDLVFNIVVDDIWSRSRLPRPKLEEIWNLVSHDALGMLSREE FVVGMWLIDLSLMGHKLPTKVPRTFARANFCSRTNMDADSKNELRLGKTPAGRGTRKK FTKPPVKVACLSCRASRIRCDGKDPCSSCTLKGKDCSYLPSRRGGPRKKKVIPAASVA LESPDSKLWNVIQPIPRLDEGMRRCGRTFWPDRIDPTSNIELFNQVDTLAVPGAGLRA LDFPVEVQSMFEGLFVPQSTTSYTPLAPETVPVHISPSAPTRVRVYGSEQDMSVAYTS SDTIPCEMCQSNVYCSLNGYYTFIHDYFPIFPPAPAPPCVEAPLDMPATPDMASDNPP LTYHPKSPVTLAISAILARVPHPTDPDPSSPESVLIRRSYSHKFALAALESVEGESEL IESCASPAEALQNERAIPKRLPVHPNTPVELESILALLILSIYEYTQRGNLVKMRKRA GEAYVMAINMSLHSLGREDDVFAEARRRAWWMTGSIVSTTPPTILVTDPRFVTPLPHF AADTEAWSVLVQSQQVLTSATQYICDLNRALRSRADMSRIYEHIPDSSDVSPCVSPPA SDASSGYSWVSEESFPFSSYDSTAVCLESALTIARMFDSLPYPNPFYGNGRFAGLRLS CDPMPRTMPSFACCAMQSSYAMLMLYYKSHVTRHDGKKSPLGNVDQLREKLRHGLQCV VGAVKNYAIAFEALDGMRGWHPFPHKPCPS UREG_05063 MDGLNGPKGETEQELDEKYPNRPRNHHPTLPFHDLFLDLFNPLN ENKKRPAGSTVARRKLGPHGGQQTLSPQELRRDIIQRFISKWRNEVGDDIYPAFRLII PEKDRDRAMYGLKEKTIGKLLVKIMKIDKNSEDGFNLLNWKLPGQSMASRMAGDFAGR CYEVISKRPMRTEVGNMTVQEVNEKLDLLAAANKEDEQLPILEDFYRKMNPEELLWLI RIILRQMKVGATERTFFEIWHPDAETLFSISSSLRRVCWELYNPNVRLEDEETGVTLM QCFQPQLAQFQMHSFQRMIEKMRLSPEDPSFWIEEKMDGERMQLHMMSDDSITGGKRF KFWSRKAKDYTYLYGNGFYDENGALTRHLKDAFADGVQNIILDGEMITWDPEQDAPVP FGTLKTAALSEQRNPFSATGQRPLFRAFDILYLNDKALTRYTLRDRRKALEASIKPVH RRFEIHTYEVGYSAAHIEPQLRKVVAEASEGLVLKNPDSPYRLNERHDDWMKVKPEYM TEFGESLDCVVIGGYYGSGKRGGALATFLCGLRVDEAQIRQGANPMKCYSFCKVGGGF TAADYANVRHHTDSKWKDWDPKKPPTEFIELAGGDAQYERPDVWIRPDESVVLCVKAS SVTPSDQFRLGLTVRFPRFKRLRMDKTWESALSIQEFMDLKSNAEREQKEKEFKIDNS RKRKPKRTTKKPLTIAGYDENKKAEFDVSDQIFTSKSDSLVVMTDALEPEKKSKLELE KLIKANGGKIYQTNTAASNTICIAERRTVKVASIQKAAKENIVRPSWLLDCIKQNEAD LGLPDLLLPLEPRHMYFTVKGQEQEISSNVDQYSDSYVRDTTTKELTKLLASMPAISN LSASRISKIEDQMQSRHEQEGTFHDLPGWLFKNQVLYFSQSRSSSDSKVSSSRLASNI ARFAGASLVSKPDDKRVTHIILDKDSRSADVSALRSSISKRVGAGRIPHIVTVEWINE SWKAKTLLDEEQLLKGVHFEFRKVCIDAFYRSHISVS UREG_05064 MTRFGFRVERAIQAPPPTGKTRTEKERSQHEVERRKGRRRFRLE SRSTKWQAAPMTEMDSFLPWPQPTPSPVQSCERIVRDGPLRFDSNASSGSKRKRTTPL PKPSMPQTTSVGPNQAPNQRTLESAGSTISFAGKCQEENERRSNGEGKAKERAVGDDS GASGRDLRTRLGWARCGKWLNVVPELLRIILKIFKESRAERNTDRRTHKQRRRQRHDL LRLALLNNSKKRKNTPAHLSIKNRAGLPLRPEHGRLPRRVCVPSSAEDGTVRCVPVSD KPRLTSSSKLIVTREPQTGLLIYLYDERAGCVYMVPKLKLIQALDRVMPKRAVSQSKD ELWELQRLLRRWGGQTCNSIEQNTKSSG UREG_05065 MNTYHRHPLSYSVQSSGGHYIHDDLGSRWMPYVSAIAYTFASSV LLYFLLIHLHVLPPIPFSTLAWKLLVMLSPSPLVFALDKDITEGTTTKDGPVLHNSVG TAAKEEALRRIFRFSRIPFFSQGPGQRNSSSSFPKSTVVAPPGLGNFNNSCYQNSVIQ GLASLRQLSAFLNNSLESFGHAREMSTHVSLKATIIQLNDLSNTGRRLWLPGELKSMS SWQQQDAQEYYSKVIEQIDKEISQASKLKAMDDGFKSGITSDQKTQAAPTGSQGCDIL KRFGFVDLVGPFDNPLEGLTVQRVGCMTCGYCEGLSLIPFTCLTVPLSQRMEYDVRDC LDDYTALEPIEGVECTKCTVLRVESGLVEFLDKLEAQDCIQLEPSEKAQKEALRKMTE SRLHAVRGVLSQEDFSEKTLLEKCRLNPKNRVSSTKSRQSVIARAPKSLAIHINRSVF DELTGVLRKNYAKVIFPDILDLDEWCLGSKQSKKEDDDLTENWEADPTKSMLSSPNST FQSLNRRYELRAVITHFGRHDDGHYICYRKISFESPRPTSLVEHGLDGNERKNDQWFE LSDADVTPVSKNHVLSQGGVFMLFYELIEGTPEEASEESSGISSKQSEITLETSSEMP VDIPD UREG_05066 MVSFPVNAFNPRGVDEMLCTGPPDGYYAVETGMGAASAAGWPEQ PEQHDDSEQASKLPPSEQAEESLQDEDEEKVEKRRRNAAAARKSRQKRKEEVESLRAE LEQTRARNRELENFLGQFHAAVSDNFGNDSDLAKAVISQYWQGLMPLLRK UREG_05067 MSQVESVRLEGNWDGGANSSVLLHLTMKLKASPNATIPIFQLDD GLQANIIEDRVYQTEDKDLETFSTFGNLSPSICQLAQRDRQSGSDNLRSPSPSTHETP RRLYRNGMQLSPSPTKERLFPPSPATSSGSVSLDQDPKYDMTNRVPDLRQCTELVDGG KAHKVHEELHDPDIDRSQGAAAGKENASPEINADCMGTFHRREASGMTAIHWTPKRQN KSTQDSYGAGRQILVSPSPRASGINIPKRGAKSMIPVKSQAFAQEDMHSKGVYMANNS VEGPETPWQGEATRKNGEFGNARYAQTIHSAGAKLPNLAYKSGFSPVKGNKTPIANFG EQRTSVKSGINKSSPSPSRPTYRRGFMNSPMMSPGKNIERAKENNLLLNIESDSEPDV FYEHRPPSDFLSSWLYERSLDTGSTLAEVPKNNHPQSEPRKSTMIFQRDEWQLERGDS KSPLLSDRISLPSPTPSSKLSMPWNSAEELAERKAEYCSLLDLENIEYHPAEPLKPEI VNVEGVLSMVFLHDTVSETVIYEAEIEVLEHVSENHIAAQNVSAKPEVEPGAAVKWQV EFTPSRCIPKLSLLSSHSKHKTKFCRWLHLGPVRLDLGITQNSGCMGVCECCGGQDEI VDGEDDLKLLLHQFASYRWLLIALEGIANQLERIKESISVFHPAKAMSRPKRVLSYGF LVLVIAIIGGFFIIPIENGAHYGLDKRSNTDITCLPGAVGCHIPPRHIRMIAAGRSPT SSESLAQSAQQVQQRPKLNDESNQNQDTAVSASFVVPRTATTPASTTDTRNDLAQGGS GSSHANAVLETGSLAPVISATKIPLPTATFESRPGRKVKTASKDCVRDRIDRFLGWKG PLMH UREG_05068 MSTASQNHLEAPHEEASVMFAKENPLHGIGVEQTIQHPDINLKE PSKRIPVGPKNSTPSYRGVPNPKQAETGGVRPLAPVAPKSPVPEFENEFLHLKQNEVE DLREWLDFTGYYDVEYRSKSLRRRRRLAALEQERIELMEEEQYERETLGKRGDASFLP KHKTSDARQQTDNAHVTSHPESPNSIPQGPLHMLRSSGTFNTAASSNSNSASTRDQGP GSPTGPFVHPSRESQMKRSASLTRSPQGQSAKKPRVEIWETNADHQDDDTRTPKESCQ DFRREDPNSTSHGLNSRGDAPSSRGHKMGSLSRGRGITQPRGRGSSRYFDFAAREPPN NEARFFMIKSHTLETVTASQTEGAWVTQRKNVEKLTDAFNSCRHVILFFSVNQSKAFQ GYALMESLPGDPGVSVPKLAETYEWEASPPFKVRWLNTAVTYFKNVSHLTNAYNENAV VLVGRDGQEIEPHCGLELCQVLDRFTHINQ UREG_05069 MAESAVRKQVYTTDHSSAVLRTHSWRTLANSAAYVIPHLRPGMT VLDVGCGPGSLTVDLAKRVAPEGGKVVGIDYVADPLDSARQNAVDQEVTNVEFKVGDI HALEFPDESFDLVHAHQVLQHIADPVQGLREMRRVTKRGGIVALRESAAMSWYPESEG ISAWWNLFQRMGKEKGGNLEPGRYVHVWAQEAGFERDSITCSAGSWCFASREEREYIG GTFAERMLSSGFATAAVEGGFATEEELAKISASWRAFVNDDNGWLGILHGELICRK UREG_05070 MTNKEMTNDAPSQKAHMEQQPLSDEESITLQEQAVIRKVDWRLI PILGALYSISLIDRVNISSARIAGMEKELRLDIGNRYSIALLVFFIPYLIFEGFITNY ASLIVCRLFVGFFESGFFPGCVYLISCWYARYEVQKRLAAFYLSAVLVGGFSGILAFG LMQLQGVRGYLGWRWIFIIEGLITQLIAIFSWFFIVDFPHNAQKTRFLTAQEADLVQL RIDKDRQDAGPDPLTIGKIGKHLCDWKLWMFSLMLMATTAPVYAFAFFSPVIIKSMGY SAGISNLLIAPPYVFAVASAYVFACFGDKWRMRGPIIVIQSLISITGLLLIAQHPNND VRYFGLFIGIAGCQGNIPALLAHQSNNIRMQSKRAVGTALQVGFGAIGGIIGSTTFRQ ADAPRYVPGLWVSTGLLFVVIGLLGVTEWLLWKRNKEIDEGKAKEPIEGLQGFKYTL UREG_05071 MASKFDFRSQFRDENFEKPKKSVLKSIFPSKARRKSQAAYAPTI YDPESQPPLQHWILPTNHPHSQTSRPLGELSERALNQGVSNCSPSKPKQPDASQKMGL HKKTKSTVSLKSLLKDKDKKEPEQKPLEEDNDSKHGKPKKTKSTTSLSAIFKRSHRGK KGTACDKEDKENITVSRGSNDMPPPPVPRSRAQSIQSQISSRYIPSSGRTLEEEMSLY TPNRYSQSSQRNFFDFQQPSLTKRPDTKWRPKSEYGSSSTSSVKDILQSMHKRSPSAG SAKSNASRTHPLAERPPLEKRKSSGGNRGSRVMAAIAAFNAKDKNFDKPKPVDPKEID NEFERLLDARNIPHNMRDRMRSLNTNIKADFINKNQIEGESATSASSQKPSGFTSHKR TKSKDSSFGRSENRGAKVRPLSGSFVSTKVDLPSFRRQKPDQLSSQKRPKSVDLSLPG SSRGLPPVALNAGLPSPDLIADPTDFVHYLKEVQKPEIVEVSKLHKLRILLRNETVSW VESFISHGGMEQLVDLLYRILKVEWREEHEDSLLHEVLLCIKALCTTSPALQQLCKIG NTLFPTLLGMLFGEEKKGPSEFATRNIIISLLFSHLSSATFDEVAARARTILSYMQDP KPPQESQPLSFISEMHQPRPYQLWCKEMSNVTKEVFWIFLHHFNVVPVAKAENPSLPF IQRYFPPPHTPVPAAPYIGGVEWDATNYLATHLDLINGLLASLPTIQERNELRNHLRA SGLEKVMGRSLRTCKEKLYPAVHEGLKVWVSAAADDEWEYQFVREGPPRDAPPTTPRS PTKGGWPPRK UREG_05072 MNCPSRTDESLDDLGWNQNPPSLAADLTTHQDLNGIANAREHRG NDLATELHPGQDASSLHLTWASHSPLGSPGKGTTAAGLTVSEQGMRTLNGTNDLGSPS EAQQNTSSSGDGTYPQGKRTLGCLILRSIQVLRKFGQFVGPGFLIAVAYIDPGNYATD VAAGADTKYALLFIILMSNLFAIFLQSLCIKLGSVTGLNLAENCKANLPRWLTILLYI LSESAIIATDIAEVVGSAIALNLLFNIPLIAGCAITLVDVMVILIFYRPNGTMRVVRA FELFVMGLVFGVVVCFCIQLSQIKESSVGEVFRGYLPSAAVVEDNGLYLSCGILGATV MPHSIFLGSGVVQSRLKQFDIDSGLIDPSVPYGDSDQDVKYRPSINAIRNCLKYSIVE LAFSLFTFALFVNSSILIVAGASLSGSEAGDADLFGIHKLLSNTISPAAGTIFAIALL LSGVSAGIVCTIAGQMVSEGMLNWTIALWLRRLITRLVSIIPSVIIAAAWF UREG_05073 MNRLFGAKSSAPKPTLDGAIQNVDSRVSSIDVKLAALNAELSTY QTKISRMRDGPGKSALRQKALKVLQRRKQYEAQRDQLTQQSWNMEQAGMMQDNLRNVM TTVDALKTTTKTLKQQYGKVDIDKIERMQDEMQDLMDIGNEIQESISRAYDVPEDVDE EDLDAELEALGEEVMLEPTLGEDAMPSFMQDEVAPPQFIDEPPEQNKLKEAAGGVG UREG_05074 MFWRYGGYANISPINTLLDRPDVTLEEVLDESELLHEMKQHNSK LTEFIREDHVLKRMLEYIVSPSLLVDDDEGEEPEGDGRGPDNGKTSGNAVSVHEGSKY KAVARRRSDSLGPDQDLEELENAEKARLKYAYISSEILSAPSWSIIEAMMQYEECLRN FWGFLRGKAPLDSLQSSYFYKVNEVLLDQKTPEMLSFVMSLDGIIETMLRHVDNPSVM DLLLKIISLDKPENGLVVTEWLYSSGFMPAIISCISTEHSASTQSSAGDFIKALITIS ANAAQNEQPCIGPNSLTRHLVSEPCLRKLISIMLQGGNPLTVAVGVIVDIIRKNNPDY DPEPPEGRDARPSNHDPIYLGTLLHLFADHVPDFMELILSPKKTVSEDGIHKIIERGH LSTAWGSKVEPLGFDRFKTCELMAELLHCSNMGLHNETGSHEYMQMRNNERERLRNQG GFFRQDEDSGFTYADNTNEFGVGASPSALGSGSPDEIRRMDSSNTGEDDGFEDVGSAS VLLEAKNIPEDTKEEDGAFTHVPKLGVREDLVDEPLTPPRSETVKPESPSSAKGPISP TSSGLTEKVRAFSIEPSGQGLSEQITDKGVENKVGDTSHHQAQFSQPNHPPTPSSSSL AQAGSVQSTSPTPTENAEGDSEDSILSAEQLLSATEMENFNQYIQKDPDGRPVVGDYL KIMFYKHRVLPTILSFFFRFPWNNFLHNVVYDVIQQALNGSMEQGFNQVLVVDLFENA HIPAQIVKGQRENDEAEAANKTRLGYMGHLTLVSEEVVKFTERLGPESLPKAIMKTVL HPDWVNFVEDTLAETRERDNAILGGVKPDMSMGHRQAVLNAIGGGQGLGTSSALSNAG LNGGIPSSGFDGLNFSNQGTVSGGVLGYGAGTASLFSSFGSSSDDEDEEMEDSEDMHR GFQSADINGMDSENVGATAFEDVDMSDQ UREG_05075 MSVDEDQDIDPNTDLEFISPFAAPREGVTSSSRPPSFFSNFQPP SAYSSSSSDDEETIEAVQRNYRLPLEVCDDDDMGDIVAPSSGIGSYSDDDEDEEILIR ETIGYSGVYGPDRYANSTALSSNIGGQHDNSDDEDDGLVEILVPGRRIPSN UREG_05076 MAKPPEPTALQEGDANSSGSEGSLTPTPATAYTPNPKRLPLFDW IKALGGGLPDQIELSTDPIKGQCLRVRDALPESLASGTCCAICPIQATMSIMNLDNAI RGVPSHGFDYSPDFFAAVEEPGALAFFLMDQYLLGDESFWAPYIQSLPDDSQFTRLEY YTGDDLKWLEGTNLLKLREKLLERLKAKYETGLRLLKEFPNKNTPKYTWERFLWASSI ILSRAFSSEVLKDYIKGTPTRVKPLEDFSVLVPLVDISNHQPLAQVEWATSLEKIGLI VHKTLLPGEEVPNNYGPRSNERLMMNYGFCIRGNVCDYREMNLRAPPDSPLAIAKQEQ QTRFGASKSKLINDRYYIYNIFYPLPAHVQFLEAAIFSPQLLDAAAVLSANDRELTGL QIKEDKIYIPFDKYGNSRSILAGLGQIVLRLLNDIRVLKSNPCYQKEPSNAKQRNASF YRESQIYISECAIAVAEWTLQRARNPRACLDEIVNHLPEEYSKNVHDRIRELITTRLS FVNHDGVLFYGDGVAQILPQAADEAFAICVRNIVKATCHQQSESLDLMKIKLVYTLFL CFCTAAYRNLDNDDPSILTPRLKRWVPLLLGHYTEPPVDVSWMLEEEEVEGLLDRVEE LFTTTRRMKDGIFAPLQQLIRKWKSDDQANWLSGNRLRWAWLISDEESVRLAKNPLPF MEPGSTAKEVAVDTYLYIPRYSGE UREG_05077 MISRAAVAPTTHSISHLSSRALSSASAAAATSTTRFPSSQKQTG QQAQSQSQQPQAQAQQQRKYATVQDPAAVPPPRVHGGLKDRDRIFQNLYGRHGPDLKN AMKTGDWYKTKEILLKGHDWIISEIKASGLRGRGGAGFPSGLKWSFMNFKDWDKDPRP RYLVVNADEGEPGTCKDREIMRKDPHKLVEGCLVAGRAMNATAAYIYIRGEFYEEATV LQRAINEAYEAGLIGKNACGSGYDFDIYIHRGMGAYICGEETSLIESIEGKAGKPRLK PPFPAAVGLFGCPSTVTNVETVAVAPTICRRGGKWFASFGRERNQGTKLYCISGHVNN PCTVEEEMSIPLRELIDRHCGGVRGGWDNLLAVIPGGSSTPILPKHVCDDQIMDFDAL KDSQSGLGTAAVIVMDKSTDVVRAISRLSYFYKHESCGQCTPCREGSKWTMQIMQRFE RGQAREREIDMLQELTKQVEGHSICALGEAFAWPIQGLIRHFRPELEARIQKFAEQQG GKQSFAGGWDPSARDAGKLIAPGQ UREG_05078 MTEPIRALYELISGNCLRSEGKPNAAIEDRTSTFSISERFGLDW IRAFGLRLWYGIGETDPIEAAVSLFYHDICHGNEPAYPTSTLDDEQSSNSAESPLWVI LKIFAVAKHNGNHAEIKPVPVPQDIMPEAVTGNGLRNRFSFQLFHHICKVAGPYNALT IDEHRANQLTFNYAWEVAAARDYGPALFVLLYLTRAVDRERSIKEMLSQFGAWLPKPL LEDGAPSIMWKFLTEELRIPSPWIWAAKALFARYDGNPSAEVECLINAEHWNEAHETF CRVVAPKTVIRRDFSTLKSLIDAFGEKPESKIRDWAHEGGMYQDFLALVDVPGIRKDQ ALVKRLVATLINVGEKIEKSATASFEEKVALKEIGRLVAGWCTADIGSTIQPADILRL PMTRDARRDYAAEVSKRYYRAIMASGA UREG_05079 MFGGQNKGFGTTATTTGGGMFGQGTSAFGGGNAFGTGAAFGNTA TPATNTGMFSNTQTAGFGTGTQQGSSIFGGGSSGGTGFGSGTGFGTGTALSGNVPPPS GTANPPFNAYEEKEPSSSVTCHYQSISCMPPYQKYSFEELRAADYDQGRRYGNASGQA GSFGAAAFGGFPQTATGFGTQTSSNPFGGGTASSAPPAFGQTATSGFGTTSTPNPLFG GTKPATSMFGQTPASQPGTFGSSISSGGGFGATTGTAFGGGNLFNNQQTKPAFGTGAT GTGLGAFGQPATSGTSAFGGTTAASSPFGQAQQGGTGFGGFGQAQQTQQNKPAFGTFG NTTQQQQQQPSGGNAFGATGTTGTSGFGTSLGQQSGTSLFGGQQASTPSNPFGGQQQQ QEQKPNMFGGLGTGTSTTGTGGFGFGTQNQQQGSNLFGGTNQQQQKPSVFSPSTGQSG GLFNTSTATPASAGGSIFNLGGNNQTTQQPGGGLGLGSLAGGSMFGQQQQAQQQPQTN GLQASLLDGNPYGNQSIFSGLPTPNAPSPGPLATPLSASIKQKQRTPLPMYKVSPNAA NRLITPPTRQGYGFSYSTYGTPSSSAGTPGLGNSFLSKSLNGGSLGRSFSKSLSSSNL RRSFEPETDSILSPGAFSPGSSRYSGSNLKRLTIDRSLRTDLFSRSTQPHTTIMNGDS TPLQSSKLKKRVSFDSPDKDKEDLGDKTDKALVPFESHTPEPTPEELGFLRSRREKPA APEPNGVKDVDGVNGSPGAQTASGSNSQPEMEQVRGKELAVVPEAQEQEDAATPDQSK TAKVPDRDPRPGEFWMKPSREEISKMTREQQKQVSNFTVGRANCGSVTFNRPVDLTTV NLDDVMGKIVKIGVRSITVYPEDVAKPPRGKGLNVPSTLVIENSWPRGRDKKAPSPIT SGPLFDKHIERLKRVTNTEFVDYCKKTGVWTFKVPHFTTYGLDYDDEDEGENFDQSTM SAHPDMVTPKAQTPSHPFGSEFNDSTINVDDSFDDSMIGVEDDTFEFKKRGLVPGGFS NQNTVGKSVSFESDEDQASFLGEGSVGSGSENDSIEESDRSAITSEPESDRDETMGMA GAFPVPDYTAEQYIPPVSPQKANLGHSRIESLDDLHLNLSGNWAEQLQRTISPRKQDR QALREIQNDVFADRHDPDDTPKAKPLNSKDHGFATSIDLMNSLFRPKQASPRKAQVGK AKKGFEV UREG_05080 MSESPAEPKAPVVIEAHQVDTFHVPEAFHHNHPHGPHLRNMDEY NRLYQESINDPDTFWARMARELITFDKDFVKTHIGSFAGGDNAWFVGGRLNASYNCVD RHAMQNPDKTAIIYEADEPDQGRYITYGELLKEVCQLAWVLKSQGVKKGDTVAIYLPM IPEAIVALLACARIGAIHSVVFAGFSSDSLRDRVLDARSKFVITADEGKRGGKLIGTK KIVDEALKQCPDVVNCIVFKRTGADVPWTKGRDLWWHEEVEKYPNYIPVEPMDSEDPL FLLYTSGSTGKPKGVMHTTAGYLVGAAATCKYVFDVHRDDRFFCGGDVGWITGHTYVV YAPLLLGCATVVFESTPAYPNFSRYWDVIEKHKVTQFYVAPTALRLLKRAGDHHINHE MKDMRVLGSVGEPIAAEVWKWYHDVIGKRQAHVVDTYWQTETGSHVITPLAGITPTKP GSASLPFFGIDPAILDPVTGVEVHGNDVEGILAFKKPWPSMARTVWGDHKRYMDTYFN VYKGYYFTGDGAGRDHEGYYWIRGRVDDVVNVSGHRLSTAEIEAALIEHHSVAEAAVV GIPDPLTGQAVHAFVALKNSNENKEQLRKELVMQVRKSIGPFAAPKVVFVIDDLPKTR SGKIMRRILRKILSGEEDSLGDISTLSDPSVVSKILDTYHEWKKVVDAAASAAATVAS NTATNAAAAISAKTTTAA UREG_05081 MSTAEESPAQQAARLRRERREAKIRAGGSARLDKITSLSGRTPA SAVADEPSRSASPLNSSTPELQPQEQSQSVSPLPKPTTAADNSPESIEAQEAYLRALL RSKQPLDQPEETDPTAKLLGSLMGLDSAPSDPTGGSGGAAGPPVADILSQNLTAFGLP SSMANLFTQQLRPESPEDQRKNKTWRLLHTVVACVIGLWMMFVFQTSVATYGKRPPPP ATAQNPFMHFVTAELILAGGRVLTSMGSGQLRTVRPWMQIFSNVMKDGRIILFMLAIT ELWANYRYKL UREG_05082 MTTQKKPGGHWSAENPIPTIQQFMQSLDKDKKDRDKQIDEAEKA HQEAQKHQSRDQRRLPGRDKETEAPPHSPAPSVKNRRRTVTDPTTGREIEIDDVGKEH MKAVEEPKVSLPRDFHADRKKDIQTQPDQPLHEYKHKQDITAPPEPVAEGTTSDVPIH GEKTNVLFHPTPSVSYEPMFKSLEKRGGVLCMGVFWAILIAGKLAGGALKWLIPLSFC VVSAVWLWVQDVIRKGRQHEWQSEQIRGQTATANLLPESVEWLNSLLEVAWGLMNPDM FAGVADTIEDVMQASVPGIIENVRIAEIDQGSNPFRVLSLRSLPDSHVQQLVDGMREH NQKTKSADEAAAEEEGGEVYTLECSFAYHAKPSGNTSSAKAQNMHMMLVFYLGVKGLF GVPLPIFAELIEVVGTIRLRLQLTPEPPFAKTLTFSLMGLPHVRAGCVPMVRHGVNIL NLPLISNFVNYAIGAAASLYVAPKSMTIDIAQLLQGDDIQKDTLAMGVLWVRIHRAVG LSKQDKRGSYGGGSDPYINLSFSKYGKPMYCTRVICDDLNPVWEESAGLLVNPEIIKA DEQLSIELWDSDRNTADDIVGKVELSVQKMMQHPGKMYHMSSKLCGMDAGSEMPGELH WEVGYFGKPRMRKELRTDGKNESLPDHMKGNPKLQDEAGSILNEEQDAVMHTPPDPLW PSGICSIVIHQIVNLQFARTKGTLKNRKGHEYDPAREYGENTDEEGKNLPTSYCTILL NDQLVYRTRAKAVSSKPIFNAGTERFIRDWRSAIVTVAVRDQRYREHDPILGVVPLKL SEILQSRSQVTRWYPLDGGVGYGRIRVSLLFRSVETRLPRQMLGWDVGTFEVVSDRIT AKDFAHSVKVKLRTSGSSTSISRSACHRLDTGDGVYIDVSSEEAKKNTRLPVQHRYRS AVVLELHNGSTTGYALIWLQDIPDNEEFALDVPIWTTKNSQRLTQNYITEDNWEQKRE PGLEDLAIAGRLQLSCRFAPGLSDAHERLIADNNLRETYESWEACIAEGVRKRQVSAD VPENIRQLHNKSLISERDVLQHNPAEGAEDQEQWFKDHGLDWSMAFGDNPHALADFSQ VPGDQSDTASIGDQEENTPQHSKGEPVNQADGDQHTEEDMHEIESMTTNTNSHSGDFE TREDRRQRVGNKQNKRTEKRKLRGSMQWAPARNAAFVKDEATFALKKVKNKVTGGLTG REPDIETEIG UREG_05083 MTSQASAAETISGDGINADSLVRRLTNVQRRRRREEIDRELGRH YSPSSDSESDNFGFTPSPSFFMRMAAPIPEVVYDPEEVRKQELLVYNQLREIFEESKK TIEASRKEDSSPPEAPPEATPGYPPSLDSIPTPIQTTPGGTRVYTRRDFQAKRHPAQR IFPWERMWPLGPHPPDFGTPAAEPHPKRWITSAVVRKNRAMMDRLNADPIGLAGYQIG KLPYYLQANGNPPAQPEKDSDIEPPIRRRRAVSEPANGTRPRDIPADKTDSSAPKPVK NISAPAKTYREIASARALSANPGKELQSTNKANLNINQLVTNGASLGNLPANPRRDPG LIKNAHRGNLKLDSSANQHDPDIGFPVPTQGPRLANGSNGSLVIDHRPKLDLANDVEP PLSNAQNEMHNIPLNQSSLNPPINLQEKGGLSQSNDGKINVNIHLDINPLLQALLQKS GTRKRASTESDDSDAELPRKRPCRVPTKKRSNKRRLQIDDQDGDGSEAPSESEPPRKK ARLKTSAKHTITNEAPKGAGMSFVDLASQTK UREG_05084 MLKLDLENVILFLTPLNDAARGATYLPANKSRVVIDSHDPQHRV ISLAFTSPPSNPRKGFVFGSGKKSDIRLNSQSITDPHFSIDLSSNGVTILSNKSLNGT SIGKRTLKNRQIQVIEQNAIIGCAELKFRATTPGRGLAQQLYNMRVTNYWAYKLFGRD MSYATAHTTSISSREKIGKYWEVGNLGSGGFGCVSKLIDSTSGDVFAGKRFINLGNSE NRRCAHSEIKTLQQLSHPNIVKFIDQCYPSNGILYLVMEYLPGGSLKSCGRLSRSTVI ESLRQCLAGLVYLHGLNIMHRDIKPDNIGVVSLCPLQVKLIDFGLASAEPISRTQCGT VFYFAPELFLGSPYTPAVDIWALGITALELLSGLPKEAGRKLLEDSTSGLNIYAYLNA ILETLNDLPEPSIRAFVLGMIESKCLVRWTAERCLEEIRSIEHSDMGNADELMAAIHD WQTPSDNPVPAPNPMDHNMADFNFLDFNPTVRANAANPSRPCQNNMLPTKNVEDVDPA IQQRAPDANPNPPNMPPIVQHLTAAHKLPALPELQDQVRKTSHKPSSVRSSSFVIRNN SLHAATNNITPAVENPQSGDPQTNSVSNSDQSSNSNSEPDSDRDSNQDPEPIAQKID UREG_05085 MTVFDELAETNGDNEWKDWLSKILDLKIEIATFVASRCRRGQVT EIVGYLKGSFNLGLHVRFDAGPDAVIRFPKPGHTAFRDEKVANEVRFMQYLSETTPIP VPQVINWGRTEESPYQLGPFIIMDYIRGTRLSTVLKQPTQSKDEDVILSPNVDNAMLD KVYDQIASFLLQLYQSNFNLIGAITRDPVLKTWSVTSRPLTYNMNELATVAFYPTDKF PTEPFASARAYFQDLADEHTTHLWTQRNLATDPEDAWKRYVARHRFEQLIPKYCTDDA GPYTLFCDDLQPSNMLIDEETLEITAVLDSESTNVTPAQFAYDPPWWLLLLGPDMWLE RYTMAEFLARYEPRMEQFLQALERVEGGPRFGGEQPLALMRESWATGRFWFNYAARTS FDIDAVYWAALSERDPAGTELLHDKEARELMRPFTEMKMEQLTAYKKECTAYFS UREG_05086 MVVHSVHDMNPARLNAIMPALQRMEEDPAFLERTRHRFDNSDPP PPYSSGSITRLPTPDPFQPVANSNNLDKPPRKPKKDRIEDEYCKRDENRKPLYHGPSL HGRAGQQRLRIMIRHSIKKRWERLGVWQPEWGIPRRVRCRDNDEPTNWCWRRNGCWKR DDIPYVERKFDSLPPEVQMASWPSLDEEHPSERAVRLYLKERGEWDETLDPPPPVAGS TLSFAVDDRDLIITRPWFMWHVEIHDEEMRLRRHSNCSEFWHTSRRNVATRWKEKGCW KDSWGDEPGWRWRHESPSPEPPDPSCMEFTPCEIDAFEEIPPPSPRPAPNPVRPMSLA EPRTVPRVRLFEGLDLPIPPLSTACRDEADADVHIPEAAAHGFKKSVGNRSTVSKETR PTRQASRALVEPSKISKPTQPPRRSARIMAKAPRRSARIMAREQQLKNAPVLSNDKKD PPPQRRQRKSPTKAIERKKARTNANRPAAATAASKPRGVTKRKGRSRA UREG_05087 MAEKRVQHPRFARTEEEERTELPSWYNTRLHTPAVEVVRRVAPS GFVGEGTFGQVFKGIDLDSGCFVAVKKIKLPPKHGFLPSKEEELLRREVKILSNVSHK NIVEFLGSSGWGSSAVHIFLSLKTGNICDLLEKFRSNHLNEALLSQLLHNMLEALDYL AFRGWIHRDVKPQNILYTPDDGGYRFQLADFGLAHQEQFAQTQCGSPLYMAPEIINRT HPQSSKIDVWSLFVVFGVVTQRGELDDPQLAHHGEVLCRVKIAAAQLRRLSPMAEEDP GRRASAAQMLVAHFGGQGLSTPRARVHPIPDPGSAAAPSRASEQLHSPEPPKPSEQSR PSRPRALDPTMRTANAKVMKPGARGAMQPKYASPRPYTRQAPTRGHPSDGIDEIE UREG_05088 MGGERAKDATLRRPAALRLVGSAWTAIYPPNIPRAVIDTVSMAD PPSAKRGTGSNRSHHQFHDLLVSRVGGTGLWRDRPSRNDVSYPQLNRPVQLNLRPSPL YTRTTLKQLTINFQVRDASPFRTQSIARSTSQGLKLRTGMNSNLAPLVPDRIDRRSDG RPVQLPVDPLTYKFSGCFAVKKTNLMMPQDDERPNRNEDFELLPCGCPYDDSTDRKGV PKTLGKRRYHMVGFFEVMFGTFTIDKKNINGSSQNHVFVTNRMNTAQRINFFTVNIIK RRVERELYISVAVDATQANACAARVFQSKKQTVGPDNRNHINASFLDSGSSRRNRFEA SSFTINSPATTLTLKLDITPLQCQSGRWAELLIVLQLDALE UREG_05089 MAFHVIVPVNPRPRVPSSILQYLRKYLNYTFLEEDPDIRRLKAM SPVHLWDQNYVLTRESPRPALNMHPPQPERLATGLVVVRQDTGDYWEIGHRGPAPAFS ERDSGDILTRRKLGVPPSGTVACIFSKTSRLKKFSPVGQDPSFVLYENILTRFYIAGV TASTHSTELYIMLEFIPVTLRSNSQLMTWLEGFEALKQSLKGLALLHEADFRTEISDQ GPSVLCRTIISR UREG_05090 MLAISMKSQHPHLHNTDPSSSDSTSLSPPNTSSTAVAQTVKPPQ SSTTSSTMLAVATPSLPPVPILQGNTAAKRPKLSLQTSCLPVAFGNSTTALSLNHSAN CSPSPTVRNTFRNAYDAYRRTSSPAPTNRNEFSSREPKSSKQEISAAHQPRHSHDELP YQLPLGIRGILRNSPHVASTLRRASLSAPAANGSGNGRRALFPAQKRVNYRYPLDEEI KTVHFVARHSDLTSSDSSTYSSSSGEEELKSDNSDSSSSASDEDAAASKEVRTSPGKR KKHARQIRAAGLRDRAPRADDDPETPQTPVRRRRKRQRQWRWTLGPIKDGHVDLAPGG FAAPEKKEAHASLGIDGEKCPFGSPLTVAIQSRPRASPVSATSE UREG_05091 MWQSIGTGPWLVGILTNPSYTGALLFNIAAFILPALYATLSKLW VAKLDASRVVTTDVYTYIGVVAEVINEGLPRAAWVIIGDRASRSLASRLELAHTLIIF QAILGLIMSIIFASAANQFAAAFVPVPVRDASVSYVRISSFSALSSAIEVAVSNATRA LDKPDVPLVISSTKFVVNIILDFLIISKYHVGSHRPTVNLQAGIRLACDMASAILGVI YFIWFTQTQQGDKVWSRVRMQSSLRALTVLLRPGIITFFESAVRNTLYLWLVSGIVAM GADYATAWGVFNTIRWGLVMVPVQALEATSLTFIGHAWGKWRRRIGLDIRKAKFLRDD LFTIARPALVSAALALAVEIPLCLLLGFFGCRPFAYYLSGSRAVSEITAHMWRTIDWC YLFYAVSTQLAAILLATRPRWYLLQSLISNIFYVLPWAIVCQAANLNAKDAWTYHSLV FGGSLVFSFFTVLVVDGLWAWILLTGRAYLEAFHDAEQNQDQQAVEPFM UREG_05092 MNAELTSLMGGSQSMSTWDYDCFYASVFEAENPALKSLPLAVQQ KQIVVTCNYEARRRGLRKLQLIKEAKRICPDVVIMLGEDLTKFRDASKELHAFLKKNT WGNRVEKLGFDELLNRHDLQNSFFQLDKFDPTVGFAFDASTFCGPTYPAEPCPSVKED GEQLWFSSLDVGLRLGSHLANYLRHQLEQHTPYTATVGISTSKLLAKLVGNLNKPRLQ TTLRPPYSATDDGQGGNVASFLGQHEIGKIPGIGFKMAHRIRTHILGREPNLDVYEGL AEEDNVSVDVVRSFPGMGPVKLDEIFRGGGWPKDIGTKVWGLLNGVDDTEVAVGKAFP SQISIEDSYSQVDNLQSVRNELLPLTRKLLRRMHADLTEDEEGEELTTGHATVQAGRH LPVKRKKRWLGCPRTLRLSTRSRPPSLPDVGHQLHSNRISKSCPMPRFVFSFSETVEA LAERLVEDTIVPLFRRLHPDKSGYKLSLINIAATNMMECAGSENSGVGQDIGAMFRNQ GPRPTQFSNLPAVCSHRVTESHFDNQHHGLKHVVDGEVDQTRGDAAVLEWTSDEDGES ENSATPHDECTICGAFIPWFAVQAHSIYHSVQT UREG_05093 MRLPTCLLPAATGLLSLLFQNVAAHSQRDRINYISLVEDPVIHT PSRRVHAFSNFDVTFSLHQKNQRIKLSLEPNHDILGFEPQIQFVDSEGSVQEIQSIDR HAHKVFKGWAWVETDAGWEQSGWARIVVHEDGAMPLFEGTFTIMHDHHHVMLRSNYVQ TRHPSDPALEDTTQEYLIVFRDSDIGRDIHSNLKRSSPIERSCGSDALDFNNDPDHPL FRPVVKRDQSTWASMPLEFMLGISKRQNDIGGGGSGGPTNVRQTIGSTAGCPKTRKVA LIGVAADCEYTKSFNGSVQAAQQNIVNVVNTASQIYEQSFNITLGLKKVQVVPQDCPP TPPPTAPWNAGCGTTQNPGPSITERLNLFSSWRGDQNDDHAFWMLMTTCSSGPEVGLA WLGQVCVTDATQQTDRENTTTQSVSSTGVVVRTPQEWQVFAHEAGHIFGAVHDCDSDL CSNPRIPATSRCCPLASDSCDADGRFIMNPTSGRGITDFSACTVGQVCTAMGQNSIRT GCLTNNRNVVTYAGSECGNGIVEEGEDCDCGGDELCGSNRCCDPKTCKFRDGAVCDDS NEECCRGCQFASANTVCRPGSGPCDPEERCTGKNALCPEDVHKKDGESCGSGLACASG QCTSRDMQCKVLMGGRLDGNNTRACDQSSCNIDCYSPASPNVCVYGMQNFLDGTPCIA GGVCKNVSQIPIPSRIVVLARRSHFITGLLYRKLLWKRDKVVD UREG_05094 MASSGHPSFQTRSSYATSKLPDRSGLNPNPFGSASVLSRRDRVG DFSGDTGTGGGGMGLGKSTTHGSTMVQGHNPQQHGVQSHQGNAGGLGGIGAGGPGGGN NNPLNDLSEEQREEINEAFTLFDLDRDRHIDYHELRVAMRALGFTLSKPDLISLLTTY GVPRPAAAAANQPNQPQQSRQPQAAQQQQQQQQQPPPHPSSLLMPLSSFQTITARKIL ERDPREEILRAFELFDEGAKGYIDLEDLRRVARELGETGLEEEELRAMIEEFDLEGVG GVTREGFVGICLQ UREG_05095 MTVRAGIINREIQRSRLSGATDISVHLSSPFLPTNADLISHGGA MFSPIIYDFENELCEINPYSHTAYGTDLANTTLASVYESNVIPQNTLAHAWQRPNTPS ANSLPIPPLDFGHSFNTTPLQGHTAPVFAHAPQQAPINAVSSIPSYHVSGLQIPQGDP PTASAQSLDTIYQDPHRKHAYLMPLATAPRKRPEPSPTASLKQHPNIEYTFVCEDPSK KPIGIDPRLRRLNLNEEYSQRLQEEERLHKEAMKEVGGSCIWCSQMKKRCDPNKVCLA CERRGWPCLRSCDQIWLYAPISPTSNGNTMGSKGDRQKALNTAKLITFSGAHKLARLL HSEVEAPFLAGKAILQCRWGFPNLSGLIVLDSTLLRTPLEEYRLPNATRESLIKTILS IVPEPRLLNTADQRERSEILNISIKMLGVATFVISAARSELFSRPVDLVGGRVAFAYL LTYLAQILAQLSEEFSLELFHLLRQRQNRKPVSDDIFLATGLYYRVLSGLHCFKPGSD SMIEEIIFAMREQLETVTSLVESLLRSDHLAGTCIQKYTGSSSSVKAEKFWKHFDEQI PPLPILSGMQISLYFYDKTSLPTPTALSRHFQPFSCPSLVTVPQLLSMRFEESFNFRT DKSPPIPGPDAVSFTTEPENSKFLPHFGTWAKPMFDEIAKKTEEDQDEIISLSEIIVE ESSAGELDGVTFVPTESNSWTVLGSIIQEDQSVFDSTDLFFNFEGYYACENEKWSEKT KRNKSNSSGKTVRGRPSKRPMVEPVI UREG_05096 MSFECPICGRPVRQTKINDHIDSNCKDFIEVVDGSESSSQNTAP FSDFFQPLAKKSSLKSIPKAVASGTPGQPFQGAKRNLPIEERGATQASNSDDAESAVP SADRSERRIKKPKPANAFEKAAPLAERMRPRTLDEVCGQELVGPNGVLRGLIETDRVP SMILWGGTGTGKTTIARVIATMVGSRFVEINSTSSGVAECKKIFAEARNELGLTGRKT IIFCDEIHRFSKSQQDVFLGPVENGQVTLIGATTENPSFKVQNALLSRCRTFTLSKLT DSDIISILNRAREVEGPNYSPTDLVDEELVAYLAAFADGDARTSLNLLELAMDLSKRP GMTKSELKKGLTRTLVYDRAGDQHYDTISAFHKSIRGSDPDAALYYLARMIQSGEDPL YIARRLIVIASEDVGLADNSMLPLATAAYTAVEKIGLPEARINLAHVTVALALSQKST RAYRGLGSAFAALQEPGVAGLPIPIHLRNAPTRLMKELGYGQEYKYNPDYVDGKVAQT YLPDRLQGRQFLEDRDLGTRVDPELPEP UREG_05097 MASGYNPPNFILDAAKEELDKVVSNQYAPTKGNPRLKNAIAAAY SPFFGKQIDPEAEVVITSGANEGMLSAFMGFVEAGDEVIIFEPFFDQYISNIEMPGGT IRYVPLHPPKDGAKRKTKASEWSIDFDELEKTFNEKTRMITTSHNPVGKVLSREELER IADLCIKYNTIIVSDEVYDRLYYVPFTRIATLSPKVAERTLTVGSVGKNFYATGWRVG YLIGPEHLIKYAAAAHTRICYSSVAPLQAAAAIGFEQADKLGFWDQSRQEMRGKIERF CEVFDELDIPYTDPDGGYFVLVNMASVKIPEDYPFPEYIASRPRDFKLFWFLAMEVGV GTIPPTEFYTDTNAHIGEDWIRFSICKPDGDLEEAKKRLRGLQKYIVR UREG_05098 MADQQNISQILAALAAAQPGALAAGSFAGSIPSSTPHMNQTSYS LPPPDNTGSVDISGVQPVNTGSVSIADAIAKARGIAADKGIAYDQRSADPRRDSRSYH RSRSPSRTPPRVARDVFRDNYNPYRDERRGDRRGNDRSYVRDRSFSPRPAGRGAEAYG SQPGRPPRGAGERSPPGRRGTGIDDVSETINIDSKLVGLIIGRQGDNLRRIESDTGTR IQFLDSPESNINIRPCRITGPRAARNDAKAEIFRMISENNAARGANAISAGDRFASRV QHEPPSRPSGYGEEENSTTQMMVPDRTVGLIIGRGGETIKDLQDRSGCHVIIAPEDKS LNGLRPVNLSGPARAIQRAKDLILEVVETDSRQGNAPPPREPRGYAPERDTGGPLPER GDDSIFIPKEAVGMIIGKGGDTIKEMQTATGCKVNILPAVGRDTDREVVMIGSRQSID QMKRNILEKVEAFKSRSQTRRDDGYSDRPSQTQFRNYPQDQQNKPSQPPQGPVSAGGG GGGGGGGGDSADPYAMYGGYENYVAMWYAAMAQQQQQQSPTADSKPPGAL UREG_05099 MLPKNHITLFLLVARTSKILAHANWVIITFQSIIHSINMQFQKN NSGPKVKRESKDSVSEIFLFVRLAHSSVPKTLWKPTNAIENTAPLCNPPMNSAQNQMD RSTPASSTVPIGN UREG_05100 MRPFNGLVTSSNALFLLGKSRIISLTADSQNVSGLASASQETLF CYTSGRWLYNEQRQLDLRYVRFDVEGLCKTAGDVLGAPCTQITKLRGGLYNKVFSLKT DAGEELLARIPNPNAGSSRVVIASEVATLDFLRNGLDIPVPEVVAWSSPSQHPNLVGA EYILMKRMPGQQLSDVWDGMTQAQRFELVRSLVAIEAKLVAAKMSGYGSLYYRETYPD GISLDGMLPSSWSTAKSFVLGPSTDRRFWVDGRGALDLDRGPWASAAEYFSAVAKREI ECIRTSAINEPSLMDFGRKATAREAHIHLLNQFLIVLPHILPHLPFCCPVLLHQDLHL DNIFVDSTDPTKISGIIDWQSTTSAPLFMQARFPSVFDCDDPYPWGAVLPELPDDFDS LSKNAKIKAREQLERVRLKKFYEMAARKFNPAIPRAMEALLNKNDDDPVSYIFPLLEQ TAVDGPLPLQELLIEIFERWDEICKRHGSRSGFPCPISYSQAEISRFRELVQEYAVAL CEFTGYLAQVGGGRDGWVSNGEFENAMRVFDEHRDELERLRRRVDDILFVL UREG_05101 MHFSRFVPRSSPRLPPYGAHDPPAMQNPAPAPAPPGAITPYLGF KARLSQVWINKWTILLLLVLARVLIAIAGLNDNMSSAKREALSACTSVESMGSAMASM PHYMSKGVNELTASGVERAVNGLMSMLLLTVTGVEEVVVFFVNVLTQTYLCLITLAIS GSLHVALKVVEEAADFLNKTLAEVTEGIEKGVNGFEDKVNDFLKGINTITIVG UREG_05102 MPMLTFLTCPNDTFSLGAIESIASDNKSDPESFLANPGDKTADA ITHVVVRVTDAIEDGIRTEALISSFLILLWFVLLFFAILRAITLALRRDKPRGEGGID ATYHPPTPPAPHAVSSMEMSDFYNVPLTAIQNPNGDGGMAPKYSTRPNVRGGSRNSDR DEEEYQAQKLGYAGQRDYETALKKDGGPMSRESSYGQVEYGNEKR UREG_05103 MPRKQKIIVVIILSMGAVSTIIGIARLVILSSFWVGGNYFSSTF IFGVIEINVGFWAVSAPALKTLVSRFFPRFWVLASLVKEPAPESPAADTLPAALPAGD GRLRQYAPFEARGRDQALHRGPTSSEEELRRFEHDIDVTRLGRSRWSVETGLHSPSSN QAHEMESLTPHDYKSDVTLPPESSTRDTGADM UREG_05104 MAPAAVQLPEQEVAREARAALATLTAPYDDTLRFYLNGTKVTLD SVDPEATLLEYLRGIGLTGTKLGCAEGGCGACTVVVSYLNPTTKKIYHASVNACLAPL VSVDGKHVITVEGIGDVKNPHPVQQRIAVGNGSQCGFCTPGIVMSLYALLRNNPSPSE NDVEEAFDGNLCRCTGYRSILDAAQSFSNPNCCQLRRSGGCCMDNGSSNCQSDGGRGN IDSALNKSFTSPEFIPYSPDTQLIFPPALHKHKFRPLAFGNKRKRWYRPVSLQQLLEI KDICPDAKIIGGSTETQIEIKFKAMEYSDSVYVGDITELRQYSLTNGYLELGGNVSLT DLEDICDEAVKRFGPVKGQPFVAIKKQIHYFAGRQIRNVASPAGNIATASPISDLNPV FVATGTTLMAQSLNNQRDIPMVYGGMAPLTMPARKAEAFLIGKKWNDPATLEGAMDSL EKDFNLPSSVLGGMPTYRKTLAFGFFYRFYHDVCLDLQNEEALGDVDAVPEIERVIST GQKDHDAAIAYQQNILGKETPHISALKHTTGTAQYTDDIPTQKNELFGCLVLSRKAHA KILSVDFGPALDIPGVVDFVDHRDLPNPEANWWGQPRSDEVFFAVNEVLTAGQPIGMV LATSPRRAEEGSRAVKVEYEELPPILSIEQAIEMKSFYDHHKPYIKKGDTEAAFARAD KVFSGVSRMGGQEHFYLETQACVAIPKPEDGEMEIWSSTQNPTETQAYVAKVTGVAAN KIVSRVKRLGGGFGGKESRSVQLACICAVAAKKMARPVRCMLNRDEDMVTSGQRHPFL CYWKVGVTREGRLLALDADVYANAGYSQDLSAAVVDRALSHIDGVYNISNVYVRGHLC RTNTMSNTAFRGFGGPQGLFFAECFVSEIADHLDIPVEQIREINMYQPNETTHFNQEL KDWHVPLMYQQVLQESDYASRREAIAEYNRSHKWSKRGLAIIPTKFGISFTATFLNQA GALVHLYRDGSVLVAHGGTEMGQGLHTKLVMIAAEALKIPQSDVHISETATNTVANTS PTAASASSDLNGYAVFNACQQLNDRLQPYREKMPNASMKELVDAAYHDRVNLSANGFY KTPDIGYKWGENTGQMFYYFTQGVTAAEVQIDTLTGDWTPLRADIKMDVGRSINPAID YGQIEGAFIQGQGLFTTEESLWHRASGQLFTRGPGTYKIPGFRDIPQIFNVSLLKDVE WENLRTIQRSRGVGEPPLFMGSAVFFAIRDALKAARKQWGVDGVLSLQSPATPERIRT SCCDPLVERGRVKNEGDGFFIAI UREG_05105 MISSRSSGLFTVIVFFAILLLILSTSPEPAKLTANIENTAGAIS EYVPKPKLPKLDDFRFNLLPPAAHKPPEQKNSTGEGSKWYSHWQWLNPFSSSVTLDED RSVLPPIGTRMPVYTYYDSVTKKDKALVEVDKKLLLSWRRAWFAQGFRPMVLGPQDAM KNPLYKSLDATNLNGNVTMELMSWLAWGHMGTGVFADWRCFPMAPYSDSFISYLRRGS MPEKLTRINNLGPALFSGEKAHINKAIKEAASSPKLNEAKTVIDLVNEETFIAENTTA FAYYSSAAITSKYPSVAEKLNKSAPEGYLALLDLINSHLQITFQTAFSSGISVLKPFP EHTTALVGPGVRLATLLAECLPLHSPLSCPPNNPKCQLCTDASRMKIAQPDTYKNDSA LFTIGILPHPYTLTTLRKGDDNITAAYIRRETQRDPWLTSSTKQFLGTERGAMSRVVP FKEVVAGDHGLSRGLWFTVETLPAKDEEQSLPTTVLDELDWYFGFVIPRKTRLERSKE KDTSKETPKRDLSKEDKSTDKHSKLDKELALIQKARNLLRTDQKDFHIKEVSEAWNLA DTEVWRFVRAYRYPKISTPLTYIKTLTFI UREG_05106 MTVLAVPMGVRAYAVPKDSYALQTNSAELPKVILGRRIRNCSYG EHVQIRRGSPQIVKATDCCSDTFSVFELGEPNIVATAGTSAASKPTTSASTERTRSTE LTASTKTTGSTEPATSSQPSISSDTSVPSPDSSRGPVSAPSDNPTPTSSPEEAGHSSG LRIGIGVGVAAGVATALGVGAVAWFISRRKKRREASGNYDQVDSNQSDSSVPSRFAEL RDSKNDVMIPIPPRELPTKEPAASRNKEPLPDPGARLGLDKQERCRRENYQLRTPRLS HCCLHRQTNKKRTAITSARATIMGAEGAPVQERLNRWAQRLRNLTVSPLTRDYPESTP SGHDASKRPIEAFESLQLSSEVQSAISKLAGPGDPSFLVFLTAFVVLVSRLTGDEDIA LGTSSESDGRSFVLRVPISHSESFAQLYSKVKQAFTEGASDIVPLRTLRTHIQKTNNS ERTPILFRFAAYEAPATSQEYPANTFETTDLVLNVAPETSSDDGKLELGGYYNQRLFS SARISTILAQLAQLICNVSNSPNEAVGRLEFLTDSQREILPDPTKDLHWSEFRGAIQD IFAINAEKHPDKLCVVETKSRNSPHREFTYRQIHEASNILGHHLVQSGIQRGEVVMVY AHRGVDLVVAIMGILKAGATFSVIDPAYPPDRQNIYLDVARPRALINIKKATQDAGEL TEKVRAFINDNLELRTEIPALALNDDGSLQGGIIDETDVLAPQLNLKSKPVGVVVGPD STPTLSFTSGSEGRPKGVRGRHFSLAYYFPWMSKTFNLSDSDRFTLLSGIAHDPVQRD IFTPLFLGAMLLVPSREDIQNEKLAEWMREYKATVTHLTPAMGQILVGGATAQFPSLH HAFFVGDILIKRDCMSLQALAPNVNIVNMYGTTETQRAVSYFEIPSYATQESYLDMMK DVIPAGKGMVDVQLLVVNRFDRTKLCAIGEVGEIYVRAGGLAEGYLGAPELNEKKFLS NWFIDPKTWQDREKAQQNETANEPWKEFYFGPRDRLYRSGDLGRYTPTGEVECSGRAD DQVKIRGFRIELGEIDTHLSRHPLVRENVTLVRRDKFEEPTLVSYIVPQMGRWSSWLE EKGLKDEDSTEGMIGMLRRFRPLREDARDYLRGKLPSYAVPTVIIPLKRMPLNPNGKV DKPALPFPDTAELSAAAPRRRSSVMQQLSETEITLAQIWAKLLPNISAKMIGPNDSFF DLGGHSILAQQMFFELRRKWRSIDLSMSAIFRSPTLRGFGNEIARLQDMKSFTSDSQL DESATGAVAETDSRNEYSEDAKKLLETLPGRFLPTTESVLHNGSIVFLTGATGFLGAF ILKELLSRENPSVNVFALVRAKSSEAALERVRSTCAAYGFWSESWVRRLHCVQGNLGD EKFGLSDQLWRHLTLTVDVVIHNGALVHWVYPYSHLRGPNVLGTVDSLKLCAEGRPKQ YGFVSSTSVLDANHFVEESERIVAAGGTGISESDDLSGSSIGLGTGYGQSKWVGEYLV REAGRRGLKGSIIRPGYVTGDSITGTTNTDDFLVRMMKGCIQLSARPNINNTVNMVPV DHVAKVVVASAFFPPHSQLSVSHVTSHPRLRFNQFLGALQTYGYDVPQVDYVPWASSL ERYVNDGDRNTNDQHALMPLYHFVTADLPSNTKAPELDDVNASSALQADAKWSGKDVS KGSGVTEELVGLYLAYLVEIGFLPAPTVAGSKSLPKGDISDAQKSALRAVGGRGGQS UREG_05107 MDIQREKTLLVGLSGPSSSGKTTLARLLRTVFTPPSKDHTPPTA ATIRPFVLHEDDFYKPDDQIPLVTTSSGKVVQDWDTIEALDVPQFEATLAYIRDHGHL PAGLKSKEDLNDATDSGVDKQTLHTLRDKVSQRTRDLVLRKQRRNSDGFENLEETSCS VAIAFVDGFLLYAPPNSPSHPLRTVHDIIDVPLFLPATYTLLKQRRESRTGYVTIGPA PTPKPPSQGDDDTRGADKRVKKDADEYIPPETNFWTDPPGYVDDIVWPRYITDHSWLL LPEASQPCEDIEDLKRLVGEGRYIRDDMGVLVAPGSGGYPMVDLLQWAVDEVLKGVEN MLI UREG_05108 MELHAESDVENLGFDELADGELNELESTIAEGGENEDGGGHRSS RENSIFSSRSASISLASTPSSKSSFSPASRYATEKEIFLGDLDNFIPVGILKRFNRCL KPGEPVPAAQGPEYPQAEVQSLVAAGWIRTSLCRNEIYSEWIAMRVYVLPEDVGRSII PRASVPLHRALKVVMSRLDISPEAWMGRFHPTPNHLVNNQTGVESLFYIFNTLDSPDP KVASVADPWARMAMEEILEGGIDGGEHENVLPGLLTPLYPYQRRSAALMIQKETQPGE YLDPRLELFTGPTGQTYYYDKEEGAVTQDERLFSRPCGGVLAETMGYGKTLICLAVIL ATKGHLPQIPPEHVSRIPKRAQIGSLLEMAASVISRHSVPWKAYFDRMSRAGLHYTKC IDVCKSHQASYVISQTPKYVSRKREDKITVQLSSGTLVIVPPNLVDHWLHEIRKHTQG LKLLVLRNKSDLTPPVISLLEYDIILFSRTRFEGENGDPLLQPPSPLKEIHWLRIIVD EGHNFASTGGKSNAIHFLDQLHVERRWVVSGTPSSGMYGIEVSLASQETIPGAAKNDD EVASAILKARRKSIVDEELKNLDKLKRIVVDFLGMKPWANCGSRDPARWARYIKPIDD DGTRVMAASLRPTLQSVVVRHRVEDINKDLTLPNLSNKVVYLEPTFYDKLSVNMFIFQ LTVNAITSERTDEDYMFHPKNRKHLAALISNLRFAGFWWTGFKSNDIVATLNIANKYL EKHREMMTESDLKLLCEGILIAEKTIACPSWNAFSSFDELGVFIRDFPEHARGVWSID SLKGHQQPILLGISQARDAQKFVTGRLCEIDPAEGMVGAGIKKKRELQARLVENDKRP RTVLPTKTQAESAAIDSKTGNGFIHRPQPETLPNAKASCQFRTLPTDSPLANTKIVAT ASAKLSYLLDRVLEFQETEKIIIFYENNNNAFWVAEGLEILGVEFRIYASTLKPNLKS EYLSMFNNSEEVRVLLMDLRQASHGLHVASASRIFIINPIWDPNIESQAIKRAHRISQ TRPVYVETLVLRNTLEDKMLRRRKQMSNAEMQHAERDLLDDATMSSIIQAGGFIPIFD DETDSGLALMKSTPGFFDRHQLPVQNKSKGKKSAPSSRQTPAKRKRKAADPIPFLDSD VSPGSVRRSKRRRTAASDTHHPVLVNEGLGLVLHHDSPSDNDIASTNISQSSSAELDG DDASASHAPPAN UREG_05109 MALRLPKLHVFMRWFLLSLAIAGSAGLNPEKNRFVNPGRGGPTP VWLVGEEQVISWKTEMEVYNISLWHEFPGGGGATFGSVLYSASSSAMPMNFTWTVQLY DFDLSFSNVFFFWFNRGAREHFISRYFNITRDDSILSTRSTATTMSTATTSVPSSLPS SPDASATATTPIETADATPSSEPQSDGLTTNSKVGLGVGVGIGVPIIALLAFIAFSKY FRRRPQPTDSNFQQTSPMVYTSNTRRLGPKKYLNDYRKPVELDAGTDHPLQPELPSDS KLKRSEPVVES UREG_05110 MKASYILCALALQVASIMAAPAEESAELSMDCPQRRDLFLSGYK GLQATTVRSQLLTSSQTHSNASDALPPANAIAAHGELVVASTTGAGAIAPAVKSNLRD VCTDVRVQTGPAFRAFCPLFLILLSNHCTTLILFRRL UREG_05111 MSYDRVLPRPIGLCYDVSGRDLPIRSADSLLDHRFPGSTLIRSS FEKYDYPSVPLQVKPLAAGSARNSISEPEMGCQKSLVTETPAVSQPQIGRKRVASIAA SDLRREERAASASSSKSTSSRDSGVQFCLCQPDPKIPRPRNAFILFRQHFQAAVVAQN PGLANPDISKIIGKKWKSLSLESQRDWKNLAEEEKARHQQQYPGYRYQPRRPGRKGST VGGGINNNPTGASVCNRCGGRIMNPPSTPTTPFAPAMQTQDDSCVPPQANGQRYGSLD GRFPRKETRSLSPIHVNPNCEWGATFQPQYRDGSGLLSPGIKRRQSTHIPARRNLSPG EAYSPRNASFTRPAPLQPRNALGMDPSRPYGMASLSEPVHGSSLTLPPLQTLAASQQN HQKEVENMVMTIPIVNKIKVLSKISPPFTTTKAANPGRGAVIAVEGQDVGSVRSILQY LKGVLSSKRGQDVRVFEGPDLSSPTSLAKLENSRDATVQYLETISAWHKISEEIVDYI NGSGSPPDRELDRDKLEAEVSPKSLGPYAARMGTRRLGSPISAPTDSTFRIALVPQYQ LSTADAHACATPINDAYAPIDHWQWMASLWRGCVGPDITVHIRSCYKEELAEFGEGNP VEIRLDDARALVVRRLSGSPGSIEEKALRRIGFEVEEFLRK UREG_05112 MRFSSKLYLLTALGLAGIVYFSWYLSLLPAAIIRSGNRQSQRWY RLVVFGDSWSDDDTVYKPTQKKFPCMLEITDESPDSSFGAIIDNSELEALQLNTTAKA PAADLSEQISEWLDKEERAARGAPRNQVSILKQSTIFVVSFGTWDLWKLAEQDLETAK ISVERIVEKLFASLNGLAKKWSPGNTRIILSLPVDVTFLPAFKTRQGVVHKDGVVVSE HWHSQMRIHAEQWKHGSLFLLDTNSFLVDQVRDRQLWVGGLIEEEEFGKNGIAWENVN DPCIEIKNPPLKPTNCSDPEKFLFW UREG_05113 MKLNIAYPANGSQKLIEIEDERKLRPFMEKRMGTEIPGDSLGDE FKGYLFKITGGNDKQGFPMKQGGTRSSLLNPSPFAQPCYRPRRTGERKRKSVRGAITG YDLAVMALTVVKQGEGDIPGLTDVVNPKRLGPKRASNIRKFFGLDKKDDVRKFVIRRT VTPSGEGKKEYTKAPKIQRLVTPQRLQRKRHRIALKRRRAESAKEQANEYAKLLAARV HEEKAKRDELRKRRASSMRK UREG_05114 MATNSIKLLTGNSHPELAQLVADRLGIELTRVMVLQYSNQETSV TIGESVRDEDVFILQSTRPNDINDGLMELLIMINACKTASARRITAVIPNFPYARQDK KDKSRAPITAKLMANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSMLRWIRQ NLDVANCVIVSPDAGGAKRATAIADRLDLQFALIHKERPRPNEVSRMVLVGSVKDKIA IIVDDMADTCGTLVKAASTLIDNGAKEVLAIVTHGILSGKAIETLNTGRLSRIVVTNT VPHEEKKLLCDKIETIDISPVLAEACRRTHNGESVSFLFSHAVS UREG_05115 MGRIEKSPGLKHEPTKSTALRRFAELALEVSVPELPSHLSTFPR RWPFPRGDLYNWILVLNRFDSILAQFISTYELESGPQSRPFGRLLLVGELHIRHLFYS KEDCETKLDGLGFGSEGDRELVESILDFSRLLLEKCGNRSLFNSSERLNALLNTSSLS LLQCTLRIGVCLAQRYYSRRRTTNSSHFHQTLLATHYNIELDRVQKLAAPFPRPPSPK KQQDASGTVKGKEKAQPRTTSSGNCNANNLISLTKTDTAKAVETDILLDNGVGTSDWE DWGNVRLSYYARDTESSNDFSGVGTSRNQFSHVPTTPTPVRRHSGHSSSRLGRDSTVD DSPSVATPPSTVKSDEAGQGMTVLEITSSEILSRGIEDVVKEHIAGVPKESRYELLHR VRTAYALAKSFETRQQQLAIRVLALTNLAYVYPETLFQQKILSQDSDESKRLQIAYQL AEFVHLGVAGDIEAPVLNQTYALGCLDALARHKSKAADVCAALNVNVNHGILMFIIRK TVADLATPDDNDDLENDDWRDALFSLLRTLPNSGSRTPETLVSAGLIPMLVDILNLRT QKPRRVYPRVMEFLDTYVHPIRDALATLASARGFDALSGLISSEAKSAFDLVKENKGI PEEHKTAFTDYQIPYFHQQSLRWLFKFVNHVMQHSTGGFERLLRNLIDSPPLLSALRL VLENARVFGSHVWSGAVNVMSHFIHNEPTSYAVIAEAGLSQSFLEAVMAAPIVNAPSD TNGSSSNLFIPSDTDADPKNLIAQLTASSEHKGSDGILPSSEAIICIPLAFGAICLNS TGLELFESSDALDRFFDIFESPEHVRCMKNDPNLLRALGNSFDELIRHHPRLKKAVTS AIVRMVARVRILTSYKAWEHGLGAKLVVQNEDQKASVIGGPASAVTEIGAPFTQDLES LENLPVSSLGEGISSADDLPAHRYSDIFGKPDIDKWNLREDNDSHGLNAVNYIYPVLR FLAAFFENQNMCSSFVEFGGVEYVLDFATLPSAPHNFHSSDVSRHLSQVIKVMAETKP HLVLPSIVNRTQKVLDRLSPFFETWHSSGFFTPIACSTASSESDVHQLKLQGTYYVKH LVGVLTLTDILREIYGPPLYPVRPSQQLSPFVQTNLADKYARLVQCLGSLQAACVWEE ILLQKNEPPEWKELFKTNQFASFGDGSHREPFPTPQGISSSTETDSVAQKEALKKAED SNWAAFQNNEVLRYLATTLPLSITTFHHVLGHGLTSKRRMDTYQRQKATKVAESMALT VVEQLQQACDSDPKDRFAYLIVILSAFSQLLFETSDRPHSHCLTIVLNAFKKIAGIRT LNDLAELFLDDIKTLTRQAREDSSPEVAKRLASVGGCIKLILTFFSETTSARYIIDST QTQAMATEQHRDRPDSFIPAQFLVELRMEALPLVRKMWDSDFIDDASSSMVKSLIEIL RSVLEEEYCVAHKGFRPPPRLPVPSSDIELTSRVNNTSQVEGLFQPHQSPDGLFNRAF GGSIEALLDEIQGAPLDPTSTAGQPGDTTSSESTLEDQDTANSTALPTTRRTSTPKRR DVITVEDLDQERDNIRSNLIERCLEVLNLHHNVTFELADLINAATKSRDTTTFCKEIG ETLIHSLISLQMDENFQSGGKKIAAYANLLALVLQEKKRILSDDEQPEQITWPTSSLE DGSDSPAELKEPIIPIEAKLQLFEAVVEILPRIGKDDSLALSVCRIFVILTRHRSIAE RLGEKKNLQRLFVMIKQLADSSNDKLQSNFMLILRHIIEDDDTVRQIMRNELVASFDS RSPRQTDTTGYLRQFYHLALRSPKLFIEVTNEKLKLQRYDSQQRPQVLILKPEKEEKG SESQEGTSGEVNQEDKKPEAPEKPNQEPSQPEGSDTKAKPKPELKPPIVEHPDGVIHY LLSELLSYRDVEDKEVTANTSENDGRQSEDVEMAMGDASSTSSTPALRDPASKKEKPH FKAEEHPIYIYRCFLLQCLTELLSAYNRTKVEFINFSRKADPLATTPSKPRSGILNYL LQSLIPIGTLEHDESMPFKKRVNTSHWAMRVIVALCSKTSEFGGSKRRPTLDEGDETD LMFVRRFVLEHSLKSYKEANSSQEPLDAKYARLMCLADLFDKMLSGATNPDGSAHYPS STRYVAKMMFEKNFISAFTASIADIDLNFPSSKRAVKYILRPLNKLTQTAVLLSETAS ITGLSTPGQADEDEISSATSVSDIEEDREETPDLFRHSTLGMFEPDHEEETPSEGEED DDEMFDDDEYDEEMDYEEDMPENDGEVVSDEDIDERGPIEGLPGDTPMDIEVLIESDE DEDEDDDDDDEDESEDDSDLDDEIIAGEITGDHDNDSLHEGDDDEWESEDMSDEDDVD VQTMEQLEEDLDDLARRDHHIGSPNFDEFLRVLEGAGGAVQRIEGDLGLRANLRDNMM DNDEINEEEGKRVSNNTLGLLTHFTIDEDDEMDELEDDVDVDEYDDHGYHGFDDDDDA DMSNSPWAWVDDLAHPRAQRTPWNIFSTGSGSRHGGIHVPGYRTHRTQITAPRTDDGT NPLLRRRDRQAEQPPSTDAFSDWVHAIDPSSQGRFLAVDSPVSFMNAILQVIGQGGPG FGVVTRPDGFHLHIDRDATLPSRLQDLLGLSRPHTVTTRPRDDPTQAATFTVSSTTTR WQEEARILFGTSYVDKSLRILNSILKLLVPPAIEESKRLQKKLEEEMKRRDEERKEKE RQEQIAKEEAEKERKRKEEEEEVERQRQEAERTAEESTRQAAGEPMDDVQPTEPSAEA QQPPAEAPTSGPSEDTPRVHTTIRGRQLDITGMGIDPEFLDAIPEEFREEVVMQQLAE QRSHAAAAGEEPSEINPEFLEALPAEIREELLQQEAADRRRRERDLARRQAATSGTPH AEDMDPASFIATLDPSLRQTVLADQPEEVLAALGPEFVTEARALTGRRLTQFDIGRLD SQSRAETSNEGEASKKPQRRQIVQMLDKAGVATLLRLMFMPLQGNARHHLNDILHNVC QNRQNRTEVLSLLLLILQDGSADVSAVERSFAHLSLRARTPTAQKTPQIKRTIPLPTP GANGDVTPLVVIQQCLGALSFLTQYNPHIAWFFLTEHDSHTALKFKSFRKGKLKENRA SKYALNSLLSLLDRKAILDSPNCMEQLSELLSSITQPLTILLRKEKERQEEEEAKKKA AEAAKEKAKEENAEPAAESAAQGDTTMTDAGPVATEEKPEETEKPSDESQTDSKQKKA RVIEPPVVPEHNLRLVVHILAARECNGKIFRETLSTINNLSSVPGAKEIIGKELVQQA QTLSKSILVDLNELLPHIVSAKTGTDVQGMALSKFSPASSDQAKLLRVLTALDYIFDP ARNDKDKGVDSNSAEKENVLKNLYESASFAPLWNKLSECFTTIRQKESMLNVATTLLP LIESLMVVCKNTTLRDLPLSRHGREFSVSSPPPDAGMEGLFFTFTEEHRKVLNELVRQ NPRLMSGTFSLLVKNPKVLEFDNKRNYFNRRIHSRGSEARHPHPPLQLSVRRDQVFLD SFKSLYFKSADEMKYGKLNIRFHGEEGVDAGGVTREWFQVLARGMFNPNYALFIPVAS DRTTFHPNRLSGVNQEHLMFFKFVGRIIGKALYEGRVLDCHFSRAVYKRILGKTVSIK DMETLDLDYYKSLLWMLENDITDILTENFSVEVEDFGEKQVIDLIENGRNIPVTQENK EEYVQLVVEHRLVGSVKEQLDNFLKGFHDIIPADLISIFNEQELELLISGLPEIDVDD WKNNTEYQNYSASSPQIQWFWRAVRSFDKEERAKLLQFVTGTSKVPLNGFRELEGMNG FSKFNIHRDYGNKDRLPSSHTCFNQLDLPEYDSYETLRQRLYVAMTAGSEYFGFA UREG_05116 MGQDSTSRRSVLDDFGKYFSQLSGIANGALRPIAPDSGYPSPSM DSTPGILQDLGELDVKDVGTVLELVKNMASGAPVDDKRYIMERVIQLASSLPTGSRNG TALTNSLLGQLWNDLPHPPVSYLGRQFIYRQADGSYNNIYWPQVGMAGSTYARSVQPK LVQPVSRPDPGLLFDGLLARRKFREHQTKLSSMLFYLAALIIHDLSPLYGCNQEEQDQ VRTFRDGKLKPDCFSERRVAGFPPGVGVLLVMFNRFHNHVVQNLAAINENNRFRKPDT NDKEAYAKYDNDLFQTGRLITCGLYVNIILKDYVRTILNVNRTDSDWSLDPRSDFREF LSHKPVAEGGGNMVAAEFNLVYRWHSCLSDRDDRWMQDVFREVFDGRDPSSASTMEFL RGVSKWESSLPDDPMLRTFAKLKRTSNGSYNDDELVEILIESIEDCAGTFGARHVPKV LRPVEIMGILQSRSWNLSTLNEFRKYFNLTPHKTFEDINSDPEVAEQLRHFYGHPDNV EIYPGIVVEEAKVPLVPGSGLCASFTISRTVLSDAVALVRGDRFYTVDYTPQNLTNWG YTEAGPDMAVNHGHLFHKLIFRAFPSHFRPDSVYAHFPFVVPTENEKILNDLGIVDKY SWARPGPMPHPTMIHSYSACKSILNNKLDFKVTWGDKIKFLMRRSQIPYGANFMLSGD EFVHEESRQMMKKALYIDDWHKQVGDFYERITLKLLHAKSHRISEVNQVDIVRDVANL AQVHFCSNVFLLPLKTEENPHGIFTETELYSILALVFSCIFYDADPAQSFKLREMSRS ATQKLGQLVMLNVQVISKTGFIGGIIDRLQANEALADYGIHMIRRLLETKLPADELVW THILPTAGGMVANQGQLFSQCLDYYLSPEGSSHLPEIRRLAHLNTKEADDLLLRYFLE GARMRSTVALFRDVATNVTVQDHDKEQSLQTGQRILCNLVSACKDPEAFPEPDKVDLT RDLDSYIHLGLGPHECLGAGMTKVALTTMLKVVGKLENLRRAPGPQGQLKKIPGPGGI TAYMSEDESRLSPFPTTMKVLWDAFECKFRSEYQFPQSESRKLISNSTAVRRIESPRR WGV UREG_05117 MASQKIVLEIKSRGKPIRKLPKSISVDRDASAASLYAAISQQAQ FSLHRLRITKASDGTLIKNTKDTVIESTGLRNQSVIYVKDLGPQVAWRTVYFVEYLGP LIMHPLLLYVLRPYIYRSPTPLPAPSDLQRFTCLLLVLHFVKREIETVFVHRFSLATM PASYIIRNSAHYWILGGANLAYWVFAPGSPTARSKANPILLYSGIALFVFGQLANLNA HLTLRNLRKEGDTTRKIPSGFGFNLVTCPNYLFEVIAWLGVYLVSSLNWSVLLFIVVG SATMMRWASQKERRYRREFGDKYKRKRYVMLPGLW UREG_05118 MQFALPPRKSSHPPLYSRPSQSSALRRRQLKTAAVLGFVVISIL LFISHLFSSGSQSTSVAGGPKVVLVTVLDEQSLSDGYIQRIKQNREDYAKRHGYLNFF ASTSEYVPVLNDAPRSWASIPAVRHAMTLYPGSTYFFHLSPHALIMNPTLPLTSHVLD YKKLDSLMIKDIPVVPPDSVIKNVQPPGRKGRRFDHHPRWREFMPR UREG_05119 MISPAVAGSARSITSLSSLTSALHNLSLTSTRSFSSTCPSQKTR ILPSYIPPYPYGPRRIYKQADSGLYGGATIQFGNKISKGRNEGKTRRTWKPNIRKEKI YSEALGKWLDLKVQHRVLRTIKKVGGLDQYLLGEKPARIKELGIFGWHLRWQVMTSKA MRERFEKERQELGLGKPETFEEFLSRYTMEQQVQAAIEDRAQRSAELASEPIKESLPE AHAEATPSPTLNVA UREG_05120 MAGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVGSEVFSA EVKKTEALMENFRRAIGLRVRETKEVYEGEVTELTPEEAENPLGGYGRTISHLIIGLK SARGTKKLRLDPSIYEAIQKEKVVVGDVIYIEANTGACKRVGRSDAYATEFDLEAEEY VPVPKGEVHKKKEIVQDVTLHDLDVANARPQGGQDIMSMMGQLMKQKKTEITDKLRQE INKVVNRYIDQGVAELVPGVLFIDEVHMLDIECFTYLNRALESTISPIVILASNRGHT VVRGTGDIVAAHGIPPRPPRPASFIIPNPSVQPDEIKPSFRLRPRRRASGSPTPPWIK LPPMAQRSALRYALQLLTPASILARVNGRPGGIEEADIAECEDLFIDAKRSADIVSKE TGGFIS UREG_05121 MSQTTAPLPSRSLSEPLYISPLAQYLDRFNPKSPRTAVIESVPD PESSVSDDPKENSMKTEALSKRIAIIGGGISGIAAYWALRNTVHNVDLFEQTNDLGGL TQPIRAGTGLNTVHVNKGFINFFSGASPNLINFIRCLKISCIKTLCNFSVKKVNSNVY FDMSFNSVRAVASHLHDWLRLDAWRLYVDIWRFHYFCRDLLRRRAEGENHFQPLVGSR LAIRDTVGNYLNEHRYSRIFLENYLLPMAQVVWNVQSEQDLRDLPVQHFVNFFWSSGF LTWPQTAWIIKPDDKAERKIKKRIRTSFKNRKTIHLRSKITSVEVIRDKDSSKLSVTA LGAGHLLYDYVIFAVPPEEALRLLSTGASQEERQILGAFETTKTDTWLHSDSSFVSTG KTSATRRVDIYYSAVLGLAMDCTRMAFEAPFGSQ UREG_05122 MANPLDTDAGSELFSSYEAELKLVQADLNQKLDQIAEATGEERK SSIRQAERALDEASELLDQMRIEKENIPSATRSKVNARFRNFLSDIDEVKRKLKSLSD DRKALFGDRYRDEPSSIHDQHLEQRQQLLSGTERLGRSSARLQESQRIALETEDIGRN TLADLNVQRQTIEHTRAGLLESEGYVDRSVKTLRGMARRMATNRIITIAIITVLVLLI VAVIYSKFH UREG_05123 MEHSYGFKSSQANSGLVVTIRFSASVPDISLEVPNPSTTTIAGL KQLIREKLPAELAKRRLRLIYAGRALEDEVGLAASLRLELEPADVKGKRPIRGAEDTE ALLAPENKSKRIYIHCSIGDIVLSEEELEKEAQESHLHSALSDHDQTRPSTFPSPIPA QEQIRDPTTGLATASTTTPAPRGFDRLLSAGFTVVEVSALRSQFLALQSLTHTPDTMP AGEELRRLEDRWMDEGSNEALPGGGAGGDMAGGNAFGNVSSDASGVLDDMLWGSVMGF FWPVGCGLWLLREEGVWSWRKGLAVFVGVLVNFGFGAVRWMN UREG_05124 MIGATFCRGVSANLGFDTIVSAGLVSLAAGLEIHLLSANLSPAM SFIHNPVTSSIRLFFRDASRRGCTQTARPFSSCRQAYQSSKRELQTATAYRPQTLVEP FPPRNAGTPDTSISKAIPSSRGADLSPDPLNTKGTDRFKSYSLPEAEAQKSRSFSSPD FTPATTPSPSTQATKPRRSKLRPRKAAMILTPAAISQLRNLLSQPDPKMIRVGVKNRG CSGLSYNLEFVEKPAPFDEVVEQDGNQSPRRQ UREG_05125 MESSPLTQQTRPETFQPKIVQLYEKLLFESASRKPPVNEHALET LSTFLGGVLTKKYTNPSADIITILAGLHEVDQVFTEFVSVLDGIIRNGANLDLRLKAV DAAIAMTSGAYKTGLISYFMHRDLFPSLMKFVHDSDDPMQIFKPFLLLGLLANYNKFE FQNPYQLRLDDFVNETTILKIVRGVGTTCTILRNSYVAVQDDLPEGWTWSGTLAFFGL GALAGRSKIQPLTAEEMKERFAELHVISTILRLLTYVSANKIRLQYHWSELWRGLLTL TRFLTTYSADLSTDPNINILVTDSVNLLAFCISAGDTFLPDPVSYDDLFYKVVEAGPI LSRFHDVYKHAFSAPAKTTNGKTNHDNKQFDAGKSLSTLLNVSTHFHSLLFLPEKSKE STTTDSVAPTARNSRKKNLNPREVHNIIKEGYATLSIEPREELSNWEKWREADWKTRL KRIARIAVDDARIVVTARLGNVQNQVLSSGSKDPSARGDP UREG_05126 MPPQKQMYQKDEVVLCFHHDILYDAKILDSRLENPKDKSSLYEY RVHYKGWKNTWDDWVAQDRLRKYNDENRELAATLRRQAEAAMRSRTKSGKKKAADAAS RASEDRPSRKRGRETEIETEEDFDARPTIRMLMPERLKEYLVDDWEFVTKDKSVVPLP AKSPVNSVLDRYLQEEKNNSTRNSQAEQDVLQEVVDGLKKYFDKTLGRILLYALERRQ YVTERKRWESNAPGYEGKGAADVYGVEHLTRMLSLLPELLAQTNLSPQATNRLRRELV VFMQWLSKHADELFTETYEPLDRDYVEEVEERYRQTDEHTGTATARLFSDRPAERFLS GFSRMGKGRLGGGNGDEGEDDEEEEDEDDEEGDEDDEEEDDDDDDEGEDDEDEDEEEE EAESE UREG_05127 MAPSEEYILNNFLTSPSPLPRIISLERFAELFPRKLRSHPQIRT LYRELQHIRAQDIALVKENIEREVKTGEKQKEELRQASANKGVSSLSARDKMEIDMDI QLFGQPSAKQQEGVFTLETVLPEMQRAIAAMEEEIETTRQEYSTILSEVTTIVGELSD LRYGKFSTFPGSNDTVADEVLKGLSNLEDICNSSIK UREG_05128 MSYTASKTWISPQTKEIETWAKIRDSITRISPHSPFIPTTFSDW LAHRVAIKEDQLQKVTSKIEKIREREDDEDIPLLPVFGGKKMKDQLALVLAQKTIWRL GLEPPEGRHLAPWPSYDEYKHEGDDRNKSGYSRFPPLPRDPGNATVNWKQRKPLEQFL FDEVGRRATDDSEEQANTADEPLAIELIGEALLLLLNS UREG_05129 MSIAEIFEGHKGVQTGISVHSPKGHMDVLILPVFDGGRFLSGKF PSWQLRSTPQIPAPPFRSKPTFRQPQHKAFFSVKTIMPTVPIYSKSELQITDDASNPL PDLLKTPSGLAILELQGTINLPSHASTGKDDFDSDESDLRPKSHYQTPIGRLVFPDYD SSKPPENTSWMKRVYLYVGQHQRLTGEVKKLSKPLAIIRQRQRRDTGKGGPTVEPGTE HVPPRLDEGTHEELEIAEIIRYKMLFSTRPEPVSDSIG UREG_05130 MVGLEVFEITLKPGTGYKDFDHMTWYVGNARQAASYYTTRMNFT PIAYKGPENGSPYTASYIVQNGGVRFVFVAPVCTPSNQCIPGVERKRLKEIHDHLAKH GDGVKDIALQVNMDVKGIWEKAIKHDAHPVMEPVVINAEAPFSGKIQIATISAYGDTT HTFVNREGYTGPFLPGYQTVEEKDPINRCLPAIDFIGIDHCVGNQPWDGVDEVVKFYE ECLNFHRYWTVDDAAMCSDYSAMRSIVVASPDEVIKMPINEPAVGEKKSQIEEFVDYY NGSGVQHIAFRTSDIITAVTNLRARGMEFLSVPSTYYTAIREKLSQSYTVVNESLDIL QKLNILIDFDKGGYLLQIFSKHVLDRPTVFVEIIQRNNFDGFGAGNFRALFEAFEREQ ALRGNL UREG_05131 MVSSAETTDYEADAFEDARDAQDDISTPLSATRSLTDRRLSNGS LPTPKASTFLPGQAPDTPEEPSRDSPEPQSREGTPKKPKQPKSPLLTSHRLSTSSLDD INLTSSKDDEVEVSPTSHTSPPASGPPPLPARDSTHGSRLQGLSASLPSVPWGPPPVN KNLPPQPQQPAAPTRKLTSPFSWLSRGQSAQKESKTVSGNRRYTGASISTTMSNSEVQ GRFEGSDGDVGSTESKRQLRNSLKDQFKLLRMREEGAGDEQADGSEEKGGESSPPSAS LDVDSTSPQPSPIPSSPLPPTVNPNLAPGTVAGFSASATDAAAPVNWELWQQVVNHGL EALSGANAEELNLAIRRGIPQTIRGVIWQVLADSRSPDLEDVYRELCARGTDKERDRY WSTPSTASINGQANGSLKEKDSSPSSRSSVHSDNSTTATNSTNFPAPSISEKGAEAMI KAQNELEEMRKKKAKEDAAALVKLEKAIRRDLGSRTSYSKYFMSQRNQEALFNVCKAY ALYDGGVGYAQGMNFIVMPLLFNVSIGGNGSVIITLIQYQMDDGEAFTLLVKLMNKYG LRNMFIHDMPGLHRHLYQFERLLEDLEPALACHLRRRGVGPQLYATQWFLTLFAYRFP LQLVLRIYDLIFEQGLESAILRFAVAIMRRNVEPLLGMNDMTSLTSFLKEKLFDVYID KQPSPSSILESGFFGSSGASDKEIYRADIMVEDACAINLTPAMIQTYNSEWEEKTRAE KELASELEGYKHTIATQAARIRSLEEHAEKSDTEHVQIASELVRIKVENEELKDSNES LKGEVAELKMVVDRQPAELEEKLRTEMDRIMKRNIEVQNENRAMEEQMSEMEKDLVEA KMKWAEMSENHEALKQKWSDLRKALDD UREG_05132 MTSPNQHGVPRAASLQDRSEHARARELQKIQKYQALDQLRAEND YSEDALKKTSELLMDNAEYYSIWNYRRLILQSQLEEISIGTDSDPAGGQSMQQLIQEE LRFLVPLLRQFPKCYWIWNHRLWVLKETIDRLPPAVARKFWQEELALVGKMLSLDGRN FHGWGYRREIVAVLESLGAGVEVSEAEVQKHHLTEDELNYTTKMIRANLSNFSAWHNR SKLILKLLDERLAGDEERRKMLDNELELIHRALIDPYDQSLWFYHQNLMCTFDRTTAP KGMAPNMDDEERLGYLNREIDAIAELLDEEEDCKWIYQALIECSLIVRRIQGTMSAEV KQRISDWLFNLKRLDPLRKGRWEDLEQSLMI UREG_05133 MGAVWHVGGVSAALGSPPAGSPGMSSRFHRTGTVAPTYSAKFNR KETDAEQYRMHEARLALALDIDPASRIFGFSIPQTPPDTPSNVVSPSRARAPLTWKDD AWSRGFDYPPTSPKAATKKNGKFIPAVPFRVLDAPFLRDDYYSTILAYCPKARVLAVG LSSRVYLWSEQHGVTNPPLQQASRHNFVTSLSFSSETGGRSILAVGRRQGQFYLWSTF DQDVRFKKIFPVGVACVSFRDTPSIRSSELFPRISVNVEHLAVGDDAGNVWYYTVEWT TPKLRKRYGWDGNMTLVARIAAHKQQVCGLAWSPDGKFFATGSNDNICLVFDMENILA GASQPEIPGASAQRHSWRTRQLDQLLLLRHRNYSTISLLSGSMTRNDTRDADSGDTED YSSESSQAQTVIIRADRERHRLKHHAAVKAISFAPWEPALLATGGGSSDRCIRFWHAP TGALLATINVYAQVTSLIWSKTRRELAATFGYAHPRHPYRIAVFAWPSCEQVLAIPWA TSEDMEYGITMPGRAIWAISYPGGPSEMLAYAQSRMQTGAESPDIETHGRSLSGANRQ STHGRGDNPPSMSRVAHTQGHTWFPRTEREGCIVIACSDESIRFQEVWGGEARSLRGG TGVFGGKPDPRKLART UREG_05134 MPSQKRSRAPVSKISSAAAPGASGTPVAGNKSSVIRSAFSPSEY QLALFASVIQGLDAQHLRIHDINSGRLRCEHAVNPKEAITSLDWGYYGDSHADRDQHL KKKRKRSSGVNGIVDASEPGDAVVAFGTSSSEIRMYSPAEGKVVATLTGGHERGIRDF RFTIEKPGVEGWSIGGDGKLVQWNLQTGTATRVSFSAMRNTVHTLISSSNDLASLSEF FLATDSDRYINLFDIQKKKLLGSLVTDKEIESIAFYAASSKSRNKKDEASRSALFQKQ LLAAVTKDGAIELFTRPFHQAGEPQSASTLSSLKSRLKAATRRSDACVKIVKPDASRT MVPILSVCFDGSDIVVAWVEAGANVVFDRVRWRDEDSEELALHGENEIARGKSASMVG SALMNGVKNMGKSHVDESRATVEQGGFAEGLATDGQADDVSGTSDEEDDSEAEPEVHE ELPPAESLSKADDDVDMADVDAEDEADEGEPSFGDLVRANTAKQIDVAAELDETETGA LTTTRPSKSLNQLSAGLSLATVLSQSLKTNDHNLLESCLHTTDVNIVRATIQRLDSSL AATLLQKLAERLSSRPGRYGNLLVWVQWTCVAHGGSIAGHPEILKRMTSLFKVLDQRS ASLSSLLLLKGKLDMLDAQLGLRRSLNQAREGRTESEDDDVIYVEGQEDVVESEDEER LAIEDVGGSRGAHDVDMGDVSGEDAEEEEDDEEDMPMVVNGIASDEEGDENDEEDEEL IDDEAEESNDGADDASEDEDEDEDEDEEDLGSMVDFIADSDEDSEIVDDPPSSSSKSK IKKGKSRG UREG_05135 MATPPSEATLEDKVKPLSLTDPPATSNITGGTEQAPALPAKVGE AAAGTNGRLSPVANGNSLTNGTKEPLNSLTPSPTENEETATEPISSNGLQPQADQVGS PKVSIADAKAENMRDAESEADLQKEPSVPNAGLEAVTSTSAEMAEVKSPPKAEKFLDT MPSQPISPPATAPVVESSELHSTTAPPPLSINQDQDQKMTDAPPLSPSKVSREREEDE TDEPAAKRTKTDTTPSQDDFKVPELPATTAEATHPAMTPLQSKFFTRTLAALKRTHDA RFFRTPVDPVKLNIPNYPLIIKHPMDMHTMEEKVKHGAYKTADEVIADFNLIVDNCVT FNGPEHVVTIECLRLKENWERHLAKLPSPNEVEPTAAEKKAKKASAAPTKTQPTRRES QAKTNAAKANNASSPTTFALGPEGLPLIRRDSTTVDGRPKRSIHPPKNRDLPYSSKPK KKKFHWELKFCEEVMDDLHKPKNYNLASPFYQPVDPVALNIPTYHNIIKKPMDLSTIR TKLQTGQYENSKEMENDVRLMFRNCYKFNIPGDPTYNAGKKLEEIFDSKWAQKARWLE AHDPTSAHQSDSSDNESSEEEGSDDDEQSEKLHILQKQIAEMSKQVEAIRQKKKKTPP TSSKKGGKPKSGKKDLKKGGPGRKDKKGGVKSSKPEKQRWITYREKQIISNGISSLPE NKVQDALHIIQSNVPALKGTDQTEVELDIDELPNNVLVLLLNFVKKHAPQTLEYDEPA PEPLPTSVTSKPKKNKPMNAHEQEAQIGRLAGTLSKFQGGGQSSDPIHSEAAPESSDD DDSEESEEE UREG_05136 MREVRGEFAADSGKKIRKLRSESFQIGFEQGDRFFRAAIVLGRI RTGRVVAKVKGDDGNKIS UREG_05137 MSDGVSIYDEIEIEDMTFDPVLQIYHYPCPCGDRFEIGIADLRD SEEIAICPSCSLMIRVIFDVDDLPKEGEDGAGLATVAVQA UREG_05138 MLSRSSARALSSLFSTARTPAKASTTFPSLTRAVTATRTTRTPQ RSFARTFHCTPAFHKGITPGSSDPPPPSPESNDVAGVTPHVGEPSPLTDAQYHEYSEH YFNVLLTELEQAHEEGSETEAEYSAGVLNVTVPGVGTYVVNKQPPNKQIWLSSPISGP KRFDWVVQGDSMGEKEGTREYAGGQWIYLRDGSNLTTLLNKELGVEISENLYEQSRQ UREG_05139 MVKRFCGIPRNTRSFSKASWKASNNSLTCVMGNRKHKGHHGQKP PNANKKPPLTHFLCFPLVNETSASQLVNSLAEFKSRIPLVASEAVAKAEAAGHNVRVP LVPDDAIRPLGTLHLTLGVMSLTTDERLKEALEFLKSLDLNAMLREVEAQTASTPDEQ PGKSPIIGQEEHPQPLTVSLTSLHALPTTRSATMLHAHPFDPTSRLYPFSVNLRNKFI EAGFIQNDMIKDPKMKRKGQQEQNMPQQQTARQRVSHGDSDSDGGVELPVIRNSMATA LSQEGLIPRPLLLHATISNTIYAKSRRSFSASGKKRAYKFDATELLSMFGDNASPINQ SQGGSPKENGQSLLSKAIPRAMAAEMQEASKKQLEAQALMSKEPFIWAKDIRLDRLCI CEMGAKPVQHDESKEGPILVEEYTVVGERRL UREG_05140 MVKRPLLRRDITYSSAKCSSILTKRIPFFASIEDRRDWIKAVVA AHLNIKPSSCDVADPKEWIHGSFNLCVPVKLRGWTARKQPGSRVLFRLPLPYKVGEAF NPGNVDEKIRCEAGTYAWLEETHPQIPIPRLYGFSLSSGDSVYTGQMLSDTWYDKSND LKLRKTLYRDLARILLNLSRVPLPRIGSFTIDSRGFLSLTNRPLTSMIQDLENEEIPT NIHRDYTYLTTDSYIVDTLDVHNSRFLNQPNAINDKSDGLNQMTALTGMRAAFPLFFQ RNLRRGPFVLSLTDLHQSNIFVDDNWHITSLIDLEWACSLPNEEETILANSGESRLST TMQRGWELGTFWFSLALRSPIGLFRIFDEGLLHRLGGKHFDDEDYCHVMVWHWARDIG RILTRKMEHKKQYDDELRLFFNDGQLG UREG_05141 MSERNDRDKFNSAPASGKSVSPPASATSHTAMTNDAKPQRRSLL PGTIPSVAQRRAAFELAISSSLQHDQSPPSEGKKRSFRGPGKLRKSLSKRRKENDPVS PTAKNKGALLSDGKRPLESTPEGNPAERSIEAPIAHAETGSETELSPSKPAATSYSSK FSLSRYLSKSIRPSARLRFGPAEQPEPSPSNQPSHTCDDNTIIEEPTPDALTPTDEAC EPEPTPKPVEKADGISVVSSPDTVASSANASLFDRIFSSSPRPTITPPAAPIVLQTNK TMPGESKEDGGFALPRLEDLLRHPEDLDKIPALKAEYTRKKAAIDAQLRDGLRDQLET VQRSINQLKESQKLVMDTRAELRDIDRLCAESQAAVGDFAQIDKLARMHRNFEATLMM KQGLESFHSDLAEIERLLQEDDEDLENQPNLLNAHMAITRLRDFRDEAMDQISKSPDR SSEATLVEWFQGLDPVIEWFDDHLGTACMNLIQLVQSDNRSMVVRLAVVIHSEEKNDA KVKALQEAQKDHEYLASRFKSMNIGPKTIRGYKENFLKAIEFYAQGQFESTKEIFLDD PDKLEKSFKWFFNDLFTVREGMQSLMPKKWNIYKTYTDIYHRMMHDWLIQFVDDDQLP AANMLAIIHWSEKYYTKMAKLGWKQADLVPNVIDDREGELVRDWRNLIIKALDEWMER MFVTDKKSFLERDAEALDTNPDGYFRIKTLGDLWRMLYEQLLAAGASQRTDVAEGVVD AMFRALKNRQSVWQTMLSEECAKYKSPNGDISDNKGFQQLQDWLIAVANDQIACIDDN DASGQVGYLTRFRRDFEPLVTEKYLITRADLELNALRDGYVDFSTNCIALFIDLIFSV DFRTTLPDFFTQKWYGEFAMKRMISTFEDYMSDYLSMIHPSLRDILIEELSDELLVHY LSCVRNRGAKFRRQDPFTDKFKDDILTIFAFFQQYPESFASTIKDRWRLVDWLVRLLE ADKVEVVTVYEDFKKEYWDLQLSWVEAVLRSRDDFERSMVSGVKAKAAELSVERGAET IMGRVR UREG_05142 MTFFRITLIRSAIGLPRRTTGVLKALGLKKRMATVFHPVSQDVA GQIMKVKELVAVQEVDQPLTKQQLNWARKPDPGYYVEKRAAEVWREKREL UREG_05143 MHPNIPMTLQKVMTATRASSDVLFTLSNLNIIRNLVFVVFLLRL ARKSFYTVRGHGVVGTISNVYHKVQLLLYSLFLRSPGVRGQVDKQVSSAISKLETKLA PQGPGTIKYNSLPKQGWTAEQVRAELDKLSGMEHTMWEDGRVSGAVYHGGDDLLKLQT AAWGQFAVANPIHPDVFPGVRKMEAEVVAMVLALFNAPKGGAGVTTSGGTESILMACL SARQKAYAERGVTEPEMIIPNTAHAAFNKASQYFGIKLHSVPCPGPKHTIHIPSVRRL INPNTILLVGSAPNFPHGIVDDIPALSRLAVSYKIPLHVDCCLGSFVIAFLKKAGYAS PYEEQGGFDFRLPGVTSISIDTHKYGFAPKGNSVVLYRNRTLRSYQYFILPEWSGGVY ASPSIAGSRPGALIAGCWASLMAIGESGYKDSCHQIVGAAKKFEASIREDPVLSRDLK VVGEPMVSVVAFAATTAEIDIYDIADAMSAKGWHLNALQNPPAMHVAFTIPTANAVDK LTTDLVEVVELERAKADERRRLGQKVEKERGDTSALYGVAGSIPDKSIVSRLAEGFLD TLYLS UREG_05144 MLSTLRVASRSAAAKEAGVRALAFRQASTWSNVPQGPPITEAFK ADTNKEKINLGVGAYRDDQGKPYVLPSVKVAETKVVNSSLDKEYAGITGVPAFTKAAA ELAYGADSSAIKDGRVAITQSISGTGALRIGGAFLERFYPHGKTIYIPTPSWANHAAV FKDSGLKVEKYRYYNKDKIGLDFEGLIADLKQIPNQSVVLFHACAHNPTGVDPTQEQW IEISKVMKEKSHFAFFDMAYQGFASGDIKRDAFALRHFWLGEVKGMADRIIEMRALLR KNLEKLGSKHDWSHITNQIGMFAYTGLKPEQMQELAKNHAVYATLDGRISVAGITTSN VARLAESIYKVTG UREG_05145 MADALSIEQNNKIRVALGLKPLPVPGSSAADEGPVFKQSKDGDE SSSAEDEPGSTLESRIAQGYENWKTLQDEEEAKKKRQARNDNIRRAREAAQRIAKLEG KGLGEVNDDLDTKSWLMQHKKKQKKIEKERARKLAEELAEREQMAEYTSKDLAGVQVA HEISQFDEAGDHILTLKDTTIDENEEEGDQLEDVTMREAEKTEERLELKKKRAAYDPT NYDASGSILAQYDEEIDGKKRRHFTLDAKDISPEEREAKRQDVSSKLKVSTVSLDVVQ EATPSDYLDISEIKVKKPKKKKARSTRKRAIDEDDIFPLPDTTETPTGNQSNGDQMEV DGTDANNSSVSALRKRPHDDSSFVDDEDLQVSLAAQRRAAFKKRKRMRPEDIARQLRE ESEEAANGMDVDQNEDEQPGLVIDETSEFVATLQRPTIPERRLRSVSAVAEEQDGVKS PTPDVKEEVADVDMDRAYNDIEDEEELKERITREQSQADITATGLEEENTLDQGLGAT LAMLRQRGLVKESNGGELNSLQRDRQKFLIEKRRRETEAERRARSQRERDRASGKLDR MSARDREEYARWENKQRDQQESRTMADIFNREYKPDVQLKYVDEHGRLMNQKEAFKHL SHQFHGKGSGKMKTEKHLRKIEDEKKREAMSTLDSSQHTGMNNAMGATARRTRQAGVR LA UREG_05146 MVSPSTSPMERPPPTTAAIVIATAILAGITGYFLGQGASIGLFG SAAVSPRRVGVEKGDISEEEDQEEESAEESEDEGGELATFEGNTEEVKLVLVVRTDLG MGKGKIAAQCSHATLACYKHYFSKSPNSPILKRWERGGQAKVALQVKGEEDMLLLQAQ ALSLGLCARVIQDAGRTQIASGSRTVLGVLGPKSVVDSVTGHLKLL UREG_05147 MTNWESLLAPVSADAPAEAREWLVYITGGNKTCFGRCGRVDEEW NVGIGGQKSIPMFAADLSSPSLGVLDCEKDRVLCSIWSANPPSIWHFQIPTAPEAGQP KPATSIHDLYVNSTTVTAEDIYKIHSEKRWEKVSEHNGYFHPMDGFLAQYGLNVPIGY LAFGLSQIPSWLMMLGVSFLSRSMMSRRINAQQRRPAAGATAPQAAGTQ UREG_05148 MAPMPEGQNGFENAMHDASGSVPSSNQVTFNEDTILEVDPNIDA SATQTNSQDPFSLSRHKKSTLSRSSIKADYPTGNHRKIHKYYTRQNALIDQFLQSNDE ETLAAQDFEKNGSKVKWAVNLSFMVNFCLFIIQMYAAVSTGSLSLFATAADAFMDLVS SIVMLITSRMAARPKPHKYPVGRRRIETMGIILFCALMTTVAIQLIIESGRALGSGES EGGEELQIIPLVFVGIAIFSKFCLFCYCFWLRRYPAARIFFIDHRNDLAVNVFGLVMS VVGDRFVWYLDPIGAICIALLILFSWVSTAFENVWLLVGKSAPREFVNKCIYVSLTHD VRIQKVDTCRAYHAGELYYVEVDIIMDPSTLLRDSHDVSQSLQRKLEGLAAVERAFVH VDYEDDHNVHEEHKPLFDPATYQQPRTLKEWMRDMLDKIHERK UREG_05149 MEGMVKPQCGSASGEAVEYDLPLHVAALFLVLGFSTLGAGFPVV AKKFPGLKIPPNVFFFCKHFGTGVLVATAFVHLLPTAFSSLNDPCLPDLFTEQYPAMP GVIMLGSLFALFALEMYMNAKTGGHSHGGATGESLNHQHQHQHYNGNAGNNGISWPKE NKVMNDSSSDYWYDEKAAYKVYSGANEFEESYLSKQSEMPSWFMIFYEQYVREREWMQ AQLRLSATRRDDEMQSIELKPPVQSHATDVEVGQVDPAVLRKMSLNITILEGGILFHS VFVGITVSIESEGFVVLLVAILFHQAFEGLGLGSRIAAVPYPKGSIRPWVLVVAFGTT APIGQAIGLIARNSYDPNSAFGLIIVGIFNAISSGLLIYAALVDLLAEDFLSEEAQHL TKQQKVSGFIYVLMGAFRSSEIPSQVDPFSVFAITFLSIFCNPTKRRKPGRSNVVRKD TRRREVDSRSGGFWVGIQKPSWQSEF UREG_05150 MSANGHTNGVNGANGLPDHPGFTAIPARENPHPHTARNPYGHNA GVTDFLSNVSRFKIIESTLREGEQFANAFFDTEKKIEIAKALDDFGVDYIELTSPCAS EQSRRDCEAICKLGLKAKILTHIRCHMDDARVAVETGVDGVDVVIGTSSYLREHSHGK DMTYIKNTAIEVIEFVKSKGIEVRFSSEDSFRSDLVELLSLYSAVDQVGVNRVGIADT VGCASPRQVYELVRVLRGVVKCDIEIHLHNDTGCAIANAYCALEGGATHVDTSVLGIG ERNGITPLGGLMARMIAVDRDYVMSKYKLHKLKDIEDLVAESVQINIPFNNYITGFCA FTHKAGIHAKAILNNPSTYEIIDPSDFGMTRYVHFASRLTGWNAIKSRAQQLNLEMTD AQYKECTAKIKALADIRPIAIDDADSIIRAYHRNLNKSGEDAFLMDLTPDEKLQFAAK EKEFARQEA UREG_05151 MAGLRAPTLAGFSFGETVARSHWQETGRFHRAIVIMQKKKPLAR GRMLLLANGGRPRPPPSALIRHHAPGESDLDGGKFNIWGQNLIPNCDSCVACTWLERL GRNVLEVLVAGRKRSFKSAIFEKIGFSATALELREVTLESGPIPFLLRQWERTRPTAP ARIKCEREKDTKTRARAVSKGRITDDENTCVLARIPWGEGEVAQSHPQAEHVYKKRSN DG UREG_05152 MAPSATPAAAKSTDDLGLDLTGKEDLLDRTTFEQILEMDDDDED REFSKGLVHGFFEQAESTFDKMEDAIAARDLHEISQLGHFLKGSSATLGLTKVKDACE KIQHFGAQKDESGTVDEPDETKSLANIKKTLTEVKDAYKEVAKVLSSFYQDTESS UREG_05153 MLWSRYTLIGAIAAALGGSTGVGASAQWEVYAKRQEPAESDLVA TTLESPTTAATIDGSMTAMTSTAAETATITTSNAATESTSETAAATPTQSVPAAQPTD SDVKACHADTESAIYPFCEPTNGQEVYVDESYYVTWDVDAFKINSTVQINLDYVNTTQ NEGRSAYASDRIPNKIGFVTLQMDKIWLRDESRNNLTLYLLNYDLATEDHSIKKAGPM ISLTKKPVQHYPPPPPSKPNKLGLAVGLPISLAVVFIIACGLCIGMRKHRRIGLGSIM GRRNRGYGGAKSKIERLGRGRRRDRSIRMDDLEDADRYMDNPHERADTDRFNEVERSQ GNAFRTELPKLKTWR UREG_05154 MGVDTRKPILPAPTDSTFLDTTGGSGTGTGTGTDIATDLSRRTD KTSYSIPDDGSPITINTRRKSQPRDRDENKVSRSGHNSHTSLLIEYFEGGKKTGNVSS RPSVRVRVTPSSSRRNKERDDHVHITESSSGRKPSYTRRISLGTPTRTKELTEGPADD SSFSGADDTPTTRQPPLEIEFMDQNSAVSSRYIQPTSEISSMPPESLMESTMGRSDIQ RRRRHSYSDEEDLPQDDNLLKTPSRRRSRSLSRERIAQKAAEKLSSVPRGHISRPKQR LSERAQSRDIANDVFESDPKARNRPGKHRDKDFMSPESSLLSNSALSHNKGGDQYSFR SGTSRSSLNNPKLLETVEDAIRRLILPELKELKKDQKVQSNRTKFERETSGSHASGSI GSKDELTRRLSKHASAPDEPGVQRKKSKGLRDAEAAKIVGTALTAAALKHHDSTSTLG SRKERRRRRSGSGSIGINETELIFEKHEVPPMPHLRSELESQVTRQSIQSQHSDATAG PVHGEVTRGTIREQGSPVTKDFNVSPQASKKCLKSSRSNLSNPPLNSDEIQEELVHER EASPGADVPEVNVDAPYPLEKGDHHHSEYLYRRALSPIQSVASYQEEQEENEAHGDAY QQAALSHGDHRLSIDSLSSAPSTDLARSNRTTDRSSERRRAISINNESGVELGYGDSP KYPPSGEWPESQHEEYDENRRSVAEESQLGSVDEKRTTMYTDENFDDPLDPGQQVARG IGANAQYIHTPIGVESAVASLLDPSVVDGGSNRSPTVESPMRPRSWGSDSVRELTRDV TVSRKGSPLKQQVDLPTEYAETSFQKRMGASSPPQSVANSTDEEDRPYLGATGLPTAG SPIPEIGHIPESEESEINTNPSIIQGPIGGVPHDGRDHWPFGPTPPEVQRELIANANQ YNGTLDPSPMLMGRDSPAGLGVFTKDHAPMNQSYYHPPDVLPNGRVDVISPGGGKDEG YISGANHRSASTVTPEPKGKGGLGALEDIPFSSPMDDEDPYTHKRHLSGYSHGIASPL YDSATGRGIDRIQSKDIVALMDHLTVRDAQRNARDTEILVTLVRSAAEIRNSFEDMKK FIETQDEKLLDTTEKHHGQTQRLLNGPRPQPPRTPRRLSEDDDDTPTKKKNVFKRALK GLGLKSSNDLTHIEDMLLHLLAEVEALRADQRGNTMTETRQSMDNPRGSLDGYGSQGQ PTNGSIHHSSKFSNSPRSTGDLKQLSAQREAERRISPVIEDNEDPLTPQEEELLDPQM STDAHFIGRHKRGDSVPVTTPERAAVASGALSTDTTPKMSTDKSRKHKSSSSSFFPKV SRWSKTTASSMGENIKNSMQLGRKERPYSEMSRSGSDLNLGGYTGNDYYDPQGDDRLR SNASLDRENRQENRPPSPLVPSQVSEHPKYRAHRDSLNLQHPQPRPGARYQTHLESEA QNYTHMESPAMDPWSSTHSLGGEYQHQTQSNAGRRSPFSDGGYSAASSITRRQNGPPR PPKIKDEGPLIPQRPASIKDGAQPSYAERVALRESGNSANQDGQLGSPKSSLSRTGPQ RRPTGPRPNPSSGKYSGSQSGFSNVKRHQYRGSPNQIDDEDDDY UREG_05155 MTAPLHFLRHHLRHHHLTRKFPRQPCGKRTTSCIPQPRPLLENQ QQPPRPGKMRRFAPLNPELRKLEVQGAGETVPVLRGVVFDVDGTLCLPQHYMFQEMRS ALGIDKSVDIITHIRSLPTLEERTAAAAKVQAIERAAMVKQKPQPGLIQLMDYLHSKG MKRALCTRNFEAPVTHLLTTHLPTHEFTPIITRDTPDLMPKPDPAGILHIAKEWGLEN GADDLIMVGDSLDDMTAGHKAGAATVLLVNDHNQALKEHDHTDLWIEQLDELIGILEN GFLSLRKGS UREG_05156 MPGHAQGDDSADDSRTAAVGPRNKRNLHRVTKPSSQPARGRRSL RDRRPRGDDLQDFIPRGAAFTSASLPVDVGSNSNSDQSSDESGCDYSPSAMDDASAQP QSNSTMQPAVNWNKVTGSTIRTSLRASGGPSAPAQDSFDSVNGKYWRSESTPVSENGG PQPDAIAQNGGAGNQADTAIVISEDSDIESDAAADNSIMLNLSTPSQHLTEEKPNSLQ ALAVQTNGSREIPQQGTNQQPSAREDLGPHGQGITDKSVAIESFNSKYPSPPHTLVDL SREDRDIQIKYIYYNRDPKGVDLNLPIGCTDCLAEGHLAEICPDKECKHCGAWSVHES RFCPSWRRCQRCRERGHDEVDCPSLLKELASEIPCDFCNSNQHIESECDLLWKVPKRD LSLSQIFISVCCSFCTSKQHLLGDCPVRPFPMNSSSWSLSAFDPSLVSNIASSKLPAH PGGDDRDEQLSGLRIKGRANEQIYSDDDHDDFTGRRRPLRRQPPRSHIRFDSGIGRGR NLDDDSTRQNGSAVDRYTPHDYRREYRDREPDTGRNVRQRSLSPGPYNQRQRGGGGSG RHGPPRSPPRGRGGRAPPPSRGGTKNKRGGPSSRGGRGAKSSDNRETYRPMPSAAKRA WDKHRL UREG_05157 MPETRTGRRRRSSSIIYQEPQESIEHTSDQAALPNLNANWVNAK GAWTIHFVLIIALKIFYDIIPGVSQETSWTLTNISYMFGSFLMFHWVRGVPFEFNAGA YDNLNMWEQIDNGDQYTPAKKFLLSVPIILFLLSTHYTHYDLTYFIINFLAVLGVVIP KLPISHRMRIGWFVEEMDDS UREG_05158 MDSQSEPPGPPYRPTKPLPFELRQHCGIYFEEHLYCQALNLLSS LVSSGTASWASAAYLPPPEFLALTATLVVHPSTTTRAKTDENRQAANIALQLLRLTNA LVGPEAADFNAAFVFTHFTKSRSGARRLVDNLEGQDTGKGEALDFELANRGSLWSRAE DFWHIVGWAFNCAVLHPSRWPRWKLLLEFLCEVLEDDWRERVRRSEELGGGGKKKAER EELLCGSLIYTFFKGTSGISSPERRMMRAIFADGTPGATNEFREIFHNELQEAKQDGD KLKKREVNVNIAEDIYGDYLEWDLDDDEGTNSVLNEFKDELPTRPKRKRRTKADGAGG AEVDKNYDLIHKAHSESLTLLGDLEALALRQRFLAILSAVSEGIPNRFMELDHLYLLF VDFIRHLPLPIFQLFVSPSVLLRLPVHPQTTLCEMLLERLRENKKRSSQSPYLNQDKL EADFLPYAASTSSVIDNARVSILLESVLRSLSAEGLLHVRPSLKEAVEEGILARGERA QTDTKKGQSKMKAEEFGWTWLIESGERLMHLVLREEEAPTE UREG_05159 MASVTSLDKDLKSLRLSRYTPQAANEIRDWIEEVLREKLPAGDL LDALKDGVALCRLANLAVGPPGVKYKASNMPFVQMENISHFLHACQMAPLSLQPHDVF LTVDLYESKDPAQVLQCLGAFSRRAHAIQPAKFPRAIGKVKSGVVSPQLSGSSHTGFK GGPAYGRTRGISNVSESGSRTFNPLSGRSSPEKRTPASPRSPVSPRPAVSTWSNKAEE ATTSPAWNIHQYGYMGGASQGNLGISFGARRQITSSSPSIPSLAEKERRRKEAAEAEE KQRRQDEEAERLRQLEREAEEQRAREAEERKWEEETRNLREKERLAVEEEKKRWEAEQ RRWEEEDRRRQQEEKEAEERFEKERQRKRELADERLNGQFLSQYQASQRPGRAISPVE PAPTPENERIRALERELELAKEREKQYERERQDLARSRQVSSTSTASQDDARNRNRPP PKPAKPSYDLTSQEEERRLLRAEWQANQDATSKPDQTPPSLPPRSLPEPPVSPRPLPD PANYPKTRTDRFLATNPAPQPAQPSSHRPPEFSTEAEVDEENRRRIASTQKTRAGGWA SKSLLEREMERERERQREWEENQKRTKAAADAGAGTAGTGPGEGGWDVNQYGYIGGDN QNRGSTGIGFGARRQIIGPRPRP UREG_05160 MSPVNSLWFKWKSLKLPWRRFFLIGQDLAGNTFWEFRDSNTAGR LRRIVKYNPKTHYADVKVSPQWHQWLRYVRPEPPSIIEQQQELVRQEQIKHLARLADE RWANKRSYLDKPQEQQPGPAIDSQTPAYHTGPKPTAEQAGVRSAIGSESELGEQGKTK KKRTKISPWEKSAGAPGEKWQPEAWTPSATKR UREG_05161 MSLRSSRENSTKTEDKDQPVCSIDDSLQSTFNQHTPMQAFNHRS NKEGPLSCGLEDSFHASLSSIMDDGELSSQPWSPNLSPFQASEALGAEVSQSFDSVGR LSTIDEFGFDSGLLFGARKEIGSRPPSTVRQRPAYKYDDPSSTDLANHRADDYAGSIR KQDIPFELTYSPQRRSCTMAYQESWHQRFPTYGIQAPEERAPISPSATQPAFQRDYIT PIVSMRRNSEQNVGTNAQYRSNVVSPTQQSTMSAPCTDSCSRCLEHSTPSPLAPPSSH PGPMHILRSQSEGSILHTWPPQPLDTSVYQFPPPNHQSPDTQGWWNTSTLPNRNLQSY PHGGYPPMAIAPMGQPPQDCISNTTGTGQENRLNLHVNPPSELPHATVPVLPVPPLSC PEAPMAPAYAIDLTHGPSHRPSSFGPSHHSPPLHPSVSRGPAIPSMVSTRTLPTNASH RLPKSRLQNTVHQRRVNQRKASSFPGTSTYKTSRAASTESSTSRIYSAENNNSISGTF RNFGLEDSQILLNGVAPSGSSKTKARREQEAREKRRKLSEAALMAVRQAGGDVEALEA AQNSQAPESTIYTATRTVCEHVELKYFAGNVKGWGIKMMVCAGAPYSIN UREG_05162 MEQPRDRKPSSEDSVALNPTPFNLANSIIANCLPNNPFEFTLIN RGPGIFAAAFASFPRLPGGFAAKAACLNSALPTKMETGIATNDDAHSYSSFASTVMSS DPLSSSGLSTPSTMVGDDSIDSSFFSTSAPYSSPYTPPCDLRLSFRDPEQVMSDVDDT EEDQDSNKDSDCDFAAIESDEESDGESIGCVEDSDDEMEYEESEDDDSCVDDEEFEED DDSIEEQLSFISFERSVHFSSAADEVIPQTGPQEPLFDAAPEMTCHERMMLADQLKLR RIGTWDDGGDYDPEEHSRESLQLDKGLLFAYINGLRTLNLNYCKTALRSQTLHASRDG LGRLDARVDKDMNEYLGRISDLLRGIFPNLFTDDEYTHILAQAESAISFDDYGQLIYE ARSTDVQHMIRSLLAERLDYDDMFLEEEMLEWFAGNLIAPLGRQALSRREQKA UREG_05163 MHDQSSLGFGSRSARRGRSSSLSADYKKRPKPSVLSPPLVNPQP QYVAASAARQLIDADQGSNAFAKHHHDSVDITDAALALLNGFLDNILFSILLTAKSTR LASIRPAVADVLKPRLAREVVSAADDELGEYLGGGEHEELSEFHGGQEPSGHFELERS WKLIRLRCMVYSRLGDMEEEDEEDHLRREGLDDSGTRPGRFSNYVGHITPAAAIFLTS ILEYIGENALIIITDNARGRQGTAAVKSSQGQPEEAAIRRPLVIDDMDVEKIALNPTL GRLWRTWRKYSRRPALSRTLSRESLLRSPRKTSSRQSSVGTVETLDEPQLRTFFSQPP VSEDGESVEPAEIPLPLSDNDIDEIEIPGFTAQLAVAVQARAFRPRSLFLTNTVLTAP TAQEHILPKSASALDYRSHSRSYSLPTTPLKTTRPPVNSKPDLVVVPPRKEQESLEPM RENAEHINMNRTRRFDTVESGSRANQHGSMDPDPFLDAPENTGSPVSSLHSDSIGVAI SHSQISELPSPISRNESRFSKGSSEYEDYEPHTTLTSPSTKHSEMHERHQIDEEPVMT GSVQRLAVDDYTDAPSPIPERSPLRLSGQDLPPPTQANDTIVETAFVAQPTASASNPP RLSPLRELVAAATGTPDEHSPGLRAGPQSNDSSASKSASGQSNRSTSSRPKRPVVKTS MVTSAPHAMTNSPRSISPGRERAAVQRVSPPPSKSPSEPGGFRSRRSESNSSFPERRP ITSGSGTSQVSSKLKGLVNRQVTPNPLRSAGDVNGDGTSDLDLLIESDETLHYTLTPR TMREIEDPGSPRWASVRTDNNNNFGDPDDSGSAAASESVSQGSVNSPPIGGGSNGLRI ATPTRLQPKRPVPQARDARTEVKSVREFADFIRHTGPDPNAPKLHIPIVIPDSVRQNK LSHDFGTQASSPSPVPSRAQSSMSSHFSSPNRRRLEARSAATPKDNQTSDLIDFIREG PPRSGAHRIPRTVAPFRTTMDSDEFQSLSPTRLDRDALTRSSLASTQDSFNAPRSVNS SYNSRTGLLEPVNHGSGRAVRAQSQLGSVLDSPVDEVVEPVRTRRRVRDPYAIDTDSE DEVFAQSKKPQQKEESLMDFLRSVPPPPSNDELPQLLSVNMHAANETKGSKSKSKATM KSRLMRTASTDKAPTAKLSRSSLRSHKSFATPTSTPADAPALPPIHGALSSPQYPVRH ESYALNSAAHPAQVERLRNGYNMSPRPTGELRKHTRADESDTSALADFFRNTGPPESP QPSRPPTSSVKESSGFSSFSRVFLRKKKQPI UREG_05164 MGSSDSQLRSLLRTLFLLFIAISVSDALRFDLIADTHSGKHERC IRNFVSREQLVVVTATVSGTKGDGQVVNMHIKDSLGNEYGRPKDVVGETRQAFTSLGD ASFDVCFSNVLSGRHSGALPFRTVELDVDIGADARDWDAIKVQEKLKPVEADLRHMEA LVAEIVTEMDYLRYREQKLRDTNESTNERVKWFAYGTMDQSILSKLYDFFTSLQCLYP LAMTGLHKRFTLWLEGDGFVNWFLYFLRLTVSSRLILVCIAAVSNQSSSFQPLAGGCK HVKHTRRRLFGNGRNRGLEQATFTASRLLNLNNPDKQTIHKMNHAQSLCRYLGCLTPT RLPLRTGKVTRSALRYEQCTLHHRSLSTSSYLLNSNGPVRSGSSRRTDSQSKSGIPRK HELELGEMEGITFKVEPLRRTGEDVATMRARLLYQSRKRGTLESDLLLSTFAATNLST MSKSELEEYDRFLDENDWDIYYWATQEPPTPGTESETSATTGARPKDTVTETWKSGAA KSGEWAQTVGAFKPAYRPVPERWANSDVLRLLRQHVQDKSALGDKSGAKATGGGLGRM PNIEVFNS UREG_05165 MLLSASRDKTLIIWNLTRDETEYGYPKRSLHGHSHIVSDCVISS DGAYALSSSWDKTLRLWELSTGKTTRTFVGHTNDVLSVSFSADNRQIVSGSRDRTIKL WNTLGDCKYTITDKGHTEWVSCVRFSPNPQNPVIVSSGWDKLVKVWELSTCRIQTDHI GHTGYINTVTISPDGSLCASGGKDGITMLWDLNESKHLYSLQAGDEIHALVFSPNRYW LCAATTSCITIFDLEKKSKVDELKLDLVEGGKKSGEPEPISLAWSADGQVLFAGYTDN KIRAWGIVSRA UREG_05166 MLNQALRRISASPPARPTALLFPVRFIFHRAQRNPFPFRNNVFL RPQKIASHTARAPQSRSYTIWDKFRDNFNEAKKDVWRKHPFGMPVMLAFAVGSVCCFF YVIYDHITHVEPQYARYPPAVGDRLRKAVYYTQFDLNPVRALQWYKQALIAADQVGMH PFSEEVLAIRMQVGRMLEKAGMMKAAIEVSEKVQKDCIEWVRNGRRKQIIRENERAVS DKRTDDPAAIEAERIELEKEVAEEQRRSSVMKLAAASCVRLAELYSSDHVRETDKAEQ ALLAAVDLCRTEVQYRRDKNLPVTGDGDYYISLTQVASAFNEMADFYTQRGRTDLSTA LYMQSLALIKEDEQDRPTSCAQVVLLNNIASQMAEQAQNPNPPPTATTSGSHLPPISR DQLLYAASEWAKKALDVADKIQPPVRNEECDQGCVTATYNLGEIAEMQGHFNEAKKYY AETIELSKKIQFSDGADMANEALERVKGKV UREG_05167 MCGRYALGVRLAFIRHQLQQQGQPVDDAPDDDEVRETYNFAPGY FGPVYRADTPDQGGYDPAEAHDGQQRAPGQQESETTGEPQDSRKEGGEEHVRYKLQSM KWGLIPFWTKRSPDYGSLMRTINCRDDSLAENKGMWTSMKKRKRCVVICQGFYEWLKK GKEKMPHFIRRKDGNLMCFAGLWDCVKYEGSDEKLYTYTVITTSSNAYLNFIHDRMPV ILEPGSAEMAAWLDPHRTTWTKELQSMLKPYEGELEAYPVNKDVGKVGNNSPDFIIPI NSKENKKNIANFFANTQKKAQGLEAKPKLEPPAEEHKTAKTAGIKREHDEETDVPRSK VAKTDDAHHPSVKLEASSPTKPAPASARKTRSATSNNTTAGKPGDTKRTAHGNQRITN FFKK UREG_05168 MLLAFLFNPYIIAGFTICYFYIVPYLQRWDLRDIPAPFPASLSH LWLLYQSRKGKRYQAVHNAHGKYGKLVRIQPNHVSVADADAIQTIYGHGNGFLKSEYY DAFVSIRRGLFNTRNRAEHTRKRKTVSHTFSAKSIGQFEQYIHANLQLFLQQWTQICD LQRNPRSGYASIDALNWFNYLAFDIIGDLAFGAPFGMLSKGRDVAEMKKSPNSPASYV PAIQVLNRRGEVSATLGCFPALKPFAKYLPDRFFRDGLEAVENLAGIAVARVAERLRP EVMAKNTRVDLLSRLMEGRDETGAKLGREELTAEALTQLIAGSDTTSNTSCAMLYWVL RTPGVIEKLQEVLDEAIPAHVEVPTFSMVKDIPYTSSLGLPREIPQGSPPVTIQGHVF HPGTILSVPAYTIHHSSEIWGPDVEEFVPTRWDPARLTAQQKAAFIPFSHGPRACVGR NVAEMELHCIAATVFKNFEFQLEQNGPMETSEGFLRKPLGLLVGIKRRQLDPVVN UREG_05169 MATPFSKSNLGTTPNQFTSSPHPSAVPMGRPLSHKSPSMRTPSA SGPGHQNHPSISSHQYPTPLPVTTAALEDAAVFSSPSALLALGLGGITPSPAPNDALG GQGFNDGDLHSIAIPPLNITGPKDIDEERKKRIDEAVQLLRTRVAGRGVSREGVERLG RLEGLECMWQDNDLSIAGNSVDLEIGFEPGQETVKDVTLRYATHDAPEGERRVDASEV LKRNLQQAPDEQQPQHWKSMTGFHENLRRLARLDQLSREINCFEALEGIYECLQKVWE EEKRQGMQAGQLDHICKGWIGKPYMHRGKHIGLELEYWVEQRRILEAKRGPSEDAMEL DDPAQEDDKGLHAAGNFWGANIECETGYPSLRVSKDWIESEVFTVISNEDGTEHDHMI KVINWSEPPATLISSLNNNAVALEPTMLSSTTPNIRFVARLDPPVDMPIFAAAEIFRI LGVNMMQEFKSNTYDSLVVSSQGDLLNYTEPEGKQLQHRKEISTFDADGKSIKRQHTY TFNAFEQVPGRTIRDLPFSHPRQLSEVVPILRQYAFLSSLLRRTFADSKKEAKNISGR PAQETFSPPLYRESRPNGYFISNINPTEQRLDALLRKGHNNCNPSQEASPGLATKELR VDVSLRTPMSFQPSILLVFNIDEDSVTAQSRSARLTPRQAMIGVDIGLNGDINISHLS GLWPDTTDDVLEEERAAETTRLRTQISRVLETCEDFGTLVEWVLRRMRKLS UREG_05170 MGFFKTFAVLAAASLANAAEIFSAERDAIPNQYIVVMKDEVSSQ SFGSHRAWVADMHHSNLEKRALVGHGIKKTFEFENMKGYSGVFDEETIKEISENPDVA FIEEDQVVKIADIIEQPNAPTWGLGRVSNRQVGINDYFYDRSAGAGIWAYDVDTGVDI RHPDFEGRAVWGSNHVDRSNTDGHGHGTHVGGTIGSRTYGVAKRARIIAVKVLDSRGS GSNSGVIAGIDWSVNHARQNNMQTRSVMNLSLGGGRSAASNMAVANAQRAGLHVAVAA GNDNRDASNSSPASEPTVCTVASSDIRDNKSSFSNWGSLIDIYAPGSSITSLAPGGRT RVLSGTSMAAPHVAGVGAYIMALENIPGNRVCDRLKQLSHAAIRNPGSRTTNRLLYNG SGH UREG_05171 MSESESACVCWQEVTETQPMEAGVCLPDIHGDNSTGLGLKVKWH QSGFLEKLGKLAAQPHDFIGPERITVADLCFHWRRLVTRTQL UREG_05172 MTPIQGSLRQGIFTLRSLQRRSLSTLPGHPHIFVFPSRISPSAH TLSLLPTEPPTPELAIGATSKLPPSPDSFVENSRFLEILQSVISKHAHEDPEVKSQAQ VMASTAGANLGSGGVFFSPQPQRRRPAYGGGGGAGGDSSGGASGQGGAGSGGRGGFIH VSDGRNPPDYGRIAWPEDIFGSLEVDGNGNIEGTGNYQPSGMASLIDDIVRPGLVLSE I UREG_05173 MAPKTHISNAENLKKYMELDQRGKIMAEYIWIDATSGVRSKTKT VNKIVKSAEELPEWNFDGSSTGQAPGDNSDVYLRPVAIFPDPFRRGDNILVLCETWDS DGSPNKYNFRHEANRLMRTHAHEHFWFGLEQEYTLLGPDGWPYGWPKGGFPGAQGPYY CGVGTGKVHCRDIVEAHYKACLYAGINISGINAEVMPAQWEYQVGPCEGIALGDQLWM SRFLLSRVAEEFGAIISFAPKPIPGEWNGAGLHSNVSTEAMRNEGGIKVIEAAMKKLE ARHFEHIAVYGEGNEDRLTGRHETGSIDKFSWGVADRGGSIRIPRQVAKDGKGYFEDR RPASNADPYQITGIIVETVSFQNPPQASTGALTNASF UREG_05174 MQEEPATVPVFKESGKSSIEETLPNGIVDCETADAPTAAEIDRI YRKLDWRIIPPFWTLYFLCASIRSTVGLSQTMNLAEKHDLGSVLRMTPQQSSTSLALF YVCYVVFDLPSNLIMTRLSPRVWMSRIVIGVGVIGSCMAAVQAAWSLYLLRLLLGVVM AGLWPGMAYYLTLFYPPSRTGKRIGLYFTAAQVSAAVVGLVSAGFQKMDGARGIVGFR WMFLVYGLCGVVTGISLLWWLPERPLPPGEAPMPPGKLTRFLPRTPPALTGRDAAIHY RDLKRVYHRTQWTLSDLARVLMDWRLWPLLIMYFGVVGVGIGVQSYGTVIIRSTNPSL TSIELSLLFAPIWISDLLAILLITPLSDRFHHHRALFFSLPATLQILGLLLTTYAGNP TTNPWPRYAGLLIVGFGLGPTVPIAMTWTSEVFQPRHGEVGVAAASAVVSGLGNLGSI VSTYALYAGWESDRVATGGRQYRKSNWVLIGMLLGSVGAAVVMQVLLRVVDGKKGAAG DGGDGAAKREVRQRGLEGVWFLRWLNR UREG_05175 MPGDYDVEYCSPWAGANFLPVGAKGSAHARWEANTWPVFEELAR NNPEAGIHFQDSIVYNRLKDARSETGVWFKELIKPNPWYKDIVPDFRALPKEQLPAGF DNGNCFTSICLNAPVYLAWLVSQCRKNGVVFKRAVFSHIADAAGAHHSGKRADVVVNC TGLSSKYLGGVADSKVYPARGQVVVVRNVAPAMYSLSGTDDGPDEAGYIMTRAAGGGT ILGGCYQRHNYESQPDPNLAVRIMKRCVAICPELVGKDAHGNQRGIEALDIIRHGVGL RPLREGGPRVERDSVNGVSIVHNYGHGGFGYQASFGSCAEAATLVEKALHETKVTARL UREG_05176 MADATLPPAARIVPVMARARPKAQSAGQSALSSRRNSAAEIEVS DQRLKERLEELNCYPAETTGDGNCLFYSLSDQLYGTPDRHDEVRRRLVDHIREHRDAF IHFVDLGPNRPRSTREASRQANRLFGGVGSVPSTEKIDSKFEDMLLKMGEPHEWGGAF ELQAFCQAYARDIIVYQADNVQEFTSNLHDVDPNRKTVHLAFHGYQHYSSVRSLDGPR EGLPNLPRRLEGADAQFVTEEVRRHSVATQTSPTSLALAEPWKISTIAEALPGLDYDT IRAVLMKCRGDIEFAFSRLLDDDLPSSSQSPDTTTDTETCPVVPQTPAGHLNPATRAY LGASSRSSSRHSTGSKRPADLSEDDDEEPVRSGVRRRRARERKRRVLQDVTVGISVRG DNKDDVISIQLRVDPDAVVEPPKRATTPQDDSGDDAGRVAAIEVPDEDATISTAKLER SDGSNDSTVATGSE UREG_05177 MSQRTEQKGNWLRSAGSLLSILACTSLLASPVSASPATPDSDSN YLRRAERILKFSPIIDGHNDLPNFIRKTTKLQIYDGKIPFDGTLSGHTDLKRLRKGRV GGQFWSVYTSCPIPAIPIDDPTERQDCQFPGHRGGTSNWQLFGRFTPVYELGVRYITV KNNCDNAFATAQSTVAEGRPDPGLTKPFGLEFIKEMNRLGMLVDLSHVSANTMRDTLK VARAPVIFSHSSAYTGVAKNNGVVMVTFVSRFVKVDDPDSADIEDVVDHIFHIAKGCG LGPRRHRRRLRRHRDVSKYPHLIAAVLKRGATSEEARKLAGENILRVWSDVERVARRL QRTELPNESYWEGRNWTRPARRDLSAIEGRSVPLFQAMADAENCD UREG_05178 MLSVFPQPELPTEVGLAGSTRLKTERCIGTLQMPVQQDRQRCWK ESAISRARLQTGPWANLIPASSRLPKNAPPLNDPSRDRCIGELIAQCLWQNCLSSQGD VDPDTPSEGEIEETEDDQRPKGGKAYLDTLAPELQLRVLDFLDDLRDIGSVIRAHEWP VSGGYLRARFPIEAIHEVEDISADQIDWASLIVGFEELSTRPCESLGTPATDLSQTMC H UREG_05179 MALWPFNRKKNRTRKQGDGLSSSSSGQVAGSLSRTTKSETSIPF NHGASTTTAKPNRRHSKRRKNGRDQAASGNEDTCVPPRQIMTPSPIPPADLDTSPSFH ASPLPRSERVGSPAPRIFSSTKLHAAINMSQNSLARSINDIPTLRNNRIPTEPGGLLR RKSSKRKRNDYAREQAIKNMSLQAINTYRPPRSHRHWRFAPQIRPAALHVPRFPSVQA QRFRHINSSSCLALRGNPAIRTYTGTPKIRRQQGQGDRRRRAARRSAGQKPAKQPERA PVYNHNATDLKLDTGAALAEQKSDQMELSQTTNRSGSWLQDPSKESFGGNGNGATHAQ AQETLSLGDDEKSFVHVIGSKSDALSQLAEQGMASNSDVSRAQRSERRLSSNAGRIGR SLSSFFRRGSRFRREPRTQNSEGPSFSVPSRESFSRISHTEAAGMPSMIPKKSSLRFD GQSMQSRFTEHFDEPVSPENIRSSTAIDIYPTSNRLSVCTGKSTATEAHDLFRASGAN SPDTRPNSMLLAQSLASIDSEGSWLSGKPSRRLSQARLGQYRGSAESKDDPTDSPEDR TASDGPVPVPVPEEDEEDAEVSQAGKTNLQDGEATTWHSSVGKRARLVSPGTRAKSNE GLFTEFLENASDAHTPETDSPVDIEGEMEVRRATSVDLGKGHIRHISAGSAKLLNLPP RQSEDRRRSSGAISSGALPSMTVSEQNRETDP UREG_05180 MAKEDELMSSPVLDEKITDDQLKSADIYDAEQHRGADLPELKRK LKSRHLQMIAIVVVSLRQMATFIPISAPFTSYGTRFVDPSLGFAMGMGYIGFSWAITC ALQLTATRPNHPVQGSLKATWPSYIDIISGLSIIGYQFVWHSLFGICMNAGVGKQGYL GFTYWKDPGAFAPYLLDVIGEDRVALGKFIGFWAVLIQAGFSYQGTELVGVAVGETMN PRKSVPAAIKKTFYRILFLFVLTVFFIGILIPYTNKDLLSDASDASASPFVIAVKLAG VKTLPGLINGVLLTVVLSAANSNVYSGSRIVVGLAQVGCAPRFFMRTTAKGVPIPSVL FTSAFGLLGFLNLSNNGSVVFQWFTNISSIAGFISWSCINGCHIRFMRALRARNINRD ELPYKAPLEPYLAYYGLFFNVLIIITQGFTAFIPWSTTNFFIAYISLILFVVLFIGHK AVFRTRLVKLWEVDLDTGRLESDLEPWDSKEPLTFKQKVRHRLASMFC UREG_05181 MSSIVDPATGRPLPADAIQRVLYICHPVHVYAIPPLMSMKGYTA ANWTVPDPRNDGKTKEIFTARLRILETAIPVPANPRTRPGRPAEETQEQVKTDILLED SSTGDLFAAAPYTDAGVVEHAIDSSRFFAVRAMLGIGFEDRSEAFDFGVALQEARKVL GLEKAGGEVGADAKRAPMSSSQAGAGRRPGMAPARGRVGAPPGIRQQGQQQQSQGLQQ KPADTRPKDYSLKPGQTITVNIGGRQRAPNPQATDASSQENQKALFSIPPPPGAASSA DGGASEHDSPQNTFFLPPPPSTRDTRTDRRRRAPSALESKTTEEAKQKLDSAGFEDDD FGDFQ UREG_05182 MPIAAIEALVLLLTHSPSSTISETLDLLKTHTERLKRAIPNPIG LSAGTDLFQRYIISTLQRPGKLGPAGDFNAIRAHLLSNGRLFIKRAKESRDKIAAFGS GFVRDGSTILTNGGSRVVGALLKKVAAEGHEFSSSPVRFRVIYVVNNGNDHVEPDTEG MDIVLALKSKGVPVATIPESAVAYSMDMVDMVIVGAEGVVENGGIISRLGTYQMGLLA KSIGKPFYVVAESHKFVRVYPLGQYDLPISQRIVINMRYSSERESESRADTELRSASC RLTPPNLIHVIQKRCLTPARQRKLIKI UREG_05183 MAYSSRASQQFHHGNQQQHNRNRKPKEDDTDAFLRLPDKVIAGC INDIGIPFTVADLLKPNPQQIQIIFEWFAELFMNTTRETVEPAMRAAAEDICGDHAGI IPHDARNLMGFFVSLRKLMIQCGVHDFTFTDLTKPTYDRVAKIFSYMINFVRFRESQT VVIDEHFNKSENTKSRIEALYAENQEMEQRLEEMQNQQKEMEGVVREKVRRNDELKAR LFELRRDQERVAETFERVKGEKLKAQGLLEEKTEKLLKTRQESEKLRPYVSQSPAILQ ASLTELSESLTRDKIQIDNMERRMRALQMSMDTFGIISNDVQSCIKVLEDISAELQKE EEEDARAIRNKDALAERGNTVREVAQTEKLLQRQLARWHERTEALRKNAREKAEQAQI RMEELHNIQKQLREERADKQRDMERRKIRIEQSEKKMVDLKENIENEIHRAHDEYLKM EAHIKLYITEMEKCL UREG_05184 MYRAEPCLPFFSSIVKNVASPNVEIKKLVYIYLLQYAEEEPDLA LLSINAIQKSLTDQNPQVRALALRTMSGIRVPVISQIVSLAIKRGCGDMSPHVRKAAA LAIPKCYRLDPSTLPQLTDYLSILLGDSQYFVVGPAVAAFMEVCPERIDMIHKYYRSI VRKLVDMDEWGQLATLRLMTVYARKCFPRRTEKVKKSTLKGFYDNENDTEAEAGEVGE EEIQVVDPDLDLFLKSCKPLLQSRNSAVIIDVVRCFRYLGTIEQLESTVGPLVALLRC PPDIEYIALYNIIAVALLIPKAFTKYVSHFLIRSTDQPQIWILKLEILTILFPQCGMH VKGIILSELEHFSNGFNTDLVRESVRAIGRCAESDTSASKKCLHILLRQLSSVDENLV SEALTVIRHLIQQEPASHENTVVMLAKRLDTIIGPEARATIIWLVGEFAGSDVGRNIA PDILRVLAKGFADESEMAKQQILLLGAKVYLHHLLHKANSPEAMQTPEENQHDGNDAN GSEEHPPQEDAITILWRYILLLVRYDTSYDLRDRARLYKALLENPSSTELACLLLLAP KPVPHTPSPSQSRRDFVLGSATLVIGPNAGVHGLGGYEGLPDQVELGAEPDPRLRDEE SKGGLSERGYVTAGEILDRALQEHGNGVATGDKQNAAAVAGRSKNLTLDDWLAESAEE EGDDSEESTEEESSEEEGTTDEGSDEDEDEDEEDDEGEEDTSDGGEEASDDGEFQAGG RDEQTRLMASISH UREG_05185 MAVPYLQPMGYTGNLQSTPSSSTLPNVVATNNLVGNTSSTAAKL RDLTGERGIWFIFNDLSVRAPGEYRLKISIMDLGKALALGAAPEPGTQDESSPVIASI FTDVFRVYSAKTFPGMINTELSKCFVDQGVRIPVNYKNTAQHA UREG_05186 MKFFTTFFIAFLGYAASPFTLIYFNFMLMPLNAASLSATPWLFH AGIKLVTEAPDVSPTTESHALPASDLSFTTLLNPADGASAAASKLELRSSEKLRNIPK LS UREG_05187 MIPVRLWFHIGATTAVILFAIYFNRDSFLFSAKNREVAVSKIIS ETRLHFAVSDIPGRHQQEREQLYQRLHWQSGKPPTHHARHRLLSALHGFYRYEKTAMQ ELDTIRRRYSNVGKEQKLLVESVIGYEEKIRRTEQLIKKNDKIAQEIFDCALSFYQVE FLEFKQFTDEIESGGKSAERVSVSQALKHFVRDWAPEGGHERMNTFPQILESLQKHYP NRDSRDPVQVLVPGFEVTANEWSSFMNLAYRYLTSPKVALANSTTIHPYVDWWSHQPS NAELHRSITFPEVLVDPSSVLLVEGDFTTVFNQDSDSGRFDVIVTLFFIDTARNLLTY IETIHRLLKPGRTVRQKEAPYGFNARALSKNAYWAQFWIAVRQ UREG_05188 MPDLAAPDGLLGSHPQPVPTFNGPQRGHRGHGKRQRKHPPSHQA GPSGALSEATQGTCYNCGSSDHFVQNCPERRQDGHPGSHRNPHPPKRQRTAGSMESHL RGNSSHKHKPRPQRGFTHPPPPDYRQYEPPGHYPPQPRDPWHHPPPQPYGYAPYGPHE PPYHSNAYGPQYPPPRDAHGPIQQDYYPPPYPRHHGERDYPPYHSEHRPPAPRDVQRR QARDYWSRYDNVRSVAPVVEPWMDELDPIEIPDTRHDPNQIVWRPPQAVARPLPSTLT DRDELTMPPPMASLPRDMSVSKYILDKKAEEFDCNIRDTEDWPFMMDDPIFLEIPMDS ELISVKELLAIRAKVYETHRVERQPTPAPNDEEDAENEEIRYEDPDQASDGDQNQEVS YTSDSESYDNQSRSDGSHREFRDEPSSPISGEIIEQDLSEQPLDQADDSHSPLIQKQR DYRLNSQPGWRGRQQQQFVPPPPPPNGDSIQARRGSTEHANEVVNGYSSDNHRENGRE EHGHRQEPEATSGSIHQDHLDSRGYAASHRHRHKRNRPDDVSDSGAEEPRRQIDDVTP RMKRRQPQVAEAYRYILVAQ UREG_05189 MRRLSLTNVCFILLIVAASTLGSPVGGGTGGGAAGSPGNGPLGS PPGGPQGPVPVGSGGDSNDGKQKPANPHQNTYRAVNTSLAILSAILMTMTYAVLIGPG TRLSSTSKIPLGAVRANISHLLSRAWPGASTTSETGGAKPEASVDEDSIRLFYVGKLD GDGGEGRTARGVEDCEALPRTRVAPPPMWLTAGSSLTKSIVQVAIWEWVCLWMVLAMV VSTLMYNGFLTKQKVPDAYPRLVVALIYVTAFCIHAWYVWKTCRSFFTLLGAGATWSL LNKASFASVDLSQLKAGLLGNASPVFRKIGKPDTSPTFPPYENCRLKGSEAVEKADST DDGSTLANEDEGAVNTVTRWQKQEISSTVQAASVALERVITNVVSIVGITITTGFATW TSISNSGDSTSQLGSLALLASLTIGSGAMFSSAIELSVMDTSFRNVLFYKEVLINRQA TAHVQKRAKKKNVVGFTHNTVEMKTVGIRELASFTKLWALIVFGPAYALLPSEKDHFR QSTGADFEIRTSVREHPILLTTAATTERQAHSDDGNFENINVCFLANGERLSPTSSIS CESKQPHVDEKTA UREG_05190 MTMTSLVAPIYHASGLDSQHRICAAFTGKGTSSEKNHNFSFRPT GGDGQSGYFRRNLEYLAGQLAFDCGRLTWPNGGWPHSGQAIIAENFEWVANKRTGGIM PVEPQNEPTAVTYDGIVTRSPRFVLGVQGADCQSIFLYEPEAQVIGLAHAGWKPLGRE UREG_05191 MKESNVVHIAAPVTVVGDIHGQFYDLIEIFKIGGFCPNTNYLFL GDYVDRGLFSVETISLLVCLKLRYPHRVHLIRGNHESRGVTQSYGFYTECARKYGNAN VWHYFTDMFDFLTLSVVINDQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLV WSDPDTEHDEFSLSPRGAGYTFGAQVVKKFLEINNMSHILRAHQLCQEGYQILYDDRL STVWSAPNYCYRCGNLASVLEVSDTGERYFNIFDAAPENNDIHRSEQQAQQGKNGPSP VIEYFL UREG_05192 MADQHHPDDLNPTKTEGFKVSEKKTLEEYQQLDANDESLNRWKA SLGLGSGTLISDPNDPRKCIIKALALEVEGRPDITVDLSAPGAVDDLKNKPFTIKEGA KFRMKATFQVQHEVLSGLKYVQVVKRKGVRISKDQEMLGSFAPNTTDVPVHVKKFNEE QAPSGLLMRAHYNALSRFVDDDDVSYLQFEWSFDITKDW UREG_05193 MAIGRIPSRPTDWEYLAKSRRLVYTDEELLARRRLGSTNLTVKP DHVGTSNATRPENLGVFEYAHLRAPLPKNLKGSEIFPMSPTSQHPDTYFLMRRSKDGY VSATGMFKIAFPWAKQAEEKGEREYLRGHPNTSSDETAGNLWISPELALELAEEYKMA NWVRALLDPTDIQQSSSKSPAKSDVSITAPPKFDISKIDSSTFAPPPPSVRSRTLRSA SPSKSTARPKATPRKRPTRAHREAETPSAAAASESLQSTLDAVASIAKSSILGGNEEE AVQSSRAEVNGEQEATESEGEPIPVKKSTDRVKVNVESETVVDSTEDLETTRTNVTVE MPNGLPELPLPQDTDEMIARAKEMVEEAVKLQEAQEETGNPSSNPARKRKVEEAVEEE EAEAQTTPAQPAKKARLLEARLRRERVRNRALIGVTATLAIA UREG_05194 MAEYANVHFALETMRQEAAAAAGREGPRVLILGPEDAGKTSLAK ILTGYATKMGRQPLVVNLDPSEGMLSVPGALTATAFRSMIDVEEGWGSSPTSGPSPIP VKLPLVYFYGLPSPLDGEGGFYKAVVSRLALAVTGRMAEDQEAREAGVIIDTPGIVGQ GKGASEDIIHHIVTEFSISTILVIGSERLYSTMVKNYDNKPIATSATAAASDERISVV KVTKSGGCVDRDATFMKYVRESQIRSYFFGSPVPSTASSALSLSSTATGTAIALSPHT SHVDFDSLTVYSITIATDGEQDEYDPSKYESFLPGGTDENDTTTSSSLQPPPGLLPGL GSDLSAATASGASTSSNPNLPLKKLPSPAPLSLENTLLAITNVAGNASLEEVRDASIM GFVYVADVDEKKGQGGKLRVLAPVGGRVPSRAMVWGKKWPGEVVGLIG UREG_05195 MASTISVARSWPPAPIVEDEVESVLHEIFEDGSAVNVNQDDVPV LSKGSIDQYPILVPVEVQEPFPALAESDAKRRCNVEANSARKLPGREPLSPGLPRRAL STSDATRRKKKGNRVRFVEPDDDDKKWKHKPGLDPSPPQFLGSLANEIEEAQQLPLTS RYAREPSDALDHDMYKRHASRADGDKHLSRVSRPHSQHPADVFDDLDNPRHTSRRLSW NTWEEQRDGDVAWIKERTYEWHSDDEISSYRGTHYKHWRESSRIVTERISTEVSPVRS SSKEIVPERYSVTDSGRRRTNGANGTTADYTSLRYSRKDRTLKDSRKEHSPQPAARAG SEVSSTRRNGQSYSPPSLPSSSHGHGNSRTAHVAVSSRSPAVMASTYARPRSSTAPTA DTVRRSPDNPNFSLSPCPRSIPMAGYRDWYTVIGLDHLNICPSCMKQIGKTRFRDLFI PSLPKSADAKVRCSLSQPWARLAFVQTMKLGLNHLELLYQVTRPPVGGQPCTGRIPSM QSWYRVSDPETGRTVPDFNACSACFRNLSILMPSLCDSFRSVPILQERVCDLRADSTR FMQYLDLFDAAVTHSMHDPHRYIDLRDFIKYARRKNAMYDCPRDHVVVGAWRYIPDLP EFTVCEDCYDDVVWPIANSPIANKITRTPQLLPARGSQQASCYLYSPRMRAKFREAVR LGDFGYLKAAVRRRYDAETNFRERKKILLADVARGYDRDRELRRNADEWKRSE UREG_05196 MSFGGRIGSKETRRVKDKTTKKKQNDQRRGSEREASNRGFNHAI SNGDFFRELFEHCIQEGFDAHRHWISHRARVPFPGQCNEISQCSQAQLQLSLPHGRRV GDLAARKHNSDLCFKCQIGQQLLASRIRLSWDSQQKKTPGQFQRPLENAPRNRLDIVR GKFQKHLALVKTGEALAIASPTGEQGNGAPRVDSARCNEFVPSLALRFARWRSLAGGR LGRTRNKGICLQFGCCFKTALSGAAMDWTAQARRRRHVGCVSPRETSESEAACSDDPV RRDALLASCPTSFGPLQRGLTSSSSRGRQMSYHESRSVFGGSISFPPKVVDAARIFGL CCRESTFVRLSLNRRRGQSIFEDRNHPRDFARNPSPPMNDAALVLNCPSNILGTQDTG FAQRPKSRARWVAGMGLTDIEAHGAVFLPSKGFEGACDPRMSAPKAPKTSAQQARSRS SVRDDLGQGLAADAYKFKARNAADLLPIRHLSYRAGPALRVHNPLRSLSSPRPLFPIQ ILSQTAKHQSTMAATTVPTVQKAIQISRTGGPEVLEYQTSLPVPTPGPGEVLIKNSLS GVNYIDTYFRTGLYPSPKPEILGREGAGTIVALGSGPSPQRFKIGDRVAWLHTGGYAE YSVAPAEKRVVKIPDGVSDEQALAVLLSGITTLSLVKEAYPVQKGDWILLHAAAGGAG YIMTQMLKDIGAKVIGTAGGPEKVELVKSLGADVVIDYKSPEGANWLEKVMEVTGGEG VAAVFDSVGKDTWENSIKAVKRKGTMVFFGNASGPVPPLNIQLLSAKNIKMLRTTLFN YIATQEEFDYYTTELFDMVKSGKLKTRVHKVYPLEQAAQAHIDLEGRKTTGKLLLKP UREG_05197 MSSDGMPSETVQAVPVSPSIELPLRPKVEFEENASPPPAVEDEV KSETGSDALPKENEAVEVKKAKKKRKAGNRGKSKVEKHFSFHADCSDVEFPSLQSSKH HLLHTIASRGLFLTASSRLETAIQRYETKRRMNSERRDVFLKYLSYGGVKVDQKMFEG NDEKDLKRLDSEEIITATAQTNIPEDRADWDVDFETVAKGFLYAISCHKLGLLLLALS LTTPLSSSVIPQFISLDTEQQVDLVTSTIKNFLNYILYHEVCPEFKENIMAARSICDL AKDQLWKIQQLNTAAPGNFNMACSTLFGGSYFGAYTGDREWSEGMESAGMPENAARKV VKFGLAGTGTHEQAIRFRDLANENELAAKLVHEDGFEVLEITPASPEVKDFYHETIFS DFASWG UREG_05198 MTEASQSQGKKATRLDEDSFTAFNHAAAGHDGVLCHEDGSLIAK PCTQREIDFYESSVRHPEFQRYMPTFMGTLTAAPQDAAKLPAQLIGGSSTAPLSTELS STDTSATPELSGTPLATSVPQPESQWVPSGGRKLDTGLSIVLENVTGGFSRPCVLDVK LGSRLWADDAVASKRAKLDEVSKQTTSSTLGFRIAGMKVWIGGENGCQSEEERPVTVS DCIPADAKEEIKSKIRIIEAAGYRRYDKYYGRAFNDQNVTQAVESFLVSARTGRIDHS KLIAKRLAAELRAMQAMLEKEESRMYSASILLVYEGDSKVVEQALDEEGKEKTGSSLG NDEEPKLEDDEAMDLVSMTTLDPQAAQTWASQGTVNVEISAEDIAGLGDLADDDSEEA TNKVHDARLIDFAHAQWTPGEGPDENALQGIRSLARILEELAEI UREG_05199 MTESQSPALSGHQADVAQPPSQPDSQGQTQTTPASSQTPPGPDI STNNPQPADSQQDPAPEAPESATPAAGTAGSTPHPGGNDEDMTAKANGSTSQQQQQDV VMGGTDNETGAGASGGGGANAAANGVNAGANAKLEANGLGGNMLNGIDPIAPPTKKHT SLREFLGQMDDYAPIIPDAVTAHYLTTAGLPPPGNGPNQTPPHLARLLALATQKFIAD IAADAYQYSRIRASNSSTSNNPMGAINVTAGLNVAAGQGGNVAGGSGQGAAGGGEQQG KGKSGAAGLHLGVQRAGFGGGGQGGGQGKTVLTMEDLGMAVGEYGVTVKRGEFYR UREG_05200 MADAQGTAQPVGAKVEEEPPVADISASIEANTTPKVSHPRDDDE PDGPSPATKKRRTVSAEGNQASLGSDPRLDLNVPAQSLPVSSSIQPNIYPQNGHPTTE DTSNKDTFRGNAPLAAAAPAPSDPNVLETISFIMDQPGRIENQSVLNRRLQEATKSTS LSAVKSNPDWRTQSLPILDNLATQILSLLARSSYQDITSIVSEPNSETGHAYSSMRSL FDHAKKVFSTNGPFLSASQLGFAEPGQIDIIRKANMASFVSSIFGTQEIGFSELHDRF LDIFVPEGSRLLKGQGALFLELKTQAFIASMNDKEQSRFQVLHNLFPDDLGHKFLARR PGNKQLAPSETDFVKRAWSRRDILLADIDNPESINTLPERYRWEDFLRDLSSYISKHF DSITNQVCLCRSFDPMHLSKDTDGDKCKNASKGPSSVDSGETPSQPPPQPPPEPRNMP LETQFSVKLSPPGAPVDRGAGRVDLVARAARAAQIALQGQGLRHPSRPVSNASAQPPQ QPQQSQQPQQPQQPQQQQFNQPPPTLPIQHQPATTAPQQAFHYANAPPTPSLPLPPQP TQVTFHQNQYHFQQYNPQAANTSSRTNTAAANYGYMPGIPHYSQSQPTQILYERARMA TTAKSSPTSRRAGLPSQRRPWTTEEENALMAGLDRVKGPHWSQILAMFGPGGTINEAL KDRNQVQLKDKARNLKLFFLKSGIEVPYYLKFVTGELKTRAPAQAAKQEARERERRRG EEDKAHVEGLEGMMALAGAHPSHTPGEPALMNIAPPALPLTQEQHQAILDQTAEQTLI QRLTQETKSESLEPPLQQNPVDPALQSQV UREG_05201 MGFATFAVALSLQAAASVAAREGSQETLWGAVAFTASGLSAPGL NNGPAMLSPLGARQLHGAGAAFRARYISNDSNKTETLTRVHGLSPTTLNDREISILTT SNQPNPASAQAFMQGLYPPLELSRIGDSSFQSSSVDDIVVDFPLGNYQYPNIIALGPH DPASTELAGNVNCPLYDEARLTLLSDKDIRDLQIDAEAFYNDVYSRALVGIIDPEKLH VLNARLIWEHLNYQYSHNKTVHKLISPDEMMQARYFADYITFAMNSRPFRKRQFSRIQ EQNFFNVGDVSTIAALNSISGASGDDQLFHGIPNHGASMIIELFSNISDGFPESTDDL KVRFFLRNGTNTADPNTEYFPYPIFNKTDTSYDEFISQIAGRSMSASEWCRFCSSKAT FCPSFEIPKPDSQNRTKNDDPVIIVVITLLSIALILCMVFIVTDRIKTKLRKLQELGA QVLSGILRIVCLVDCRF UREG_05202 MSSKDQGTPKIKNQGNPPLKKENQPSTSNKATSISNANSQPKEN GSPVQSLDLKKMVRTNLSRQTVKGQRYDIPTLLHIGRQLESTTLQASNLDGAGFYEPS ASRRNTSIGSRVLKEKSMNHQRNSSTMSATTDDGREVVRYPRRQPVNAPQGTLAQSHA GFARFLKEHSSPKHHRVTAGGRIVPMNFQFPAPEFRLPMATTDPASCTKNEASPKGLQ APNHTTTPEVKPPEPTVNPKTLPVSGTKTGNQQMRAPSTFSAGQPLKLGPMSFDGISI PQVGDHEQFELFSSNPQLYASQVFGSQLFPEGMQQPVQIPYVPQIGNMLGAYQLGSSF PLGAQSQFIPANNNIGINPYITYPAASIGIGNGHDSGARSLLESAIQEFDNISNQLSN LDRYLALHTWDIDPATKKLLVEQRIELVRKLDSTRVYKEHLETLLQSSKFEGVGLGQF SDQAFMGNSSQIAQLSLPNAYGAFQSANNNSAFLQAGGFLQSTLNPATGIVAGTGFVS AESLGPVLAGRQMSGTQYGQLDAFNSSYDNLQIPADLNQAITAAQNWGTNADFAMSNY LNSQNASSKPVPSATGKSRAEMKRADRTSPVPSFDIDAVYLRIEEAAKRKEPLEPYFK ELARCTAMINATNLNGGSSQSTDNPWNSNCLSKGRAPNSCAMTGARLGTAILKENSQQ IPGVLGNSSCNGKSAVKSSSPQKKPSNEGQVPNLRSSPLSGRQTRGCTCTKKREQSSN SSGAGHIDAQPSTLVGPSLNSSIAIASKVPQSSSNPRRVGSATSVQINSMGILPPFDG TGDSAGPSSKPTTATTNVTSDGTLVKANGQKDTASGRKTSWFRRQTRRDPNPMDVRTF FQLLRDEDREAIRKQDIDHPPF UREG_05203 MTSMPSPVLGQDAWPADREDIRSQAQEGGHGQEQAPGTDSPKQP PSDPYYSEMDIEKLGRARPEAFSNAWSEVAFCFSIVMSQVLAEYFISGFNVIIPTLVE EFDIPDAMAVWPASAFSLVIASVLLFFGRLGDMIGGFPVYVGGMAWLCVWSLIAGFSK NRLMLIFCRALQGLGPAAYLPTSLMLLANVYRPGPRKNLVFSIYGTCAVIGILRSAHA ANGWKTPYIYVCFILGFLFLGAALYVEGWVADHPLLPFDLFKVPHMTPLVIMLLFFYG SFGVFLLYGTLYMVHIMGASPMQVVAWCIPMVVGGFIFPLAVGIFLHLVSGTVLLAFS TLAWIGSGLLFALMPVGASYWAFAFPAMICATMGIDVTFNITNIFITTKQPSDRQGLA GALINSVLHLSIAFFLGFADLAQVETDYLGRRKSYQVVFWYQVGCSVVAFAIMVLLVR VSRAKSELTMDERRQLEMEQRSSG UREG_05204 MTQLFSSSGSPAVGSEKRQFPGLSLPSRKHSGDSSHFPTRLKNF FRINSSSSNNNSSNPSNSHTSNPATKPEGKSTFRQSRFLPTIGRNRSTTVASEGNPLD DGASPIADANPYFHHQGYPALRHRNDDSVSSSSPDTPELQVDGVPASDQATAAGKEEL ARKLRRVASAPNAQGLFTGDGAHDRPKTAELGKEPLLASGGPSPKLSFVDKSDPNVTS VTDVDRASALSVPRSFVNYRRTYSSNSIKVRNVEVGPGSFDKIKLIGKGDVGKVYLVR EKKSNRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEEHLYLC MEYCSGGEFFRALQTRPGKCIPEDDARFYAAEVTAALEYLHLMGFIYRDLKPENILLH QSGHIMLSDFDLSKQSGPGGAPTMIVGRNGTSSSSLPTIDTKSCIANFRTNSFVGTEE YIAPEVIKGDGHTSAVDWWTLGILIYEMLYGTTPFKGKNRNATFANILRDEVPFPDPA GSQQISNLCKSLIRKLLIKDEIKRLGARAGASDVKTHPFFRSTQWALIRHMKPPMVPH QGRAIDTVNFRNMKESASVDIGGVNHLKGVPMDSGLATPGEQLPDPFEDFNSITLHHD GDYQGELADDSLRGYRK UREG_05205 MSVLKPATRCLFCSFSRTAITPALSRIPRRQFHPSPIPYNDSGD DGRRLEAPEVPKALNQSDPRPEVEVRSKPKDIIQLRNEQEELERQLELPKKEFKNLTV DDIIPYTKEESSRLSEEYTAKQIAAIKAGEASLDPKDLAAQARIREGPWALEYLDDFS TIEPVVDHHKRAPLTNYDYNSRLKTQDELMGEFTDYVMNLPEDATPGDFLRFLDNMRF TVGKPEAELDPHDSVVPDIFDPDENLNHIGERRPRTKEQDAESDLDPGLKQLMMVTGY SHQEIKGLKVKSLVAHSVVNQTRLGKIRKAYVLSVAGNGKGLLGIGEGKSEEWAEARA QSQYRAIRNMQPILRYENRTIFGDVKGKVGAVELTLMHRPPGFGLRCQHNIWEMCRVA GIHDLAARVHRSRNPMNTVKAAFEALRSQKNPEDIARARGKKLVDVRKVYYAGNV UREG_05206 MRKESYSLADLIEVTKPSPQRDDSLINCQYFAKCGGCQFQMLPY EDQLKHKKRIIEKAYSNFSGLNPEQVPAIKETMGSPLQYGYRTKLTPHFSLPFSRKKG PQKLTEVPNIGFMMKGRRTVMDIEDCPLGTDIVRTGLKNERKRVVDNLNQFKAGATLL VRENTKRIPKNKEEEDTSGNDTTRDVIRTEYPDYIEEKSYITDQKGISSEYIDDYLFR NVAGTFFQNNNSILSPFTQYSQTKIPP UREG_05207 MASQTPAVVMDNGTGYSKLGFAGNDSPSFVFPTAIATKASGGPS GGTGAGRPQVANKPSFLTGGAGPSSSLSAKRGTEDLDYFIGDEALGASSGPGYGLHYP IRHGQIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIFFESFN CAGLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSI PIAGRDITYFVQNLLRGRGEPDSAMKTAERVKEEYCYVSPDIVKEFARYDREPDRFLK HTVTSPNGRTVTIDIGYERFLAPEIFFNPEIYSSDFLTPLPNVVDGVIQSSPIDVRRG LYKNIVLSGGSTLYKDFGRRLQRDIRHLVDARIRASEARSGGAKSGGLDVQVITHKRQ RHGPWFGGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGST UREG_05208 MASSEASMTAIYVHAGAGFHSHQSEEIHLWVCNQAATLAMAVLK SGGNAVDAVEVAIKFLEDHEITNAGYGSNLTINGTVECDATIVDHLGRSGAVGAVEHV KNPIALARVVLDTSTKPLAFNRVPPNLISGAGAVEFAYEQGIPVLPPNSLVSKGARER WIRWNHELQQLELQRQEQEWEAGYRLSRKSTAKKAGHGFGNSSPGSSHRSGRSINSPR NLGRVDYTPSPDGSVREALTYEMDLDTAAYGHSVDALPDDGASDADADEETDLDYDEH LVNLTSVIPLFTENGPSTPVNPSPPNSPHSMSSITDTDRIDLDTEELATSKAEEQFVG SDDDISDTVGAIAIDCYGNIAAGSSSGGIGMKHCGRTGPAALVGVGTAVVPSNASDEL GTCTAAVTSGTGEHMATTMAAGVCAERIYNSTRKASTGPGILEEVTEDEALKAMIENE FMGHPGVKASHCHAAIGIMAVKKTNKGIALYFGHNTDSFAIASMTTEDPEPCCLMSRN KGNGKVAQGGRFTKLSGGKSRYKPK UREG_05209 MNAVDASDHYDTSSADTSPSLLTIVLDTNPHAWALLEETLPLST AVANLLVFINAHLACNYANKVAVVASHSQEARWLYPASTTSPSNSQNGSTDTDGDTNM SSSNPPPTQSNKYRPFRIVEEQLTRNLKDLLSTTSPASLSSTTSTMMAGALTLALSHI NRETIVYAETHGASSAKLDADPSNPAPTASALPPPPGSTFDPSSNTNRNLSGLQSRIL IISVSSATGSAHQYIPIMNSIFACQRLHIPIDICKLSGDAVFLQQACDATRGIYVPVD HPLGFLQYLMVAFLPDQRSRSHLILPTRVDVDFRAACFCHRKVVDVGFVCSICLSIFC EPPEGADCLTCGTHLELGDYGAKPVVVARKKKRKKGTGKGPNANGGSSGGATPIPHST PTSTLP UREG_05210 MVSVINNDRAAFLAKEAVDLVDAGHREAASRNLREAISLSPESS EVKAAFLKVNQDEQNNHPLLSLCRRYVNQQDEAAGKEAARYLRQEGLEPPADVALESL KLVLGTPASKLSAIQDDIISGLARQTVCCRQYFAAELQNSVTQFFDELYDRGDGSVVC LDMIITSAIRPLLLLLTPPETGSVDQPRDFLPIFEGLLALTNLASSPDRNAGATIVRA GWSTIEDLLLSNHSYIQRAACELACNLMTCEQGVGKFADGSARASQRLHIILALADVE DLPTRRAAGGALAMLTEYESAVSAILDRARGLEIILGLCGDEDDGLVHRGIVCVQNLV RATGDIGKRARQGLLENGALDTLKTCLTKTRNPAVVEGGVEAVKALIT UREG_05211 MKFGRNLPRNMVPEWSANYIKYKALKKFIKVAIAAKKAGEEPDL AGFFYSLDRNLEDVDHFYNKKFADCSRRLKLLEDRFGHSVMTSQRLDGEDLEDLLAAL LELRGQLRKLQWYGEVNRRGFIKITKKLDKKLPGAQAQMQYLPTKVDPSPFATNSRLT TCMKRVNDWLSVLGDEKALDDASSTHSSLSLKRVPSRQNLNLPASLLQATLKIAADDL GDNVYPKVLKSLLQRSIFNRSKACLVSILGKIDNLDEEEDINKRNCIHRLVISIGRSQ TTADAEQSASMVLNFPVDNSNYITPAAPPSLVPMISVTKEANQTTILRRNDPSVSFLA YLLNNLRPHQRSALIAKDISGRTPLHYGAQYGFKVVCEVIIEHLQAWDMFDVSEGIDG PKWQDEEGWAPLHLSVVGGHPLTTRALLDAENWRGQNEEKAAIRKHVSKSSAVLALAT KANFVDIVQLLVHAGVDINYQDEQGETALHVAARFGHDKCAKALLDGTDDQKANTELA ENTYSWTPLFIGCVDGNINVVQLLIDAGADLERPDSSGWTAKEHAALRGHIAIAKLLA EATSAPDSASELDVSPPATLSSSPPLQSSLADRKSNTNGNGRPAEAIKSFGHRYLTDE SMVLVSLGTMDMRKHIPAVNLDRIPMANAHLTQLDTALSIVVSASGAHGEPEVIDLPV QENLSTEPIVFHSADASKVKIIFDLVPTYAGTKDQILGRGVALLSSIKPSIGSKRISL QGDSTVPIIAANTLDVIGSVTFNFLIITPFNHPKMSWKKHRWPKIFTARGEYAPDHVP VIYHDFLVSETGIDAPVHTLTLEQVSSRVPNIYSSKSKQFQFLHISDGRTPRPIQLTK NARIANPSVNNLREMPFRQRSMSVGEELGVPNLDERMKHTRDFKKKGFKGNTRGNHIQ APFVTLEQLFKELPKSVGFNMELKYPMLHESEEEEMDTYAVELNSFVDTVLTTVYDLG EGRNMIFSSFNPDICLLISFKQPSIPVLFLTDSGVGAVGDIRASSLQEAIRFASRWNL LGVVTTAEPLVISPRLVRVVKESGLVCVSYGMANNDPANVKLQVNEGIDAVIVDSVLA IRKGLTEAENPTV UREG_05212 MTDDSGAETTEVGDLAPFARAEYLPEQETSAPMKPDLFGTWDAW DVVRRVCRYHARLFVALALPRYLPSAPVQSRWLSEPVHILTIDANTFLKNQKSYPVLS RAHQGLISRFMRLKTQPWIILCDVGAIPDPFQENTASPTPSEAAQRSAPNGKRNHDQT PHLSYIRNLQTKQPSRTPMERFGVGYQDYLQAPLQPLTVNLESVTYEVFEKDPIKYEW YERAIEKALKDWVTQEKKASCPDGRIVIAVVGAGRGPLVTRAIRASVEAGVDIEIWAV EKNQNAFLHLQRQNQTAWADCVNLVQSDMRSWKGPCCEAAQDTNVQDNSGPGDDSVSY YPVDILVSELLGSFGDNELSPECLDGVTHLLNLSHGISIPASYTAHLTPISSPRLHAD VTAQSISNPAAPETPYVVMLHAFDFLSTVQSTSGTVSPKPSASQTSSSSSNKLPKTPT PPPTLERPAPIVQTAWSFSHPNNNIPPPSKSSSVLSNEHNVRQTRLTFPCRERGVCHG LGGYFETVLYDGVELSTNPVTMDAKSEGMISWFPIYFPLKTPLHVPENSEVVVTMYRQ TDNRRVWYEWIVEVFEIRDSTGSLASPRTNGARRHRVAMSDFHSSIKDGCLM UREG_05213 MADRRLDSEENSRAKRLKTTASNMDPRDNPYLAHMFENENSTNG SSKANGAARDSPLAKFQRHKTTSAMAKEAEDSKVNPFTGRPVSTRYFSILKTRRGLPV HAQRDEFLKLYQQSQILVFVGETGSGKTTQIPQFVLYDDLPQLRNKLVACTQPRRVAA MSVAERVANEMDVKLGEEVGYSIRFEDMTSSKTILKYMTDGMLLREAMHDPDLNRYST IILDEAHERTMATDVLMGLLKDVVKRRPDLKLIVMSATLDAQKFQRYFNDAPLLAVPG RTHPVEIFYTPEPEQDYVEAAIRTVLQIHAGEKEGDILLFLTGEEEIEDAVRKISLEV DEMIREADAGPMKVYPLYGTLPPVMQQRIFDPAPGPRKPGGRPGRKCIVSTNIAETSL TIDGIVYVVDPGFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYT EGAFKKELIDQTYPEILRSNLSTTVLDLKKLGVEDLVHFDLMDPPAPETLMRALEELN YLACLDDEGNLTPLGRLASEFPLDPALAVMLITSPEFYCSNEILSITSLLSVPQIFVR PASQRKRADEMKALFAHPDGDHLTLLNVYHAFKSAEAQENPRQWCHDHFLSLRALQSA DNVRQQLQRIMEREEIELMSTPFEDKKYYENIRRALVAGFFMQVAKKESQGKSLYRTV KDNNEAVLLHPSTVLSYEAEWVLYNEFVLTSKSFIRTVTAVKGEWLLDIAPTYYDISG FPKGEIRAALLRAAERLSRKEKMRSDSRKR UREG_05214 MQSLWFRSTSSTCTCRCLSCLSTISNAVSNRAATAASRRRLLVG NGIATISSSIFATAVVVDAELKTKRRLEREKEIAAVKAEVDKMKEDELRILESLARSR WRPVRGVTRPARRQYSTAANPMSEYARYLSQASQLPEEQDNDSTVVEPPSDPAASCDP ETEEFRDMEQNPCVKQFSDLRPGQIRAMQLLAMKVLGIQLLLRPSIAHTYGVMSKLYT YDSPLHDISVDKLLSELQYLRKRLTLIKYTPDGHYADICDDITLEDHDLLLKQRDAAT FELRKMFNLYQHGGISLDNLIARVSEMLVSAKEPIPPRAIEILISQFSRARLNDVVKM VMETLFLNAYPLTVPVIISSLNWFNKTKDLSGFDQFLNKLQNPDPFINLQLRWHSAKF GGIEVAVPPNSTPNPFILNTLISCALTFDQPQRADAWLDVLRATGFSDTVPTLGAYLR YYSFQANWKNGRHVLMRVIFYLLSSKNHPVHEIERLILYMIILCECCSKPEIADSIIS AAVSSGIRWQLSASERDARPALLWAAQKWRDASIYAEDGLSDLSPGEKYVQFARNVEP TIRRAVEEFIPEDDLTIQRLRLEESFNMKYYKLISDHNDKPSRRACSQAGNESSLSEI ESTRAELQKLQGMVRLQNAVIAKLEGFVTSQPEIRDKVFGPQNWKPRGKTKDSPRRNP GGVRSNPADNKYRLVSIFKQGNSESPTEEPEKQQHENATSQIGAVDVH UREG_05215 MTISKTLLISLFVAMPLVSAHVPEVPADANLDWATKHMIEEHHI VNFDAGSFFALHDYDETGTWSPDEVRKTYGLDDESNRSLTEDRKRQILTEVFAIFDPK KTGVITREEWLRLSSEGKKLPDFGVGPGHHGDMEYEYEIHHFEKYHGDDARPEDLTHP EDIEHFRRHDEEEDAQKKLDEMQGMSIVEANIPEKFKKRAS UREG_05216 MEKPENLGKERVSFENLESRRAQRKLRIRMNPLQRGIEKFLSSY TPHLDLSECKDLISSLPKRYTIYPPLLLLPQNTFTANAQLEKLISSLSPEQSKALYTC IATAFSSQGVTHIAINAPIALTTGGSGNENRMRSPTGLIPLHGDFGPLPSDSEAEREE NPTISDMQSAFWVRAVQNSGIVQIWAPLYSMFSRGNIIEKARVLGTASKFEGLTDVDL GQKLEDVAVVDMYAGIGYFVFSYLKRGVGRVWAWELNGWSIEGLERGCQANGWKVKSI RIDSLDQLNGLEELIEGLSDEDRVVAFHGDNRFAVDLLSQVLGRERSVCWTRKTKGGF MCMKMLALTVSTNIGTLLFAKSSP UREG_05217 MKSLAVLALSAIVSPGVWARPADGVEKFLIETAPGQTRWVTEAE KWKLKEQDVNFFDITDSPEDDFTVAAEQIVAQFPSQLRYAAEVKPLFSKLSAATMRRD LEKFSSFHNRYYRAQTGVQSAEWLFDQVSDIVRRSGARGATVQKIKHSFIQPSIVATI PGKSRNTIVVGAHQDSINGRQPSGRAPGADDDGSGSVTILDSLRVLLSSPKIARGEGE NTIEFHWYAGEEAGLLGSQDIFRQYRAARKPVKAMLNQDMTGYTRGRESAGLPEAFGV VTDFTTPALTAFTRLVIREYTDIAFVDDTCGYACSDHASASRNGFPSAYVHESDGRHD NPYIHTTEDTIEKLNFNHMVKHGQMIVGWLYELAFARL UREG_05218 MFQPRAAENADIGCSSMTTMLELQSTSRAAQSARSIESAHQSSL NDSALPAEELPPPLTATSIPHSWNFPRINIWRLFAANFSFIILGLNDAAYGALIPYLE TYYNVSYTVISLIFLSPLVGYVTSALINNRIHMHFGQRGVAILSPGAHLTAYIIICLH PPFPVLVIAFILAGFGNGLADAAWNAWVGGMGNANELLGILHAFYGLGATLAPTVATS LIAKANWKWFEFYYLVVGAAFLEMIFLSAAFWTATAARYRAEHPPAATTEFDIAAHAP KKLDRVLSRVIGNGRTAEALKNKVTWICSIFISIYAGAEVGLGGWIVTFMINIRHGSA FASGMSATGFWLGLTVGRVILGFVTPRLFRSEKHAVIVYLLFTIALELLFWLVPQFYI SAVMVSLLGFFLGPLFPIAIVAATKLLPKHIHVSAIGFAAAIGASGSTAFPFAVGAIA QERGVQILQPFILAILVACMGVWLFLPSLSKKNQ UREG_05219 MNFVTFNQDYSHLAVGTTQGFRIYTTDPFGKSYEHKEGNIALLE MLFSTSLVAVILSPRRLQIMNTKRVICELTFPTTVLAIRLNRKRLAIVLEDQIYIYDI QTMKLFYTIDTSPNPNAICALSPSSDNCYLAYPLPQKAPPPSFSPLPHTPPTNTHIPP TSGEVLIFDAYKLEAVNVVEAHKSPLSFLAINTEGNLLATASDKGTIIRVFSVPAAHK LYQFRRGSMPSRIFSMSFNITSTLLCVSSATETIHIFRLGQQQGSSKGNSPNRNRESN RGSGDDSDSLGSEISSRKHNGTLMGMLRRTSQNVGNTFAATVGGYLPKGVTEMWEPER DFAWIKLPKSIGGNGGSGSVRTVVAMSSNTPQVMVVTAEGSFYVFNIDLSKGGEGTLV KHYSVLDSNDRLS UREG_05220 MDVDSADALLQWASKLSDDTNFCLLEQYFPDGPDHPFAQTMMGH FHKLRTPLYSIHNYPSLRQQEERFINAGWKDVDVKSLWALWGDSQFLNDAQRMALDEF EAFDEWEEFALFASHYFLLLASTKASMTNHKIYHVPENMVTPSQDLKLTAQCPLKFNG QRRFGSMVPVNGNMVGLHGGLGLQTRLSSTQVYSASEVEHSIHDIPPTSVGARMCHST TTFGKRCLLAGGRSSPTMPLGDCWLKSDGIWNQVDSLPIPSFRHSTATVDVGPGDERV LLYGGKSESGRVLGDFFLWSEQKGWNQISSAGEIPQPRFGAAMINIDSQSGIMCGGMS QDGVVLNDIWAWRISSSKECDMVLTLDDLTEIFAASTPLFKWLGRLGSTINNMGNKIV ITGGITSAGCIPQAYEIMLLDLAALHCLMQGQPLQDNLLTPLGLGVDFNGPRPLLVGH SSSPVEGGKILIAGGGAVCFSFGTFWNGGTWLLQDAAPDATNSWLVVEVASNSEQGPA RTIAGTPQPIINNQDSLVTIPRIKITSSQEFRTIVDKSKPVILEGLDIGPCVRLWTKE YLQKSVGSDRKVVVHDSRSDHMDFQTKNFDYVTKSFGAFIDEVHSGSRQYLRAISADK PSEEPASLSTDFPGLKDDFQLPPELSLVSENAHSSPLRISGPVILWLHYDVLANVLCQ VQGEKKLILYPPSDVSKLGFAPGASSSSINIFRKTTEHHQPTSPPGTTPHEAAMKPGD ILFLPPLWLHTACPTDGVSVAVNVFFRNLTPGSYAPGRDVYGNRDLHGYEKGRRDVEK IARSFDRLPRDIARFYLDRLADELKEKAAT UREG_05221 MATIVVQQPPVQHSSTPPPLSSALSLSGSASRAQPPIPNKHLPV CPTGPPPASSKIPAPTVSTTKPVKTFLYPPSKFPKLYDSPPVYTLDANQLAAALDEAS TRALPEPNKVFPWLHGLHPDNQIQLAFFVPRRRTLRRVPKCLRNVIIVKVGGELSSSR IKGAVAPSEILNGSTFLDADPPMGFSVRNFHIQAAKLAPVCDIVVYGEDGVKPSQLLS LAKQVSSAQETWRLKFDPGEEHRLVNTFILSTSFRDLENQHPELVAVRSDGQITDRVM DFFRWERIEMCNMSHPSEISKNVFLGYTPEPSSSNSNGDQKPPFDLMIETHDVANIPD PRYLTKVTTLLESGPQSIEFPSSGSIIASELSQVEVFDIIDTCRWIYHLAHPPEPEQE MVEADGDIQMVSLNSRPRKILIHCADGYTESSLLAIAYFMFAEGVPVHEAWLRLHCEK KRNFFAYPSDVTFLTTIQHKLLRESPAAKASRLVSQPDPDWMTRLDGSLPSRILPYMY LGNLTHANNPELLRALGIKRILSIGEPVSWQDADLQNWGSDNLMMIDDVQDNGIDPLT KEFDRCLGFIEKGKLDGTATLVHCRVGVSRSATICIAEVMASLGLSFPRAYCYVRARR LNVIIQPHLRFVGLPSYELMKWDELLRQKRNQPIRRDLEWITITREIALMNKPYSRQ UREG_05222 MEIDSTEDPRGVKRTAADAGFPTHGPRKIQALDPDVVNKIAAGE IIVAPMHALKELIENSVDAGATSVEILVKDGGLKLLQITDNGHGIDHEDLPILCERFT TSKLKAFEDLSSIATYGFRGEALASISHIAHLTVTTKTSGSSCAWRAHYRDGKLVPAK PGQTPGPKPTAGRGGTQITVEDLFYNVPTRRRAFRSASEEYAKILDIVGRYAVHCDGV SFSCKKHGDSGVSVSTLATSSANDRVRQIYGSAVANELISFDVEDSTLGFRATGLASN ANHHAKRTTVLLFINHRSVESSAVRKAVEQTYSAFLPKGGHPFAYLDLEIEPQRVDVN IHPTKREVNFLNEDEIIELDPRGLTRIISCGQMQNEKDYVHVSGQYPQTKVRTKKADA QSPAAHHSEPSGREAVNIRLTSVKNLRAEVRSTMHNTLTEISASLTYVGLVDERRRIA AIHSAVNLYLLDYGMICDELFYQIGLTDFGNFGTINLESSPRLVDLLSLATAVEREEH HRRIKAGGGEGSGTNNATDTEEPKDIDFSRVPETIAAHLIERREMLNEYFSISISEDG NLLSIPLLLKNYMPSLAKLPRFLLRLGPYIDWSNEEACFRTFLRELAAFYTPEQLPTP PTATTAPITPDDSSTQHDGKTAPETSSSQHQPDSQQNPNTNEDPSITRRRTQLAWMLE HVLFPAIRSRLVATEDLVRGAIEVADLKGLYRVFERC UREG_05223 MAVLDACCLQHKSAKTVEPSPPLLDHRPKASPSRSSSSSQPGIP ANIVTADLRLHVRNGLMDVLRISRRIFLADEELGKKDDDHHRKTAHFRRPSSTFWRLP RRRRAFVLIIGIVLCYLLFTAISSLNPSYDEPLESTRTVRPSYPVHNAILRPKGPPPL PDKRQERRDHYFNGPIKFYSLAKSLYSIRGFVGYNRENKIVLFAVANLKCLSNLLPLA CEMSRQKLNRVHIALLGRDGISIERIQEVNGYNESDCSLVWHDARPDYGHWSTDARME ASVKSAIGHVNAILHPQVIITHESRDEPFLVKGVKARASLAGITNIGLKGRASNFNWV TKLDARALAVWNRIQIEILVHAPPASSGSLLRLLKGLHKADYFGSVPGLTIELPSEVD NPLLEFLSGFTWPPLTENRQFTLRRRISHNVSPEEAAMRSIDAFYPRDPWFSHVLVLS PQTELAPSFYHFLKYSLLKYRYSTVNTPTTYHFLGISLELPSFKPTDGSKFSFPNAKT VSAPGSKSTLPVFLWQAPNSNAALYFGDKWIEFHSFLSNRFAPPLKMKQTTRLKSVLK KYASWMEYMLEFIQARGYYILYPAFATEDDYSLATVHNELFRLPEEHESTTTKKQRAE PSLEKIHDPQQTLLDETFQDSEPDSVERIVSVSSSISDLLDAFPAQLPQLESLNILSP SGIEGGLPSLLSSTEKYVRSFKAEIGGCNSEDEPPDFVPMSANDLFCLDRNDS UREG_05224 MAKEDQAGASDKGKGKVDDVRDLQEDKKESKDGKLTINGKKDEK PKEALETIKELIKTSTSSMTAVPKPLKFLRPHYDELTTLYEQWPAGDDKDSLADMLSV LGMTYGDEEKFETLKYRLLSRSNDLGAWGHEYIRHLALEIGQEYQNRITDEKDVQDLV DLALSLVPYFLRHNAEADAVDLLSELEMIEEVTKFVDENTYPRVCLYMVSMVNLLTYP EDHQFLRTAHNIYMRYNKFTQAIVIAIRLNDIDLIMSDFNATSDKSIRRQMAFLVARQ QIWLDLEDEDDSELADCLNNTQLSTHFRTLAKELNILDPKMPEDIYKTHLESNRGAGL TNVDSARHNLASAFVNAFTNAGFCNDKMMLVDDDKGPWVWKTKDDGMMSTAASMGMLL QWNVEEGLDKIDKFTYAEEYQIKAGALLAIGILNSGVRLDSDPALALLSDPDNLASKN TMMRVASIMGLGLSYAGSCREDVLDVLLPIVEDSSLPMQISAMAAVSLGLVFVGSSNH RVSEAIATTLMDEERQPQLKDKWTRFMALGLALLYFGRQEEVEVILDILKAIDHPMAK PTSVLASVCAWAGTGTVLKLQELLHICNDQIEDKEEKKGEELVQSYAVLGLSLIAMGE DIGQEMVLRQFGHLMHYGAANIRKAVPLAMGLISASNPQMGVYDTLSRYSHDNDNDVA INAIFAMGLLGAGTNNARLAQLLRQLASYYHRDQNSLFMVRIAQGLLHMGKGSLTFNP FHTDRQVLSRVAAAGLLTVLVAMIDAKEFVLADSHYLLYYLVTALYPRFLITLDEDLQ PLKVNVRVGQAVDVVGQAGRPKTITGWQTQSTPVLLSYGDRAELEDEQYISLSNSLEG LVILRKVHNFLPFVSEFQTNDPFLEP UREG_05225 MSLSSLKPDSRNPDLVLAIRRGIKNIKISLFKLTKTQNETRCEG FEIPYKRGFSGPFRRKEMRAIEVGSRNHEVVPTPLIIQLSGLRGGSGVHKCISNLAKL NLIAKVKNAKYDGYRLAYGGLDYLALHTHQKQKVIYSVGNQIGVGKESDIIVVADNSK TQRILKIHRLGRISFRSIKNNRDYLRHRTSASWMYMSRLAAVKEFAFMKALREHGFPV PEPIAQNRHTIVMSLIDAFPLRQIASVPNPASLYAELIDLILRLASFGLIHGDFNEFN ILIKEESKKAAKGKQPEGSEIEPDDLKLVPVVIDFPQMVSTDHTNAEMYFDRDVNCIK RYFQRRFGFVSDEPGPFFRDAKKLVGRDGAVRLDVEVEASGFSRKMARELEAYMKEVG VDGDNAQEKDDEESESGSDIGEEEDIGDEQDGQSIG UREG_05226 MPKPANFARLPFNWYKPPLPVEQRKQVYLPEFTITLIRTPFLPP RYASFWVPLSFNKLDLKDYLKRVYNVDVIKVRSYVEQQKVTRERPMGKEGYGPLRRPM SKKKMTVELTEPFVWPEEPTDYSPWERDTYFDAKKSQDDFQEAHGHDAAMKAPERERE LLAEQATRLKAGKEAWQPTWQTLGLNFERPLLKPHSTKSSQSSSPPQK UREG_05227 MSTTNSRTMKLPLVPLAKGTLLLPGTSLRIPLADRPDIPILLTS IFSRSSQRYGNAPVVIGCVPLGSPLLSKDGQRLLNNGDEESKNGQANVKIDPARASKA DLFAHGTVAKVIGVQGRPNSEPYMLVEGAKRFTIRKITKEKPHFEAEITVYDEPVPHS IDDEIPELFDQLKQLSREFLALLRLASMFSSKSGHVTARCEEAGSLADFMAEIADGSF EEKLRILASLDLKVRLERVIDLLVKQVQSIKNVIKVTTISTSLPSNFGIDINTLDPRQ REMFARRPAGAALPYPPFGKGEGEGEKENNEIDELQQRLQEAQLSPEAQKVADRELKR LRQMNPINAEYGVCRTYLENLAEIPWTKVTEDQLGVETLKRARKQLDDDHYGLEKIKK RLLESLAVLKLKQSLNSEVHSEISKLSSDLTATESRLDDVETAPDAERDNIETKLQVL KSKRKTDKSPILLLVGPPGTGKTSLAKSVATSLGRRFHRISLGRTYVAAMPGLIVNGL KKVGVANPVFLLDEIDKVGGANFHGDPSAAMLEVLDPEQNHSFSDHYINIPIDLSKVL FIATANSLDTIPAPLLDRMETIQLSGYTTIEKRHIARRHLVPKQVRTNGLSDGQVELS DEVLDKIITSYTREAGVRNLEREIGSVCRFKAVQYADAKDDEKAGTYNPKVTIEDLDE ILGIEKFDEEIAEKHARPGIVTGLVAYSSGGQGSILFIEVADMPGNGSVQLTGKLGDV LKESVEVALTWVKAHSYELALTQDPNEDIMKHRSLHVHCPSGAIPKDGPSAGLAHTIA LVSLFSGKTVPPEIAMTGEISLRGRVMPVGGIKEKLIGAHRAGVKTVLLPDHNRKDVR DVPVEVQSELEIVYVKHIWEAIRHIWPHGHWPGEHRHPLFESRL UREG_05228 MASTERKTYTIGTRKSPLALVQTDLVRRALQTAYPNCDLKVHGH VPTQIPDACVLGAMMPRENPRDVLVIKKGLPWMTLAELPAGSVVGTSSVRRTAQLARH YPHLVVQDIRGNIDTRLAKLDAEDGPFTCLIMAAAGLLRTGHENRVTQYLDSKNGKML HAVGQGALGIEVRTDDEVMKDMVNKIGDMPTTYACMAERNLLRTVEGGCSAPLGVETE WIQDDNGKSLLRLRAIVVSVDGKEAVELEKDGDVESIEEAEAFGKQVALELIDKGAGK ILEEIQRQKRQ UREG_05229 MLQKTHVHCLLLSTQLLRSFPSLIQRPQATMEEEPQSLQALFAS AKAQKKAVETVPINDPTLTDRFNSAVHAFEACQKQISKFSIFSSNESLEDIATADLQY ATVDYLFAELLQRSQGPDRLKNIQRLREEYEQFLRLLDQYGILSTTDKELYERYLESP DSFSLAPPNDAAARRQVKINRFRDEKELKQKLEHLSRSQQSIENDDEIARQLYLAEVN LYTHQTFQSLDMLSQEVSMLKLALKNALDQSPQPPPDARRRDAHDTSGYSDRLDLGLS SRGRGQGPLLRKNGVPLQPFVLTSRRAEFQKGVFRPGHNLPTMTIEDYLEEERRRGGI IEGGGEQSGVPKEIDEDDLEKADEETMKARAWDEFKEENPKGSGNTLNRG UREG_05230 MGSPSVVDEPNDRLEPEPAGFSELAESDSEDDSSTNKENVSDVR RQQNLRFKNLLLARAEKVTAEDIQKAIKVTKDSELSMSNILAKQDFASVVHDPREYQL ELFEKAKTNNIIAVLDTGITIAFFSPCFFVTLVFQQAAVLQNQIDQKIDKFCGAMETH LWDGETWAKHLANNMVIVCTAEVLHQCLLHSFVKMENINLLIFDEAHHAKKDHPYAR UREG_05231 MGNLPLAKISKPEAEIQRLRAAGEVLRSHRFENPNGRPELLSPK VRRLHQELSKYFERHTDTKCIVFTEKRHTARILSDLFAKIGTEYLRPGLLIGVRSDPS GATNVSFRQQILEVVKFRNGEVNCLVIYLDMLPVGLLMSTRLIVVKFATSVAEEGLDI PDCNLVVRYANHLRMKMLYTEVDRFDLACTLTQYIQSRGRARHVNSTFAHMVEQDNVV HKESVKYLQSSEVSERSRVNIYTHVNLVLGYYEPILHLFTKGSHPEFLQRYRRPQYEK ETSANVNYYHSFSQNAFHCEVVLPEKSPVRGLTGKPASKKLVAKQSAAFETCLLLRKH GLLDDHFVSTYHKRLPAMRNARLAISSKKSNQYDMIVKPNLWQSSRGAIPTTLHAVAF NLRPNKALRREYHSLVILTREKLPEFPKFPLYLEDDIQCDVICTPIECSIQVSDEDLE VLTTFTLRIFQDVFHKIYERNIPMMTYWLAPLNLLSDLASANVSPRSILDWGILKAVF DEPDISWSIGNSKKFAKNHFVYDRWDGRYRYFTLGVDPNLKPSDPPPATVARRRHMGN IMDYCLSLFKNSRKKFLETCDWNQPVIRAELIQLRRNLLDKRTEKEKQNEGECFICLE ALTVSAIPASVAALAFAFPAIISRLESYLIALEACQKLDLSIPAELALEALTKDSDNT EEHRAMQVHFQRGMGKNYERLEFLGDCFLKMATSISLFTMNPDNDEYDFHVKRMCLVC NQNLFKAALNLKLYEFIRSQSFSRRGWYPEGLTLLQGKGQSKTAPENKHALADKTIAD VCEALIGASYLSGGKSHRFDMAVRAVTVFVNSSDHNVSDWQDYSKLYALPRYQTAVSD PAEIDLVAQIKEKLGYQFKYPKLLRSAFTHSSYPSAWAAVPCYQRLEFLGDSLLDMAC VEHLYHRYPDKDPQWLTEHKMAMVSNKFLGCVAVKLGLHPHLRHFSAPIQSQIATYVE EIEAAELESGDSPDAWTSTSDPPKCLPDMVEAYIGAIFIDSDFRYEVVEDFFERFLKR YFEDMTIYDTYANKHPTVGTLDIFT UREG_05232 MEVASPGVSNGLPPPDTFPTTDPTIVVQHLAEVLQGTLGALRKD LESSGNLLSTENYPETLQKCSRFAAESQTAVYAHKELVEVEERNGIEEELSSATRHRY TLRSEISISPTTVATVAFLKSPSPFDPAIPVSSQVQVLTLPGFTALNNATASQGPLIS PYEVLHSLVHLGLAPYFEAYTRGQDGAKTRGDSDAKTGVPGAKKKIAELELSLLHLQQ NIEIPVLNLPMHEIVQTALDEAQSRGVKPSVDLVPSAVLDNHLVINSIQSTVNGWIKS IQSITKMSRDPESGSAAQEINFWLDMETALEGIEAQLQADGVQLTMDILRHAKRYQAT LQIRHIYWLKAQEALNLIFAHLNKKLRLCPYPIKRALSLVEAISGDLDSRIHTLINGK AIMNLDFKEFRGLMRAAQIVWRAWDENIKEFTNVARDAMRRRNEKFIPIKVRSKHAET QDRLKYINTFRTNHEQLQRTIINVLGPKSSQPGAPLASESDTITLDDIGDVDAVEEVR QAYAPLKDVDVLDVSPKGTEEWIREETAYNERTSRVENLIIARLRDRLATAQNANEKF RVFSKFNALLVRPKIRSAIGKYQTELIDDVKQDIAALHERFKQQYGHSEAHAMAQLRD LPPVSGAIIWARQIERQLDGYMRKVEDVLGEDWDLHSEGQKLQAESNMFRKKLDTRPV YMAWLQDVQRRNITISGRLFNITRNRAAGNALELAVNFDSQIIALFKEVRNLVWLNFQ IPHATNSISKEAKRVYPFAISLMESVRTLHQTVRSIASMAQAAILLSGYQNDVQALIM KGLPLRWESFIHSYELHVKQGIANGSVDPSVAPSRGESKHVQFVREFAVSASVLQTKT ATLSTIDESVQKTILELKTCPYDADAFRQRLDQIQIAVDKLNLENYANLGYWVTKLNI KIESILQDRLRRAIRHWIRSFQDAKHEQSHKVGYGEPSDATGEELEIQSIQFPKLLHE ISMRNQVMHLDPPLEFARANWFSHFDKWVGILCNLERIKSSRYKMSIHADKALLSETH FSALPQYCASELTEVYSVVEARLQEISEYIEKWLQFQSLWDLQSSHVYDVLGDDLSQW LQLLQEIRKSRATFDTSEVRRSFGTIRIDYEQVQTKVNAKYDQWQREILLKFGGKLGT RMREVYAELQAARRDLEGQSLEASSTAHAVSFITIVQQCKRKARVWEPEVDLFRQGQA TLARQRYQFPNDWLHIEQIDGEWLALNDILERRSKTVQDQTDALRAKITAEDKVIHDK IAEIITQWNEEKPVSGSTPPEEASRTLSYFQSRLEGLQSEFEMVSKAKEALDLPSSPE TALAAILEEVQDFMAVWAALSTIWKSLNDLRDILWNSVQPRKLRQSLDGLIKMTKEMP SRMRQYAAFEHIQNILRQLLKVNPLLSDMKSEAVRERHWHKIFKALKPGTRFSQISLT LGDVWDLNLAASETVIRDIITQAQGEMALEEFVKMVRETWQGYALDLVNYQNKCRLIK GFDDLFAKCSENLNSLQAMRHSPYYKEFEEEATAWEDKLNRVHVLFDVWIDVQRQWVY LEGVFTGNADIKHLLPLESGRFQNINSEFFAVMKKVYKSPFVLDVLAINGVQKSLERL AELLNKIQKALGEYLERERVSFPRFYFVGDEDLLEIIGNSNDTLRVAKHFKKMFAGLS GLLMDDDGNIVGFTSKEGEEVRLKKEVSLLKTPRINDWLTALELSMKSTLAELLAEAI ELFDPIYSAPEIDQTAFSDYVANYPAQIVVLGSQVVWTNAVQKALEDGGSRLQALYEA EVRVLDLLAMTVLGELDPITRKKCEHMITEFVHQRDAIGKLMENNASSPTHYLWLLQM RYVYQPEGDFLQRLHVHMANAKIDYGFEYLGVPERLVRTPLTDRCFLTLTQALCQRLG GSPYGPAGTGKTESVKALGLQLGRFTLVFCCDDTFDFQAMGRIFLGICQVGAWGCFDE FNRLEERILSAVSQQIQNIQIGLKNTSDEAKAQIDLVGRRLRVNPNTGIFITMNPGYA GRSNLPDNLKKLFRSVAMSKPDKELIAEVMLFSQGFKQAKPLSRQTVPFFDHCATRLT KQAHYDFGLRALKSVLVSSGGLKRLRLANSDDDLGPDEVIEPQIIVQSVRETIAPKLI RQDVETMLQIQTEDFPGVEYVPANFEKLTQAIREIAVEKHLVATDTWISKTLQLYQIQ GIHHGVMMALQKVEGVEGVCHVIDSKVMSKEALYGNLDSTTREWTDGLFTGILRKIVD NLRGEDSKRHWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVEN LKYATLATVSRCGMVWFSDDTVTPSMMVTNYIESLKTRTFEDLDDDSVPAGQASAKTL DTQKTLALFLDQLLQRDDLILKALQEAKRHTHIMEYSDARALNTLFSLLNKACRNILE YNIQHIDFPLEPEQMDSYLSKKLLLALVWSLTGDCPLGERKVFGEFLTALSTIDTPLL GDSASLIDYDATLPKAEWTTWQSQVPSVEINTHSVTETDIIIPTLDTVRHEDVLYSWL AEHKPLLLCGPPGSGKTMTLFSALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKK TLNGVIMSPSQIGRWLVIFCDEINLPAPDHYGTQRAISFLRQLVEQNGFWRTSDKTWI TLDRIQFVGACNPPTDAGRTPLGERFLRHAPLIMVDYPGEVSLLQIYGTFNSAVLKII PLLRGYSEALTKAMVQFYLESQARFTPEIQPHYVYSPRELTRWVRGVYEAIKPLENLS VEGLVRIWAHEALRLFQDRLVGEEERQWTADTAQRIALEHFPTIDEQQALKAPILFSN WLSKHYVPVEQEQLREFVKARLKTFCEEEVDVPLVLFNDVLEHALRIDRVFRQPQGHL ILIGVSGSGKTTLSRFVAWMNGLKVFQIKVHGKYSAEDFDEDLRSVLRRAGCKGEKIC FIMDEANVLDSGFLERMNTLLANAEVPGLFEGDEFASLMTACKEGAQRQGLLLDSQEE LYKWFTQQIVKNLHVVFTMNPPEDGLSSKAATSPALFNRCVLNWLGDWSDQALFQVGY ELTQSVDLDKPNFVAPDSIPVAYRALPLPASHRDTVVNSMVYIHYSLQRFNQRLHKQQ GKVTYLTPRHYLDFVAQYVRLFNEKREDLEEQQRHLNVGLEKLRETVDKVRDLRASLA QKKSQLEKKDAEANEKLQRMVADQREAEQRKAASLEIQTALEKQEQEVAERKEIVLSD LAKAEPAVLEAQKSVSNIRKQHLTEVRSMGNPPAGVRLALESVCTLLGHRVDSWKTIQ GIIRRDDFIASIVTYDNEKQMTPSLRNRMQNDYLSKEEFTFERANRASRACGPLVQWV EAQVNYSEILDRVGPLREEVIQLEDQALQTKAEAQAIENMINNLENSIATYKTEYAAL ISETQAIKTEMARVEFKVDRSVRLLDSLSSERSRWEEGSKSFETQISTLVGDVLIAAA FLAYGGLYDQQFRKAMIDDWVNQLAQSGVNFKPHNPMTEYLSNADERLSWQENSLPVD DLCTENAIILKRFNRYPLIIDPSGRVTEFLQKESKERKLTLESALRFGNPILIQDAEH LDPILNHVLNKEYQKTGGRVLIQLGKQEIDFSPAFKLFLSTRDPSACFAPDVCSRTTF VNFTVTQSSLQTQSLNEVLKVERPDVDERRTNLIKLQGEFKIHLRQLEKRLLQALNES RGNILDDDNVIETLETLKKEAAEISNKMVETEGVMTEVENITQQYNIIARSCSAVFAI LEQLHHLNHFYQFSLQYFVDIFNNVLHNNQQLAQEKDFTARVNIILRDLFITTYQRTS LGLLQKDRITLAMLLAQASPYPMDRSIIDDILDQSVEGCDVSTTPELKDTVMAKVSQM AVFKSVIPTIEEESWEAFFSEELAENFVPVAWEDGIEPFNKHLRSLLLVKLFRMDRFV PAAERFVGTVFGRSLFEGGGDLKEVVEQVTATTPIALSSSPGFDASYKVDGLVERMHA TCANIAMGSNEGLESADKAISNAAATGTWVLVKNVHLAPSWLQSLEKRLDSLKPQADF RLFLSMESSPKIPVNLVRASRVLMYEQPAGIRANMKDSLSSLSLRAGKPPIEKARVYL LLSFLHAVVQERLRYAPSLGWKGFWEFNDSDTRVLHNTWVASVAQGRSNVAPQKFPWD LLRTLITETYGGKIDDGGDFKLLNDLVRTFMTPAAFEDDHKLIPGIEDEVLMLPAGTG LRDFTEWVNRLPEREPPTYLGLPGNAEKLLLVGHGKRMITNLAKITTLLDEGEQLMVE AAAI UREG_05233 MKRPTKLRELRLSPHSTSNSVLSRMPLLYSGGAGSRGTLQQWTG GDQVPGLLIPIAFLSSLRANLQICASDPDDIYSPSSPVSMISAPQKAKAAPRSARHPL SMEVPSDHATIPLVSESEHQHEKGDQSYEIDLSSAEPPITISHATTEEDTAAALELIA DSVSQQRQMAAKAIILHPTVLATSILVFLTSVKLLYTGSLSDMILMMTVWVGFSVFAL CLIKYMLRGYLDVIERVGNWPWLSETSVHGASHRRDEILVAKENGEVIAVLVLRIVKA MTSPDVPGARPRSSRRKSSARWTGIIRAWTVKRTHRLRGTGTRLLTDVVANCRLRTLD GPIFADDQANSAKLLPRMFNAVFEKQEKWARAFLEQIILAERGR UREG_05234 MASSNRYRHSRSSRQPGWPPELSRLDQDVEEIQREFPPGSQYQQ WVYPDEDAIFERRQMRASSPFRHYPSRPHDTGRRGHDRPEPYRRPRPHSSAPRSSTPR PLRRHHGDQAFDYPSPRRHVDAWDDDGYDRSHDSSRSRHDKAPRSGQRGSFGKLASVG VLAAAGKTAYDYYRSRSGDRDTRLSRSSDRFRSLSRGRATSVSGYSRRDPYHISDDED RYDRYDSLQDYPERPRSLSLPDYEYLNESDGRHSGYSSDSNSSSGSSADEALTRRKLR RKELVTAGLATVATIHAGHSLYESHGKRKERLRKLSEGKISPEEARKQRLVGNLKDAA SLSLAAVGIKQTIDGWREAAKNHSEYNGFNEKCKQRARERARKRASSMDSGW UREG_05235 MNWLKSTLASVAGTQEPIYGPTAIQSVAEQTKATPFTELTKDDL KWKAMQSTCVETQTFYVVSEKGDLVMVQVIYSNVAGLHTTCQFNTKIYWADPQKPSNW FSDPIQNYLFDEDMLSFGGDNIALTLNGDGTAYTIKSALNESCLVNLTFTRLTPGFVV GNDGTSNFGTDPANPWGSMRHAFWPQCKVEGSIITPDAELNCAGRGFFVHALQGMKPH HLAARWNFANFQSPTISAFVMEYTTPPSYGSTVVNVGGIVKDGEIIHAGTSNTASHVE TTQDSDNEWPEPTVVKYTWSGQTKDGKEVNATLEGSLGQRLDRVDVMAEVPAIIKSIV GSVAGTKPYIYQFSPKEKLSLKLRLGDTDFAEEGTLYSEATFIS UREG_05236 MATSENSSPQHAGQQTVRFASKKEEIEPSGGLHTSAMSIDKSQA PETDMEDLSMKLQKSRLQETRMRNFAFEPVSLPPTRLPSRDSNTHSNRGGPSPPPSGP HSPILGAQDSERMRERIHRGIAQYSDMTPQSSLSNDASGKSYTSRSPAGNGSRPSSSS GPTSTRPSSMSDFPAPPRESRHKPKFFLGPSEDSPPSTPRYEIRSSASSLVGSGTATP LGDRNDPYARSRMPPPQTNLSQLDQRFIFSGLDSKRRPSKGNNQSTQLTPAVDKSHDK RLFGKKEQRHLEGHREGHKPHGSMSELKRFFRMGAKQKRSESPASIHKKHSSRSSSKV DPFRAHGPSVPFADDHGLQSKYGKLGKVLGSGAGGSVRLLKRNTDGVTFAVKQFRDRH KWETEKDYAKKVTAEFCIGSTLHHGNVIETLDILHENGRWYEVMEYAPYDLFACVMTG KMTREEITCTFLQIVNGVTYLHSMGLAHRDLKLDNVVVNEHGIMKLIDFGSAVVFRYP FENNIVLASGVVGSDPYLAPEVYEEHKRYDPRATDIWSLAIIFCCMSLRRFPWKQPKE SDNSYKLFISPPSPGTPLPDGSSRENRPRPKSIADVSSTAQDSPADSRRSSAPRYHHR HGSGPYPHSDPTTRDHEPHESHPPNHTAALSEQPTAALKTANPPSSQQPQQPQSQTSA SSAGSGQRQEVIKGPWRLLRLLPRESRHIIGRMLKVKPRERATLQDILSDEWVRSSEV CRQEELGQIINAPNHTHVLEPPSGAPPPTSDQNNK UREG_05237 MARKSLQRVSYGGRDGVICSWDLHVNSRSRIPSPDLSLASSDDP SGPPSSSGTDTVQPTTFRRQVQAHTHWINDILLARNNSALVSGSSDSTVRLWRQDSED LKIPVSLGRHSDYVKCLASPRHHADWVASGGLDHKIYVWDLNGGGEKLKIDVSGNGRT QKGSVYALASKKSILASGGPDSAVRVWDSNSGNLVTTFVGHTDNVRGILINEDANTII TASSDQTIKVWSITAGRCMHTLTMHNESVWSLYSDHPQLSVFYSSDRAGVVAKTDTRY SADVDQGVCVAALQENEGVFKVVAANDHIWTATLKSSINRWSDVDTTDIGLTTSSQQA HRPIGNVAPRHNESLETEKLKAPSSSILVLSNTSPSGEPGVYTPAQSQPKETVEGLNG LIKHMMLNDRVRALTKDTAGEVVLWDLLKCTPVKSFGKGHLDDIAAKLNTVKSIANWC TLHTRTGKLSVILEPHRCFDGEMYADETDLTDISQFRDDQRINLGKWVLRNLFAGLIE EEKRRDSEYLASLVAKLHNSMRLQRTDAPSSIDIPPIAIYASEPTALPGAPIKGPRTT NELHSTALTPGMSIGIATPAPLVTSAPENSLSSPTSQSTDQHPGTRVDRPGIDDYFLS KPNRQSGDSSALNAKTPAATEESNLADSSPALSAEPDKEEKTKRTGSLFGKKFQMFPK KLGRTSTETKPIAEEKTEEASVNSSEKEKDKVPDETFYGVIESLRAKGTVSTIGQDAD ELEKVAPAWLGRLLLENRMETEIVKITFSVTPYKGLLPEAIDPDANNNSRLSANRMLR GKKILAYVAERIDPEYSPDSKDENQLKPEEYLELYCQNTLIPPEMTLLTMRTHIWRAG GDMILYYKANGKRIIPPRQEKKSTNNNNTTTSGTDGDVQGRESKTSADLKRPASTASA GNSNLAANKDGGSTPATSHSRAPSNEQLI UREG_05238 MAATTNSTDEKLSPLHIEDGTKVDTESNGSFTPKQASALRRRID LRLIPALGFMYGISLMDRKNVSNAAIAGMRKDLDLLQGYRYSLITLCFFITYVVFQSP MTVICRKIGPRIFLPGICFLWGCLVIGLGFSKDWTTMVGLRLVLGILEAGYFPGCVYL LSTWYTRFEVARRYSVFYLIGSLASALSGILAYGLMQMEGVQNIRGWRWIFIMEGVIT CAIAIFAYAFIIRFPDQERDKPSFRFLKPHECQYVIERLEEDRRDVEAEEFSLARFLK PAADLEIWVFAFMFFCLTTVTYAFAFFLPIILKDNLGFSLAASQCLIAPPYAFSAILM FVMSWISDRFRTRAPVIIANCIILIIGLPIMGFHSNNAVRYFGVFIAVAGANANVPAI MAYQANNIRGQWKRAFCSATLTGFGGIGGIAGSLVFRTQDRPDYMPGMVACIVAACLI MICTSVLTLCFRAANRKADRGEKIIDGDPDFRYTI UREG_05239 MEAVDHPREDASQALVPSLWTRSLLAGAVAGLTVDVSLFPLDTI KTRLQQARRRQGNTNSVPSTKSGLPLLRHSIRGIYAGLPSVLLGSAPSAASFFVVYDG VKRLLLPSHQSTENTPPSWQRAVLTHSLASSLGEVAACAVRVPTEVIKQRAQAGLFGG STLLALKDILSLRHANLPGGGRGSWTLVLRELYRGTAITISREIPFTILQFTMWERMK ETYALWRRQSNPSAPVSATSSAFFGSIAGAISAGLTTPLDVVKTRVMLARRGGDSDGG GKIRVRDVVRGIWRDEGFGAFWRGIGPRVAWIGIGGAVFLGSYQRAWNLLEGSKLQKE CAEADL UREG_05240 MASVIPTSEFYQALNGIWRRWAGHQRKDGFPSRVALAVSGGPDS MALAHLCRKLTVEGYIPDLKVIALIVDHKSRKGSADEALRVAGWIQEMGLTPEILTLK WPAEAKDPSKLSNFETLARKLRYQALGTACTSANIQTLLLGHHRDDNIETGLLRLSQG HRRFGLAGFDCISPIPECHGLWGVSHSGDISSLETILTSRTPESKAKPSNLAEHLDIT GVSPQLNIAHFTASGGVYLLRPFRAFPKARLEATCRNAMVPFVVDPTNADHTLTVRNT VRKLLASDDLPRALQSPPILALMDRTQRIKERLQELVDGLVKLIKVVNFDFRTGTLLL RLPSAKDLKGLESAYNDDSRGYPYVDSLEVRLKALRALIELVAAGSNVQISQDGLLRA AQMIWPESPEDTSSPDVFTLGGVQFQPQQRKEPWGKGSYLYNFKRRRQAARTPSFNIP DQGFTDETVTDNEANTTDTNIWLLSRESFRRSLPITTTDFGILLPDHISQLSADKTKS EWTEWKLWDGRYWVRLRATRQMKPINLRKGATPRTRIYSLGDTIPIKMRPLTHDDITR LRRAELKNRYYRRQYSWKLTDQDLRHSPWGNGAKFPWERKAFDILLACFAPGDIRTTI PALQHSDYKSAKPETICHPPETSATISKKEVAKTIAHFPNSNEVDGTKEDEEKYGNGQ YEEDDYYDINDTEELVGIPTFNRRTSKKIWVRLPPETAQGLLKAATPSNTINVIAHGN EEKDSEVEFICPWIIEWEILYKHVDPMMIRNLSWE UREG_05241 MTSVSGSLAGLPLEQLALYHAVDPYLSSVFIFHGPVTTANSTLS SSRIQAHIITPGGVHSYPRITISPAAPLYAAVNHLPREKQGDEVYRGLAVCLYKYFCE LPELVKDALTALARAGKQGARLPKLFDEVHAADLANKMTKVEGSALVVSDLRDAFGDR IVPCIDIDFVLPPGTVDTDARSSRDLGSDAEVGQKFCDRFGKYAPLIEALGDPIFLPT SKLKRAPSQSTNMSKSRTFTSSQKENLRLSMCEVVDTEERFVGKMYDLVHNIAHEFRQ KARDKAASSNSPDETELMKLFPTCLNEILDVNLGFLNAIRQILEDTEKDALGDITKDT VLDSAALARDANGKRKDSMGIIAFARCLQEWFPKFSQPYGEYMNAHTGFTKVLNSFLH DQNSSFSKRVYDSGEQRMRSLLMEPVQRLPRYSLLIDAMTSALPVVHPAVKPLLNARD IITNICSLESSGESGQSLKRLQNLVVEWPATVSPSGRLITAADFYTLLPPYRAGFQGT RGEAGIMLVYTDYLILVSKTPESKLTARGLYAELDKPQSGFGSNAASSVPELKFMQAN RAVDVRCTQSKCASVMFLIPAENFLRNERSRAELVLQALELTSSYEGKAYKLIEEITK GRIEGRFPEKYRERGKWSLHHPDGAQGNLGILISVFEKGPDEALPSTSSSTVKLSFDP PSPGLSKFAGNSVNEVNISMAVAGNGKYKMELSSVVGVSFMDLFAPQDFVSVLSKRFH TLLRPLTQPENPFLTDTILNANFNILRIIAEQILASAKVSKSLRPRSPSKLLSSFWGN GQTKELQLPLRGLLPPAQINPTPPSFALTEPDSPQKALVPTPSPLKQPKVVNGGINIA DETAGQLEQLEKTFSAYTIAIRSRSGNIVGRVLRARDRADQAAVNELYNVLREDAGKL QAAAEAPVDVLMVAFETFMANAWKEKIGPIIPAQSLKLIQTKFDAMFPGDFEDFFRRF LAEMSPQTRRALTGLVKLLAELLDASGNDGDRGALTEVFSEILTEEGDPREYISLLDR LVEDFERLFDENAPCTIPMEGTLINDPANRRDRSQSVNHGSINSNNSSFRKRFGFGLH RERSKTESESKVSSIIRTLSKSKGGSGPATDTESPASTLTKGNLMRAKSTDMDTRLHS LLRPGSRDRPFMPTFFSSDHDLYRPGSAHSNAPTLSSIGEDRVEKPVSPRKKRRSSLS DLRPVSAGELTPIFHSPKETKRAASPVTPTSYHTESESLATPSASSSQRNRTRLRSPI RLHSPPRTTSPVRHAPVNKKENTPPSPRTALGDKPVNRKTNVSTSPKKRSEIRPLSYR PNTGHKERALPPNGSEASRIPLSNAPAPKVQKLKMQNPQKIRTLADIKPVNSTYCSSS GSVRQGGHGDVRSQFKDRITRKRYRKFSCCQRETR UREG_05242 MSATTHAVNPTGGERMGTQQMEGQQQSTMQADGSPLLRNPFTGR SPSADSGVIDESHFQGESRRSESLPGASSPPVRDRRMSKEWDASKVPPSRFQKREGSI FSTSSSRDSHITRRDRDASYHAKLKEKGW UREG_05243 MVAAFWLLRPVAEGCGLLIATWRYAPSPAPPNFEDIAIAIANAI RAFNAALATPMVPETHPAMPNTQEGNVVAPAISILRTQHYGPNQSRHRQASSAPN UREG_05244 MAISSPLIPVIPMLLILSLFYLEPVFDLLRMHWVASMTRKVKGF FESKRSLEAQNRWIDAMKDTTLQHYEAMQAKACIKQQALDLLCTEEHKDLVASVLAGQ GESLIWNNKELWNVGQEQHELRIRKPKGARVREYQMTVCRRQRFLEENPVKFMSQPER HVNPTHDFRNTTAGRRGFLPTGGAFVLVANGMDGLREPLDGLRGSRLKAHHTFDFAIL VKATLLAGGASRRPLLTQ UREG_05245 MSLRIIDVALEVAGPSIARHPSLAQLARDDLCRYLFQLVKSDNM AILSGSLRVAGTLLSTCRHVLKLQQELFLSYLVACLHPRVEIPREPGIDPALYVGVPQ APKLVKPSASQAGSGRTTPVPVKDRQKLGMEGGSRKPEAREAMVESIGVLARIPNFMA ELFVNYDCEVDRADLCEDMVGLLSRNAFPDSAAWSTTNVPPLCLDALLGYIQFIADRL DDEPKHDGPFDLSRLREQRQRKKIIIQGATKFNEDPKAGIAFLASKGIIEDIEDPKLI AKFLKGTSRISKKVLGEYISNRKNEQILEAFMDLFDFAGVGIVDALRDVLGSFRLPGE SPLIQRIVTVFAEKYLAGAKPKEAADADSLFILTYAAIMLNTDLYNPNVKPQNRMTFE GFTKNLKGVNAGNDFPIEYLEGIYRSIQQDEIILPDEHENKHAFEYAWKELLIKASTA GDMIPCDSNVLDADMFEATWKPVVATLSYVFMSASDDVVFSRVVIGFDQCAKIATKYG LTEALDRIIFCLSSISTLASETTPNTTLNTEVQIGKKAVMVSEVAVKLGRDFKAQLAT IVLFRLLSGSEAAIRNGWEYVVRILHHLFINSLIPQLDIRDSGLDIPPIPLQPPSQVV DRDGRSNEAGLLSAFTSYLSSYAADDPPEPSDEEIENTLCTIDCINACGISELLENIR SVPISSMTYLVNALLAKLPDTSPAVITHPSSCCEMMIQYNISEKPLTRTLQNIIRDAK NIHPLMLSRVIYYLLVLLRRSYLIGSQDHSFMRPPVVLHSISSFEQDILENSAVPIIA GLTSIGNETQLWKEITKYPDFWSIIQRLHQHQDGGAMIFDLLRNIVESDPPVINADNY EAAVGIANDFANAGSIVAIQELRHEPSVRRTRSVKKPSKPQDNPLVIRGTKAVGIIYQ MTARIPALISQSHLERNEAWAAYWSPIFNALTTQCLNPCRDIRHQAISALQRSLLSPE LASTDHKEWVAIFGEVLFPLILRLLKPEVYQSDPTGMSETRVQAATLVCKIFLHYLVL LSEWEGMLDLWLNILDILDRMMNSGQGDSLEAVPESLKNILLVMADGGYLVPPSVDES KEKIWVETQKRLDRFLPDLFKEIFPPTPEGQFVPPPPQQDLPHHPAEGSSGEKTKEKS PRASVEEDDVE UREG_05246 MPGTVLDARISQQRAEIEKMDSVRPDLYLISSEDTVYEQDILRD PGSIKPWLSYIEFKQQNGTAYEQAFYLEFRVKHLRGRNPAVHRIEYLKVNALFERAVI LLNKMPRIWEIYLSFLLEQPLVTQTRRTFDRALRALPITQHNRVWKLYKAFAISASGE TAVKIWNRYMQIHPENAEEYIDILVEMKQYTEATTLGFNPKRARAISTYGRIWWNCLS ITQKEIDTGPQTGIDIEQIIRSGVDRFPDQRGKLWVGLATYWITRGNFEKARDVFEEG VTTAMTVRDFTMIFDSYVEFEESIIGALMESAAVRLDNGKADENADFDLDLRMMRFEQ LMDRRPFLVNDVLLRQNPNNVIEWGKRVALWGDNKEEVVRTYSDAMAAIHPKKAHGKF HELWVNFAKFYEKGGDINTARIIFDKAVKVPFKSVEELAETWCEWAEMELRNENFDQA VNIMAKATLAPKRSTVDYFDDKLTPQQRIHKSWKVWSFYVDLVESIGTLEETRGVYER IFELRIATPQTVVNYANLLEENKYFEESFKIYERGLDLFSYPVAFELWNLYLTKAVDR KISIERLRDLFEQAVDGCPPKFAKTLYLMYGDLEEERGLARHAMRIYERATRAVSDKD RFEMFNFYITKSASNFGLTSTRPIYERAIAALPDNEAKEMCLKFAEMERRLGEIDRAR AIYGHASQFCDPRTNAGFWQKWETFEVQHGNEDTFKEMLRIKRSVQVQYNTDVNFIAS QAIARSKQLTKEAADVAAAEEPEERADAMAALERHAKAPAGFVPASTGPEGGNRELAN GDEAPAANPDAIDLDEDMDADEPQDASL UREG_05247 MSGSADREPVFPTRQSLGLMKGKLKGAEQGHSLLKRKSEALTKR FREITRRIDEAKRKMGRVMQIAAFSLAEVSYAVGGDIGFQIQESAKQARFRVRTKQEN VSGVLLPQFESVTAEGSNDFGLTGLGKGGQQVQRCRETYARAVETLVELASLQTAFVI LDEVIKVVNRRVNAMYGTPRLETSRPSHCEHVIIPRTENTIKYINSELDELDREEFYR LKKVRGLSVIQPIY UREG_05248 MAIRASASARKAVIKIFRSIMVLMLTLEERLGNFSEKSSMLLEH TIDHFLLALADKDTPVRFAASKALSMVTLKLDPEMAPDIVEAVLEALEEDILYETQAG TLISSFQAGNTRSSLSRRNTNAVDPQKWQGLILTLSQLLFRRALPPFYLDQVLQSLLS GLEFEQRSSTGSSVGGGVRDASCFGIWSIARKYTSREICAPDPQKIKVGTNKDESVLQ LLAVELVCAACLDPSGNIRRGASAALQELIGRHPDTVLEGITLVQIVDYHAVARRAKA MQEVAKDAAGIGQSYWDSLLDGLLQWRGIGSPDSRSRRTAAAAIGELSIQEGYKSILT VLRRTIKCLSAVSAYAIEVRHGCLLSLAAVVDEFLAYRLKNPSEDQSAQSVALEISSL WEVLDRQIGQSKDSLTLSELRPDLTTEASARFLSSLARSCTDGSASPAVPRPSEKLLE KAVDILILCVCRGDDIPIEASSQAASDLFALLPSQKQTEVITLWFDNINASWKSTSGR GQISALGAVFKQLPVSSEGRTMILNELLRSTSEEESISKRSSAVKCITTGILPYAENV KSISTHFEAFLNDYTTDRRGDIGSFIRLEAIGGVNFILNSKLIQPLELYDLMKCVVRL TAEKLDKVRFQAWKCLVRFWEESPTLPPLQERYEHLSEVSSTGYFLQLFSLLSVDWIR PSLLRGVATSASAGTEGLVKSSRLALAQYIKMYGDDNECLLKRNVFDDLMLALESTID DDRYAIPTVDTICFLLDNCFENSALLNLNFRKLFLLVQKSHFKSSNIPRIEAAIKLYS TLLRQETIRKDVMKKMISMLLHSYPKALLMGYDGFISLIEGVASYHSRAQFDPFSWHR PSARRFAGHLWELVEVTNGFTSRNKLLCSRAAAEYLRFLSFLSRENGYSSPKFFRHSA ESNVRNLEERVKVDQLKEALSEIFAEYGSILEIVAKSNLKAKGQAFIVFDNVDSATRA IEEINGFELFEKPMVLDYAKTRSDATVLKEAGEQELETHKRRRLAEKERKQAQEALEN QKKLKRPAGAAADTRPAKTTRGAGLKPTGAAAAPVIPDEYLPPNKILFLREVPDSYDS AGLTAIFGRFEGFKEVRMVPGRKGIAFVEYESEAGAISAKEATSGMTLGENGKPMRVT YQRQ UREG_05249 MACINVFDGVWQLDACDRNSSCYHFDEDLDGATQKSDEQRPLKR RRLERTPPVDANPGMTLDGDTLVEFDCGSSRSSATLVGCSDDEADSQPGGGLDLCSRL NTEGSSDSEDRETHATGRSRSTSAEILQNTPNTSPLTETPNRPRVPPEEQGNDLDNWM KGWGPKSDGKRRAATEYGVEKAKRCAAAVELPSGHWADAERDLFFRLAMRGFEPLVPN SWRLDFPTFPEPLFSARDTKESFIMSLNGHEFRAIKALKELLSIGPRVRDRQLSRLRF EPVLKRILGAYVHWALWDCNLHNHSRIMPYYIIYALGQNESVDSGVKQIINELMALAK QHQSTWSRTRRIDPESDKVRDHYDKDHAFPVLTGFLICGSIVAIITLDSNPRILSVMD PQTSAKFIAKFDFSEPGQDVWNSLAVAIAVLRMRKTMLQLDLEHRVDAVLETCCEEVD EDA UREG_05250 MDGDDELMATVYRKIEREKALINAATNLRQSTNNPLVQQRVDAN IRDGKKNIAYLEEKMRELQIRKMGRESGQQPGSPTQQRDSVGGPPPPPKDGGRYSTDM GYDQDLIKYDTPYLGPKIQLMLSQLEFKLSVEKQYKAGIEKMVRLYQDEGDRKSRADA EGRRIESNQKIQLLKQALKRYEDLHVDIESADHPDDESLSSPNIRKPLTGHLTMRIHA VKDVDHAAGSRFSRGPDTFVIMKVEDTIKAKTKATRIDKWTEETFNVDIDKANEIELT VYDKSGDRPTPIGMLWIRLSDIAEEMRRKKIETEFNTSGWVSADKMDHGTGRPDSHFQ MNPSQHPGRGQPGQTGPNATVMIDSWFALEPVGRIHLTMSFAKQLKDRRPFDIGLNRQ GAVRQKKEEVHEKQGHKFVTQQFYNIMRCALCGDFLKYAAGMQCADCKYTCHKKCYPK VVTKCISKANYETDPDEEKINHRIPHRFEFYSNISANWCCHCGYLLPFGRKNSKKCSE CGLTCHAQCAHLVPDFCGMSMEVANQILETLIKTKNYNRAGGAMAAKTLRAGGKQPVT EPQRPQDVGYGQKPVSADALSAAHTSYTAPQSGPTGRPTAPPTNAAAAAAAAATGMRP PSQGSPYDRASTDYTNARPPYLESQAQQKPTHAHYDPSAYASVNAFSQPPQLPPIQVA QQVPHHYSAPQQTPVATQAPSAVIPTKDQVQPPAQTQQPPKRVGLDHFNFLAVLGKGN FGKVMLAETKTTKKLYAIKVLKKEFIIEHDEVESTKSEKRVFLIANKERHPFLLNLHA CFQTETRVYFVMEYISGGDLMLHIQRGQFGSKRAQFYAAEVCLALKYFHENGVIYRDL KLDNILLTLDGHIKIGDYGLCKEDMWYNSTTSTFCGTPEFMAPEILLDKKYGRAVDWW AFGVLIYQMLLQQSPFRGEDEDEIYDAILADEPLYPIHMARDSVSILQKLLTREPELR LGSGPTDAQEIMSQPFFRNINWEDIYHKRVPPPFYPTITSPTDTSNFDQEFTSVTPVL TPVQSVLSQAMQEEFRGFSYFADFV UREG_05251 MDPWRPALVPIAPRTHIDSVEVIPSMTATKIGTQQFRSLQEAIR FIENHPIQQRYGLQMQLMQGLMSANQLLEDIITEFYDYVKSSSAWEQVGQVQFASDFQ ESKTVMERALKRRSDISCIKDRLISNWGTERIEGLWRHIKSLWTAQRARRALNKFSDW DAFVHRLNVSILLRLNAEGAGHRRTVNVIPGDFDYAFDNASNDIAPVNLQDIRRLGLD VGPQGILQQLNLPENYKQPVQRQIRSNRPEVVPQLILEQLNLSENYNQPGRLDVGPQV ILQQLNLPENYNQPVQRQTRSNASGAPERMELAEDQATDALQSVSRPRGNKWRLLSCC LMNFGNGLNDSAPGALIPYMEKEYDIGYAVVSLVFVTNALGFILAAPCTDFLEARLGR ARSYALSLSMVAVAHAVMIAKPPFPVVMTCFFFIGFGLTVNLALNNVFCANLVNNTAA LGGLHGGYGVGGTVSPLAATAITASGIRWSMFYIIPLAVGLANIAFSAWSFREYVEDQ SAAGDQRTSSERPAQSAQLSRGRLLKEAIKNRVTLLGALFIFAYQGAEVSISGWAVSF LVSYRGADIAKVGYVSTGFWAGITVGRFLLSHPAQKLGRKTSVVGLIIGSAAFQLVVW LVPNVIGDAIALAIVGLLLGPVYPCAAAVFSTLLPRGIQLSSLGFISAMGSSGGAVAP FFTGLLAQKLGTVVLHPICIALHVNIAGGVRSFRSQVMTIGKHAAIPIGW UREG_05252 MYATPYPHTPEPANGITHDNVGEQTPKSTGSTGPLVYPAGHVYI FSFLHSATDEGRNIALAQLIFAGLYLVTLALVMATYRQSGAPPYLYPLLVVSKRLHSI FMLRMFNDGVATLFLWATIYMLQKRRWRTAVLFWSAGVSVKMTLLLVAPALAVILTLS VGFPNAVGLGMLSLLLQLLIAVPFLQRNPVGYFSRAFEFTRQFLFKWTGLLNVFIDSA PISSISLTQILLALSVRKESDKAGSRHFAGENHTLSFEKRVRQQNNVDLTGCRSPLCK KLALPVLFVPRMGDTVAALAIKRRAIAHFRYMFNPRIRLERVSKHFLELNDGCTSPCA TSPSLCSLATIKQRTFFVLRKSNRVWKFMHKGLIMQSYKLLLIPLSAIIPHLSRPMAA FSQRWSLRHRCPAQAVSRTL UREG_05253 MPPHLHPRSRSTTSLFTATLLASFLIVGLPHLFPCPAPRRTLAD SDMITGPDGQQRIRRRRRKQTEEVDASSKESPFEPSRQLDDAAAEFRHMDEEAKRLRK VGRECPVPKPKGIVGQMLGFDVPKDSGNNRDLVGVGSPRRENEQ UREG_05254 MSDLDRAIAQLRQCRPIPEPQVRELCYKARELLIEEGNVVTVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRITLIRGNHESRQITTVYGFYDECIRKYGNANVWRYCCEVFDYLALGALVLGASSEL EPTGGSASMVQDSMAASQSITGSTAGEDDILETEVLNSKGEVTYATYRRRDRGSQELA NDIRDVSPPRDISSAPATTPSRSGPAGTGATSDSLGSLSSNTGAVLCVHGGLSPLVDS VDKIRLIDRKQEVPHEGAMCDLLWSDPDEIEGWGLSPRGAGFLFGADIVKHFSRKNDL SLIARAHQLVMEGFKEMFDGGIVTVWSAPNYCYRCGNVAAILELGEDASNGGTISRSN GDYGRSLGGMRGAHGERRNMVGPGRRYRVFDAAPQDTRGMPAKKPVADYFLVSPFQ UREG_05255 MSAPGYPDYHPPHIGLQRIQTGPVLREERRKVCVASPFTGSVQS SWALVDRKPVDPPPIIQLRMREESDPAHNYLQSPYYFMCCALHHPTEDRAATVPASTA LAGTLVSSLHRLKDVDNTDGAFFVFGDISIRVEGEFRLKFNLFEMRNLAAQNVPRSFA DQGVKLRIRKEARTLLVGNSAYYHRKRQLPRPEEFPPPLPRYPDRPSSAYPERPVQVN VQTQPAMNTYAQAQGQPQPQAQPQPDRDYSPYYAPAPKRQRNSMDLGGRQFYDGDNRY SAYSRCLINLGLILGAAKGRFCSLAKPALGRSRHGFTADNGHSESGLAGICWHLTETA TIPYSDDFLHRRPIASLLQVSWSLGTILSGIRRLRLKGACSSVYHTPCIYDPNSDHRR KGVYKQDIDNLKNRNTTLQTLVEAILNYNEEDVMDLVRQMRSSDDLEAVADSILKRQS KQDAQSTQFSTRDEDGTTGQNVPQFESELVGRISELRLDGTVKYVGGTSNLVFLPAGS DSDDPISHLPNSTDAEQPGDSVTYWTTVTTSKELVRHLLTMYFTWHYPYFTTLSKKLF YRDFVLGRPGEYCSSLLVNAMLALGCHFSSWPAAREDPNDSATAGDHFFREAKRLILE NDEHERARLCTIQAFAIICWSNYLGRQPQLSGPQITVPKADIFPDEDSEIWSPYTDSG VSQDHVQPARIRAAALQISRLCEISSDLLSSFYNPIPVNRHMGKQEELRRLSQLHTRL EAWRRNLPKEMEPKDGQLPQVLLMQPFLKYTKETSPLPQHVSPRKLCTQSASMISKLL RIYKRSYNLRQICNVAVYIVHSACTIHLLNLPDKASKRDIVYGLKNLEEMAESWLCAR RTLRILDISAQKWHIALPAEATAVLERSHAKFGSWASWDQVHSPSTSDESTKLASHEA QSVPSTRGSTPDVAPNIREPNAQPSEISNLVNPTIPQLAQSFGPNTQPSTINHASSAE SLRGGPPFQAEPPAPPQFTDAEIRPKIERGDSPPVITMTDIASSSLQTPQIPAFTGID NLVEESQDWWFKDQNALALGLDNWDWGSPDGACGDIDVDRTLPPTSTQTPVFSEAAHI IPSQAAAIIRLVQGLKR UREG_05256 MDPSRRYFCSFTRIFLSIYLRFHGRRIYRFAVEASIVLTSEFGT NSVTYYDNPCVLKSVALALRGTIQGDVVPPQREGNPGLGSAMNFPE UREG_05257 MSQSATLVSQQPSNPDSKPTPDAGPKPWLGPAPKAEQKGIYIFL WDTGAKGKYHWGLFIALSQSSGVLFHHVPIGNQWEFLMENEDILTSQGLLAGLKIGEI EEINGDWLKAVEDCVRSARVDKSRGEFNSRTWVMAAIYELANGGFIWLDPDWGKVRHI EEEALRLALDAVAVDMQIISQSELSGP UREG_05258 MAATMLAEYQNTAVEDENMADSEQDAEGEDDVDLYHQLTASANF GGTEFAGANHMIDREVGSEQDYTGEAAGQNVEMTGALDVNDINESNQEHEPMQTVKYL PVEYKSESDVEEGDPSFTNDASESGRDGVSNQSSDDDSEADEDWEAESDDRDSADVED TTQNNCIICGQDEEHDPGEEFEEPLVCAVCGDHCHRQCAREQECFSDTDNSAKWRCAS CVQNKLEEDSKDRAKSRRKSIASNIAKELLPAHADSLGPDSHSIFENPILDDDPLDGS RSLRKRKASIDEADNTRALSRKRRRTTNDLTDTSQAANEEASDQVVDPSNPSTRSSRR RQARRTQNALCSVVLRQHGRLVISFHLDHEKLNNILKARPRPRNQRRRPPPKPPAVPA EPPPPQFPPIISTPYTAPFYSFHEREDHELNSKPYGGILADADADTSKTVPQQADRDK FEAARQKAEEEWRQKVQVAEQNGEGTPRATQKLSGPPSKMKCISFGGYEIETWYAAPY PEEYSRNRVLYICEFCLKYMNSDFVAWRHKLKCPVKHPPGDEIYREGTISVFEVDGRK NPVYCQNLCLLAKLFLGSKTLYYDVEPFLFYVMTEYDELGFHFVGYFSKEKRPKRKVG SPEKPLSDMGLVSYRNYWHLILSYQLRDQKGPTSIAELSERTGMTPDDVVSGLEGLRA LVRDPITKTYALRLNYTYFEEVIQNWERKGYVQLNPDALVWTPYVMGRSNQSHYDRAP LHAVAPRDDHEEAIVDGDTIPNTYENRNGLLHVAENHGFGTNGQTHADSIPELEPPGP PSMEVMSFGGQSMKHSNGCSTPSVSSLSNVAANIPPTRFEIFPPVQAAVGKRRPGRPF GSYKKVRPGTAAATASPSRTSGRNTPRRNYNLALATPTPAVRASPGLRRGRSKLLDNV AHTDGPADEPVDDEAVLNTEELDASGPGPRGGDGRLDIDDQVDVNGNETQNSTWDRDS PNESNGIFVGGQLPEPGLATRIKDRKDTASEPDTSNATEQLTAEILAAADNAVEKAAP GIYPAHGIPSPQQNGIKGEPGLTTTSASTEG UREG_05259 MPLPFEALLPYGIIIGFFGVTGIGLSFSKRFANDGKRPRRGIDA WDRQSIPPISCVYTEPRISPMRVMLTIGSDGTGFTAYGILTRPDRFARSAAGFLVE UREG_05260 MSTTLPASHRPTLSRDQLLKYIANVYQCAHSEAESQLRELQELI RLDPLRGLTQLQQRHLASMAFSNLVLHYSQHHSISLDPDVLFHKLVERGLGGYCVENT GFFSSVLRTLGFKFYTSAGRVSKGFEQNVDTGEYNGWGHMIIIVTIGQDKYVVDVGFG PNGQTRPLLLKHGAISPRIAPAEARLVKESIAANTDSEQKPWIFQIRTHPQEKWKPAY CFYEIEFLPGDYEAMNFVTSQNPRSIFTKALICAKFLLNQAKDDIVGSVSIVRATVKR NLNGNVEILQSLRNEGERVDALKNLFNIHLQPLEIRGIRGKTTELEDTTAVAF UREG_05261 MQPPLWLNQRIWLNLIFAILSLGIVASPLGNTTSVLPHDKPNVP ALPLPPVPAPIGKNQSNVVPTVVQPSVPPHKSKASAFPLPSVVPYPPSVPPPVAPAPL PKNATLPKPAPPPVVPAPFPLPSVVENAPSVKPQFPPGISVPGSRKISQTPPSHLSTK VPIPSVIENTGSAKKPIPTVAPVPGGGSSMPSSPTNSPNRPTQGPPSSAIQGISSKGR DPSVTLVPGRETTVSKSPLNHPTKVPIPSVIENTGSARKPLPTVAPVPGGSSMPKSPT NRPAEGLPSSTAEGSSSKARVPSVAPVPGRETTISKSPSNQPTKGPIPSVIENTVSTR KPAPSVAPVPGGSSMPKSPTHRPAEGSPSSVIDGPSSKARASSVVPAPGHETTTPKPA PTAKSSTVRGIPSATTQTPTVVPVPKGSNSKSSTDHNPPTKVMQSSVVSSVTSIVNGT PSVIPVIIPVPLPSMSTPKPSGTPSPSKKGHDDKKEDEKKKEDEKKKEDEKKKEDEKK KEDEKKEEDEKKKEDEKKKDGGGIKPPKIEPPDIDPPTIFPPPKIDPPKINPPKPPKI SPPKINPPKPPKISPPKPPKVNPPNIFPPIIPPPGDKKSEDKKPTRSKTPSVTTRMSS TRSTITTSHATTTVTTTEQTTTSETSSESSAMVCATPAPSKPQGASCKRRIQAPKCVP QCSECMSTKIPPPPGISRRDLEKFGNFSALQDDYTEFGELERRRLPTPADYQNNLNVF FFRELSSAIKVEKGFWILRGAAQARSQPSGVQKELGREKFNAGIVNLRGCTSVLVASS RGIWWAHFWETPGFRMQTIMSGYQFREEIFKVEVLDVFDKGCDYHHSDGRHRFSGLKC HVGGTQQEPKWFDRASTPVAFIMTPQDHEDPNKLLYEPEVKRISDKLREVLGDIPITT IKHKAPVLGDAPSFQLPPGAYLPYGKALFQYDPQHELRTCEYWAASRLYAEENPLPVH RVSWPALDSQIGNQPQNQKRALPKLPASCSISLRPKPTTLSKSESGKSTKTRSMSGKA TGKTETRPATTTKKTEEPSKSKDEKSKKVASTESTANSVKPTGKTSTPAVTRTKSAKP TGLTSTKKGLSVTTKESSKPTVTTSKPPTTSHANIVTLTTVVWVRPTVSKPQTTFKPP APFEPGRSPEARCKVIFPKNVYLLEGIRNWGTKDGKKLHDALVKACGLESYGWKRTKD TYAVKLRVDPDKAPACVNEAIAEAGGPKSLCPK UREG_05262 MKLLTLATLLASATATIVYPYESSSCRGSSVGRISACGCTNMGA NYDIRAVKLNFQQATASFYKEKNCVGVRFSKASDQSCAKPPVGWGTIGSRGLQGILAD ITPRRYDTTVFELWVDQNREMEMIAV UREG_05263 MDRARDHGLEDDPSSWANLGQGAPDADDDIDGSFTRPSSVSISS SGREYGPTAGIKPLREAVAKLYNSLHRQGKQEYTWENVCIVPGGRAGLIRIAAVLGTA YLAFPIPDYSAYSEMVSLFKNIHADKIAEEISRGTSAILTSNPRNPTGHVIPQSEMAK IQDICRGRATLILDEFYSGYNYTTDCDGNTSSAAQNVVNVNEDDVLLLDGLTKCFRLP GWRIAWVVGPKAFISALSASGSYLDGGANVPFQEAAVSMLEPTLVRAEMKALQTHFRK KRDYVLDRLRNIGFQIRVVPEATFYIWLDLTSLAPPLPESAKISDGLNFFNALLKEKV IVVPGIFFDLNPAKRRDLFDSPCHHFVRVSYGPKMSVLEKGLDGIERVIKRARAGDLA S UREG_05264 MANVTEILSLQRPGSYKIQIFWKGDPGVVDNFSIRYRDEETMRK WYKDIDNQRALQVEERNALRTGTSETEFTYLKNAPKMQNPYAEEYNADEDLAPGVSEL SMSRNASSTSLRARSGTGGSGGSGPPATRPPRFPMPEPPLALHTQFSPGALSPGERLG GSYFSPVGEMPSSTRSSSSATFSYSRQGTPSNQWNEDNNRYTAPAMPRAGSRDGPTSS PYYSNPPRVAQRPSLPPLSQNSQNPAQSRMRSASSPDIHNHNLADSTRRYMNGHTMQT VDNVPVPPIPANIALMRAPINRSQSSSPGSMPPPQSLRPGFSEPQYMQSREAPRAQPS LSSNPSFSSTTSLNPENENIMPAQLKAKVNFDDNYVTLVIASNIHYRSLTDRVDAKLA RFTTRSIGGKSARLRYQDEDGDFVTIDSDEAVQLAIMEWREQHQNMLANGQVGEIQLF CQPIEN UREG_05265 MDSAISPGHVMAEDNIINRRGGESLYQSCANLKKRLAEVPGFEP HLAEMEALDKAQEVSDPVASVWGCLQNGYPLMSIFNATQPAEPLTLDESKVQEHRRPK AAAFKFLQACLQDLEFPQQECFLITDLYGESTTGFVKVIKMVNRVLDILEMQGQLYKP SNTNSGPVERERTKLTHREHILKEMLETERDYVHHLQNLQALKKELEETGALNGDSSH QIFLNLNNLLDFAQRFLIRMEQHNALPEESQNWGELFINYQEGFRQYEPFIANQLRCD AVCLREWDKIHAAPRSPDLQQMVGQLSTLNGFFVKPFQRLTKYPLMLQELKKQTDQPE LSANIATAIDIIQLVLDRANSAIGKEHLVAAVLDLTTRVDDWKSLRVEAFGELLRFGT FTVLKGDTGKDTEREVRIVFNTFASKVSGHGESAANCPTTGVTTKDLFGPIFAISDNC ACKKPGAQVIYPRSGDSLGSCLRDYIPGENQDRKALYPKKGQISRITVRSTAPTKVCW RT UREG_05266 MSGSSDRNVIIWRFSTGEKLHELTHAHLDSVLSLRFDKRYLVTC SKDKLIKIWNRRELRASDEDYPTYFNGVGVRYPSYIVDTSSMPPSALEAQIANEQIKT LLPYSLLMTLDGHAAAVNSIQINDNEIVSASGDRLIKVWDIRSGTCLKTLVGHQKGIA CVQFDSQRIISGSNDFTVRIYDRISGAEVSCLPAHDDLVRTLQAGFGDPPGSEETLRL EAMAVDHEYYEARRRGDVHHEPTRQRFNRMRSTGSRRPQDIKALGAKIPPGGGGSRWA RIVSGSYDESIIIWRRDKKGEWVVGQRLRQTDAARAASVIDESLATEFLTNAIGPSIQ SGQRTPQLASEDNSPFNMPPQAVNAVAATAGTPAAQASLPASMPLPSPNAFASLQSQR QQQQPQSQNQQPPQTSSHIQLAPILASSQNQTLPSTATHPQPTLLQPQPQQPNFQPQP QPPALHPPIGPLPAAETIVRPHHAAANTRIFKLQFDARKLICASQDHIIVGWDFANGD KYLEEASRFFVGL UREG_05267 MNIPSYRSSLSSYPDPQNFSSSIAPMPPPPPSKALLEGYRNVLD SNEDEAPRYNPLNYSHPRSSVLLNASDPVAMHLLAETALTDSMQFEVLSFEEVEELKK ELLLLSNRIEASKRKLTLEMKLQEAAFSLSKLYDSKSSRRGSSDTSPESSPKSHRRRI SFFGRHSFQNRSDEELSLSVRRCEDIAQELWKLERRASEIRRRILEHTAGVLQMTHKG LKKKGAIRQERNSDMAEFDERSLYRTPEYLDDFNRGHTRRDFPTVIAVERNSVSLVAL HDAKRRLDESNSRLRNMILRANPDQDVDYIQENSNGAPADPIIAVQTSLDTLDRGLES MAIHQDNMPQETGGLASEAERRLDKINKRLDTILIAAGSTRPQLTPRTSTFTKSLKEQ LDYLDQVVEDADKRVENLTDQKTILTTQIQQQRELNCKSDAERDAHIADLTEEIVILR KDLDRSRKETSALKQELDDARQESFVREQGQFAGESSTILAEKEARQEAEMRLAMKEN EIANLENSLHKFTQELEDREQILASKENQIASFEEYRNRVKDDLDAAEREQAAKEDQI ASLQSTIQQLRSEKELEMENARQAGGDSRQELQKLQSDYAELESELVRIQTELTMARA ELDGAYGSRAERAAQVAANPAIQKEMDELKQRNMSLTEELARC UREG_05268 MSSKKSSLLYPWRVEKRKLQIYVMEKTTIPIPRIHSYSITGDNI LGLPFLIIEYIDGNTLYSIKLSALERKKRRHLYAQISDIYIQLYRQKFDRIGALTLTE NGNWTFANNCPLTIDVNEQEISGLDTSQFLVPGQTVS UREG_05269 MTQLNTDSGLSEPKKIALGILNIIDYDVGHLIGSTDIDQPQNAM SLTHTFHVAFGNFDVFLEAVAGQQHTYHIKSLNPVKARLLRLPLTRKLLLSKSQLIEP PSPRLLALHRAIAHILHLSGAGEYIDKILRDFEEAGVQEDGTTELSRLVNWKATGWLD GSVEV UREG_05270 MGSQRRQTFLEGLINFPEAAPLTTGQRSQAKEGFERIIEYFGNN SSSIGLPQQI UREG_05271 MDPDRTSNVECNPAAHDAISLKGSVRAPDRSTTSGASFVHDEGG YKVYKRRFFGLAQLVLLNIVVSWDWLTFSAVSKTSSQYFRVSEGAINWLSTGFLFAFA VASPAVIWTLNKGGPKPSIVACAALLLIGNWIRYAGTKAQDGIFGVVMLGQIIVGFAQ PFVLTAPTRYSDAWFSDRGRTSATAVATLANPLGGALGQLIGPMWATKPSEVPNMVLY VSIILLCTKESRQSTVACVPSFFIPSLPPTPPSAAFNVTRIPLTQSLVKVFRTPEFWL IFFPFGIYVGLFNSISSLLNQILEPHNFSETDAGITGALLIFVGLAAAAICSPITDKH KHHLGTVKFLMPIVAATYIGFIFAPESPSVAAPFVVAALLGASSFAILPVILEYLVEI TYPISPEIPSTLCWVGGQIFGAAFILVENALKAGPDADPPRNMKRALIFQAVMAAVVV PAPLCLGLFGREVRKRRLEAELLDRGRLDDEENVTTTAAVEDGSRSKAFGVFPKWLK UREG_05272 MGLSELFADFVSAVGFTEVQAEAPPAEEETPTPAPEAEEEAEEA PAEEEQEEEEEEEEEEEPEDIQPQLEQECANSKQCAPYKHHFDECVERVTRNSEDPDF KGPHEDCVEEFFHLQHCATQCAAPKLWAALK UREG_05273 MSINWVMLHETDGFVRLPNERVIYTSPPRTSLSLRNLNPRPDDE SLAIQSSTGRVHLTNQRIVYLPSSPTPEFQSFSAPLLNIHDTHVSAPFFGPNVWTSVI QPVVGGGIPPSNIAVQLKMTFKDGGAFDFHSAFERIKERLQQVVEQARETGLISGDSR PQRAGNYNGVDFADVHLEELPAYAPPKPQSAAQSNPETAEPLMASSREEFEPPAEPPP GYEEAQQQGVANEFEDRLRRAQ UREG_05274 MAKAPTYRPMVGWVYDTMLWLFSVLVDLFFREVHPRGSWKVPKR GAVILVAAPHANQFVDSLILMRVMLRELQRRVAFLIAEKSFKRRFIGLLARTAGAVPV SRAMDNLKPGQGTIYLPDPVNNPTLLRGIGTNFEDPQFQVGGTITLPTVNGKAASTDI REIHGPEELILKKPFMTKDALYQLTGRSDISPDGRFTGDADANVSDFQGTKFKVAPHI DQTQVYRAVFDTLNHGGCIGIFPEGGSHDRPDLLPLKAGVALMALGALAENPDCSVKI VPCGMNYFHAHKFRSRAVIEFGNPIEVPRELVQMYREGHRREAVGTLLNTIYQALVSV TVTSTDYETLMLIQAARRLYNTGKKLPLPVVVELNRRLVKGYEHYKNDPRIIQAKKAV MDYNKQLWLLGIRDHQVEYAKFSITKVISTLVYRLLKLAVMTIGTLPGLVLFAPVFVA TKTISIKKSREALAASSVKIQGRDVMATWKLLVALAIAPLTYAFYTVVLTYWTYHNRV QGYVPGWVPLWMVVLFSVIVFPSITFAALRIGETGMDILKSLRPLVLSLNPTSANTLY KLRARRAELSEMVTHLINTFGPELYPDFDATRNRCGPIQGRPFIQMSIWQKPKPKLTE HHDQLPRNESFHDLARIGFFSTRPPSRDGGHSRSSSSGGLVRSSAMKPFTMLDSKESF DEVTKRIRGAMRERGRRRRKSEDSAWSMASSGSMSPVRMETKKQSLVICVLIRIATTC LPLTVPVLRLVVVSG UREG_05275 MSSSMHLSKMRKWFASSPPVEWGIGYLRELLIGAIKQGPIPQHV AFVMDGNRRFARNHAIERVEGHNLGFEALAKILEVCYKAGVKVVTIYAFSIENFKRSK FEVDALMEMAKVKLSQLSQHGDLLDRYGASVRVLGRRELVKADVLEAIDRAVEVTSRN GDAILNICFPYTSRDEITSAIRNTVIDYSTPLDRSSSTGAKRQFSKSRIAQTIREQTP SAEPNYTEMNLTGEEADAVAQDAESPSSFSSTTTLQQLPTVTKPATVSGPYTSYPPNS DQLIFLSPETISTQTLEEHMLTAGSPPLDVLVRTPGVERLSDFMLWQCSPGHRDHIFR IRFGRHSDSWGFPTSHLGSGNGAFRKQGRRLGDDNFSEIIYPFRYRRNLAMLTHLSVA VKIRARGNYQQSGGQLDLQFSTSSIQLLFNIVTVLSPTAGSIANKTCLSLTKVARCCF TKPTVEILSDAGIQVTVACRTLESAKKLCQGIKNTNPISLDVNNSEALDAELSKNDLV VSLIPYTYHATVIKGAIRTKKNVVTTSYVSPAMLELEKEAKEAGITVMNEIGLDPGID HLYAVKTISEVGSFRKVHAAGGKITSFLSYCGGLPAPECSNNPLGYKFSWSSRGVLLA LRNDAKYYKDGKIEAVSGPELMGTAKPYFIYPGFAFVAYPNRDSTMYKERYNIPEAQT VIRGTLRFQGFPEMIHVLVDIGFLSDEPRDFLKSPIPWEGSHQANSRCYIHRREGSCM GHLLQDSIPIHRGEKPHPCRSSLDWPILR UREG_05276 MAAQIPDTTRAVFHNPQEDSLTLITRPPPTPNLAADEHLLRVHT TAPCAGELTWWTFGIPKPPTDHIPGQDVAGTIVLAPPTSPFQPGAAVYARIPWTRQGA AQEYTIVLGSEIAPTPKTLDFAHAATVPMSALTAWQMVFDKAGFAGPEDGAISGRSLV VTAASGSVGMWCVQLARVAGFERIVGTYGGDAEAGELLRELGATELVDYKKSSLAEWA SQDPGRRKVDLVADCFGKGALADAWSVVKDGGRVVSVCEPPETRRPADCQAKNVTYEW FIMDAARGKDLARVTELLESGKCRAILDSVYKFDDFKQAFERVEGRHARGKVVIQVLQ UREG_05277 MSTHLNRSQMDQPLYISTVDAEPLHRYRQGGYHPVTLGESLKAG REETYVAVKISVAETEYDGDTRELQTMKVLASHHPHPKHTVHMLDDFDIKGPNGSHKC LVYELLGPNVPDAIDAHFPDGRLPGKLAKVIAKQCLIGLDSLHQQKIGHGDLHTRNLA FSMPYIDDLTEERFTEMLGKPEIGYVKRRDGKCLEPGMPEYIVKPTSYRTLSRNLVQT VKIIDFGESFLRTAVPETLHTPLSLRAPEVIFQDRIDYRVDLWSMGCMLFELFVGQPP FDTFLITPTILVGQMRETTTDDLPERWQEKWNTMNAGDGMTTESSGSNLQEWLEEVYF DSPLSPDLTREDIVRLGQIVGRLLHFEPSARASARQVLNDPWFNE UREG_05278 MMYSVLKALCAIPLLCSVAGSAKTSFALQQEETLDQWLEAEGTF ALQAILDNIGPNGAKVRGAHAGIVVASPSKSNPDYFYTWTRDAALVFKQLVDTFIAGN YDLQDKIHEFITAQAELQTVSNPSGTLSTGGLGEPKFHVNQTAFTGDWGRPQHDGPPL RATAMITYAKWLVDNGHVDAARSIVWPVVQNDLAYVGQYWNSTGFDLWEEVKGSSFFT AIAQHRALVEGGNLARQLGVDCSQCESQAPQVLCFLQSFWSGTNIIANFGGDRSGLDT NSILGIIHNFDPEADCDDNTFQPCSSRALANHKRVTDSFRSIYPINSRIPSDQAVAVG RYAEDVYYGGQPWYLATFAAAEMLYNAIYQWNRTGEMSITDVSLPFFKGVYSSAQVGK YSASSRAFSDIMANVTKYADGYLNIARRYTPCSGALAEQFSKADGRPLSASDLTWSYA ALLTAKERRNAIVPGPWGQKSAHDVPTTCSASPAPGRYQTATITGWPSTLTPVPTSPT PCPAPNRVKVTFQSITETKWGENIFIVGSVAELGSWNPEAATPLRADKYEDSCHMWYA QVDLPAGARVEYKYIRKSGDGKVVWESDPNRSYKIPKKCGVSSLTLKSSWR UREG_05279 MVLHNPNNWHWVNKDVSGWARDYLQEKLTVISAEENGVTAKISK VVSMDGDVDVSQRKGKVITLFDVKLQLDFEGSTSEDENVTGSIKIPEVAHDTEEDEYV FDISLYSESASKQPVKDLVRSKLVPQLRTELVKLAPALIAEHGKDIQHAPGSNPGSGF ATPKYLSNNSPSTPQPTAQTTTAATRKQAVNTVTVTASDEFRTTAEEMYTTFTDPQRL AIFTRAAPRRFDGAEVGGQFSIFDGNVSGEFVKLEKPTLIVQKWRLAQWPEGHYSTQE IHFDQNDVDCVTSLRVKWDGVPIGQEDVVQRNWEVYYVRSIKQAFGFGTIL UREG_05280 MSSRGRPASRWWESQTGSECGGSGQGMRRSKRESKYMGLHLREV MEQDCGTSPVADNPALYTNNPFLVYGPNEYPPEKVGWHEQLPATQAGVRRASSNREAQ KLDISRLVTLPPPYPRHYPGVNNNHPDLGFYRTTVRSVTELSELRETYQNHEMKFQKL REDYQRRIKEERQDFRLRVNQAIEEGTITYAEAAEAEAGRRAKENDREKQLAQKEFDS YQEEVLRPMQSVLKDRINVVSACINELQEKLFDSAENQTPNQAQEEGDERPELLEKLT QLKWLFEAREQLYREEYDLLSKCNEKFRTVVTLPYQQSKNIEKIKETDNFFIRDAQTR QANFASETLMRFENIMTVIESNVSRGVETQLSAFWDIAPSILTVLQKIPEDLRGFTVM IPQKEYDENPSYYQNPLQYLYSLLSHAEKATYQFIESQTNLLCLLHEVQSGLTHSSCK SMEIQRINSGEPAEAVKAEMQESLSEEERVLTADLKDKVGMVEDQWREALGSQLQSVK QRVQQRLMEEGGWDEVLQMEQT UREG_05281 MNNNPLSPGTGAFDQSGVPELQVRQGGSLNTSPPRRRGNVAQPP ALVTSLSGLQFQSLNVPASSGGYLTTPTTMNSPFFSSGPLSGMTSPLSARPTPYNTAY NPQEWGPIAPGSSPVGIGVITPGNNATHRPLVNRADAASPPPPYSPPRSQNPASSNSP QLRMSSPNTSGLSPPMVHPRDLSPIGRARPPPPPPPPPPPLAPVNQYSTPRPRPASMI SSSGLDAQNQQPVFMSPTQSSGAPHSSMRTDNSPLNTFAGSSSRHGMQSTVVSPGRVS PEAYHDLQDLRSIPPAARRAASTGDINPSTRTTFSPDGSITSGGWEPGMPLPPPPPGP PPRASPRARQSSLEPLPSTSGVGRNRPQPPSTGTALGSVPPTPAVLISDIIGRLRANR AHFSSAYPAGFQQTRQSQGIKRASH UREG_05282 MVVEEENLVDFEKIESFLFGVAPSSILPDSINGDNNDERAGHGF KIVESMVDVYQNLRRWLICQPDAHARYAVRAPITAMTHHENIDSAVELRSNSASAWGP GAVLLKMDTHG UREG_05283 MAEANGNGSSAVGHESINTDIVTLTRFLTEEQTKHPEATGDFTL LCHALQFSFKSIAYYIRRASLINLTGLAGSSNTTGDDQKKLDVIGNDLFVASMREEED EAIIFNECKNARYAVVCDPIDGSSNLDAGVSVGTIFGIFKLSDEVLAENYTVSAKDIL KPGSELVASGFTMYGASAQLVITMRGGTVNGFTLENSLGEFILTHPNMRLPAKRAIYS VNEGNSMYWEEWVKQYFHDLKFPPSGGKPHSSRYIGSMVADAYRTLLYGGIFAYPADS KSPKGKLRILYECAPMAMIFENAGGQAINSQMQRLLEIIPESIHDRSGIFMGSYDEVQ KVIDTHKKFAN UREG_05284 MKLALLATLAALSGALADRVEVWENMNYRGRQSSYVSPSRPTDF FNLFRFQNATPFKPKLTSKGSVLGNQRSHERPLPVPILLLDPITRQQLLRGVLQREIC RPEFGPGADRVIIRCGGSENRLQK UREG_05285 MGPSQDTLLRSADMSLTQLYIANEIGREVVSALGELGMVQFRDL NADTTAFQRTFTNEIRRLDNVERQLRYFQAQMEKESIEMRPSSEFANTLAAPMASEID ELAQRSESLEQRIFSLNESYEVLKRREVELIEWRWVLREAGGFFDRAHGHTDEIRQSL DNDEAPLLRDVEHQHPSRRQTDDVQHQPSFSVMDIGFVSGVIPRDRIGAFERILWRTL RGNLYMNQSEIPQPIIDPSNNEGIQKNVFVIFAHGKEIIAKIRKIAESLGANLYSVDE NSELRRDQIHDANTRLSDVESVLRNTKVTLDAELSQIARSLAAWMIVVRKEKAVYHTL NQFSYDQARKTLIAEACHLDSLRKEIIQGLIYNDIFSRSLEIFPSAWQWPQDVQLGET VSATLKGNYRYPFGLDWGWHASSNNLLFTNSFKMKLAILLGWSHMTYSICLSFLNARH FKKPIEIWGNFVPGMIFFQSIFGYLVFSIIYKWLVDWPARGQSPPSLLNMLIFMFLKP GSVDEPLYKGQAGVQVVLLLLAVIQIPILLFLKPCYLRWEHNRARALGYRGLGEISRV SATDEDEHEPHGSTRHSMTSDAEGIGMITQDVGEEEHEEFDFGDEMIHQIIHTIEFCL NCVSHTASYLRLWALSLAHQQLSIVLWKMTLNNGFSAESSTTRVILIIVTFYVWFTLT VAVLCVIEGTSAMLHSLRLHWVEAMSKHFIGDGIPFTPFSFKILLQENPVD UREG_05286 MSVLGVCLSADHSFSKSAVPAITLLPGLGVKGDAHAGTTDQHLP RKHINPPPPNLRQVHLMQSEILSAASSDAAALKPGDVGENITTTGIDLLSLSKGTKLR FVDESSQNAPDTTAVVTITGLRNPCPRIDKFRAGLKEKFVVRNEERKIVQRKAGIMGV VEVGGEYSTGFTIDPIEALIPVL UREG_05287 MNGLEDPSKKARNRPRTYPYFKYLPYELEDETQRQQNLDEILKY LYIAVQSGDFNPGAVHWTRELRSWLSLKFDPTREQRAKLVRLYYELSLAPGVDASISD RFASMFMTLTKRKHYLRPVKDITLDWKPLYREIKVFVLPSESELVHSTSSKRNIKTLT KLCSFAQLYFNPLEIPAMIEDFFPHFTTSFTEGAFVVVGLMNLFLPTSPGPKDRTDLY PQHYMPTYFHLWSTVNRSRAFDVTFLDLLSRLARDWLPAHHVSFSEFGIFTSEQTALI FTAILRILEIPVGQATSSYSGVVDLCAGLGVMLDRDPRGHPIAHHIARLIVMSLSPAC VDANDSILSRLEGLVEAVETFFHPSNAGTWSKILSQLINYLADFFVMRWNRERSGEIE VPAERKLDNAVKHRFVLCLREVTFMGIYSKSGTAMNYSLATLQSLAYLEPSLILPGAL QRIYPAMQGVVEVHRTTSSLRSLQVLSKIMIRTKGFRCHITTLLGLALPGIDANDLEK SLHSLAFIQSVCYNIPFEDLTQGREDVNGNMLAMQWITGELERMEEEGASVEMNYDTS LSDETEQMILRSSTTGFGEFLISFLGRVFTLLENLPDAARVRSGSPEENVLNTLPATF MPLLASLSSELYDVALHKIVDFVAEHVIHQARDAVAFICNALCKVNPEKALKRFIPLL IRSIRTEIDENGAASTRTTGSDVLPRDRGLVWNVSMLSMCVVHVGGEILKYKQELIHI ATYMQKKCKGIPTIHISNFIHHLLLNLTATCTVDHALFEPSIIENGVQVEQWGQRQDP KDLTIKWHVPQREELEFAVELFTTQSENALEHLTNLTSDNPRIKRDGSGKDWSDEVTR NIILLRLILSGISVLFNNRAASKNKISDSDVDMTDADDSGELVQQDDPDSALDSSDEA TIRRTFSYPSGYSLTDDDPLCIALHETRDKIGQVLHNVHRFLVEKQEDDVSCFSPLYT AYRSWFMDVGIERTAHVLDRVTKLLSADSYPYKMSGVRKDYPRPLLVRRANVYHLQRL RHNATPRPRSKLDETLLLDLAESSVSLYTDIRRNAQLAGESALKAVWGSRLLVIPPLI QALQTALKDNDFPRIKGALYSLLFGSLAKTLGRHWKYTPSLIRAFIEASTVDKPSVQK ICNTGLYQIIEYGRDADRMAILDKDIVGAIAPTESVDDMIAKKRESLQKKRILIENKK ADLADELVNLARVSHWKKASRTAPIVIGMGLRFDNVASENLISLIINGAIDTHPGLRG MYSQTMVAIFTMIDVRAACSHTYEDYILGKQYYPAKIQVATKPDDPNWTRDFLASFAK PDAEYYVDHENPGWLVWDKTMTAYKPNVKCDIEYDELEWNVRKFMGALFDRKWFSEFF RYLKQEPRDMSADKFRMSSAMTLLYVFQLMTRDDLTKATFEDIKEEITAVFEDGSDKH QHRATAEILAGLIGSVVDSSVERRTKVWEYAFPIIQKIFSDGLTPENSSYWTQFIHMT FQCRDPRRAWPLIDWLASFRLDMSSNAAFKESSKINLLHQCILDAGWHFQLEKPIVED FLSHLDHPYKGVRDAVGRTLASIFRTRYHESFPNIDALIMSQKEASSVGSRAYQPTEQ FSAMIHDVFDRLEKWRHQRTPGQQTPSSYTSGSKTVLLWLDSSLSSYECTQLLPFFPQ LFTEQLLHMMDVKEDPELQSLAYHVFRHLPNIPHPAGEDSEFVDTLIRIGRTSQSWHQ RLRVMINMQIIYFRRLFLLSKFDREKLFDCVANMLEDPQHEVRAGASATLSGMIRCSP IRLRTDMVLKLRDRFTQSLVKHPLPKKRRIFTSGFSSATSTGTSTPTPEHTRLVITRH AAVLGLGALIQAFPYTSPPPAWMPDVLITLSTKAAGDPGIVGQSVKSIISEFKKTRQD TWHIDVKAFEPDQVEDLAGVLWKSYFA UREG_05288 MVCLVEDLTPAGHVDGSGPGTLGVPREPLDLAIAGIALSHICHY LSVLVLFALTKKVFDGTDKCARSLPVLAAALHIVCPAGAFLSAPYGEALFSLLNFLGF YIYVLALRDERGGFLFPRDVKFVTAGCIFAAATTVRSNGILSGLLFAYDALSSVIEIV QARSLKWSGIRRLISIVLGGSVILLGTTAPQYIAYSQYCQAASPPRSWCTNTFPSIYA WVQSHYWWALDPPTARGTHHEFTQACLARLALPPAMLAVLAITSYHVQIINRVASGYP VLYWWLASAFLGYGSKESYLLKPRHVLSGMVMYGIVQASLFASFLPPA UREG_05289 MLVNLGLTADAAAVHRHEFSTHQSERSTTPTLSQVSNSILALGF MSSGRRVRSPGTGASKPAPAGPVGLSSPLSSPLSSPRDSIEVERDQDDSGFDNCSEVE QDIPISPRRRNVRRSKTSFRFAHPPPSAHKRLRIRPRLLLQLQHVSQSSRPISALDVL PSCLFGTRAARKVSNPCRGKDRIGPNDLVIVPSDSYGPSEDEQRNSADIQREPVAVIS QPRKEESKAMADICIDQGGVWEVTSLSSNIYEFSHTDENGLRKSVRWVLRGKQNRNST GSIVGFEQNSKRFTFSVIDPTTRRHPVIAWMTRNGIDVLDQYSSTSGSIRSRSTSVSS PSFNSRRPDPVSENDMIETDDQLRTLIVVTGIWVALREGWSRYPLSSEARHSIPRSRS TTTSPTQLQFQVAEFDGSHSEIGLPTQERHRGSIQSTVQAARRASLSVRSRPVSPAHS SWGPVIKPEPARINPEKGPPKKWPKQHRRKRHCVLCAGRESPSPPNSPNLPDIPIPSP TIRRSRRHATREPARVESNENDAGHPSVNAAPIASPRKAEAATRRKWCGLGFWIGTKE RNKAR UREG_05290 MATPKVQTSTPDAFQQALVRNGEWATKTVEEHPLLFPKLASGQH PEILWIGCADSRCPETTVLGLQPGDVFVHRNIANVIQYNDLSCASVVEFAVMYLKVKH IILCGHTSCGGVAAALANKKLGLLDTWLMPLRRLREQNLELFKNLDAKEAAVKLAEIN VHNGLRVLKENSAVLDAIQERGLKLHGLIYDVGSGKLRELDTEEPLDVISKRLTAFRT VTG UREG_05291 MALCLRDAEVIIGAEEMSPGGRVMVGDMRRYASAFVDAVKEIGS LDNICYARVRDIVGQNSTFVSQSGTFPKRFHDYSTRDVMGMNDAMNDIYEQALENELG FSATFDLMTTWRHLGRLGSHDLSAMREALGGMPVKVLGASLCSAAGPPFCNAIFQFPN FSVSYESGVDFIPRCDASIEIFSKSKSIKICYDTPYIKGVPVTLHVKEASPDGSYREC MIRKTYEDPFISMLKELYDCAVFEKEIKTTATDAKREIELFGMILRAAVQGQVT UREG_05292 MTGGLGTPAGNSAPRNYPLFSHKRPPTDSGLQGRKPLISTTHVA PPGQPKLGTGPPFKIMGDDTDTNWDQKIIQKSKALPSTLRRLHSSLAPLFLRPLPSAP QHCVRNPQPSPPTTTHFSTSTPSSENPNDVYTSPYKAKRLWPPDMSKLSSKQQFRLER KYRRRAKLKWARPTWKKWTKLVQWTSIGFVLIYSDFFMELKDGGVNPFIREFGYILFV RSRRTSVFMTVFRVLIPRTGELQ UREG_05293 MTPSKSGVSALTAKHPDDIVITCALRTAITKGGRGGFKDTAAAD LLAGVFKAVIDQSKIDPSLVEDIAVGSVLPPGGGATEFRAAALVAGFPEGTAVKALNR QCSSGLQAIVDIANELKAGVIDVGIGAGVESMSSQYGPGAVSEFSELLENHTEAANCK VPMGILSEDMAKDLKISRAEQDVFAASSYQKAEKAQKEGLFNEEIVPLSVKFTDPKTN EEKTIVVNKDDGVRAGMTPDSLAKIKPAFAKDGSIHAGNSSQISDGAAAVLLMKRSTA ERLGQKIIGKYVTASVVGVKPLLMGQGPWKAIPVALQKAGITKEDVDIYEINEAFASQ CLWCAKELGLPMEKINPKGGAIAFGHPLGCTGSRQVSTLLTELKRTNKKIGVTSMCVG TGMGMAAVWVAE UREG_05294 MVELSKEKVAKLQKYSACDFLSRLPWPVSPETPASIIAPISTVQ FISKSDALPQIAQDDPEHHGFPEGTHWVDHTQRDTIVLLDQPEDQKCAILGGIMSARM SAIGAKAALVNGRVRDMAELRAVGLPVRITIRVKE UREG_05295 MDKKDEEESVFVIDCPPNEAESSEDSSICALATISESSTIIPRR ISANIPIIVRNSASVRGCDENLDFSYKFRKESARCGGLIKPIPFR UREG_05296 MASLLRRGLDYLSPSASPAPSPQIPNFEAGDDPKPPKPIAQFTT ASTPTTLFTLVDKAVDGEDCDHDCASCTIKYPAKFSIDYDDELYGHVKGWMTHILVAT GKTDWVRDVADEKGSVMEAIGKGGISPSSGPMKLSASNMPVPDEYYHHPEGEQPTTVL LLPAFTIIDHVTPALVPDLIKHFVDLAPTTTSPLTGTPQKAEREEPTELAIPDSNAAD PSSLDLTQLTQSLPTSLHSRPCPHAAVILLCSQKTRDARCGQSAPLLRREFERHLRPL VYRRRDFEWFKRQNHDSEKECEASKTGTGQNEGAAQGIWLARVRPEDCEGIVKFTVLQ GKVVKPGLQLRGGFDREKGLISW UREG_05297 MARTRSFPCPWATCKKVFNRKSDLCRHHRIHTNDRPYHCEFPNC TKSFIQRSALTVHSRTHTGEKPHVCDFANCKKAFSDSSSLARHRRIHTGKRPYKCLEP TCERSFCRKTTLTKHQHRSHQLELSPQAHGTPQHMPQEIYSHPPPQPPALLPPTTHVA GPPPPYQQPRVMISAAEFYPSQTQPVVHAISVAPENPPMEPEVHYVGAGMIMQPQIQR FDVTAPIDPISRFQHMPQNIAPHFEALPVSYNGVFPLDKLSGPRILDQFAERGSLDFL GLEG UREG_05298 MTVRIRPCFLAIPAVGQAEEPVWDPSRTSPKRAPSPQSQRRGRL VEPMAVPRGSPLYHMVTESAAHILLIRMGEETYVKSGTPPPLFTLHSALCRWHPDLVE ITLVPRAQKNPHGRQVVILPTQRIQRRRSLHTSTNVLGFTDSQ UREG_05299 MEVHLYYNHGEEEEKKKKTKKSGDVRAHRKHPPKHHGGLSTCRR LIDEFLRTRPKNQSLTLIFTTRSTRKSNETLAKLQKHLRSASRDDLDRITLKPEHVDL CDLHSVRALSRRLLASLPKLDALVLNAGIAGFTGLNWFRAVYMILTDLVHAVTYPSSY CLSNTGTLVKKQTQLADEPPLGQLFCANVFGHYMLSHNLVPLLEKSNIPGRIIWTSSV EATREVFNVSDIQALKTRRAYESVKYLMALLALTSSLPSTSPWVDSFLSSNNNHVNGQ KSSLQNGSSTSTKPNIYVCHPAVCATSIVPLALPLYYAMLSVCWVARLLGSPWHVLSS YRGAAASVWLCLSPQSVIDTAEAAYTALGGGKVKWGASCDRWGRESVVCTEVEGWGYG GVVGPPQLDGDRARRRKRGATDLTADERVEFEEMGRKCWREMEELRVKWDELLDRAEE MRDKA UREG_05300 MKGTQPALILVGGFGTRLRPLTLTLPKPLVEFGNRPMILHQVES LAAAGVTDIVLAVNYRPDIMVSTLKKYEEEYNVKIEFSVESEPLGTAGPLKLAENILG KDDSPFFVLNSDVICEYPFQELAEFHKKHGNEGTIVVTKVEEPSKYGVVVHKPNHPSR IDRFVEKPVEFVGNRINAGIYILNPSVLNRIELRPTSIEQETFPAICKDGQLHSFDLE GFWMDVGQPKDFLTGTCLYLSSLTKRDSKLLSPSTAPYVHGGNVMVDPTAKIGKNCRI GPNVTIGPNVVVGDGVRLQRCVLLENSKVKDHAWVKSSIIGWNSSVGKWARLENVTVL GDDVTIGDEVYVNGGSILPHKSIKQNIDVPAIIM UREG_05301 MAHKLIVLTGLPPRSALNWDESELLQPPLPPFYQRRPSEERGSL VRWRSLAPVQAPVFKSTTEGASFFAMDTIQRYSGEPVSLDADETALSEFYDQSFALHE GIHRSFTSATKSFTDDSDLTGSFGDESHDDSTSKLPLSQHDGTSPDVQRVQGHLSDVE DIPSATYLQSVAPRTVLVNLIVAIISIPPRRRVRTRWGREMDVVELLVGDETKSGFRV SCWVPPSNEHAMVVPSKSLEESLKALQLRDVILLRSIALASFRGQVYGQSLRQNMTKI DVLDREIISSTGIDESTAGGLGEAKRSHPQAMKVRRVRRWIRNFVTPGAASNENANGS LLIPRPAGTMLLPPDSQ UREG_05302 MAFQTPVSLPNVASVTSELWPSVSNAVSAPWRCLVRPSSPRSPR AQNHRESDSTFHNERSSDVRGIYPFGLCRKPTHNRFQARSRSSLVESLGRIPVDTLRL AAEQNLAIRQVSSSNLLSQVTPRRMWTVQAFANPRNPTSTRHYSTLSSFQLNRPSPIP KLKDFSRSQQQRFLFGGPSQNTLRYIEQNANNNPTSAVSQNAFYSALLRAKMPAILIE RYQSGSFASNAQSAQLYMKALQQMGAPSGAVQGQSQVTSHENLNPDQVQAIGQAVAAR THGGQVGMATKPNGTGAKDAPIYVVVEESTGSQVFRWIKFFLYFGFICYFSLVMVSFL VETTGILKNVRGTQNNQAQPQHQKVRFSDVHGCDEAKDELQELVEFLSNPERFSSLGG KLPKGVLLVGPPGTGKTLLARAVAGEAGVPFFYMSGSEFDEIYVGVGAKRVRELFNQA RGKAPAIIFIDELDAIGAKRNERDAAYVKQTLNQLLTELDGFSQSSGVIILAATNYPQ LLDKALTRPGRFDRKVVVGLPDVRGRVDILKHHMKNVQISTDVDAAVIARGTSGFSGA DLENLVNQAAVHASRHKKQKVGPLDFDWAKDKIIMGAEARSRVLRDEEKLLTAYHEAG HALVAYFNPAAMPLYKITIVPRGMSLGVTHFLPEMDIYSKNYTEYLADIDVSMGGKAA EELVFGPENVTSGSAADLRNATETAFSMVTQMGYSKKLGNVDLSFNYDALSSETKQEI EAEVRRIVDEASSRAKVILKERRKELELVSKALLEYETLTKEEMEKVIRGEKLDKLEA SPKAPIILPEGLITPGLSPPAGEKAASK UREG_05303 MAAFVLDFGVVAHFRHFNLEYQRLIKGVESSFEDRSIHFRHPRH NSTPVGTGSIFQLDNGAEAQMAQFPGK UREG_05304 MGDLGMTEATAIPVFNQVGRDIDCSKASQPAPARGPFLADTTKP QRRFVGAIDQGTTSTRFIIFDNKGTLVASYQTELRRLHKYPGWHEQDPREIVSSVESC IAQAKKTFVNLGHSVSDIQALGITNQRETTVVWDWETGEPLHSAIAWPDTRTTSLVRE LKAKEGADQLQEKCGLPLSTYPSSVKLAWLLRNSKEVKEAYDAGRLAFGTVDTWLLYN LNGGKKKNVFVTDITNASRTMFANLHTLQYDDWLLKFFDLDKSKLKLPKIVPSSDASA FGSLADGPLQGIRITSCLGDQSASLVGHGALTPGRAKNTYGTGCFLLYNVGETPVISK HGLLATVAFQLSADQKPVYALEGSVAVAGSGVSFLMNNLDFFRDSRKVDEEAATVPDN GGCIFVTAFSGLFAPYWVDDAKGTIFGITHHTQKGHITRATLEAVCFQTKAILEAMER DSGQKLSDLAVDGGLSNSDICMQSQANIIRIPVERPPMSEVTALGAAIAAGLAVGVWR DLDELEGMNKSNRTVFEAQITEAESARMYRQWSKAVKMSQGWLETEEINAI UREG_05305 MFYRAAAARSLFRASPSAVNASITRSVLTNTVFKSQFTVSARQS PFARPSTLALATRKPVTTALVRYASTSDKKLGTELVEDPDIDMMAGVKNDAKIIKETF SLKDVPKEALYLGLAGVMPYLATSLQTVYLSWEMNNALTTGSGKFISGESAELLMNVI EPLQIGYGAVILSFLGAVHWGLEWAGYGGKVGYKRYATGVIAPAVAWPTLLLPAEYAL ITQFCAFTFLYYSDARAAVKGWTPPWYHMYRFVLTFVVGASIVASLIGREQLASHYDS KHGVADKVKALRAVQWKNEAEAAKEAESKEQ UREG_05306 MSFLEHLTPTPTSFTPMPAPVETKLQYFKMLEGLVTNLLNRFLG MYVKNFDATQLNVGIWSGDVKLRNLELRRDALDQLHLPLNVIEGHLGQLTLSIPWSNL RGKPVKIEIEDVFLLAAPKEDASYDPVEEKRRAHELKMEKLESAELLKDQRSESMSKE EQMKNQSFMQSLVTAIIDNIQVVIKNVHFRYEDSIAVPGHPFAAGITLKELSAVSTDS EWRPTFIQSTSETSHKLAVLGALATYWNTDAELFSQGSSVNGEAVPVSQEELVDKFRK GIESHDNGQYILKPVSGKAGLEMDKTLSTDRPRAKAKLIFDELGFVVDDHQYRDALML VDLFHSFIRHREYKQLQPKCTPKEDPRAWFQFAGNAVLGKIHERNRRWTWDYIRERRD DRKRYIDLYKKQKRNITLSADETSDLKRLEEKSSYEDLRFWRSLGRHQLKKEHVVAPK QPAQQTWSEWIWGSKKQETDETTMTEEQRKELYDAIDWDEKKAITQSIDVPRDSVKLL IESSLRAGSFTLNRNEGGKVEEVLKLVFDNFKAKALQRPDSFLAQINLGGLRLFDSTT EGTLFPQIIRVKDAVTQGDLDSPDLESLGSESEDVDLEDSLFYLQFEKNPLDGSADSA LTMKLKSIEVIYNSRVLVEIVNFFRPPERHMESIGALLETAGATVEEIRQQTRAGLEF ALEEHKTINAQLDIQAPVIIIPESITSESSTCLILDAGNVRVRSELVNKETMKMIQGK QGVEYSEKDYLQLENLMYDRFLVKLYSTQILIGPGIEATQSQLAPGAESKNFHIMDRI NIDFVFELCIVPKTTDLARTRLSGRLPELHVSMSDTKYKNLMKLIDIAIPHFGDDART DSANAESEERSYESPAPDARARSSSIQLATKELPIIEHDSESDVEDTDTKEIQKSTKK PINLHQRQFEFKFTVDKLRGSLYRSDPRDEVKDKLLVELVAEHFQLDYYLRAYDMIAE IVLKSLAVDDHIEQDAPPEFKQIISSKGFNATEGRNLFELKFIRVNPDSPEFVKVYEG TEMNLDLSISTINLIVTRRTLLTLLDFILITFTNPGQQNESQTVAQRQAEPSKEGAQL TAGPGKIRIKSRLETISLILNEDGVRLATLSLNTADVSIFVAGEALAVKARIGSLTMF NDIGETTTRLLSIEGDDFADFRYQTFDPKQDDYPGYNSEVMLRSGSIKINFIEEPYRR IINFLVKFGKMQAIFNAARQAAANQASQIQETASLMRFDIIVMTPILVFPRITDDDQP KDFVTAHLGEIYANNKFERLTEGDTAGNLNCISAGVRHIRLTSNFYYSPERSEELEMI EKVDLDFNIQYLAHQAHISRPDIKVEGLMSPMNLRISQTQLKFLIELSKTLPAALTPD QDQQEQEAAQALPGLTGSEVEATEQPTQPEESQSVSHMAPEIKPEPENWVQLDFTFKI DTVGLELILAKENEPVGSLDEASLSKFFLSNTNVKLQMLSDGSLESELLIHSFNVRDS RKNETNKYRRIMSLINTDVQQQFMASLSMSGGAERKIIAMLTIDSPRVIFALDYLFAL QSFATAAFQPELEPAEELSELSDEESQDASNNSGTVAILVANPAISNSEAIVLGAKQM LLSQQNASTLQISKIGMFLCRMDKFETSRLRILDDFTLELSMDSRSKGRGCTMTRIDV HVDPLILRLSPRDIVLAIQIMNKASEMTTSPSPPEHSKATPKDNSSQTTRRRSRGLSL SGGQLALRPSTTPTPTVQQSAIMRKEEMSIQFDGVRVILIGDLHMLPLVDWRVKKFVV DVRDWSGNMSADMTFDTLVNVYNFSKSAWEPLIEPWQLGFHMSKELAPTVLSVEAYSH KTMELTVTSATIALASKTLQSISTTEDVLSKPRTADAPYRIRNQTGFDIRVWADLGNG EEGPAALLSDGEEHPWRFEDPTTMRESLAPEGSVGLVGIRLEDSGFDSIDRIPLIREG ETLYNLKPKQDKILHRLLVEISLGSNYVKYITFRSPLLVENKTQIPLEIGVFSPEEGH LLKIEKILPGDSRPAPVGAAFMRSLLVRPDQGFGYDWSTEKLFWRDLLKLPTKTLKCN SESGQQAPPFYFRMHATFDKDDPIHSVYPYMRIRLSAPIEIQNLLPYDFKYRIYDRNT RKDWTNFLRKGGTSPVHVVELSHLLLLSIDMQDTEFRRCEFAIINGTPQDDFRREHVL SIQDDKGVELKLGLHYFAIPESGGSFKVSVFSPYLILNRTGLDINLQSKSMFQSARAS TGRAIKTDVSNGVRKAVPYMYSYATNDRKNRSIIKIEGSSWSKPQSFEAIGSTFEVLL PAVSGKTEYHAGISVEEGVGKYKISKVVTIAPRFILNNKLGEDLVAREPGSSNVLRLK SGDFVPLHFLRQHAEKQLCLCFPGVNNQWASPFNISDLGTIYVKLAKANQRQRLLRIE ILMEAATIFLHISLETRHWPFSMRNESDSEFLFYQANPNVAEDEEDRTSGWRPIRYRL PPRSIMPYAWDYPASKNKTLVLVCRGKERYVKLAEIGNLIPMRVPTSQEDAQSKIIDL RIEAEGPTQILVLSNYRPSRSIYRQQKPTTSQGSVSTGFEVKEINTDVTFKAQLRLSG IGVSLINKKLKELVYVTFRDIEVNFSESKLYQTIGTTIKWIQVDNQLYGGIFPILLYP SVVPKTGREMEAHPIFNARVTRVKDDSYGVLYIKYASVLLQQMTLELDEDFIFAVLDF VKVTDITPSEDYETQFGDEIGIPEPKGDEQGTGVYFELLHIQPMQLDLSFVRTERVNV EDTVESSNPLMFFVNVMTMSIGNVNDAPVRLNALILENARISLPVLLTNMTNHYTQDF LRQVHIVLGSADFLGNPVGLFNTVSSGVADIFYEPYQGLVADRPNELGLGIAKGATSF VKKSVFGLSDSLTKFTGSVSKGLAAATLDKEFQDQRRMSKARNRPKHALYGITAGGSA FASSMASGIGGLARHPLEGAEKEGFPGFMKGVAKGVWGLATKPALGAFDLASNLAEGV RNTTTVFDPEGLDRVRLTRFIPMDGIVRPYSQREALGQFWLKTTDDGKYFNDDYIAHL EFTGKDMLVLLTYNRIMLVRSKKLATEWDIKLTDIQKISKERTGMGITLKGGANGPFI PVQEESSRNWLYKQIAIGA UREG_05307 MDSSYRPSFAGHKRKLNAVESDEDEDYRPAFGFQNFARPSSRSP SPPTTMKPANRPSWRNQNNSSPKPGGGGKPGSSMGANSFAARMMAKMGYVEGQGLGAS GQGIVNPVEHVLRPQGVGLGAVKEKSRQAKEEAKREAARKGETVEDSSDEELDRRQRR RQERRRQDESGSGTSTPRAPPKRRYRTAREIEADTAGLEIPNVLKSLIDATGKEQKLL TSTAGLMTSVDFVSSKESEALKIARKARNELEAFADEWKGLVEREQFVEREKAQVQEE MDETERKLERLTSLHTTVEALENMSIEDSLDGRWEQTTKQLEDIASQYQDIADEYSLS EIAVAAVQPLFRQSMEEWEPLTKPDYLVSNIQRLHSIMNSKKGDVDVEEEFRPRKSTT LYETLFYTLWLPRVRSVLLNDWDVNEPTPATSLVDAWKDIVPQFVLSNILDQVIVPKL STALKDWKPKRRRRNGSSEQFPWFLFDWLRYLGDHHTDPKAPSGILSDVKRKFRSVLD KWDLKKGLLNDIDLWKDVLGSEFDKSLRNHLLPRLARHMRENFEVNPQDQDLAAFEDV MKWRPYFKPNVLALLLCAEFFPKWLSILHLWLTSDPSYEEVGQWLVWWKSQIPEEINA VNEVVEEWNRGLEMMNLALDLGEKARTDLPPPDVKDHQNHLQKQIHEKHDQDPKEQTY QPARKAKQIEEPTFRDMVEEWCAEEGLLMIPLREAHVKSGLPLFRITASASGKGGVQV YLKGDVVWAQNRKAREIWEPVGLDDDLIAKAEGK UREG_05308 MATIALRSSLTGTTLRAGVSTARVNTAGLSGIAGSRFFAAKATL PDLPYDYGALEPSISGKIMELHHKKHHQTYVNSYNDAVQKLAEAQEKCDIQSQISLQH LTNFHGGGHINHSLFWENLAPSKNGGGEPPSGSLSKAIDDAYGSLDDFKKKFNTALAG IQGSGWAWLVKDKQTGDIMIKTYANQDPVTGQYTPLLGIDAWEHAYYLQYENRKAEYF SAVWDVVNWKTVEKRYA UREG_05309 MDSSTQRRLSGINASSPSAHFTTVTDRRHELGRPVTPEAVAQRK SRRSSHRLSKGSVTTVHAGIIQSTSTVPVPNRLTGWQDSRNMSSHRSAAHQPHTPKRS VSTPHHVHPNSTLLHDLIKEQRAVRVSRPPGTSKLVPDGRSSPAPDTVESAPASEKNR KLLNAVSAGLKDPKELGLREMDQYVSRINKEIFDLKLEIVHRIEHINSLKQKVERMGE LEVRVKELEAVEVELQDANQGLQKELEKRNRGLYEAVGLICDLESKIEAMESAQHGGP DCNPDAVLSTETLQINQTPKVIPIIDVPDRSSSRKGTTSAMARRSRVLSVRHSRRQPS FLQDESENTSALRSLYVEDRNNSRPFSIFSGRESEELDSPRLSALSECSDLDLLSSPL KLDGPSGNIISHTGSIKSSDRETETTGFTDDISSRFSRIEEWIPADGPLPDTRDSTPT NHESPAPLRISRKQPILGAAFETKRNLKGSKSRSTQNNAAFGARLPPTPDTMSTTFID PRSRSNPSIIEERSLYGRGQIFSSVTSDESVGRPGSSVNMASRPSTADTALFNSIEWN HPNVGRHGKAQLNGVFPPPGNIRQSSEPPHAHSSGLDGTRRGTNDRGSTWDCANESEF SSRPQYSHSIRSRLSDSALSDPSRSRAATNSDILSPEDWLEAALPVSRHVISRRSARA GMDNGVETSGMYEPGNQLDADISIPATRARHKRMSPVSPKTHMRRGFTFRFFNRPKNN NPMASEPVDEEPVSSPRSPHDKRPSTARRRSMRLESSPTTTPRNPNGYRPLSRSSVGP DETSRPRTSNSLENLRHFRRGSSGLWGWLKGSKNSTVPGSPGRPSSQPPERPSSACGF VGRPDSRADSYTGGRSSRDGPNAHQRRARHPLAAELTKS UREG_05310 MAYYDIDEILTDAQVGCVLCTNHGGCALIPHTAFQKLPCTFELD VPALGFLDGNPGEDIKTGTRLDVPLWLGVMLAVGAKAGSDPLVTLDIPKALSEPVMNA LKADPRTVDLRALASHFYRLGVKILQLFEEEEMVEILSDTFKQRAMQIADHAHNPTGA LGGAVEFLRGLDETERQLFRAAHDGAKETRIWAGEAKK UREG_05311 MANARTFMGNALRTAAHLGHQEVVKVFPDNVFDITARVRPFADA LGLAIRNSKLDTAIYCFGGGAAIAHLEPKVWLRLRDLIYGSIALITTGV UREG_05312 MVKASKRTYTAGQKSASTRACHAPPSPADTLGTVRRQGYQGHMA MSPEHGCFVTSKIKTVDGRLEGILPPQLIYHSGQVAGVHCSLGLDWKSEYHRDNPVSD PLSGLHADLIKLCKTGESSISTANTAKFRGLRSCNADHAQYPCNWHHPEEMCSFHR UREG_05313 MAADTAPFPPHSWLGYGLDLTSITPTNITTVTQHVLRAIRIIEL KRGGTVQTYGGVTWTVPDNVNVAADAGNSETSQTSYTNGSVAASNLEADASLSGQYLA VSAGASANYSISKTFHSSYQYFMFNFRQVLLEVGLENFAENQYQRACMAHVVKTYKTF FGTFGSHVVTGTTYGSRFQLAQFNGLTASGNFDASIKTTDQYKTFETSVQKNCSCQGG DTSIGTKIASDPTTTDVYTSYASWTKSSQTTPGVMTMQTMSLWELMTAAMDDTLNLRA GDVEKAFSWIVENPATHHTKCTLIVNSDWGEVGLLNPSAFIIPDPDNPPPTDKTIFSR TKIRWGLEHSHDFQREIRIDFIIQNDGSPVDIELSHGSDGSTSGSGACSAIIGNEPQY DNTGIQDNNWNTKWFYKCPVNPNPVARQP UREG_05314 MAYNAVSQNEIDAASDSDASEPSPLPKTSFEPLSIDFENLGGSS FMSAAKTEKPSSISLKSVIRSRGASTTSYDMLEDDDYQVVGRASKSERDCHVKTAQGD GPADLSKPPPLNTPSSSPEPSEPILQSPKTDGPALCHPTPDLQSLQGAYVGNVERLEK TAERLSLALERGDDLEGIESRRNIPALGSPAGSISSGPRKPSIGSRTGPILGSSGIYS PGGHISSPRRSVQSSPSSQAAARLRSGSVASRLARVVEPENEDQLNNPEQISSVPASI PPPPNPHSLQLQSHPLQHHEENMGVPIDEEQMHRPGSAGSTDTYQQATNLFKDFDGVH FTAHSSEKSQSRRVSISRPPLASLPQAHKEPPPGENMVYYPAPVPMVLNLPQRLSKQH AASEQEKRRTQLMNSMPIDKRKSAVWLPNNEKEGEPPARQSKRLSQMPPQLRASAFFD QPPSHLDITVRDNSAVATLDCILDAAASAPVSAFTDHPIVGHIGAEVYGKEKTKKKNK IEKKRFSENPLGVGEATHNRNPSGQSESHAEGKPGPDEATPFRNSYERDADDSDHFIH PQGQQQDDSESDSDDSKDEEAFVGPPTTLLAELQMRKQEQKQRNRTAATAFPNGMHST LLELDAVAQRQRENRNQKHVILAWEDPDLADRREPDDDDVPLALLFPEKTKQNDNRPL GLMEKLELEENEPLSRRRARIRGEPPPAPRPPPVPVGYSQPPAKRASTAYTLDIPGLE DGESDEEETLAQRVRRLRAREEKAGSDFASELLSRFGDDKPPEKPASEDGGEPEEETL GQRRKRLQAEAQAGNPNAPDKLSSRRSLADVLQAHPVGPQSQVHVHPAGLGAAGSRQS LVATNRLSRVSTNGPLLQFGNEMNGGMGPYNAHGLIAPAYGNGLSAVNLNPHFYAQPS YRPSLQPGPVIDQKQRDMIDRWRLGVQ UREG_05315 MPPSTVFSYLRRDHRRPSPSSTTAASPSTHTFPQLPPTASTTKL TDSIFETGPWTETTREIPSDSALEPATGLELEQVNSTPSLRPASNPSRPHSSSGESLE PLSSLTNIQKPHHHDGGLHKGISSWKRSFGGQKVGAAPVPSTGGAGKGKTSDVGGGIS NLPFREHKPENSTSRRDGGYDVVHESSSSRGGKMRFHLLNPMALLARRRSAQLNTRAE DINIGKLTLPALPDDYDPRIRGKLFHDFSNPRPRPHPISSNTQPYPRDHGRPSLRTEE GYYSDSSAQASRRSRRFEHKPVFKEDFEDGNAKPASSQDSPPQTKGTSYSLPVFARNL PSTLPSQRDEKPLPEVPSAPPKTSHEAEAEAPHPLRSRPPTVREPPSQAPTGLPKHLT SSASRFSFDMAGGDSASQERLLEEKHKEKEAARKAKERSEVAADGFESDEFDYDAMMD DDGLEEKIPGVNADAEDYEDGDLDINAVQPLNLPGTSSFLLSPISSEGAPSPQLSQLQ EPYPSCGAGMLSTNTERTSALMTPSLTIDTNQPFLAQQAPQVTNKSVASHTMYDEDEL YYDDGLFGDLPEDMETGGFDESVFDDEASHLYERKNKANNVLPAILENQPDVRDTAID SRRCEPPPGDANKITDQPAGLEFEESTSEEPEEPIRGLTQGNLQAYHDALAQAANEAA LKGRFERSFSGSEASDDRDATEDSHPDLTAGESRHTSQNIEMAPDDVFDDFAFYDADD LDDDPMIAAANAEALENDDEGLYGQEFGFYAHSHPHCDGERVLGGYFGTLGLEGVKRN HSARANFQEPSLTPITERSEWSTRNSIVSLAPHGGPQSNPSISSPPLSQLLDMGHFDD EISLTALMKLRRGAFGGSNGSLRSNATSQTGQSPQSPSAPIGSSFGSFSSIHKALPDQ KRANGLHSPGGLGSPPWADAGTSYQKDSESGSSGGLGDVPLRLRSAERPRSMNMEPYA KSRSPEMIRRHIRSNSATESISYVKETDDSGANCWVLERRRTGDDGEGEVVEREVMSS GHI UREG_05316 MLRATRSSTLKVSEAASLLRKTPSARNARWIASSSKPTSLSSRA KLGLAPRRPLALVGAQEVWKQRRQYAIAAEETNKGVDPNDSFLQGNTADYIDEMYLAW KKDPSSVHISWQTYFHNMEEGNMPISQAFQPPPTLVPTPTGGVPQHMPTSRTAAGAEV SNHLKVQLLVRAYQARGHHKAKIDPLGIRGEAEAFGYSKPKELELSHYGFTESDLDQE FALGPGILPRFETETRKKMTLREIIAACERIYCGSFGIEYIHIPDREPCDWIRDRVEI PQPYKYSVDEKRRILDRLIWSTSFEAFLATKFPNDKRFGLEGCETLVPGMKALIDRSV DYGIKDIVIGMPHRGRLNVLSNVVRKPNESIFSEFTGTAEPGDEGSGDVKYHLGMNFE RPTPSGKRVQLSLVANPSHLEAEDPVVLGKTRAIQHYNHDEKNFNSAMGVLLHGDAAF AAQGVVYETMGFQSLPAYSTGGTVHIIVNNQIGFTTDPRFARSTPYCSDIAKAIEAPV FHVNADDVEAVNFVCQMAADWRAQFKSDVVIDIVCYRKQGHNETDQPAFTQPLMYKRI ADQTSQLEKYVDKLLSENSFTKEDIEEHKKWVWGMLNDSFDRSKDYQPTSREWLTSAW NGFKSPKELATEVLPHLPTGVSHENLRTIGDKISEAPEGFNVHRNLKRILANRKKTVD EGKNIDWATAEALAFGTLCNEGHHVRVSGQDVERGTFSQRHAVLHDQESEATYTPLQH ISENQGTFVISNSSLSEFGVLGFEYGYSLTSPNALVMWEAQFGDFANNAQCIIDQFIA SGEVKWLQRSGLVMSLPHGYDGQGPEHSSGRIERYLQLCNEDPRVFPSPDKLDRQHQD CNMQIAYMTTPANLFHILRRQINRQFRKPLIIFFSKALLRHPICRSSIEDFTGDSHFN WIIPETEHGKSIAEPEQIDRVILCTGQVYAALVKHREAQGIKNTAITRIEQLNPFPWQ QLKDNLDSYPNAKDIVWCQEEPLNAGAWSFVQPRIETLLNNTEHHNRRHVLYAGRNQS ASVATGLKASHIKEEQELLHDAFTVHQDKLKGE UREG_05317 MATANGTMGNSSNIRSSTLRQTRTNPSRQSKTVGRTSIMNHVSN PFNSPYHSGIPGGGAAAYPNGHHAAANQHPPGLYPGIQHFTDTIAALPREFRRHTSLL NEVDGKAFALEALLPQLMQVAAESISGPFPVVGEVDPQVEGFQIDAAQTESPDKTAAR RQAFQDIRNTLTDLLPTMDEKNHVARNAYLSLKKDVNRLEILYPYVQNEVSDEARIGS RTHWAYLNKPVKPTGTAGSERPRREAATRDRDAAFHDEAVRESRRDVAATRKQRRNRI EPYADEARARGSNVAAKNRGGESTQVNQTVAMPTAPSKRRRVEKAMAAPSSTAMERST STATNVGTSRTVKESPAPETGKKRVRAQNITVASRKSSPSLNGPNRPQPSRVQQTAAT ASNGRQRPSSSTSNRNLNSNKGILETKIPAPKDSSGPRVEHSPPAAILPESKVNAGVE PKADSLVITNPGDRDIPRGTSLTITTAVTAKSEPQDVANKNSPVPPSVTSKGRSSKTS TPVVSSFAESQRSSRPSRSTVATTAAAEGSVTSSTSSKRAHKKGAGNTAAMAPTARQL AMAAAAAAEEADEDSSQHGDDEVDDGELRYCYCNQVSFGEMVACDMENCPREWFHLSC VGLTKPPSENVKWYCKECKETMKKGKSNGR UREG_05318 MAILAVVEARYMADMLHQFNRSPPGVHGAVQFARGGTTMGVTQA RCMPPLISLPKSPAWPLISDKQSFILSTLPYTTLLPPLLLSLVLRPLTLNTLNYLPAG PTAILFALLAQYHAAIPTTYKYTISTGTTTTPSALTLHLSDKSTIYFLALQLSLSQFP NSLLPAAVGWIIGHAWRAELLPGRFSAPSWRIPRWVYGGHETAFDRRQGTAPEHDGPE AERYEGLRRRLEGESRAAAAARGEGSGVDGGETQRRRPLAGQIFDRFRGAF UREG_05319 MASAPASATASYKKKDGTLSMSQDGQSVSWAPKISGGPVGAFTL HVANLSHLQQTPITSPKIMLKIFAYQPGKAASGEVEQYLFAFTSPTNARAEADTIRDI LNAGIQTAKTAQAAASGGQSAAMAIASAVTSTGSGKPIWYDDNKLKSDAELQQSLLKS DQNLRQVFMELLRNKPESVSSAQFMSQFWSSRVHLLRAHAIEKSQARGPYNVLSSLKP RVENSVTKLNISKEQIQLIFTQHPLVKKVYDENVPRLTEQEFWSRFFQSRLFKKLRGE RITESDATDSLLDKYLRADEHAGHNSTTNVPHIIDLEANEEDVRRHGNRPNFDMRPSS LDKVPVIRTLNQLSEKIMANVAPIDRDPSAPVGMDEETFNQLQLRDLRENEEQDRIML NIKDQSRFFAATKEEESNEARIFASQDPAVILKSLNVDLARTYPDRGAGVPLDQLVEP EEDDSDEDDTHPKKQVVGSKAGLARASAQIFEAIRDRRAQADSASNSKTCGLPQAIFD RLSLTHATTTEFLHQFWQAFLSGNPDRVAEITSLVESLNRAMDRIKAVSDEAEAERQA EIERRKQQAREIMAATGRNIRPNLKGVEGGQKVVNQLMGPTVNALGKAISEYRTAVAQ QSKEAALV UREG_05320 MPYANVILQPAAMAIHVTIVTIVRSCSDFDSVMADSKTSSSSPS PAQNGAPKTAPKAQNPALRMLGLPNFRFKLPSRNWMIFLTVTGSFFGALIYDRREKKR VQEKWSNLVAHIAKEPLPTNETRRKLTIFLAAPPGDGLMSARDHFREYVKPILVSGAV DYEVLEGRREGDIRAALAEKIRRLRRKAGEGAPLEEDDHESALKRVREQFGTHDEPSV KGDVVIGRHTWKEYIRGLHEGWLGPMAPPMPAEAEPAVPDTEKPTENEFISDDSSTTA EEKKKDEKKPEAKKPTGPTPTYLLPSLYSSQELPRSLPDEFQPSSPIAFPHILGFLNT PIRIKRFLTRRYLADSVGRDVAAIVLASSCRPYSDAYQPSDSEPDHSDSPTSSSSLNY EQQHLLEQEEKEWHKSVHKTPENPEVKEREWLDDVVIDPRLGSRMRRFVLAPEEDARA ERIAEGREWVLGEEKPIPIPTWKRLWDNYGWGEAETRGKVNLGNIDDGNA UREG_05321 MDPNHPNNRLNLNFGFNDRPYTASNPRAYPTTPSTFPQPMYPNQ GGHDYVDAQNAQNAYGQGYFVNNPYAQQAPLQQQYMHPNAPPPQAAYQTRPGGYQPND GTNGLIQQFSNQDLGGSPRGAGAGRGPPPAQRPRTAGSPAGNQGPGHLAPSMANRSPK PAGEEEELVRCPEKYSENVHKRGKAAKELVNVFFRENIERARDRNLRAVNLDKTFQNA SVPEARKRHEAETVAKKESNFLRFIRTRETVSNFQTLKIIGKGAFGEVKLVQRKTDGK IYALKSLIKSEMFKKDQLAHVRAERDILADSKDNPWLVKLHASFQDLAYLYLLMEFLP GGDLMTMLIKYEIFSEDITRFYMAELVMAIEAVHKLGFLHRDIKPDNILLDRGGHIKL TDFGLSTGGKKQHDNSYYQQLLKNTSTSKDKNRNSGFFNDAINLTVSNRGQINTWRKS RRAMAYSTVGTPDYIAPEIFNGQGYTYMCDWWSVGAIMFECLVGWPPFCAEEATDTYR KIVNWRECLYFPEELTLSRDSEHLIRSFLCDPEHRIGQEGGQHGGATQIKSHPFFRGV VWDQLRKIRAP UREG_05322 MDFQNRAGSKFGGGGVASHSATNADRRERLRKLALEHIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQKEGKAETDGSLL PGAMGVQVKRNTVKIGRPGYKITKIRDPLTRQHGLLFQLQYQEITPGVTPRVRFMSAF EQKVDDPPDKNFQYLLVAAEPYQTCGFKLQAREVDRREGKFWSWFDEDSKEFWVQILF KTEREERYSGVPGLAPSLPRR UREG_05323 MAVSALSGRGNSPTAGSFLRISRMPDRPRGFREALRAKYASEPE VGASSSSGSTEPLQISGKVVEEVGFDRVRKILATLQELRIVILDGMRIRGFAAEEDED LRKAEIERVKELCPKITQLDLSRNLLADWTEIADLSEQLEDLRILKLDGNRFSISLGD RVLRGITELHLDETLLTWEEISALSYRVPDLRKLSLSSNNLPLVSVPISNTITDITLE SNNFTTIQGLSHLSSLPNLTRLSVRRNKISVIQDSNDKTHPLRFSPTVTTLDISRNLI ESWAFIDALPSVFPGLTNLRISDNPVYEQPPASSAITGLPEKRMTVDEAYMLTLARLP KLEIMNYSKITPQDRVNGELYYMSLIKKELSISPVSEEKRILSSHPQYGELCAIHGAP EIKRAESNDPVNIRSLAARLINFTFYFPNAKHAPGQTAMEFRKEIPRTFDIYRVKAIV ARHFSLAPLSFRLIWETEEWDPVEEGVAEEDEWDSGDEGDEEPVEVGRQKRFVRREEE FVDSTRAVEHWLSHDTREVRLIKCWRVKGLYSIPCGLIAGQPKYWCKHCKTYVRDTPF ERTQHEATGKHQGSLKRFLRDVHRSQDKDQRESQRAKQEVERLKGLVGHAAAKPEKEP PWKRGGRNPDVGASASLSVTKEQRKRQMEQLAEMGVAIPEEFRPEMAMVGEWKTLSET PVAERETAAVVKGVRKRKLEDQEEDEEDEAGGPVPELERPRKVWGSAFKSHPVAEEND DELEALLSMTKDIKGKNTKLETKSEDEAIKEEEPVMEPVTGLDTNTGFQDNIKREEVK SDSLDAPPTLEASAARNSTPPVVFKKRKPKQAKR UREG_05324 MAETMQRPAPAAPAKPTPAEISQDIEMTNGQVTVPQAQQPITTE STVPSTVPAPAPAPAISQPPSHSYQPPPIAFQAPASAPPQTVPAQPSSTPPQQLPLPP IPTPNNLPPPNQSNLAPVNQVNNHVPPAPAHPGSQMHMGSIPTAFSAQPIAGYYSEMP ANSQQSDGFELVSDGGGMLSGGRMGKKDVKRRTKTGCLTCRKRRIKVNKLHRFFLRRV ACSAFCCCFCTFESCRQHPVCRNCEKSKRECLGYDPVFRSQPGPSVIQPAPSQTSFAV AAPPQVQQVPQIPPAPHVPQASQPASAPPPTPPVVSGQPSHSPSVPAATPPVTSPATQ QPSQSSNVTSAAATVKSPSVPPTQEGYEHLLMANAIPECRNLQLQQISINDLLMVSGS LDPLPESLELPPTRVEECANFFMAYATSADIFLETHWFEANARRFLLGNKNLLSHVSL FLNDFMERKWVSNPECVPSMESREARIIWDTITLCRTAQAQNTNPDGGFLHESPELTL AVNRLKVLEALITGKTLETNPTSAPEYAGEAPAWAPAALAHQLKRSEMKFWDAMGQYL VITDDMPECNVLRDLALIDARAHLDLIENRDVIYSIAVIRHISRFQPRKVKNLPPSTD EKDVSAKLYVATKFIEEERDGKATNQVIRRLCAQLLRWWEKPENM UREG_05325 MALAVPATSEVVSCDTSSAPFNPLSPVALYDRVQQFCNSMHLKH LGVEKVSYDFYRPNSNQLIRVNMALAYVAGGSTVVFANGCINMFGSIWNNCKPYNPQH WRGGVSFSDDQKWKAMVTTSQIY UREG_05326 MVRISILLTFAAAAAALPNAEPAALTKRGKNHCKPHSVDVSNPE GTEFCRHLLHLPCRTSTRTVTPPCKTVSVTMVPTVTRWTTSSIVRQITRTITRGVTLT HPAPSATTVTQTLPATEIISEYLSATVTETATNTIALTATESVDVTVTETEPQSLFET EYNTITSDDWVTLTESITQTSTATVLDPTTVTVPVTVTVRAPAKRHHRPQTPYPTPTS LKNIPKHVLSKACSSLHLGPCTTTATRTRTLPQITKTEIIHKPGETSTRYRTAYVYRK QTTYVTKFITAITTAQPTGPLTETVSITVTATSIETLPALSTATLTETVDVTSAATVT ATATEIITVTQPTTIQITIATTVHIPQTEMVTETIDATATETVETTLTATATATYTQP PRCPNLIKNGDFAVPNLNNWAIDEIGGKVSMAGVGGGGYAALLYGSGSNLASVSMSQI VSTVPGTIYKFSVDHKNQYKKPGSYLTCTFLPATQVFSFNLDTTVTGSWTHFESPVLA TSTSLQVRCRLVTNNAAMINLDNFKLRACDE UREG_05327 MIEQQHILNNAYPVKCWVPDPPDMAWADVIAAIEPGALSFSMNS QIYKFKQERKAYKASASQREFDLMMEAGDCAVKVRGRSLWRDKDGEIRMSGIIMDLET PFDPKSVETFSASI UREG_05328 MGSNLRPKVLLLGKIDHAHAAWDSLAVLADLIEPRSTNRADFLE ECRSGALDGVLVAYRTFGSVSITGLIDEELVDALPKSMRYLAHCGAGYDQVDVQACSA RSPPLIVSNVPTAVDDATADVNMFLIIGALRNFNTGMQALRQGKWKGNPLPVLGHDPQ GKTLGILGMGGIGRNLKKKAEVFGMKVIYHNRRKLSPELADGAQYVSFDELLGQSDVL SLNLPLNKNTRHIISTPEFAKMKDGVVVVNTARGAVMDEEALVQALDSGKVFSAGLDV FEEEPKVHPGLLRNPNVILVPHMGTWSVETQTGMEEWAIDNIRQVLTTGKLKSPVPEQ AEFQ UREG_05329 MALEDFEKELAESQREREKEKEREKRRERHRERNRDRDRDHRRH ADREKERDRGKEKDSESNRDEARSRHHHDRHHHHRSSRHGGNHSDEERKHRHKRSRHH SSSNGDDRDRSHKRRHRHESRDEKDDERSREQAEPSVIEQEEPSRLKRDAWMEAPSAL DIDFVNRRKQKSPSPKAKMLQADFEYKLHEKELNRHLHDVQETDPERAAVKEPAEHEV DYEFGDAGSQWRMTKLRAVYRQAEEEGRKVEEVAIDRYGDLRSFDDAREEEIELDRRE RIGSDYVGKIKPSGELYQERKLEMGVRREKGAATREEDIQTAPNTERMETDPPAQLTK PLDTTALNRLKAQMMKAKLRGSSDAGDLEAQYNAAVAAAADRKEPDVVVLGVMDNRML AGQRAEAKPIENKRGRERGLVQDNEDMSIEDMVREERRTRGQAGGEGMRFAERIAKDA KFDNDLEYMDENAAKLAKRVQRSELNIKNTAINEFQKMNRILDNCPLCHHEDSDTPPT APMVSLATRVYLTLPTEPELNEGAACIVPIQHRTNLLECDDDEWEEIRNFMKCLTRMY HDQGRDVIFYENAARPQQKRHAAMEAVPLPYSLGETAPAFFKEAILAADEEWTQHKKV IDTLAKSRQGLGKLAFRRTLAKEMPYFHVWFELDGGLAHIVEDSNRWPRGDLFAREII GGMLDLEPDVIKRQGRWQKGGDRRVDGFKKRWRKFDWTRVLAEG UREG_05330 MSILEKRTLTDPAQNPTAKQFKHILVDTPGQIEVFVWSASGSIL LESLASSFPTVIAYVIDTPRTSSTSTFMSNMLYACSILYKTKLPMILVFNKTDVKDAE FAKEWMTDFEAFQAALREEEEAGAFGGAEGGAGGMGGGSGYMGSYLNSMSLMLEEFYR HLSVVGVSSMTGQGIDEFFEAVEEKRKEFEQDYKPELDKKRAEREKEKESRREVELGK LLQDMNVSTRTKKDEPETVSDAEEESDTDEDDAQAGQADRRDDVGLSQRYKTALADTS ETGQEDSFTRYIRSSNV UREG_05331 MDVFYMYTYGTGAWLSLQGLSLVASPKMIIALLLDEPRTPSALE VYFARSLGLSLITLAILNIVLTGSIPLTSSYSISSDEDDPKAPYAVPTLMITSAFHAA AAFYAYTWVAWGGTVSHMVGVAASGGLSAVGLWCILFASTRGRISRRTGVDKRMSGFP FDNAEADKKRERKRMRRLRRWCIPRIQGPTRHPTTAGTVEPNLSPILGIRAPPDDPFA AMESSRPLPPRSPSELDALPDAALTANGLSDLDRDLETQLKNGDLHPEAEASDKQDHL ERADGGLKDGEEWDQIMADADNVVAATDAIASAAMISGHAQAERTHGAAVVVDPEISA GETQNDEDGMHLLMQKQSRHGESSMPVAAGAVDSRTGPQYALLDTREVAAPQPLALPS YQTPIAPSAQHDFAPHALPQEQTQPVLQNIIPPFSAPAQSSRAGSERTEGGNHFAEMK LIPNPPDLQRWRERLFNVEDTIVLSEEEHASP UREG_05332 MSRTAGIPDAWDDDWEEQIDVRHSHIEEPIPKWQQDVKPLLIQE CSQNTNTEPPTLPPAPQQEPEKKISSRAKKAQLRAQHAEFNRQLWAEAEAEAESTQMS HYLETRSTIPLKSEYKPAVKVLSRNPQDSSGLGATTAGLHRITISPRPTGSSNNNDEN SSDEEAKKQKQLTPEERLAIAQREREEKQRKYEEVRERLFGSSNTGSGASSPGNTTPP RHQQQGGEHKWKGKARSNGNSRDRGEKREPSSRAVKGKQLYDPNYVAKPNANPGKKKE QRSHNVAEESRPPQAPIRSPRGPDGSGPGGFGFAERGGGTAPR UREG_05333 MGTLTFPHHPPRSALRHNHSLTTQHLLPDQDGDSEMLSSSSSSP SSFTSDNGQRPQTPTAAHPFISASTTTTTTTAHPAAGLSSPPASQSKPQSSALDLTSA EHRLPTPAGGSGSAAPGEPETSTSTAQVSSTANTTAAEATTASPSNPAQTEEELILHG PPGASWNNRQAQEEYRRAMEYVIDKDFNLDAFGDPFDERDMEMPS UREG_05334 MAPPPPAAVACPLHSLRADQMDIDSPKPDHHSRRTSPGSNSNSR SSSSRRESFGSIEEESTGIAQSFVDKENSPSKGQSNPAKTALNVQSPTQTPDFCCPCG GFLGWKQIRLGGRRASRSYSDLRLLGGGHQSRGWAWEEATVKPTPTVKEEEQPPKERG PAPIEKLPAEILDQIISNLAVDIPPNGYTPRNIDLISCLLTSRTLHSATLSVLYRHIT IPHSIIFSKALNHIRQYPSLGTIVRRLDFSHFTSVGLGRTQQMNLEIQNLTSRTLLEC LNLLPNLKELLLQEHVEDDVNGDVMRKIFSDTPTLRAVDFCGCSSVTFSSGFVGAVTQ DPSFPPQLRTLRRVSLHECTGLPDDAFQALLPRLVNLTHLDVTHTQITNKTLFLIPHS AQITHLNLSRCTRLTGSEVVKFLTTHPAVSDTLVYLNLMSDVSRHRILEEEDVEALLP HLTSTLRSLNLGGAKITSAQMPMLLRLSKHVEELGLNSANITVDDINSFFKPRPASGE NQMEVDWVRPELRYLDLTKNPYLTQATLLNPKSCLLVTQQSYPLHVIELTERIITPLR QRSPRNGWVVRDLGRRGWYVREPPTNPNDLKLMPRDDGRRSWKMGARWWGMRKVPVCV GDVGGIYGHYMFKK UREG_05335 MGRNFSVLELGELTGEEGVVVVRHVDGDGDGDGDGGENEQRGEE AKPVDSLEGIAMGVPAPGASLKVVDDGLKLASKKPVRMKNCQDWIIDVVAELVQREML PTAANDIVQNAPKN UREG_05336 MPHKYEFGGPVGAAGIVFGLPPLMYFLYFTCNDISGCPAPALRD PANLTWEKLKAQIPWPGSVSQFASWEVTGWVLAYYLFSLLLYRILPAQEIYGTKLRES GRPLKYRFNAFYSTIVHLVLAGIGTYIYGADFVVWRYITDNYLQILTANVLLAYAISI YVYAGSFGVKPGNSELRELAIGGQSGNVLYDFFIGRELNPRKTLPFFGEVDIKAWLEM RPGLTGWALLDLAFVAKQYRTYGYVSDSIVFICCIQTYYVLEGQYAESGLLGMMDIIT DGLGFMLTFGDIIWVPFLYSTQCRYLSVYPVHLGWAGLAAVSITFAIGVSIFRAANSQ KYTFRTKPDHPSVAGMSYIQTKRGTRLLTAGWWGMARHINYFGDWLQSLPFCLPTALA GYLIFPAGSSIADAAKTLDGREVLATKGAGMFYTYFYSAWFAFMLIHREQRDDAACSQ KYGEDWERYKKLVKWRILPGVY UREG_05337 MCWLRADDTKNIFRYGIIRTQEWRQAAMQNLHLWEMDLDYARAQ IPEDERQRTPPNSEYVPSSPLGPEAETRKIYTRSQTRRAPQERPRHSESSDSSDSDSN QVVPSQCYAKETFPVGQVARVNLTLQNSALKNACLVLSKRLVGSQLPECRATPTGSRW QPSPY UREG_05338 MGQASRSEDLIRQFMTHRGGTTSPVAPFNGQPKSLNLRDQAMKK ACKAGCIATIDAALDLGISVSAVPVASFTVKRDVKPRRRQPKPVAIVDRNSGSNTILI IKALTLQLAARGGHVETFSHLVSLGARLDEPGTSLASIQSLIKLVTQGPDAPALLPLF FAGDLAHQLSQEMRTDMLIGLLKGCRPPRSSTNTLSPDEYVDLASTLLDAGASPNAFR GNTFRTTSPLSEAVHTLSPDLVRLLLDRGACADGPLEVEPPPAPCIPFHIPLCALAHA LATSITNPPVRAALMQIAEVLLDHGADMNICVPYLQHGSSRVSFTSPLLVFLDAVDCW EGYDKHNSSSPHISDVLQFFLDHGASPNGREHPAYNSRNSHITHAALLHTGHYRLGPP RDDYIQELLREWGVGSLVSPIFASALTLLAGHYAPRNGIFGIRATAKALARYDYRTTP GSLLGEDEAVLAGWKRVITSVASSLTPYELNEFLYTYVVHKATCPGANWIFYLDRHES EEHPIEDLARATVEALLAVGADINYRHRKVVPETQQQLREEKRGGSGDSNPTALHTLC LWLAGRADEKLEWPTGGWEPKCAGFRHTAPRVAFIRFLVNKCGADPLTTYQGRTPAEI LVQLRRPELDAEEEGRLKFLDQYDPSVVKGARLDSAIGSRIDSRFPPGGMTSVTQLFA RQNGLDCGINYGPVKPIPVCLITGLDLSVSGIAWDFQSGNAKRDDTMITCNKSVTGDP AYKEGDTIYVISKKKMASARLNVPEDEAQRAAFFKAHADSVLKDDEPNTSVVHVKPTG DSEPAGEQSK UREG_05339 MIEVPAVLADLQRGRSAGVLSQKHEELWHPIAFFSKTMALAECN YPIHDKEMLAIIRAFQEWRVEPTGVQSRFDVLYDQTAVELSSSSMD UREG_05340 MAGDIDLEVDHQDHGYHRSGRLPDPSSLISNPVDDLCQLVLASI TATNQSLQLYLCGRALSYDQVTGPSDLMGSQTATLEQFLTNVPGLPKWTLNQRMALSF NIIACPTKIRSRRSRYVLPFRISKKPVNRMSKFHSHLSGIYSLDARTRLRDAPQAQGT AS UREG_05341 MDQVPRAQQSRFASLAVEIRQAILCEIPDIASLNNAVKADESLQ SAFSNYESPILDKILNSTLSPELLPEVFAVLESSHLDPWSEERVNEILDRYVERKPTP IRWTLADAQIVGDLHYHIQFFIDDFVSWALSAHPLPNGEIHAPLSSTERFRIEAAFYR FELFCNLFRERNDKNYEIRRERSEQFSEDFAFWEAEQLGCILDYLVDKISPAFNDVAR HDILWGEFCVPFDDFDLHPEPHKAFFVWSKLRLPFVMS UREG_05342 MSYLPIGGGRRDPRMARETSTTYGSYPPRSITPSLAIPIRERRN NGYNNMPYGALPTSRYGSSAPKPGDSGFLRDDDYPPGSRMRTGYDGYKEKENQAKAAN KFYDTELNRRATPFDRRDPARRLYGPMQDRHLYTEDHAAMVEPAMRASEAAKRHGEAR ASFNDGNIGRLTKSHYKTHKQAAGHAFKAANNHAVAARRHAVFSQLVSFDALLSIVSQ EILKTGFSHNQVTQGPKSSSRLGYPSKAKRLPSVEGQSNGTNKAVNTISPSRKKGH UREG_05343 MDDPPENRRGRMIYILLSVLFFALLLLGLVFFYVMLKYRERNHQ RRLRELQQQGGGENRDGLGLRPLPRAAVPGQPGSAAKVMKIEEVNDRFPETEYGLVKR NMPTRPEKVGDGVPEMPPEPGKDDAAPITDTQPGMAHESSRDTHMEPGLLENQVESHT NLFDYCAICLDVLKDDSIVRNLTCHHIFHSTCIDPWLTGRTARCPICKTDMA UREG_05344 MARISWDPRGCESSSFTNTDSDNTTEHLRCRFTPRPAIKKPTRL WDRTPATPVSPRCKSHKIWKRLHSASGVLQANMRSRGTEMTDSEGDVLVEEINLAKSS GVLRITKKLCVGKGVKSGDEEWKRAFEATKFEAESFTARRKYMVTTSPVKKPSAIATL LKQSVLEQDDAELFTTFLTEARAKREAKHAQATDTADTNASVTLLRFSSRNKYRKALE NLDKNSPSSSIRQASPSKLLQPPVSPLAEPDKENAPELLEGEEKPASTPSPKKRGRPA KQRVIPRIPEKIPLRRSNGTEFVFKLKTDTQQLALTTKANTKHNRGDSKLPHIVLESI NATQVDDMASSSPDPSRVRRRPLKTVTWDDKNLVTFADEISTPVTDSPNQLLSGRRSS RRLASIPPQPSTPVPRKMRKLGSAKTQSIKRETLESVQVMTPPTAFGVQTRSTKQSFP SLKGTPISKRKRLTPEPRKIKDFEDNTGVEDGKEDDGLGKTAKLGGIQGSAQRFRVKI R UREG_05345 MPQPLSSKDGSLFRQVVRHCENKQYKKGLKAAEQILRKNPNHGD TQAMKALMLSYQGQQEEAFTLAKTALKNDMQSHICWHVFGLLYRAEKNYDEAIKAYRF ALKLEPESQPIQRDLAFLQAQIRDFPGYVQSRTAMLQQKPAFRQNWTALAIAYHLAGN LSEAEHVLSTYEETLKTPPPRTDMDHSEAILYKNSIIAESGNIEKALQHLDEVGNQCF DVLAVMEMRADYLLKLGRHDEAVAAYEALLERNHENSHYYDALIQAKKIDMNDHAALK AVYDSWVEKFPRCDAPRRIPLEFLEGNDFRQAADTYLQRMLRKAIPSTFANVKSLYTN TSKRDIIQELVEGYVGDFSGQVNGSAEKQINGDNATFESSVYYFLAQHYNYHLSRDLE KAMAFIEKAIELSPKFVDYHMTKARIWKHYGNIPKAAEIMDLARSLDEKDRHINTKAA KYQLRNDENDKALNTMSKFTRNEGIGGPLGDLLEMQCVWYLTEDAESYLRQRKIGLAL KRFHSVYNIFEIWQEDQFDFHGFSLRKGMIRAYVDMIRWEDRLRSHPYYTRAALGAIK TYILLNDEPDLCHGPIPAGTIGPDGELDNPERKKAIKKAKKEQQRLEKAEADRIKAKK AALTGGGGDARKDDSDPLGVSLAHTSEPMKEATKFLAPLLELSPNNIDVQTAGFEVYI RRKKYLLALKCLLAAHAIDPSNPTLHVQLARFRRTLNELAEPLPSEVQEILTTEFESI LSKSQDLTAWNDSYLTANSSSFPHVQAALTVRRLLVPDEKAQTETDLVSSLDLQTASI ESALAGLSLLDDWRSGSEAKASYVDHARNRWKEASGFQQA UREG_05346 MTGTPHSYGSVWLQGFSACWFSVGTVDAIRLHQFEKLDLFWANP SKPAAEPVSPKKKGMSRPSTTRPTLTPQFCFNQRALRDFLRISRSTIDDSITQNLNAL VTPALQGFDPTSTSARRTDFPNNTQIDSESCQTFKEKVLFPSWQVRSDVLNYCAGVAT SPDPEDPDLVLRQVESAKDRERIVDERLDPYSARFFPREARTESLAMLLRNERGVETI IRSRTWGMVTERCGNSFEGWEEALNRWREQQSQTK UREG_05347 MPELAEVARIVHYIRKELVGKRVSKVIAKHDDLVFGKQHLSEAQ VQELHSAIHYVCATSVDLLGDSSRFPTDWLMHHRWNKRSKQASKMLNGDLVTFITVGG RTSAIVPSVQKKSPVATTDATANQDDDNASAAKSGSGSRGKATSKKKADEGAAVNGTK ASTSAKQTPTKKEAEIANGKTGGSVAQTASRKRKAATTEPEKAESKSATPAKRTRAAA VREEATGAKTPLRRGRSAAKK UREG_05348 MADRKMVQTVLNFGQGGTAPTIRKPNPREAATVDADSDQMEDVQ LTDTTTTTEPSTQLTWSTTSFTADSTMASDSRRKILTRVARETIALLPNVLETAPHAP PKGYLYTDPPLLNQKYCPRFPPTAIRILNDDTLDTAIGLAQCAKYITVRDKRPVCVLN MANAYSAGGGWKRGALAQEETLCYRSSLSFTLKRRYYPIPELSAIYSPTVLVLRKSIT DGHGLLRLEEPENLPVVSVVSVAALCRPELMQGRTREKFKDPYDRELTKEKMRVTLRT AAVNGHRRLVLGALGCGAFLNPREEVADCWAEVLREQEFTGGWWESVIFAVLDDLGQG KDGDGNTFDNGTYGRVIEKISPEK UREG_05349 MSAILSADDLNDFISPGVACIKPIETLPAKPDQDESAYEVTTED KVAPENLPPAQISLTDCLACSGCVTSAEAVLISLQSHAEVLNTLESHPELRVADLPNG NYEEDGRIFVASVSPQVRASLAATYGISERKAGYMIDQFLSGPQGLRAGGQYRSGFTW VVDTNVMRDVVLALTTDEVTEACGNPSLGAVSQSPDFPVPRRPILSSACPGWICYAEK THPHVLPPSLPVKITPSPDGHSFPIPQLHRSYSQNTAPPANLLPPALQGATFTTSLQP TSPSILAVSFKPSGAANSDVIEYSLISAETGEPIIKAARYYGFRNIQNLVRKLKPART SRLPGARPPTSRRGAAPSSSKASAGAANDYAYIEVMACPGGCTNGGGQIRVEDLRDIS TTTTAGSGNNIPTEPTPPQKPTPQEQREWLARVDEAYYSTDSDSASIPDRMDLDADQA PEPPSTPQSIHSILQYWSEMTAIPLPKLVYTTFRKVESDVGKAKGGAADTARVIELAG KVGGGW UREG_05350 MPGQVAQLRTPRTPRTPRARRCLRHDSSPAPRSWHGQHDPRAGR LPRYRPRIPNVIFKRSTHPRSPKRCRRRPRWRQNKLSDDTANPLRSMFSSLSVNDQKA ETGVDCTPEKKFQACSPNTRERSFAEIINGALDQNPSGEASGFPNPFGNPGRHPFQPT LNASSPEIKVEPISPVSLFSGPPQPRSQMPTTGALGNFGFGSDQNLFGPKEPSRRLLE LVRLNHHRSKELAELVDNFLRRYPGYSQEQHPKTSGNSHGSDHEGQDNGGFLPVAPYV ATIPGGGDSPPRYPNGTFRGHMVDQPDAMQPVLSSHASQATTRSTSVAAEEERYPYVP PTPVLAPRDIEFRNSWKLDSGALHAPFSNSGLVRDGCALRNPLCSGRNPCSGHCITNT FAALPPEISSPEELRRVRCSLAPSPEPARQFSMDGIEFSGHAFNQNAHQGCGSGEATP QARETVLWGSETVVNGIDKNSQKSELSLGTLNAETFNRPSRREFFRPNAIQPCPCTPA SSPNSPRCTYCGSAGASVLSG UREG_05351 MREAAQQTEKAPLRKLLRERGRKEGTYRGGLCDIVGTGGDQHST FNISTTSSIIASPFLMIAKHGNRAQTSFSGSADVLNSIAPRPPNISAVNASNLVQVLE ETNYAFLFAPNFHPGMMYANPVRRGLGLRTIFNLMGPLANPVEWALEARVVGVAYQSL GPVFADALRLSGAKKAMIICGEEDLDEISCAGKTNCWRLSEYPNPAYKESGRAETDQD EETSDDEAPPKTLVKLDMFQIQPSDFGLPSHPLSDVGGGRPPRENAQMLMSILRNELP RDNPILDFVIMNVAALLVISGVCEADSSNMGPGDDGNVIKERGPGGLRWKEGVRRARW AIESGEALTCLEKFIDVTNRL UREG_05352 MVLHDESALELDKLRWETWDPFPELPLLTGSTVPNVGRKLFIHS PSTILKLPGIEGGEGTMTALAHSILGDLVPRVVCLVDVPDPEPGRQGILFTRRPGTPL SELWPSLTIPQRKSIKEELCRLILQYRRHRFTYYGRPKQQPYLFSTIFGPRPEIYCTS RSEWDESRIRAMKAAEDAPSPERAAILEKVQRDIVGATGWDRPVLTHGDLSERNILVD PDTLEVTGFLDWESANIMPAYFEYVQARVSGGHDPEWRVEVLDILRAVLRHECGDVNG DDGEGEGRYMKTLAAWNAMVDVERPALGYSDECYWTFETGMPEAPNGPDPSTLISERK NTPAN UREG_05353 MLSFLRNAFCSTPSLDLPSVLLVVGTFGSCIRVLHLLPEDGTIE RLSLTTGSTKFRRGGTKEQAREQIGTITLDHGNGETAWGFLDEIEPRVRKLWDDSLNS KPAQTESIEVIQLANDVLKPDPIGEFERIWASEKRTRPGALCSLYTPTAAMPPRLRLS TCSKLSQSLRHESKRQSRATIGAAFASQFYTPSLNHTRAATTAAAVAATPTSYPPTQP PSHRRPEYRKTQLHRQYTSLLRTMPLILLFQHNNLKSIEWVNIRRELSKALRTVDENH IANGRTDVPPLADAIKMQTINTNIFEVALRVVDFFRPETAGIADSASPIMTHDLSEAA FQAVLNKRGKHELAALLVGPIALVTFPYVSPEHVKAALSILAPSPPTFPAPKRRVNPG YYELETQSGLQKLVLLGARVEGKVFDDEGTRWIGSIEGGMGGLRAQLVHLLQSAGASL TGTLEGAGKSLYLTMESRRSVLEEEQNGGKKEE UREG_05354 MVAEHLTIRNLTANPIELKHVERFAPPDVPKPDIKNITKTFSTL MNNITRSANEVDSIAHGSESFSRQDVSVRVEPFTTVKTDIRAFEKSDRERMKLTIEVE GERHQVQTPVPTSESATMRALNDNPRFRFTGVYVTPESHLAVYSSANLNSWMRELNND TLLSALSIPGTHNSPTCHLAPPSVRCQAASPREQLENGVRFFDIRVQPQFPNDPSKDS LVLVHSAFPISLTGNKYFRDLVQEIEAFLERNPSETLIMSVKREGPGKHTDAQLSKIL FDHYAKDSRKWYTEPKIPTLEAARGKIVLMRRFGLDDSLKREWDGRGWGIDAGGWADN TPHATCPSGDICIQDFYEVLETENIDKKIEYVTAQLARSGALSYPFGALKVDDNGDRT PFYVNFLSASNFWKVQTWPEKIAAKLNPATVDYICRKHHGDEKGDWSTGILVCDWVGL DGDWDLVRCIVGMNTRLMMKQR UREG_05355 MGSLYCRGSLSKALKSRISLRILPAAPQLSGFNHRSRRRFHSTP APWGIRSQILKDVGEGITEVQIIQWYVEEGARVEEWKPLCQYQSDKAVDDITSRYEGV IKKLHFQADETIPTGQALCDIEVDDAQYPDSSAPAPPKAESTPEPTTSAAAVTEESAQ AILAESSQAQVEAEQAAPPSKYATFATPAVRGLLKEHNLDITKITGTGKDGRVMKEDV FKYLEKRDSQAAAPAVTPSAAPSIDTAQVETPASLTPIQSQMFKTMTKSLTIPHFLYA DELSIATLSSVRQKLLSNPTDPQKVSFLPFIIKAVSLALQHYPLLNAKVDTTTNPKKP GLIMRSSHNIGVAMDTPTGLLVPNIKNVQARSIFDIAAELTRLSAVSRAGKLTPTDLN GGTITVSNIGSIGGTYVAPVLVPTEVAILGVGKARTVPVFDDEGNLSKDQKMTFSWSA DHRVIDGATMARMAEKVRMYVESPETMLLALR UREG_05356 MASLASRTLNRHSHLSRKHPVAYSSPDPQISMQHIWIITGPAGC GKTTVAKGLARELDLPYIEGDDYHSKSNKDKMANNIPLTDADRWDWLIQLREAAISSL ASVTSPSGVIVTCSALKHKYRDVIRVAAYDHPSVRIHFIYLRADEQILLQRVRQRQGH YMKSDMVHSQLQNLEEPDSEWDAISVDCAATPAEVQRRVSVAVQNKLDEYK UREG_05357 MSSFFFSTPVDIDIVLEDADERETVDIKLDKNRREKAPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGMIEMFFDRGNHYEFLSLVQELAAPGELQHPR TFTFNFKNVEKQYESYNGINVKLRYFVRVTVSRRIADVVREKDIWVYSYKMPPDNNAP IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGSPP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKYSTRYYLSLVLI DEDARRYFKQSEITLFRQPPELLPAPGAEPQLQQNMPSRSQPEAGGGADGHDANLGAD PRVQGDHQVPAAA UREG_05358 MEPKQVSSSSQGELKLMTEEIDQAHPSNLSKDAESDQPDAKALQ KQLRRIYWKVDFRLLPILGALYAVSGIDRINVSVIQSSKTQVCILKSAVKLSSARVAG MHKDLHFYIGNRYSIVLLVFFITYFLFEIPSNILLRKIGAARWLSFLAMSWGIVIMSG IQPLIFIRLLLGLFEAGFFIAWFYSINVIANGFGSLLAYGIIQMEGRGGLRGWRWIFV IEGLITCALAILGYFLIIDFPDKLLKKKSFLTPEEVELIKAELDKDRSDAVHDPITLQ KIVRTLTRWELWVYALLFMCVAASIYGYAFFGVVILQGMGYSPGRVFLLSAPPAVASV PFSLVISYLADRTKLRAPYVAFMAIICTIGYVLVAYPKQNGVRYFGIFLGLAGANGGL PAVLAWQANNIRGQSTRAVASGLQIAFGAVGGIYASLTFMEDEAPTYFSGLWAGIVRS SKCAFEAAPSPVGCVD UREG_05359 MTSLVNLQALVTDVSSGVDVQLKVLQTLPSLFQWHAGSLSGQLL ATTLEICATLQNVKTTAVANTAAATLQQLVIAVFDKASKEDASLGKETVNISVANYDA FRLFDDLCRLVEGEKLEYLSIKSLSRIFVLELIESILVNNARVFAHHPEHAYVLRYRL MPLTVRFLSERHNFSFTVRVARILLLLLKSHLDLLAAESEVALSLLIHLLDTDASPPW KRAICMEIFKNLYSEPRLIRLIFRLFDEHKERKSIVKDHMACLVRLAAENPSLIGVSH RSTVPVAPESSGGTIEEQVALEAVVSAGLIGSPSSSTDACGISSQWSLLRAPYIETLD KLEAPNPPETYLYSLVLNCISSFSDSIAKFILPLTVTETKNRRKRQESIASKEESTID KPNVDRSRTSKSYSVPLNPLDFESHPQVEDVKSIAEMIDACWPAVLATSSTFLYAALD NEFYHNLVRAFQRLAHVAGLLRLKTPRDAFLTTLSKAAVPADMLNSSTIATLPSKPED HLLSAPASPVPKSPSMSELVHPSTENQRATLNTRNLLCLRALLNLGIALGPTLDSMSW SIILETLQHADVIISTSSTSSLKPSHSSQSSDKSSAPNIESLKENFGNEIYAVRAAAS KMFENSQDYPNASLKIFLITILSLSDITEAYKWSEIGKAASTSPAPIGQPGRLHPSKR STSIALSRSRIQENELTFVLQRTGELLKSNTERLSMAVDDEGIWDILVTNLIAIIQNG QINLTHRSKASEVLNALVLDTVKIGESIEPSSRNQIQLRGFHALMAQISGLYGPERRP NSITRAADFQVHEFALETLKSMLEQCGDSITAGWDMVFALISSVFTTQESKHQNTVPL SSTLPTISDPGTVLARSSKLVRTAYDSLQLVASDFLSLLPTSCLLEMVETFSYFTSQT EDFNISLTTTTFFWNISDFLRGQIGNFAISGEIDITSTEESLAKTASCADSAASRNAL WLLLLLRIVHTATDSRTEIRNSAIQTLLRILDHYGQQLASESWHICLNRVLLVMAESV GTRLRKALESTETGSPDRKAWVETTVLVTKGLSSLITNFFGTISHYSDFRQSWSRLLQ YFGDILKTKLLELDEATFAGFAEVLSSIKNCQDVGKTAIAGAWSVWANNPPTSEGHDP EAPNQEALRAYLNMYSQIYRLLGSDLDSRQIVTVLKNLQLCVWGSITTRYSLDLERQS EVQKTVIECLKALCLDKPNSQSAIVRYLGRLADSSLTRWSPEQGKEKPTFVAFSKATM KLLSWYLTEKGIQVEVLSEDTLSEAIGHLVNPILNKYAWTGEDREPLLWQIATTTSLD ILQISIPSIETEYQNLGRETITKFWLPIVELTRGIVSVDDSVENNVPLATLAENEQFD IAAFHRLLTLIVPSLGSSVIPDKIRREFAFILFKSSLVYPPQRTDPPLEDLKLDPLRD LYHVRSGRTVDAFQTPRIKLSYLLIDTLFNLASAHLPKNEADPKVRTESTQSYISIAK SMSPFLVLRCAMALKSYVADQPLRGLMPQPAVSRKELLHLLRKLDELKSEPAAIPATG LNVRVKPSLDETDAGNDEAKYKKHLGWVYPLIVKGVPVAGREGDDRELLEALTKILDS VASYFILGID UREG_05360 MTAKVPRNFRLLEELEKGEKGQGPEACSYGLADGEDIMMRNWNG TILGPPHSVHENRIYSVNIHCGDDYPDRPPMIQFVSKINLPCVDPRSGKVDPTRLPCL IEWKREFTMETILLELRRYMALPQHKKIPQPPEGATF UREG_05361 MNNHLLENVAGSVWLVNEILKALETSANEVLQIQKTPAEERVQK QHQQVWHLNTEVYKKENKLKNEESKQMMHWSYFLKFNKKKIFNIIKSIQIQKMVETDK NENPNIKMHCCNDSEKLLTYFVKHFIKDRFFDVFY UREG_05362 MPRHLAPNRSGVHRLACLSLYHALLALGNRAFRGSEKADEIRNL VRKRFRKDRKLQSIGQIANALKAGYEALDLFHGCARRDIESIQRVDSLLVATRALRHQ VIEEHRAQSAQPPLCLRKRPPPKIRRDRTPEALRPHPDRKPILSRPRPVVSGRRHVPV LVNARGIPFLRIRKPQPPSLSVTIKGLLHKRWKRIERRERLQFELGRAKDEDLWDDIT GEKDDVPWTACVRDCLDEVNAQLLHKDLENRAMAQKMWGVVLKERQLAKKEALERRKQ KLAEQAMKSD UREG_05363 MRLTSEKWLSMKCLATYFLLCNGLEVAAKPATEATKGPGPVTVV ASRKGGAITVVFTPTSVSVAGITPPVTKATTITTTKNSKETAAIAIAAGAGIVANGAL AAWVFRPIPEGPPAPTTPPPYSTSQQPKPSKTKDPPKGTTTTMKPAACPFTKVNAKHD FTSVPDPPKWTGKIPSQTVTSKSPECTKAGKNNELLRGTNPDYVNELAKVFCKGGLSK DRSSTLGYDDLPKKGTFTDKLKEIQVKFNFKFGRKNDACPKNCAASYASMIKRCQYNS HAVFGGGSLEQGCGTYRFMIEGEPRTELSCKKSESRGVFFNYQYSDAALDSIENFCKA QDGKVIKQKDEKTWIKETSFSVTYSDKCKGSGEYKIKKDYCRKYLQRTVNDCDTHTRV YKHGGTVTDTDNCGSFTFHPTGYDVFFCYPHNKDGGLITQGTHAIVSLDIVKDAINAF CNREGDGQTYTISPDIKPNKGFIQDTCKEKGYASCGYFYTKDGKRVTKKGEVGDLVLR MEASYHEQKEIKCGPKKKCKDMLNKVIGIDPKGMCVGKDPKKLDLGSFLESSEKGCVL WNMWAVETH UREG_05364 MKGFISLPLFASFCAAVVIHPADTRSLSHLEKREGNQEVKQLDD EPDYEDPVTKAKTPKTRVLIVNQPKEATERWFDWDESCTDQEDRRKITAAFQDSLDFI KSASGFLEELTKGLPQKPGKNANKENIAFISEKDPAFTQMFYAQDNRIGYVKESFDIL HKKMQQFEGRHGDASGVRFICDKKGEVKGSDGESYCGTGKDAAQAIANNPNNDQPIEA KYVYKHSSSIVFCPSFFDDMRFPNAFAIAGDSGLEKTLDNVDCRERIMIHEWLHLKFT RDIGPKPDITGFEKAAKIAGKQSPRKADWAAAKVNCDNYAWYALYAYWNNANTACGGD AWPKGVKKPNKP UREG_05365 MGVCPSKKMNSGRYDRPDLAPHVRSFTWTLAWINHDEDDLIEID YELWNVFSRLTNVRHLDLASLHDIFYLSFIRENPSRLFPAVSHLRLIGWMHRGLVEAI VNSLDPAAVTTIILDFLQDEGAFPNGAPMAEDVAEQYARDTGLDMRPGALIDEDLYER QRTGTAFSFVKPQNSSYLSVRL UREG_05366 MGREDQDEERETLKSIFPDEITDISEDTYRISITLDVGHHGDDY SDPPILILQVTYPENYPDVAPRLEISAPPNAPKYPQFDIQEDRDRLLDSLQTTIEENL GMQMVFTLVDTLKEGAELLISERRAAIEALREFEAAKAEEEENRKFQGEAVTRESFLE WRERFRAEMEEEERRKQEEREAEEKKKRATAKGPEKLTGKQLWERGLAGKADYDEEDS LPVKLASVDLKA UREG_05367 MAKTRPSKKQSKRKEKSVLRGTRAIQKEMMTEDPSTLYEQAIAL LQTGQPEEALALVERGLSIAPPASSNTLIGLNLAGELYVQLGDIDTAREHFLRAVTLD ADGVKPESQGGGAEKFLWLAQLSEEGGHDSVRWFERGVGVLRQNIQALEASNSEGVAE ELQEKKQKLANALCGVIEIYMTDLSWEEDAESRCEALITEALLMAPDSPECLQTLASI RISQLRHEDARAALARSLDLWRDLPPDHPRVPDFPVRVSLSRLLMEAEMEIEALKVLE RMILEDDQSIETWYLGGWCQYLLGKKGREQATGSPSEAAAEQQRATLLSSRSWLRQGL KLYDMIQYEDTRLKEHALELVQELEQELGDLIEDSGDEAEAGNEDEWEDEDEGDSAGQ GRDTFPCSIMDVTPKPAGKETSPKTKVLDSVVIHSRVSSEVREAPESDNASLSDLSSL EDGSDEQWETESLYEDAVQFVSDEQLRDGVIPGAVTLEEARALRSRLREIGVAQFLAE TVYHRKIPAKKLCTAFGIVPPAFLDGSPDETYLNPLCIGMRREYSKRLKLPQYNTIDD AVELLKRSKNIVVLTGAGISTSLGIPDFRSKDIGLYSKLQYLGLNDPQDVFDISLFLE DPSIFFSVAKDILPTEKRFSPTHAFIKLLQDKGKLLTNFTQNIDNLEANAGVDPSKLI QCHGSFATATCTKCHIQVSGDAIFDEVRRGLVPQCQACTDQLKVKPHQMKRKRSSNGS QRKDRKRFTDDDSDDEDYSIPQPGVMKPDITFFGEDLPDAFRDRLINHDRDIADLVIV IGTSLKVAPVSGVPGIMPQNVPHLFISRTPVSHIDFDIDMLGDCDVVVSELCQRAGWD LKHEMIPPQQKVEIQPEEGFASRYRFTASV UREG_05368 MDRIEHADDPVDASSNGAEIAHDRTPASVNAGNASRLAAPMNPP GRRPERRPSIRLMRLPNTQRLLVQAVPPGLDTRHGASQAPQPPETRRRSSSEPQRVPL DCLLDQNALSARRNAAPMSPLSEEPSRQRSHPNQHEQSLASEEPAQGVVLRPIENKHS TLGASRGRIRRMSSGARSMLGLNRVATHNGAIEVPSLPQGEYEAEVVNLLDVIDPEVS TITTLTNVQNSLFIPDLGNWVNRRPAYAITPGPSRGHAQIERPARPAEGEKPQKDGAR PVLERTGTMDTITSTLSESRFAVLPDGVSLEGWSADDKRALNDHVRHMLHSRRSAFKR GLKGFIQYVKKPLGFLVTLYATLITLFGLAWVLFLIGWINVGGKQLYVINVIDYILVA LFAIVGDGLAPFRAVDTYHMFFIARYHRLTYKLRRKQRLPKLRNKNDLPERREEELDA DIDIEKAGDTSKTEDTAEFSVLTPKQQESLTHHAGKFAKSHTFYKPHETTTHHAFPLR ILIAVVILLDFHSLFQIALGATTWGIDYRVRPFALTTVILCCSLSCNFAAGLLIWIGD RRTRKKDVIEKMFRQELTEQAITKLQKKKSKKKEQEEKERERKSQEESRVLGGRPSEE RVLLK UREG_05369 MEYLVRFAQVHESFRRPELEALAIVAGVDLEVIEYHEESPFCVI KVPDEKSARDLVSRSILVKSLYELWGRGQNYEEMHQDAQRRAKFEKPEYRTCSFKFDF DSYAGKRSSKEKIPLIQSFAYMGLEGPIIMANPDETFIVFELYDRRIPVAKHKKDAAE PLRLYFGRWLADGARDVVDRYDLKKRSYISTTSMDSELSLVSANITLAAPGKVFYDPF VGTGSFCVAAAHFGAYTFGSDIDARSFKGQKEEGKPIGVVRNMLQYGLEANYLDAFTS DLTNTPFRDTSIFDGIICDPPYGIREGLKVLGTREGKNIGPVYVDGIPTYTMEGYIHP KKPYSFEAMLDDILDFAARTLVPDGRLSFWMPTANDEDVELAIPANPYLELVSVCVQP FNKWSRRLLTYRRYPEGETPKYEPRIKEAPTGTTADELNAFRKKYFQRFTPKPNNSST S UREG_05370 MPLTRGHVLVIPRRHFRNLGEVNLGKWLPIISRVVVRTILGTEP DERGDDPAHWNVVQNNGLRAAQTVPHAHFHIIPRPPLEKITPSKMSWVMFGRGQRDEL EDDEGQKLATQLRAELAKEVAKIKETDGVDLDEDCFEEGFSSSRLGKL UREG_05371 MDSSIFAQITSGLNSSAFLSVPSYKSETGRICSLEIIQQPTRTR MCGFGDTARWPINPPPSARLLVLDEATGEKINAAAVDISGVVVIVDLLDADGTTSTNL VEYSLTDPAVTATSFIGGRLGNASGSPSYDAAAPVPPSKQLGTASADGYAARRVRSNM VGRNISKAIILAEAPEQEIWFFLTDLGIRAAGNYRLQMNVVDVNPVNPVSEDFDGITV TARAVSDVFEVFDPDIPA UREG_05372 MPPARSLRQRAIANENDENATTTRLTRAKAAALAGPDSQVNLTA TKKPLQSKKSTLTAANTGIQRKRAALGDVSNVNKADNIESNDSKEVKKSTSRVGLTSK ATTQTGGVQKVTRNNTARSALGVRDANKREVEPKRPGSGSGVMGSAQLKRQQSQKPLA ANENHEATEEPPRKRIDSGKKTTRFEEHAESDPESEELVEKEPEPKTKIPEKAINLDA DDLYDPLMVSEYAVEIFDYLREIEPQTMPSPNYIEHQEELEWKMRGILVDWLIEVHTR FRLLPETLFLTVNIIDRFLSIDMVALDRLQLVGVAAMFIAAKYEEVLSPHVAMFSHVA DETFSDKEILDAERHILATLNYDISYPNPMNFLRRISKADNYDVHTRTFGKYLMEISL LDHRFMCYRQSHIAAAAMYFARLILERGPWDETIAYYAGYTKSEILPVFHLMIDYLYR PVAHEAFYRKYASKRFLKGRVYFAFVIQIGTHPNAYL UREG_05373 MLQPRIPLRGLQHSCKYFSSLLSRTYATVVESQPAAPEASVDTI SSFVPPPSHAKGGAEIRRYKPRTPGLRHLRRPVNDHLWKGRPIHELTFPKKGQGKGGR NNTGHITVRHRGGGHKRRIRMVDFNRKEPGPHLVERIEHDPNRSAHIALIRSKLTKKR SYIIATEGMRAGDVVQSYRAGIPQDLWDSMGGVIDPGVLAARTAWRGNCLPLHMIPIG TLVYNVGLHKDKGGQLCRSAGTFATIVAKAFEGFDPESDVITDERGETKHLTLQDKHR LKKLSEHVTLRLQSGEIRLIHKDCCATIGVASNENYHYRQLGKAGRKRWLGIRPTVRG LAMNAMDHPHGGGRGKSKGNVHPKSPWGLPAKSGYKTRPKRKVNKMVVTPRPRNQGKR RRGYN UREG_05374 MFTQPSFIDLFLERGIRDTLLAHSAKEDILSLRLVCQELSIQTA STLFRDVEIEFRSSTFTRPARMAALERIGGFIDTLTFRLPHLAETFLPPLLDPFTGEE QIFVYTPQIHASQWSGSRLSIPKYGSWEMTDLLTKQYPPLFHAATNIPSFVRAFTAMP SISHLIISCEGQVSGHRYRRSVVDYALVSLRIAVEQAPLKELKTLSLLPIYPGALLYL RHMVGFGTSPAARKRWVQIRNLSIHMDSFPFGCGERTDHLKLLHSYLEGFPSLRELTF RWRGKKGPCPLTLSTEPCMRPSSNRLPLRPHTPESPKSPKTKLRPLRFPFLRHMELEN ATLDASQVSSFILAHRRSVREFNFEETTLRSGTWDEALAPLTRKSTGSPRRKHRPPPV EVMDVPIMLSPIGMDASQLQKVIMAETKRKDVSRHFRAYANFQRATSRTRGLLLCGPD HMKRFLRSSVFSWR UREG_05375 MSVAKPSFKSSAAFDVINDALKSDKAERENAIKSTKAIFTFTLT NDKGETESWYLDFKNQGVVGKGAAPEGEKSDVTLLLSDSDFGGLVSGSSNAQRLFMGG KLKIRGNVMKAMKMEPILKKAQTKAKL UREG_05376 MSMNAEAPQRATAAQLAKRKIRPLNQRRGRVGSPSTTTFSQSSP FNTIDPNIVPNPVSSPGVNGFPFGQSQSFSQGNSQNTQPQPFGDQNNSFAFFGSQSSS APSSFSFSASTPNQQINNPFSNMGSTQNSNFQGFKGNMFNIPPTTNQNGQSGAGNIFG GNAFGSQQNQQQPFSLGGSNAASSSIFATSAPPTSGVFGQTSGNSLWPAAQPFATSPV KSADQMQMSPDGKPAFGESKSNPFNISAPAQPSFTSTATTTPSFSFSGFAPTSTSTSS ASTSASAPAPSWNFSATAPTGTHLFGGPTKANESAKATSSTESSSTNAPAGFPSGALF GRTSEPEKSVSKTDTATPTTSNTSQSTFATPAAPVTSNLFGNISKPKDDSQDSSAKQS ATVNEPPKLQFGSSLFGTSKPAEGEAATPFGRPSASTSVVSSTPSTTPAQSLFPSSSA KPLFGGFSKPEPTVPEPSPTPKTDSATASLTPSPAAPPALLASTNGASSFSRTTQPEQ NDTKSGPEKDTAPTPSLFASSAPAPATSLFPASATSSTLFGSSAKTSEPSLPSSTGPI FGETTATPSSKPTFGTGFSWGAPQSTAKESSKELSSEQSKAPAPVASSKTFEKPAETT FGASSSIFKPTDASQPPAATTPAVSFTPFESFKAVQPVAKDAAVGAGALIKKPDYVDS GIQTIYEVESEVAQLMPSEDEIPSGLNKAEKEDWIKRWRFQYINESFKEQIAALDATC NDFEPLIAYYVMLRRQIGYPCSMVSHYRAVPHGSKRIFYPGDPIPAQKEESSKDEQAQ ITQGGHIEIAGPSPSTSTKRKASDDHDAKALPSQSEKRAKVADESNVAKVTDQSATEN GEGETSDTLRMFISSYVAAQGRETSPQPLQLEAEPSETQTAPSANPISETSAQSPATN GRSLFDRVELDNSGQLRREVDDKAENKVDSTSTEKSADPIGNLFNGSKFASSPSPSNP KTDGETPKASPPRSMFANNTSFPKFSFGTGSEASTPPGTAGSNGVSTPNLSTLFGTPA PKPLFQLTEPKKQGSSAMLSPAPLSASTSVEPSRSTTPAVSDSGAGDSTEETANLPQV DLTKGGVGEGDEDAKFEIRARALKLKPGASWEVKGVGLLRILKHRENGRCRILLRADP SGNVILNASLIPQVDYLQRATSVQFLVPAAKEAEHWALKVKDASKASELAAVMEECKK ID UREG_05377 MLDATEEVKQDLVGAVPSEDINIAGKRGDGRLSPVVVIVAFVNW NSQLFSVVSINSTQVERDANEAAGFNMLSYFRVGPNLGVVLTRVLGPGPSRQRHGRVG NRVSESEAREGNKEKMVGNSSRPRIQQPGKRFPPGMRMSKKK UREG_05378 MGIAHYVPRNVTFAIDDAEADWHFHQKFDYIHGRLLMGSLNLKP GGYIEVQDIRLPFGCDDGTVRPNSTILQWIHLLIYACDVLERPIDVAKDHKRRMEEAG FVDVVEVVQKWPMNNWPKDPVMKEMGMWNLVNMLEGMHAATMAPFTRGLAWSPQEVEL LLVALRRDVKDTSMHIYWPVYFVYGRKPLNAED UREG_05379 MSSAKAGKKSGRSAIADVVTREYTIHLHKRVHGVSFKKRAPRAI KEIRAFAENAMGTRDVRLDPQLNKKVWEAGIKGVPFRLRVRISRKRNDEEGAKEKLYS FVQAVNVKDAKGLHTSVIEDA UREG_05380 MSAILKKPLKLALVQLATGADKPVNLARARSKVLEAAKAGASLI VLPECFNSPYGTQYFPHYAETLLPLPSHNWNSRHHSMSYHPSRRKPRPYLVGGSIPEY VPETKQYFNTSLVFSPTGSLIATHRKTHLFDIDIPGKIRFKESEVLSAGNKLTIVDLP EYGKIGLAICYDIRFPESAMIAARNGCFLLVYPGAFNTTTGPLHWSLLGRARAVDNEV YTALCSPARDMNASYHAWGHSLVANPRGEILVEGAESEDVLYADLDQGAIDEMRKSIP VYDQRRFDVYPDISKGDVKFEE UREG_05381 MFEGFSFPSPSSGDSLCVPGNRDDEGFLHCESNLVSPLSSRCPS PRLGPRDYSARITKRSRSPFRRGPAPTSMPPGYVDRHRLSIGSLTRKLDSQSLEHNDL SSDSDDSRGYPITPRTGYLEPNASANWMDRKPSIAFISPQYGEKRDGYATSPFDISPT STPRSSWDRRYSHPVNHTSTPNTSSPRHGSIEASKHRQSVSALRSQREKLSILQCAST SVADTIRLAQIIDEDERFRHYTDDNVNNEQHPSSLPPSRTPSRRQHKKPDLTKASGGS ILSAASAGKSKVDKSYGHSDRRSGRPGQTGLRRKSLVLAAVTAVLESESAGNHRDSAT DPPASDQLQSLENKRPLPLSQLALA UREG_05382 MPAAVEFAAATSSAPTTKPALAIPPKQGLFPKPYRALDRAFCFP DENQSKWWKATAPIFARMLRDAGYDVDQQYEYLTFMREHVYPHLPPALGTKRVFPNPS SGDPDFELSMNFQRSKSTPRVCFLPIVRGPGDDDASYQPDPTRILKTLEPALRDAGYS TKIYDAMKQHMVASDEEKESLQKKGLIKGKIYEVQSTVALDFGANGQIMAKMYMFPTL KSFATNISCSQIFLDAVRAVDPTGQLFPGLSAIEEFFASSIASGPGDASSTASPWNVA FDLVEPEHSRIKIYAYETHVDLKRLEHHWTLGGKLKEPEHLEGLELMKDLFKRLRIPE GHRKVSEDYPIWKDPDSLNPIVVNWELHPGRPDPVPKAYFSIRGLKDMENTEAITEFF ASLGWTHHAETYTPNLLSYFPNTNLEETTLAQSWISFAYSRARGPYITVYCQDLDPTL SREPH UREG_05383 MLSAESGRKRMGVYLEDWDSCIQMMADAPFPPATEPITTPPSPL LAGNLVPLPLRPRLNSRKTPPPAFSPPLSPTPTLFVSLQLAEPGKPVSGNLSLHRYRE SLSRPRPEVTDPPPARSLKRKPKALNLSTGCVPRTPPSPPPTPSTASVYSGSPSPLPS PALSAKIPVRLNGDDDDSVATPVSTSAAQKSALFAGTFPEPQALGLVSGVNATRPDSQ NSKLNAFCKHIRQIPARLFSHNKSFSEVVPSSSHHQRRLHHRGVSFEILNPQRQQYSH SCSSIANIQAKFVDPGNMASPNRSGARSRARSRSVDTERQRTPSRALFDDLETAHSSI TSRFPRFNGGANPPQLQPSPAIDLPPDERQDKANDIQQSRPLASFHRRRHSDTAALAN MTNSKSHQCTLARHVSTGWSLRAKKGREFPRKPAKLVKRRPGSFAGRNLKHNLLSLST RNSSSPVLGSYLNRHQPNLNGIRNRIDKPKTIDNIIKNRLNMFDVRLRNARISDLEST ESCADIEKHSVEDEEPPTNATQGEHDTETQMHEGSSRCEETANSLQVEASTPLNNPQH VPQELAPPGLYCERNGASSPNFSRPIPPGKWLSSRRFSPFKPLSRSNRIAIDRDGTPC RPCSTVHVQSNQEEEPKNEQTTMKLLSHQSAAVSREIEQGLFQDRSDSQFDGDEPIDL GPGSSQGSSTQHLSQYMGSSAIFPPPLRVSSASSRLSEQGPRNPCLYGGLGTRSSIKR YESSFGGNRTNMRAGLDQRAEQLGSERIHEADDDADRDWETVAGSQQFTRATVNNLAQ GDTECSLADCSSFGSLANADEHTGPPLRSSRIGLANSSYNANTVRTPAYAGRYSHYWH QDPSTGQYVLLPNTSYRNSEGARLNAFSKPVPALIASTSRCPTPLPNRYQHPAPLRDA HTNPFCSTPPSLHGPSSQGDEPGNSAGYFPSVGNSKLTSSSNKEDKHTSHRGFGNSQR DSSIRESARASFQHWFSEFVAKDPAQRAVEAASPLHHFNAWNSQNGGLYNSLDASSLN VSKISTISTDDDISTHPLSINLNYHPCFRPFEQPIQPPSHGQLTRENSAVPSRSLSTD RLLPPVPAISKHSPGSLYHSIRSARDRVLGNTHKRKSLNNVLPTTIQESNAHDEPAAR PASTGLLERHIQRQGTIKSIFTSRSIHLRTPTIGRRSSTRQGIKQPTADELLRERLEQ MDPALLADFCQPSPASRATRATWVPQLPPIDNSLTSAPPRAATIRSPNAVVDEPWTLP ANAHFDHLAFPEAPRLEPARRRPAAGNLVEQRRLGRQIIGWCLLAVPLGWIVIAMIGF GAGPTDILIQRRSEGDIDGFHEKEELLARRLSIAIATSMFLAAMIVVAVVVSG UREG_05384 MGFPIETSLLSASLLQLDSRMFPLNAALDVDNRNHSTENHPQIA PKIPLVIKSFAALGDSYASGVGAGKQLDETCWRYSGSYPVQLNNSGIFGGHTLSMQFL ACTGAVMKKDWGVTTFSGSSKTMHIWDQINALRDADFVTLSIGGNDIGFFDLLNACLF QFYGPASPNCETVKKVARARILSREFWQTYSLVLDAILRKNPARSFRIFANGYGKFFD TALTEECNTKSLGYWLGYQPKLTVKTRRELNEISTMLNDRIGQIISWKKNERVILVNW DPRFDSHRFCRPRKGLTDPDTWFFDSRFRLRGGDPIDPETCKEDSKVAVGDWDAQARC AIAITHANYPKLRPILRRPIGKIDPFGPENGRLFHPKPEGYKAMVDQILQVWPYTVAE TKVEADNARLDK UREG_05385 MSAFLPSFFQKRLLRYALSRLELVDTDALDLDSLGIAWGQKEHA NVSLVRVTIPADIYNSAIIVEVEGIDLQLKLSPDKSGRNASSPSRSGGACGGDEQSGH SSLPSTTDLAQSFLESEPKEETEELQAALMSQSQHTQNSDSPSEDGEEELGLHDGVSL PSFVAGFLKGVVNRLQLKIANVSLRVDMEVGRDGTDKNERRDAVSGLFTVQDIAIEGV ATSASDDPSVKIGKRKVSLAGIHAMIISDAEVFSNYSRFYASESTTHSKPSHTPLRTR SPEPSSSSSDSCGDMAQSTILDPSMHSSQFTDDTYGNESCQLDSSAYSTGGRFSDAAS DDGDDQFYSEMSDSHYGERFLDNPVCLEEALQSQFDDHIEDSTILPGDPSTPVREAQT PRAQTPQSPGSSPEHYMYHSIREDNSPVQDTDLDEDSDTTATHPDEPVSRLQASGTTS APGHTPTQVEDLSASRIFTHEEAQSMYMSAMSYASTNSSPDMPGAWGSSTSEEISGEK VGSANLASLADGEEATSDTFNQQTRESSDDPVRLQNITESPPEGNERSAGLSSSPGGF SGVAKELVSIDKAILWIPVIDSPDDSKAASSAADSKPTDHMADSTSSLADSMAPNMLP YSRLRSTQSTFRRGSVSSVASLRHPALPRQTAGSQRSEEFDGLTDSQRARAVEIDVTS LSAKFDIASGWLLLKMGQQFADVCASTKSDPKGSEPTGEESSPLFVSLNLASCSFKFL ERVAAHPYPISTSPPYQFSSGIPIEGTILHLTLSKIIFDFAAAGNTTKVHLKIMKFVL GHMSQDIISFDERLKMRESTRDIRSPGHGDISLQMIKSAESIIIKPATLPMCVSLNLQ KLDEMLGWFGGLSTVLELGSSIASASTVKGGQPCPPPRPRGVHFADAVPTPRPKTNNV AVKVTCRIGGIVLRVIGEHCTVQLATTAAKLLSRPEYIALQIDKATISGPHLRQGHSD SSASFDLDNLRFMYLYGPQESDLDRLLALLTPSKDKYDNDDDIMLDTLFRQRRQGAVI RLTVDHANLTLPSPATLQPLTHLSSELAKLATVAKYLPQDDRPGLLILGLIQNFEGQI HVNRDVGDISVISQTIEAAYVTFPSLIATRISAITVVRNGSEELVAEAIPEDIGAPRT ADVLPMIMVRFIADDMDPTVKVKLYNLRVEYTVPFVTAILGGSEALIGEDVAVHMAQS VLSLADLDAHSPISEPGSINSEFNHRLATPPKISVGLKDCIVGLNPRKPPAKALVILT RATFNGALHKSKPSEASLDIRKASIMLIDDVGSAGPAENYRSRRPSGVRAGQIHCLEG MGYVPVCDISSASVLVKVMQLDAEGEKSLDVEVRDDLLVLETCADSTQTLISILGGLT PLSRPTSTERKYRTEIMPLEDMLNSLSGNAFATDAGSDASPPEDEIEVDGDGAEEVEY VSVFYPSHEDSEQQRGLSSMGASQVLSSFHSEAQMSSSIPKLDFQDDHFAKKSAVNGT AHRWDSTRNTYNLATDIKFRDSPLRVRVRDVHVIWNLYDGYDWQHTRDTISKAVKDVQ MKAAEKLARRPGNRLSADFDEEEESVIGDFLFNSVYIGIPANGDPRELSHDINRNIDD LASETMSYATSTTVTAMQNTSGAKREKLRLARSKHHKMTFELKGISADLIVFPPGSGE TQSSLDVRVEDLEIFDHVPTSTWKKFATYMHDVGEREIGTSMVHLEILNVKPVPDLAA SEIVLKATVLPLRLHVDQDALDFLSRFFEFKDESATSQAPEDIPFLQRVEVNAIRVRL DFKPKRVDYAGLRSGRTTEFMNFFILDEADMVLRHVIVYGVSGFDRLGQTLNDIWMPD IKANQLPTVLAGIAPVRSLVNIGSGVKDLVLVPMREYKKDGRIVRSVQKGAIQFAKTT TSELLKLGAKLAIGTQTALESAEDFLSSPRGLPTQSPLSDGRRDDNHLDEGERPRISL YADQPLGVAQGLRGAYASLERDLLFTRDVIVAVPGEIMESRNATEAAKRVMGRASTVV FRPAIGASKAVSRAFLGANNTIEPENRRRIEDKYKKHTI UREG_05386 MAPPAKSHVADDWEAEEGIPRFEDAFIQKYLQGREALIEEERKQ RHDVHFRNALSPVVREACRIVEYIRAKELRDVWTRDVKNELGDEILFPGMMFRLARDR MEKTDLWKIMKRMPKGALLHGHLEAMVDLDLLIDQVMSLPGMYMAASSPLVSKRDLEV SSLQFKYFSAPPSGSQSPTLWDGDYQSLTFLPVQEVAATFPDEGREGFREWLKSRCTI GYEASLNHHHGIDAIWEIFGRTFEVVDSILYYEPIFRACLQRMLAELHEDGIRYVEFR LAFDFEYRRDKCDTAEEDYIELFEVFDEEVEKFKSSEAGKGFYGARMIWTTIRRYSNR EIAESMKQCILTKREFPDLIAGFDLVGQEDEGRPLADLVPLLFWFKKQCALEEVEIPF FFHAGECLGDGDETDRNLYDAILLGTRRLGHAFSLYKHPLLIDLVKERRILIECCPIS NEILRLTSSIMAHPLPALLARGVPVALCNDDPATLGCGKNGLTHDFSQVLYGLENTGL SGIATMAENSIRWSCFEDQNQAGWLKDIKDGITGSGVKAARLKDWNVEFERFCQWVVL EFGPEVPGENES UREG_05387 MAAFPDELPCPEYFNFALHVVDKWAAIRPSPQALLWVDQKGRNP LSLDYAYFSKRSHQAAELLTRLGVKKGDRVIIILPRVPAWWEIATAIIRIGAVVCPCT TQAVAHDIEYRARASGATVFIGDDVSLEKFNAVRKNCRDVRIILQAAGPPLKDVSQYA SELKNIPEGFLFNDESSRTKWSDPSMIYFTSGTTGMPKMVVHDQVSYPLGWAKAAWSW FGAWNCGAALFIQETVGPFNPEQAFDNLHRYPITTLCAPPTAYRQFVLPSRQAYFRQN APMNLEHCVGAGEPLNDQVIKTWKEMCGLEIRDGYGQTETTLVCGNIKGNKVKYGSMG KPLPGVPLTVINQEGEESPPGEEGEIAIATTTPRGSRTLNIFTGYLQADGTVFRPVRQ AKTCSWYLTGDRAYKDEDGFLWFVGRSDDVINSSGYRIG UREG_05388 MEVLKSSTDQRRESGETPSIRAKASTFDPDHHCHDPHNCPPTEK DEKGMLAKELEDAAASSDRKAETTGSDDLEGGNRFKGWCRRHRSHIHIAIQLVIWLLF TGWWIAGLILRRKDLGWVVPFLVYLCLTLRLVFFHIPISILTRPMRWVWRNTAPRFVS LIPERFQTLAGAALVVSVILIGAFASPESADNTRENRAVSLFGLVVLIAGLWITSKDR KKIVWRTVIVGMLVQFIMALFVLRTGVGYDIFNFISSRATDLLGFARKGTQFLTDEKV PTIPWFLITVLPAIIFFVSLVQILYYIHFIQWFIGKFAVFFFWSMRVSGAEAVVAAAS PFIGQGESVMLIRPFINYLTMAEIHQVMCSGFATIAGSVFVAYVAIGVNPQALISSCV MSIPASLAVSKMRYPEQEETLTAGRVVIPEDESDKPVNALQAFAAGAWLGLKIAAMIT ATLLCIISLIGLINGLLTWWGRYLNINDPPLTIELIVGYICYPIAFLLGVPRNGDLLK VAKLIGTKLIANEFVAYKALQEDPEYASLSPRSRLIATYALCGFANIGSLGNQIGVLA QLAPGRTADVTRVAVSAMITGALSTLSSASIAGLLITDERT UREG_05389 MDYALEDSQNAAPDVPSVQETSKLNPAVTKTEVQTVTKRLQSEL MQLMMSPSPGISAFPNADGNLLSWTATVNGPADTPYENLVFKLSFSFPTNYPYAPPAV LFKTPIYHPNVDFSGRICLDILKEKWSAVYNVQSVLLSLQSLLGEPNNTSPLNGQAAE LWDTNPEEFKKNVLARHREIDDVE UREG_05390 MKHSQLAPLPDDLPFRIVSKTIGQGAYACLKKASPLHTDSPIFA VKFIHKDYAARHGRITAKQLEMEVALHSHVGSHDNIIAFYSTAETDTWRWIAMELAEG GDLFDKIEADEGVGEDIAHVYFTQLINAIGFMHSKGVGHRDIKPENILLSSDGNLKIA DFGLATLFEYNGKMKMSTTLCGSPPYIAPEVIQCSNRAQSRREGYRADLADIWSCGVV LFVLLVGNTPWDSPTDASYEYCDYVKTNARPEDELWQRLPSAVLSLLRGMMKIDTSKR FSMEDIRRHPWFTKQNKYLSSDGRLFNQINMATTMFESLHINFNKDPLSLSQNRLTSS QDVTMIDQPHEDVRPKFSSTQPEVSTDEMLIDWDGPPRLTTSFPSASQPKNMPTTGDG AMLAELLMDEPSMSQFSATPSVPLSRTQNAGTFKDIIPSSGLTRFFSSWQLNLLVPRI CEALQLLHVPASPKSSESSILIRVRTMDDRKCPLSGNIILEMIAEGIAEVEFVKVKGD PLEWRRFFKKITVLCQDAVLRPDILT UREG_05391 MPSAIPDGGLQFNTIEETIESFRNGEFIIVLDSQDRENEGDLII AAEAITPAKMAFLIRYSSHTHTSALFFSGYICAPMLPELASSLELPPMVTNNTDPHRT AYTISIDSNHPSVTTGISANDRSLTCRSLASADVKPSDFRRPGHILPLRARPGGVRER RGHTEATIDFCRLAGKPEVGVICELVEDGDEVAPNVAERTDCSMMRRDGCLRFGKKWG LKVCTIEDLVEYLEKKDSPLPALNGKH UREG_05392 MSYFQNQINSFNSNVISAASRLPQERRAVGNAASAAVPPAQAFA SSNAAPAATNGKRQDGDIVYSQPANTGTGKDIMTQVIFAIEHMKNKDVPLKFSDIQSY LSLHRQGHDQAYIHALRRILQSHDKVEYDPQGAGSEGTFRFRPPHNIRSAEQLLQKLQ SQPTAQGMSVRELREGWPNIVESINKLEKEGKLLVTRNKKDDHPRMVWANDPSLVHPF DTEFRQIWEKVKIPDQQTVADELEKAGITPTSKHKAVKQRPKVEQKKVKKPRRSGKTT NTHMAGILRDYSHLKR UREG_05393 MATAAVDVPFLSSHYSIPETTLTTLTQNPTVDLVNELLGSIAAK ARETEELKSDKLRLEVELENTVRGSEAKVKVLKSSVEKGHKEVLNLRNKLQETGKILK LKYSRNNGEAEFNTFKSRIASLEASNRDTLGLLESKSTAYDKLADELSTQHRKTVALR REVADLEQQLQAANSASASTRFREQSLQQELDLLKKNNEWFENELQTKSAEYLKFRKE KAARVSELQRQNEEANSNIDTLRRNETSLKQRLDEVEQKYEESLTAVQQLKEEAIKQT ESFRIELDSASRLAELQQNAAETAKKRVHECQLAMEKSKDDAAQEISRLRAEIETEHS DREAAERRIAELELAVKQLESEVVSGRNQPSTPIHGLNGGISTPIRPSTPIGSFSPRS VRTKGGLTLTQMYSEYDKMRTLLAAEQKNNQELKTAMDEMVQDLESSKPEIDELRADH SRLEASIIEMSNLLDAASKEREEATREARKWQGNVEGLEREGKILRQQLRDLSAQVKV LVMETHLLGSGDKDYNRDELEKIAREGIDESAEDMNNTGRFITRHMTTFKNLDELQTQ NVTLRRMLRELGDKLEGEEARKRDLSYQKDQDELKELRSRVQTYRDEMASLVAQTKSY IKERDTFRNMLMRRRETGDATNPFSQSLPLGAVPPALDNTSAQPSEGPDYAELLRKLQ AHFDSFRQETATDHSSLKQQVNDLTRKNSELQSEISRSSSQLTTSIQRAELLQSNFNM LKNENSELQKRHSVLMENANKQDLRTQQVAEDLVEARGLVDSLRRETANLKAEKDLWK SIEKRLVEDNETLRNERSRLDTLNANLQSMLNEREHADSETRRRLQSTVESLESELQS TKRKLSDESEEAKKLALRREFDQEQSQKRIDDLVTSLSSTREELVATKTSRDHLQSRV DELSVELKSAEERLVVLQRKPSAASAPENVAQEATETGERGDLTREQELAVEVSELKR DLELARSELKHANEQAEDYKAISQSTEESLQSLTETHEQYREDTDRLLDEKDSRITEL EKRIEELSAELASTNNELSKLRDQEAQFQRRLDEQKSTSDAEIARLKEQQERYEAAAQ FHQQDLKAQAEIAQNAQQNYENELVKHADAARNLQLVRAEANELKLEVVDLKTQAESA KNNLTREEENWNEMKGRYEKEIEDLSRRREEVLNQNAILHGQLENITKQISNLQRDRA GITEGTETEPASGSDLESLQEVIKFLRREKEIVDVQYHLATQESKRLRQRLDYTQTQL EDTRLKLEQQRRSEAENEQHALSHNKLMETLNELNLFRESSVTLRNQIKQLEISVEEK SKRADELQEQIEPLQTRIRELENNMETKDGEMKLLQEDRDRWQQRTQNILQKYDRVDP AELEAMKENLSSLEKERDEAVSAKEALESQVSTFPEQLKQAEDRIQELRTRLTEQFKA RSKELTGRINAKQGELNAVVLEKEQIQQELDRTREELDSLKSKAPEPAAPAVNTDTAE PQPTNGPAPELNKDDDNNNDQERIRELEEKIKELEAALAAKEAEMEQKVKERVDRMKE ILTNKLAEHKAAHQKEIENLKAAHQQELGSKAEGTPVLTDAAARDLVAKNETIRNIIK SNIRNAVNKEKEAMAQRESQPQQDTEALKELEKKFVDEKETLLKERDQKISSAVELAE KRLLAKVSMTEGRARGAQAKLEVVQKAAADTPQRPVIEVWEIAKVTKPAMQQQAAPKP PPPASPAPTPLSAPAQSPRAPATPVPQKVAPSASPMPTPQQPVEPVPPVTRPTLDNQQ QGQSQQPQPQPQPQPQQQPPPQQQQQQAMTGNQAAPTQQPAGLPNRPPQSAQPAAGAG VLRALQSGLPVARGGRGRGMQSGPQHGQFGSLPPDQQFQQQHAGRGSTVSRGRGMRGG GQGRGGSQHVQTGNLPQGVGQASPRGGRGALNAQARQFIPHGKRARDEGGDADTNAGK RLRGGGAGQ UREG_05394 MEFPRSQAEPSGPVITYREAREDEKDAIDALDDSYTTTSIYKVD ISPDGFGFSIRRETIETPITRNYSDEESDSDSDSDSNYSPRKKESESSPSNAYTIVAT INEDQICGVIDLIYRAWNRRLVITDIIVAPERRGNGIGKKLMSMALDWGREVHGAKHA WLEVSNLNSPAIESYQGMGFTFCGLDLTLQRGQNEVHQVLYS UREG_05395 MSASQKPTGARSSSNAATRTGPPANPPHHRPPLSVHPTATISET AYFQGKHPISIGAGTVIHPRAKLLSYEGPISVGEGCIIGEKSVIGGPQAAPSASEPPS TNETRSSGSAVTIIENSVLVGPLATIHSGSHIHSFAAIDASSFLGRRVRIGRHAKVCS LCRIPEDGAVEDWIVVWGGGAGGMGLQRRKRANGRGNEGNVAGLNGRSVENARLVVLS KERETLTKLIGIGAAAATQRRR UREG_05396 MVKLSYSGRKIQGLRLGQVVAKDLRKRIPPGSGARAAARDPNVE LDLTGKLVGNDGLAEIINALVACIKFRDEAHPQGVIRLTELSLKRNALTVAAMTELAE VVALSNSSLARLDISENEISVVDNGQRNKWRTFLKSFEGCYMLKCIDFSGNQLGSAGF DVFAGIYLKSDLDFVVSAPPEKQNEYGCERDIDSQFKSISLSKSDDDNGHSVAVREVN KRGVVRPKNTESYASTRGLRSVPYLIFTKSGNTSACAFHLWSASMIHLEPEELLEFLP PGKSMVSFEVAQSGWGIVFSPTEFGPLGRNLFSLGAKFSQEFSKVSDDLDTLSAGDDL DPHEVIKTRQNCQYIQRLMERAKYRIILDILMTEGLRAVELWTVAFRTMVVARAILLD DKDKPQAVIESNEFEQS UREG_05397 MDGGAGKDARQLLGTFKYPAANRSKISYGQRRVGSQAKGASQPE WRSQRSRFNDLKDSTVQMPADEDDLPLDAFAATTPSCPSRGLASSSPGMRFQVESPLT GVQHRRRAGPVTRSSYREVTSPHWQSSTTLLSPHAPGLPGGLGSDIEPSEIQLGHAPP VIQGIPLVPTHDLPDRFRSIFSFSVFNAIQSKCFGPIYQKDDNFVLSAPTGSGKTVVM ELAICRLLATIKDSRFKVVYQAPTKSLCSERFRDWRAKFAALDLQCAELTGDTDQTQL RSVQHASIIITTPEKWDSMTRKWKDHVRLMQLIKLFLIDEVHILKETRGATLEAVVSR MKSVNSNVRFVALSATVPNSEDIATWLGKDPTNQHLPAHRERFGEEFRPVKLQKFVYG YHSIGNDFAFDKVCDSKLPEVISKHSQRKPIMIFCCTRNSAITTSKNLAKLWMAANPP HRLWNSPKKSIVVQNQDLQATVSAGVAFHHAGLDASDRHAVESGYLEGHISVICCTST LAVGVNLPCHLVIIKNTVSWQDNCCKEYADLEMMQMLGRAGRPQFDNSATAVILTRKE RVSYYQKLVTGSEPLESCLHLNLVDHLNAEIGLGTVTDLESATRWLSGTFFFTRLQKN PTYYKLKEGCDRTDEEELMRQICDKDIKLLQECILVTPQFPLRSTEFGDAMARYYVKF ETMKLFLALPPKAKMSEILSAIAQADEFREIRLKPGDKALYKEINKGNGIKFPIKVDI GLTSHKVSLLIQSELGGVELPAAEQYQKHRLAFQQDKGLVFSHVNRLIRCIIDCQISR GDSVSTRHALELARSLGAKVWDNSPLQMKQIDQIGIVAVRKLANAGINSIESLESAEP HRIDTILSKNPPFDSRRYTPENGPLSSSKWTSDLSMRKCQHFSTESQFTASKLQNGHD VALKAEITHMYQHITCYAMCDEIGKQINDMLLQFIAEWWAGIAGTLRQAELKPKLPES LFSGSRVSTVDSITPLKTEISARRRNGKDSDEFSDDCLDDADLIAVVDNLNASGGNRS MTQRSSASKIISKLLSDPISFSESEGPTQLDNGKWACNHRSRCKHLCCREGIDRPSKI TGKIGAKLHTGNVPKIDKESKSTRLSAKSSRTDDSRIPGPKRNTHNSHDIKVVDLTSP GTTVKSTPRYKYVKKRNEKDNESPEKSAFVERPATKSSGAKAVEASHFGHLDFLYPRC EEMDDGNNRSSDFGGINVDDLFPSAMDFDVQSSQNDDNFPIYTRPVNEFDYDDNFNRH TEDVIATHESLENELTDYEQYISSPENQNPIAVSQPESSSKFENADSPHVSLDATIFS PTAVDPLLNQGVSSDSSFFHTPLPSRTMKRIRENDKENEPFTGPDPKQPRLHISGYSS TVGALEGWEGIDMALFEEFKDIVDFV UREG_05398 MGRDVQSQSVWRGVPGKYVFLVLLLHYSRVMPVVGGKRYITSTA VFLNEVFKLAICLTIALYEVSKSIPPSMPATSLFGSLTAAIFTGDSWKLALPAALYTV SNSLQYIALSNLEAAQFQVTYQLQLVLAAIFGTILMRKSLSYGKWMALLLLVVGVALV QIPPIDPHELDRRTHTYLPRRLSDLQRLGLTTGPVLRKRSATYEGIQDDMLQGHPPFN ARTGLLATLGACFASALGGVSFEKVLKESTFSTSMWIRNVQLAIYSIFPALFIGVIFL DGEQVAKQGFFHGYSWIVWAVIGAQAVGGIATSFCINHSEFGLMQAAGSSSSSKPELR PRPPPIRIQNFEKAKTSPDPNGSEQPLVTPLNDFSIKLPTTPLISDASALTTSRPTSP SATRHHSRVHSSRGYFGRQQPEN UREG_05399 MPSIPRRISSVSGNESSRPRGRRRISSRFGFESKIPTVDGERRS ESSQTGNNNRSKETSPFPRPGELEYYCEDNNGTGMNAADSIKPPSLLEHELGQRASSP LLGQTYKRNHAGLSPFHAIPKPLRPSESSSTLPSVYDPPGSLPTSSHKKSSGSYSDLS LGNNFPSVTQPDPNQVTGQDGNFVHKDRRKSSRPKRRPPMIDLSKLFPKPRDATVPLL SPHRLTASPSPVSLHSDVSATRLSKLDRIHSGNKLTKVPRAKDAVEMHLKQRKERLLR EEQEQRYNWERQQLLQEQQQRLQAEQVPGPTSSQRRKYDGWRDPTKYRNYAGPNWFDG PAGQVSDDEQDSALGEHEAQDTVPAMLAALQKASRPRHSSFSSGGCSVYQSGLSRNSS RTVRAPSNGSTIKNSSNAQCPSSSQLYYSSARAEGSSTDQHFSRHAPSPIYRKPNPEV GGAILKKTSKLSLNVTNLNEASILCLSSSEEDGEEEEDEVDADATSNYVNRTVLRDSI ASFDEGAEICTAQAVETRRRLSVKKLPANHASQRRAARPAVPPNRNSSTASSVRSYTL SRSNSLNRSGHVAGVSEPLTSPPPPPHTTQAPSSSQNILATNRRSRFMAVTRQEEHLL EIIRRNKGTIPPSYLVDTDSISSEPELRAQQARRPPSTCNYDASFLRLSPGVPPRGKM RFHADTSAFSDEASLAVSDLGDSATEHSGMSTRTSLVHSDAFPSPSTGLASPLTPTLP IHRFPSIKSHRSQFRSAVSQEKKRHSRTRTDSSSAVVFDEENGANDTEHSEDLPIWAL AWANGDATNVAVAH UREG_05400 MQLGIYLLVAATALDLSVLAYPSPENPWTRPERLAARNVPERRS GSGLSNCHWDNVIALVELLQPNKSAALPYLNGNGNAPDRYARAAIQFQATDEPYIQDY MVGPLPISSRTTLQPLNYYYNKGVGKQRVYHTDLQAEIKFYAQIGASVADITLDLWNG TFMGLANDSIYLKGFEPLRISHRSAVTWGQFWGFPIGNPDDRAAVLPLGLYVKVDIYG RDPSKWSVHGWFYNGIFYRNTRAFRKAYFSPGFKKLGANVDGPWAQLNREGDPLPMDT VAAPLQVQPEGPRFGVDVKEKYVEWMDFSFYISFNRDTGMRLFDIKFRGERIIYELGL EEALSHYASNDPMQSGVAFLDTLEGFGRVAFELVQGYDCPSHATFLNTSFFTNEETRT HLNSICLFEMDTGHPLQRYASSNAVSVTKSMVFTVRSVSAVGNYDFIFDYEFYLDGSI HVTVRLSGYIQAAYWAHNSDYGFKIHDNLSGSMHDHVINYKLDMDVNGTANSLMKTTV VPTKERYPWSNGDWVNTMKLRKSFVNNERHSKINWSPNSATTYTVVNKDALNKYGEYR GYRISPVTSSTTFLTVQDSASLKKSGGWATHNLYALKQKDTELHSASPYNNLDTGNPV VNFDKYFDGESLDQEDLVLYDRPPQMINARSSMAIVPQNFHLDNPSRATRQQVRVTFG GGKLAQAEKFGSRHATCNFDMNNAAPNLEAYKGDLVVPKYPFLPNNATFVPVLPGS UREG_05401 MSTTSSAKVERQGFVARSQPQTRGRKRKLSNAAQPLDIGEQDDE LMDESGG UREG_05402 MARTIQSGPKGKAVGAMVVAPRLGKTGAVTSVQKQKVERVGVSQ NVETVQNNVKVRQESAVQLKQSQELVQIMLNASIGSLFYLRWDRSNGPAEFRLISIHR GFLPLTCFDTRYLETKSNASISYKDFIEQDSNSKVSTKSQPLRILLRNRGPTADAILD LLEHGVFDAIDKCVLEAVQLTISRERDNPLHILESYTFSFAYRLNDKDKTGNLASVSL DNGECIMEMGTFRTAKMGLEMIIRRLITLTKRFLHVHLMYTEDCPPTYEPPGFKSTAV EEISFPRIENWTKETQSCGVMHGPHHSVSLKVTSLQWSGVGDDQIPDSLEYSDGTSRE CDIGIDQPAEAQAETPGSPRDYPEELPHESTQIRKDVADRRFLQQMLEPSSVDTSMDP TQKVFYSDAGAVLKPQLSQNKATEIARERNQSPRAHLLQPTNSDAVPVRCQCGWNREE GDMVSSV UREG_05403 MASNDAARHTMKTPERSEYQVNSSSFDRASCNETSEDDSRSEPT VGQFSFAPATQTTIVTTTTTTTTSFPPLVMKAPRPLDGLDPKHYPLASSETPLSLRDI KFNLNGQSIVFHESDHAASTLTEQQEALSASDGVIRSVAIANPLPRSDSIYSRLPRHG HRSLNNLNTKRRRVSPVPTAESSQDPNPSRSFVPEPSTSRHPRRTCSSATKHPWHFPD VGLATPETESANFVLDSEMNQPKKASKLGLFVERQYESPCISGENRRGSLDDGEQTSP LLERRSGLPSDTLMSSLSSSSDIGPRPARRLRPLVSRLVAVDGNSAENLPLPSPRLSP IAAANASQQEVSFDSTEDQTTDTDSNNDLVQNETISLYDVARKSNSREGEARNVIDYA SSSLSLMDMPVMLSYFESIPDELKSYVMYQLLKRCPKQTLHVVADVVNPALKCDFLAL LPFELSLNIVKFLDVQSMCRASQVSKKWRHIINSDEKTWKAHFDAAGFNLPEAELQQA IEEGWAWQYSGDFEQDLRLCSASDSESLPQTSHSRETPTLNDAISGGSRKLKRKAVSI PPGRGSAKKQLLKDDSPEQHEIANWIHNLKIAEGPYAAANAAALALPYPEIGLPSLRS LHLYKSLYQRHHSIRKMWMDRNAKPLHIAFRAHDRHVVTCLQFDTDKILTGSDDTNIH VYDTKTGAIKSTLEGHEGGVWALEYHGNTLVSGSTDRSVRVWDIEKAECTQTFQGHTS TVRCLKILLPTEIGRKPDGTIETMPKEPLIITGSRDSSLRVWRLPQPGDPKYFQAGPE DDNCPYFIRALNGHTHSVRAIAAHGDTLVSGSYDCTVRVWKISTGEVVHRLEGHSLKV YSVVLDHQRNRCISGSMDHFVKIWSLETGSLLYNLEGHALLVGLLDLQADKLVSAAAD STLRIWDPETGHCKNILTAHTGAITCFEHDEQKIISGSDRTLKMWNIKTGECFKDLLT DLSCVWQVRFNERRCVAAVQRNGRTYIEVLDFGASRDRVPADRLGRRIVVDSHGKEIP EANERAGDGNE UREG_05404 MRSPFSRALRRPHNCLFIRLLPRHYATETPVNPGKPAIQSGQTS SPVKERDTEFSLPEGFTEENPCLYHDTLPPQPSQLAYAKHFFTRSKHSPVYLWGTDIF RTIPEAAIPEVVFIGRSNVGKSSIINALVGEDICASSKKLGRTKLISAIGLGGTKNED SRIALVDTPGYGKGSQAEWGQEIQKYLEKRKQLRRIFLLLDGKVGLKPRDHDVLAFLR QFAVPYQLVLTKSDHTLGIDNRKKGCEPKASQSGLFRLSQTAQNILSAARPLNPSMEG PGPLGDVIACSVQMERKKRRRITTGINALQWAILLATGFDQIPRGFKNKS UREG_05405 MPPKSGKKVAPAPFPQGKAGAGKKGPKNPLIEKRSRNFGIGQDI QPTRNLSRMVKWPEYVRLQRQKKILNLRLKVPPAIAQFQNTLDRNTAAQAFKLLNKYR PESKAEKKERLHKEATAIEAGQKKEDVSKKPYAVKYGLNHVVGLIENKKASMVLIAHD VDPIELVVFLPALCRKMGVPEFAKLVSAIKEGYSDKYEESKRHWGGGIMGAKAQARQE KKRRAIETAVKI UREG_05406 MAEQTEDFSSLPLPDRFAHKNWKVRKEGYEEATKQFQKSPDESD PVFRPFLQDPGLWKGAVADSNVAAQQEGLVAYCAFLKYAGLQACSRTRSITAVPIVEK GLPSTRPAAKTNALEALLLFIELDKPDLVIEDITGTLSHKQPKVIAAALSALTAIYHN YGCKVVDAKPVLKSLPKVFGHADKNVRAEAQNLTVELYRWLKEAMKPLFWGDLKPVQQ QDLEKLFETVKQEPAPKQERFTRAQQEAMAAASAQPDEPGEGADAEEDVAEGEIDAFD LAEPVDIMAKVPANFHENVASSKWKDRKDAIDALYAVANVPRIKEAPFDEIMRVLAKC MKDANIMVVTVAANTIDVLAKGLRKGFGKYRPVIMAPIMERLKEKKQTVADALGQALD SVFASTDLSDCLEDILEFLKHKNPQVKQETLKFLIRCLRNTRDVPSKAETKSIAEAAT KLLTESSEVTRSGGAEILGTLMKIMGERAMNPYLDGLDDIRKTKIKEFFDTAQVKAKD RPKPIIGPPKTAPGPAPKRTVVKKPGAGLKKPAPVSTEPPAEEARAAILSPTRAKPAT KGIPSKLGGIPKSSGLATPGAGLKLQRKLGGPGGAVPQLSSPQRRTISPPVEEPTPAA QPAPSQPKFGIGRGLAGRPIAKPTAPESAPPSNPASNQGPPGLSVIERAELEELRLEK ERLSKLTEDLRSERAKLNSEINELQNQNAQLIEDHTRDVLSIKAKETQLVRARSDAEA AEQTVQKQQREIDRLKRELTRAMRANAASPPEPYTDHFGLNMGINEANAGIYQDDNRR SSIHMAPRFDGARPRSYISSSPSEEKENNGLTSPGLTSRFASQRKFSPPVGSTYSSGR GSPARTSTRAGATGADGITSQGEPAENWRRAAEVTSQLKARIEQMKARQGLSRPPPSH UREG_05407 MSKVVRSVKNVTKGYSSVQVKVRNGMVVSMKYPRMERLILPLSA TSNDPWGPTGTEMSEIAALTFNNPTDFHEIMDMLDKRLNDKGKNWRHVLKSLKVLDYC LHEGSELVVTWARKNIYIIKTLREFQYFDEDGRDVGQNVRVAAKELTALLLDEDRLRS ERSDRKLWKSRVGGNEDAMQGIAGGSDMGYRPPRRRERQQRNAEEEDLEYRLAIEASK HEAEEDKKRREKKSRSDEEDDELAKAIRLSKEEEELRRRELEESNAASLFDDTTTPAQ PQPTGYNQGYQQQGAVDWFGNPVDAQQPMSTGFLNNQYSQPTGFQSQPTGYANGFPNG FQNQPSAFDQSQFTQQQTGFLQPQPTLQPQHTAFSNNNPYGSDIWAQQQQQQQPPQQQ QNDFLQPGSHNPWAQTQQQPADALKPAPTGSNNPFASSFTRAQAQQQSHPQQTGPPSL NTLAEQRTATQASFNNPIMNYQAQQQFNPPRAEDPQRARLNALLATGEGQDTFGNVGD LRIPAQHTAPGMFINSAGSGLETLRGAQTGNNPFLTQSFTGVPQQTGFVGQPNNNPFG ARQPQQGGSLIDL UREG_05408 MAPRATIQPPLDTVRDVLSQSKGAPHAPNMVPVSASIPADLLTP TLAYLKIAAKSDRSFLYESAATTETIGRYSFVGADPKKVLTTGPGHGPACDPLPLLEK ELSQFRVATIPGVQLPPMAGGAIGYVGYDCVRYFEPKTARPMKDILGVPESLFMLCDT IIAFDHFFQVVKVITYMAVPESEEGLEAAYQTAGKIIQSTINILLDPNVPLPPQPPIK RDQVYTSNIGQEGYETHVKRMKEHIVNGDIFQAVPSQRLTRPTTLHPFNLYRHLRTVN PSPYLFYIDCGNFQIVGASPELLVKDEGRKIISHPIAGTVKRGKTPEEDAKLAAELRG SLKDRAEHVMLVDLARNDVNRVCDPATTQVDRLMVVEKFSHVQHLVSQVSGILREDKT RFDAFRSIFPAGTVSGAPKVRAMELIAEMEGEKRSIYAGAVGYFGYNTSALNGQRIVD GTMDTCIALRTMVLKDNVAYLQAGGGIVFDSDPYDEYVETLNKLGANIQCIKGAEERY LELEQEVVSSSSA UREG_05409 MCRTILPRKRCCNLQQIRHGEAAVMLQRGWNHDPQKLPFAPYIK THGLVTLIQKGLQYYDLEKSVDKNGNSVSPNVSFFGPGDIQTGAFETAQDSTETKETT IAGNAEPMSTASAGTTPRIDGEIKAQTPTASVPTVNPRDGEASELEKAATKADGVEME VDRPEQIGEPSLTPRPPFSPATAVVDADGDVGMIESQEQEPQTPIFTLTTGQSVGVQV SPIKAADLGPETALIDALGESHVMRTAWRPNDPLTFAAAGDTFCGLWKLSGQRPSTPP TRTTLVTSSCVTAMDWDSTGEMLAVATYDNFFGTITMYDASGTAVDVLPESPRLVSGL RWAEKGSQVAIVACDGERSELFLWSQESRPDSLINPEIIDRPIYDVVWCTSYHIFACG DGFIYQCNVGENIQVSKTFTSGEKMEPWTLLKTLSTNEMPIAVAASTSTAHIWIPTHD IHVETAHHGDITGLEIRPRQKSIKSSVTTSFTVATSSMDDTVKLWNVDLNSKVVQCHY RLFLGAASPALALSFTPDGYAIAAASYTKLSIWCADRGGTPMATWDAASEKPTDEANQ EGPMELDLSDESMMDRPLSWDSDGKKLALGFGRKMAIINLQR UREG_05410 MAPRISIPPVTRAVLAGMCCLFFLYGVARSRQFDFSVSIPYISL VPARFLFNPWTLLCATFVEDNIFTMIISGATTLYGGKYLERAWGSTEFGKFLLVITLL SNTAIAFLYIIGAALIGKPSIAQKGICGGVAIQAGFLVAFKQLVPEHTVTILRGIVKM RVKHFPAIFLVLNAVGAMVVGTDIVFNLGWLGFLISWTYLRFFKRQPDLSGTSTNALG IRGDASETFAFACFFPDVIQPPISFISDKLYSVLVAMRVCTPFSAEDIASGNEQAIAR GEAGLPSLLNPTGRADVRSMGKREEAERRRALALKALDQRLQAASANRVQQPANPTAA SSQSPSQPNAQSPAPAVAPGQSMLGETSYTPDNA UREG_05411 MSNAAAAAAAALDPSNSTKNTFKLENTEKRDTLIAIEKKYQAQW KEKRVFEVNAPSLSEIPPGSMSPNELRTKHPKFFGTMAFPYMNGSPHAGHSFTASKVE FMAGFARMEGKRALFPLGFHCTGMPIKACADKLVDDIKKFGKYFENYNEENEEVDATP APTTEQIKEDPSKFSGKKSKAASKTVKLKYQFQIMLAQGIPLEEIHKFADPDYWLQYF PPLWIQDLDSLGARIDWRRGFVTTDVNPYFDAFVRWQMNRLHQMGKIMYGSRYTVYSP KDGQPCMDHDRTEGEGVGPQEYTALKLRVKEWSPKAKELLQGKIEEDANVYFVPATMR PETMYGQTCCFVGPSLNYGIFKVKDKEYYVVTKRGAWNMAFQGTFFDKDHFPRDQREL PLVCEASGSTFVGTLVNAPLSVHKEGVRILPMETVSASKGTGVVTSVPSDSPDDFATV SDLAKKADYYGIQKEWAELEIIPILETPKYGNLTAPALVKEMKINSPKDVNQLAKAKE AAYNEGFYKGTMLVGDFKGEPVQSAKEKVREQLIQNGDAFPYADPMGKVVSRSGDDCV VAYLGQWFLNYGPNDPQWLRDTQNYVVNSLNTYLPETRHGFEKNLEWLNRWACARTYG LGSKLPWDPQFLVESLSDSTIYQAYYAISHLLQNDRYGTAPGSLGIKPEQLTDDAWDY IFSRRELDDDLVQSTGISKEAFLTMRREFEYWYPLDVRVSGKDLIQNHLTFWLYVHIA LFPEEYWPRSVRANGHLLLNGDKMSKSSGNFLTLRDAVEKCQFRRNCREQPIFFACIL SKSGLREVVKDTSLRTGASGRISSINYLTRDERAGFRALKSALYEFTSARDFYREQAT AAGVGMHRDVILRYIELQALLITPLAPHWAEYVWLEVLKKPETIQYALFPKAPEPEPA LSAALVYIRSTSSSITSSEAAFSKKISKGKAVTFDPRKPKKLTIFVAKKFPTWQEKYI DLVREAFDSLSISINDKELNTKVGKLGEMKKAMPFVQGLKRRLIQGKESPEKVFNRQL AFDEAEVLRNMLGIVRKTTGCQVVEVILVEEGGKAGTTLEGEQRDGLPPVAESALPGQ PSFHFENIPEPAAAA UREG_05412 METGSVSDIFEIDPKVESVNAAAGEELQVPEPKESQPSAPSLPF CDRCHNLVNHHHAQPIPYPPISFIRDIMEESPWDDNHVYHILDAADFPLSLVRNIYRD LDVQHQRSPNRRSKTVKFSGGRKMANLHFVITRADLLGGLKEHVDGMMDYMTQVLRDE FHRNGDRIRLGNVHMVSSYRGWWTKEVKENIWKQGGGVWMVGKANVGKSSLISSVFPK SPYSARQLREKTNSSHISDSLNMANTGLLPPVQEQYDFPVLPIVSALPGTTASPIRIP FGQRKGEIIDLPGLYRGGLEEYVKDEHKLQLIMTKRPKPERLTVKPGQSILLGGLVRI TPVDFQDVLLVSPFVSLKPHVTSTEKAIEMLSGLRTTPYPEIGTEGAAQSVASAGVFE LEYDVTEKVRMG UREG_05413 MPPSTINVLLSAFPGLSFPSTLCIPLPSTSTVADLTEKINSHLP ISFPLQSPSLVLTTLSNAELIPYSSDKLANFLASPTDLHSTFLPLRLGLRIYGGKGGF GSQLRAAGGRMSSRRKGTQGENNGSNRNLDGRRLRTVTEAKALAEYLALKPEMDRKEK EARRKRWELAVELAEKREAEIRNGDGKGKVDGESIEDKEEAGEKAREAVFRAMKEGIW RDKLAGGLLGESSTSPGESSGSGGSASPSDGSESEDIEQSARPLETPSGSSNESAPAR RYFGFDDDDDEFLSDEDEDDDNESVADVKGKGKAKA UREG_05414 MNIKKLLQDHLPSTTADTSSRIVKAIPRSTQGTRDNADRKKIHE YLFREGVLVAKKDYNLPKHSDIDTKNLYVIKACQSLTSRGYVKTRFSWQYYYYTLTPE GLEYLREWLHLPAEIVPATHIKQQRSHAPPRGMMGGEGERERRPGGRGERGDRGDRPP RGDGGYRRREQGEGKEGGAPGDFAPSFRGFGRGRGAPPS UREG_05415 MARLALSQEDKQVRDWFKKTTEALGCKVTVDAMGNMFAVRPGRR GDVPPTFVGSHLDTQPTGGRYDGILGVCAGIEMLKVLEEKGIETEGGVGVVNWTNEEG ARFPKSMVSSGVWAECIPLATAHSLLEVPTVASLPSAASSPDSMKSALEKINYLGTVP CSYTAMPMAAHFELHIEQGPHLINAGQRVGVVTAVQASRWYRINVTGRDCHTGSTSFE HRADALYASAKMMIRAREIAQKLGCLASVGIVDVKPGSVNTVPGFVSFSLDIRGPESE NVNVLDKELRKEFELIAAEEGKNIGKPCRVEWQLDVDSPAITFHPDCIECVTEATMAV VSDSPNPESMYRTIRSGAGHDSVYTSKRVPTSMVFVPCKDGVSHHPEEFSTPEECVDG ASVILQAVVRYDRKRFSK UREG_05416 MASTAAACIFCKIIKGEIPCFKLFESERVLAFLDIQPLSRGHAL VIPKYHGVRLTDIPDQDLHELLPVAKKIALAAGVSDFNILQNNGKIAHQVVEHVHVHM IPKPNETEGLTIGWPAKATDMDSLTALYNELKSKM UREG_05417 MSTPLPTGYVGNLTSEEEAKLKEFWTALFKLFGVADAAEEKQPI SPTTTAETPASDSKKPKRKLGLFSKKDGSESPRAEENGTKNTDPDDKYGQAKEFQNAL ATHSPEELRIAFWSMVKQDHPDSLLLRFLRARKWDVNRAVIMLISALHWRANAIHLDD KIMSSGDAGALEGLKSSDPAVKKESEDFLSLLRLGESFIHGKDKAGRPVCYIRVRLHK AGTHCESALERYTVYLIETSRLLLEKPVETAALVFDMTDFSLANMDYAPVKFMIKCFE ANYPESLGVILVHKAPWVFSGIWTIIKGWLDPVVASKVHFTKTSEELETYISRSQLIK EIGGDNPYAYKYIEPETGENTKQKDVKSMNETISKRFQYASEFQLATKAWITTDRSSF VAQNSELMKKRDDLASRLYDNYWRLDPFIRARSLYDRLGAIQSNQTPKKGLPSRTTSV RTHVSEKPSFEVITTRPILVDGNGDEVD UREG_05418 MPTLARDRRPTSGKSTQNAFIFNPYKKLAKLSLNRDRLSCKSWR CMKLASRSAIESENSANCGSSELIAGCSVCVPVGLPPKLCSRREDLELGRRGVVGREE GSGRDVERWLFGSMATSLKGRKYNTTESSA UREG_05419 MAETTSDLAPEFGCVADCRSGSIWCQSCEDFIYDTEVDRVLRDT SKDLQRKDLLSKKRSMSEALAYADAEEASYLASNSNKRICGKDGMRGLYNLGQTCYMN VILQTLFHEPLLTTYFLGHRHRMYDCSESNCFVCQVAEVFAEFHEEKEEGFGVLNFLL SSWLSSPDLAGYQQQDAHEFYQFLVNKLHATAEDHVDGYEQKCRCFFHKAFFGKLQSS VTCHRCRNTNRTEDPIMDLSLAFQVQRKKKALHWIPGDSESTPSLNGCLDSYTAPEEL PASDYNCSWCGTPQGATKQLRLRKLPVILCMQLKRFERHRSVSEKVDTKVAFPFSINM APYTTSANSKNIFKYTYDLLSVVVHIGDIDSGHYLAYCRQGEQWFKFNDDRVTWATDA EVLDADAYLLFYTLRSLSGS UREG_05420 MLRLPISYSLLGVLPLLLSLGVYAQPHGDDHGGMDMHGGGIAPS LTTTAKPADTSGPISYFAHGEHFGAIAAHIVLMVLAWFFILPIVGDIGADHLYNPGVM FSVARSYLTLPVQFLFLIVNALGLLMGVIYNSQTPDLYENNAHHKIGWLATWVIIAEV IMGLLFAYSGRSKSAGDSSYESVAFLPVPQDSPQDIPREGQHRWSGDSGQGTERSSAS LRSPRSSSVERGRRMYRDGEADDFEEKPLDGAIHNPKPRRFFGAAFLDKYLAHRIPGL LPQRVLDILRMIHVVIERTILILGFIALASGGVTYGGIFDEPGHFAKADDPQRGSNIF NGLAHFIKGGIFVWYGLLTLGRWVGCFADFGWAWNVKPSRAIVGWKARIPTGEFVESF VIFLYGASNVFLEHLAAWGDAWTAQDLEHVSISIMFFGGGLCGMLAESTRLREWINTS VLAAPVHLDQYAEVEEWQPPKTQKLSLNPMPAIVILLLGLMMSSHHQASMVSTMVHSQ WGMLLVGFSLARAVTYVMLYLHPPSSLLPSRPPSELVASFCLISGGLIFMLSTKDVID AMVHYDLNAMFVFTLGMGFTAFVMAWEIVTISLKAWASKRYALPAPRKFRFPA UREG_05421 MQGVYPLLARLIALGCNAPQRGTLHAGCKSRSQNVAIANKTVRV NNGRRSMYDRSRIGLNGREGNDCMLLGRMFLEGTVKKSSHAVTIEERPSRSASSADPS SIEHTTAIPSSSVTLSRFLVTTWRAGVNEFAGYFRD UREG_05422 MALIFGLQANLILKLRRDPRPLSKLPPYLSAFLILVGIIWLLLL PLNEYSRQTYISENALLPGQVHTYFSGSDQNIFRGYRQEIEAVKDAEYDVVSQKLQSI FAESGLKVATQNYEYRSAGHRHSGQNVYSIIQAPRGDGTEAIVLVAAWKTIKGEPNLN GVALALTLARYFKRWSLWSKDIIFLITPDSKSGAQAWVDAYHDMHPPSVEPLPLKSGV LEGALVFEYPFDHRFESVHIVYDGVNGQLPNLDLFNTAVSISSGQMGIRAELQEMWNH NDSYKMRLRTMLRGMMRQGLGSAAGLHSSFIPYHIDAITIQTTGEGWQDEMALGRTIE GLVRSLNNLLEHLHQSFFFYLLMQANRFVSIGTYLPSAMLIAGNFTIMAIALWMKSGY SAPEAQPTLEKVEKAGEGQPASQADSDSPVKGETSGTLERHLALPVGLVLGLHFLGAV PLYLFNHLSQQFLASTAYYFAFINILTPLVLATILTRFHAPTAQQFLLIKSFSLLLLG LFLSALATLNFSLSLLMGLFCTPLSFAGYIKPTSTTSTSPTSPTSAKLTLPKDTKVSK PSPLTPCVLARVVVGLVVLNLVAPSAVLLVACKVWNVSVEDILTEAAFGWNVWGMWTQ VAVWCVWWPAWLAGVMGTVSSVLV UREG_05423 MCRNILDDSILKSHVRKVYVNTIEEDWESEPENGGNFDHGYETD DYEPSLETKAQMSLLTQFPLLEHITLRFEKNFTKESGGFYSDYPPQNLEFRLEVLQWF FSSLVASSVRPRSLAFRNIHSIALQDPAMLDLLAQMLHGLRALRLNVSNQRQNGDYGY VVDNPHTFEQLPSDWLSPTKSTLEHLTLYSNQPFGLFPKLDLSSIHFPRLKSLALGQY EFFHDDQLDWIISHGPTLRELYLDNCIILHAIVYSEWEQGHLHRYHPSALSGKWDEVE RSLDPRTTRYIYTTRWHDYFAMFRTGLPLLQHFRIGKSMWCHEFPFEKEREIEIGLFE DRYLTWDGGFHTRSSDFDIPEELGDISSCDEEDKDALLALFQKIGQNVPEREGGLVCD EQGVWH UREG_05424 MPDGRPVEGSLYIYAPNKVAPIFFAIAFAVSTTGHFWQCYHYKC FKLMGLHLLCGLMFTAGFALREYGTFNYLFEGNNLNYYIATISLIYMAPSLAFRPLLE LANYHILGRILYYVPYFAPLHPGRVLTTFGMLSCIVEVMNAIGISYSVNKSLSEDVNK VGEILVKASLLVQIVVIALFCLLAGIFHQRCARARVSSRSVSAPLLTLYASQFLILAR CIYRTIEHFDTSKALASQDVDLATISPLLRYEWFFYVFEATFMLINSAMWNWWHPRRY LPESYNTYLAQDGITELEGPGWKDDRPVWLTLIDPFGFVNWSGKKEKPFWETNGYFAV KGHDPQKSNV UREG_05425 MDGIKSAEDGGRVQIIAGDIKGMGRNGNSYHFIVSNCWLAPSKG DIGARPKHMESSAATKQTSRKRQCWECHRRRLVCGSERPACKKCVAAGVDCPGYDEKK PLKWLPPGKVTSRTRRRQRPPASQTNGHTTTLAIRSSNAGASSRKGATLGAEVMPHCA EMKAAEVPLPVVDLIDETTVMMHTVYYYNFCIYPDFASMHELAPNPYLIRFAFDAVYL IPEGIRHSLIWLALNHRIHKLLPTTDRSALMPARSSLLHHRGVAIRVMGKDLDKPATR TNDATITSVLLFLFAEIRDPISPDWRLHFGGAAKLIMLRGGPRKVFSRCPIPETNTVI GNTTSPPSEQIPTGSHLDLMDLASEMYGDGLFPSLLCPPPLFLDIISINHLRFRGSHP SLVNKFTQSAAEAVLKHIDAFSPEQWAAPNTSSLEEWLLLGRIYQSAVILYCISSLQS ISVLPSTPELKIIRTVHSDRLSLLLKRALVSERIKKCLMWPLAVSGMEAVNGSLAARD CVLQQLTEMSQDLGSSLPLLAKSMFKRFWASGKTKWDDCFDTPYAFVP UREG_05426 MQANKIPEFLAEQRELAPEEYQSTLLDFESFWERKLWHQLTDGL IKYFSEPPSAPQRLPFFKNFVLSFADKINQLKFVTLGRMASAQCKALADKVNKPSSQD AYVYAMSDVAAVKLRLGDFEGSRANLDASQTVLDSFDSVENVVHASFYKVNADYYHAK LEFASYYKNALLYLACVDVEDVTPEERAARAYDLSIAALVSDTIYNFGELLLHPILDS LTETPHAWLRELLFAFNRGRFDRL UREG_05427 MKLSAITVLLCGSLASAGWIELKTASGRSTNTGDANKGCLGPVA GRGPYKLIAHDFKPKSLKIYAGANCKGEVLNSCTGCTSLTAGNAKRRGAVWGWFS UREG_05428 MQKCRAVQGAFGSKAILAPPASSARLQPHVQKRSLQDIFITRTG TPIIKVQGGRYVSNPSTRTIDIEKNIPVLTSIFAFMQGCTVIVPFREEMTKRHLKVTG DLGRVIFTEFDLRNTQSIEESVRHSDVVYNLIGRDYPTK UREG_05429 MTDAEFSFFGKPNSAIPVLLLKTKSTPHDGYEEYFSSTTRRHHY KPIFVPVLEHIFDYENLGKLKDLFVSRALTRKYGGLVFTSQRAVEGFSRMIVDEVGPE ETSRDLALYTVGPATYRSLNTLRQTHLPHATLVGKDAGTGELLAQLILNHYNGLDRNQ QPSSRLEQGRNGSGKLPLLFLVGETHRDVIPKTLMSPDLPPNERIQIDELIVYKTGVM EAFRENFGSILERLHETSNRENGADSEDKRVVIESRVPIWVVVFSPTGCDAMVEILGM YQREGLKEDEVSRASGKENQARRMHYIATIAGMTRDHLRLNFGVEPDVCAEVPSPEGV GDGIETVTEQKTGK UREG_05430 MVQPGPQGGSRKISFNVSDQYDIQDVIGEGAYGVVCSALHKPSG QKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRNYDSFTEVYLIQE LMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDLK VCDFGLARSAASTDDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEML SGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPLKALFP KTSDLALDLLEKLLAFNPVKRITVEEALRHPYLEPYHDPDDEPTAEPIPEEFFDFDKN KDSLSKEQLKVLIYEEIMR UREG_05431 MAAPQGGYPPPNDQQGYGQPYGAPPADAPQANAPPQGSTHPAGG PTHGGKKKRAYAGQAFEFGSGANAALGGQLAGGGAYGGYPAPPQPQGYPSAPYPGQPA QQAPETYPAGEQMSMGGYQPPAPGYPVPPAGDMSQITQQMGQMSMGGQMQGRAPAPVS LTQLYPTDLLAQSFNVAELDFIPPPIVLPPNASVTPSPTANCPPKYVRSTLNAVPTTN SLLKKSRLPFALVIQPFTSLHDAEDPVPVVSDQIISRCRRCRSYINPFVTFLDHGHRW RCNMCSLTNDTPQGFDWDTHAQQALDRWQRPELNHAVVEFVAPQEYMVRPPQPLVYLF LIDVSYSSVTSGLLATAARCIKESLDRIPNTDRRTRLGFIAVDSSLHYFTIPRDGSES SDPSMLVVSDLDEPFLPIPGDLLVTLTESRENIEIFLDKLQEMFQNTQNGGSAMGSAL RAGHKLIGPVGGKLTVLTASLPNMGHGALEVREDKKVLGTSKESSLLQTGNSFYKSFA VECSKQQISVDMFLFSSQYQDVASLSNLPRYTGGQTYFYPGWNAARSEDAIKFAQEFS SYLSAEIGLEAVLRVRATTGLRMSTFYGNFFNRSSDLCAFPAFPRDQAYVVEVAIDET VNRSVVCLQTAVLHTTCNGERRIRVLTLALPSTQSLADVYAAADQTAIATYFSHKAVE RTLSSGLEQARDAVQAKIIELLSTYRKELAGGSVSGGGLQFPSNLRGLPLLFLALIKN LGLRKSAQIPTDMRSAALCLLSTLPLPLLIQYIYPKMYSLHDMPDDAGVPDPETGEIV LPPLCNLSSERLVPYGLYLIDDGQTQFLWVGRDAIPQLIQDVFGFPDKSQLRVGKQFL SELDNDFNERVRAVIQKSRDFRSRGVGSIVVPQLYIVKEDGEPGLRLWAQSMLVEDRA DQGLSLQQWMALLRDKVCFLSLSLSGPSVSLTLAIPGYSIMNVLRIVSSIKCIFRIT UREG_05432 MSVRVVARIRPLLKTEREIDIIVRPGSCANLVSASVAKPSDENV SRSQKCEKAEKKQKDICNRPTVVRIPNPKNEGEQYSFQFNGVYDDASLAERGVIPRLL SGIYRRSRKMEKDSQGATKVDVAMSYYEIYNDKVFDLFEPPEKRTASGLPLRDSGGKT VVVGLTERPCGSLKEFEIMYDLANVNRSTSATKLNAHSSRSHAILCVKLTVTTPDRVR VSTASAIDLAGSEDNRRTDNGKERMVESASINKSLFVLAQCVEAISKKQARIPYRESK MTRILSLGQNQGLTVMILNLAPVRSYHLDTLSSLNFANRTRKIEVREVENEPIFKGPP RLASGLSVKGSSIKRQPLRPLTASINANIVPVTASADSKPPDGKPAKSFMVYTDKAQA KQHAQVEQPKGPALKRKSGSNFPSLSRTSKLARVSTEAQARTAQAVHSVSAAKIEEMV EKKVEEILAARALNEAEATQATLSTHANDVNTQLQRRLERLEQRVEGKEDARAEGLSY LLMGKQHQSHGEDRSALKMYQLALPYFPDNAKLVQKIELLQARLDGQGDQGRATVGAA EAQQQSRKKRRRFARESTENDDDYGDDEYHASDGNDCLSDDEGDLQSYSRPKPKNRKG PAQKCPSAKPVFSGSDATCLSPRTSHILSVINSRNVNQIKLLRGVGAKKAEAIVDCLC EMDTNGSPGEESVQIRNLAELGKLKGVGLRTVENMRNGIEVA UREG_05433 MRIFHTVRTWARRTIWGDRLFLQFEGPATILIQTRASRINDSLT AQEVNEIADAQPGVTREAIDVVQERLEKDLPPPPKEDEMKTVGQTFAAVRRDGNVEFR KAGDD UREG_05434 MLLRTARCAHRFASTQPPRCHLPRSGPPHKSYPRIRDQTRIFTT RGGSLNTQNEKASNAAVKTQGAAGHGITEKEPGASTKPPNKKPGLLSEAAMTRQEQRK ADWAIMKEMSKYLWPKDDWGTKLRVGVALSLLIGGKVLNVNVPFYFKQIVDSMNIDFA ALGGTAWTVAGSMIVAYGATRIGATVFQELRNAVFAGVAQKAIRKVARNVFNHLLRLD LNFHLSRQTGGLTRAIDRAVTAATMVAYSAFTITTTAWRTKFRKQANAADNQGATVAV DSLINYEAVKYFNNEQFEVARYDKALKAYQHASIKVTTSLAFLNSGQNIIFSSALAAM MYFGAHGVATSALTVGDLVMINQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKV NVNIKELPNAKPLQLRQGGEIRFENVTFGYHPERTVLKNVSFTIPAGEKFAIVGPSGC GKSTILRLLFRFYDVQGGRILIDGQDIRDITLESLRKSIGVVPQDTPLFNNTIEHNIR YGRIDATREEVREAAQRAHIHELIERLPAGYDTPVGERGMMISGGEKQRLAISRLILK NPPLLFFDEAVGVSSTYTSALDTYTEQALLLNINSILKDQARTSVFVAHRLRTIYDSD RILVLKDGQVAEIGTHSQLLDKGAVYAELWNAQETSLARDPERERELEIEEIEEEKKL EQGVGEKSPSSQPQPPSRDPPIPH UREG_05435 MSLLDKLHAKLELYRLEQRYARRKNRNTFTTGATYVDGEYVYSN STGSSSPSSSSSIPTVSKHGTGSRWKSSSKFRWR UREG_05436 MRRGATFVVKPLHDPSGEFSIVLYDPTVDEKPEVKQGNDQKKDE EPSKPKLDEPLIHKSLAEILGIKKNVEERPKVPVVIDPKLAKVLRPHQVEGVKFLYRC TTGLVDPNAHGCIMADEMGLGKTSAEAGKSTLQKVVIACPATLVGNWANELVKWLGKD AIHPFVIDGKASKAELTLQLRQWAIASGRQVVRPVLIVSYETLRLNVGELAETPIGLL LCDEGHRLKNGDSQTFTALNGLNVARRVLLSGTPIQNDLSEYYSLLNFTNPGLLGSRS EFHKRFEMPILRGRDADGTEEERKKGDECLAELLGIVNKFIIRRSNDILSKYLPVKYE HVVFCNLAPFQVDLYNHFIQSPDIKSLLRGKGSQPLKAIGILKKLCNHPDLLNLSADL PGCEQFFPDDFVPPEGRGRDRDVKSWYSGKMMVLDRMLARIRQDTNDKIVLISNYTQT LDLFERLCRSRGYGSLRLDGTMNVKKRQKLVDKFNDPNGEEFVFLLSSKAGGCGINLV GANRLVLFDPDWNPAADQQALARVWRDGQKKDCFVYRFIATGSIEEKIFQRQSHKQLL SSCVVDSAEDVERHFSLGSLRELFQFKPQTTSDTHDTFKCKRCRPDGTQFIKAPAMLY GDTSTWNHFVNDGEKGAMNRIQDLLLRQEASEKVVSAVFQLERVIRESVAKGDHARIK GIIDQLCRDYAYAVHQPHARNGGLIGLAAAAIALGSEEVAPYLTSIVPPVLACFTCQD ARVRYYACESMYNIAKVAKGEILLYFNDIFDALCKLASDTELSVKNGAELLDRLVKDI VADSAASYVSMLEAPSKDEEGNEELESPTAFSLARFIPLLKERIHVNSPFTRNFLVSW LTLLDMIPDLELVTYLPAFLAGLFNFLSDPNRDVHTATQGLLERFLGEIKKIARIKKG IADSRRDRDTGESKDSITTDSVSVNSERSDVNGPSENAIADSESGTVNEDQSINIYGD WVPGQDIHVDHPKILEILVGYVDTAFDEEIQLTALRWIDSFFEISPGDILQFVPRLLS QVLPALSSGSEQVCQAASRVNNSLMQYIVSLPEDATNESRMTPPQRTSTMNSKDTNER LVSNTTRKPPDTIPAQQLSRPQSVELATQNTEQHEAADLDYAAAVNVLTLQFLNENEA TRVAALTWLIMLHQKAPRKVLAFHDGTFPALLKTLSDPAEAVVTRDLQLLSQISRNSE DSYFTSFMVDLLQLFSTDRKLLEVRGNLIIRQLSNYIKDIEFASIMVQNLNNNLITAP ELADLRKRLRNLESKEGQTLFMALFRSWCHNAVSTFSLCLLAQAYEQAYHLLQVFAEL EMTVNMLIQIDKLVQLLESPVFTYLRLQLLEPEKYPYLYKCLYGVLMLLPQSSAFAAL KNRLNSVSNIGLFHNVPRTPGIGGAPSLGYERPTGRLKREESVIRWADLLDKFKTVQE RSKRSRGLAQREFDSDKYGPNQSSAGDSNGGRDKTLPEISVTGSSPGPRPGDAGVAKG PGHKARASLGNFGRLSGIGQRKLKKCNVSATLDDLQNPNF UREG_05437 MAADFWAGYLSGAIGIIIGNPLDMIKVRLQASNINAANVTTASG LRGQFESAGSLVRGATAPILGYGALNALLFVAYNRTLLYLSPSTVDPTNPIGVPLTQI WLAGAVGGLASWTISSPTELVKCRVQLNTHEPVSSWAIAKDILRARGLKGFYFGGLVT SIRDSVGYGFYFWSYELSKRFMDSRNASPQQEAAKILLCGGIAGVVTWASVFPLDVIK TRLQAQPFPDSSLRPERGPLLASTTRSQTAATGRLLNSMEVVKQAYRSEGLSIFYRGL GVCSLRAFIVNAVQWATYEWVIRLLEQPRDLPRIIEKI UREG_05438 MLIRLRDLPLSITIFGRRRVFPAKPPDRKDLPQKQWEQLDVPKP SGGRDYDQVRNDIDSARHLEIYKETKDVEDLPGLGQYYCVECAKWFESEYNMTAHRKG KNHKRRIRLLKEEPHTQKLAESVVGLTTDNGKLIVSPTSMHCDYRRVHEMGLERSPRC LETS UREG_05439 MITTRLTRAGALASRSRLLLGARGMATVGDSPLDKKVEMTNWEK ASHPNLPAEPVWHYINYKKMSENLDIVRARLNRPLTFAEKILYSHLDDPHGQEIERGK SYLKLRPDRVACQDATAQMAILQFMSAGMPSVATPSTVHCDHLIEAQVGGDKDLARAN EINKEVYNFLSSSCAKYNIGFWKPGSGIIHQILLENYCFPGGLMIGTDSHTPNGGGLG MAAIGVGGADAVDVMAGLPWELKAPKVIGVKLTGELSGWTAPKDIILKVAGILTVKGG TGAIIEYHGPGVDSLSCTGMGTICNMGAEIGATTSVFPFNERMYDYLKATKRQPIGDY ARTYAKGLREDEGAEYDQLIEINLSELEPHINEELKVGLIGSCTNSSYEDMSRAASIA RDALNHGIKAKSLFTVTPGSEQIRATIERDGQLKTLEEFGGVILANACGPCIGQWDRK DVKKGEKNSIISSYNRNFTGRNDANPATHAFVTSPDLVVALTIAGTLNFNPLTDTLKD KDGKEFKLAPPTGAGLPANGYDPGRDTYQAPPKDRASIQVAVSPTSDRLQVLEPFKPW DGKDATGIPILIKAQGKTTTDHISMAGPWLKYRGHLDNISNNMLIGAVNAENGETNNV KNFQTGEYAAVPDTARAYKAKGIQWVVIGDWNYGEGSSREHAALEPRHLGGLAIITRS FARIHETNLKKQGMLPLTFSDPADYDRIPPNATVDLMCTELAVGKPITLRVHPKDGKS FDVSLSHTFNESQLQWFKDGSALNTMAKQRA UREG_05440 MATQLVSLPEVERLSASVIRILAGNPGKFTLQVEKKDHLRSHGR RKNANHHSNQAQIPILSVAGRGGSLSTQAKDLSNLCPEAKIYKHDLDEGEEDIEDGQV FSVEGTTLTAFHTPGHTTDHMAFVFEEENAMFTGDNVLGHGTAVFENLGVYLSTLEKM SARGAKRGYPGHGPIIEDCRTKIMEYINHRRQRENEVLRVLENGTLDTSSIQDSDSKP SSWTTMELVKIIYKNVPENLHLPASHGVNQVLLKLEGDGKTDECYDSLAHCGTVIHLL HLPTGCGGKSPSSNLAVLPPDSRISMLATAHYSSYCMKRPAWAPGMPSLSLISLSTGF HDPAASRPFFLRFNAPELCDAGILASRFRQSLC UREG_05441 MPAFDSPPREDPRLLLVSNRLPITIKRSDDGKYDSSMSSGGLPV VPGYCIDPDKFSEGLKKEKVQKRIAQLEQKFQGVKLMVGVDRLDYIKGVPQKLHALEV FLTDHPEWIGKVVLVQVAVPSRQDVEEYQNLRAVVNELVGRINGKFGSCPPRFPLSSR YGHGINTRALGTVEFMPIHFMHKSVNFDELIALYAVSDACIVSSTRDGMNLVSYEYIA TQHKRHAAYQEAVTMNDEQRALNYSKLEKYVHKFTSAFWGQSFVSELTRISAHGEKKL LLRRSSLAANAAEVAINGDADL UREG_05442 MNTRALLRLSRPSILPVPSPLLATACLSSRIPQYFNIPRLNASL TTDASSTSSPSSSSAPSSSNPPPSTANQQPPIPPTVTAPSFSPKPTSHKLGTVISAGK MDKVVTVLYTDRVWDKHVRKYWPKKTTFRVCDPRNSLREGDVIEFSSGYRSSKTVRHV VEKIISPFGVRIDERPPIMSPQERAEEKILNSKTGNKVQRLGKIKMRVLQRLADEGMV PEDTAEKIRAEVKMSLETWKRSRKNQVKRTDEELKRLEELKWEPVRA UREG_05443 MPFRNPEYHVPGAPSLETAALNHESAVNPRASPPLHAQAICGYD ELCGHTARDIDDPLGFPPSRRQSGHKRRRPFGTGATRTIDPPPRNDEIPPPIAKEERS VQKAKGKKVHRVLSVAFADVWIEGLDCTFRPAVPFVPFFIADEFTQNRPSVFRWRHLE SSQYRHVMMLRPSPGTLMQKTYDDCYLTCSTAVYFESKNNEEEALRSWRDALETICRH NASVSSSYVPQNETERALQKSIQELELQCKERIDLLEALRESRRTAGLETGLNALELG GRYDTGGHNANAGSNSGIFANTGWIGDGTIPSLGYPDLPRPSLPPRIQPRDTNQGHST STLPTISRTTSSEKKKTLLPTLRGSKDKKSSPENRSGSLHISRPAASKAADLAWNSSH ARPSAPTMTHTSPASEFASRKWAESSPRSESHSKKPSVSAVDFASGAAAAATRRPTNV ESTAENLNASQTWDRNLDTKDSPYAKRSPMSKSAAPAAAYPTYRKEYPESRHTPASVP TTQDKKTMSASQRHVHSPRTSPKQPRTPGRGPPSNPTSSKKRSEQACRPSATGSGDRS ERPNIKDRRSSSGRGLATHLEKDQSRPSSRMSGAGIQPVADDEEDPFVEDMEEDDGLG DILNNLPKGVDRDAAGQILNEIVVRGDEVHWDDIAGLEIAKKALKEAVVYPFLRPDLF MGLREPTRGMLLFGPPGTGKTMLARAVATESKSTFFSISATSLTSKWHGESEKLVRTL FALAKAMAPSIIFVDEIDSLLASRASGDHDATRRSKTQFLIEWSDLQRAAAGREQTNK ENPKGDATRVLVLGATNMPWDIDEAARRRFARRQYIPLPEDDVRKLQIQKLLSHQQHD LSEEEFDILVKATDGFSGSDITALAKDAAMGPLRKLGEALLDTSMDEICPIRFRDFEA SLDSIRPSVSRERLSLYENWAQDFGERGS UREG_05444 MASPDRVPGEEYEYEALPSDYTLAHNMIAGAFAGIAEHSVMYPV DLLKTRMQVLNPSAGGLYTGLSNAFTTISRVEGWRTLWRGVSSVIVGAGPAHAVYFGT YEVVKEFAGGNVGQGHHPLAAVIKQRMQVHGSTHRTIWECARTVYRAEGMRAFYVSYP TTLCMTIPFTATQFIAYESTSKIMNPSKKYDPLTHCVAGALAGAVAAAVTTPLDVIKT VLQTRGHAADEEARTARGLFNAAALIKKQYGWSGFIRGMRPRIIATMPSTAICWSSYE MAKAYFKRHYGEHSS UREG_05445 MDSDDDFMSDVTSQLEEDMDFIDTQESDDESLGEDFDADLGASF TDDKDLMNKSRKPYEVDFSVLSPNDIQREQNVQINEVSSILGLPPESAAILLRFGRWN RERIIESYMDHPDKILEEAGLGLNFSESPNTEVVDGFVCDICCEDGDDLETYAMRCGH RFCVDCYRHYLAQKIKEEGEAARIQCPQDQCQQIVDSKSLELLVTKDLKERYHILLTR TYVDDKTDLKWCPAPNCEYAVNCAVKTRELDRIVPTVRCSCTHAFCFGCTLNDHQPTP CAIVKKWVKKCKDDSETANWISANTKECPKCHSTIEKNGGCNHMTCRKCKHEFCWMCM GLWSEHGTSWYNCSRYEEKSGSEARDAQAKSRRSLERYLHYYNRYANHEQSAKLDKDL YLKTEKKMMNLQAQSGMSWIEVQFLDTASRTLQECRQTLKWTYAFAFYLERNNLTEMF EDNQKDLELAVESLSEMFEKPVSELSGLKRDILDKTAYCDRRRCVLLGYTAENLKAGE WSFNVDISMA UREG_05446 MWKFASEYQAAGATRGKIANASVDSASKWRSPVSPFQKLKLLKT TATSVGDVMRDLHGKHLIAGDFLLVSADVVSNMPIEGVWAQHRARRIADKNAIMTMIL REAGPSHRTKASPTSPVFIIDPTVDRCLHYEEIRRHQTGTKSSYVSIDSDLVKSHPEI DIRNDLIDCGIDICTPEVLGLWADSFDYQAPRKHFLYGVLKDYELNGKTIHTHIVKSH YAARVRNLKAYDSISRDYISRWVYPLCPEANLFPGDSFRLRRGNIYQEEGVVLSRSAV VKQRTIIGKETNIGEGTSITDSVIVIGDGTEIRHAIVANGAIVGNKCRVEPGVLLSYG VKLGNDVSIPRSMRITTLEQEDAVVNSSLLGKGGKGHEFVHDSEDEDDDEGEDEFAMS GLLYNMAELSLSDASISTLTSELSEDESTELRQRSDSFGTSVSDDEERGHFHHDAVVS IVDSLKEGLSADVVQLELVGLRMSANASEHQVRRAVVTAFMKHTQRQIDEGSISAGEA VKQLLTKYKEILARIVFDRDHDEKPDQVDLLLLLQQDLAERNKGDTILLFAAKELYDL EIVEDEAFEQWWEDERSTATEALKKVRQQTQPFIDWLMAEDSDDEESDEESGEDEDED DEDDEEEEESDA UREG_05447 MEGTQAGGTTSTGNSSDFVRKLYKMLEDPSYAQIVRWGDDRDSF VVLECEKFTKSILPKHFKHSNFASFVRQLNKYDFHKVRQNNEETGQSPYGPGAWEFKH PEFKADNKDSLDNIRRKAPAPRKPAQSAEDSLPTHQLDLMNQQLGAQQQQFQHLADRF GQFSVDQQIMMQEIRRVQKTILSHEQIIHYMMNFLQSVDVRQRRENRAAAAAFQGQPG SSDLSPAQAQSDEPASPLQRAMKILSEMNSEIQFNMNSLESMGDMQNRLPGAVPTPPL DQTQRNGAARPPTSTGPGATMAFPRLNGDLEQVVYPVGVTNGIDPIYGDQMNNIPYPI PSKDDQSDPRRQFSDRKKSTGNNRWKVPLFLFFDGLEAVNKIQTGSKYDLILMDIIMP NLDGVSACSVIRRFDATPIIAMTSNIRSSDIDLYFQNGMNDVLPKPFTRQSLLNMLEK HLVHLKSIPSGIDATQSAPASAIPQNSATQSVKEETTPGQSPGASIGNWQSPAQFPGV SPINVTIPNQFIPQQAGPPAGPFTMDQNGSIQYSANQMGQMNTAAAPRASHRRQVSDM SSGADAHNLAKRQRMFANNAVVNPMQTSRMP UREG_05448 MDSSGEMSATSMVSIGTHRLAMSVTGPDRCSVTDPIVIVLTGAG DVASSYVALARLVSRFSRILLYDRSGLGASDAGKPGHPRPSAVAAVAELHMLLTNAGI LPPLLLVGHSFGAIIAREYLHLYPGAVAGMVLCDPASERQSDYFKIPDPNIDAVMGNL NYAQVTGLRTDTVLTREEWRTRAADILRGMSNWEAEAACFKETCEMLAAKKQCTDQAL GSKPLSVIRANSARDYERIYKKGVEVGNGTEEQRRAFRHLLDKWDDIDHMMKVEQLQL SSNSRLVHLLDCGHHVHLVQPDVLAEEIKWPRDFTHDEDHGGEKGEGPECCAKSCDAH TPAIQVTVGPWMEELTESE UREG_05449 MSNGTNGINHTPEVEVLSMAVNYPKGRVYPGQFEEFRKRHYADT DVHGLPILDLDGPLVNGPETPSINELDAVFRDIGVDLSVEACRNAIKQWGGNIEDITH MFSVTCTHTGNPGFDAIVARKLGLKLDTDRVLVHGTGCSGGLTVFRTAFNVLQAETFR KRPARALLLATDLPTALARSSLDDVTPEGGVNIGSILFSDCSTAYIMSNGVEPRDFET PMYQVLNCKHALLPGTDNEIQFNIDVTGYKSIVTKKVPFICGAAIGPLFQEFIKRTPA ASNDGEPNPRHFDWALHPGGISIVDAVRQSLELDEDHLRASYDIYTNNGNSSSTTVLN VMDRLPQMGNGRKDVIACAMGPGINVEMSYLRRV UREG_05450 MADAAQPASNSSGVTAESIKESLITKLGAQHVEIEDLSGGCGQA FQAIIVSPQFDNKTMLARHRLVNSALKAEIAAIHAWTPKCYTPEQWESAKQ UREG_05451 MASCRPFRTSPFSETVVECMRRLYPESLADKSFDNTGLLLEAPL NKNRRLQNSVLLTIDLTQAVASEAIERGDSIIVAYHPIIFRGLKSLTSNNTQQQTLLR LAAEGISVYSPHTAVDAVPGGMADWLCDIVTRRENKNTLQDDAARSSISGKSQPPPLP PRTDSPAVLPHIIVPTSHRCSSASASDMTIPHSRSVLLQNPSPPPGFEGAGVGRIVSF STPQPLTSILQRITSFIGLPRGLLHFPVAIPHGSSAADMQIRTVATCPGSGSSILMKD GRPVADLLLTGEMSHHDALAAIENGSVVVSLFHSNSERGYLHDNGPKWPRIWLICRRL QAMSW UREG_05452 MAAGSPAPEDMAASPPLSNAGSLAKPTALSNRLNTVLSTSYTDS DIRDALETLDKRGLENTADTRRTLRLDIQKEVIDSNGSIIQDFGKVAEQLTRIGAVIS QLNTICEDMRQHITLARQETGPVLDEASALISQKHEVETKQQLLDAFNKHFVLSDDDI SVLVSSAEPVDDTFFRVLSRQKQIHKDCEVLLGGENQRLGLEIMEQSSKNLNSAFMKL YKWIQQEFKSLNLEDPQMSGSIRRGLRVLAERPSLFHSCLDFFAEAREYILSDSFHYA LTAEISGERPDPNAKPIEFSAHDPLRYVGDMLAWVHSATVSEREALEALFISDGGELA KGIEAGIISEPWSLINEEQTVFDGQKALQELVTRDMSGVARSLKQRAELVVQGHDELV TLYKIMNLLAFYQITFSKLIGDGSALVETVTSLQSVAFKRFEALIHDQTATIMGDPSG LIPPDDLSAPEFLTDALDDLVPLMKAYDSSVQHEPTDDTSQDENKFSPIIRTALDPFL DLAKTSSNAISNLTSKIIYQTNSLLAVHAAISPFPFACATHMPPLSAALASLRTNLLD IQHDFLLKESGLQPLVTALEPFTPGNTAQPSAENTTPDHPTQARHLADIPSLPEFQPA FLSTVSQQLDDFLPSALVDATENLKRIHNRGLVKSVTEEAVEEFCRDFEFVESMIVGA DEAKEKMNVAGTVGSSAVGKEKDANDALGDESGEQAGVWSLRALFPRTTGEIRVLLS UREG_05453 MEGFEAILSPSKARQAASQAKDWAYVTNWLNRKYSPNRVPNFER NDDTLKALLNIAAANDTADEEEALIHRAREETIGLLKATEAERSPDPTLALLEDIEDE LDDKSNKLLQDMAETAVLLGIPTGDLANLDRSILNLTREEFNATEQLQKLETLQLYLK REIATLHEQLEELKDDRKYETPPDLPAKTSEWVRNKKTVDAKAKEYQSRIMAWAKLPE IGKPRIEDLMIEEEGVVRIREKVKSLENTVSTFHGLPSNIVDAKSEYQKLENELHALI RQRDQLFEGLVGRSNR UREG_05454 MSDPGRKDFSTKAKEEMTPDSTKSTQEKVKETITDTKDRVARGM QPDDNKGGIQESFDKSQRAHDNSQGGATSTM UREG_05455 MSPSEASSTWDFTPVINLLHSFTPEPQKKALQTWQSPTLKSPDA SKDGAGSREQECTDDAECKLGDFGALWTFLSRSRPSALDAISEPAAPEASGSHSNEKP NATVTDPLEATVERHTEREGTQAKKILLRKSPLLDNTGSNSAKGAIFERPVIQILKNP NLNRAQQSPFPEAAPQEPSKIKQPVVTKTTPSTPLKARSKSPKKNTPKVLPRLTGSSA DRRANLIELLRECHNNQRHLLANPNLCNPEFISSNISSTGIHIFIDTSNVMVGFHDCI KIARNIPTTVRVPRLPLSFHNFSLVLERGRQVHKRVLVGSDRFPAIDEAEKLGYETNI LVRVQKAKEASPRKKNGNDAAAAKSYGNTQQDHSSGSETNSRPVREKWVEQAVDEILH LKILESIVDTDRPSTIVLVTGDAAEAEYSDGFMKMVERALQKGWRVELVSFSCTISRA YSRKDFRSKWGSKFTIIELDKYAEHLLDM UREG_05456 MALFPRSGPHSEFGTLFRLLDDYDAHRSDRSGGGALSFAPKFDV RETKEAYMLDGDLPGIDQKDINIEFSDPHTLVVHGRTERSYSSGPPPSGKAAEGTAVA KGKEPETGERYWVSERSGNLGIFLLRGTSLVFAKWQSTFCDSGARSTASAAIVESNSP LPGQPPQFIFNVHKSLPLKQSNWRHSSARAQIEIVYTKKKMARLRQAPSARLETSAAP RVLKSARANIDTPTTHAERKRPSPVAREKDDKRTIVIHESEGEAAFEDEYSDEAGEKR GGSRYFDLSAEESDAEESDTGRLKEDNAGHDYLKLQWKRTLQPLGLNRANTLPRSRRV SAREENRYYDYLSDDENMSTGSGDYEKENDEKGLFNETKLSAGGPRLRRHADRVQRPV RKGAREPSIGEQYISEDDEQDGPGGSSDDEEFDSLDGFIVGDDEDISYQESTDAEAEE SNDEIVLQPRSTGRRLLRGRRPSRLQDKEDVGKNTKANTNTDNGHGVPDLDVLADILD KTNLSTSDLQPDALSNQEENPKKQKLKAQESNIMGNSEDGDGESITAPVHSHEEVLPK AKKAVGFVTPPGSPSKPRLQSPKKTPKRIPPSPYRPSIDAFWSQDIVSSWNDKFTPKQ QKTPKKWLTDFTIFSDKDDEEDFRQSSPEKAPPRSPQKTTASPAKSIAAKKKAAKREF DMKKASLAEEFFKELDNRVTDGEIQRLAAATGGVRITWSKKLNTTAGRATWKREHYKH KQPVQEASSCFAAEDNSNEPGTTTSPQKPLSQTSYRHHASIELADKVVDSDDRLFNTL AHEYCHLANYMISNVRDNPHGASFKAWAQKCKQALDENPAYAGRVQITTKHTYAINYK YIWCCASCAHEYGRHSKSIDTVKMRCGKCKGTLVQVQPKPRKTAALLTKVDWEHSVG UREG_05457 MAHLKFLVGILAAVSASQAAQLLNFQNQKDVIPNSYVVVMNDGV SALDFESHVKSTRSVHDANTRKRGIAFPTGGVKFTYDIAGWRGYSGKFDNETLQEIIK DPKVKYVEPDRMAKALGWVTQSNAPTWGLGRISHRSKGVRDYVYDSSAGEGVTMYAVD TGVDISHPEFEGRATWGINVADNVNTDQHGHGTHTAGTLAGKTYGVAKKAKLVVVKVL NRRATGSDSGIIRGMNWAVDHARANGAIGKAVMNLSIGSPDSSALNEVATKVAESGIF VAAAAGNRNEDARNTTPAAAAKVCAVGASAANDVKARFSNHGPILAIFAPGVSILSSV PGGRARTMSGTSMAAPHVAGVAATLISSQGVRTDKLCDRIKQMSAATVLYPGVSTTNK LLYNGSGQ UREG_05458 MRFANVLAGAAIVAGSVVSAELDPIIIKGSKFFYKSNGTEFFMR GVAYQQDLSSNGTTSGTDNYKDPLADPTACRRDIPLLQELRTNTIRVYAIDPKKDHTE CMKLLEDAGIYVVADLSEPKTSINRDDPKWDDELYERYTSVIDELAKYSNVMGFFAGN EVTNNKSNTEASAFVKAAVRDMKAYIKSKNYHPMGVGYATNDDAEIRDDMADYFYCNN KDEAIDFWGYNIYSWCGDSSFTKSGYDAVVKYFKSWNIPVFFAEYGCNLVQPRKFTEV EAIYGSQMTPVLSGGIVYMYFQEENDYGLVELEGGKAKKLKDFENLKNQMKKVDPDGV EMDQYTPGNTDLRPCPSSQVWKSNKNLPPTPNKELCGCMVKSLSCVADDNIDDEDLGD LFGTVCGLSAEACAGIKADPATGKYGAYSMCNPREQLSFAFNSYYEAQSAKGNGQNAC DFKGKAKQQSAVEPTGTCSKLIEQAGKDGSGTVGSSPNSASSSSAAAPMVVPAFNFNI LQLGAYVFCATLAGAGMILL UREG_05459 MALGWGVDSWFGWAKGEKGPSRYGCHGQTVLHTKRTGMRPMASP GCAYHCEIPLNRREITISSRKITRVYNPTRLFQPGARRRWPMDFGIFPWMASKHNVVH SDPDDFPWDGIGWALEKLRSRCSGSS UREG_05460 MAAYPQGPGGGGGGQDQYHDDYSQAHGDAYYQDQGYYDQNDYSQ HGDSYYDRNGYDPNQHNSQDGYYDNQYGYQDEYYNDQYYDQGSGSTQWQGYAAGPRRG DSEEDSETFSDFTMKSETARAADMDYYGRGDERYNSYSDSQMGGRGYRPPSSQISYGR SSGASTPVYGDYSNALPPGQRSREPYPAWASDAQIPVSKEEIEDIFLDLVNKFGFQRD SMRNMYDHFMTLLDSRASRMTPNQALLSLHADYIGGDNANYRRWYFAAHLDLDDAVGF ANMKLGKSQPQNTQGP UREG_05461 MDGKYVRRERDHNQIIGYDDINQLFWYPEGIERIVLEDKTRLVD VAPADRYSKLKDVNWKKVFFKTYKETRSWFHMLVNFNRIWVLHVGGFWFYTAPNSKTL YTRNYEQRKDTQPTKAATLSATALGGAIVSLIMIGATLAEWAYVPRRWAGAQHLTKRL LFLIVVFAINLGPSVYVFFINQDDKIALVLSIVQLIIALITYLFFAIMPIGGLFGSYL TKNSRQYVASQTFTASFPRLRGNDMWMSYGLWVCVFTAKFSESYFFLTLSIKDPIRIL STMKIHRCAGDKIIGDVLCKRQPQILLGLMFFTDLVLFFLDTYLWYIISNTLFSVARS FYLGVSIWTPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQIWNAVVISMYREHL LAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDHSFKTEFFPSQSEAERRISFFAQ SLSTPIPEPVPVDNMPTFTVLIPHYSEKILLSLREIIREDEPYSRVTLLEYLKQLHPH EWDCFVKDTKILADETSQFNGEFEKSEKDAAKSKIDDLPFYCIGFKSAAPEYTLRTRI WASLRSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSEKLERELERMARRKFK ICVSMQRYAKFSKEERENTEFLLRAYPDLQIAYLDEEPPVNEGEEPRLYSALIDGHSE IMENGLRRPKFRVQLSGNPILGDGKSDNQNHAIIFYRGEYIQLIDANQDNYLEECLKI RSVLAEFEEMTTDNVSPYTPGLPPTQSNPVAILGAREYIFSENIGILGDVAAGKEQTF GTLFARTLAQIGGKLHYGHPDFLNGIFMTTRGGVSKAQKGLHLNEDIYAGMNALLRGG RIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYMGTQLPLDRFFSFFY AHPGFHINNIFIMLSVQMFMICLINLGALKHETIPCKYKKGVPITDALKPTGCADINP IRDWVERCMFSICIVFLISFVPLVVQELTERGCWRAATRLAKHFGSFSPLFEVFVCHI YANSLHNNLSFGGARYIGTERGFATARIPFGVLYSRFAGPSIYLGADPNDAVIRTSRL AHALSAFCRLSRTRITGYKRKVLGTPSEKLSGDAPRAHITNIFFSEIVGPLVLVVVTL IPYLYINAQTGVQDNPERTNSLIRVGIVALAPIAINAGVLAALFGMACCMGPVLSMCC KKFGSVLAAIAHGVAVVSLLAFFEVMFFLEGWSFPRAMAGMVAATAIQRFVFKLIISL ALTREFRQDSSNIAWWTGKWYNMGWHSISQPGREFLCKITELGLFAADFILGHIILFL MLPALCIPYVDKGHSVILFWLRPSRQIRPPIYSLKQSKLRKRRVIRFAILYFAMLILF VILIAGPLIARKFLTNLPNIPLNLLQPIDQDNNDTTNEETGSGLEPTVTSGARMFF UREG_05462 MDLQEAQRVALACLRELANQFHRVPGSAIFLRYVKSSYQNDPVR SAVELFLFLSAVRYLLAPKYSTNAEFRDPVGGGMLLLTKEQAKKPLTRRFIITEALFE NRGDMVDLPKIIELRLKYKFRLILDETWSFGVLGRTGRGITEHQNVDPTQVDMIVGSL AGPLIAGGGFCAGSEEIVHHQRISATSYCFSAALPALLSTTASETLTLLQESPELLST LRENIKIMWSQLDPRSDWVYCSSAPESPIMFLALKPEVVSSRRLSIEDQQHIMQDAVD EALANGVLITRLKTIPDESGPKLLGGQVPPALKVCLTTGLSKKEVEKAGTVIRHAITK VMRQRR UREG_05463 MRRAIPSRFTNICNDGIFLPFLYNTRTITAPLQCSRFFPSQARC SRRACCYSTSSLADGKSIPQPKAVAEPEDPPSYLKHRASKLTRRKTKTSRPGSTLTAA EREIFSRLQELNEESEPALSKSEGSVQPFSQKQVLDRLLNDQETAEITSIFSSVVKDL DKPSPRVIPAENIESNVENAEQLAASITPNPDSHEQGPPQFSKVYSKLHAFRDSPSSE ENMDIVGIEPFPLAKLIAKRESKKICQELDDAVTAGKGDVGVWQVCEEKVFGMLGMLD RERIASLPEFKDKVRRRGRHTRGRKSSQGNGDVDGISASRVPIDGDKPLDIPENVPTV AVVLKAYPTTLLHAVKVFHRHFPTSQYSTQLFETVKAHGRVSLVMGASAGLCNELISF RWRVYNDLPYVVKVLEEMEEVGVRFNWDTLSIVDEIRKQRQVDKGNTGQKDGIAENGT WWWDSEATRSSYKNLVGWGRGKVGWITRIRGHLRHEEKRDKKLEEWSRRAA UREG_05464 MPRVKDEPELSEFEKQRAANIAERDALLKKLALEAKSAGIFTKP PAPKSSSQTKKKPTQKRVKKEDEPPVPRRMSCRLRGLTADSEIAKRKAEEDYAAHKAA VEAKRLRVSGDLNLGDIVVGLGPGSTPRYQRTFGKEDVKNTTDKGLKALREKMSGLEL WEPWEPNRIKITPERIYSMLFHPTESKPLVFAGDKVGNLGILDASQTPEENEEDEEDG YADPTITTIKPHSRTISAIYIHPSDSSKLYTASYDSSIRALDLEKSVATEAYAPASMS DDEPLSGVDMAPGDPHVLYFTTLDGYFGRHDVRAPNKSNPGGKSATSLYQLSEKKIGG FSLYPAQPHYIATASLDRTMKVWDLRQLSLKHPKPVAEHTSSLSVSHAAFNSRGQIAT SSYDNTLKVYDLGAKGIKDWKPNHTLSDDLEPDTVIRHNCQTGKWVTM UREG_05465 MSSLSPTSPGDEEPQHCNLLGVVIPRPTVNASAFEGFEPPGPEF TEQHAINKLKRDFSCVDTYGGSGFTSFLLEDFTVYTDKATSRERYGMVSLDNVATKQG NAVFYFDGILKPTEAETPTFYLRHIPFSLVSIGVYEDVDAHEVGEDLWIQSTYCHERD KGWIWYRLGKPSREYKAYHDSFTWAANLAKHLVDYMQHEHAVLADFKVRFHDWLWERH HLDSSFRSWVKDYGKSDFRQAIVAYGPFLYGQAANVRPEYGAHTLWDELGLSATPIVP EQPSHTKFTIVTPYVQKCFEKMPWGSYLRALEIDPSIAAKRHALLHRMGLTPTKRKIA PRSKYPIAPGDIVAIQKDNESGWKGTDNLCLQILFARTLHTPITMNFSIRPLQLWVIG RIGVAEGVKKVSVTFFSDKAANTDFFVRQTYHSVDETFMTLQKSDFQCQCVKARDGSL KEKETYNPGDTVLVETSEFLEPAEIIDFQGNEVKVRVLPRRARDFGGNYRPNELVYTE RFRLVHFEQIKRHCYIRFYSEQQRDSNTIPAPYNRDGNGGAFYITCRESSTQGLCPMD PPPNFSHGFDPLEPKRRLRALNLFSGGGSFDRGLEEGTAIRSEWAVEWGLDQMLTYRA NHESSHDLKLFRGSVNDYLALALKGDKSDLIAKLGQVEFISGGSPCQGYSLANPQKWS EMSLRNSSMIASVVAYVDFYRPHYAILENVPAMASKTHKRNPLSQLICAFVGMGYQLR LMHLDAWSYGAPQSRSRLFLLIAAPGLELPDHPPLTHSHPKNTTLRSLGEAPNGLPFG ERRWDTPIFKFVSSLEGTRDLPDLQRAKVRSIPWPDHRSSRTEAHYTQAIIDQIPKYP RAMGLPDAMAKGYLNYDPYPNEGLRRKTASSRAWSRVNPHLLIPTITTNISPSCKFTG RWLHWRENRLLTVMEARRAQGYPDSEVLVGRASSQWKIVGNSVARQVALALGLSIREA CLHNPPPPPPYEQILNDVVEPARTSTNKKRKRRLSEIVVKTTTTVVKTTTRYRFEEEL SRG UREG_05466 MEPERRNGFKRDPERQDFYTRPIERRPSKKSSSKDRHGVVYPES FRDTTIRTVTPDSMSDRANNSPMSDAEYMSPHATLRVKPRPRRQEPNPYFSAGDEEDA AIGPKTLRARSRTTLDDQRSEISPNFLSKRRTRLGSINVGSPAPKGSDDSVPSIGYPS IIQSPAQRHRLSKPPLGGASLVANASRSSSYGSPISNSDSSKILQLMKTTNGRMHGIL SFRTSGSTSWTSGYCAINVASGSLIYQAKGEPAAAKTLIPDLRGCRVRTLYDTEVQGT YLSVSTHSSTLGVHLRPHVIETFDSWLAALLCWQPIRPKGVQNKMTKPQSVAIGNHHR FPERRRNSESTIQKEAAIIKVGQMLLWDKQTASGLPPSPTPKRISTFKQQRALSHSWR KVSCSLQENGHMKIFMESDVTLIAFIQLSQLSRCAIQQLEPSVLQDEFCIAIYPQYFV HAGGDQLSRPIYLSLENRILFEVWFVLLRAFTIPELYGPEQLSPNDDSARDHGLSVSG ATPTIDMFRIERLLSIRILEAKMVQSTQEPADSSKPKKVIKPQPRQSRTRMPGDYYAE VLLDGEVRAKTAAKADTSVPFWREDFTFHDLPPVLSNASVVVKTLNSAQKDWTLISRR PYSLEDVSSDPLAMIGDVEIASHDATYGRIDLRLEDLERDSGSEKWWPILDDHDQQVG EMLMRVQLDETVVLVSKEYEAMSELLHSFSNGLTVNLSQLVPRELRHFAGTFLDIFQV SGHAGEWIMALVEDEIDGIHRDSSASRLRYTSRSRIHSNDSYESTQEREALVRDLGRS ATVEANLLFRGNSLLTKSLDMHMRRLGKEYLEETIGAGLRDIDESDPDCEIDPNRVSR PEDLERNWRNLVLLTSNMWKSIAGSASRCPPELRHIFRHIRACAEDRYGDFLRSVAYS SVSGFLFLRFFCPAILNPKLFGLLKEHPRQRAQRTLTLIAKALQGLANLATFGNKEPW MEPMNKFLLSHRNEFKEFVDSICSIPAERPAQIVNPSYATPIQILNRLPPTSREGFPS LPFLIDNTRSFAFLVRLWLESAPLNLTSMPDVDENVIQFHNLCLQVQQRTKDCLNRAE QAERPNGNLEVKWEELVEQFERSSTFYDESSSKANTPSIETAMSNVAAMSGNNRNSIG YFSRPPFQHRNTDTSNGSDEADYETRSSAPSATWDPGRPKFMTPKYSERESVDSPHNS STYSLEYPDQQKGRQSSITKESSAKYRLFDLVGNSRRKGKDKEGQQPLPSDLGPRDEY I UREG_05467 MTSQLLTRFLPINSSTSPSIYETIRQYDDESGPSDTEERAAMAI DEENLGERYQDYELEDALAQVSDTQSTRSSNFQSAAAGKSQSPRTPQWRRASRANVED DDDDEVPASLLVEGDGDEEPLPPPPQPPANHNRMLNDEPASGYPNPRLRSQWEATREH EPIHPERAPPIPLNLRANRRTGLAFANPKEKAMWRWANVENLDNFLKEVYLYFIGNGI WCIVLSRVLNILTLAFVVGFTIFLTNCIDYGKIPHSKTSAEIIIPKCTNKMSATSTFL LWLFTLFWFGKIFQYILDFRRLRHMHDFYLYLLDVPDSDIQTISWQEVVGRIMALRDA NPATAGTVSTKHRKYIGSQSKQRMDAHDIANRLMRKENYLIALFNKEILNLTLPVPFL RNRQLFSRTLEWNLNLCIIDYLFNEQGQLRPLFLKATHRRALSEGLRRRFIFAGIMNI FIAPFIVTYFLMHYFFSYFNEYQKNPSKIGSRQYTPLAEWKFREFNELWHLFERRINL SYESANLYVDQFPKDKTVQLSRFVAFIAGALLSVLALASVIDPELFLGFEITHDRTAL FYIGVFGTVYAVARGVVPDETQVFDPEHALLNVTSYTHYKPAHWQGKLHSDDVRKEFA TLYQLKVVIFLEEILSMIFTPFVLWFSLPKCSDRLIDFFREFTVHVDGLGYVCSFAVF DFKKGTNLMPPETHHHQHHLGHQRPRGFKPGAHDLRDDYFSAKDGKMLASYYGFLDHY AGPPRPSGHHASPQQNYRQQPASGPAGRPQTGANIYSSRMDRWDHGQPQQSVLRTPRF GPAEGAGGHTSPMASMLLDPHHQPSMSSFRSKPHPAAASRYRPSRQAQPLADTIQDVD EDQLPATGTQPLDMTTTSSERRS UREG_05468 MPRLRRYRVFLVFAVVSIIAFIRFTGIREWRPPNQNYHPTAEQK APAPPAAPPPQPPDRKATAQRQPTSPVKAKSTPTASSEKAAAKPPAIPPAKSPAPTTP AVVAPLPGTDIEFGPGGQGRLEVPGLDRSSVRWQKQREHFPVPTSSVIKLPKGKPKNI PQIQFKFPSESPSTRTIRAKRLALIKASFKRSWNGYKAHAWGRDELKPVYGGARDPFM GWGATLVDGLDTLWIMDMRKEFAEAVKAVERIDFKTSHRKDIPLFETVIRYLGGLLGA YDISEGRFPTLVDKATELAEILIGAFDTPNRMPLTYYMWAPTYASQPHRGSTRVVLAE LGSLSMEFTRLAQLTGENKYYDAIARITNELEKFQPNTTLPGLWPSHIDVSGCQKAVT PASGIEESDGSVKMPPVIPKPNVPPKAIYKRQEAAPKAVQDTQPAVYDSQPKPAQNVD PRGLDATDCAEQGLRSPPFSRVDRYTLGALADSTYEYLPKMHLLIGGLSDQYRNMYKM AMDTVRNYMLFRPMLPDNRDVLFHAGITSSGPPEKKSDLSYEYEGHHLGCFAGGMFAL GAKLFGIDGDMDLAAKLTEGCVWAYNATKTGVMPESFEVLPCDDPAHCEWNVTRYYDK LDPYEEERKLRVQTWLEQKAELEKAEKAAKDKERMAPVAEATAELPIATGPIILTKRH NAMPDDPSRIDGMDNPFPDFLGPKPTFSPHKEYAEQRLKEERIPFGMTDIMSRKYILR PEAIESVFYMYRTTGDESWREKGWAMFQAVELATRTELGSSAIKDVMSDVPMPLNEME SFWLGETLKYFYLLFSDPEEISLDEYVFNTEAHPFKLPLNYKPS UREG_05469 MGFLVPLWNWVRKSFSRHTQEDDPGVLLVIVSLLLVDGRRDEIT FEMMNGESAFARLLELIQCPRNGAGGDGAGLHRSLMDLFYEMSRIQKISIEDLSKSLG ILTTMILLLCANRTLTYWNAVLVDDDFVKFLFGIIEEVSNDVDDPYHYPVIRVLLVLN EQFMVSAHDPTTEQPSVTPPTNKVIKILSMYGSVYKTFGENIILLLNRENETSLQLLT LKLLYLLFTTPPTYEYFYTNDLRVLVDILIRNLLDLPEEASALRHTYLRVLHPLLSHT QLKNPPHYKRDELRKLFAILIRDRIAGYEDEYEKILHFDEVDETTKRLVLRKIISHD UREG_05470 MAKFVAFLALSAFLFGTALSEHEKEPTFTILPFPPISKTVSQST THPAPRGNKFPDPGLPDAGTATHGLETVQERSTAAFFTGVGICYSPFTADRKCKSQQA INSDVARLRKYSTIRLYGVECNQVSMVMAASKQYGIKIFAGIFSLQRLDTELQTLIRA AKGSWSSIAAVSIGNELVNKRANSPGQVVNAINKARGILRAAGYHGPVVTVDTSNTLI KHPQLCRASDFCGANAHAFYSSKTRAHEAGAFALKQAALVSSTAGGKTTIITESGWPS AGGTNGVAVPSDQNQAIAVKSLRDGFKGKNGQLFLFSAFNDKWKDDFQGSFGTEKYWG IED UREG_05471 MPRPYNLCAKCGVRRAIPDRGGLVADPGDVPISSADWVEQPPGS PSRFTSDRSQLAGHLSSNLLRSFTFAFSEDQCWRKILFIMISEMLNMARLVAEEGKQL TPLSKAFIFHFCQPGWSMPMAGGPYHISDDEHGPYVVIFAAILMTYMILCYLMRLLLR FTINGPLGLDDWTVTAGSVVAIVQTALKISEAKHGLGKRTDAVSLENRDIVGKVVRWY IVEGLGIFVELIAAWLPVYLVWNLRMRLKSKFVVLLAFSFRLPVFVIAAFRIYFLHQE FIHNDPLFYGASSSVCLEAALHFGLMAATIPCMKPFIKAFNTGWLLALDTSTPSEGYA LSNMHRTAYSANAETQFSSKSSIFQASRQGHVLHQEPGTPSSAGSDKMIIRRTTAWNR PAVAPIIPKPTAPRTASIFFRRSRLYQTSCSQSYHSGRRYPLSSRTCPQKLQKTPGFS LSPRSYHSEFHPDPPADSYTPEQSAILSAALTYIPEHGFSTKSVVLGAREAGYLDVSL QLFPRGGELELVIFWLASRRGLLKQLVESGEVFADKGGPGLSASRSDVDQRVKALIIE RLKMNQGIIQHWQDALAIMSLPSHISPSLYELYKLSSEILYLANDRSIDASWYTRRLS VAAVYASAEVNMTEDKSPGFISTIEFVERRIHDANLVTDTVGDVKQYLGYIAGSVVAA GRSWGMKLKNLGPSYFQWQSTRRLTCSRNPFRPPRD UREG_05472 MIKMIWHGWESPSNSNTSNLGLVNGGRGAMVSPTSGGQYHWVSE YASPRFQKFLSYITGWLSVLGWQAAFASITFLCGTLIQGFIAANSESYTPERWHGTLL TFAIALLSTFINTYAAGQLPSLEGLILIVHVFGFFATMIPLWVMGEKADAATVFTEFT NAAEWPNLGLAVLVGQITPIFSFLAEEIQDASRIVPWCMVATAIINGTLGFLILITYL FTMGDIQTVLNAPSGFAFISAYQNALGSAGGAIGLASLILILEVCSAISILATCSRQT FAFARDNALPFSRWFANMNPKSKIPVNAVVFTTVVTLLLSLINIGSTEAFNAVASLTV GSLYLSYIICVGSFIARRLQSEPLPRGRFSLGKFGMPINVFAFFYMCFAIVFTFFPNK YNVTPASMNWSILICGVVIIFAIVQYMIHGKKTYEAPVTHVKKAE UREG_05473 MATTDESIRKTSYTPKHVTIPDSFLTTTPPDAKPIIVTPIDFAA ASLPQYKDYYAVVLDNVLSPSECAQLLSLAEQSVKEPDPETGDPWTPALVSYGVGLEA LVTEYRNSHRIIWDNDEVARRLLERCFEAEGMRERLSVIAGERCRGVLGRLGVERGRR WKIVKLNERLRFLRYTRGQFFKEPGDDYDDF UREG_05474 MDPAYDTCWKIWLAYQERMCPFLPDIGHISPKLEFLELLAAAGH SYIVKVRFDGNIFALKLYTLTQPHWSPSRFVINALEMDPFIIECRVYDSLIENNLTGV VGPYCHGWLTIDRDQEDGLQIALRKTLNWRRRSDTDDSPICGLLLEYVHGCTLEKAYI TPAGTQSLREQLGYLHSLDIIHGDLFPRNVMVSEDGRAFLVDFSSACLWPTNRAIVRK RETFDEYKEDEKSILELFFFRLQNVSFPFPRLFFLTSHCKTVKTP UREG_05475 MAFWGLAYSLGPNYNKPWQFFEGRELAATVTRTHDAVTQARANA STASPVEQSLIAALQLRYQQNHPADDCSIWNREYAAAMGTVYHDFPDDLDVATLYADA LMNLTPWQLWDLATGEPAPGARTMEVKAVLERALAQEGGLAHPGLLHLYIHLMEMSNT PETALPVADRLRGLVPDAGHLHHMPTHLDILCGNYGRSIASNSDAVRADEKFLARAGP LNFYSLYRSHDYHFLIYSAMFAGQSKIALDTAAQLQSSLPEELLRVESPPMADWLESF LTVRLHVLIRFGRWQEILSLPVPHDKALYCVTTAMTYYARGVALAATGRVDEAEAQRT LFCQSLPNVPASRTLFNNKCTDILAIADAMLDGELEYRRGRIDIAFGHLRRSIALDDT LPYDEPWGWMQPTRHAYGALLLEQGCVEEAADVYRADLGIDQTLPRARQHPKNVWALH GYHECLVKLGRQAEARVVREQLEKAAAAADVPVKSSCFCRVDSV UREG_05476 MSVALPYFKDASLLPGPLPTQEEIETATEALPTIRDPTYDGRIV VIRNLYVVKYGNFVMENEGHVLLYIERHLSIPAPRLYAMYRKDEKLYIVMDYIPGENL HTLWPSLSTVEKSFILGQLRAILDKMRSLPAPDFFGSVARGPVPYRYFYSRDQNPAVT GPFANEADFSMALAEKSRLNWADTNQPGWTSRFFARNLPVALKGHDCVFTHSDLHPQN IIVQQQQQPADSRSTMKYYIVRAIIDWETAGWYPSYWEYAAAFALLQWTDDWPESLEA VVDPWPLEAAMLKFVHRDLEL UREG_05477 MCLPIGLSVIALVQLRLASLPNVAQPMSPLSSSSSLMAFKPPTM LPSKDVNAHSTPWATDSFNPPLGRRMALREFRFWQQAVQYPVYLVRFHLDLPDPDRPT FLHHVTIFVETRPDRSGFIHHVTGDVTSMQGMSYERKPRDEPDKSRTYHSKEFLGVTD ASTYPGAFDDVLKQIPPPHRQKAFNARTMRTEPFKTENPLTFYEPGEERRPLFKCTEW TLERAIPALRAAGVIKDVVAG UREG_05478 MGHGLCHRTIHRHQQHLKWSKANAPVLTINSGETLTFDALDGSN GQITKSSSVDALDTFDVNLADPAYGPVYINDAAPGDVLKVEILSLELADWGWTAIMPD FGFLADEFPDPVLKIWDIDPSLPYVKFKDGIHIRKRPFLGIMGVAPGEEGEFSTIPPL ETGGNLDCRYLTVGSTLYLPVRTPGALFSCGDGHTAQGDGEICGTAIETTLTATLRFT VCKNQPWVTAPQYQTPPLRELLSQEDVDEDKGEYAAMGIDSDLREASRKAARNLIEWL VSTKDLTRDEAYMLASVAGNLKMVEVVDMPNYAVAMSIPLNLFV UREG_05479 MGDMRGWDRQESNPRGSACEGKTGESRAVSLAGWLGGTENGGSR RRRSRHQSTKSCCNNRTQVT UREG_05480 MSGAAGTSGGYPGSGYGGRGGGAPNRSFEDRAFAKEQMMQAVRE SSQQDRRVYVGNLSYDVKWHHLKDFMRQAGEVLFADVLLLPNGMSKVGVNAIVEYATR EQAQNAVSTLSNQNLMGRLVYVREDREAEPRFTGPPHRDAAGAGRGGFSGGYNSLGSR QIYVSNLPFNVGWQDLKDLFRQATQQGTVVRADVHLDPNGRPKGSGIVAFESVEDARN AIQQFNGYEWHGRNLEVREDRFAGSTPGGFGGRGGFGGFAGRGGFGGGRGGFAGRGGY GGFSGGRGGFGGFGGGAGGNEATSIPPNPFTDFATYGGERSALIYVRNLPWSTCNEDL VELFSTIGKVERAEIQYEQNGRSRGTGVVQFDTIENAETAISKFSGYQYGGRPLGLTF VKYLNSASGDSMDVTADQPSGITQDQIM UREG_05481 MTTVSRPRTPSHEIYPSAPNISQSSHPIRSHGSASGSRPESFAA TNSIQPTDFQVRFGAGETPVPQQSRFHEDLSHRGFSILDGNIPGDAARSESQVSLSQQ TLPSRSGTLKKKASLSKKGSLRRGGSKRSSRAGSVRSLKLGEKEKYSAGEDEMNSAFY IPIPTKGTPTEILANRFQAWRKVLKDLIGVFRDVQRSYETRAKILVSTSNAMNNISMP TTFLQSGGIAEATNILKTYHKQALIECNKAKDVETEIIVQLNSLRNDLQQKIKEIKSL SGDFKNSVDKEMEGTRKAVRHLHEALGLVDTDPAATSGKGDPFIIKLGVDKQLEKQLL EENYLHRAYLNLESSGRELESIVVGEIQKAYSAYASILKRDADSAYEAIERLREGPIS MPKDLEWKAFVSHNDQLIDSGVPLRNIRNITYPGSDHPAAAEVRAGMLERKSKYLKSY TPGWYVLSPTHLHEFKSADRIRSQNPVMSLYLPEQKLGSHSQPDSSSHKFMLKGRQTG SMHRGHAWVFRAESHDTMLAWYEDIKNLTEKTGEARNAFVRKHVRSVSGISYRGSSIS SDGVLDEDEADQTPYSAEHMLPPRGPSLDEPRWRSPSGGRFPSEVQLNRTSEAPNSPS SEASSREEPVADSSFREPGIHFAGRQPQRHQHPHEPEPHDGLHSNSIRSTQPKRPARI YDEWMTADRPAPPQTPTHDHINANRIYSPSPQPHRNGTRVRSIALSTGAPILIPDDIS NKPTPRPSEPDASLLSTQPTNTDTRTNPSLTTATSLGMLGSDTSTGHTPALPNGAGAL DPPIADTKADDTETSMRQRMLSAAHRTSTVSDLKVPGQYPMASS UREG_05482 MNAVAMGHQARIVLRIPPITRDIREDIESGFRRICWVIAEKIFQ YGTLSPNTRASIARGNSQGQGKHEGITALGAGNQVENARPLLPRRELLMPEKRQRGQC TARDVATSVSAARELGSSAAAPRANIRCKNAKTPLTTKRPGQLDAPISTPLTPSQLDS QATMTSSEEQSRYHPRDAISAAARTTLITGSVGLFTSAIQNTLAKQNVGPWGVFTRTG GTVTLFATMGGAYEFVRTASANLREKDDHWNAAWGGFAAGAAMGFRDLMVLARTFPAV IGYGATVATVLGIFEYTGGSLRGYKENPDVDEFDKREALRTNYRSPGEQTIAELGEGR GIHAPGYAERRRDRIKQNYGIDVPVTQPSAS UREG_05483 MHTYPTNGWNEPQPPFYQDLGVTEDMSTELILDAFHQQVWCDPD RASYYFKCLRSIGNWRGDIEGRGIARFVERQFAEGKYPEHEIPEAYRYFHLDITDGSL TDDTIIGSFFARLEDSPDEAEPRKRLAKIGEYRRSHAIKAVAEENLSNVQEALDYLGA NENTPDDFIISMYCAKVDDLPVAITLAKRAVSMIAEARNSERLEYFLRTDEMQPPEMD IGDAYRLFQISDRTVDDDSILAAFQVFATEDPGQVELYRKALKLIADETQSVRLKKEL GEDLIPEDVNLNEWPVGLRNIGNTCYLNSLLQYYFTLSPFRDMVLSSEQQLMELDDES LQLLRELKSLFEDMTTSPTRYITPGQELARLTLLSSTNEAEIRRKSLAGPSLPIYGPF LPPDMDLSGAGHSAKASGSEDSETTLVPPSSNGEAGDDDKENADPHVPGAVIDHRSTE ANGMREADEPQPKAMNAPDRPPPVPPRPGSNDMKKELEIGAQQDVTEVINNVLFQAQC AIKPRSYDEDGGQLDIVTELFHGRTKSYITTPNGVRCKEETWSDIKVDVARESSDIYS ALDGAFDKQNVHVDGADAEQHGTISALPPVLQIQIQRVQFDQVQMKSFKSNNHLELKE TIYLDRYMDVGAEHDIQKQREEKWRWKAELQRLENRAQELQNETGPLSVTFDSVRGKL RELMLLQDNAEGDNDTIGIDESTLTLLSKLSEAAEAERLGIRERIAELKQHLGGQFAD NKDVVYRLYAVFIHRGSASAGHYWIYIFDFERKIWREYNDEQVSEVKKLSTIFAPQTG AHSPSPYFLVYVYDSHKERLTQPIFRTVRDIPSDTMMTDAPATETDRRPRSMIRRPAR RRSSLSHEMVREDMEMADAPPTYEEVTSSDHQDMGNDESAINRQPSSSRQVSVECAAP VGLEGMGKAHSPIPKDRNSHPPSGEAAARE UREG_05484 MQHQGFLVRGFSSTFARSAINKVVPSAEDAIKDMKSDSTLLAGG FGLCGVPDTLINAVHNNPKITGLTAVSNNAGVDGAGLGLLLASGQIKKMIASYVGENK TFERMYLSGQIDLELTPQGTLAERCRAGGAGIPAFYTPAAFGTVVQTGELPLRNNADG TVALYGTPRDVKVFDGKSYVMEESIKGDYAFVKAWKADKLGNCQFRFAAQNFNGAMGR NAKMTIVEAEHIVEVGEIDPASVHLPGIYVKRVIQSTTEKKIEKYTFAKEGDEADMSA LGKGDTANKRERIVRRAAKEFKNGMYANLGIGMPMLAPNFVDPSVEVQLQSENGILGL GPYPKKGLEDADLINAGKETVTLLPGASCFGSDESFGMIRSGRIELTMLGAMQVSAKG DLANWMLPGKIKGFGGAMDLVSNPSKTRVVVTMEHTDKKGNPKILKQCEFPLTGRACV SRIITELCVFDVDFTNGLTLIELADGVTVDEVRAKTEAPFKVADDVKPML UREG_05485 MYASPSTPPARPPLTTPQLSRAQIATHIQRLLTRWPADAIRPAS VSVHAYLQSRLAPPTPSPQQPQSRWSQLFRRSSPAAAAASPAQQSSAGDAPLLTSDNV NALYALLENRYQRKYPLPNSLRYPASRPDYYDGLLKEFEEAPRRGWLGRMGKKLGGVL RWK UREG_05486 MSSHRYQRVDGRADDDDDHPPMPDPSAPYPVPASPPPSFHSRAS SPSSRPLFSHDPLHRDTDRTLADAFDGGEDDSDGDEEIDDRQRLMRGNPSIGDDRHAE APTSSRQAFASGTSAVPPAFNSTPSGAGRTIRPAPNDGVFANLAAKPDGEKDDGLPSY EQAAADATPPYWETTIIAPGMSSDEVYVDGLPVGSFFSFVWNGMISTSFQLVGFLLTY LLHTTHAAKNGSRAGLGLTLVQYGFYMKPGGSPDPSSPTGDSQFVPPNPNSHDFVPED GKFDSGDSPGGVSGITTSEWISYILMVVGWFILIRAISDYLRARRHEQLVLQSPDRGL PVPIIAEGERPEHSV UREG_05487 MPTRPSRFIRTLTPLNHHPPAEPLELSPSRRKPHPFRSVGNYVF TSPWNRNCTFGPGANSRTLRCRHTLPQSSHAPSSPASAVTVAEIRFNLPTFPSPPPRP GSSHRADGGPKPRHSNDSLSSPFEEERLDLSLARERAGGGMRGNSAKLGKLIIQDEGL KMVDLIVAACMGVFWGVYENASG UREG_05488 MEDQGKYTYQSVGYCRGVCKKLKKPAMALTKGTNCYCGDKLPPR SSKTDDDQCKRDCDGYPDEICGGKNAFSVYITEKDDDVDYEDGSSLPSSTSTSPPMRT ITQSGQTVVVTAGTDEKEGGGPNKAGIAAGVVVGVVALAAIIGGGIFYMKYKKRREVV EEYRRNATISNFVSSGKTYSESSMSDSRLEPTLMSQRRQSNGSIADDQDFSRRILKVT NPDSQY UREG_05489 MSRRSSVPIPAPAETVGSGSSANNKDPAQDKQKMLLSTDTGHFS MIRALHLADLITELNGKSVAFLILRRQVVSMCLFLRVPRLISYCVVMSIFSSMRYCLG EPDQFGALWIALAFMPFGLFFDFMDGKVARWRRKSSLMGQELDSLADLISFGVAPAAP PSRLESAPLLTTSYSPSWCSAASLASPRFNVTVATLPKDKTGKSHYFEGTPIPTTLSI AALMAYWVSKGWVLEDIPLGVVARGTAFEFHPVAGLFALWGCLMISKTLHIPKP UREG_05490 MGEEADQPPVSEGVQTDLPDRSADSPPAPQQKAGKQKSKKPDDT PLPDFIVARNKLFDELKKAADEELKNKPRFDITVTLDLGNGAPATVIAKAWESTPGSF LKDIPKEFSSNVVIAKLDGKQLWDLDRPLERDCRVSYLPFDSPEGREVFWHSSAHVLG EAAECEYGCMLSHGPPTAQGFFYDMALPNNGVVKESDWPSLDSRSSKIFKEKQSFDRL EVSKEDLKKMFSYSKYKMHYINNLVEGESSTVYRCGTLVDLCRGPHIQSTGKIKAMKI MQNSSAYFLGDQSNDSLQRIRGVAFPDKKSLQGHLKFLEEAEKRNHLKIGKEQELFFF DEVSPGCPFLLPNGTKIFNSLQKLLRTEYRKRGYQEVQSPNMYDVGLWRTSGHWNHYK DDMFKLAVEKREWALKPMNCPGHCIMFNHRERSYRELPLRMADFGVLHRNEASGALHG LTRVRKFQQDDTHIFCTEDQITQEIEGLFDFLRAIYGLFGFSFKLKLSTRPEKYLGKL ETWNFAEAQLKEALTRFKGSDWEIDEGDGAFYGPKIDITIQDALKREFQCATIQLDYQ LPQNFKLEYMTNETGRPKATDAKKDETSPKGDDDNPSPDSDDGTPGPGRARPVMIHRA IIGSFERFFGILIEHFGGKWPFWLSPRQILIVPVMPAVYDYVEELQGILRGDKLNVDI DVSGNTLQKKIRNGQLAQYNFIFVVGAQEKETRTVNIRNRDDPSSQAKGSLIPLDEAR AKLHALRKERRLVNSL UREG_05491 MEHFGGGKPDGADFDLSQITTQPPQIFGASNPDGSPVQPAPPGT AFSEDQLMGSMDDNNDAKRRRIARACDMCRKKKIKCDGKMPKCSHCINYKTECNFTQV EKKRNPPKGAKYIEGLENRLGRMESLLRLSGLLSEVDGGKTDLGTLERRLADRSIMTG NQPSRIPAVSSSMHSMMPAVSSHQSTPQADSGPSPRSPTGSPNSQKDSEAELDSLSDM MCSLVTTNCGETRYIGSSSGFSIFSPKGIQWVNEKTGDSSFEDMISSAYIDDNKWIYW KPEIFSDIFARRVFKPLPPRDEALSLFRDYFENFNCVFPLFHEPTFMHLVERQYSRDP YEGSGWWASINVALAIAHRLRVMSNLVPQEEDKKAWLYLKNAMGVLTELTMRNTDLLS VQALLGMALFLQGTPNPQPSFFLVAAAIRLSHSIGLHKRGSGFGLNPVELEQRKRVFW IAYMLDKDICLRSGRPPVQDDDDMNVELPSEDPPDNIGNVPLSDGKSKVNLFRLMCLF GTIESKVYKQLYSTKAARQSDGELLNTIGELDRELEQWKDSIPLDFRPEYEIKATHRP LILPIVVLHFAYYNCLTTIHRMSVHHGYWTSRLSNFAIQGLNARPLNPRVFSSAVLCV SAARASINLIKYIPQGDFSCVWLILYFPISALVTLFANILQNPLDARARSDVKLMNLV VNFLSKLATDESNGSVKRMLSVCCEFERIAKVVLDRTEREAQAKRKRKAAGDDANFVS TPNESTANMPQSAQSPSTTQTQTTRTSPTFSYMPNPAARQGTIPAMGSFPSSLPGQQP VFQTVAPENGAGVLPKAPVGANQEFSELLGGPAINQAASLNHDQPYTSDSIPIDISAF QQPFLPHDLWQMPMTLEWDWAEMQNNGFQFGDEGTN UREG_05492 MATFLRRPGNLARYSRRATECAGYAARGARPRSLQQSQLSAFIS AHPSPNVCHREPKAIPSLHEIKKTQKRVTRMSRHGHRGRDGNGNNPAAQFRKKALKTE RSKSSREYKGEQGGGEADRRPCQLIKEEFPGHPSRKLIEEAGPAIESHSNSSIDAGSS LIGAFISYYVYRRLFTGESSRDITWQEFRNTFFDKGLVDKLTVANRSTVRVDLPREAV ASMYPESPASRPNFHYYFTIGSVEAFERRLDEAQRELGIPSSERIPVAYADEVPWLAT LLSFGPTLLLIGSFFWLSRRAGGGGGGQSGIFGIGKSRARRFNHETDIKIKFSDVAGM DEAKVEIMEFVSFLKKPEQFQRLGAKIPRGAILSGPPGTGKTLLAKATAGESGVPFYS VSGSEFVEMFVGVGPSRVRDLFATARKNTPCIIFIDEIDAIGKSRAKQNFGGGNDERE STLNQILTEMDGFNTSEQVVVLAGTNRPDVLDKALMRPGRFDRHIAIDRPTMDGRKQI STGGVDTGFSGADIANCVNEAALVAARGHAASVTMKHFEQAIERVVGGLEKKSLVLSP DEKRTVAYHEAGHAICGWYFQYADPLLKVSIIPRGQGALGYAQYLPAQGDTYLMNVRQ LMDRMAMTLGGRVSEELHFDTVTSGASDDFNKVTRLATAMVTKFGMSSKIGYLYFEED QQQLHKPFSEETAKNIDLEVRRLVDEAYKQCRDLLEAKKPEIRLVAEELLSKEVLSRD DLIRLLGKRQWPESGEFAKYFDGTGGGGTIAPPPPPTEGTNMTERDQENDSPAPNASS UREG_05493 MDPSTDPKEPVPMDPSTDPKEPVPMDPSTDPKEPVPMDPSTDPK EPVPMDPSTDPKEPVPMDPSTDPKEPVPMDPSTDPKEPVPMDPSTDPKEPVPMDPSTD PKEPIPMDPSTDPKEPVPMDPSTDPKEPVPMDPNTDPKEPAPMDPSTDPKEPVPMDPS TNPKEPVDPVSPTPQDPSVPTNPTPDSPMGPTNPTNPDSPMGPTNPTNPDSPMGPTNP IPEGPMGPTDPIPDGPMGPTNPIPDGPMGPTNPIPEGPMGPTNPIPEGPMGPTDPIPD GPMGPTNPIPDGPMGPTNPIPDGPMGPTNPIPEGPMGPTDPIPDGPMGPTNPIPDGPM GPTNPIPDGPMGPTNPIPEGPMGPTNPIPEGPMGPTDPIPDGPMGPTNPIPDGPMGPT NPIPDGPMGPTNLTPEGPTKPIDPSPQDSPVDPTSHTPGSKIAVIPDEPSSPQGLDTP AGPDSRGVLNPDTMIDPK UREG_05494 MALPTLAAVPDCANFTLSVQPFISQLASLPPAILDAGRDFQALK HVYTSTNPLVVAISFSLFLVPLLLVVSEINKNYSQVDRLWSILPPIYNGHYVLWSHLN GVSTDRTWTAFVCTFIWGDYRWNIVRDRINNRFIFFLLNVFFICLAQSLLLVLVTTPT YIFVLLNTVRSAPAFGLPDLAFSRAMIFFIIIEYFADQQQWDFQNAKKSYQETARVPQ ECKDKFSADDLNRGFVISGLWSWCRHPNFAAEQAVWLTLYAWSCYSTQTYVNWSGIGA LGYVLLFQASTVLTERITAKKYPEYDDYQYLVGKFIPIPWLGSGHKAMSKKKRSQKEA HEKKIE UREG_05495 MGARILCVAEKPAIAKAVAQHLSGGALRTASIRGNQYVKNYEFQ FAFGPPWGNSSVTMTSVIGHLTALDFESQWRHWQSCPPGQLFDAPVAEDVDKDNLGIA ENIRNKARQADVLFIWTDCDREGEHIGSEVRNQAVRVLLDERQAHAVAARIELDLRIG AAFTRLLTLQLGALGGALAERLISYALGSCQFPTLGFVVDRYFRVKNFKPEPFWSIKV SHTRDRKTVNFNWSRGHLFDRAAVVVLFERCLAAKTAKVTRVNKKPTKKWRPLPLTTV DLQMMGTKFLRMDSQKVMKVAESLYTKGFISYPRTETDQFDKGIDLKKLVEKQVQDGA WGPYAQGLMDGAFKQPRSGRHNDKAHPPIHPVTYVASSVLTADERRVYEFVVRRFLAC CSEDAKGETTEVDIQYGDEKFHAQGLVVLERNYLDVYVYDKWESSQELPKFTVGEEFE PKEAIINEGKTVAPNYLTEPELIGLMDANGIGTDATMADHIAKIKEREYAATRRRGGS GRNSVDEFIPTKLGVALVEGYDDLVLDLADCPSLCKPFLRKEMELRMRDICAGTRTKR QVQLLNAAFFDYFVISEFITP UREG_05496 MEPTILVVLFAGLTIFSNWFSVPLTTLNPQRPVAERLVGSFQLT AAAQGVSALYCRFNQPVNDFSSLLNQTLPSTDPVLGETLVSRVLETATDDSIPSATVT TAIIPTPTVPFGGAEHIVFDNFLVEDSSEYPEWFVARLGLLVGDHMRRHPNIYTVAAS LVLVQSMVMMWFARQIQRLTRELHGVSSQPLEGAGFEHVQAQLAAEQSRVNSFIAKFE GFVDNFQSLERRFNDLSATVSAWPQQIPELVGDGLVQIRTQVDQFDARFEQLQRQVTD FATEKATNAKDSGFLQSLQDQVQGLVAQWEAKPWELPQSELAVRLQGFNERLEQLPPS SPSVDSKELTTRITRLQKDLDQWKESVVMNSEVASVEASLRLIQKKVDSQPWTESFTR VEDEIATLSHELSSVKKTSTTLETEQKNLAADVKLVGQFVNQLKAEQPWQRGSSLTDV QLAAVNEIAALKETLATKQETKALSDAIRRTDGSLETWISKTWPADLLKLEKSSKELE RSFNGELDSIRSHCATHEDVKYACKQLNTQVSNDLKTRDKSIDSLKSDVNGLSAKVKD LEARPVGGSVQGAVTIEELKKVKDMAREADNSAISSALAVQDLRERALQAGRDITGIN SILRIHEFDIASCVTKLGIERKKVTFGAAPSGQAPPSQVPAKNDAKPGKPTTSPPGSK EEKPPVSQSSVTEGADSKSESKSDKKDEPPATGSSEKSAVPQGETESKPKSPETSTSV EPKLPGLEASRWASAGPTEPKPAGLEASRWATATPEPKPPGLESQPLGSSRSSKFRWR RPKERRFKEGQEKISAPE UREG_05497 MSSTIPDDVSHSGEGSMPTLLALGEEASAAEGVPRLCRSPTREF SAPTLEGVHWCTNQRAPPCGEADTILQLWSMSVQTIAHAGAVALHLPASHLAQFPVVI QISIASLFLSSLPKMDPKHEKGGGSPQQEQDEVKVESSNAIYDVENRTTSGKMNAVFE NPLARVSRDQLLADVERFCQKFNLMDHIDVFRKGALVSQNPSGAMELPDLDDEDREAL RKETTHKWAQPAALYHLTIMCSIAAAVQGMDETVNNGAQRLYLDRFGIKPFSEGGRFS QAMTDNLTGLIVGAPYLACAILGCWLTEPLNRYTGRRGTIWISCLIAAVASVWEGVAN SWVNLFIARFVLGLGIGSKSSTVPVYAAECSPAPIRGALVMMWQTWTAFGIMLGNIMG VAFGGLEPDLAWRLMLGSTVVLPLVVCAQVYFCPESPRWLIEHNKIEKAFRSFRILRP TDLQAARDLYYAYVGVEIERKVNRGKNFFTMVWELFTIPRNARATLASTIVMWLQQFC GVNIIAYYSTEIFRESGFSMSSALLASMGTGILNWVFALPAFLTIDTWGRRNLLLFTL PWLALFLFWSGFSFWIEPGDPHSKTRLGMVTTGLYLFEVFYSPGEGPVPFTYSAEAFP LHVREVGMSWATAVTWSFNFIISFTWPHLLSAFKPQGAFAWYATWCLIGWFLVLFFVP ETKALTLEELDQVFSVPTKKHALYQLKNAVWHFRTWILREKLDPLPKLYVHTDDKLNE S UREG_05498 MAFFGAFQTIPMRIIHPDAPVPLRMQGGLRLGFVLDKIGEAVGA IGPWRKSIELPATTVPALAYTSVSSTIVPSAICSVPPVTLASNLPSPTGSLTITAFTT AAADTAILPNFPEARAMDSTAEAASAAEPKNNYSWILEAQLLGSHAAKWVAVSSTYPR LPFVVVVYVLFGCALAVIFGTTSMFLMTVHTVCKEVGAKMIRKALMDSNQVALAIDEA SCQQAQLLKKLQAANKAMVTSANKIDAMISSNEKLMSERIVQINHLLSFSERRANEVT NNVIDSAARVQEQYLPFPSRDGLLGEHLKKFKESLKDAKDELLEEIEAARSLIPSFKE EFTKVTSRLRESHLGNWVEVFESEKARLKSQVARIKKATQKIPKQKAVDQLIHELQEE HGELERRIVAAREDMGEACRQTEELVRELKSERSKVDGKVTAARDGMAKACQETKELV DGLLDDMDDLEQEVTAAHSDIRDAREEAEDVSLKTCAVKRDVQYLQADIIALEEDIAL AQAALDSARKTLDEHIEEVMEEEPDHSDAPPYSPDAPLSALDQEILHLAGGDSDSDSQ GHDSESEVPPELERNLLCESPSVVDYQEGRRYIVRRQKAKRAADRMPVKEDITWPARF RSGSPSVSRGRRSSRWWTSTTFNPNYVHTPSRHFGPE UREG_05499 MAEKEATVYIVDVGESTAKCHSGRTISDLDWMMNYVWDRITTTV ATGRKTATIGVVGLKTDGQHSPSMLLTDIRKLRDVMKPSKTTEGDAISSIILAIDMIE RFCKRLKYKRRIILVTDGKGQMDSDGIDGIVTKIKDEGIELIILGVDFDDPDYGVKEE DKDPQKIENEATLKHLADRCDGMFGTLAQAIDEMSIPRIKIVRSNPSFRGDLKLGDPE HYSIALTVQVERYYRTYVARPPTASAFVLSTALLDRREAAESATLRDGESSAEPADPS ANLTSVRNARSYQVDDKDAPGGKRDVPRDDLAKGYEYGRTAVHISESDENITKLDTDA ALEFIGFIDNENYKRYMSMSNVNVIVGQKLNEKASLALSSIVHALFELECYAIARLVT KKGKPPLVVLLAPLIELGFECLLEVQLPFAEDVRSYRFPPLDRIVTVSGKVVKEHRNL PSDELLDAMDKYVKNMDLSELDNGNPLESMALEDSFSPLLHRIDQAIRWRAVRPTESL PPIPKILDKLSHFPERLIDKSQSSLKGLISVSAVKKVPPRVKGRKRNRDVDKPLSGLN VDELLRGEKRLKISPENPIPEFKQTLANTEDVSAIEEAVKQMSVIMETQIRESVGDVN YDRTIEGIGTMREELIAYEEPGLYNDFIRRLKQKLLDDELGGDRREMWWLVRKNRLGL IDSHALDISDVTEDQAREFLSSRPTAKKAVI UREG_05500 MGIESIVRRAHYSDGVYAALSSTVEVSVPIEPSDEQPPDPQELY YNLLRHRFQLLRSTLKCAPPAEAIEALDAQHPISLPDDSKHARQEWRWLLQTVDPHMV QIACMHPEDVLRVLTLVTRSISDAVKSGEVVRVKRLAAWAWGLLARCREVGEMGSEEV ADIRELGKRAVKILLKVREVEEQDAVDIQQNSGENGENVIGRAAEDISDQIECEDMRS KEQQNGLLNDYPMVDTGSDPVAQVDDALANEKDELEEAKARLQARLASTFSDDISGTG AQGGSEDAAGDDEERNEREDQAAELRKQTRAMLDMIISISGEFYGQSDLLEFRDLWEE GDRGWVQ UREG_05501 MEQPSATTLPHHPKSGEQQPEGSAASEAGAPAAPSKSALKKAAK EKAKAEKAAQRAAQEMAQAQASQAADTAKDLYGKMPDTDDFPQITNFPQFDTEDFYEK EVTVIARVDNARVQSAKLAFLMLRQQGKKVQAVIFAQEPISKHMVKWTGGLNVNSIVQ VTGIVKKPEIPVNSATYSTMELHIRKIYMIAQAAQQLPMQVKDAERPPPESTEEGQVD AEGAPIVTLKTRLDNRILDLQTETSQAITWISSGVAQLFAEFMLKSGARWIFTSKLSG TATEGGSDVFELGYFKRKAYLSQSPQLGKQMCIAGDMMNVFEIGPVFRAEESNTHRHL TEFIGLDFERTFQRHYHEVLSFAEELLVFILSELKTRYKDQIDIIQKSYPKAGDFRLP KDGKALRLKYMEGIALLKEAGVDVSEQERFENDLTTAMEKQLGRIIREKYDTDFYVLD KFPSAVRPFYTKSCPDDPTFSNSYDFFMRGEEIMSGAQRINEVEELEAAMRARGVDPK SEGFEDYLGAFRQACSPHAGGGLGLNRIVMFFLGLPNIRLATLFPRDPQRLRP UREG_05502 MAFASHGVLLRAAQPLPGAAKSLSLLERQRIPFILLTNGGGMSE SERIGQLNDRLGLQLHHDRIIQSHTPFAELVEGKKEQEPLENKCVLVVGGPNDRCRHV AKQYGFKSVVTPADIFMAHPSIWPFSKSFSDHYKNFAQPVSRPVTGGSPGNLKVDAIL VFNDPRDWALDIQVIIDLLLSHHGILGTYSEKNNREDLPNRGYQQDGQPKLYFSNPDL LWAAAYHLPRLGQGGFAAALHGVWDALTGGPAAGVKLQSTMIGKPHQSTYEFAEKRLL QQRESAFKGADVVPLRDVYMIGDNPESDIRGANSFNSATGTEWTSILVKTGVYRGEKP AWPPKVIVNGVQDAVEWALKRSQWLTSP UREG_05503 MSRHINFKLSNGVTIPGLGFGTFSSEGAKGQAYDAVLHALRTGY RHLDCAWYYRNEDEIGQAIADFLKEQPSVKRSDLFITTKVWNHLHEPDEVKWSLENSL ENLQLDYVDLFLVHWPIAAEKDENNMPKLGPDGKNSLCAPSHMGDALTASCWMDLLIL LPLMETARAIGLSNFTIPGIKQVLSFAKVPPHVNQIEIHPFLPNTELVEFCLSHNILP EAYSPLGSQNQVPSTGERVGTNPTLNAIAEEGNHTLAQVLIAWGLKRGYVVLPKSSTP SRIDSNFEEIELSPKQFEAVNEVAKGRHCRFVNMKDTFGYDVWADEKA UREG_05504 MANIGDAKFQGQDGGPRRRESSVRGGQISIPADPHFEGNAIEVR ALRSSISDAGMLMHNLSLAPSMRDRRASRNSFGVSLPIPRSPRASRLSSDLAKEKVEA AKNMAFAFDIDGVLVHGSRLIPEAARVMELLNGDNELGIKIPYILLTNGGGKTESARV EELSRILGSPISTDQFIQSHTPMQALSEYYETVLVAGGDGYKIRQVAEDYGFKNVVLP KDILAWDPTISPWSKLSEEERKQAKIQDFDKMNFEAIMVFADSRDYATDMQIIMDLLL SENGRLKTKSKTPLENQLPIYFSQGDLLMPTEHGVPRLTQGLFRISIEAMYKSLTGGD LERVVYGKPELATYKFADEVMKQWMKEIHNEHVLPKNIYMVGDNPQSDIVGGNMYGWN TCLVRTGIFQGGENDEENPASFGVFANVLDAVQAAIKKELGKEFKFRWSDKINPVLHP EGAWGTKCPSLFHGESSAMAADPEPTRHIYLPPEILCTIFSYIEDQSQANATLRSCCL VCRQWCSVATPFLYREPSIKSGNFAAFAAAVISPINQPHSQRSDLGQYVRTLDLSELV HHSTNSMTAKLLRAVRQGLELFIAPGRSISSLNLLSISKCQNLQILDLSLVLTELSFG DVKNATKNLTSLTTLRLPKNTSLSLAANDVPWPPNLTVFQFGGDDPADIPRFAEEFSW PEKLTSLTLHNCKSLTIAAGQAIFGNPQLQQRLRRLRITSDNNFTHFRFMADLVNVIP KVKWLSLPGGDVNSTIIPTLATLNTQLELEVLRMEPSLVSWVFPLNEFKRALGSSLPR LRHLRVHVVHVPSVSYDSVVEEITLALRKNAEGAGYDKAMLGEVFSIYP UREG_05505 MSYVKRDEDADQTMMKLDRTTVFQDARLFNSSPISPRKCRTLLT KLAVLLFTGEKFPKDEATTLFFGISKLFQNKDPSLRQMVYLILKELANTAEDVIMSTS IIMKDTTVGSDVLYRANAIRALCRIIDATTVQGIERLIKTAIVDKSPSVSSAALVSSY HLLPIAKDVVRRWQSETQEAAAGGKQSSGFLGFSSQHPQVISQTSHMTQYHALGLLYQ MRAHDKMALVKMVQQYGAAGAVKSPAALLLLVRLAAKLAEDDQSLRKPMMQMLESWLK HKHEMVNFEAARAICDMKDVTDAEASQAVHILQLFLSSPRTTSRFAAIRILHSFASFK PHVVNTCNQDIEALISNPNRSIATFAITTLLKTGNEASVDRLMAQISGFMADITDEFK ITVVEAIRTLCLKFPNKQAGMLTFLSGILRDEGGYEFKRSVVESMFDLIKFVPGSKED ALAHLCEFIEDCEFTKLAVRILHLLGIEGPKTSHPTKYIRYIYNRVVLENATIRAAAV TALAKFGVGQKDPELRRSVIVLLRRCLDDVDDEVRDRAALNLRLIENQDEMAERFIKN ENMFALATFEHQLVMYVTATDKATFAAAFDMSQVPIVSHEQALAEERTKKLTSATPTI KAPSIGADRAKQNGAADSAKAAAAATEKYSEQLMEIPELKAYGPLLKSSPPVELTERE TEYVVTVIKHIFKKHVVLQYDITNTLPDTVLEGVSVDATPSEEEEVLEYEFDVPTPKL ATNEPGVVYVAFKKLDESSYPITSFTNILRFTSKEIDPTTGEPEETGYEDEYQVEDLD LTGSDYIIPAFSASFDSIWDDLAANGEESSETLQLSNMKGIQDATEQLIAVLSLQPLE GCEVVLNNSTHTLKLYGNSITGGKVAALVRLAYTAKTGVTTKVTVRSEEEGVSSLVVA SVA UREG_05506 MDMPVNVPIDDPNADTEWNDILRQHGIIPEKPPSPTPIIEEAIL EARKREHENRLEDKDLDELAALEDEEDDEFLEIYRKKRLEELSRLQKASVHNQVYPLQ KPDYSREVTEASSKCFVLVNLTSSMGTNVESRVLSDIWRQLAEKYGDIKFCEIRANLC IEGYPEKNTPTILVYKDGDIKRQIVTLRELNGPRTRVEDLEKVLVSLGALQENDSRLR KKSDTPPKSDSDEQYLDDWD UREG_05507 MFRRFTNRRWLFAIFMLALLSLFTVYFPQLDSININTSEEADQP AHSNAINGATSPSSSRSPTRPLQSAHAISGYTRTIVVAKLKAEDTTWVDSLVKSDRSI TPAVYVVDDPQADLFVIKNKGHELMPYLTYIIDNYENLPNVTIFMHAHNATWHNNDFF NLSSETMVSQLKIEHVIREGYMNLRCKHEPGCPNHIHPTVEDEGDIRAIPEAAVFGRA WKELFPTEPVPVALAQPCCSQFAVSSERIRAIPLPQYVFWRDWVLRTTLPDRLTGRVW EYLWQYIFTGKAVVCPDERICACQGYGICSRENV UREG_05508 MAQLSKEEARAIIDNIREQNGGITQSDREQTPQSVLRVLNNLQR KLGAAIKILASNLYSTDARFVFELIQNAEDASYRVIQACNELPFIKFTVCHDRVIIDS NEDGFSVQDVRAICSTGESTKTNIQGYIGEKGIGFKSVFKVASKVHIQSGPFSFYFEH NRGEDGLGMVTPISEEPMDLPVDVRTRITLILSDPSKFNTCMKEIQDIPETLTLFLSK LKIISLSIQPLNEDPWEVSYSCAYDTSSSSMTLTRTTNNSSRQTFYRVVKRILSPLPR DPARKGRHRAEVVLAFPVSDHSAELKPVLQSDFITQASREDIHHSPWNDAILDGVADT FRDAVIQFCDHPSLRYLWLDYIPQNVSDPFWAQLRDKIIDRMKTARVFLTWKERLDCA EHLRQVPLDCLDQHSQPLFEDMEPEIYLSRGYQKSSNFGDIASMGIRAIDWVPEIFRL VQPYVGPATRKILDQDDSWHTRFSKLFLNGLGNPSVAPRIKRLDLIPLASGRLGSTAS ADIFFPTDSCGIPIPRDLPLRLVDPSSLTNFERRMLFKRLGVTHCSPQRVIRLITAKY NILFCVGLDQSIVHLRYLYKTLSPDDTLNDRVFLMDQNFLPIYRSQIAPHITFDDLYF EDDNAYGMKQLSRAFQSTTGPVIHFLHSSYLNAGLSDIPNNGRSWEEWLVSAAGVRWV PRLRARSTMDCLSEVFESIVREHPDKLLGVLRTYWLRYNESDKSSVVICQLSNAEIPC RNAQSKPLRLTYLPLTELENKCADLDIKDDMPFVRLPSEWPSEDIDGWRFLEEFGVGR EANLPFFLDALRCVIEKIRQGGFTMQRKSSLFEIYESIFDWCRGEDDFDAIRDVFKGL EAVYVPSSESHDECLSPPEDCVWSGPSFLRVRSALGFYDTYRESGKIKKLLTGILKIP NAGRAVYLKELAWMRNRSISFDNLLIVYRSLLEVARPNNWEQVRSAFKACSLVYIRSQ NLWCKPSSCLWTVAPRVGNQFGIASDYGELEELFVSRLLVQAPTIATYIEQLKTVSTG GSPNRQDIQSAILSITELGPTSRDVDGLRHVKFLPVKLSRDKTVYENPAAEFFIVDRI EYGSAFDGKVPVLDLSLEDVRQFRGFLLALGFADRYMSAAVKESTTVLQPAVNPTAIL THEIRMKAKALFRCAVHFNSTKTLNRKEAVCRMLQGVQIYESQGFSKTLNLTLGGLAI TAESARGLLHLEDEGDVLKIYVPRDQKDRRRCYANQLPKELMNHLGIADPAARGVFQN IIRSEMDILDDILEEDGIIQVDMDLSHDGDVDSLAEDEAASDVVTRNLSSGHAGPRPE ALATTPESYGSEGGSDLEPPTVEHEYRQSLASLPQDNARRHTGSSRLFPHPNRVGNNE SPVPEELQDRSAYTNLLIQVSTAARQANFEIGTLDEAFTSRAPISPALSATPFGNRRL NQLDHDTRIGAAGELFVFELLSRLNLPGFGRQNWRSSIRKEVRSHPDYHDLEPWNGVE TADFVYNDSEGALTRLLVSQDLAAPSWGSARPRYLIEVKSTVSTFNTPFYMSKSQFRR IHATPPLSEQSPNEVYLVFRVYHLGTGHERFWIYNGATGLEFTPETYSVVHEPIRLN UREG_05509 MAACPFSSTNGMDEKINDWSLFRDSLAGDHAGTLSLVGDNVEAE FISVAGGAKMSNIAVGKVDSHSVSVTYAGDRRKAGFGGEHGLELSANLVKLLSLRLGG FECRVIGIANNDVIGAILDLLHDGLEGEIPNQCGAAEPGRQRGKEFWQRDLVVLRREQ SAEQRQYRVARWKRGEHLTVAFDAGAGEEASVGFPSANAMTHQSHRGSRFSSLDDDGV GMQKFSNNHAQTVAAEEAKLSFRGHEQRMIAVATQILDKVKLGLVDGFGIDRHEAFAA ADGCYFSAKLLENLHEKITADGGVLVDKELHALKRLALEEIKVAQDVDGFCCV UREG_05510 MDYGYYSSAQPHPVYQFYGLENPQQPQPNPNADQFQDVPPVQDH FQPFNPYAFEPAVPNHHAADVLPPEPLAADVLDTSNHDSSDNRLTDSSNVHPSTATEN SDEAAQNQRSSSEEKDNLTPAQSRRKAQNRAAQRAFRERKERRVRDLEQELSEYKQNV NTLMEDNETLKREIAKVATENEILRATSTANRCAGTDSHHDTEPITTGPMKYSPTDYS LCDGESKPKPVHRIKVHETTGEKLLDAAATWDMIVNRLADEDAKIDVQDVYNRLKGHA ICDGTGPVLEESRVKTAIEESIAAGRDELI UREG_05511 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWYGTECDYNAMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDIESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAVYLNYSRSLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAQAIAQASGNTGNNAQDDEDKPRRHLGASAAPG GIPGQGKPVAMPLPRRKLLDRTAGESHDRALGSDRMPYPHWFWCSILETK UREG_05512 MAAFVARHANPALSHCSSFRPNLFSKQSFVHRTLTRRTLPRPIF YSGIRAACSKSQASQNEPTQPPASALTLGFWKSRYLWQRAGINTFRCLIGCSLGDFSA MWFLQANCPDMSVGLVMGISMISGLSTSMALETVLLRLGRDQLTWATAAKTAAGMSMV SMLGMETVQNIVDYHLTSGIVALNDPKFWLAAAASALAGFLAPLPYNYSRLKKYGKSC H UREG_05513 MAFFFKSKKHNHPASVPQAPRNLSASEGNSTPTPPPGPGTNGVP RDADSKQGPPRPSTSGHNSPMNAMVPPPSGPPNQPPPRQRRDRADSDTQGPRQMPMNA GPPSQGANSLFPWSQRRLNLPTSQATPFPRYGAAVSSVASEDGDIYLMGGLVEGSTVK GDLWMIETNGPNITCTPITPVTEGPGPRVGHSSLLVGNAFIVFGGDTKINENDALDDT LYFLNTSSRQWSRAVPPGPRPQGRYGHSLNMLGSKIYVFGGQVEGFFFNDLVCFDLNA LQNPGNKWEFLVRSSHEGGPPPGKIPPARTNHTVVTFNDKLYLFGGTNGIQWFNDVWC YDPTTNLWTQLDYLGFIPAAREGHAAALVNDVMYIFGGRTDEGLDLGDLAAFRITTRR WYSFHNMGPGPSPRSGHTMTTFGKQIVVLGGEPSSEPRDIQELGLVYVLDTGKIRYPE QGPTSPTGDRHPRRIPPNERQLGPSGRTSREALNSTPDQQRRGPPPPQGRDNVTSPGP NGPGSRLPRASIAQAPAGPPPSGQPPNPRGPHPGSQGPRGKPSMKPDRPPVGPVEAIR ASEKDRQSPVMRDGSMRPGREQSPIAGNGRRTPTQPARNARAMEAGEAAPLVSAPSRQ RSLRQQRHSSVESVDESILGSEPRPYRNSRNFGDEPRSPRLTPHQEALMKELEAMKSR NAWYASELALAKKQGYVPTPNPAVLDEKNLLNFAEDDRSFVEAFLAMKAELAKMQANV DRQAAIASKRVAEVEHQRDVAINEAAYAQAKLAARAGTPSGTPQLDSNPSDSNGERTT EISRRLALALASQNELKNKVELLSAELQEERRAREVAEELHEIASRRLSELDNQSNTL ELEQLRSELHQLQFSFREESALRSNAESSVQVLEVDKAELLQKLEESTERLQNYSLTL GSLKEAVNASVDKAAVMEKQLESEKEHREGLERKLLHLRAEHEERTAELETAVRRLKD AEELAETHAREAESHKAAFLAGLERASSFDSEKSAASLHDQRNAALQAQVDRANELVK DSQNAANVAADKLRRAEERIAGLEAYQEQSSREGLQLRRQLQAALKDNQALNAEVREV KAHLESQQRDTSALAIQHGALKDLLGERGVNMSDNRRSPLLDSPGSRFGTPEHTRLRE LEQQLQTSLKSHEEMKATFEFREQEADRLYQEKLEQLENDYQAAVHYVKGTEKMLKRM KDELNKYKAHSTKLQTELDNVLSSKDSERTPSQPLESPAEWEAEKASLQKSITQLQET TSASVSALEGQLAKVKGDLLRVSSERDNSRSEFKAFQDEVTQSIQKSQAELEQLKKEN TLLENRALDAEKKVTMLLDQVESSVVNYRRQSHLGAGSNGLSRTTSNASSNAIAARGR SRADSTVSQDDSFPDHRGSLALDSLATELDALRSHWESTTRNYRLSSQFDFERTPVKE TYGDGLSDSFANWRRRLDEEESRSETPIKTPTMPMVAAPAPAPVPTLSKDNTSAATTP TQANMI UREG_05514 MVQEMEDIHGMATLCCRAPVGDLSHEGLTGRGALPSDSYHLCLE TPRQLESTLNGDGDRIRKLAKAVRTILECVGEDPEREGLRTTPERYAKAMLYFTKGYA EDVQSLVNGAIFHENYDGLVIVRDIDVFSLCEHHVVPFTGKMHIGYIPDGRILGLSKV ARLAEIFSRRLQIQERLTKQVAMTIFDVLNPKGVGVIMESSHLCMAMRGVEKVGTATT TSYMVGCMRSSAETRDEFLSLLRRG UREG_05515 MADLDSLKRVLKQKATATASLSKQSLSDTQYSDGFDNFVRGSGW AIYRDFIIPQMTEVLNTIFNSHALISVLEIGPGPKSILGYLPSHLRQKIKRYTAFEPN VLFATKMEKWLRPNLRAEWPFPCLENSPNIHRVPFILHSDRKMDAAGDTHDNDEKYDV ILFCHSMYGLKPKAKFIEQALEMLVEQPEGVVTVFHRDGHLDFDRLVCHRTATFPTGE ICVPDDDHALDSFASFVAGFELQDTEVDKATRGEWRRASRALSRQTEARPNRIFFSAP NAMVVFTKHSTAVSELTAQVPVLEGGISVKNWEARLHRPASIVKPTEIRQIQYCVQWA IKYKTGLTIVGGGHSGHCLWPNIVAIDMGAFDRIHICQGRDGVERSSLDRLPLIVAEA GCKAGDIVRKTMAAGLTVPLGARPSVGAGLWLQGGIGHLSRLYGLACDAIVGAVVVSV ESSQVLCIGDVPSQHWPIGAVRPRNEADLLWALRGAGTNIGIIISVTFKAFAAPTYSV RNWVVPLRDGQDARLKISHFEETFAKKLPRNCSADAYLYWEAGQMHLGVNMFQVSTRG CNSQPCAPLPLAVGDPLRTGDNLKSMNGVDVFDAELYMSGMHGGHGGNKTSSFKRCLF LKSIGEQKLTRKLVEAIATRPSPLCYLHLLQGGGAVGDVESNATAFGCRDWEYACVVT GVWPRDHDGNEMAREVVRWVYRVAEDLLPSSCGVYSADLGPDPRDGPLSVHAFGPNRH RLAHLKRTADPSDVLKYTCPLPKASTAQKLIILVTGESCAGKDYCADIWASVFIANTQ NRLAARAISISDATKREYSAATGASLKRLLQDRAYKAQHRSALTDFFQNQVQRQPLLP EKHFLDVVHDAADANVILITGMRDEAPVATLSRLVPASRLIEVRIKATDTARELRGES TVKDSSKDRSTLTALNHHPSFIFDNDTTGDEAAIRFAENYLLPFFHEDLQRLSRMVNL VPNFPRPNIEFRHVLNIAQQPDGLALCTSLMQSHFTGDWANVDAIVCCEAGGFVYASA LGLRVGVPLALIREAGKLPPPTISYPRLRWA UREG_05516 MRDPKSDTYQDAQTRPQTAEQMQSVPQSKAARVSFEPQVEMYDA PTLDLRPSSTGHHRSQTVTFKGNGHIDVSNGASGTATSVSGYSSARIAEPVAKSMRPT PTLVRAKSDHWLKHEQDDAAKDDDEDFELRHGWQEEYTSSEYLKILNSNFYMYFNEKR HDTGGIPKEQTGTWMQQDWRMRDRLKTVSAALAICLNIGVDPPDVVKTNPSAKLECWV DPTSSGGAQNKVMEQIGKKLQEQYETLSLRTRYKQYLDPSVDETKKFCISLRRNAKDE RVLFHYNGHGVPLPTASGEIWVFNKTYTQYIPVSLYDLQSWLAGPSLFVFDVSHAGHI VTNFHKFVEKHEKENAEACRKDPNAVVQNYSDCILLAACDKTESLPTNPDLPADLFTC CLTTPIQIALRYFMLQNPLQCGFPPDEIKIPGRLQDRRSPLGELNWIFTAITDTIAWN TLPRALFKKLFRQDLMVAALFRNFLLSERIMRAHECRPISSPELPETHTHPLWQSWDL AVEMVLSQLPALLENEEGKRHYEYQHSSFFSEQLTAFEVYLSSGPTESNPPDQLPIVL QVLLSQAHRLRALILLSKFLDLGPWAVHLALSIGIFPYVVKLLQSAAQELKPVMVFIW ARIMAVDHTVQHDLLKDNGIHYFINILNPNTGIPVGNVSEHRAMCAFIVAVFCKDYLQ GQNVCLSPELIEFCLYHLMDVENPLLRQWCCLCLSMLWYNFAEAKWVGIRCSAPARLC ELAMDPVPEVRAAMLHALTNFIGIPDLTDQVAQIEEYLAASIVPMGNDGSVVVRKELL VFLSTFVKRYQNKFLVAAYEQLLEEKHILRHTVSGSKDTQPPPYRERGVSHNTIYGSL WKLLLILSVDPQEEVAQEAGVVVDYVYKVLLDSPMGGLAQDARDEILALHRRSQSKKP QPLELVEMKRARPETPPAQASGKSEGYFSLSLRRTASVAASLKNLAFGSSAADQRPAS PLNPSGKPTISKNRVTITPRGRAPPEWTRPPEVNDQPVSTGQYGQAPTPPSRGFKPRD LTKEPSIPLKSRFLSWSTEYFREPQMKPNEPDEPGSADYNQRLWRRARNEKIIVETQQ MKDKGGSGRWDVASSLMNNPSQPAKMCFHQFEDHLAVADDRDTICIWDWQNNSRLSRF SNGNPLGSRINEVRFINEDDQALLMTGSSDGVLKIFRNYESNRKAELVTSFRALPELI PSNKNAGLVMDWQQGQGKALVAGDVKVIRVWNAATEVCTVRAGVRELISGSRNGEIKL WDLRMDHSIDTIQATKDTLRTLSVHEHAPVFSIGTNRHEVKTFNADGQYLSSFEPHGS FLHHSRTSPVVGTAFHPHRMVMACSTLYDNYINLVSC UREG_05517 MPYMGYPYYANLFQDGQRYGMGASQNIQDFQMVQAVMPSGVWDV PISVKPPEHSQPAYQQQGSLTPVPWPVSPAPEESPKHQNTHKEQTGAEVTKPPPNIPG ILLSELLAGIPDPGSSPRVAETLSWFTTDGRGEDHLRQQIDAIAKEDRERREREQVEQ GLSPTTNTKAEASNYILGHVLANLQLYLAGDRTEQAANFADWAPVPEQLHSETFQSS UREG_05518 MANRAIVRGVSQTHLQFDWLPSARSYACEEPICRVQSQNSHDNA GLWSSSACPKRKQMYAFVYDHRMNITLNAEHPSLAVGAHPDDNDRFISLLLLFPLPLP LPVLLLLLSLYICCKYHAASKHAHKHPLNGPDNIPEADFWRPVHPAFVGSHLNPNPHL FLLALCPLHAISPSSLLCPLAPKSQKIAIFLLLDKPAFKIIS UREG_05519 MAKGSPLLLGSIGYTGLIAAAQSASSHAQKRRWQKSSVNADGRF DGPTPKPNRRLQLIQQCSPSQVSFHLPMHFTHLWPHREREQDERAVDKNASQSPIFDW WHKHPRFDLHPTTQDTTKPLG UREG_05520 MDAGPVDVNARQAPKFPPGLGPFPGFDPISPTPQENAQPEQGIK ERPPGSKLYSIAPFTPPHQIINMEDCLYYDSSSHGDSDLSSNGDADTAAERAARAKNE YMLNGQDYRATHLRWFDMETSTAYLEPLSPHPFKGFANRTCPNTPSVRSDGFDEDFLE EIRRSPTACKDEHGVPIVFDDVCWRNWSFDTAMVAETSALKLSAETVKEISSHTLWKP ECMAANTAASAKDRSECDHLGEVSGSAVWYPETGLRMGISYCRLSRKDIDGSGA UREG_05521 MRPPRLILLLACFVFIPILLTAISLLSASSRHGTAATSSDSHRQ TSRFRALFSFHAPSALFPPSAIISLTEDNSTFFLARPAAFGPLLPLKGLSGPLWIGSG FSDDALRKGGVGIAAEGELGCSDVPGWDDGNKNKNVPLGAGPQDGNQKLAPADGDSDH SVALNSRQKRGLGGPNSQGDGTDDHLHRPLPQANAPNSNGNGVTGRANGKPRDSPHAD IQSLQESAEISGKVVLLSRGGCGFLEKVKWVQRRGGVALIVGDDTRGGGLVTMYARGD TSNVTIPAVFTSYTTAHLLSSLVPQGSTLDNSKLASGKWQTTQRKLNLKNAKSDKHTN VDKPAGNTPNTPQPGSHDDFVIGVQDWRDPDLVPVKASTSVQGTATPKANAKTRSETG SKAYTFKQASDPRGLEGGSTTPRSGEYRSAKDSLDGPGKNIKTGGLKSPWWKPSFRLH GSKEGDNSPAPKVIEIPPEAKQFHGAAGSVGKGHAGNGDENDHEGLWVTLTPTSMSTS PFFDTLLVLVVSPLITLTVVYALLLLRSRIRRRRWRAPKAIVDRLPVRTYHTMPTIST PNTPSPDGSSPSSPLLSHSRPHSPRPRPRSQTCGPVSASSNSLDPGRDRAEKPRSGST LWRRKYTGRQVECVVCLEETPWLTTRRRTCPICKGDVVRSLGPNTSGTRVEQTEPMSD DGQARIAETRNDSPTSAVPIPDEDLDSDLERGVSPSASLLDGSRRHAAAPSSWRNLAN LSLSALSGDSMWHQPRHTGSHRNR UREG_05522 MDRIKSLALDELRTMRILVQDGLRSGAYLYPFKVPAPPKSGIIY LLTHHSLWKPFLSKLAPTLTLSIAVTTSMFFLTYIPQTALLAFTSGPLAPFSAAILVL SESSSIINFFARSWILRDSLTDTFDGTLLSRGETALVARGRELDGSGAAGDPIARLGR VARRRLGGGEGGGIVSAWVRSLVYLPLNLVPVVGSLMYLAAQGRRIGNVAHLRYFELK GWRPEEKEEWLRKNRAAYASFGAAAFILEIVPFASLALAYTNTVGAALWASNLEKTMS TAATVGQQAKKTE UREG_05523 MSGTPRFSNTTRLPEPTKMPDSPSIISTDGRCNETIGCVGSGFG DCCSTSGYCGSGPMWCGIGNCVSGACNFSPGQVTTDGTCGPLFPGDKICVGSKFGDWW VAI UREG_05524 MLPPSNVLVLGGGIAGIAAALSLSKELAPLNPDLKITIYELREV PSTSGGAINLTPVAQRHLAQLGVIEELDKMGPDAGAEVDSIEYYSIHTGRGMGRVDFA GKKGQGYGGYKGKRVMRISLHLAMLAAAEKVGNLTFEFGKKVLGGMESEKGVTIFFAD GTSATGDLAIGCDGVHSNTRTKIVDPDRPSEYTGISFIQTTIKTENIESPIHFKASAM NRSRRGALLTTFCDMEKTEIFMAGLVQIDASVLSNDAWRQEGTNSFRPRWTPLKALRD DIRERFGESVIPCIREFVDKADGWSIYPVYQLAPGGKWFTDRIILIGDAAHAMPPRDE SAAYALDDAILLARVLAVYYEQPLRDAFQTYDTIRRKVINDAYRDSTAGWANNKDHSK WASRVEEWLAPWQLRRRKRARIGAWVFDAHEVEIPPPKDWKEVEPWP UREG_05525 MNSRDSVEYHRRAWAAVVWALAPPQHRRLDPGLQPGVVSACAWS VISPALKATGCIRGGLAGGVVGLGSEVVPGMAPQLGHPDSPTAGQCVFARQASGWISG MDPAFFMMRTPSTPSVWPAASTARNNSLR UREG_05526 MSLNQDSGTSIRNQWAHQHAVRGILEELEKHAPNLSIDIGAHHV LPRPPKPLPDLPDSKFVTFLRVGIIGAGASGLFTGLIFDYLNATVFKKRGFELKYDIL EAAKEDRVGGRLYSYYFSGEPHGNHDYYDVGAMRFPENPVMERVFALFNLLGMEKKDP KQSPPVGSLIPYYMTCGGPNPVEPWHYNDITQWGNYSEIHSQSHDGDPFQINTTKTIP ESIFKHSPNDVVKASIKPLRDALKRDALSKPPGREGWDLLMKYDTYSTRQFLGVGTNW YDQAHSETVLESLDFDFDSTTNWYCILGGAQELAKRMQAKLVHKPHYERTVTAVRKKG MLQMEVDIKHGTKTKKSQYSAIFNSAPLGCLKRMDTSEAGLNYATKQAARSLGYGASA KVGIKFKRAWWIHDLGKYNVKLGGLGHSDLTIRTCVYPSYNCYDSPDKPAVLLCSYSW QQDAERIACLFSNNPDHKQKVKEEAVLKEILLRDLARLHKNPDMTEEKVYKLISDNYM DHHAFDWYKEPNATGAFGFFRPQQFSSMWNKVIQPSGDFVIVGEAASPHHAWVVGALE SAVHGVHAWLGQYRNLVPGGEEALKLLETYDPKNPLVGLPPYMDEKMSKWHSYLGVVS RLGQDLGVDDVMNHFETLSLSGTGGLAEFPVSI UREG_05527 MATLAQNTDLETLVKTDVLTAIAPNLIQPFIASRPFIFVPGTFN LRDIGQPTCTPPVRSNFLYRSGMLSSITDAGVTKLVLELGVKKIFDLRSAKECEAFPA PYIADVEIRWLPPAQEPRPVVYSEFGAEDGGLKAMVEFYKDILVTHVPVYKEVFEHIR DERDNPIVFHCAGGKDRTGVLTALILGIAGCSPDVIAREYVLTRIGVEPARSVIMGEI LTSDIASDPVKRRGFAGLCSVNYETMIQFLEHLEGCYENGAEGYVKSVLGFSDDDVAK IRENLTA UREG_05528 MSALHQPSPIVPSQHGLPPPQQNGVRGGGRPTPSEPTALLSLIP LNGTFERKQITVPYFPESLRIGRQTNPKTVPSPVNGYFDSKVLSRQHAEIWADRQGKI WIRDVKSSNGTFVNGQRLSPENKDSEPHELREHDTLELGIDIVSEDQKSIVHHKVSAK VEHAGVYGPSMNVLDLNFGDIDPTSGGGLLPHHLSQPLTHMRGRQGSTSSAGSSRTTQ GVNGNQLNAMHQQRQINYWLSPISIEQVVKKLTSEMKQARYHTQDLAKTNDFVTHLAT RDTSEKERTKPSPIDGPSSGRQLNGRPKAHRNDSFSRFSDPPAPPPQEPLPEKPDAAS RSNHSQAISPLKRTETEKLRSPANSVRESSQILSLIEALAIAKKELDLQSARVKELEE LLRHERVARETAEERARELEVECSEPVVEVISEPQPSPDESNLSVTNVVEPLDSKQVK APEVLESPLESAAPDSVASELQIRLDSLIAEMDEMRKEVDQYKNSAERAETEAAQSRK SLAEMIEQVRRERTEIGAMKPTGAEDTSSDAPSAEGPLYTENDEAAKVREVLEMRCRP LSPTRMQELERAATAFAKQRQRRTIFEQSAPYASMIGVVLLGVGIMAYLNGWQKGDK UREG_05529 MAVKPSEARQSHSDQFNERTLYSVSNMPAVAAPSGGGGMRGPST FDKFKMGAMMGGCTVSIFQYGAGPNGVMRTLGKYMAGSAATFGYAVLKSSASGTSMLN AVL UREG_05530 MKILLLGIWMFEGPPAPTPFQIEGMTRHLIAESTNISADTEGRL FGNQAGMSLLKEIEQLHRRIDHLKNEVHPTLYLAHSTTLDDWSNQQDQGRILRQGVVH GGNVLVDVAVIRQYGHSPRTVKWTQAFYHRYGVAFRFADQILSAPSKFVTALNWRASV QSLWVWGEQQNLARASTVIEQVDKLIEIWKAGSEVLFHPTTESQRLFDATEIEWQAV UREG_05531 MRFLVSLLTALFFLVGSQMVLAEETVTSTKTTTQTLTMTIIRSV GSSATEASTIINTTPSATATDGSAQSSAAVSTSAPPEATGNAAAATLPNGLPAMAMAG TFAALLGALL UREG_05532 MDPDLPSPPVARSQRTVASLARVSVPNASLPFAQRPVGLNLDAL SGPPSSDPPLFSSDDFQPGLEDYTPKSSSGARRGAEHDGGENKTVRKRRYRGTWWGEK LPIKKKRTRTEFKKKRDLDSGVWMMSGDDSSGLLSSDVVDAADDSEMVGGSRASEEKS FESPAIWGLPQASIGLHSEGVMRKPVKADRAMETEAQRHARSAVYRCLEEGNDNVDLS YFNLETIPPGILQPLIQLTKEPTLEKPPLSEDVYGPLEPFLRLYLPQNRLTFLPKEIF DLEELKVLSLRQNELSEVPCAIRKLIKLQDLNLAVNRLTYLPWEILGLMQTGDLKRLT VHPNPFVSLNETEIAQWHWDVEEGTTPISERLKQSHDAGDDNPQAWLPLHIATGRITY FDQEGRPLPHSDRPLTRTFAQSLRELSLQACLRSPQMSHLLDHTADDGTDDQGLDCPS FVARLLDFARLVKRTGDRACSVCGRTYVIPRVEWVEWWDCSPYENGSKIGRVNGQRLW PLPFVRRGCSWGCGMAAVEGKVSD UREG_05533 MFVFRKESLPSDPVFPSDLKKLGYFINENDQIRMISHPLEKFLY KINANDRYNEMQKEAMNNCIRDIVLSRLHNLGLQTLRLPIGASPKSQHVPILVSSSLG SQSRVIVVFGEPAQDLGIWAYRAMEQGLNFGSAVNFAKAVVGDSKESKDANNSKTTRK SGLVLANPGQLVWYSHGERAVSLPTWHAIPRRYAVDPPMKMSYRNKIPGNENWQDHIT YVFEEVLAKSLSETAKIDIIGLAEGGLGAIRYLAEHCRYTHLQTSYYIVGLRC UREG_05534 MSQFRAKRLDICGYINAKVIRDHTKRKVFMEYEPERQALRYLMR NTSLPMRVRAQAQLQLSQMHSYTRSTVIKNRCTAGGIARGVFRDFKMARFQFREHALA GDLPGVQKASW UREG_05535 MAASLSSSVSSLQSSLQLLESSIDVLDSGVHDFPRLCKVLQSTR HFELLPEPTLHEAQKAILDEITPSIAHLLNLAENHIDKLARREESLKAKCELQEGRLS RDSRPSSRSGDLANRTIGASSTGSSARAAELRKLVQKKERLKYAVERLELQSRQRERQ LRKSMAAQ UREG_05536 MLSSGSTANICSACSHNGLRLFTGRIRSSLNPSLLTLNSSLITP GAIRSHSSFSRLSPSTALRNRHSRSRLYSTSLSNSAASNKAAIFALLDRIHAHHFDLL DHADELGLLEEFPKLTHIFELRLTDEFVDALGHLNQETLTERVRLARQQFGDSLPEGE LNEEETVLYTRLYGAPAVLPETQPDTQAREDEVNALFRDDGEGGLVEVEFAPPIDTVV EGSSTVDLDSSLEGLERRTRAVAEQLDAEMVSDTFEDYDAPGDGHPRTHPTTLGGKFA TSPSTVAVPMDVLQKPFNEFLSDTKGKHMREAAYKLFDRSLSKSTTTASLSTPEEPIP LSASQPGMSDMEANLFLTVLYPGMYATSLSILTEVRKRLGTQWLRDLINQEGGPKVLD AGGAGAGILAWREALKAEWSLIYPDQPPEFQFSQGKSTVLVGSDALRLRTSMLLDNTT FIPRLPNYLHSPPQRKHFDVIIASHALMRFSEDYMRKEYVQNLWSMLNPNGGVLILVE KGIRRGFDVIGGAREMILEKLIASPGSTSYEKILGSSGEETIVQKEPGMIVAPCTNHS KCPIIIQGQNATNAAFSGYESFLDSAGNHGNSKEMEKSITPQVNHLSLPRILFPPIKR KGHVVMDMCTPAGKIERWTVSRSFSKQGYHDARKSKWGDLWSLGAKTRISRNLRLGVE KDSTSGDELTARKQKVKEKDQDPFRTTVWGPNPLSEEGIEIPEYEKTMHKFKAEAEGR GKRPRKKANKADLNEKEIGDENVLGARPDSIMPNWVKKMQKKLLRQRLEKRGSSDIS UREG_05537 MFAKRLVFALLISSSLLSGVVGQQRNGGQNGGNQRNGGNNGGNN GGNNGGNNGGNNGGNNGGNQGNQNELLLDPDNVQQGSKNDGSANGEEGQAASNTDNAN FINFCTGKTLTNGLQNQGGSCNGIVMGDIPNKNNMVSTIITNPGPGEDLPANTDFNVN LQVDNLVAGSFTNPDNTYYSAPQELQGGRIVGHTHVTIQSLGNNLATQNAPNAEQFVF FKGINDAGNGRGGLSATVEGGLPPGAYRVCTMSSASNHQPVLMPVAQRGAQDDCQKFT VGRGNGGNNGGNNGGNNGGNNGGNNGGNNGGNNGGNNGGNNGGNAGGNQGGAGGNQGG AGGNTGRNTGGNTGGNTGGNTGGNTGGNTGGRRAGPTIRTSGGAQVRQGTATRRGDQA NRIWRPSRVSFTRREFVA UREG_05538 MRPFSPLWASCLAAFFTVNTGVAVAQSPPNPNATWPLQSFQSTD IQTPYLNVTKNGKTELGYLFFTPRGMNGGHSSIFEDNGQLVWQGPKGAIFAFEPQMLD GEPVLVYWDGVLNGTGYGYGSINILDNTYQRIHEVTLSGEDFKTSYEPRTFPSYISIH EGFITEKGSIIVTAVNVTQFDLESVGGPRDGWVMDSLIYEIDIKTNKVSFRWSALEHI SEIPFDLSQKPLGGSGVSEKDPWNYFHLNSAAKYGDSYLVSFRYGCAAILIARDGTVT WQLNHEVRLASLSKDKAVFYAHNNENSDFTNMTETTTGLVLDLDLKAMTASLSRKLWN SQHPVASRAQGSFQNLPNKHVLLGHGVIPVIEEYDEHGALVMDARFGFDNTISTYRAY RHFWKGVPKTKPSVKACRDAETKEVVVFVSWNGATGVESWKLYKSPRRWWPKLVKTAP RNGFETIIRARDAGDRIVVKAVGGPNNGVQSEVITVDGGCN UREG_05539 MSTTTSRGVPLSRGTMLTSDLGRSYRIEEVLAGQRGSLLAKHWR KELHYKEYDSGRVRISTEPAEETIFLPWYIKPNNILVDYEQSAEGQVTINNVMISPLE DTVIVPPGKWLIGPLCGNAFWRSPESWCRSRQNQASDVFSFGIVMIYVMGHEMVFHVS DKELKAADSWQYILRRHISYFADEDGLTHWKRKPLL UREG_05540 MIPQILTEVAMNYSLLATSITSLKPFLKPFHTGAIINTIGGEGS GLYSSSQTRSQGIYTLASMSKDRTEVSTPGGELYSSNTGEVTTVVSSQPHQHRGERES LDYVGSGQMVIERTTEWNIHYNRNGQYP UREG_05541 MAPGSGSETLEMTSHQQKAEYDLSAAPVDVDTERNEHNGFKSTS ADVANMLRMGKEQQLVRRFRVLSMASFVAVATATWEFALFNVTAALTNGGRPALIYGI LWNVVGFCPIYLSMAELSSMAPIAGAQYHWVSEFSPESLQRSLSYLTGWTSTLAWQAG NAAGVFLVGSIVQILISLHNEAYTFPQWHTTLLAIAAVTVAYIGNTLGAKFLHMWQNV VFSLHVLVYLGILIPIWVNAPRAPSSNVWANFTFDGGWPSNGVAVLIGQQTAMFAQLG LDTQCITLTPSLAAHMSEEVKNASKAVPKAMIAIWLVNSVLAFTTFLTVAYHLPDINA GLNDPTLYPIIHILRQSMSKEWMTVVLTFVLCLLVCSNMTYLAAVTRDIWAFARDQGF PFSDWISRVDSKSHIPRNAISVTSAVSIALSLIYIGSPVAFYAMTSLLTVALLQCYCL SIGCILWRRIVRPETLPPATFPLGKMGVPINIAAVLYALFAFFWAFWPTFYDVTAKNF NWASAIFMGALVIAGIHFVFVARHKYFGPVAHVQGRNIRTKLK UREG_05542 MGIPLVWEPTASRAEQNSKPDSSAIARSSIRRQNAIRRPRRNYG TVESRARNGRGSSTRWYRAEAIGAFARQADRELGSRDLRGLRVNTSPTEDPAAQNLIP PIARTQLRPQLSEDHSSSSSLGRRMQISRDPYLMIEMPVPLDSYSSFPPTAPPVPSVR HAATATGHIAFTPRFAPAHPTGELSARSNTGSPSTTLDIPGSNNVPSFRGLGPRVVRR VTDRRSGRRQEVDGLGDRERSPAPDEDEMHDSWETLLTTITPDDQLPSLDSSFASATA SASSALSRSSGLSNSVTSLHTPMSSILSDTLETFDFDREFPDGLPDGLPNCEFSSSDS DSDTEPESELDTSPARLAAGSAEEEESDQSDSSYDPAQIASDIVSSSSRLEQAQMIIE LLAMRSDIPDEWWATAGLSRTLRRELGITNTETQNS UREG_05543 MTSTHLSSVEMIELGLSRVTQLVRKPSLNWKAVHVAGTNGKGSI TGYLSALLTAGGVRCGSFTSPHLIDRWDCITVNERVIQEPVFRRIEDEVKHRDQQLGL GATEFELLTATAFEVFHQEQVDVGIVEVGVGGRLDATNILTNVLASIISKVGYDHQAL LGNTIEEIAKEKAGIIKPGVPCLVDGTNLPEVQKVISAHAETLGAPTMFVEAQEVGRL FPALRRHFEDRDLLPHQRANLSCAIMALQTALPQIRPQLTVDQLLPFVPKSPRAGRLQ EVCLEPLISRKELVLLDGAHNPQSAGVLASYVNQKLRVHGQGITWVVAASQGKDTEEL FGSMFKPGDNVVAVEFGPVAGMPWVQSVNTTNLVTVARSVSDLGAVEGFGANVVGGLN WASTVSAGGPMVIAGSLYLVSEVLRLLREAQAASS UREG_05544 MVHRSDDPGEIRPPSRAHDTPSRQRLKSGASPPKKERSRSSAKD VSELTDYQLGDCLGKGAFGSVYRALNWGTGETVAVKQIRLVDLPKSELRVIMQEIDLL KNLDHPNIVKYHGFVKSAETLNIILEYCENGSLHSISKNFGRFPENLVSLYMSQVLSG LLYLHEQGVIHRDIKGANILTTKQGLVKLADFGVASRTTGLHESSVVGTPYWMAPEVI ELSGASTASDIWSLGSTVIELLEGKPPYYKFQPMQALFRIVNDDHPPLPQGASPVCLH LFSVAVKDFLMQCFQKDPNLRVTARKLLRHPWIMNAKRSDSVVPTKSTEYEEAVKSVQ EWNEALRSPNPNTLRRPMRSAYASPGQSQRTQSHSRHPSSRESVPALNTNVPDKFRSP DNNDDNWDDDFASAVSPSALQCPRLKPQDNFGGMLSSEKLKAFASLENIAELGADNLS DNSALNRDRSIFSDSDSLQTIRPYVRRRPEEHQNPPKLPRRTRHRQVPTPSFKKSAII APISRGSPKPTSHPRPAAFYKESSVEDYSDLIAANDEVLESKLAVAPGHNTDDNAGQS QESNGAAILDTTVVHSPEKSVFQLPLRRKKPSITRARTSIGIERYAEDETDEDFSDIL GSNEDTLVKLDSDDSSDRSSLMLNSKLSTSSWLGDVDDEDDPFAQLEEGLDEMDLEAN IARDKYARLRNQVEGLVSSLKTSQDDEVLADISEQLVAVFSDLPETKNVIIGAHGMLP MLEILDTCRRRDVISNLLKIVNAIIFNDYEVQENLCFVGGIPIINRFASKKYPREIRI EAASFVQQMYQTSTLTLQMFVSAGGLNVLVEFLEDDYEDERDLVLIGVNGIWSVFELQ GSTPKNDFCRILSRNSVLDPLSLVLSRVLDEEEGELAELCEGRIANIFHIFSQAESHV KEMVAERTVLHRVLKELKRMSPGPQITMLKFIKNLSMLSTTLEALQNSNAIDVLTDLL RSTMKGPHFREVSNQILNTIYNMCRLSKSRQEDAALNGIIPLLQKIVKTERPLKEFAL PILCDMAHSGKVGRRELWRNKGLAFYISLLSDPYWQVTALDAIFSWLQEETAKVEEHL LDNRNGEPLFTIAIVRCVTISKANTFENLLEPLQKLLRLSPAVAATLARPDMFERIGQ KLHHTKPAVRVNLLRILSTICDACDERCGLLSRYGLLDAIRELQKDSRVLVRELASQL VKLSEENDQLNGQKRWSTRRRNSSITPPALMSSHSMPTTPQVSRTGASKLYVEGRENL HPSTGLNGSLILRPGSRDGRSSAGTPVGSANGLKPRLAHRLSQQITPLTLQKEESRTP TSTRTPSILQSRRRRPTNGGSEWA UREG_05545 MGIMLRKGREELLPRWRYRIALNQDPGSEEEKTEILKACHKRCA ERTLRVLEKNGSIFIKLGQHLSSMGYLLPLEWTTTFIPLQDKCPVSSFESVEEMFVKD TGHRIDELFSSFERDPIGAASLAQVHVAVLKDSGQKVAVKVQHPALAEWVPLDLALTR FTFSMLKRFFPEYDLEWLSSEMDMSLPQEEYFRTRTKAPLVIPEVMWAKQRILVMDFI SGHRPDDLEYLDSNKIDRDEVSAALAHIFNEMIFGDGAPLHCDPHGGNIAIRKNNSRR KPNFDIILYDHGLYRDISREVRRSYAKLWLSIVDADEEGMRKYAHEVAGITDEQFPLF ASAITGRDYTVVANNAIASSRSSEEKEVISDAMGDGMLQELVSLLGQVPRIILLILKT NDLTRSLDENLHTRHGPLRAFLILARYATCSVFEEQMESIRQHGSIFWPPNFWQFMRA WVRYLRVELKLGIYERWLSVRGRLGLAN UREG_05546 MSPGSTNSSGNLAGTSSKQQFSHPSEKHPSVPTLHAGATPPPNS PLLNSIQVYSTRIPQAWVTPFCGASAGIASGVVTCPLDVIKTKLQAQGGFQLRRNGKL VETAMLYKGMLGTGRTIWKDEGIKGLYRGLGPMLLGYLPTWAIYLTIYDQSRDYFWEK TENWWLARGYASLSAGACSTIATNPIWVIKTRLMSQSFTPSTNGYNAPWYYKNALDAA RKMYASEGLGAFYSGLTPALLGLSHVAIQFPLYEYFKMAFTGFGIGEHPDEGNTHWIG ISAATFLSKVCASTATYPHEVLRTRLQTQQRSEPAPSPEGITFRGGLEQPQDHGRPPG LGAGASSSDGMRNRPRYRGVIRTCQTMLREEGWRAFYAGIGTNLFRAVPAAMTTMLTY EYLKNIIHYTQHEGDRILAENTAAVY UREG_05547 MSLASIVSRTFEVPWVKRMMMPVAQWYANAAGYRQMGLRYDDLI PEESDVVQQALKRLPPKEAYDRIYRIRRAVQDIRYLRPLIEEIERERQEREDLDSLVI KR UREG_05548 MKYVSALITAYSALASAGSVLPGKFAKGIPKGEDGRGAVLSGGT NHELDLQNPHTLGASTDTDSGLVPNIKWSFSLSRMKIFHGGWVREQAITDLPTSHDIA GAQVHMEKGAIRQMHWHRVAEWGYVYAGRILVSAVNENGQFELSYLDVGDIYYFPKGT AHSLQGLEDENEVLLCFDDGDFQRVGTTFQINDWITHTPKSVLAKNFNMPASTFDTSF KPEPAIVNSTISTRNVTSPYGQLTGNSSFVFYQRQQPPVEVPGRGGTMQIVDSRNFPI SKTMASNIITLKPGGLRELHWHPAGEEWVYFHRGHGRATVYIGAGNTRTFDFEAGDTG VFPDNCGHYVENVSETEDLLYVEIFKADRIADISLAQWLALTPADIAAAAINVPIETI EQMKKEKPLIVGPDNVPS UREG_05549 MNSLRDDANASANADALRFLVHQEAQERTGSQSQEIAVTSKNSW EKRAGRPSRLANPRPASKFECSEEIFHWWKDPFSPSFMPLREGRSPFDLPEAKYPYCC GNLDENLLPHVRCEGQSWTSRLGSWNRVVGQSGESAGADGGGGVCCVCLPRWPARTER IGPTKEGAKSHCQQQETQCSSCEAELRALEVCEVFSLNFEAFRKPSLSGRSAP UREG_05550 MWESSHRPTSISIEAPQTPAPSLQAVLQLSVNLARCHGNQSPPQ GPANINPLDHLWEDLVALPSGQGSRLNPLHRGSPAGHATRPPVKNRKAAALESGERLN AASDRQNDQGNEPSAQPFGGWPNYVTMSCTNNPEATAAMRSHAASWVPAQVQERLGEN FRWRDGEKPVTAQRERSSKSLRIRKKWTPSITN UREG_05551 MAPRRSARISTALQKSTDKSTEQDRVTSKALSSRSRENRVAKPT ASKKATTSTVNKTSRAGKKSAEDRPSKTTQAPIPIAPSTPNKSTRRSNQHETPAFPST PPPPLPDFKRGAAISAPPDLNRPVDPHRTNATLVTPHGTHLTAYPRTLEEASPSKTGL PRPTATTGNILEHGLSHLLRVGPRLSAVIEKYPSPPFSASDLAEEVDPFQALSSGIIG QQVSGAAAKSIKKKFVALFKKGSAAGDSIGSTGESEKNDYDYGTNTQKSINETGDVND GDNVSMGFPTPEEVVKCDLATLRTAGLSQRKAEYIHGLAEKFVSGELSARMLLTASDD EVLEKLIAVRGLGKWSVEMFSVFGLKRLDVFSTGDLGVQRGMAAYVGRDIAKLKAKGG ELGTDFPEDARRGVNLQLAASACITAHQMLRRH UREG_05552 MPVTIRPSSQPLAKHITDRLNTPHDVLKTLFSGTRMKECDEILQ SSFAVSSSSRGAISTNLTHTHVHGVELNNALVGAAVRAYNNHHHLIIRPDDVWISILT QFNLYVNANAEELRSKFVAHEGKKELIVNAVGTRYTIDFGDMAQQMTQLMEQHIVDSD LRSWIMADFTTTTAVDKVVFAITMMSTLQKYFDYGFCLTCNLPAITLLGEKSDWECLL TKIDKLLDYSKQTRDWHALLKPVLKRFVETFEQPESKSTATFWNRIVHYVDGGSGSPY ICGWITAFCAFNNDGKPLFGGPVDPDRILVLDGVQYPCVDTDDIPSSYCSVPVKLIDN NKEFSTVMVAGALATKTMDSKDVSPDLDGTQDSIQPISGWIIICREFRPPDIRIPPSS SLDFVYVPEDGVEDLEGYCPGGYHPTHIYDEFCRGRYQIIHKLGYGSYSTVWLAHDRE KNRHVSLKIITAKASKNNSESEILRHLQSRDVCHSGREYVISLLDEFSFDGPNGHHTC LVTDAAGCMHKPLLLELLWVLPICIPKESLTEAPTGPEAPIYAVVPMSLMIPSDKVVD CKIKIIDFGTAFFFDKPPKELYTPNILLPPEGLFHETITSAADLWTLGCILYEILGER PLFETVFGDPDDVIVEMVSTFGKLPERWWRGWENKPKFFDEYRNWNSGIQAFRHLDLG HLPSDFGIWGEEKHQQHFMSLVAVYRRGTR UREG_05553 MAIMKFLLPALAIAGMAAAADCDGQTIQNQGDADGLASCKTIDG DLEISSRVSGTISIDGVERITGKLTCKGAVNMTELSAAKLAKIEDAFMLSGLTTLATL KFDALTEVDSINFEALPRLQQLSFTKGVTKAGSVRITNTDLVNLNGIDLETVGDFDVS NNPHLTEVNVNKITNATGFVSFSANHRDLKIKFPNLENALNMTFRNASDVSLPSLKKT TGLIGFYSNYFEDFAAPNLTTTGDLVINDNSMLANISFPQLETVRGAFQIANNTNLKS VTEVPKLKTITGALDFTGNFSEVELPELKEVRGQFNMQSSGKLNCDPIKENVKPNVRG TFTCLGDVDDPQTRNPTSTGSNPSRSSGAALSGYVPPSGMTILALIGAVIRAAL UREG_05554 MLLPKGGMTWKSTQAKLPPWRAVLSLLSKPRFILTAALLGLVML LWRGISHSASEMQSFYCFGPSKPPHRMTANEMVDWHAHMQTPVLFNHHEPYEVNSSTI QTVDLNTVHSTPKALQNKERILILTPLRDASQYLGKYFDLISELTYPHELIDLAFLVG DSKDDTLAVLSAELDRVQRMGGKIPFRSAMIVQKNFGVTMKMEIEEKHGYEAQAPRRK AMGKARNFLLSAALKPEHSWVYWRDVDIVDSPKKIIEDFVAHDKDILVPNIWFHRYDE HGRDIEGRFDYNSWIESDKARKLASTLDKDTILVEGYKEFDTGRTYLVSMGDWRKNKD EEVELDGIGGVNIIVKADVHRAGINFPCYAFENQAETEGFAKMAKRAGYQVVGLPNYV VWHIDTEEKGGNLGGRKAY UREG_05555 MSLSPLLAGSCRSAQRHVLRPSSRSLLLPIIPTLRQSTRPSQLP LSAINYNNSNLVSRTMASNAAQKKPEWIVVVPDKAGVTLDKRMSVRQTHIDNLGPAIE SGFLKLGGAVLDHHPKEGEAPPMKGSALIVQADSLEEIRETLSKDIYATAGIWDLDNF RCALNVYEKV UREG_05556 MSTLANSFSRKAESTAIIIPGKPDPLTVSYERLHADIVSFQEKL ARLGITHSSAVSSALPNSYEFVVSFLATSWQRAIAAPLNPAYKQDEFEFYIDDLSSAL ALVPKGSYSQNGPAVRAARKYNAAIAECYWNGREVVLDVKERGKLDGKGNQKVQTAQP DDIALVLHTSGTTGRPKAVPLTHRNLTRTMKNIQATYSLTEKDRTLLVMPLFHVHGLL AAFLAPLLSGGSAVVPLKFSASEFWHDFTTYKANWYTAVPTIHQILLKNPLPSPLPAI RFIRSCSSPLSPKTFHDLEKALQAPVLEAYAMTEAAHQMTSNPLPPGKRIPGSVGIGQ GVDVRILDLEGNEVAQGSEGEICIRGENVTKGYLNNPSANKSSFTKDGFFRTGDQGKK DKDGYVYITGRIKELINKGGEKISPIELDNVIMQNPHVAEAVSFAIPDQIYGEDIGVA VVLKKKGSISDNGLKAEISPKVAKFKLPKKIWILPEIPKTATGKIQRRKVAEAMLKKE APAPKL UREG_05557 MAAPPTGPKSGLSLYANLLDPSDASSGSNTISRAPVVFKQAADG GSQEDDAASKKQQISAASLRFQPTKRPQLPSQKLKAKPAIPKPPVVSAATSPANHSTT SGPAADTIRPPAKTTLADWAATAEDDDINGFYGEKRQRGGRKRRKKNRDAEVVYNWDD IYDPSRPNSYDAYRHSEEKIREVREWKDRLYAHRMARRTSSEVESDDEYRRRPMNSSS RLSLSRVNLFGWVINVLLTPLLEQFAPPKQFAPPPNLNDIPRQPSAPNSPVTEVAPPP AAPLPDDQTGEDAFARRLRLSQNTGQEPSMAPVQPPSLPPPPPPPEDIPSVPTPKEVY SAATISRAPVRYTLPPAPEDIPATEEELEATFAKETEEVPEEDAPRSLRPGQKGFAER LLAKYGWTKGSGLGASGTGIINPLQVKVEKQKKRPDAEGGGFVTPAGRGKIIGGKQKK QDEGKFGAMSEVIVLHGMVDGMDLNAELEAGEDGGLIQEIGEECGEKYGRVERVFVDR NSEGQVPVFVKFTNQLSALRAVNALEGRVFNGNTITARFFDVEKFEEGIYK UREG_05558 MPRGRSSLSATSPSSSPASTRTEIKTSFAWPGYPPPAGGVSGDA LYSFEPYLLLLATLPFITLPVYASLKNGTPVEGSVRQPLAWLEPQLNRLYISSLMAPA SVKHSPVDLWGNMKVPLMSHYTSGQINGTGWYNFKVSNDKIPYSSLIGIPAAEISQEG ITTFSMESSYFDIDCYNLSLSSYISPQATLTTAANADGPMTRAKRLPKLLKTPKNTFY GHNDTESSLAFGIDGYVDWDSGSLATIRDLGMSSFIGNVTGSKRTFFKQQQNFLFESK LRSGFYRIKNPTTVAHCNIKKIYVESAVRCIGNPSHKPRCSITAMRDSRLSHQPADIT PFLFPAVFKAFFTSLAHNNDGTYSLTEKYLNDTDTPLLVQDTGMELFRLSKETFSQRL TQLINTYYLGSLFPSAIVGGLDAQLPVYTLSMYTNEPITRTTAGLISTRMQDLYCTNV KGLAVFFIMDVAMFAAAAISCVLSYSTPTPDALGYISSLTLNPLQSPNSIKRSISEKP IKLGR UREG_05559 MALQRPLRPISAMKQLFWSFFGLKPIYTKHAPDPNLPADEPIEE ERLPYYDPTSFFPAKPGYIFHNRYEAIAKVGWGTCSTVWLARDLQRIWRSERFVTLKI GTCDFKDKNSALHERNVEQRIANALPDHQGFNYVRTCLDSFEEKGPHGTHLCLVYEPL REPIWLFQQRCHLKGDNIMVNFEDPAVLQDFADAQLSHPMPYKSTDGRAVYLSHNNFG SLRSFYILPKITDFGQAQIQQAVGQLNRHPTQPDGYRAPEVILGAGWAYPIDIWSLGV LMWNLIENRDLFSRIRGNGDGYSAAAHLAEMIALLGPPPKKLLDREKEGRRWNFAPAL KNPSGTLCTKAYEWYGGPFFDNDGQCNFLHDHLIPRDLTLESTVNFLDGRDKLLFLDF ARKMLQWDPDDRKTAKQLLEDPWLSEKSIRGEV UREG_05560 MSTLCQNRLSEERKQWRRDHPFGFYAKPYRSPQGVLDLKRWECG IPGKPKTMWEGGLFKLDVIFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILSEEDA WKPAITVKQILLGIQDLLDDPNPESPAQAEAYNLFKKDKPAYEKKVRQIVKENPEGST IADEQSMQQSAERRKLQAASQNLCAGSAP UREG_05561 MCGIFACHCHPDVQKFKPTALRMAKAVRHRGPDWSGNFIANDTI LAHERLSIVGVESGAQPLVNDDGTLALAVNGEIYNHRVLRKGLKTKYNFKTHSDCEVI IPLSKLEPLLIRFGLPQYMEHDIDAPKFLDGMFSWVLFDKKQNRVIAARDPIGITSFY QGWSSQTPGAVYFASELKSLHPVCDKIIAFPPGHVYDSKTDSMTRYYQPKWWDPTNVP SAPLDYKLIRESLVRSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETQKMMAAQNGT VTNAETGAGLVGIDDSNELSTVTSFPQLHSFSIGLPGAPDTKAAIEVANFLGTKHYAF TFTVEDGLNALSDVIYHLETYDVTTIRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFG GYLYFHAAPDKKAFHEETVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKEFLEVSM GVDPQEKMITKERIEKYILRKAFDTSDEPGTKPFLPDNILWRQKEQFSDGVGYSWIDA LKDNAERQVTDEMMKNPKAEWGDDIPDTKEAYWYRTMFDEHFPPSCASTVMRWTPTWS KQTDPSGRYEALNALEVL UREG_05562 MAAPAAFGDIAKTVNDLLNKDFYHTSAASLEVKSKAPNGVTFNV KGKSAHEGPISGSLEAKYVDAPTGLTLTQTWTTGNALDTKLELDNNIAKGLKAEVLTQ YLPYSSSKGAKLNLHFKQPNLHARAFFDLLKGPTANFDAVLGHEGFLVGAEGGYDVQK AAITKYSAAVAYSLPEYSAAITATNNLTLFAASYYHRVNAQVEAGAKATWDSKAGNTV GLEVASKYRLDPSSFAKAKINDRGIAALAYNVLLRPGVTLGLGASFDTQNLNQAAHKV GASFTFEG UREG_05563 MPFCTHHPSPAAFLRLPSSLRIHPFTSSAACWFAESRDPTYYEV LNVPVTATTQEIKKQFYALSLAHHPDKNPKDPKASARFASISNAYHVLANTTKRAKYD RDHDIHRAAAVSSSTRSGHRGSYVGSRPPSGLSKRRGPFRGPPPSFYAHGGYGAAPHH NHPHHAQQHQHPGQEHQHQQSHGSDPSSFIHHNPVPHFNATSHFRTQTHEDTRRRERR RKAIDRATAEAAERGVDEAEYTTSRLLMVLGIVGLAWATLILGQKLEGPRSPPLSYSA KKAEEHGPVTGSTTTAGSRLQERREQHQEHSHVERKVVQSKVEQKHELQAKL UREG_05564 MAWADILHEATRRPPSPRTENVYYHTCFVYSPQNPSKRYPPIIA YLSRCAGVRIREIDLDWKKNPRIVVNDLIVNQTMSQSDFEEILRQAIHQSGEENTGSR NDNDYARQLLLHFQPTFGLQSFARTTSDIYLVTLKSGTEDDLWEPDPPSYDPPSSCSM LTSSRAREIRLSWRQHTAYIQEDTAPLPFNNSGNTPIQALSDSDVLNRDVMWTEVSMR ENESWCLFNAAKLFSASSQGRRRRLFFTGLTHEPWLSNSISVSNGLQKQFLNGW UREG_05565 MAVQAPEVDKLSLNEESVAKAPTKGSAAQGTAGDEDAENEESDE DDDQGVAEGAVATGDKKKRKRKPKKKKKKGVAKVQSNPPRVPLSTLFPNSQYPEGDIV EYKDDNAYRTTNEEKRHLDRMNNDFLSDYRQAAEIHRQVRQYAQKELIKPGRSLTEIA EGIEDSVRALTGHMGLEEGDSLVAGMGFPTGLNINHCAAHYSPNAGNKMVLQHGDVMK VDFGVHVNGRIVDSAFTVAFDPVYDNLLEAVKDATNTGIREAGIDVRMSDIGAAIQEA MESYEVELNGTTYPVKAIRNLNGHTIGHYLIHGGSTGKSVPIVKGGDQTKMEEGETYA IETFGSTGKGYVRDDMEVSHYARVPDAPNVPLRLSSAKNLLNVITKNFGTLPFCRRYL DRLGQDKYLLGLNNLVSSGLVDAYPPLVDVKGSYTAQFEHTILLRPNVKEVITRGDDY UREG_05566 MPAMRSASARSQTSQTKTLSTRAPPPPSNSSVEDHFFWTYTEEP HKSRRQAIIKAHPELCGYEPLTKYVVLGVVSLQVACAYLLRNTPVLSWPFFLTAYVIG ATANQNLFLAIHEISHNLAFKLPLANRLLAIFANFPIGLPYSAAFRPYHLTHHKSLGV ASLDTDLPTALEAVLFDSILGKAFFCTFQILFYAIRPMFIYTPAFTHIHFLNIIAQFM FDFALYKLCGSSMQSVYYLILSSFLAGSLHPCAGHFIAEHYFFSKTSGGTESIEEQRK AKSGKKEFTSASALASLPPPETYSYYGPLNIFTYNVGLHNEHHDFPAIPWTRLHTLHR IAKEFYEPLPCHRSWVWVIWTFILDKNVGMWCRVKRAEGGRMVGGKDNSGWKESEIQN UREG_05567 MSVELDPPELGFRRIALPPLPCLVKQTAHRTAPILRQNHSSTKV RMHSQLRTGWTILQIILLQAMKDEPPPDAKCKDKFLVQSVAVSADKEFSNVASIWQDV EKTAKHSIQERKIRVNFLPALDDAQPNGIASEDESTTLHASPVNAKFETPSMPTRAAP VIAEPPIQPPKFQPEPSESTILETPKPVAEDVKSERSTEPSEPKDDLKSQLDEARVQI QQLKQQVAENELRRRKVATEGSTSPEVTTLQQQSHSTPQMGVPLQVVAGLCLISFLLA YIFF UREG_05568 MTVDHETLQKSLESAGLARISEAKGEQPPTLPIVPDDAKNIPPD TPILFREGSDYYRTPRAEHPRSTNRFLLRSYDLMVNYSSYNFVDLISPRPLLMIVGTD ADARYYSEEAIARAKEPKELFLVPSQTHVGLYDDLSVSFPKLLDFMAASLAPEI UREG_05569 MPAHGDQHRSYSAAVNAANPFEPPVQRINVYTAQEIATLQSRLD KRLGPEFLSSRAGPGGQRVHYLSADKCISLANEVFGFNGWSSSIQNINIDFDIGYGHI ENSKGKAAAFEKAKKEGTTDALKRALRMFGNVLGNCIYDRDYLSKVTKVKAVPARWDV GELHRHTDFVPIKEELVTAANAPRNDAPAVIQDVAEGILELPSKLSNEPDTEIDFGSD AFDEADFGEQHLSHPDEISLDEEPPLPLQNHGGSAPSRPNIQRHAGTVNTHAHAVPNH MVTPSKPPQPPPAPIATTRQSGSTLPAPPDRITRPNIQANQYTTPKAQIPARPPQQSA ANDSKPFAGRIAQSNHDLPPPLPCNQHKTNAEPSTGNRGSHSPSKPPSPLGSQTPQGN PQMEPGVWYSARAANMTEQGKPAPTFDPKCDSPSIRKTLSITHAKSAPVSRKTFQNVE AQISSQTPHKPNSNNGPLASQSSTYVSPRMGADTVRRARAWYLRKSCSTAND UREG_05570 MNDSDDYERVSRLQILQMEDLGLARRDYLSTRDEGSKQRLSLRH LEAFRASNQEGTQSQRLAEENKDKLDAWKNAWKTIEGQGEGEDLAPIMEGQSHRYNLI QAIKNKGGQKYDTEPDTSVHPRGRRALGAPGGAGRGGGVMGARGRQQPMVQKSYVDAA CCLIFVIALLTLVYARLAVAAKQRSKAAIIPPISGRPLDPARNINNPQSPPRRGGAKG RGAGRPMRPVTMRHRIPVTTLSSEQTRSGLGGVESCDDADTSDMAMDIEGDESSEAEN LEAAEEKLVRGSLKSPTPERPILKALEVFGSPSARYGNQTDSLKQKVDKGFSSSLPQP RSPMKYREPATYDSDSDDDDESILLPGTESPTVVNDEPEPATSPQPSTIDMNRKDRIA AEQENASVVPRSSAVENQGENELSTAKQELAELEQTLSTGMLPVSVINVLKLRKKELE EIIFVKSTRPIGDAPSDIPAPREMFKKPFTAPARALPLPDEKAPVQREAPSAQIGMQA RQTALEPTRELKTSRVDDAVRTSTFPMSNDETSIIGNHLLPGREGKSDPIIPPAFAQG NRRPRRAHLDANVPWPHRRNLDTPDKDDIPMEDTFVAENAKPSQPSTTRTSASQPRYG SQLKMRETPYLPQTAAAMQYAGQLSNMPLTNERPQLQVPVHTATPPTSTNAARTDSFP LRDSYQVRQRIDSVRVGNENNPPLETPQTRLATCTPNNPFFSRRGNENLSPVKQAGSK PGGLADSMWAPAPSAPQQGTFGKNVLNSGETFQRGSQRDNKPNTRGNDLMASRWAH UREG_05571 MPKGTNRHDVRKAEANGHDAEEARSLLSHSVDDDDLIDHLEPSQ PQRPSAPKRHSALALSTLSNGQPRTPRTPNRVRFDLGDGTEEEPGRDSSSNARAGSWL NEEDYLDGDTRSIRSSTGQMAPLLTDIEAPSVTLATADSDFLPEEHLESARPKSNLRN AFMNMANSIIGAGIIGQPYAFRQAGMLMGCILLVALTCTVDWTIRLIVVNSKLSGADS FQSTVEFCFGRPGLIAISIAQWAFAFGGMIAFCIIVGDTIPHVLAALFPKLKELPFLW LLTDRRAVIVLFVLGISYPLSLYRDIAKLAKASAFALISMLVIVVAVITQGVRLEPDL KGPIKGSLFVNSGFFQAVGVISFDHNSLLIYGSLKKPTLDRFALVTHYSTGISMVMCL IMAFAGFLTFGSKTKGNVLNNFPADNILVNIARLCFGLNMLATLPLEAFVCRSVMTTF YFPDEPYNVSRHLIFTTSLVVTSVVLALITCDLGSVLELIGATSACVLAYILPPLCFI KLSAQSWKAKIPAVLCIVFGVSVLCISVLQAMVKIIRQEGGPMTC UREG_05572 MAPQGHQVNFETKDVYQAHILLPLQRDFALLSQQGTGKLRHLNS LISNLDPRIQPPSGVPLASIQNVEACFEFTLSSSEISPLLQDANQSSLCTTNTTAVQY PERRPMTDYMLDCDMSEITSNLREDWVSLDGDSPFSSQSSISSSSSIGSNRAAYSNTS NANPPGKMVQHYVSLLDSGLRSFLCHQFFQPESHVGRDLQTQMGILPSLASHALNANY NRAISQRATFIPVIARGIHSLLSSTRSPVLKSKLDNLKHIYWKTYGPQNTVPTGEATD DPNTRTILKCLLWTTMQHGLHCSHPASKLSPLTTDQEVSQTAPAKADQPVSDPQIRIS ASAQPLGLTDNISHLECLFDTEAAVEEDYFIDPFDNLETDQFFDELLEINQPSSPMLD DNASDKTAEFIDRSPQILAEFTDSDPILGEEDDDYDDLMDIDIDIDSCIAIDSTSSSN IACLTRCIDNEEMLF UREG_05573 MVDDLLDSPVADTPQLLSPGYDGVGQIVNGKKKYISSGFESGPS RPPTPHGPGLPPNFAEVVKGIYRSSFPLPDHFESIKKLNLKTILTLVDFEHSRQFRKF IKDNGIVSHVIPIIANKDPKIFTPQNTVLDVLKILFNPKNHPILVHCNKGKVFSSNAE DSRGWSNAAAVAEYIKYSTPKSRVLDRKFIEAFDANILCELVDRVGAQYWTPSSPPER QPLQGEPTNYINGHSKEAKEGKDIDGTPGILASDTKQLCDMDMS UREG_05574 MGESATQLRYVDIGINLGDPVFRGEYHGKKVHDDDLKDVIERAV NVGCKKFMVTGSDLEESKHAIQVAKDYPGFCYATVGVHPCQAKLFDSYPQGPEAYLAE VKALAYEAKESGYAVAFGEIGLDYDRLFLSPKDQQLKYFEAQLDVAVEVQMPLFLHSR AASEDFERLLAPRLPKLPKGGLVHSFTGTVDEMRRLVALGLDIGVNGCSLKTEENLEV VKAMPLDRIQIETDGPWCEIRPSHASFKYIQDAPELPKAFKKERWQKGCMVKGRNEPI TISRVAQVIAHVKEITVEEVCKTAWNNSIRMFGLGEEAS UREG_05575 MCGAYEIAMISRETKMQNVASARKEKPTIVEQRPKSKFLPALFS RRKKGFQELPSKKLDDGSSFVSN UREG_05576 MFKGILDQRTVDDEWLKPPPEINPKLVLDHEKPPLSTLISAHDF EVVASKTAPRKTWAFYSSAANDLITRDANKSFLDRIFMRPRVLRNVREVDTRTKILGC DVDMPLFVSPSAMVKLMHPDGELAIARACDSRNLVQGISNNASYPMKDITAAGPGTDY FFQLYVNWDRAKSEVLLRECSANPRIKAIFITVDAAWPGKREADERVRADEGITVPMA DAETRNDEKGGGLGRVMAGCIDPGLTWADLVWARRHTHLPLILKGVMSADDAILAMEA GMDGILLSNHGGRNLDTSPASIITLLELHKRCPEVFDKMEVYVDSGIRRGTDILKCLC LGATAVGMGRSVLFASNYGQEGVEHLLDIMRDELEGAMRLVGITSINQAVPELVHTGD IDHLVPDSANHPYARSLSSRRPPSKLWNGSPVKARL UREG_05577 MTAPRSASLRALRILSQQHSSAPFRRSLHVTGAYSAQLASGPDV TSIYRARSVADLRDECQRRNIRTAGNKAELVDRLANHDFLQTRAFSIAMKRIDGPAFG QSSESPARHFNTSRATKSVNDSSPVDFVVMPNSLEAGQASSSGFPRMPVPPDAYAHFE TAGAGSASPMKPEIYSVGGSDGSSPSPMAEVADNSALEINPYNLAEMVGRSKLAASSP DAARSQQGEPTLTGLVKGMWNAMMQDVLPPKQGSNTQSK UREG_05578 MRIAVIECDSPIHPVRECLGTYGDMFERLLIAGLKGLKWENIVD LKVIKMNVADNPVFPSPETYDAILLTGGKWDAFSDSEWIVKLTEYVAKIFKETTKPIL GVCFGHQIIARALGAPVGRGGEGWEIAVEPIVLNDIGRSLFGKETLALHQMHRDIAYE VPMGCANVGSTPKCKIQGLYMPQRILTVQGHPEHNEFVIRTMIEMRRSQGIFGADLAE NGLSRVENEHDGVVVAQAFCKFVHETLSS UREG_05579 MRFLVSTQIPSFRAVANSSTFIHFCDPSSDDLSIPLNDPEPVDV WICNGQEASTGLMNQWLSQASHITKSILVEDPTAIPRLQEYCKGNVVQIAGFPEFKTA TIPWEEFILREAEMLYSRARVKTTVHQQDKLKVEDGKPSVLLVGAGIMNLITAEFLAA RDYRVRIVDAGPDPRGCKDWTRLGVTTCGGNARMYTRTEADNYNEKGSRIYENMQSVF RKTVRNGGWSIKSPEDFTPAERAWVRTFEQIPAWLARAFRDNIHEVNMEAGKLWNEYI ESCPQLFQEVEFRKDILRMYVEPVALDTALALHHQLGAIIQDTSLQEFLDSNPSFTSA AESDHLAGGFTVEGFTVNIHPFVARLIARITELGGKFLWNCEVEGVKHNSLGQVTMLE SRVGLLEADHFVVSPGVTGNALLSGTDCENLIHGVLGVWLQLPNLNRQQKHSIKVHRR GHLVEDINVTVTKDMETGEDILVFGGGYGYVGEGRPAPDSPELMALFNELEEVARIYF PQGYAVAKDRGTLYPGGNRKFCVRPFTPTGLGVHEKIPTASGGYLIITGGNNTGGFAQ APAVARAVWRSLVGEYDPIHALFHPDRGRLPIATACKYPISKPLSIDSAEVRKPVRLL LLCSDGPQHKYLRYRLDQAFPGYHCILETTDGQVRRLVQKRRIIDAGYTKYHGIRRRF SGHDRYRKAYFNDLMPNNHILSTPDLTVDSVNCRQVWDAVEQWQPELTIVSGTKFIGK KLIARGGLMINLHTGHLPEYKGNHCIFFALYNGEVDKVSSTLHQLTSTLDGGDILDRV VPPVVSTDNEETLYTRCSHLAVDRVIEHIEQFSIGKKLEFVPQEAKGKEFRHRDRTPM KELGLWWKLKVGGLLRNQSQC UREG_05580 MAHSSPSNTQLDPGTDDWRGPDDPECPYNWPMWKRIYMTSIPAF LCVNVSFASSIYTSGADSIAQHFDVSYTVALLGLSLFLWGLGLGAIIAAPVSEYYGRR IVYLSTVPIFGLFILGSGLAQNFATLIVCRTLGGFFGSAVVSVGGGTNADLWPPALAG FVYPFYFVSPFLGPALGPVIGGFVNEQKDFRWLEWVILFMLAFNYLYSIPQFETYKKT ILQTRNHSEKQTRTPQKFKIALPSSDVVQRIVLKPFKMLFVESIVLFMTIYMAFNFAV FYSFFAAFPYIFGGQYDFTTGQQGLTFLSIALGCVIGFLAVVYIDRRTYPALEAQYGV GAVPPEYRLYGAMVGCALNPVSLFWFGWTADRGVYWLSPVIAAVPFAVGNIMVYSSGA LYIMNSYGSLHGASALSANSLLRYAAGGAFPLFTVQMFSSLGIGWASSLLGFVSVALV PVPWVLYKYGHRIRAHSQYITIQKIEVVESSLVEDKSGSV UREG_05581 MHFSSWLLCAGILSTTVSAFYPVKLPPSDNPSLPDSSRRRFFPV PLPKAPSQDEKKPLTLDLVRSRRRVRRDNKYSAVMGSDPTMENSAAIHQDGNDYSYFS TVKFGSRGQPMWLLLDTGASESWVMSSNCTAEACLRHNSFGVEDSDTLNVTQIDWNVT YGTGRVEGVIVEDKVSLADFELQLAFGSALNASDDFLSYPMDGILGLGPSTVASVPTL LQLIKEKKLFSKTILGISLQRAGDGATDGQLTFGDVDKSSKKGDGYFNIPCDTALSME FVFYGAKWTVSPKDYVGTRLPLANMQSPVTHGKIYISWHHFNGETKHRADCVPCSSSK YGQ UREG_05582 MPMPHHPTLSAMRQFLSPSPPLSLLNVCYRTSKAGTLIEIPSLA ERQWQPSALHQKRYFHQTCTRATFRPVWTERRVKVPWVEALAKKRAEESQLNKAKEKA EGVVSSGKADMTPKRMSDSYFRTILPLAQDPWLLDTYLNATGHIRLGSLLMDLDALAG VVGYKHTGDNVTMVTAAVDRIVIENPLKEICDLELSGQVTYATGRSSVEVSLQVARAP ANESQEIKKEDVLITCAFTMVSLGSGQRRKERRLFEKGEQNYTAKKSLRSRSLRLETP NDEESDLIHAMWIRELAYKDPENPIARPKNVIPMQDTTLRSAQIMQPQYRNRHNFMIF GGFLLKQTFELAFCCAASFSHSRPTFLSLDPSTFDNPVPVGSVLYLKAVVSYTDPPLA STESTAGAKDRKFTKVQVRVDSSVRDVSHGAKKPTGVFNYTFLVEQDMQVMPRSYGDF MVWVDARRRAHATDKSLPEWAARMANGAEGWEVLGEQVREGVTE UREG_05583 MEREIEKVKKEYEEKMKRKKEKEKEKGKGKEKDKGDGDEKEKKA ETAEDKKAEQEKDEKVAIQGVGTGVSADGDGPRIYALHKNFYQMRLDRIRNMELAKRN RERMKNASFFPSAPKGDF UREG_05584 MDNRSLASPPSIRVCHSSHTEFTSSSNSSEHSRRLPSRSPSYSK NSDRSPSSSSASSYGRPMAIRKSNEPLAPPPLPPPRFIEDLAQGHDSGWKWGNSFEGK PTLAPIKPTSSLFGGHSRPPLVRRDETFSFTDDFKRGGTMSAATSPSSEVNSVGPVST ISDAPRSGSLGSSTSASRMGYSLQGEKPLSEKSVERSSNAYDQHLLSKIGKPGSPPRL AASLGTSINNTSLPFHSKSRTLSTLSIGDGSLSPRDIPSRWGSGPPSAAISPGTKMGG WQDYVGYQSPTADSAVHSPMEIDGFNQTRDPYSSCASGRHATEERPSIAERPGKASYD QGMFPDQDTDFAMDDAGPAPQHSLPGRLSFTEGFSSVSRNSMKRRASSPPRGAVGDSI YTFNEPERDRRPLGLRSNSCTSPNTRYLGSHGSVSSISSSLRTESYASSAGLSIAASS ISSFGGPSPGRISPTSDLDACYEKSYLPPTSHRSQYSDLAEIKGSATRKGSGQNGISL SKPTAPRIGRLYICECCPKKPKKLESLEELRAHEMEKQYTCQFCNKRFKNKNEAERHQ NSLHLRRHSWSCAALSNPESAFHPSASPTCQLANGVSHDTCGYCGVEFTNFPKPEWDR RMDHLINIHKFGECNQAKKFYRADHFRQHLKHSHNGSSGKWTNTLESACMKEEPPHEG LPSIGEQPGDNAEGDNGSIGMRSATGRATVHTIDEVMTSS UREG_05585 MAVLEQAERKNPPCESGEEGLNLPIACQSTCIYEAFCPRLCRSD LLGWSVSSQQPPTNDAPIALKFLRQGSFPENLERNQSPGGASPHVVSCRIAVQRSHPL SASRKQQDAARLSSEMFVVLRLPTCKKQTASSAVLPSSPRIVHLVASCYSQPSRARII WIDEERLLFASISTLIPLGAARPGSLVIWSLALCPLEITLDCLMAISKIGNDNLYVGG FMSLSNSLALKNANITHVVTVMRGGADKDRFKTFKHHLHIAVDDMSDEDLLQHFPITN AFVRSGLEEGGGVLIHCAMGKSRSAAVCIAFLLHRDPTAMDPHGVLAILRQSRQMCEP NPGFMEQLLLYHQMGCPENVTDHPLYQRWLYQRAVEESVACGRGPELDEIRFEDQAAD GTEENDKATEVRCRKCR UREG_05586 MLHLSDTFVLSAGTVAIDLSKDLVLVLYSPRISKYFLPKGRKDI HESLHDAAIRETLEESGYHVQLLAHNLPTNATHANSWPEAEPNPNTAKAETPPGPHDG TSRLPPRSSAPPPGPAPAGVLSDRNNNSPVRSDQRAPAALPLETGGQQQPSSADQRHV EPIAVQQRTYENSYKIIFWYLAEVDSEQMPVEGAREAWEDYEVRWMGAEEAVGKMSRE EDGRIIEWALKGAGGVRAERNIVSGAGGNPFSASVSSFRLIPGGILIPAPFPIAPNLL TPTSTTSTLALAKAIAGTIHTPQLHRIPGQANFPIFAPQVVLGQTRRPARGGSSGIPW EPWSSDDGKREARIQFYVPVRE UREG_05587 MAPAPAVPARPYRRILTSALHRRFVHASAFALLVSYVISFLIST KTSLFWSWFPLGACGFRTLLLFISSLSVFVLRVGQLHVGAYTTVSPFQTWRQTTFSVN LIQTFGWPYERARLNEKPIYLHCFYFLLALTQATFHLYYDWDQLVIPIAKRSEKSDDL RTHPLEPPLPRFTKSVPKMFVHAVVQSGITAVVGPLVYTMLLRRKAWNFTLYFARLFW HFSKSAEDPPGIIPPIHITLLLRSACSGTLLIMLWQTSNLLFSVFLGRAPLKKGLPLT NTAKDPNGSLINGLKAKKAPVLTFALWELCLISQQFPDRRKGIFNDIDRAGGSAWSQV FAATSDVIKGINSRITQFQSPTPAGTTVPTTETKEVKQAASDTGSIQTLPRLTLPPKE DNIFLTMPRGNTRPEKFEAAFGSVAKSYGHSPDWTPSAKAKARQLFDRASSIMLSPAQ KRRITESAQELKLLTSPTASTAQAGNSAPTIHPLLQWAFRSRLGAPFRKPYARRLRQI VLGSPFEQTAPLVDATEALTKLFIASLTEDQFGKVQNDVPTVVRLFTETILTLESFVS EKGLNIHWTDVEFPINASEAARKNARRVEEVELVLCTLRTGLSELLTAFRLYLREVGV VGKDLQLAKQAAVC UREG_05588 MSFDRLTSLESQTEPAGRSGGSSYHDDPDFQRLTESLSNQLFTL TSNISRLSNQIGLLGTKRDTERVRERIHNLLEETRDGFREVGEGVKKVQMWEDITPAQ KWTQQKLSSEFKSTLEEFQSVQRRALEKQRASAAAARSALEDSAGAEAPQEGQSLQQL QEQQPRLASQAEVDFQDGLIIEREAEIRNIEQSVGELNELFRDVAHIVREQGGKLDLV SENVERTRDDTRGADRELRSASRYQKNARNKACCLLLILAIVLVIIVLAVALG UREG_05589 MRHRVGNPSTDRNRAAGSMGESPQVSRGAKRTDLSTAMGAGGST QSSAESNATPSAASCPVDHKAREVWLSQNQNAPPHPLPPSKLEEPSACPVDHKSREAW LSASNKPTNSAPESPSGANTPPAKLFRPLSTEREVSTIPRALPSHSENKTPSQPSSPY AQLPSSAASHASPSNSETETGHDEGTGNWVYPSERQFFEALMRKGTPTSTGSAKELAT SVASIIPIHNAVNERAWSEILSWESRVPPSDPGSRKCGGPKLYSFRGLGTDSQFLSPR ARLNGLLGYQLPFDRHDWVVERCGGEKVEYVIDFYQGKTGGRSGLGAGGPDGKLSFYL DVRPKLNSFEGWKMRISHIFGL UREG_05590 MAGHDSTIYRSSTTAPVNIAVIKYWGKRDETLNLPTNSSLSVTL SQADLRAHTTASCSDSYPHAQGDTLTLNGTPQDIRASKRTLACLSDLRILRRALEDAN PSLPRLSAFPLRIVSENNFPTAAGLASSAAGFAALVRAVADLYELPQSPSELSRIARQ GSGSACRSLMGGYVAWRAGSKGDGSDSIAEQVAPAGHWPEMRALILVVSAAKKDVPST KGMQSTFTTSTLFPTRAKSIVPERMAAMETAIRNWDFKSFAEITMRDSNNFHATCLDT WPPIFYINDVSRAAINLVHEVNRIAGKAICAYTFDAGPNAVIYYLEKDSMQVLGTFRQ ILKPETEGWGGVEPVRDLMDGLMATSTGSMEKLAAGVNRVILTGVGEGPEKVKNHLVS ETGEILIGSGN UREG_05591 MRNLKNIRFAEIPLPKGLPLTATAWDPATDSVLCAFGPTETSAI IELRRKEEDADISPNQELPLVASWDAPCPLPELKCDRILSMQFFPDTLVTCLVLEGGD IIIVREAPQPGEDKIEIVGSVDVGITAAAWAPDEELLAITTRSNTLLYMTRDFDSIAS IAFSGDDLKISKHVSVGWGKKETQFQGKRAKALRDPTMPEKVDEGVLSDLDDGKTCIS WRGDGAFVAVNSIEPGSRRVIRVYSREGVLDSVSEPVDGLEGALSWRPSGNLVAGIQR LEDRIDVAFFERNGLRHGQFTLRLEKEDRDTWASSIDLAWNLDSTVLAVLFRDRIQLW TMGNYHYYLKQEVPLSFETNQTELLRTFRWHHEKPIRFAASSSSSLMDLDWVFDVSRG SCILPNDFGAIGVIDGSIAVYSWQLKTVPPPDPELDSFFAFPQTVKRPRNIAIQGETD VYVLMETDMSFAEVQKINLDTKNTTAVLASTESDRLSFIFSNLEQDALWVARASPNQK HLLYSYMLTGEDNNAPCINWLESPVQETSWASATASAEKGNCTSFLITSAHLIFTTTQ HLLKFVHITNVDGLEIPGDTPEVDERCRSIERGARLVAAMSSIFALTLQMPRGNIETI YPRALVLAGIRKYIESKKYRSAYLACRSHMVDMNILHDYMPEQFMASIQLFIDQVKRI DFIDEFLSRLKYTAWPSPILSSLTLTAAIRNEDVSETLYKDTLKIAHTEARDHTIGSE QTTGLLPMPNPNKENKVNKICDAFLSVLANRVETNLQNLVTAHVCKSPPDLDAGLQLV AKLRDQNAEQAEEAIEHMCFLTDAARLFNNALGLYDLELTLLVAQQAQRDPREYLPFL QKLQGLTELRRRYEIDNHLGRYPKALKSLHALHEYDELKLYTIKHALYREALELYKYQ PDLLRDMTQLYADYLYDQSKHKEAAIGGTPFVSLIFPQQLLTAWLKHMNRLPCTNQPM KHTRSPTCGKSAFIVQVLFHCLNLK UREG_05592 MDELFDVFEAAPASGATPSQPAKPRPKKDKSKKRQANGDVKEDA VITDVNGADQISAQSETEPVLEVQTEQPEPKRPRIEPEPIVADTFETEQSREIAASAG LQTTQEGTAVVLSHQVRHQVALPPNYPYVPISAHKPPEKPARTWPFTLDPFQQVSIAS IERDESVLVSAHTSAGKTVVAEYAIAHCLKNNQRVIYTSPIKALSNQKYREFAAEFGD VGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVAWVVFDEIHYMRDKTRGVVW EETIILLPDKVRYVFLSATIPNAMQFAEWITKTHGQPCHVVYTDFRPTPLQHYLFPAG GEGIRLVVDEKGGFREENFQKAMGEIADKKGDDPADTNARGKGKGKNKKTNKGGEKGP SDVFKIVRMIMMKSYNPVIVFSFSKRECEAHALTLKNLTFNDDSEKDMVSKVFNSAIE MLSDEDKKLPQIVNLLPLLRRGIGVHHSGLLPILKETIEILFQENLIKVLFATETFSI GLNMPAKTVVFTNVRKFDGTSQRWLTPSEFVQMSGRAGRRGLDDRGIVIMMVNEEMDP TVVKEIVRGEQDKLNSAFYLGYNMILNLLRVEGVSPEFMLERCFAQFQSASSVGTLEK ELEELESQRKNIIIPDEGTIREYYDSRQLLATYNEEMRLTICKPDYCQRFLDSGRLVN VQHEKHDFGWGLVVNFQQRKAPRSMQEEYPPHESIIVDVLLNVAENAHFSYKSDQMLP PGVRPAKKGENSTMAVVPMVLSCIKAFSTMRITLPKELKSDDSKKYTAKVLAEVQRRF PDGIPVLDPINEMGIKEEGFKRTLRKVEVVEARLLANPLHNSPRLPELYDQYATKVEL GNKIKDTKRQISVAMSIIQLDELKCRKRVLRRFQFINESEVVQLKARVACEISSGDEL MLSELLFNGFFNTLTPEQCAAVLSVFVFEEKSKETPPITNEELAKPLRDIQAQARIIA KVGQESKLAINEQEYVESFRWELMEVIYQWAKGGSFAEICKMTDVYEGSLIRTFRRLE ECMRQMAQAAKVMGSSDLESKFEASLSMVKRDIVAAQSLYL UREG_05593 MNSSGSRKRKAEDDNSSSDHDTRMSASPTASPAFLTKPLATRQI KRTRPNLSGRALSLGRLLETLGSDDLRSVLRTLCDRHPELGQEVVDAAPRPNITSALQ VLTNYQSTLQSSFPLGGNPSSDYAFNRVKPHVTSLLDALNDFTPHFLPPNETHTSTSL NYLDGATEIIHRLPRWDTPHYNLHKETAYEEIAKAWVLVIREASKRGGGIQLQYGEWD QKLAKHNQTSGGKLQEAVSELSSSLGWMAGHSGHPAASGYPTETASIRQQLLSGTYGA GLPLKVGPW UREG_05594 MSSSNGILAEPKQTYRELFLTALRGQRTLLGLIVLTLLNVLIFM NVLYQRNAAVGISHNRGPMRHAEDRNPIHTSLVKPENITISGLVFFGRKDRVESMHCY LERNMVDNGGWLDEVLWVVNTENKNDLAYLNKILSSSPQRYKKLDLGRKVKGPEFRQI WKHLDKGKMYVKVDDDVVWLADDAIPIIVDRKIRNPDDFAVSANIINNPPLSFMHYHF GALHPYFPELDENGNATKKVSSNKAWRPSEHPHWDGPPSFTWAMDAKPPAKGHRWLRV EDDKAIARTPVSKLKYEVWGDTYTSWAIAAQQHYSFLENLESDNLDVYKFNRPWNMDN ERIRINVLAVMADDILDSDIDSWPKERSDEEMIVMELPKKYLRPVNVIGTALAAHFNF QHQPDVAQTDLLARYRALALEQACLSR UREG_05595 MVSNGFDEPIASAAAGDWAVDPDRFAFTPKRLRVVCIGAGFAGL ILAHKLKHERPLDFVDFTIYEKHHEVGGTWLVNTYPGVGCYVFPFEPNPSWSKFYTSG PEIQQYILDTTEKYGLKEKIIFNTALVESIWDEGQGKWELTLDNKGRLFRDKADILIN ASGQLQQWKWPEIEGFQSFKGKILHTAQWDPTYDWTGKRIAVIGNGSSGIQVVPALQP KAAKLVNYIRHATWVTTNICGFLIEDGKNFAFTEEEKQNFRDNPDEFLKYRKKVESAV FKVMVSGSEENKFLTGLCDQLMRERLAKNPELIEKLIPKYELGCRRISPGEGYLEALQ AENARCSFSGIKRFTADGIETEEGEEEFDLIVCATGFNNSFIPSWKLVGRNGQTPEVA WKDKPEAYLSICAAEMPNYFMFGGPNFPSGHSSIPPTLGWSADYMLDWMKKIATEDIK STAVKGSVVQDYNRYAQQILKRAVWSKNCHAWYKSAKGDSSIVTAMYPGSIVHFMGKM RTRIFGMIVSLITPCM UREG_05596 MDEYIAPLNLSHGKAPSQLRHGSFSTRTISNQRLFSALKTQLRM TPPLTPHSSREDMVDRSNSERSAFPNYLRAFYPFHPGAPISSATVTLPLDQGDLVLVH SVHTNGWADGTLLDTGARGWLPTNYCEAYDQQLMRPLLKALMDFWDVIRAGSCATLHQ FGNQDYMKGIIAGVRFLLEKSECLKREDELVQRLVGLRRNRKALLSDLSSLAKMAKNL RDIANGAPLDDSLDNVFDQMLLKAFRIVTRGVRFMDVWNEEVGLSRVMEEMSPGGSIT RMYDEPLTPPVDSSFRISDSAWGSTPESSVSAASRVESRARSNRSFGSRAPSQLSYND SNQHRRSLIQAKRVSVSHRISYTATSSTVRNPNFASERLNAAIDDFLGVLGSFIGLHL HSRSSTELIVTTQQAVQSCRVLLSVVEEVWEHDLHRSEALEQAKDTMYERITELVHAA RDAFRPANGSDDETIFMPDEGKRLVDAATVCVRGAGDCVAKARQVLEQVGDFEIENIE AVGLGISTADSDKSDSSPDDFGGALDTETPKILSANGDSHKSDTNERSTRLPPPLQIP SCSLSTSSLTPSLTTDGGTTPSPSFITTAIDTPTSTLDEPFTAAFSSFTDLDDSLLGA TDLGETQDPPIYIHAKNKEEKAESQGEGTRGVTSVETERTCVDTIDDSQVTISSRPST AVDDSQPPPPPLKDDVASIHHSDGEQGEDSEECILEKTFAHELMFKDGQVIGGSLRAL IEKLTAHESTPDAMFVSTFYLTFRHFATPVEFAEALIDRYDYIGDNAKAGGPVRLRVS NIFKGWLEAHWRHDCDDSALPCILSFARSKLMDTLPTAGQRLIELVEEVSILTVPSCH DWFHQWARQILRLLNIQTITILDFDPMELARQLTLKESSIFCAILPEELLGTEWMKKT GSLAVNVRAMSTLSTDLANLVADCILQLEEPKKRALVIKQWVKIASKCLELNNYDSLM AIICSLNSSTISRLKRTWELVSQKTKNTLETLREIVDVSRNYAVLRHRIQNHVPPCLP FVGTYLTDLTFVDHGNQDTRTLTADGGSIEVINYDKHMKTAKIISELQRFQIPYRLTE VPELQTWIQDQLVRVRSAGEKGFQNYYRRSLILEPRERSTQRNSPGDANAFSIFSREN AKEKFDFLAWTQSAKTKAAAAAAAATS UREG_05597 MPMRVAVAGQTSASRPLPSPAPDIMLDILYDYFTREQVMRRLFA ISAAKLLFRVSILDPLAKAFRRSRSGASGTKQSCHRAPSCSIVLHRSPSQPEFLPGRK GAQEEANHNRILTLESDTMHRVPVSVTDYYLPPFPLLSALNRDHLFSRARLSQVPVST PLGTETVCRLLESALESKSDLQVGFKIEFSLQQGSPLSCQPRVQWKEYATSPLSDHLP VVALELLSTGEVSPRASWGGQFMHHRA UREG_05598 MSIWQLIPLVEEFYFFGTTSFRSYRPRKSLGRSSSAYDGDRDEL QPLIAESNWPTALVRSQRARAAALSSVARRQAQQATHSGESVGDASGRRSIIPSKPEK RDRNSQHGSTQR UREG_05599 MSVERRESYKAILPIPPPSHHHPNDPEGKTRQDSHTVASASTAT FRAIPTSLLPTSDRLAAFRVLTGIDTSPGFSTWEYFQRSATNIGIYARVVRAEKRAGF EYRLFSILINFCLGLQIIVAASLTALGAGNGPRGLVTAFGAINTVIAGFLTYLKGSGL PNRKRYYHGEWTKVRQYIEQREREFCLEGCELDLEEEVAKIDRMYEDVRAEIEANTPD NYASVRDARNRTKPVAPRPEDELPSRREERVGQGLRRASDVKSLG UREG_05600 MATPPAPPAPSHQPATSPPPAPAAPANPDTITFTVNPRTLSMDE KAHGVPVSAVNPISVRPVQNKVVAPIGVSVAQAVILVSSFVATVLLGVSHSKETSNEN GYYSYGYSLVPIVPIALGALWSLISLLLNHPCKVRVHPAFYVAFDLLLWLSILAISSC VIVLLSDRRSYACTYRSYRDSDSRCEAVLLETWGLQVAANALGLLSGLTHFGVFVWGC RMVHKTNAHARFEVSINMNGINNGQGSAPVVYA UREG_05601 MAPLSGVDPHSAPLADFFWIAGVDGSEIYDIFLKQGEDYNNSRA QAIPRTDTIEEDADAEEEEHALAADSSQPPSNRNSYQHLSFKPTDDRRLSYQSLLVDF SDSNNTPQSNRSSLTIKGSSNGPSFLNDADFDKALLKFAAERDSFLSDLSLTAGAVVP KPTRPRPKTQKIVAEDISPNPLKSGIGSVRRHMSFRDMSSMKRQPSLARQSSIRTSRR LSNYNSVIPTPQPLEISPNMHPLKRRFEPVLLDRYPPKGAPDEGHRRGKFPDYVPMFA FPNDINIVSSDQRPRSTWHGFAMTGGDGSKLYGVCIIIWIPLSNKAADELEKRCEEWR RDNMTEEERELAASLGERLGLERAKLSRLLAQLPTVPSGSAARDELEDEISAVEEKIG LMADLLRPVRHGAASKIDGLTDGDTGFWIPRAYGILGRDASMTTFWKEWLKSIAVPMM DGAVVRVPPTSPRVGRWQPLERYVVNLCVEAFSPLSSKTQVEIAVRELRLFARKEADN ELPSSRNYLLAESRIILLSSHTAMLNLVSRAITELLFPFQWTGVFIPILPARLLQALE APCPYVVGVERRYENLELPSDDFVLVDLDQDVIESTQRPTPLPRHQRRKLQSLLQLAA PLYRFGVRPGPPAYVIETYPFDAAPAEIASIYTPKAPSTQLAKNVNMNSGSFGQDPYV NPPPPIFNVFLNARNDVSFARNQDRPTTSSASKASTPPSPKTSPTSSKFPPLPSTPVS RNDSGIALQASLREKRSGHFDAASRRSSSMAVDRRPGIPRRPSVPFLGHSYNLSVTTL HTDTSPSVYAPSVYAQSTIAASTIMPHAFQQPLRNPVGSTLVEGHCLQLQQMDDKCIC SICDEKAEDAMYRCSGCKLIVHGRCASHICLVCPVAFHPDQIRAAFVRCFASLLYTYK KFMRPATGDKKKAGMTYSFQMDAFLKSLPHEHAEYMSVLQQTQGFNEFIAERESVDPR AKNPKIILFDEIILSKRNRGRSSIFSGRMTTDFLTDTSNHLWRSASASSFPPSSRREM SVSGDWKSVVTRAPAKLDPNYMKEPRMIQGVPRIPKTANNSKRKPVSRMANGQ UREG_05602 MQTPSQAVSLELYPSTDTDDARSGGITQVESVQKIVRFDLKEEG NHVLAVNISYTETMTTQSLDTHGVVQASGGRVRTFRKLYQFIAQPCLNVRTKATELPP HEVDNRTLGPYGKTKLYRFALEAQLENVGDGIITLGMQTITLNPRPPFKSRSLNWDFD PSEAQKGNSPTLSPRDVLQIAFLVEQEHGQQDGLENLQKDMNREGRTTLGQLSLEWRG ALGDRGFLTTGNLMTKKR UREG_05603 MPHGNYSWLVTRSAAMRAVSQSGPGKRKREANAETAESTAGTTP TKTKRARTAGRGEAASDVNVTPTRKRKGTRSTPRKQGVDDDIEVVQEEKRLRPFRKKA PQTYLTKLARATTQRMFVVKRQREETTDGLEESVHIVGTTGNVYKVVIGKVLCNVLKV KDYLQYQLAFLSSELCDIFDNAPLSPVESASNDGQGKRKPIDGDCPICFMEFDAANDE VVWCKAACGNNIHKACFQQWVASQAGKEVRCVYCRSPWEGDKPDIQSLLETATVSEEG YLNVAGGMGLSQERGRHTSLYTPFLFFLLWLYIGIFS UREG_05604 MERRSSILAPSAASLPDTFRIPSTASSLLKSLGRLSRPSLLSLV SQWLDDRNAPACRPYLLHDARKRRKAAEGDEDDDANPYEPAASIDELRDIYASFLERK GGKREVVDRILEGDWRHGLTLRQLAMADIRYIEDHPASGHRWTALQLVPARSKNTSRR KDNDDGAESINPLPQFHGATFLKSIQTNISPLVKAHYYLSRSNSLPLTFVRIFIIDSP YQYPRQSAHVFTDASRLIYLAFPDSSPYIYSSLFSIPAVRSAATFSTSQSFLMTDTQT LRRIVMDAIPKALSRPQQRLSLKPTSLTTKSLHTLLTLRGPWRTNAANGAFTVFADAV VEQSPLDPRLSSSVPVHDFATSLKEDPASQKANMSQIPVSAKRGPQSELDQSDSAAKR KRQRTILTRFGTNSTGRQPLTTPPLTSPTPSIAATPKNPSIEKLEIRLLDPITPSSPT HKTGSSTEPTISLTFSGSDVISGFQQLAELGVVDVERIPTWMTGEEGGWEEGFASSGV EMEMHLEHGVIDRVGSGA UREG_05605 MSGYNGRRAPNFSQYLSDLNTVPSPYDQAVQEDREIFDVDAELA LFTNAEFLDFDSKGDMSGRVPVTALGEDQHNPESTSNQDVKYLDMLNVAPVQAAAYST VSQQPANHGNNVTAAPIQPVFNTSAPPQVQPRIQPTTSAGAKRKQDTSSLDDAARQAQ EEDKRRRNTAASARFRVKKKEREKNLERTVKDVTTKNAALEARISQLELENRWLKNLI TEKNGSALTDGDISGMFTKFQESKEGATVTQQQQGGQEVKAEATTAETRAS UREG_05606 MASSILKIPFRRSHPVSLSDALAQYISSKYDQHPDMFSEDLLII NRLRTDAINVQEPHVSGISRLVMYAAQLKWISGKFPIDVGADFSWYPAFGFNTTRPVS QNNIRYELANVLFNLAALYSQLAISLNTSDPSNLKVACKYFCQSAGVIAHLRMDILPD LRSSRPEDMDEMTLRSLEELMLAQAQECFWQKAIKDGLKDASIARLAAKVSDFYANSG DSAVKSDAISTEWIHHMTAKHHHFAAAAQYRQSLDCLEKRKYGEEVARLRDSLTCVNE SLKESKWINKIVLGDLNALKVRVSEDLKRAERDNDKIYLNLVPPKSELKLLERASMVA AKAPNEVTEALSLIGEGAPLGRPLFAKLVPYAVHIAASIYADRRDRLVDRTIGELESM TLRLRDLLQSLNLPGSLQALEKPLGLPPSLVSHAEEIRQQDGLNRLHRSLEDTSKLKA NDRAIYTEGVELLRAEKEEDERARSKYGTDRWSRSPSEQAAEKLYTSVREIDGYLASA HNSDNLIHKKLREFERVLRVLTGTNRDLEAYVPSSKKATVTPQVERESSRLRGSLNEV ARLENRRRRKIEALREKAKVDKIHSALVRETSRLEREHPMQTIEPSQFEDLFEEHLRL YDSDRDMLVEEQNNQTQIETQLREANKAFITARKGDSSTKEREKALQDLETGYIKYKE IISNIDGGRKFYNDLAKLVSRFREDCKKFVQQRRMEASQMETDITNVSAMASLNLSQP YAPIQFQSPPLNAPQPSSPSPFPAQQQEPSSPLTAPQPTRAPTQAQLRAFPPPQTPAP SGTGTMPAFPNIPSTVMAGMWTPERGIKFGAPTQMNLDSRISGPGFPANANAVGDSGD NERRPIPGTWDPTNGLRFS UREG_05607 MATSLWWTDTSKRNRFLKEYRTQVSSGASTIIATLISTPLENLK TRMQTHDFKGYGHCARYMWRTEGLRGYAAGALPPLASVTIVRVINFTVYQKVKYMVSD AIERTTGTSPLVYYNTPGSLPTLGTLATFTIGGMAAGLAAAPLACPFELAKNVVQTSV LMANRAQASGTILENSPLRDIKRLTTAQAIKQIISRHGISGLYTGVRLHALRDTIGTG MYFAIYETTKQLISTYHGDHGSPFAPMAAGALCGVIPWICTYGLDTRKTRAQSILLGK TNEINEATVAAARSSTYRGMTVLLFRTSVQNMILLSSFEYFKAQINALPVYDEQP UREG_05608 MRPSAGPGCALREGEQQVSGSDVDAALQKSAGFAGQDFVKVRAL AAALSSCWEVRRQSGKGQWARLGGSGLGVSIFLLCPGTAFQVSVHCIRKTVGFARNQQ AFDVWGGKPFDNDEWTGTGRLSQHTTHLY UREG_05609 MEALTSMQAATYLVPVLMKCLSTDHLLQLGGYWQRASFASNTVP MGSIAV UREG_05610 MGKRAASPAYVLGVGMTKFIKPRGKVDYTELGFEAGVKAMLDAH ITYDDVDQGVACYCYGDSTCGQRVFYQFGLTKIPIYNVNNNCSTGSTGLAMARTLVSH GAADCVLVVGFEKMNPGSLQSHFQDRANPTGQFGAMMAATRGITNAPGAAQMFGNAGR EYMEKNGAKAEDFAEIGRINHEHSKRNPYSQFTDEYSLEQIMKAPMIHYPLTKLQCCP TSDGGAAAVIVSQAFLDARPHLKEQAVQIAGQCLATDTPSVYDRSSISLMGFDMARYA CQTACKEAGVNVKDIKVCELHDCFSANEMTTIDALELSDPGKAHEMVRRGDITYGGKM VINPSGGLISKGHPLGATGIAQCAELTWHLRGWANNRLIKGTDAALQHNLGLGGAVVV TVYKRADGKVAEAVSNDEVGRKNGLGYNPAVEAKGFTVEQMNRVLSKEHRSDWAMSDT SEKVLARF UREG_05611 MAETRKRKRLSDSGKFESEPQPLQDTPNDEPAEKVAQSTSKKAV RRTLFVRSLPASATTESLTEYFSQSYPIKHATAVADPQTKQCKGYGFVTFTDVEDAQR ALEELNGSIFDGRKIVIEVAEPRRREIDEKVGKSVPSAEATKVKEEREQRRKDAQPPK LIVRNLPWSVKEPEQLAVLFRSYGKVKHADIPRKGTRHSGFGFIVMRGKKNAEKAIEG VNGKELDGRTLAVDWAVDKEVWQNLHQSTDDSEQKIAEDEAADNEGMGSGVEDGSEGS DEADEIVSDVDAAMEHVDISGSESEEDEEEEDEEDDEGEEDDRNASTIFIRNLPFGAT DETLGEHFAQFGAVRYARVVVDPETDRPRGTAFVCFWKEDDAKACIREAPKRTDQGPS QESKHKSAIAIKKSVLEDEQTDPSGKYTMDGRVLQVSQAVSRKEAGRLEAEGSSRRDA RDMDKRRLYLLSEGTIATNSPLYSKLSPSEVKMREASAKQRQKLIKSNPMLHISLTRL SVRNIPRHIDSKALKQLAREAVVGFAKDVKSGLRQPLSREELSRSADLMKEQETLRKI KGKGIVKQAKVIFEGREGSKISETAGAGRSRGYGFVEYSTHRSALMGLRWLNGHAVGA SGSSKVDPEEKKKRLIVEFAIENAQVVKRRQEAEVKARTQKEIKPQDKRTPFATTNAS GSKHGMKRKRTDRPSEMNGKRVKPSAPVPAPAGASKSEDQNHVAKRNRIIAKKRMMRK TRKGK UREG_05612 MDDNEFDDVPDFDSLLSSAEPQGAQSTPSAPAKPAIQPNKVQQP KPQVIPNRSARSAILVSSRQKGNPILNHVRLLPWEYADIPADYVLGATTCALFLSLKY HRLHPEYVYSRIKLLGAKYTLRILLIMVDVPNHEDSLKELSKTSIVNNLTLILCWSAA EAGHYLELFKSSENAPPTAIRSQQAQSYRDSLVEFITTPRSINKSDAASLISTFGSLQ AAINAQPDQISSVPGWGEKKVKQWTDAVKEDFRTRDAARRKTNAARNITVEKAKAQGR TADEEYLDGLFTEAMEQERLNTISSAAGRDTSKAFNTANSNQENERESLGAELGSQGL GDRKQGLDDRNAGIMAALAKLRKEEG UREG_05613 MRQYVHQRRLDGIARLESNKARVRGWTTTTTGSEVIREEELDSS CDSKASPSSESSVSSVNCGKSDPSLLPSAQGQEIGICKLSRGSPSSDPQAGPSSGVID PFDSYPLSLRQPDQNLIPHFITRYPLMMYKMGHAHQYNPIRAIFHRVAIHDPVPFQAM LAVAAKHMAGVQGQADTVQSLTHKMRALRLLNERLKSDPWGKQEGTIYTAASMAVIEK WSKADNVESLHIRGLIQLLRRRGGMRGMRAASPTSQFMEKVLYWVDFSCAPNAIVGAS LPWTGDTPDVSPCLPFPTPKIRSDASISFADQDTYDALQSCEDFFSFFRSLNDIQKSL LGSPSCETRLSKNILNKAPFFGEVSHLYDILTFLPDYDHGIRDIRYIDEYCCMACLLY LNLALYDYYLTSRDFTEYLQWINFEVKKLDPSTGTSITSILWMFLGNGGFPGGEISDD GERNWIVSRMLRLAKRLKGTASKDLWGCLRSTLLEFLIIHRDCGIGNDSVSGAELAAR ARWRHTQPAILQEEARLRHAMTEQLYANLSNPSAVKSSSLAIPGD UREG_05614 MDTGEKEDDISEQPVLGSRAIKCDRASPCSNCRIAKSICKTSPR VKEQRQRVLISHQYEKKIETIDERLTNIERLLKDLALSIPGRQTLADSQTASCNSQQT DSPRIRSAIQEPNVMTPSAHFEGESAFSAHSVLASAIFEQTMGSSPNIEQNPAMIQAL SSLRDIVKGQIRPSGIHTLRFRGQTPRRDLDLSQLELPPLDTVLSMLRLSKDHYPILF LTLPIVDLPYFTQLCKDVYFCMDEYSSAQFATVNCILSYLFKEYYYYGGDDQPKDKFK EYSELCASNFETVISSFDLLVEPSYDIILALALGAFHATEVSKISLCWNFTAIAARMC QSLGYHRTARKDDAGASSENATMEKSVFWFVYLMDKSMSLCLGRTSSLQDYDIAAEFP ELPADPGLRPWRTLYRNWTDYSKIAGRIYELLFSSRALADTAAVRLHKAQQLEEEIKS WRNEILQFDPGEAYHAFFFQWMLPGADLSYYMLLTLVYRAVPPSQPPETPSGLSSKTL LQCPFVPFLVTFCHTISSGDMDDLRLLEEVATSLQAAGGLSEGAERLYRLCMVFYQVA KVYVDAKLQEAENANETSAYQPATYPGEEFDSYLNALGLGPSLAPYMPRGVTGAGNNN SEGTMPDFFDVDMAQSLEDWYLGNRHMMGLLESDL UREG_05615 MNALWGSTLPEGLSIVDKYRILGRPQRKLIPYNTWKPGELVAPI KVPISFCSEKFYLADFGTALQIGSLAVSDAPIVIPPQEYCSPERLHGATPHYSCDMWS YMCVFSDLYFGGPLFRCFNDVVACLGPVSADLKGKYLWPKFSEDRWYDQNTTATGLED RLQLHQPKVSSTEMKLLLSVLKRGFALEPKHRLTADQLLQNPDFNTLINLYCG UREG_05616 MASLLIIVFLSHVVTYLINTIGATTVDNLLWLLYLKLPNNTSRT AVEQRRLKGEVVQLKREMKSTSSQDEFAKWAKLRRRHDKAMEEYEAKNKALGKHKGSF DLTVKSVRFFSTTGLKFFLQFWYSKTPMFELPRGWVPWQVEWVLSFPRAPLGTVSIQV WSGVCTTVVSLAGDALGVVIQSLILKMTKRGVARTSEGRPSQPMALKKEL UREG_05617 MDISSPERASGSPFLSESTRVASEIILLFDSEHLTACNWRKRRL FALKDSWSPDHFAQSLGEEFSFTTTLLRSPLHRHAKSPTLWYHRYWVMTEALRLDTRH MQSVLLAPHATPSEQANMDDLRISEALLQGQLAVGLKAGEQHPMNYYAFNYLREVFAL LECRFKGDSKMPSRAESSVEGFKALARAQLEGVHRWCLGHPRDISGWTFLVYLLEMMD DALVQTATVEKTVEYALTVGWEEEALWTFVTLSLSRFGIDSETISSADYNITEQGGCR FVQPTKTSSRWANIAAMAKTRSNKDRGERPS UREG_05618 MARGNQRDKAREKTQKELASQKKKNTMSGNEFARTKEAQAAIMR AKQEAGVQSNYP UREG_05619 MSPDMTSSDSNVFVLEDEALTRDMSKDPKTDQEIKLTTTILIVP TIITTIPEEMTILQNANPKDSWLVASLLRFPRVDTPMTIMLRLKYPRNTFSSETMRKT RTSEYGQTRTVTLSALTTDAGNHHMCYGVEEKEFADNERFNQHNSTSSDYGEKSNDVH GPDNIQDHVPWTSQG UREG_05620 MTLFDTIKSLTIFFAPILIPRAISLYRSVRQSIAQQRHVPPKPL PPKSSRALNILFLSTALFLILSLPINPHAPAPNIFALTSSRFSTPTDLIFKRLARLRP LTDTETLLRAQFVLPQARKIYLRFGPETLLSCPFCSPANPNSYLIYYIPFNILLPHLF HLLVIGIVTSAPVVGTATARWRSMFFWTAFILFLAELSFVAAYDPYATGALNMVAIPT SFYTRLYTVRTLVFTVFDGLCAALIYLSGTNRFFLGAMAPSPSADEQIDEFAEAVGTS LASAIGKLHALGLVKNAIMRDPVLKGKDDARWAEIIARSGGMVGEDGTSILDDEEVAQ AVSRVTKRAQNAGHGGNEAGLSKEGLDKATKFIEGVTAGLELES UREG_05621 MKEEPARELSSFNGHQAKVDFKSATSELSQDRDRDELLRLGKKQ VLRRNFAFMSILGFSCTVLITWEGALILFVTGLQNGGYAGLIYGYIFVWIGNFAVFAS LSELVSMAPTSGGQYHWVAMLAPPRWSKFLSYMTGWVTVLGWLASIASTCSLTSGLLQ GLIALTQPNYAPANWHGTLLFWAVLVFIVFINTVVSKLLPKIEGFILILHILGYFAVL IPLVKLSSKVDPELVFKTFNNEGNWSSQGLSFLVGLTGNAFAFLGLDGAYHMSEEIQR PSVIVPRSIMLTLVINGCLGFSMIIAVLFCTSDLGAALDSPTGFPFMEIFRQATRNTG GAAAMASIIFVLAMCANVGFLASASRMLWSFARDRGVPGWRILSRVEKRTMVPVWSIA TITFISVLLSLISIGSLTAFNIVVSLTVAALYISYLLAIALLLYRRLAGGISYASDSS NMLANTAGSRLVWGPWRFGKFGPFINVFACCYLLVILVFSFFPAATPVENAIDMNYSS VLIVGVMLFSLVYYFAYARRTYEGPLVEVEAS UREG_05622 MAQTLITDVDKSALVDIFVWIFLVTAILAVIAQTSTKVVIRRLL TAEDYLILVSLIFAIGQSIAVGIQNQNGWGNDSMDRRICFRGWLPMQFAPALGLCQQR VYKKVVLMLVITSQIQTSTRRRITIASVFAARLLVVTAVAFQLYFLNRVQTTRNATFD YWPMSICNQAVLSLAVVTACIPFLKPFMDSLESGLLRADDQYRRSGKGTYRYNLSGSK SSGRRAGRKETDEFNELGVLSSKRSRAYNGHGSQVESGVADWESNSQSSHSRIIKETR TFAVDVELYTLLSFRLTSSRAVSLCTRFRKKVVKKKVVKKPFGISRRCKGTEGPIEPA ISESLHLDFAQPKISGEVDLSRTGSGCSSKDIHMHNTKGYRQGLSRATLFFIPRTPSS EDSHAAFRPWGRNPGPNLAAVSSQTLAGSTPRAPLPWRGLSLQSPPHRQKSKAAQANR AFSREGIAYEHEPGRQAWICLIRKTGAQHLAALNIPTLVPVIVRKTKRSSVIYLATIV QKKTTLEPD UREG_05623 MAKPLRSHRNSGTEVETRVSAPERKSIRKDASLREWLLSNQIGI SLTILTMIFAVHNLYPSLKPYTVPFLSLPHYQPENGLYVQGSDDVYFISSSVVALVAI RAILIDWIFQPLARYMGMKPKTSLRFAEQGWLLVYYTVFWSYGLYIWTQSKYWMDFRE IWTDWPSREVPGYFKLYCLLQLSFCLQQIFVINVEERRKDYYQMLTHHIVTSTLLGGA YVYSFYNVANVVLSIMDIVDILLPAAKMLKYAAFEQLCTIAFAVFLGTWFISRHVIYN LLWWSIYQNVPEVMPFGCYSGATGQRLVDVMPNSWGSLLYPFRDIKGPICMSFRIKWV FLSFLFFLQVLSLLWFGMILRVAINVLRAGSSAEDTRSDDEGEEPSENGNAPNGQEYH NENGWSKSVMANGSSQNHYPVRIRTARGRVTLSDHNDRKALLGRIGCDKPS UREG_05624 MAKSDEEGLAQAFIDLANAEKQAMALERHLSDVERKIESLLAVM EPSQEVGDSGIVAAVDMQLNGGDTETGTGPGKSTGSDRNSK UREG_05625 MSEVASHVCDRSNLMFAEEKLTLYSSAAASQDSISAESSHISAC VKGLSFLLLFRLPMVHALMFSCEVQGGGEQQMELPAASSSSAVETDPPSKKSKRASAK PTKPTKPLKVPVEAQPKTAPKDKKPKGVTNGETPKPLKLDSAPAREIKPRKRAADFLS DDEDSTPKDRESVAKGSKQATTEPVKPAKKKAKEDVTPNSENDSSKHSEVKETKEPAK KPKKQTEAPVEEEDESEDEQILDLIKGFESSGDEDASEDEGFEPGQNVPQIPDSKQVK RKMKKAKKNHTDEPEEPGTVYVGRIPHGFYEHEMRAYFSQFGEIKYLRLARNRTTGRS RHHGFIQFASESVAKIVADTMNNYLMFGHILKCRVMENPHPQIWKGANRRFKKVPWNQ IEKKQLEAPRTRAQWSKKIGKEESKRLAKVEKLKAIGYELDLPTLTSVDDIPVQKTLA EAEQNAIDNDTTEAPKAIEAPAKGMEKPSKQKGPKDLSTKSTKGKRSANTDDALKPEK TKESTSTSTKTKMIDSKDSQAEAKGNKKDKKPKTKTSVAVAEDIEKLPQAAGPKVKGS TATERKSKDPKVKEAKGKPEKVESGEKPKKKKKSKA UREG_05626 MTRLLPLLSLVAAAVASSHEGMGGEGMVGGGSSLPFNLPTGNES QLSAGGQVGGEVQLGGGGQQGQHGSEIQLGGGQHLQPGHSGNGGKGIVRGGGGSNVIT VTIITTNNGGGANTEVWNKPPMQQGTIHQVTVGGDAGLVFTPETLNPAIGDMIHFNFQ SQNHTVTQSTFDSPCALMPGGLDSGFMPNPNNTVNPPPTMMFQVTTTEPIWMYCKQGP HCVRGMVFSLNPTAEKSHEEFKRKAMESGGEGGAAPPPPPPEAPPVEPPVIGETPTSS VEIPIGTGVPGIGEIPIGGEGGQGNQGGQVVPGSGNMGDGACSCSCLCGASEFPPGAG LGSTGGFGGSIPMAPARKRAVLFWY UREG_05627 MSSSEFDVYQSPLNTRYCSTEMKHLFSARKRFSTWRKLWTWLAE AEQELGINISNEALEQLRAHQTITDQELADAAVEEKRRRHDVMAHVHVYGQTCPAAAP IIHLGATSCYVTDNADFIVSTQLTQISLTLSLGQPAQLTTVGKRMCLFITDLLMDLEN FEHAKKSLLSWFRGCKGTTGTQASFLQIFQGQHELVERLDQLVTEKAGFHKAFTITSQ TYTRKVDTNIINYLGEFGATCERIGGDIRRLAAMKEMEEPFEKDQIGSSAMAYKRNPM RSERLCSLGRHLQCLTQNGLTNYAAQWFERSLDDSANRRITLPEAFLTADACLILLDN ISNGLVVYEKVIASRVAAELPFMATENIIMALARHDVSRQESHEEIRVLSHQAAAVVK NEGGQNDLIERIKRTPFFAPILDELDGLLNPSSFTGRAPQQVEKFITEEVDKALEPYK IDVRCIPFQS UREG_05628 MDTKTKEQYLADSPPTVVRLEIKPHFEALPDEKLKRYAHFISRA AFLGTRITLRQVSPESEPIYDLIISLYRACNGNWKSLGEKTGVSQEEVTHFLEYAAQF LGNCGNYKGFGDSKFIPRIPENIFKQLASITQATKDAFETASKSGGEDGHMTTYYPDS PTITKEEITLVGDFLEKKKLLLENTRLRKTKNGDFELLIASAQKNPAGSDRDVGDVNG WPLDGKLQGKHLSLIYGDYAEQMAKIEESSKQASLDAANEIQKKMYDEYAKSFRTGSL EAFKESQRFWIKDKGPMVESDLGFVETYRDPHGVRGEWEGFAAMVNQDRTKAFGKLVA KAESFIPKLPWGKDFEKDKFHSPDFTSLEVLTFAGSGIPAGINIPNYDDIRQNLGFKN VSLGNVLSAKAPNEPIPFIREQDLELFRKYRDPAFEVQVGIHELLGHGTGKLLQETAP GEYNFDISNPPLSPITNKPISTWYKPGQTWSSVFGSIASSYEECRAECVAMALGCDFG ILELFGFGNGKEDLNGEAGDVLYASYLTMARAGVVALEFWDPKSQKWGQAHMQARFSI LRTFLDAGGDFVQLKHSQADLSDLEIHLDRSKILTHGRPAVEKYLQKLHVYKSTADFG EGKKLYDGITAVDEWWGQKVRPVVLQKKVPRKVFVQANTVLEGDKVMLKEYEPTLEGM IQSYAERDV UREG_05629 MFVTEPLTASLAGVLREKDDQERASGVGGRSSRFVVEDPNGSRS RRDIDMDELEIQKGLLQIGKGLEFLHESAGLVHGNLTPDAIYINSKSDWKISGLAFAG PTDPQTTSPLPPLALSEILYYDNQLPYSVQLDLDYSSPDFVLDSNVSAAADLFSLGLI IIALYNSPHTSPIQSHHTINTYKKLLSSSSTIPSQSNSFQCSRPIPRSLLTEVLPRLI TRRPAQRMNAREFQQAQYFDNVLVSTIRFLESFPAKTPNEKSQFMRGLERVLPEFPSS VLEKKVLPALLDEAKDRELLPLILQNVFKIINKLPSGRRVVPDRVIPQLKSLLASPGT KGGAADRDTGKEAGLMVVLENIKLLADNCSGKDFKEDVWPLIHLGLESPTHTLVDASL RCLPAMLPVLDFSTVKDEVFPPIALVFSKTSSLTIKIRGLEAFVILCGGATDSMASTD DFSGNVSESRSPSSGSRSILDKFTIQEKVVPLLKAIKTKEPAVMMAALKVFREIGQIV DTDFLALEVLPIMWMFSLGPLLNVQQFSGYMGLIKSLSSRIEREQTKKLQELSSNNAD NSRDNTSSPFGMPASLDTNGLGSPGADFERLVLGKNRSVKAEDSLDNWGLSPSPSAQS TTKAFAATYEFYSLSAINNGPSTADSSASEFNVHDTSSSRIHFHGPQTRLAIDTSSPQ AWGIE UREG_05630 MPRRLLIGSRLIIQRAETCPSQSGAAVKRSLSLANRSQCVFFES SSVAGGQIGHLSTPKSFPLRFHLFCRSLCVRAVARFAPSHSLLNMKHLLRLGRTSTRS FPGCPSHSPFLRYSLNATWQRCYSAWQPPSRSEGLPDIDASKLTVTKTANSKQLLPPK ELIFGSTFTDHMLQVNWNTKDGWLAPEIIPYQNLSLDPATCVFHYAFECFEGMKAYKG KDGSIRLFRPDKNMARLNKSSKRIALPTFDGNTMIKLIGELVKLDSRFIPQPTMIGTQ KTLGVSPPGSAMLFVIASPVGPYYPTGFKAVSLEATDYAVRAWPGGVGDKKLGANYAP CIVPQLEASTRGFQQNLWLFGEEQYLTEVGTMNLFIALKNKETGQNELITPPLDGTIL EGVTRDSVLTLGRERLSPKGWKVHERKITMAEIAQAADEGRLIEVFGAGTAAIVSPVR NISWKGRLVDCGLKKDEEAGKVALDMKNWIEGIQYGEEDHPWRFRFALGGVNYRPR UREG_05631 MRRRTEYSTSPQPNPYPRISGSSAFSDNALPDEDWTQITDLTER RRIQNRIAQRNYRKKLKQRMENLDKEERDGSGGARVSSRPSSETPPSQDRVAAGKSQH SLHMLDMTSKELAARNQENEMYSYDYQQPYLSSSTPEMPSSTLPYSESYGYAQYPAQP SYYSATTAYEPLLLSQGHIHNTTASHQIEAVGNRVFMADVGNFLESNNTNYTEMGIQA TLPVHQQLQSPMSEPYCYGYYDPNGYSDPDPFKQYNQRNYHQG UREG_05632 MTSSRAEEQFHTKSKAAKRLLSAICLTNPSISGSIISKKRNRSR GRDSDGSLPCDTEQEASNAGLQEVAKARDGWRVGTIVVVREAHVQTPTRDEAQETTRA RATRGWGLSRRVIYDSPSHCWLPFQLSQAGRHQGLD UREG_05633 MSISSGVTESWITAFCSLMGHEYFAEISEEFIEDDFNLTGLQSQ VPKFKEALEMILDVEPEDEYDSEDDLLDDIRDTRPSEQRHVRVASDLSAIETSAELLY GLIHQRYITSRQGIQQMLEKYERQDFGVCPRVFCNGCRVLPVGRTDTPGLDTVKLYCP SCQDLYTPPNSRFQTVDGAFFGTTFGCLFFMTFPELDVSGSPDGPVTSLPSAGSGKTS TSGQPVQINGVMTDNLAPGLGQGKIYEPKIYGFKVSERAKSGPRMKWLRMKPDNINEL DESAIYHASQRDSGDGDGDAEMEAVDAQQAAINRRKKAPIRKRRVGASANVDPMNTNG IGGAG UREG_05634 MPQIAAVVISRIPSALASFLSRFTIDNLTCYFLAGMYQYSREAS RSISGQPTVYESQNAPPTKPRSKQPRFHGPTSTAFNFDVAKSSLQTMGIAPVDDATQE LFTTQDATPAQTPPPKSASYPQLLAHPSKDPLWVIKREEAIRLCRNYEEEIGLMYPIL DIEKLIAQTNLLFTFLEAADRTGFTKRFKPGTDCLSDDDTSILKMVLAITLVLESGGE SPLGSRFYNSVKHNVEANIWEPGDIKAIKLLALVATYHFHTDEDALAYRLIGIAGRMC VEIGLHRRDAVLKAFTTEEEFTAVNKLFWSIYCLDRRWSIGTGLPFTIQDEDIDPYLP EPDDSAPYLRSMVAYCRLSSKIWYSGVGSEGTVTIKRDKIEFLDYQVLQWMKHVPEGL RFYSVEPSPNSEPVSRAIKRLRLVLHIRGNHLRILIYRPVLHSATSIMENMPYAHTVV DIAKDTIRVLTRLNQTTDIYRSQQMLFNYFLVAALAVLFLAVSHAPVEFNRQVRDEFY MALDLVKGFSTKSYVSKRLWKTIKGLREIGEKLGLFPRSLGTEPNDPHSTAAVAMAGL AGHPIEVLSATYSGVNPNGELGNSPVNGLQMSNELTNLFEAMGAYGGYLHTGGPEGIN GEFVNHEGELQHITEGLPAGLANEGEFSRIVRDLF UREG_05635 MGPVCGGTTSTVNGGLGGLENGAVLWDSLQLFFWEGDFGFLGNQ TPLGLFGNNIEFASSAGELQRRRSCNCSRDEEAPLLACEITPIYRAQYAGRQQPRGFR DLPAISRWFAPSSVPGGEHCSRRCLNYDYLEPYGNCHVPLELTTIAPTGNPKPEESFK RFHAPLSLFLDWTRSLGNSSTESPSGNAERPVAPNVRLYLAQCQLLDLAAPLRDDFPV PSYVANAGRGDIYDTNVWIGIAPTYTPLHKDPNPNIFVQLAGTKHVRLLPPDAGLAIF SAVREQIGRSGGAQNAAFRGEDMMRGLEKDLLDQAIWGPPGSKDSEAPIRCDKE UREG_05636 MAEMVTYLPISSPFIRFAGRYVDEAFGFAAGYNFFIFEAAMVPF EIVACNFIIHYWSDVVPAAGIIAIVIVIYGIVNFFAVQWYGESEFWLALGKALLIIGL IMYTFITMLGGNPIGDRFGFRFWREPGSFAEYYKTGDLGRFMGFMQCLIQAGFTIAGP DYVSMAAGEAENPRESMPRAYNAVFYRLSSFFILGSLAVGINVPYNDQTMKDAFFEDL PGAAASPYVISMARLKIPVLPHIVNAMVLMAAFSAGNSYVYCASRSLYGLALEGKAPR IFTRCTKSGVPMYSVGLVLLIALLSFLQVSNSAAVVLQWFVSLVTASQLINFSVMAFT YIRFKKACDAQGLRRDSLPFKSPWQPFLAWYALLGCAIMAVVSGYTVFLPGNWKVPTF LFSYLMIFIFPMLFIGYKIIKRTQFLRPTEVDLVKDLDEIEEYTRHFVPQPPRNTMEK ILDKLF UREG_05637 MARAGDAASLPHNALSSAVKSIPTASCSSDLEKRPASSYGSRRT SGDASVAELKPAADHTHRKLKPRHIQLIGIGGTIGTALFVQIGKGLMYGGPASLFIAF TLWYVLPFSRY UREG_05638 MLPPSDSIGHQNLKLAEFLAGLQNQASLPAPPPPYAAVQTHDDS ADFDEEEDDGIPLPPLVLKIDTSITIDGQANTVAIPASGASSEEGQAIPSEPQYMQQL QQQRQAKSVQIASSVMAALKACGILDDRETGRSRPVEINIDAGIHIKGAKNVVCSGLK RRPEAASFQAPALGRPQGSERKRRAQSEPSEPPSRKRLSA UREG_05639 MSFPFASVTSYSPRLRQYANALLTPILPATPASAVRTTKRGTAA INYAENDFDDEELDDNSDSTRRPTGLRSLKREELQPDRGPTGEKLGTEIFAPANVQPN FREWVIRRRPKSMREIQITGHGLLPLNLVPIRIDLEVPAHQPLEPFPLPRNYLEIGIN PGAPAYRKPEAAPPYRVKDFILWNLHEPFLTPEEFAIIFVRELDLPNLPVMVTAVCNQ IRQQLEEYAGVAMHPIFQKAPATEKSNNTPTQAQQVADTPTSEQRSSAIPAHRPDSNQ KKWISQQISPDDGIFSTDDAYRCIVILDITLQNKLYTDRFEWSLLHDQGLADQFARKT CADLGLGPEWVNVISHGICEVVLRLKKDACESGGLVGIGGDGAEIDNQAAHAREAGWR YDPDGLGDEWEPRIQILSKEDIEKREGDRERQIRRLRRETARFSSTAGMSLELTRQSS GGYFDLPDPDTPLGRGERNKRRRRARSNSPTTGTAGGRGTPDLGSIAGYGGGGGTLTD GERQSWRCMHCAWPGHATWAARDGPEGPKVLCQNCGVEYERDGRLPTWSKSLFAPRRI LP UREG_05640 MAPTRRSRRIQGKQQAAQPTTKRLTAVGKRRARIAAEEQPDSSR SGKRKRTENEPEPEFMHLETTVTTPSLATPHSGRSSSRTQLRLLEFAKPPITCVPFGV QNRPESVTSLFRDFILRRETPIPPWMRCVCGISTRALCNGLICGLKPRERLESIYPDE ILLPEPKSTSGTPAPTLQDIKRFDMMTATYKHVNTARVEYADESTWLKAVRSALSGIE EPVSSEGDLSLFGVAEVQSVGIGPASLMPTVDHEIPFKKVDFLVLFSKENEEVGSITN AAIKTQRGLLLSQTEDPFIGYHTQFVALEAKTPEGGYYTSSMQLVTWMAAGLEKVRLL KQLADQVSNKQGRPEILPCIGISVVGHIWNLIIGMKADNGDVVGHPFLALLDQLLQSV TESILDRQSMGRSAWEIRYRFAEFSKSSASSTK UREG_05641 MHKPLAPELSFSSSSNPPSPRPPPFSSLYLVPESELSQAKSTVT EASAPCIPIFAPGPALGETSTCSSPAATSSVVADTKAALSRDNKGESSAKSVDDAEPP PPYTEGSSPLLSFTYVMAAAGGAASIITQVQTGGPPLNILGELAVIGIDIGGDEPITL DLRGTRFTLSRDELLTLPEFVLLSLFPNGLLPDSHMAGFQEGDVYSIDYDPISLQYML DFFRSVAQSIPSSSPSPTTSPEGEQIDSMHSGTRDMLQDRAGIIVLREDLDFYVIPPH AGIDHPEMMEIKRAAGKALLRQDGIFSGLRKSDEAGTTEQHLIEMLTAGGFNHDDRWG HRAGEPNKAVICSLGLAKLRTDIRGDITGNNAVGMAQKLLLFWRKPARRCWWEGVELE GIEGVEGKLKVWIRRVWTLEMSVIGLR UREG_05642 MADALCGPSNPLQNFQKHAATDRTLQQDRILSRHSPAQGFRSHS PNEGVLDSEFQSFEAGFSGPALSDAHNPPVFQQAGSHLSPGNYTGASSGWAPDFQNLH ISPPSASTVQHRLQAQAPTHGPVSSGWHNEFMNHSQSIQPSSMPQKQMMHSPLMNRQF QPMSNFSSQMDSSQMLQPQNSQATEVFDESAFEAAFAEARAEVESQESKLQQVDDEPM DNVAPPLETIRIGSDTILATQEGVDEAEELAKTAGQLLESVSHDQSQKFKESNFLALM RQLRDREVTVEGDEFRQAVQPLHPGGPYYPEQRKLSHKFDVEDKQTTLFHHDDPILII QGQVLRTSATPPKLTACPTSHAEDLTAHGSQTLSITELAIPYLVSPSAV UREG_05643 MVSWAPHEIGCLLACASSDGHVSVLEFRDNSWTHQIFHAHGMGV NSVSWAPAAAPGSIISATANTGQIRRFVTGGSDNMVKIWDYNPETKAYVTSNVLEGHT DWVRDVSWSPSILSKSYIASASQDKTVRIWTSDPSNPNEWASHQLHFDAVVWRVSWSL SGNILAISGGDNKVSLWKENLKGEWEKVKDIEE UREG_05644 MSLSLIPPHLRMPAENRGTLQGLPSEMIQKIACFLPTDRDVGNL MKTCKRFTEVLTPPSSGIWRSMFLSEYDPYPPDKTSIEIKWEYQFRRIIFRKPITFEN GEESFKYFGEGDVKRGRTSLNVEVLTKLLEDSEFFHRPIVGYNTAVPAKPTLRLERPF RALREDYDIAIAYGISSEGRLSLFNGGHIDLEQLLHVRNFWKRHLTSSEEYSFRPSYK TAAQPKAWDVAFGERLEFATSWTGYYSCIHPYTGIENLLERQTCADFGTHMERIPHVF FKIDSDPILPAMRQLLEHAFPSAPSAFDREWFSATWWAEEEEDYLYSILGYCESLPGT HGGSPGWRRACFMQYEWCTIGYEIFEDLADFYLLDPDQWKNYFEWVTGYEAVMLPGGQ IMIGRWYNMQSDPVWEGGPLIFWRAP UREG_05645 MEHVSTLCAAGTVGIAATGFALGRPSPTKQRPLTARRRQSLIAR SYHSPSNSVFESRPAIVAHGVSSSPSQQQPFSQNQTGHLSLDECVHPQSNNLTHQPSD RTGLRPRRRTYVGPGINITEGKGTDEVLPSPTTTGRPSSSWIRRLSTITSSLNGSSVS GSRPQSPSVNSSTTPFFPSQFPVPSPNKLVKRSMSSHGLQPHSPHNPAPASLHLLRRP ATSHQRSAHMRHRSSTDSRVGLIPPQTLPDCPVQGENSTPNLHHNGSWRPYFSKSRHT NLLDSHSKRRATASKHRTRSPHRHIVQSNVPPTLLLASAITPERKPNPTPNLDQRKIH KSHYSWDPVGPGASPSANQSTSEIEPLKTFPSMQETSHEEFASPWTMPRNMAPRSSFT QTNVYLEISPVDMSERSKTEKLNGSSMRMRRRGNITDPDIFRRPSTSSHAELSASRFN RVGATTEEFIPKARLRHLPHFTNLRCEALNLAAAAPNSPGFDSKPSSKGSSTPSSPTS TGHPAENRSNQRHSSAASDPASTLVGSDNDIKVFSSGEEDDTDFQSDIAFDSFPTRAA SSSKSSRRGPRIETIFDQPSSTSTMTTKFVSLANENCSSKADTTTNHSTGVSQTLKTY SGSNSALQYAEKHSLSAQTGLLDKPCDYDTGLFLHSQRLRRTTKLPNNDTSQSDDSPL DHQHTVYPEPLSANLRVTSNISRISTHRPHSSITLNENSEKSSRLCLYDWSEQQKVKK DDQGSGTRPRTSHIEQFLDLRGGRTAIRRTSSSLHLRSQSVPLSQHPVTPTEPNGAKK FATWGLGNKGASEDWDGDFDFGDNDRLCQTNPLQERQDNLQESRTVKVPKAIMERQES VYGQFSHVQELTVLVEELKLLRARARAMHITEGPSSQLWKEAHGIISLATFEEGEDYE HELRIKRSTSSLTFSLEDFEAELESTKPMGLFDSVAVADDQIDFPGTEYPSRNERQSH RPRTDSSTKAKYVLDTIHRERKLRDNGYARTGADGNQKLAFDTQSLRDLVIRAGVVTR ALKDVVRKAEGVCELSEIDTPVPDPPFSKIFNQPQGDLSTLSIHA UREG_05646 MESEIQAQIPGIDHVISEYSVGYLTHASKAYVEDVESSAQSPLS EAAETVTSLLLSASGNFTPENEIAIRNLVEKFISSLSAANGVDAERRQMPFAAKKLDQ TIHVGSQRNISSTLGLTGVSVDLEAAASRKVESRVDKKKLEKAERKIRAKQDKKTMKN VEYEASKLLNEPDSTQSYEEFFMAVNPLQLGSDAQSKSKDIKVDGIDVSISGTRILTD TSLTLAYGRRYGLVGQNGIGKSTLLRALSRREVAIPTHISILHVEQEIRGDDTPALQA VLDADVWRKRLLADQDKIVAQLATIDTERSTMADTSKDAIKLDQEREALDTTLADIHA KLAEMESDKAEPRAASILAGLGFSPERQQYATKTFSGGWRMRLALARALFCEPDLLLL DVLVVSHDRAFLNEVATDIIHQHSERLDYYRGANFDSFYATKEERKKNAKREYENQMA QRAHLQGIFIRVTKLVGNHLLILPLLAFIDKFRYNAAKAAEAQSRIKKLERMPVLAPP ESEYVVHFKFPDVEKLSPPIVQMTEVSFGYTKDTTLLKNVDLDVQLDSRIGIVGPNGA GKTTVLKLLTGQLEPSSGLISQHPRLRIGFFAQHHVDALDMNTSAVGFMAKNYPGKTD EEYRRHLGAFGITGMTGLQKLEFLSGGQKSRVAFACISLTNPHILVLDEPSNHLDIEA MDALSEALQNFQGGVLIVSHDVTLLQNVCTSLWVCDKGSVEKFSGDVNAYKKRISEQA NAAGVAAAH UREG_05647 MNLSIANSSSVALLRNRRNNKSRMQILHSQSIAAARTENADENN RGLELEEMQAVDDDHTYNPGAPLLPHNRTAGSGSRNLSGGEEARSGTGPVAEESINGV SATWFIWALTLSAGLSGLLFGYEYDATFFYFLCTGVISSTLVSIKTDLSHRELTTLDK SLITSCTSLFALVASPIAGALGDKLGRKPVILIADALFVVGALWQAATSEVSGMIVGR SLVGLAVGTASLITPLYIAELSPSAIRGRLVTVLALFITGGQVVAYVVGWLLSTAPSG WRWMVGVGAFPALVQLAILVFLPETPRWLMKMGKDVKARRVLSKVYGDTDSVKQAVDQ IIHGIETEINEDGPISTHVRDATSGSSLPQWLIPISQNWTSLFRVPANRRALTIACML QGLQQLCGFNSLMYFSATIFDILSFASPTLTSLSVAVTNFLFTLLAFYLIDRIGRRRI LLYSVPVMAFALILCAAVFPSMANLPAPSPDQGSPSGINHKAATQSRLDPILILISLT IYTASYASGLGNVPWQQSELFPLQVRSLGSALATATNWASNFIVGLTFLPLMELISPG WTFLIYAGVCVVGWLTVWGIYPEMSGLGLEDVGGLLADGWGVGKSLERFAKRRGDIPG G UREG_05648 MDIVSRDIEKAELDAGQGHLHPPFEQELSQQLSRSSISSSRTSS SVASSLGGADVFRAPTYRDLERHPTALSRIATQRSQHSATVGAGVRSRVPKGPLPPFG AGKPYPPPLPEKEEYVVEFDGPNDPLHPQNWSMKRKVATAVMLAWTTIISSFTSSIFS TATGDIARIFGVSTEVGILGLSLFVLGYATGPIIWAPLSELKGRRLPIVVGMFGFTVF QFGVAAAKDLQTVMLCRFFGGFFGACPIAVVAAIFSDMFDNRLRGLAITPFSMTVFTG PLLAPFIGGFIVESHLGWRWTAYLPGILGASALLLDYFFLAETYPPVVLIQKAAELRR RTKNWGIHAKQEEIEVDFTELVEKNFSRPLKILFTEPIVLLLSIYTAFIYGLLYLFLT AYPIVFQQIHGFSKGIGGLPYFGMIVGQLIGGMSIILSQPWYARKLAANNNVTVPEWR LSSCHCWRDRICSRCGFCYSCQRSPSISCRSRISALLTFNGMGVNWAGTLLGCIAVVL APIPFIFYMYGHRIRARSKFTPQFASEEELEYQQ UREG_05649 MARHAFQPASGLVPRALAIPLDRSILLTSLDHPSHVKRSPAATA SSSAAATTSCGPNDTTGICTRPVSSTTTMTLPIVLGAAIPITCAIIAFFFLHRRHVKK LRLEDANDKHKSLDFGLDFVPSGSNNNKRGNGGNGGLMGEKSTRQRAHGVSLDLTMGN PYLLPPVSMGSHESIHSLSKSLHGGDDKYRHAAAFPSSENRFRHSVLQPTNPLASEPR SPLSPPGRNELTKLKQQLDKEQSVLRKSNNYLGALIQRGDAPSPEQEPKSTPPPPAPI TRDESSSEEKVIIDPPKAHDPAGKSDLNNASASPRISLPLDDDKSDYGDASRQPKEPV PQLNIEDSETPPPNGGDKPPSPSHDIYDDYYDAGYQVDTRRLTVGVRPLPPEDPSDNP EQRANRIRSFYKEYFDETKPQEDYYEDYVPETTGYGAYDPYGDQGYYQRPPPFMQPEG RRAMTPPPRMPQIPAQYRRPGPGYGPPSNGSRSGTPSFSSGPRAFSSASNRMPVRGPR KPQPPPSPLHVLPTPHKLKDDSITPIEFAPGTTSRERRAGRPETPHGGLKPYMLTVPA HVPLASSYDDLAILPSPHALRKSGAFTALDFVPPSRFKNSDFSSDAGSIRSNRTGISA AQAYSIRTGAYRLSRLPPQTVGTKDDIISNLKPTWDMKT UREG_05650 MADPAPQDPPAAPTTPQPPASSPQQPPSPPPQSPPQPPPQSPSP PPPPPPPPSSQPPAETPTPPPEPSTPPPGPPTTNNPTPPSPPRPTPEPPSPSQPPPTQ QPQPPVSGTVIVTITSTGPPLPDNPNRPTPPPNNPISSPSSAVSTLPTSTPQASGGGL SAAGTIAVAVVVPVVSVALIIIALLFWWRKRKARKLAEEERKQEIEEYRFNPNNDPTL PAIGLAGTYSDASGPKDGSSGYRGWGATSSSRKYSGTPNMPISDTGSSQPFRPVSPIE DGAYYPENGHRPDTADSETISALPPAAGNRGDIHRGPSNASSGYSVANHSDISDDLSP PGAPPGTQYYEENPYYSDMMPQQGPYGDNAYNGAQPVIRDVQARRNTRIESPSVFPQQ GNAGISQNF UREG_05651 MLSIQFDWRRAQVAFPLPAPLFTWTDLGRNSISQEVRKAYPAAP ARPPRLIKQLSATPTIPAQGASVPPTSEILDPQAALRANKEVFFFRLFSLRLKTLLDK QRVVQSKRSVSNSKAPANFVTLFEGFQQFDGDLNKLQQFVEVNETAVSKILKKSRTKE LYLQRAVEVQPCFNRDVLRDLSDRATTARLELEAWAEGENIQFDTSQAVERSSIVPEE DDADLHALHTAATGNLTILKDWVSKLQSSQDAGSRVTRIFLAAINDFSDEVLTLLLDT GLVDMHAEDDINERNCLHEAAISGREFVLRAALERGVDVSHTDVYGRIPLHYACMHGR VEIVRALVNTGPLTIDFMDHDNFTPLIHSIIRDQLSCVEQLLSHNARINPSSESDHVP LNLACQHASLPIIQMLLERKAKLLPDAEGLYPQHLVARTCRSPEVILLLKSHGADLDQ KDKLYQWTPLFHAASEGCVDCLRTLLDSGVDADALDEKDLPAMYYAVWEGHLECMILL WSRRAGARVSQPLGPLNGANFRDASSMTSPALAERPGPGGMEADGIPDLSLPPPIIPL RRYGHNFLDNKTFLQIYFEPAPSEPIVFYQAGRYAAARLTISSKMSDLIPRNIMLPFQ EDSRIVSFQIDRLDNFTLEFEIFPTFGSKVIAKTVALSDIFTSGTRSSGSCCLPLFDP RLRPIGEIKFDFQVIKPYYGEPLEITHFATYWKATGALDSDHNGQITGSSLSGDYVQL FVQLTRDGVPVLYPEFTVNHHGIDIPVCSLTYDQFKTVSPRRHSQTHSDELTLLRSLE AMNIDDLPNVHRVLATSFLTLREVLAHLPTSIHVNICALYPSAADEKEFGLGPQINVN AFADSILTEVFNHARSSKENNPYFMRSIVFTSYNPNICIALNWKQPNYPVVLCNDLGQ IRDLTKDATSQPPIRCSGRASMSIKEAARIAQTNNLMGLMCRSSLLNVMPALVESIKE QGLVLVADTSDEAGERTRSGTPINTEWAYRMPTGVNGVMRGTGVLRFNDTVDM UREG_05652 MPPRLSAPSVGQFIRASSKSWQLHPLWSDVSRQASKPPTIFRPK FRATHAWAKYPLTFRFPRPLAKRVVSSPFSAPSIFRFPPRASRRTYSTNSSPSKPLTF SERMRKLSREYGWSALGVYLFLSALDFPFCFAAVRLLGVERIGHYEQTIVESVKSVLG PIWGASKEQTESLDIGDGAIASDIENTAIDHGVIKTEGKMTGEGASTWAPSGVKEALT LTLLDRSGIWTQLALAYAVHKSLIFLRVPLTAAVTPKVVKTLRSWGWNIGKRKPKKG UREG_05653 MPSRLARKNVQQLFAPVLIFQPVPIHHVPQRSFGIKSLYPPKTS RFNVGPDLPILGASPAAAFERKVNVLPRRTGAIAIKKGMSAIFDPETGKRTPCTILQL DRVQVISHKTREKHGYFAVQVGFGWKNPSNVTRPMLGHFAANEVSPKRYVHEFRVRDE VGLLPIGQMINADWFQEGQFVDVRSHSRGMGFTGVMKRWGFGGQDRSHGVSLTHRSLG STGPSQGGGSRVYPGKKMPGNMGNEQVTTQNLKVLKVDPEKGLVVVKGSVSGPKGRCV KIQDAIKKPLQQIPSHSVDPVEPTK UREG_05654 MLRLRKAFRYPESDNDDSSREELDEQEQETLIQSLRRQDEERNA QYKVGYDLNPGPARHRLILSQLVFTILPLVSSIIYFPRSLAPSNTPLQTAFCVLGIAS LVATAYIMWAVTPTRPDRKGKRPIRDIERENGFLKRYLAQINFLACASLSVAACFVGD PSGSKDLLRVLYVVPGAVYLVVYIVRKTMMSVDIGELERLRYEFKGA UREG_05655 MPPRRQPRASFEPISPGLDLAALVESTPNFEYVVRIHCDAIDEQ GIEDFERLVQLHVIMGGKPLVVEGYQDRLEKWIFSTQWLNDNYGKKTENARNLTTKSN LPLTIQHYLKNMALLTDQWTVTNYKDADRQRIYLKDIDCPPVWYEKLKNLLPPFLCYL NESVPSMPTGSLRSGDLMSSLPQEMRAENLMCYIGHEGTYTPSHREMCATLGHNIMVE TSTGSVEDGKLTKAGSSIWFMTETKDRHLVSEYWRSRLGHDIEIEDHFAQINAWKLAP FKTYVVEQKAGDFILIPPLAPHQVWNRGTRTMKVAWNRTTVETLELAINEALPKARMV CRDEQYKNKAIIYYTLMQYSDTLRGADPHANSRIRHLQRDFRRLFALYTRILLSESFS RELPVPKNVEYIPFSSNVICSYCRCNIFNRFLTCPSCVGKLADGDDDNYDICMECYSI GRSCACISKLRWVEQFKWKDLTKKHEIWRNQILQFRGKTSNTSELLEPLLVERERSGK KSLAEICQEELKRRPWYDISKPAAREENDEIIEVNDDGQVRKRRKIRRSEKFRKQHGS CHICKVPEPKWKLAMCGSCGLNYCYGSLYRAFDISPCTIMEELSWNCPKCRKICSCGA CRRDPTIKPYEPTGTLLGHDTRKVADPRSVESLVDFSHSNITWIIKAGDHGDNLTETR RLKRRLEEAEQAKQHDPVLDDRDLDHDDADALMVSGTGQATIDPSVIPEDNQGHIETY DDNGVQIDPSLIDDSIDVGHPTKTIVPRNAVLREEMKNRYEATEAITFEYCDLDVSVT TSQNPPGSTLAAGNQPTAEHQGPNTHSTLTFGLDGTRDSPNTAIIQSDISARDPNLAR KQRTRKKSDLLQSKEDEEFMPDVQSLHNIPRGNVRAATRRSKARITYTEEPIVLSDQE DVDDEPGSVKNHNAKPQDPNSASRLPSKTAENPDALEQNVAANTNSNSSPYSTSFYAQ QALELGESSPSAESSSASSTAASKQQPMTQVEKNRRAKLMAMHWAGGTAEDIDSEGWM F UREG_05656 MRLLITGAASARPVLHPSWDFCAKHGIRRSLAHFSSAPGFRVDD FSRRRNRDMRARNLPLFYSREATYRTISGQKTTSTDTVSPVSEPPTIKYAPPTRGLIA SLPAAWVPYAELIRLDKPTGTYYLFFPCIFSTLLAAPLTVPMATPMEVAWYSGLFFLG ALIMRGAGCAINDLWDRNLDPHVERTKFRPIARKAITPQNAIIFTGTQLLAGLGVLLQ FPAHCFWYATPSLLLVATYPLAKRVTNYPQAVLGLTFSWGAIMGFPTLGVDLLTNESA ALAAAALYSSCIAWTILYDTIYAHMDVKDDAAAGIKSIALKHQADTKTILTGLAAAQV GLLAAAGIAAGSGPVFFVGSCGSAILTLGTMIWRVKLDDVKHCWWWFRYGCWFTGAGI ATGLLGEYLAQRFGIYKTADDSSADNITKKSRE UREG_05657 MADGVAPELAPLFSAAEYINDGKLHILLAASGSVATIKLPNIAE ALGRHANVSLRIVLTASSSKFLAGQSAEQPSLEQIRRLPNVDGIYQDLDEWKVPWVRG SSILHIELRRWAHFMLIAPLSANTLAKMALGLADNLLLSVVRAWDVHGTVEPARTIYV APSMNTMMWKHPLTEKHIKTLERGWNADSMEGRSWITVLKPMEKELACGDTGDGAMRD WRVIVKFIEEDVGLDL UREG_05658 MAFFPLLRPAVRSTAHALRPIFASQFQSRLLSTEIRTAIDKAVA SAPVVLFMKGTPETPQCGFSRASIQILGLQGVDPTKFTAFNVLEDPELRQGIKEYSDW PTIPQLYLDKEFIGGCDILMSMHQNGELAKLLETKGVLVSRESETEK UREG_05659 MAKHSASRRTRKGPISLSGIFGRKRNPQASTLNNQDSTQRLTGK TQPSKWLYKEKAVAPKSLNEGRPKLPTGQDTVHRLSRSLERTKDVIVADALDSLYKAE QLLTSQLRDANTAALEKIEYLGLSSGALAPFQLSGLKSRSKPMRKRSLLRENSAKRLG ELRQKLKTIEHALEQHWQEWTMVQNKILCLGAEILGPRSQDLVSDDMQKLLKRKYTQA IENHEKRAAGEKELQEVMAQQRESITSISKDTLQELATQEKVRQFVMA UREG_05660 MLQARRLGRELLVGVHSDEEILENKGPTVMTLAERVAAVDACRW ASKSIPHAPYVTSLPWISHYGCYYVVHGDDITSDSDGNDCYRFVKAAGRFLVVKRTPG ISTTDLVGRMLLCTRGHFIKSLPAFLTGQEGAGTPEELKELAQQSLQRIKDYATDETG LKPGPDVWTWTSSQPATDDHTLHPEGTFDHLVPGKTPKPGQRVVYVDGGFDLFSSGHI EFLRQVHQMEKEEGDRRGWFSPEQVAARLEQYGEDYEPAYIVAGVHDDEVINRWKGLN YPIMNIFERGLCVLQCRYINAIVFGAPFTPSEPFLRLLPLGMPSAVYHAPTTFIR UREG_05661 MYTAPSAFETVNVMASAPPQTPATAYSVQNASAIYLHIHEISSK RIQTLDYLRKAHEGRVYWFNTIHFTRADISRLPYFERRKLARRAINYLLLGLSVPPIL DISSNPFEYLRSLNALLIEFEAFQQIHPADGGSASSLARARIPQMFKRSTHTGPKARR ASSATEIGLPMQSSDQSDLKAMTGNIASTTNAASTVASFPSNSEASDLLPGEEYTYLL TPTLPFDPDFFETFATLCDVLIDCYNRLMTLVPSPSVCTGALGEMFAKADSRLRKVIM SSVVREFEDASRSSVKSEVAGVGRVVLGGLLG UREG_05662 MKVFASECIFDYSWEEVSTANWRKYCPWNSKSTHVVAVDVLSQT LNPNGISAPQWILNLFGGSSTSHVYEVSYVDPASKRVTMCSTNLTWSNVLSVRETVIY QPSQSKPASRTEFRQDAQITALCGGWQKVKNKIEELSVETFSQNATKGREGFEAVLEM SRRVFREQKEMEALQKAHQ UREG_05663 MAPLDSFDTPSASSADDLQQDPAVKSQIPSIVSQAANFLSRCNL GSLVAIAFGLLACLYLIFGRLALLLFGVVGGVLLHAWWDEIGSASGRCATDETKRRRR ELGVEVAARLMRLEGASTQLMPANCQSKARTDEFDLSKLHPALAAALKALIDAVVEDY VRWWYEPILPAEQTFPYACRNYLVRFVCSVSAHLGHKRPAEIFLQFVMNSSSVMIVLL SELSLALGPTNTGKTSVDEAINAYLVESPESGLANILSVEQQQEKLSIMANELLKLFL DPSGYNCEPVRIFLREILTGVIFTSIIETCSAPEYINGWIIHLFETGEPEFINAIDAG LDALDQVSNSNLEPVPLDLPSTITNTRSTKGQEPPADQPRLNAIKTHLAESEPTLLLN ANRDTRAGSPNRNLDGAANSDQGLSQPRRYHDDIDPFEFPVLPLTLKNGDSMDSNAPE IQDYAVAEDESAPPAFTDGSDLSKEPNVASARSHDDLPEAVTSVAMKFHNASVSILDD YSTNDGILRSKPTVEYLLQIELKRSHVTGWMIARKYTDFESLHETLRRISVVSGITEF SLQHSDLPSWKNRNGQDLRERLERYLQSALRHECLADCEGMKRFLEKDLGTWSQSMPG PIRMGFPFRNPTVLENMGKGMLGVISTAPKGVAEGGKAMFGGMSGLFNSATTGSKKAS TENPVFRSHSGPRKATAEPPASAVTQTQQLPLERAQLYDHGYKPVPPSVESAQVPTTI PSASMIPIDPNTLQERGCESVLDHVQYPQDSSPQSTPQDIRDDKLSVSNSSEQYPQFN DGPQDPQFTNLASNSQTALSEDETIMVVELLFAVVNEIYGLSSAWKFRKRLLHAAKTF LLRPGNPNLDAIRLLIQDSVIQKHTTHKALADYINMLRESRTSAQNQDEPSALNDRKQ LRDKARSLFIANGMPRALVSCMGTTATGEALGRIFDCLQVESVAKGFVCALLLQALKV IVQ UREG_05664 MSLDGKEGPAPDHPTEKSSRTLSNFPSPSTRSPSHANTVSWYSD TRKLLSEPVVAAFIAGGVAGAVSRTIVSPLERLKILLQIQNAGRNDYKLSISKALVKM WKEEGWRGFMRGNGTNCIRIVPYSAVQFGSYSIYKKFAEPYPGGDLSPLSRLICGGFA GITSVTITYPLDIVRTRLSIQSASFSELKQAPSQKLPGMFQTMRIMYQTEGGIIALYR GILPTVAGVAPYVGLNFMTYESVRKYLTPEGDLNPSPYRKLLAGAISGAVAQTCTYPF DVLRRRFQINTMSGLGYQYTSIWGAVKVIVAQEGVRGLYKGIVPNLLKVAPSMASSWL SFELTRDLLVGFGDKGV UREG_05665 MTCYSILIYLSFAFVEYESRRDADDAYHEMHNKRIGRDDVLKIE WARTPPSASWRFDSGRDRRRDRTPPRRVRSPSPRRSGRGDYSPRKEDRRDRDYDRRDR SRSPDDRERERDRDRERDRERDRDLRDDRDRRDEDRENGTNGDDRKVPLDSPTPAHDE LDTAE UREG_05666 MGFPSIACIGIVGKSDNLLHISVFQPHDNNQLEFSLALNSALDI FELRQRETSVDQDFGLLHALDERFAVYGWLTNTKVKLLIIVDLGGKQTPEKFALLVGL RDSDLKPAFRALQTAYVRLLQNPFYNPEEGDADGKESMCNIKIKDRRFIAEVNHIGET WAPGVLNLEALP UREG_05667 MCAPPIARRPKATMFTFQLLVKLYRFLARRTDSNFNKVVLRRLF MSRINRPPMSLSRVVAIVKKDNSEDSISKKTIVVVGTITDDERLLTVPKMSIAALRVT ATARARIEKAGGEILTLDQLALRAPTGANTILLRGKKNAREAVKHFGFGPHSGKKPKV ASKGRKFERARGRRRSRGFKV UREG_05668 MSLTACSPSEIAQAASAVSRQLAALPVASRNDALTAIHSALRQH KDVVLEANARDVETAAEAVNNGQLSQSILKRLDLSRPGKYDEMLQGILDVRGLTDPLG KISLRTLLDEGLVLERVSCPIGVLLIIFEARPEVIANISALSIKSGNAAILKDFPNAA IQLVESRDVILPLLAQDKYIDLVVPRGSNELVRFIKANTKIPVLGHADGLCSIYLHSD ADGEMAKKVILDAKINYPAACNAVETLLVDQSVLSTLLPPIAEALLEHGVSLRCDSES KAALMAALSPNQATLLQDATESDYDTEFLDLILAVKTISATPSSSSLDIAMSHINAHS SKHTDVILTSSREAAERFLVGVDSAGVYWNTSSRLADGMRYGFGTEVGISTNKIHSRG PVGLEGLTIYKYLIRGSGQTAGEFQGADGKQFKHEELPISDK UREG_05669 MFRRAVTTIARCAGGQLSRRPIRPTIVPKVFAPSPSFPVSRSYH EKDEFRLPLASNPRNVGSMSKTDKDVGTGLVGAPACGDVMKLQIRVDKESNTISDVKF KTFGCGSAIASSSYLTELVRGMTLEDAAKIRNTEIAKELCLPPVKLHCSMLAEDAIKS AISNYYTKNPKAAQTNLSGTASPIPRAEARSTSGEATS UREG_05670 MTDLVSVLLSFPPQPPAPEITSEIEYDRKAHVLLSSLRRIPTKD LLGNITSRQSLLDTLDPEKQSLSYLFVLLAHHQGWETSVDQHLSGHTRPGGAVWCKSV NFLCVFDPVQVRYAGHEFNKLVDCVAKISEYASQQKPLAAVKPVRQALLRLDPSCSTF TSIHTTFAHLCLLAQCYLPATGILDKDICHFPTAADKTFLKRFQLLPCQQHPSSVSYI TMASGLPGLINYKSSLEYFLYGAMIYIGLKRWGKARHFLEIAISAPTGNCISMAMVEA HKKWILVNLLEKGTVPAIPHAVSRSAAKAYKPLVKPYSALGIIFKAGSLSRLEAEIYA GMDIWQKDKNTGLVFQLLNALRRCSVLKLDQTFAALTLPDVLRFSMSDQFDRVVEPFV VELLAARRLDARLFQASNSVDPTILRFGHSFATMGGAMETRLWDRLKAQKQRMDILLG NVYGTDVKLELGREYIEGLRKAKWRKNESDLGDNSATVDFEEDMMADLR UREG_05671 MKKPRREVPLPSQEKREGAMQFALTTLDQVANWARQSSLWPMTF GLACCAVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMP DPRWVISMGSCANGGGYYHYSYSVTRGCDRIVPVDIYVPGSLKGPPTSEALMYGIFQL QKKMRHTQITRMWYRK UREG_05672 MASSVHFKFKSQKEPSRVSFDGTGISVFELKREIISQSRLGDGS DFDLAIYNEDTSEEYADDTAIIPRSTSIIARRLPASRPGKGTAARYISGGMPVTARTA SRIDTNTASRPGSGGSNIKPDITVAQSEDEKIKAVLNLQESQWREQQEDMATATPVPF NRNRGLLNPVPEHPPPPGYLCYRCREKGHWIQACPTNNDPKFDGRYRVKRSTGIPRSF QKQVDKPDSRVLDGSDDDPKLSGVMVNADGDFVIAEPDKASWELYQEKASAAAAAAAE IAAVENGRHLRSRNLLCPIDKRMFLAPTKTPCCKTTYCNDCITNALIESDFVCPECNT ESVLLDNLSIDEDAIARIKDYELESTGNMKEEARTTPIDSKVDSNRAPNDSSDHIKLG NPQKRPAKDEEVPNDDSYSTNAPRTKRQKSEDHAYIAQNSSNPSNNAFPISSFNQPFT TFDYAATGDQLQTAPLAGPDFAAFNPYSSAGTLWNQPLAHAGFYSANMTQPMVPMGFH GTSNNMLPGQTSSASFIPNQLFPPFSVHQPQGTARFSNQQRTTFSEPFAKEEDNAYFR QPVNPHRHQAKQKRLRPSDYREL UREG_05673 MPGLAMDGSGAVLPGTGVADAHCGPSRISCQPNGRVSLNGDGDP SISFMEQFPRLSDGHQASLDDLPPELAHITLGFFPFARLISRVVQQSWNDLNELVNDI GSSPPSQVDVVARLGSANPLSGKYRGEQHTENALNKIRLLEFAHTKRVEFIKLLVLSQ WSRQANEVSRLIDIQAFIRMRYSLYGMVLHRIGNMKQDLVGAQLANPDLGTALHILSN EGNETIPAFGFLSPKPLGPRRMLNVLRRINKLISMRLTTCDNIPSAFKNHSIQDGRVT LIVPGEFEIDLSVAFETNSAQFFFVDMRFLFFPSSCPNGELQRILDQKVNAALMNFGL IGCFNLMHNLTLTHKITILFKQAADLTRTFWADHLRVELLHRTLIIQYWTKKPGKSWI EIGIRSGTKRPTEGAGTDTSFLHLRWVRDNEEVDATEIDFNLTQLSAESILFSVVSLH ITHILRITFERLHQKALYSLGALYLGMGASSMEPGDCHLEVQFTQTRRMNMVVEPVSG DITLRIGPSPITRYDPEIIFDKNPVENVVERISRLRCAVALEEVESHAKAVGWISLNL RHISPDNLQRVFPSIALRRLYNTPFSSFANALSGMIVVRSNLEFLSEFTTIRFFPSTK GLVLQPYLRVPNIYIHLSTTFPTEKHKRSFLDERLRISYRGIDQQSGHAMTVVHGRFT TTVDDFGALFARPNKGFSFQTNGSGFTMVFSTPIGLPIITRLLDRLHQIDNMVFAVEL LKSKGFKIFLLSPLRISFAYPSRKEFRGSIRYSYEEKSSQTRSESCVIPVSDPSSITH PRIDIGFNHQNPHRRIRESLSEILTFHRDGLKLVLELLNATLPLLCALEQICGDAYSR NARWFETQFTARSAKMYQIRYPFIRHRFNLSASQRKSHVVWVLQNSTRGSDRSNHPSL ELRLRNEIYSVQGDGWRGINHGGIASSTSVDRLVFGLHNLVTSYIAQMTPRNPNDRLT ESIPNVSHGLEGHSLKTENQSGQDDRFSLASKPAINGANGSPDTNVQSAQQERTTDVD VISID UREG_05674 MSKLAITPVTEEDRYHTTTKVVCYECQIDDIDISSSSRLEKVVD GVMNALTFSRKEEVKAWELELTSCEHTLCLTQEGSDLERVAQLSHCSQCTMQENLWLC LQCGNIGCGRNQFGGMGGNSHALTHAQDMNHSVSVKLNSITPEGSADIFCYVCNEERV DPNITDHLAHWGIIIADQKKTEKSLTEMQIEHNLSWEFTMTSNDGMDLKPIFGPGLTG LRNLGNSCYLASALQCLFSLPEFGCRYFKPTEQPPAVPLPAEDLETQLRKLADGLMSG RYSVPDSDAVAHTSSKDLPYQTGLSPGMLKHLIGRGHDEFSTMRQQDAFELLLHLFKL INLSKHSDLLNPVTSFRFALEQRLQCLSCGKVRYKVDEQDNISISVPVRRLSEKDNVG SQTSETKPTQSNFQRVTIRECLDIFTAEEVVELTCSGCGSKDGFRKRSLFKTVPQNLL VNARRFELVNWVPTKLDIPVEVSDEPLDFGQYLSSGPQEGEILLEDAPSPKKIDFQPN AEAVNMLHSMGFPEVRIKKALYATGNVDVDAALNWLFAHMDDPDIDAPGIFAEPENED KQDSKADEAKVDQLFDMGIDRSKARKALLETSGDINRALDWALNHQDDPELEEIELDG KPTPELPGSTDTPALYRLHSLICHKGASVHTGHYVAFVHKQLPNQTHHSWVLFNDEKV VQGGDIEEMKQFAYIYFLRKL UREG_05675 MSCCNTDFVGSNCGRGWREDGLAEILLDEVARTWKNAGGGAIVE EATQPSLRKILDSLESRVSDGQLHLLPGKAHSNTSSKNDDLLVTDTSSALYDKMDDPN SRASTLASDNLLLSDPGKWFRVINAFEQPRVIYDSDRRHFVMSPFSSSLLPSSCDRIE TFRDRYNRVYQRVLRNNAFRKPSNISPAFRPTQSSLSPDGVYNITFVSSLQGRNSTSH LLLGLIHLSPSGGLYLSDLTGTIALSIQHAKGVPAGETWFAPGMILLVDGIYEEQTNA GTQTSATCGYEGNVGGKFVVLSVAGPPCERREVSLGISTSGYASISHEGFGWMDFIGV GSERTEGNRLRRLKQKYSVTETRTKLVIMSEINLDSQRNLEGLRNVLKAYDALPLARI PLAFLLIGNFAQRPVMGGNEFADSIDYKEHFDQLALVLSDCMRLLQNSMFIFVPGDND PWTSCFCVGAAPPIPRGPIPDLFTSRVRHAFNIANEEGQNFLSKKLKGSAIWTTNPAR LSIFGPVEELVVFRDDISERLRRMSLDLEGETYEVPTTTTGINYLGDQDACSNTMDCT KISLTGSDQYPSTESDDIQGNAKINISVSHKLVKSLLDQGHLSPFPTTVRPVMWDYAT ALHLYPLPTTLILADPAVGPFTLTYEGCHVINPGRLVADASPNRASWVEYDFITHKSC IHESQF UREG_05676 MPTSNSLKRHATHLSTTVASTKRSKPNQTITSFFKASTEKGEND SNIGPGLAKFNKEEWVQSLTSEQRELLKLEIDTLEDSWLAHLKDELLTKEFLNLKRFL LKEIASGVEVFPPLSDVYSWSRHTPLHTVKAVILGQDPYHGYGQAHGLCFSVRPPTVA PPSLQNIYIALKKDYPAFQAPPNKGGLLTPWANRGVLMLNTCLTVRARSPNSHSQQGW EHLTQKAIDIVAKVRSRGVVFLAWGKPAAKRVANINREKHYVLQSVHPSPLSAHHGFP TIMISFPVGEVLKQVGQSINQNEPEKRVDFDNHIVRWNEVIQKSAAVLCDSNGETMLR PPKSAKKKEVLRQIALENVRVLNEQDSQKRAGLPIRTQYNPSSLPTPSPLSMEVRQKL KENLPSPESFREPYPLPILAKLEDNVLPLVKAQLWLSPVDGEDSEEVLRDVDMIWDTG AHYTIITLELLSDCFRRKLESDENAPYRSSEGTTIQINASIALSNSPISIEAIALVRP KSMMPNNYIGILFGQRQCINCISHTLIPQRVLRAKDEDVEEGVWGDIILHDYVGIMGD IVSF UREG_05677 MAETTNPSSDEGRLTELSHLAAAKAALKDYHSAADLYSQAAELQ ATLKGEMAIDNADLLYSYGKCLYHVALSQSDVFGSRAAVQNMAESTQIQEREGSAPVH ESLVRQDGEKASNFLQQTASTPNEHGGGLNGSDTASHTFFQFNGDEDFEDEDEEGTED VADPASEDNDFENAFETLDMARVLLLRKLDTSPSCLSENDTLDIRRVKERLSDIYDLQ AEISLEGERFLDAVSDLRAALELKSELFPLEDSTLAECHYKLSLALEFSSVSLPSSDG SAAASQVDFQMREEAAKHMEVAIRSCELRVSIEQRKLEAVEATDVQELAKIKRHVEDV KEIVSDMKQRLTDLRNPPNAVSDFSRGVDQINAKSILNQVRSASDKVLLEEAIEGAND LNAFVRKRKRTSSAAAGNGSEKSIPSKQAKGPTT UREG_05678 MQFTPFISDIEIPFYTSLASLKLNHDKLDDSTRKVLGFYELRPL DPPETSCRMHIAGNALVTDDVPLDAFRAEGVVKNFNTAEDYRAADKAALLRENGRKIW DAITDGSIYSSPSLLASFLILSFADLKKYTFSYWFAFPAIHSNPPWVAEQQSRSLNIP ERNALVDAVRAWRYQVDKKQHGFFLAKKIRHISPRSPPKSSTATDPASNVDQLGHDSY QNPLWEVSSLSSYETGFFEDVGAEDCYVCFVDPSNYPGAPGWVLRNLLILIRQKWKLD RAQILRYRDISSPTMEPQSTVLILKSESSVNRDGASDLQQLVMPKLSGWERNNSGKLS GRTVNLTEHMNPHRIADQSVDLNLKLMKWRISPGLNLDVIKDTKCLLLGAGTLGCYVA RNLLAWGVRTITFVDNGSVSFSNPVRQPLYNFQDCLDGGAKKAICAAKALLEIYPGVC SKGHVLSIPMVGHPIVDTDNAKHDYECLKDLIDQHDAIFLLMDTRESRWLPTVMGKAA GKVVMNAALGFDTFVCMRHGTTGKSNEPSLGCYFCNDIVAPANSAKSQTLDQQCTVTR PGVAAMASSLLVELFISVLQQPDASSIPSSQMEEALHPLGIVPHQIRGFLSTFSNTVV TGRNYEFCSACSDNILHTFINDGWEFVRRAINETGYIEEVSGLKEVQQLAEEALVSLE LEDSEDSGSDLI UREG_05679 MTTTRGLYLFLLSLAALFPASVLTRAPDKFAQYQSRSKSHLPIP LDDSSYSDIVSKPRDYHAAILLTAIEPRYGCQICRDLQPEWELLAKSWNKAAPYATTK LLFGTLDFDQGKAAFQQLMLQTAPVLLLFPPTIGQAAKLDSSPLRYDFSGPVSADQLY AWMSRHLPEGPKPDIIRPINYSRILGTTTLILVLISVFTIASPYLLPILQNRNVWAAI SLIAILLFTSGHMFNHIRKVPYVTGDGKGGISYFAGGFANQFGLESQIIAAIYGLLSF TVIALAIKTPRIAEAKTQQATVIVWSLVLLGMYSFLMSIFRTKSGGYPFFLPPF UREG_05680 MYQLRQLTLGLVGLSLARCAFATDGESSVKSLKTDTFKDFVTQH DLVLAEFFAPWCGHCKALAPEYELAASELKEKNIPLVKVDCTEEASLCEEYGVEGYPT LKVFRGLDSPKPYNGARKSQSIVSYMIKQSLPAVSKVTPDTFEAVKSLDKIVVIGYFK EDDKASNETFTSVAEALRDEYLFAGANDVAMAEAEGVSQPAVVLYKDFDEGKDVFTSK FDQDALTRFVRTSSTPLVGEVGPETYSGYMAAGIPLAYIFAETPEERTQFAADLKPLA KKLKGSINFATIDAKAFGAHAGNLNLDPEKFPAFAIQDTVKQTKFPYDQTKKIVAEDI SQFVQDVLDGKIEPSIKSEPIPESQEGPVTVVVARSYDDIVKNNDKDVLLEFYAPWCG HCKALAPKYDQLASLYANNPDYASKVTIAKIDATANGSIYSFMRNGSL UREG_05681 MPKVHLLDYVAGNVRSLVNAVEKLGYEVEWVKSPQDVKNAEKLI LPGVGHFGHCVTQLSNGGFLEPISEHIRSGKPFMGICVGLQVLCQGSAEAPGIKGLGL FPATLTRFNSSCKSVPHIGWNSAKCESVGQAKSQTFYGLSPESKYYYVHSYAIPYQNG MFHNNEWDLATATYGEETFVGAICRGNVFATQFHPEKSGPAGLRTLQAFLTGNRSSIE PSPVAPCTEGLTRRIIACLDVRANDEGDLVVTKGDKYDVREKNADDKRGKVRNLGKPV DMARRYYQQGADEIVFLNITSFRNCPLVDTPMLEVLRQTAETVFVPLTIGGGIRDTID TDGTHVSALDVATMYFKSGADKVSIGSDAVIAAEQYYASGKHLSGTTTIESISTTYGN QAVVVSVDPRRVYVRSPGDTEHHTIWTEYPDKDGNRYCWYQCTIKGGREGRDIDVRQL IEAVEDMGAGEILLNCIDKDGAGTGFDLELIKDVKSFSTIPIIASSGAGNPAHFKEVF EQTEADAALGAGMFHRGSYAVSEVKDYLQTNNLVVRPLNDIQMSV UREG_05682 MSDLALNHLAISGALRRGCFVRLKSFQANSVKGKRSAFPHTLAG NETDYIRILIVLDLDVLEDLGESERLGEPKPLEMKAPEAETPATTTISSNGFYGMAPQ HSAPRQQNHQRASVPTMAPAHANIYPIEALSPYSHKWTIKARCTNKSAIKTWHNRNGE GRLFNVNLLDDSGEIRATAFKEQCDLLYPLFEEGSVYYISSPCRVQMAKRGFSNVNND YELTFEKDTVIEKAENNDDVPQMRFNFTNIGGLQSVEKGTTIDFLGVLKSVDQVTEVP SKATGKRYTKRELTLVDDTGFSVRLTIWGNMANTFDVMPDSVIAFKGVKVSDFGGRSL SLLSSGTITADPDIEEAHKLKGWYDAQGKFDQFTFHAFSENATGATGSRQDPPKTIVE VRDEQLGMSEKPDYFALRATVVFIKQDNICYPACVQERCNKKVIQLDSGEWLCEHCEK STPQPEYRYILSANLSDHTGQLWVNCFDEVGRSLMGITANSLMEMKENDDKVASEAIL DANCKMWNFKCRAKLDNFQDTERLIFKTDVSLVFDVRFSVPRRSTSRMSLPGW UREG_05683 MGHAPYRSRKEVTMAEPAPRGAVYLIGIQIFSRAMTFSANQVLL LHVSPVALGMSMQLDLYSITVLHFARESIRMASQTRTRAPQEAKQGNLLHQESEGTSE GIVNLNPSSQEIVNMSYLSILLGSLILYLSGFFYLHIASEGRFSLLPVFISGKSANVF FQSAVKHILTQGDAMILAALSSLEDQGLYALASNYGGLVARLVFQPIEESSRISFGRW LSEETPCISKQNGVNFAKSYLQNILHAYSLLTITLWTVGPLFLPAALKVLLNSRWAAS NIEEVLLAYCYYIPFLAFNGITEAFVSSAASNSQLRIQATWMGACSVGFAFAAYFLLK VAALGIRGLVWANIVNMAFRIVWSFWFIKKYFVKQQQEFILRDILPRRETCAVGAIAW SYTLASHKKTSDIGDLARMIFGGAAVSITMYVLNNHLFYFFANTALKSIP UREG_05684 MAKRPLPIESNAEPKRKKNKKNESTTPKLAANGQGHNLEPSAVK NSTSPYIPNPELDSLPQATVDEYLKANSIDIADQGEAEPLRPITSFSFLPQPSEPLYR PLKAFSAPTPIQAVSWPLAFAGRDVIGVAETGSGKTLAFGLPCLRKILELNSSRKSRR LSAVIITPTRELAMQIYDQLLKFTSSVDVGIACIYGGAPKDQQRREARNASIIVATPG RLKDFQSEQGIDLSKVKYLVLDEADRMLDKGFEQDIRDIIGTMPSARKRQTIMFTATW PDSVKNLAATFTRDPVTITIGERTSDIRANDRIKQVVEVLNPHEKDGRLLEVIRKYQG KDNSSDSINVFCLYKKEAMRVVYGHPF UREG_05685 MPFSKGFAPGSWSQVAVELTHPNGRVLGIDIIPAQPPKGVSTIQ GNFLSPRVQAYVREFLRNPERGRPRRENCIPTSNREQPTVKNEVEGFEPGYIDRERGK SELITEEPCVDDTSPMFGNDGTVDVVLSDMSAPWQPTTGFWKRSLNDPYHRMLNTSGL NVRDHSGSMGSEDQLLENRLRSLFQKVYREKPDSSRKVGPSIPFIHVLD UREG_05686 MENGYPKKSSLRRTSSSIQKRGFVIRNNNYKLSLEATSASVAKL QTEKLSLMRENSSMKLEMSQLRSQQASQQAAITEKLKSEIDRRTLAENEVNELKSKLK VANQKTRTIPHDSTFERQEDVADVRVLKGGEDIPNLTHFRHKMTIATPGAANLPQKRK KASALLGDISSFSVTPFLNRANTDEAPDISSEEPEDSPVFETRALAPRSIKLSNAESH SPTNLRPPGNEAIPPSTKTLTGKLTQNKHDSYRVLNKRKTQPRKTSASQVVDEPSQST LTEQQRGALKKRRVLGSKREGNVFDSGDDLGNYRNFQGIESRDGHKQGMQTLQFSPLK RQTRPV UREG_05687 MSGCSFTNIPFPLTVTRSHKLNDRDHSGEEPLPRYFAKSGFLDA DPKKTKKDGGGKANWGREGEEVHDYGYTFANARRRSNSSNQGLEDFKTKFEAVEHDPV FEEEDINDPDKELDAENANARSNNSEANANEVGGLNSEIKKAKAS UREG_05688 MFSTSTPGQFQLAPRNPRRRQRAPDDAANPPNAKRQRSIQRDDA FDVVNGKERSEAKPGFKESEDESEVNNEHYFVLHLSSLPARVKEVLNGWFRLQRSYSC HTLLTPPVPFRCVISQETRYCLAITQKSAILWPYPSFSTSLATNSVSVLPFSETSAPS SDTLPFGTVISASAHPEPGLIVVAPSTGEVFFWETISNPTILGRIKRKHNSLQSTIPG LLSGEHVTEIVNVEPAGIIVILSTGRLCQVSIRDSQGKAAIDFQFLNSPSRSQSGGLL GGIRSILSSTAWRRNIAAAKAGKSPRRGYRTLVVATNSGSIEAWDTHWSSGSVFKSHV DVAKPIGQALEKYDMFANEPHISYQLIDFTIRENDNSIEPDGVEDKYSLWVLYSVTRQ ELNFYFVIEVSIHGAQVTIGRVRQIHYEPVMPQPTISRVPRIYVPEPEHTGFVIFENG IVLLSLVPFEESPSSQLLFGNEIPSPFQDYIRFRDGNGYSIIGCGLETPKFGQNSPSC VVMVQNFGLIRISVRPRPISGSDVDESRITTQSRLEQVVFYDTKHNPIDLTCYEELHT SASVLEDAALRLSDEILQSSSKFVSTTVPSLDEQMQLRSAALRSLGTYLSNQHAQLSY SAKWTLLSQAEKMAAHRAVWKAQEYMCRSSKNGGHYLEFVLKHMGEEFRTQLDANSGE DDIVRHWFIHDTWQMELIIPWILHPMQDVSAKGIRVDRQLIWRIWQASELSLAVLETT FRFRDENAGLYGLESSHPDPDYPLMPTYTELPALWTALKTNYSESERLLDAELNICLQ WMKQTDPKSRQSDRETSEIIERIKKNAPKAFKVLWRLYMEQLHWYTSQVSTDERDAVK ELQSTYLTHRKPHLYKIAAMGLLEESMTLAEDFQDMDALVELIVELEDEVGKRHPAGA GDPAYDAETEACQLRIDGYFRKFGEAWATPYYTRQILAGNSEMLLSVSSYQPYVTRFL RARPTYAKLNWMNEVLGENDFGRASQSLNDFATNYEPNLWSKQVELAIGKLSRLAMLE SSERQDLNQLQADIKRFDDISELSRIQETLYDHIAPAIHGAIDQSAEVQLANEQFAKI IVRSKPALRESLQRGLAKLVAKRPVEADEMIDILTLMDPCVNEGADGDIVGHEFSLAL KVLSLSDISRQDPDYCEVLERIIWRRCLIRDDWRSINSTRQKGGEEMESAVQSTALFK TLEDLEGSVKGSGASNRFYAPLDIAQSTPFPHRLASRLLPEQRARLSDDLNEEASLLS GYIEGGGLDDWFSWIVGVVKKRSSTPRKESQGSTH UREG_05689 MERMLFLSPPSLAAHPEKLSTILSAHAQYSTELQMLDRLAAGLV SLPESTYDIVMFLIDADCLKTGSTPRMSRGVIESIVRALRPGGKLKSEHGLFASPDYP DRTELVLAGLVFDDNGDLVKPNFGAQDTVPLKLGKKKKATPAASSGDGAEANGVTVNM PIATDSKRNGQGAAVQGLGFVDFSDDLDLPPEDDDELIDEEALMDEEDMGRPIVQPPE CRPKAGKRRRACKDCTCGLAERLHEEDTAKRANADAALETLKLGSNDLAEVDFTVQGK MGSCGNCALGDAFRCDGCPYIGLPPFKPGEEVRLLSNDVQL UREG_05690 MSFSSLVQDIAYRDSHPDDRSSVVSRGAPTRSHVSTAATSVSIS GDIASQLHAGYSHPLNRTWQAERQLTKSMLIYPLFITDVPDEETPIPSLPNQYRRGVN RLVPFLTPLINKGLRSVILFGVPLAPNAKDALGTSADDPAGPVIQAIRLLRTHFPRLY IVTDVCLCEYTSHGHCGILREDGTLNNALSIDRISDVALAYAEAGAHCVAPSDMNDGR VRAIKLKLIEAGIAHRVLLMSYSAKFSGCLYGPFRDAAGSTPSFGDRRCYQLPPGGRG LARRAIQRDIAEGADIIMVKPASSYLDIISDAKELAKDMPIAAYQVSGEFAMIHAGAK AGVFDLKTMAIESTEGILRAGAGIVVSYFAPEFLDWLSS UREG_05691 MANGNHDASRDSNIESPSRFTAVNGRDPPPVFLNGSNGTSDTHT PTNHSHEPERPVESRRGSELQKILPAQKDLPTINANHDQMEDQKASDARSTSLNRNKR KRSDSGDRQVSPTSNYTPSVSRSPNPRIGDNVPLQPRPVEMNGMTRPTMTPESDLGTR VPQRQFSVDGPDETNLMSQRAAWNGHDSQSTSQNGHLSQPIDSSDAQVAEALQRDVQS NDDVSKAWDSASNGHRTETPGPNHAQLVLQNQNQLDEKPQTQIQPQIKSQPQSQNQSQ GQSHSQSSSQSGPKRKRVFSNRTKTGCMTCRRRKKKCDEQHPACNNCIRGNFPCEGYS ARSTWQKPSNPKGPVPLQSKSNYPEVAHPYTHEVSPQRHDTRISAAAILPDGTKGRPV PVDEADRGATQYISSPPGTGSRNSWPKASWATQGPTTYLPDGPPKPEFRDSSGLKDIS RTEQTKTDYTVVHSSCELSHNSHPKPTLAVFQNTIEQRQPPPRLDAANYSAQARMALN MEPHITFESSGKTEKEKMLSGEQYRPFDPQLTKDRERCKTALWRFTNAGNPIYGISTT ERTRLLKEILMPPSEGQSEIAASQPVGSLGPGAVVEAPFNCHYGYNINIGEDVLISEN CFFADDCTITVGAHTWIGPNVTILSSMAIGSMQERKGSQSRYQGRPVVIAEDCWIGAG CTILPGVTLGRGAYIAPGEVVRSQILPYGFQGLKPNYP UREG_05692 MAPGNLSIDDNCVAFELLTERLYSFSPMLAAQIVIETWQGNGDM EQVIK UREG_05693 MPKVRIHVAIVGAGLGGLATAIGIAKAGHKVTLLEQAPELGEIG AGIQIPPNASLVLKQWNLLDEIETVSVRPKDFILRSYRDGKVLSVQNVLPYTENQYGT PYLHIHRADYHRILLKEAIRQGVEILLDSTVIGVDFGKPAVHLKGKPDFHADVIFGAD GLKSACREALLGHPDPPRLTGDLAYRIIVKAEDMRKHPELSEFLEQPCINYWMGPDAH AVCYFLQGGGLYNIVLICPDNLPELVHIAQADLEEMRTFFEKWDPRLKVILDLVQETK KWRLQNSEEMASWCHPSGKFALLGDACHATLPYLAQGAAQAVEDGAVLGAMFEQIEDK SQLSDLLAIYERVRKSRTTRVVRGSTALRDIFHMHDGPGQEERDRVLLNETPFEGFPN RWADPVFQRFLFGYDARAEGMRAWNEYKNGKSPEAVNGFESRL UREG_05694 MSISIQELDNTVRAFYEGSGDVRKQAQQSLTEFKQNPDAWLLVG NILQESNYVQTKYLALQVLDDVIMTRWKVLPRDQCQGIRNFIVNCIIEHSKTEEKLKS ERAFLNKLNLVLVSILKQEWPHNWPTFINEIISSCHTSLSICENNMAILRLLSEEVFD YSQDQMTSTKARNLKTTMCQEFSSIFQLCSEVLGTATQSSLIKATLETLLRFLNWIPL GYVFETPIINTLLSRFLDVPDFRNVTLKCLTEIGSLQVGPQYSYDEKLVQMFTDTLTT VSKIIPLSLDLRQTYPTSNSRDQEFVLNLALFLTNFFSVRLHLIERLPNSDYLTHGHF YLIRISQIDDREIFKICLEYWTKLVQELYEEMQQLPITDINPLVTMGVSGLSNGGAPN PSTLANYPLRKHKYAEVLSSLRTVMIEKMVRPEEVLIVENDEGEIVREFVKESDTIQL YKTTRECLVYLTHLDVVDTENIMADKLAKQVDGSEWSWANCNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIANKCKRHFAVHQASEAEPFIDEILRSMRKITCDLSP QQVHTFYEACGYMISAQGQKSIQDRLIENLMSLPNAAWDAIINQANQDPSILQDEETI KIVGNIMKTNVAACSSIGSFFYSQIGRIYHDMLNMYRASSQLINEAVARDGNIATKTP KVRGLRTIKKEILKLIDTYVERADNLDMVNSSMVPPLLEAVLLDYNRNVPDAREAEVL NVMTTIIHKLHVCVSQPIRVQVRQLSLTALQNLMEDKVPIIMESVFECTLEMINKDFH EYPEHRVSFFKLLQAINLYCFPALLKLDASQFKFVIDSCMWASKHDNREVENTGLTMC LELVNNMAETDPQTASIFFRQFYIPILQDVFFVLTDTDHKAGFKHQAMLLSRMFYFVA SDKIQQPIYAPEQAPPGTSNKDFLQEYVANLLQSAFKNLQEVQVKQFVLGLFTLNDDL TKFKTHLRDFLISLKEFAGDNAELYAEEREQALREAKAAERDRAMKVGGLLKPAEMDQ EDEL UREG_05695 MKLSAVTFLSCLALSSAWKLDLYASDKRHVSTHGTRDSGCKNID FSPALKVNRANFRPATNNWPDPGTFELYVNKNCKKLSYRNDKGNHKMTARTIRSYKVY UREG_05696 MNDELFTYTSGRFLYNEQRRLEERQIDFNVAALKHAAEQHVRRG KIINLQKLAEGGFNRVFLLTTEDGFQAIVKIPYRITVPKYYATASEVATTDFLQSKGI PVPRILGWSADSNNSVGAEYIIMEKSSGIPLETRWFNLSKQERHYLVTSLVDTEKKIF DIPFGHFGSVYYRNDIPSNLQQDLFAESTDPAMSTAYEQFCIGPTTDYMFWYGKRAEM GIDRGPCNDFPPGSLLSEGKTPKAYLAAIGKREREWTKRHGKPRPVRFPHVVNFEGIN SPHDHIQLLDQFMAVTPYLLGGDPHSELNRPTLRHPGHPPMLQSPDHPPPQTLEKPVL PDDYDSLSAEEKSQVDELHRRRVLFYIYMVFTGGLNERHLSGMRDPRVLLTQHLVERA EKQWSGDIFSLKGALIRIAENWDYFSASLPEPVQCPIAFTKAEIDMHYEREPTWFQMN GLVEYWKSELQGLSDDGWVRTEAYDDVVKKNMELKRVLLDGSDTPEEERCVQERWPFQ DHEEEIN UREG_05697 MTRTRQPNPPVSSLTTTQVESSYTQQTRQGIPLTGTLRLRGDAT TTQENPPEPRRIRWAEDVVDNEGQGKKSSKVGESSSESDSSDSDSSESDGDSEVDTGE ARMANGRGPRRSSHGHDHRDVSQQTKRPRRKPKVNAYERVPNYSKGGEDVKKES UREG_05698 MARLNDLPPATESLESLKRRFVRQNREIARANSIQSARIRTLES EVSRLLTQNVALREEISWLNRELEKRQGSDRLDTQLCSVKEKLETKLAELSALVTDLG SLPQKGGHSAALKRTSDSSNPLQSPTVPRRPAVKPELDSSISDPDRLPVILEDKYYPR FTPEVEVPESPIYDKSDGDISAGIGPPPILSFAADSINPFATEESPRNAQSYGSSPLS QNKSPTFEPRRRRRDSSFLQDIIPDAEPFTESDNRGCHPQRAGSKRKFAVREEEDFNP AYSSDLDGFQFTRLNILPEGLSQETATGIENQPMQSAGKQEPQLTTGKKPGRRALGPK NTNTNLRSPAKKASEMAKSTTEERRSLARTKVKPRNRSASEERSGYNNEGGIIKSDLS QVASDSGSAHITLEAKEISTNPDSIIDRASNSEDIVLPDPDPSDHNASTTTRTRQSRR SRGPVSYAEPNLRDKMRRPTEVFVDAVGEDRFRRMSKSQPEQFEKEHTVYDQSDHCES IGSSHEINEQRTKVLSASTPGTTGHNLGQSTSSIAISALVAGGKKRCQSRRQSESRRY SSNPLLSANRFAGEGLDGSTLISNASGTASPVDISFDGSTEDIAHSQNTSFHTEITES ANMHGAPAQRGPATRRRSMMV UREG_05699 MAHGPRPTGLFTSSSLRQPSGLSSSSSQSSSILAARVAAKKAEL EDLRQLRDVSDALATQMEVLNQKLETLRDGTEAVACVMANWENVLRAINMASTRMAQI KFEPTGQQREAEKPREPALPAPLCNSPSTTRSHWQGTGGAILAPELVLDNLKSKPMQT ETLVGLGVPEAPRFDPDGHKGPKDGEDLIVESVIRSPHKPCANDTWKAINWDGVSASG THVAIRDLAQIKDPLEAMDALEEALEEIGEAVTLSQNHNLDSPIRQGTPVEDIESRNH RSPQMSPTCLVKPEPNVTASKRLSTLDVSPAGENHNSKPQTTPKARAPSNSQHRQTSG NRPGPNAASQKTNGTVDTTKSGKPAAPAPKTPQSEKIKSNRLSIATLSTSKPGITPST EVKETFTSRSRANISALENSQKPDDQGTSRSGNTRTNSSWTNSDDSPTLRQAGWDDRF ARRTPVVSARTRHSSVSSNPLSDSPKLRDATNKANGRQARNSTIYAKVPATKEESTSQ RLRGKELFARDRQLIEEREQERRSKEEAAKRARAEAAQRGRQASREWAERRQKQKTNA ARPSTDAQNPLTRSVVCEGTVPDRVLYPSRSSAWLVSATYRMHVSQMARTYNPALKRI RHCAWVSTIRQADKLLIPKRHHSSDFSKKREINALVVGVCAGPAMFEFQTIMRSRFMT WNSSRPELGAPRKVKWARHDLLHA UREG_05700 MSTDWSLDFCIVCDRQTLGGAYCSQACRLAEIDQYSSLESEPSS PTSTTGPNRPWADDVHNHRSCTPFSFAATKAPSVQTTFSSISSIFSKPSSRVSLTPSA SQTSLSSLSSSSSIVTVSGRAKTELDEYAGCFDQAPAAGLVADPIDKPTIRPFA UREG_05701 MCWPASCSVLLGTHLSKQRQEWAGEGSGGPDWAQGVSVGQGTAG VIRGLRASITAKPVSRASPPSSGRVGAPRLGPAGNGARWEEVFGVAWPVPKGHSATVG DLRPYGQAYILDSSPPVRSVRPAAVDDSHISHTSSCIILA UREG_05702 MALVSIVSELNSSAITHPFRALAVLALLIPGVYVIFNEFVRAAA RVPGLNGPKGFPLIGNLSQIRVNAAEQYRKWSKQYGPVYQIQLGNIPVIVVNSAAAAK VLFGQNAQALSSRPEFYTFHKVVSNTAGTTIGTSPFSESLKRRRKGAASALNRPSVQT YVPHLDLESKDFVAELMKYGKAGRVAVDPMPMIQRLSLSLALTLNWGIRMASQEEDLF AEITHVEDEISRFRSTTGNLQDYIPLLRLNPFSVGSKKAAEMRDRRDKYLKYLNSGLE DRMAKNEHKPCIQANVMLDKEARLNNIELTSISLTMLSGGLDTITTLVAWSIALLAQR PDIQDKAAAAIKEYHSVDQPLCDAMDDQRCEYIVALVRECLRYYTVLRLALPRTSIKD ITYQGKVIPKGSVFFLNAWACNMDPDVWSDPEVFRPERWLEQPDAPMFTYGVGYRMCA GSLLANRELYLVFLRTLNCFRIEPDGKVEWDPISGNSDPTSLVAIPKKYKVKFVPKKE KLLTEALENFVPVSA UREG_05703 MAGRQSRRLLKPLLYTVAAIAGAGGVLYISYRPRNIPGSDPAAV PPPRYGEDGKLLPPQFPPIKSRDEQIRDLKRSSTENTLDAVKEKLDDGVFGARGNNTV AVRNDESYDLLVIGAGATGSGIALDAATRGLKVAVVERDDFSAGTSSKSTKLVHGGVR YLEKAVWELDYNQYSLVKEALRERKYFLHTAPHLSMWLPIMLPVQKWWQVPYFWAGTK FYDILAGSEGIESSYFLPKSKAVDAFPMLKKDNLFGALVYYDGAHNDSRMNVSLAMTA AIYGCTVVNHLEVTSLTKDENGKLTGARVKDVIAEKDGQTEEFTVRAKGVINATGPFT DSIRRMDDPSIAEIVAPSSGAHVILPGYYSPAKMGLIDPATSDGRVIFFLPWQGNTIA GTTDSPTTITPQPIPSEDDINWILSEIRGYLAPDITVRRDDVLAAWSGIRPLVRDPKA KNTESLVRSHLVSVSKSGLLTCAGGKWTTYRQMAEEAVDEAIKQFNLHPQGLATIPDI SGTGFHVDQAVLDGSCQTHQVRLIGAHGYSKTLFINLIQHFGLATDVAQHLTESYGDR AWEVAAMSSPTQIRFPLCGVRISPLYPFIDGEIRFAVRREYAQTAVDVLARRTRLAFL NARAALEALPTVVDIMAEELAWDEKRKNLEWSEAVQFLLSMGLPKSRAGVTRKDVERG RSAGSSSTQNNTAPNAIQMDQSLPQ UREG_05704 MEYVHLLGGALNTFKLNFGHIRLNVLISYKPRLTNTNFRSGVGF GSVENINQEYTFAHCTNIESLQSGSKMFF UREG_05705 MANSPTDPNGEAVRRALEAARNNQDGQIDPRVSAILETAIGELW RKIQSQPDSYILTDNEFALFNYFQDRFRESAVAQRAVARYWNNSLQKFTLIPHAKAAR KPGALSFKRLELALMQHFVTPPLHSRRFFVYFNPF UREG_05706 MANGSSQVSFSSSTYLQHAEYIGNVTPTQHAWENIVSSLNVEAE RLPNQGV UREG_05707 MVFYFTSNVVEPPAFIYVGKDKEENEDLIKYGLEADVWAGNKKD NITVIYTPWSNLLKTASMATGQVSFHNPKLTRKIFVPARQNPIVNRLNKTKVEKYPDL MAEKEEYMSQRRKEERKRREEKRIAEKKERKEREELKWQKEHAYDDLMSEENVYQSSN QNRDESYLDDFM UREG_05708 MGKSKKNKKKKAGGKSHINGEKDNNTKGHDQNAVEAPHDASELE MKIQAQDLPNGPEPDEATDPDATDCEATEPDGMGPQIATKLQSPVPLESDPRFEALVR DRDSLRVEVVEMRRTLEQIQSKHDEEMEALQNKLQETQNEKHNAETQFRNLLGKEELS LARSRIEELEGQNETLKSEVETKSAQVESLEEEGEQRSKEISGLRNRTNLSQQNWLKE REELLEQEAYLRAEFEDAKQAMHNWEILAMEERSVREGLADRVVDLEEQLNSLKIDYE KASNESRSQDITVEGLQKALQEIQTARKQELRELVETSDAQAEDLRRKLQYAEKSAAD ANAELEQTKKELERASPFEKEVKEKNLLIGKLRHEAVTLNEHLTKALRFLKKGRPEDN VDRFVTTREVIYRMGFNDGYRNLVTNHFLHFLALDRSDPKKFQVLQLIAALLGWTDGW IDPLFNALSLKTDICTLEQREQAGLARPGSTASLSLRVPGASPVHRTPSSPALTTDYF SETTPSRKESLAELWSNFLEQESQTGKRHKESHEHA UREG_05709 MATAVRKFRPVVIFGPSGTGKSTILKRLFAEYPDKFSFSVSHTT RAPRLGEKDGREYYFTTKDGFLQLVAEGGFIEYAQFGGNYYGTSTRAVREIMNQGKVC VLDIEMEVWTPLVPARLILCLSRALANEPGKTLQQGVKQLKKTDLNARFLFLAPPSME ELERRLRGRGTETEDSLHKRLTQAKNEVEFAKQPGSHDKTVVNDDLETAYQTVKDWVV DNGDFGEMRAS UREG_05710 MASPAPQSVQQQANNTVYPRSHVGFDSITSQIERKLLKRGFQFN VMCVGQTGLGKSTLINTIFASHLIDSKGRLTPDEPVRSTTEIQTVSHIIEENGVRLRL NIVDTPGYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQERTLHSGYSNPLLPFPLS SLPGHALKPIDIVVLKKLSDVVNVVPVIAKSDSLTLEERSAFKERIKEEFSFHNLKMY PYDNDELDNEERAVNAQIKDIIPFAVVGSEKSIIVNGKQVRGRQNRWGVINVEDENHC EFVYLRNFLTRTHLQDLIETTSQIHYETFRAKQLLALKESSATGHGSRPISPSADREV SRNSQRMTLNGY UREG_05711 MAAIGVLRVLPFPSFSARALPSFAAFQSPTSSRLLQFLSQPLHI SSNAFIPGTVVLGLPSILSGLWESILRAVPKKKTSHMKKRHRQMAGKALKDVKNITNC PGCGQPKRAHLLCPSCVSAHLADIFCLAVTPTQFLSASGASSLKVHSTTEAEFPIAQS IEKAHNIGCHHIVASENGSRAASVGFAGEVKLWAYKDGTWSEDTSYNGKVGDVWAIRL SNDGQYLAGTTHDGHIKVWDLESGAEQIRDFETKGSFGMCIDISSDGRYTASGHQSGN VYIFDNGTGRMPYSLSGLVDPVRAVAFSPGGKLLAAAGDSKIIVLYETSSGEQVANLT GHSAWIMSLDWSHTGEYLLSG UREG_05712 MASTFDPSVSVSGMRPPLASADAPSMADQLPALNFGFEDLRARM AQFSAKFDAFIERGRKQILEEKNQFRVNLAELQEDRNMKQKDIEIFNLKSQTHAQTLQ KEAAEAAEMHEAIAAISQQRDARLTTRDRLKQQIEETQKAINQRLEAQKAHARHLEAQ ARLNVPELEFWQDILCLRIEGAGRDDRLKIVYSHLLEKDWEKEAWFELGTASRDYEVF HCRPKLDRAAIEQELDIVNEDRDFGAFIKRMRKLFVAAMK UREG_05713 MFDPTLLSLPWARSDVEAGRGDIRFSSMIFKKIEGASGFQEQND TKPTFVKFIGQRTELDIVESLYSVELNQESQTASYPYTHSIRKRNKALSSPWVDDDFL VDDMDVPEFQFSTRFQQANRVSNSSDQPFQPAQRIEEWRSEHSWLISNEDELDLDQDG KTIQPQGFDDWISSAVDRLQDQMHSYAASSATLLEILGPPPALDAIDSNTRSFEHFLS TMASVLPATPRQYRLSRLPLPFSATSNLAVYTPVEQSDLSLATVYDSLVYDWLSPLSE QVPNRVRIMREKMIRSVVTEIIFSRISLVRVGTEELDTTNEDEAGALVNESQLQSFGS SQNIISSQTQKSSQGLDPLHEFPEPPYRFLKRYTTLNHQRAPTKRTITTISHWKVNTD PSYYDWQKAADAIKDEQSLSEEHPAAQRRRKREERKRRHLEKAEQQASMQPSTPRLMD GGRLWGSQPVTTPAVSSSGDPRLWSSQVKEESVLPMSQMEKGVFGSRQAGRKSLGKEK KKRRAAGF UREG_05714 MQSLPPDQAQSDSPDINSRVAQLSVENNNNNTLDDVNIDNDPIP EQRPQSPLEPIEPPRRPSNPSADIPARCEGQPSLQQQTVDSEHGGRSSSHTPRRDILA EADGPVSPIRSTGRGFTRPQDQLHGQLSAENGLRSTGNWSDKGQSLPADDGMRILRQR IHAIRESNAPSAEKAQRIHALMTEKYRSSLKVPDSPQGVHHERPLTPLSPQSKRSSDQ LTLTPASTFSSSSSTSGRHFHLSLDDLTPTYVPNVAPPTPSYGDEDLMLSGKTDNSPI SQVQQVLGCQHYKRNVKLQCFTCKGWYTCRFCHDAVEDHSLIRHETENMLCMVCRTPQ QASQWYLHRMLANQARNQSSMHRALHPV UREG_05715 MTRVPNRAAALARSNGARAKIRKHKIIMETVTQEKKKLRSVISF EAKAPPGYTFIPAGNPKFTSACKELCRKDGLKVFTVSTTPHQGMHNLSQQVHRIGYHF PSVVVATVCMERGVFLSSAGKVMPYRQIPSRGNVREFIRERRADSELSQNTINAEARD AIKDLFPNIPDKDLNQIIKTAFRKGKRKVGTAVELPLARRAQLAVVAHIRHVYTEYDR LLKLTSFQEARAAVEEPCLATLVQWRGDDETGETVLEDVFREVIVISDDEDDDETSDI EQTPADRDSSVEIVSSSTVVRELQTQPLPPGNRGIALDPSEDEALSGVRFIPESSRKR KAGDKKKVDRRGFSRYQAWDRAKDRYKDILNISNSNQQSRHSPMLNNPPVTIQGRQHE PQLTRQFPHVQSPTRPLPITRPADSTHSRPPLYQDVEPSIPQLHRRLEPPKIIRLADG SVFERADTVLNPNYVRPDERSRFVAPSSPHARGYLSTDQVKYHTLGLRQHDPMLEVAS NDNKYRTGGHHTAHQAPGYITDPGLPLSRKRDSNGLLMEEAYHQQPPLEDLSGRMKVI DIDDARYQLPPKRSKFVLHNSIENSHPDGRRETTALPYPSSADFDLGKRRETGIYDPE LDRQNILVNKTNRPNDQYPHPLLRRVEHPRPQIERKFTGPSQPKEFTTRMDGFPGEFD RGQVRQGQVSDRSHANHSVHMATGKPPVITAGSSSYRVRDDNNNVGPNQLSHISNPRH VLHGSDTHESREIQLKGPGPVHSVVMREASPERRPLLFNQDTRASRIYSHDFVRPISS PENNGPLRGRTYTSTRDDQIGPNGRYSTKYVQDLDAGRNTTDRRIAYDQRSLSPPTHR PDSRIAGPVHAHRFHDSRASQQTVPLGQQGTYHNRIVADSYHDIPPGQKRYGFAFLP UREG_05716 MSDHQYKFDVSMSCGGCSGAVERVLKKLEGVKSYEVSLESQTAT VVTEPTLEYDTVLSTIKKTGKAVTKGEADGKEMAV UREG_05717 MATGQHPGYDPYAFDGIQQSIDVIWEKQIKIVLNAGALNPRGLA KEVANLVRSRGYNLKIAYVSGDNLLEEVRKSLETTGQLPAHLDSSNDQVQLQAHSTDL LDTHKKPVVSANAYLGARAIVKGLEQGADIIICGRVADASPVIGAAWYWHSWNATDYD ALAGALVAGHLIECSAYVTGSNFSGFTEFNTDLFLDLPFGIAEVAHDGTTVVTKHENT KGLVNAEVVKCQFLYELQGDIYLNSDVKAYTQNISIEDIGENRYAEFPKMLRLAAFI UREG_05718 MSVVDTGAEDRATSATASNQGDVEMSSEDPPLSLPPHLVARLYP IPKDRRRASVPSSRRSSISSLHSSVSAHGGPHGDMPQHLRRASIIESRKARLADRAAH VEKVRLRAALAKSDTKSALKEERALAAQQAREKLLAEIAARCEEEVRRAKKKAEEIKE RKAAEHARHRVEMAEKFAEVERRRLLYQQTRSRRTSSAERKATRRPFAKILSQRAAAC IIQRAWRNYRTRATISHFRSLDLSLEDFATSVLKSNGEQEQDLITKAQDLLVIFEQVI TQLSSIGVSPASLSGDLQTLSDLYNTFFSAFHAWKSHDSSVLIEIMLAQFVELELIWQ AVKDDREGGVADDYQKGIRHNQILLLARLKRLAGPGRAMDLVKQALRKAKREKRRNGT STKAIPRAVTDLPSSGDVPPEEVHSPLRDVFPADAEHWPQPPSEQEGLSPQAQFVKLL TALPDNRTLVHELLINREFKIDEKSYTQVRRQVMEHMCDMMRKDAEAGLGADWTVAMA TVIQDRLLRSLKPGNSLHNLISEVLDPTHIGNQCKAGTFSYDAFFDFMSTVLPKLCAP FRDPDVKAFAEDKSGDAIDRLAKLMGIIDLLSLDHTNFMLQMAAPQLIQEGPGYEQRA FSKDVESGAVTLERTRRFWQSSRKAIIAEMKKRDPESCGVDIAPSVAKIYAQGLVCLV LSNGNLPREQLPETLNLDRARLDQLRSQSFKIAATAAILLSAKNLLKRDVRSQWKGEA ERILSLDFSEIKPERVQSILDSTHPMPAATRSQLLSTIKRVLTPASAASATASSSSAP GVTVTSEPASPSFPSYSNAAAEAPPSAMYFTDPVAKLMLSRLRAHVLARLSAASARER IRTTTTASQSLAAAGMPEFVSEVGKIVDSLNRIREVDWMCHGVAYENIWNEGAEETQ UREG_05719 MKFLVASIIGTLVAFSHSSPVDNGGTRDVRCAVDSDSGLCRSVE EFYHGDASTWSGSDMHRRRVDTGPPRPAPDRELPEEKGPNNPPEKPKGPPSDNGDVGK RILQKALTAKGKPYAWGGGSCKGPTGDIAPKNHGEVGFDCSGLVCWAVCQVTGRDLFA ENLRVTSSMYCASEKKLKYKDSGDRMWNAPNDRVNKVQENRITKFGAKPCPYVIRFTL UREG_05720 MAGEVEFGLNDFVPVSYSAGFIVLSYLVSLAGCATCLELLHRRT SRFGLYNWYLLISAAVCMGGIGIWCMHFIGNRATILHHGANYQISYSSAFTAVSFLLP IVVLMIAFYFIGASERAELWYIVLTGVLTGAAVCGMHYVGQLGIANYTCSYKLPNVIG AAVIAVAASITALGVFFRMRASWTNSWWKRVISATILAIAVSGMHWTAAVGTLYRVKN VFQDTSGQLSSSQTVIVCTTLSCASCAFLIVLAVLTKRRHKKSANRVRQLVLASAFFD SSGRVMVSPEGLLPSQKITNQYIDRTFADDEFSKTHPAFIWAFRASRNWAIFRKLIPG MKYHLLSDATTKAFYPGNSGAADGRADIDLDFESVFKELFCVAAQELADRLHQPLEKM GELYDDIIITGTQSGAQKQGLERDLESVYIPKMLGKGQFLFTVRQLSKEEAAQLSATG WSFAARQNIAPTLARSMQIPPEDMLISLENMRDYSDTQKMMEPGVHLICFSLHPSVRK GFDVLVCEEAPNILPHVTLPIMSVSQRQIDFLSRMDDWPLTLCLRWLKQTSTEHADPE LKAFCQHMYNAIVGLADLIDSPAFGGAKFSARRIMIPCRSTGQAGGTGKCTAFSFRII NGLQSRTTSPQLCLTPLRLFTAQQQVYPGIVDHANFQQTVLEEFGYCAAYQTLKSRYT GSANISSSVPSSQGIRPGRRSDISKTTTSEADDSRSSRNLPWGSIMVSNQVTVDIVER QPSHSDDIGAAFEMQQLGVTAEASWHHGIC UREG_05721 MPPSSPIKLPSSPIKLTHLSDLSPPSLDRTWLTSPHPRLPIAAT CSADKTVRIYSLVNFTLLSTISGGHKRAVRSCAWKPHVKGESVLATASFDATVGIWRR WDGFGTAERDMMGGGLAAGERERRNSSGGDDDEEDEDEEWGFAVLLDGHDSEVKSVAW SPGGSLVATCSRDKSIWIWEDLEDGDNNFETVAVMQEHSGDVKWVSWHPEEDCLASGS YDDTIRVWREDVDDWGQVACLRGHEGTVCGSSSDLDPVRGWFPVQTTRPSAYGVNNRE KGRPRRSIQPYQA UREG_05722 MSSCQPPPFLSSMVVRWLSVWIILASSAFASAKCAADYYVRSLP GQPEGPLLKMHAGHIEVDHENNGNLFFWHFQNRHIANRQRTVIWLNGGPGCSSMDGAM MEVGPYRLKDDHTLKYNEGSWDEFANLLFVDQPVGTGYSYANTNSYLHELDEMAAHFV TFMERWFELFPEYEHDDLYFAGESYAGQYIPYIAKAILDRNKNETVIAQRRLWHLKGL LIGNGWFSPVEQYLSYLPYVYKEGMVKNDSDEAKGIERAHSDCVAELDRAKGDVKIHV DVCEKILSAILDVSNKSGHCVNMYDVRLTDTFPSCGMNWPPDLKHLAPYLRRDDVTSA LHINKDKKTGWTECAGAVSSSFRPRKSKPSADLLPGLLESGVRIGLFSGAKDLICNHI GTEEFINKMEWSGGKGFELSPGVWAPRRDWTFEGETAGYYQEARNLTYVLFYNASHMV PFDYARRSRDMLDRFLGVDITSIGGNPTDSRIDGEKGALTSVGNHPNSTLAEQREKEK LKAATWKAYYKSGEVALVVVVIAAGAWGFFLWRSRRQRQGSGYLGIYPSLNGLSSGSL PRYRNKRSSRDIEAAAEFEASELETLHDMDDRSPGPSRDNYSVGEDSETEDEKRYPPT DFDRQDGTPSASRT UREG_05723 MSQTSAQAAPKTYVVEHLDPELGPWSALEYECIAKESAESGARF MLTSVPATLRLPPNLAALDSLHVEHRGVEEIFASQKARVCLLDPAASFELSPADGERF DIFLFGGILGDDPPRGMIHRTSELRMKGYVGRRLGPKQMTTDTAVRVTRIVVEEKTPL DQIEWVDYPELRINKHEATEMPFRYVKGKDGEPIMPTGMVELIKKDADKGLEDLL UREG_05724 MSSALADERKYFDSGDYALSKAGKASDVGVTSIGSRHPVPENIP HLTATSPPQSSSIPGHFNGHSPTHPGGIHNGVPVGMSRSPIRESNFPHRKSSLGDSGR GEEKQKEKTEGSDENEAGTTAHGTDAQEENVSPARARGGLPIRR UREG_05725 MWIVRLISSAFFLTAIVLSIPLAFDVGGRTCGLAFSLSLATFYF FLSVLKLTTPDQSRIRYSLIVLVRSTQWLVAPVLLIWALNKFSVDSDNSSGWVERTFS GKRAHDTSIYEWIFGVNGLVETLSLGSWDRLLRWSTPVFQLAEGFCSLLVIQAAGQIT RWLVNRGGRSESWMICLLVMSASVISSSIYFLWRVLRFPEISNVDSALIGVAITCAVF LCAWGIGSGRGNPVESSLLFAYVVLCIYQIFTDYQSSTASAAPPASSQPEFPPLPPII MASYTTLMHTLSSLPSIIHTAFNVVSAAFSAISPSVLISLLYRLFVLYASTRIIPAVR ESGARALSQEASLDDSDGAGQVLGLLSWFSPSILIAVYTSLLMQHFAAQSMGTSGEWW KSAGAASGNLWRWINLGCTMGLYAVELWLGKQDDIDAGLAGHWKTD UREG_05726 MSDSCSRATGHFHADEALAVYLLRMLPAYSSSPLVRTRDPEQLA SCHTVVDVGGEYDPARNRYDHHQRTFQDTFPNHTTRLSSAGLVYLHFGKAIIAQHMSK PIDHEDVQTIYEKLYADFIEALDAHDNGISVYEPQALAASGLEKRFRDGGINLGSLIG DMNLPDPNDRLDEDSLFANASTFIGETFARKLRAAVSSWLPARETVRAAYESRFDVHS SGRIIILSKGGVPWKEHLYNLEQAAPGMPTTTPSDVPMLGKEVYYVIYPESTDPAANW RIQCVPTDASSFESRKPLPAPWRGVRDQDLDGVLAAETETKNLSPIPAGAIFAHASGF IGGHKTKEGVLAMADRSLQYN UREG_05727 MDLDGLLDLEENFYAEGYELGVKDGETAGYNEGSVFAVEKGFEK FRELGRLYGKGIIWAKRLPGSHGLLQAAKTPNSVSESSENHAAHDGLHLPDLPPNPRL EKHLATFLSLVDPLTLVMENTEEAVAEFDERLKKATAKAKIIERMLGETGSTHQHEDS QAKSGHAKQAATSGNIEDIGPLPARMMLEA UREG_05728 MGSQEKILPQKGQRNILITSALPYVNNVPHLGNIVGSVLSADVF ARYHKACGRPTLYVCGTDEYGTATETKALEEGVTPEQLCAKYNKIHQEVYEWFGIGFD IFGRTPTQKHTEISQRIFKRLYDNGFLEEKTAEQPYCEKHESFLADRYVEGECPRCHY DDARGDQCDKCGNLLDPFDLINPRCKVDGATPVSRPTKHIHLLLDKLQPQIEEWVRPA IEKGDWPKNSRVITESWLKEGLKDRGITRDLKWGVPVPLEGYENKVLYVWFEACIGYP SITANYTDDWELWWRSPEDVQLYQFLGKDNVPFHAVIFPGCQLGTKDKWTMLHHLSTT EYLNYESGKFSKSRGIGVFGNNAKDTGIPADVWRYYLLKNRPETGDTQFEWRSFIDAN NGELLAKLGNLVNRVVKLIASPKAYSGVVPDFTVQESFNPVLDDITTILRQYIEEMET VHLRAGLATAMRVAEAGNNLIQSNKLDNSLIANEPERAATVVGIVINLIHLCASVFSP YLPATSKSILEQLDAPFANIPSLEDLKDGWKPTAIKGGHKIGKAKYLFSTIDVKKADE WRAMFGGSQAERQKKEEEAAKLAAKKAANKAKKKEKKKQPKGETEGEDTGKSVEASAK GGAEAVSATVEGGKDEAVEKIADGVAQVTIPTS UREG_05729 MSGLFGSASPAGSSGDISKDVALSSPPDDSISDLAFSSASDHLA VASWDKKVRIYEINEQGQSEGKALFEHQAPVLSCCWSPDGTKVVGAGVDKAARMLDLA GNLSNPIQVAAHEAPIRCCRMISNPGNSSQPLLITGSWDKTVKYWDLRQQTPIGSLDC QERIYTIDVNNKLLVIGTADRYINIVNLDQPTKFYKTMQSPLKWQTRVVSCFADATGF AVGSIEGRCAIQYVEEKDSGKNFSFKCHRESPPNNVNLSNVYSVNAISFHPTHGTFST AGSDGTFHYWDKDAKHRLKGFPNVGGTISSTAFNRNGNIFAYAVSYDWSKGYTANTQQ TPNKVMMHPVGPEELRPRAAANRRTR UREG_05730 MAAPEHPTSIRESLESTKVEYRRLGKSGLKVSVPILGAMSFGSS KWMPWVIDEDKWDTANVYSNGDSERIIAKAIKNYRIPRENLVIMTKCFGIMSERENLM TFGLEDKLSQSKEFVNQHGLSRQAIFSAVNASLKRLETDYIDLLQIHRFDYDTPIEET MEALHDLVKSGKVRYIGASSMFAYQFAMMQFCAEKNGWTKFVSMQNKYNVMYREEERE MNRFCKETGVGLIPWSPLERGFLARPPSTEANTKRADVYLKANKLTEQDLEIIGRVEE IAKKKGRKMVEVAIAWTLGKVTSPIIGFSSIERMDDALTASQVSFTEEEMKYLEEPYK PKQIVGHF UREG_05731 MSEPLTKVDSAVAGLTPAEAKKAKRVTQDTGVMNINDLEKEGIE LQIAPETQKLNWKLNTSPASLDEKDTLKKLLTTPPVKKIDLHFPLGLQVTARNLKGVT IKDALDAIYKQFKKKADDELDNPILAGFEWDKEESWTRLIVHQKKEGAPVSKKAKKKG EA UREG_05732 MALGRRDILIAGFGGCLAWALLVEWLPVLRLLGYSFVLGVALTV LAFGALTVLSVRDGFDSRTRPANLAGSSIAFLSPQNWDGHCGRYRDDAKYQPVTLYSQ SFMVSSALDELIQLALRDFIRSWYEHISKSLKFTNEIDRTIRTIVHKLRTKLEKEDLV ETLVSRIVPIVTTHFKEFDRAERAVRGKNLTHNVTESEELDIAIALKYKDGILHPAAS PSFPDKNHAQQNHLRRIVTSLLPDLIPPSLLSSRAVSILIREIITCAILFPLMELLAD PDTWNQLVEAYGRATIQDRKTVRKLRAALDEHASVPSKSKRSHHFPRLLPNDSERDFE RFVRAIRRCNNLSDARRFRNHVTSQLKRESMVDGQDQVYLRRLETGKRVLDQKVAKLS VLGGHSTAQANTDLRYSYISKPHEVSLVDIMHNASGLSYFMEYMDRLRLMSLVQFWIV VDGFRNPLEDDFGDDTPTKSVTWTATDRNDMILISENHLSKSELRVPEESRKAVKEFI GSGKRATPEQYRKARTAILTAQSTVLEEMQNRHYPGFKRSDLYYKYLASDEAAAGLSA QLERPVPTGSPAPDVPAALQRTGSQSSNNSRDIRRSAFSPNETPKLALFTDNPSMERR SLDSPRPPLFNDDVEPDPMESSTYSLGQGSHNGEGDMQDSRIIANMEAALNDIMSTEP NDARLDEPRGELKSPLSLFTSTKYADSSRNSLDSTRPDGYPSEKPKPSIASLGLVNTA SRIGVFTDDDLFPDQEKFIEDEYADEPLETQSPEDEIHEAAPGDLGLTEAISALSADI AKLSSQEAIIDTLTRKAELTNNTAELRILGKSKSSLQREIRRKEMQKQQYIIQESDNS LYGRSGVQIKSIIVGKEEDGRKPASKCQRLPGLFPRRYSEFHELHHQLRMRYPAVRQL EFPRRRVVMKLQKDFLQKRRQGLEAYLQQLLLLPDVCRSRELRSFLSQRAILPATNTT DTPRDGESRDLVTRIYNSVTDSMDDILGNIPAFDQLSVAGQHLMSAATAQRSLNPQPD LITPEDNLTAAEAEAELNAFEDRELEPFVKPICDMFLEIFELNKGNNWLRGRAVVVVL HQLLGGTIERKVREMAKSLVQDSALLRYISLIKDTMWPGGQLRETKPRSLAEKMKSRT EASVVLATLIPDLAGSVVGRANAQAAARRVFATTNNRRLNLHLVYEILDEVVGILAGT AK UREG_05733 MSSLTDFIDFSQPSFKATGTSRYLQYMGPKRLLTIEISQQLPQL LLPSIPFSGMWLLDKEFSATTYTMKLSKPNLTLRPSTNRNTLVLSSMWALGLTGTYLG DYFGILMDAPVTGFPFNVSSAPMYWGSTMSFLAVALYYGKAAGLVLTAEVFIVYWLAL KWEDPFTAEIYAKRERDRAKAGKSNKRA UREG_05734 MASQLAMIEDTIVGLKRALAREKLGQDAYDEPISQPTNRGNKTR LNAKYVHQGSLGIMNGEDFYRKKVDHAGYARRILQYNPVRYDSEGDELDDDDSDATAD AEAAEENPYSGISLETLLAPLKHPSELPEHPSMAEPYKSKAISHMVDLIDKRLRQERY ALWQAKKVHRQLLGDTPWIPCGAVEKPQDRAIFEPAFLLPKDLQQLGSSLPDHNAHSN CHSSVAQPSHLDQGSSLDIEPNPRPQPLTNGPTTSEAFSREDCEMLDVLDDKDNEVDA QMEDVNPSQTDNSKTGVSESRDKPPGSGTEPIEIPGILIPDGENGQDLENKQDGDAKE NDTSSPTQIPKAEMEIDDDPLDTAIADEESPEPPRRMTTRAQANQVTQQDGSQPPSPT VSGDTANASDIEPDDTTPHPLYRFPSIKIDGNCGLPVIEAEETRHLLWAYIQKQSETV RLFADILEMLRKSYRMKEDVFEWCKAEGHLGEMSDGEDWYDREKWGLEGEDLKKGADE EEQEVDEGRATGKRGRRRQ UREG_05735 MVNIAIPELYNKAYSGTKVLIEEYAAQQVDAVRYVMNLEAKPRH WTGFDAQAKRDFIRGARQGLGRSTLLFEGGSIFGLCHIGVARALYRQGLLPRVITGTA TGAFVAALLCIRRDDELESFLNGDDFNLEAFERRRPPRRLSLSQMFARENGYGWFQSL FRRMVRHLNEQYFQDMMVLQECARAELGTLTFEEAYARTLRVLNITLAMPKRGGAPNL LNYITAPHVIIWTACIASNKSFTAKGPVRMMCKDETGQIVLWEPLLEDLDLHSWHLSR CRRKASPLRILPQVLNVNHFIISQARPFLTPIFRSQIHRPGHDVPTGEWEIFQFFKTL AKVDMRHHLREYDSLRGLPNILRRILIKEDIPGSCVALLSDVSFWDLIKVFRKPTKES IRSWILRGERGVWPSIASLKVRCLLEMELEHSYAQLTKTWDTNLLTW UREG_05736 MRYIHSEETLTIPDDVSVSIRSRIVTVEGPRGKLVKDLSHLSVS FSRPKKNILAIEIHHGERKAVATLRTVRTIINNLIIGVTRGFKYKMRYVYAHFPINVN IENSKETGSTLVEIRNFLGEQIVRRIVCQPGVDVIVSQNVKDEIQLSGNSIEAVSQSC ADINQICRVRNKDIRKFLDGIYVSEKGNIVEE UREG_05737 MSPYRRVRLLLVSVFLLVLLILFLTADQRRIRNQTIYQKTVEAL DAKHARNQGQRDDDGNLFQKLKPEKLRPEDAQAVAVDRPRYDGATVLKGDPDDGKDNS GSVAGRVKVPSAGEKAPAPEQKNEPGNVEVETEFNSILKRSPIIIFSKTYCPYSRKAK YILLKKYSIVPAPFVVELDQHQLGPELQNLLGTNTGRKTVPNVLINGMSIGGGDDIEA LDISRDLVPKLQKMVGRRLVEARRVDDNPI UREG_05738 MGSITGPMRSLRSYTNISLEELSAQNIRDVILNTNHPSDLTFQN ITPSTGFRIATSFVEDPEIERAHPRISYNPLTQALTARVMPTLVDDCHQEWLSNELLD MVLAGFMTVAERKELKLRVRTTLKGFAAPYTYATKEPDACILPGTLPLPTVVVETGWL ESWPRLDADKDLWLVGGASVELVLLIRWTMLPCLN UREG_05739 MSGHNAASKPTNIFNNSRNQCISTLKVPDLALLSGREILILENP GILMLESLGFPTDEQDIESRFHLESGLGSVKLDTTQWVEVWKHSGQPKRAERHGSRIH VCEATPPALPIRLGAFLEIDSYDDRDVVIDWEEFHRRLKEDLLETAISRYREMLKECY ERENACRVKEIPSKRNFRYGFKRWQHAPTGHPVNPPMTLEENFLVSSIHCIQTSDYGV NQSQKKKKDPMADDNPPALVGRCHCGSVQYQTTHAPYGLTYCYCSTCRALHGAPFAAF TNVRDTHLRWTRRDTLVEKRFSAHATRTFCSECFAPLTMVYDREPGEVGIVAASVDEK GSRCRVPEVKRHIFVGEKVGWCAIGDRGERFEGLPGDMIGYLEK UREG_05740 MSNINSNARKAVNEAIVNSDQSDIACMVDAARSSVRKRELARAE REKREAEEKRLREEADAAVLEKEALKLC UREG_05741 MDTNWVIDAVHEVQGELWVDKVNETHRAGRLCPWVSTFHINNLP CQLAGTFQHGSYNAGVKVVFSDNTAWMVRFPRRGKVCDTYADEKVAMEVAALNLIYEQ TTIPVPKVHAWGLAASNALDLGPFIMMDFMDGVSLDNILIDPNVDNPTRLMREDISDD DVKFIYRQMANYLLQLFELDFDRIGSLSWPGAEANSTARPLTFKVHSILQNGGVTDIK GSRQQQITFTNLVVKSKEDLTVVGVVDLEWSYIGPAQLFGSAPWWLLQDRPVHPEWDA DANGSKLSHVGTRYIKHLEMFISILEEEEKTMPGHEDKELSNLVKWSQESGAMWLHML LSSGFNDHHVFPFMQLRQHLGREWTTKEEELNISNDELEAFAARKVNELDNYDEILDY RREHLALVRNGKMSKEGFLALIKQNSPANANDLREPISLD UREG_05742 METPSTIPVPPRRRAHRTQQGSSQRPQSLPARSLTASEQPPTNH VHVQPASPEVISSLISSLSTISSPARNHFDSLPKIGSHTEPSSPSYLQQEFPRDDKEP APSSGFGMDYGAYKLPTDGPDSALLHPDDAAISPIVRMSKPPARSHSRRSSRSTKHES SLMRSGSRASYASSKHGKDELSSFGIVSLEPGPRLSTTSIASSSSGGRRSLKNPLSIL KRSSREFCKDKEADRLSVADGNRLSSSRSRLSLRSTTSMADLAEEGSNDAVQLRPLED PNPRRDSAPSLSSSHATTDFSFSPGGIGGGRFIPARESSLRHKSGGSKKRRSTRHSVY SNRDFTIDREIAEVNGENDQTSKRITENSNNQQDNAKNGSHADDQLPTHYSSTLKTSP QSSVRHVTPSGANKQKDSLERTRFTKVEELSFDREESAPSPTVQTRRKTLVKKRNSAP LALKQTNIQPMMGKQEKADSLHKPSKRLSSSATSGTRSPHRISAALSAPVPQTPNAEE RPSSADSVDEGVRAYMTASRLTQKVTHPQSGRIIAFSEVGDPNGHVVFCCVGMGLTRY LTAFYDELARTLNLRLITPDRPGVGESDPCVDGSGPLSWPDDLAIICNQLKITKFSML AHSAGAIYALATALRMPQHIRGRLHLLAPWIPPSQMSGMGSHKDPLPANALPYSQRIL RALPTPILKVANSRFMTTTSASVTSSLPKSSRRSKRLNGLTKEKETSSTAETAVTIGA EVGRASTAAPRTSVHRQSQDIVSRATSDPSDPKAESAILAAAGADRERQSEYDNRLTH AIWEFATTNANPAVDLLICLERRQTIGFRYNDITRSVVIHHGSKDTRVPVDNVRWLGK TMRRCEVRVLEGEGHGLMASATVMGNVLMEMAKEWEDWTTVVQGRRDGKIANGLL UREG_05743 MDAYPPDYVDHNLPLVLLSGLEPNGQSLQDSLPSEGAYPGLEEH GFQIFSDFPPLVDATAEGLLAELLAEDASHAPWNVRTHGGKAGGVGFKVQRAGRTYTL PPRKARPPATSSSSSGTDHHETTLSPLILHSPLSPLTPGSPTYPDGIATSLWVTKHQS LVPAAFVNFLPLTLDSNMASLRDNQLKIEINSLKRDWAVSGYKTKFVVALICEDGPLP ADVNERISSIRRATNLDLKSVFVLPPSPSQLDIREFVKSLFSSLQSPCVEYYRDLSKH ARRKRNRGTIPPPTVPPTSGTSQTLASQGWNIRYEFKLGVFAEFRQEMDAACRSYESA YELLFGEEVFETIAGWSSRFNDARMLADVLAIRIIRCLLWTEHTTSAVRIWLTHQKRI RDMVDRRGKGTKNYGWEAWEARWSLVMTDLMQRANLSALTPPADTLRTYPNMRQTVLF APPEKAASANDRLYPWELLHHEGYWLNRAAKHTSKRRLLAEKIPDEDRSPTSQTSAAQ SKHRSNIYDTYLAPEPYDEYPLTGNKGTNYSRLIIDYLTASSDQFSKRGQFRVVESQK LDIAREHMRLGEWQAGLDILRPMWPALTWRRDGWWQLMEEFGWALRECAHHAGDPETI LRVDWELMNGRFTRRSEWNYDINKSIADLPLQNPKPSVVFKEDEEVVPCLSASFAFER SEGNVGEPLLAQLVITSTAHETASAIRLSEVIVAFEGSLRPIKLQASPVAATVSDNQT RISHTMLVDSQSSDAASIQSPTLGGTLSMTGTVDLAFPPGQTKAFNLTCIPREAGKVQ VTSITLMIEDEMFNLTSVISSQSFKDPVWWSPGIKGAISRRIGKDRDTITCDILPKPP KVRILTPTLHDHYYTDEHAVLDIQIENEEDEAAEISIEARLLGQSEDSAQIHWVDDPE ACVQPRDSSPTSPTDDSGSLGQVKRKEIGALDSGGRKAISLNVTNTSVPLEYGLEISA FYHLLSDPETPIFKTVTLDLPFIRPFEANYELMPRVHPGPWPDFFHVDEPKLIDESEP RAHGLQQRWCLDCKLISFAHETLLIDKVSVAVTGIEGGLVRHIGPEIHTGNVEAAELH PEQVRESQFILELQRLTLGDRQTTTLNLALEVKWRRQDAEAPSSSAVELFIPTTTLSV PRFVIPMGEPRVLATVTRASALPNFIHLDYTLENPSIHFLTFTITMEASDQFAFSGPK SLAVQLVPLSRHTVRYNLLAAGNQKWIQPQLMVVDSYYNKTLRVLPTEGMRADKKGIL VYTA UREG_05744 MKFLSVIAGALLMTGAMAMAEEAGPTRCEYLSKRDCRLRRRDHD DNRTAGVYCGYCPELTGRHVEERGHRDFAYRIDRNGDCCSLGERRSCRGRPNENRNCP RRSRPHGEEA UREG_05745 MAGMNSGATRYDSNIGSYGDGRGVTRGFTQRDTSGITEKRICVV TILDAVDLTSINPHDHHNLSESKVRLYPSFPSLEAIKRRFSRLFTSLFQVNNSPPERS LMVWELRNTKTHGEMCSLTMLLMISMVGLPYKTMHSPETNSRGKTQGTSARTPTELAL PQNYAKLVEARRIFMGAPINSIPPGPRSGKEEMRILHGHPWNSPRIQQGPPCQRQAFT PHPAKVEEEKCNCGCCQVRKQDAIIFGG UREG_05746 MANPTTQPAVALGQVVETAPEKPTAPVQTDATSSIRPEGYDHVF DTAEGVGNGPRVINISENLEVLCGPLLNYKRLSTDDSGRTIWHGTVLIVTSPGQKHPS LKLRAKGPVSHGEDEVHINGDVSGTKDVNIEGRKLYADPVKAFWRFSLELPLENFEAR WEYTVLRFRSSSDASREASWNFVVPSVTESMRIMFHSCNGFSVGTDLDHWQGPLLWHD VLRRHEKKPFHVMIGGGDQIYNDSVRVDGPLRGWTDITNPAKRRTHPFPNTMREACDK FYFDNYIRWYSTEPFATANAQIPQINIWDDHDIIDGFGSYTDHFMKCAVFRGIGGVAF KYYCLFQHHSAPPMSTFTTDTPETMKAVNGTAGRDPRQLEHSYVYQETEDDPSWIPGS RPGPYVEETARNIYTRLGRRIAFIAIDGRTERTRHQVNYPETYDLVFNRLEQELEAAD GEIKHVILLLGVPIAYPRLAWLENIISSPVIAPIRLLNRRFGVGGNLFNKFDGQVDLL DDLDDHYTARHHKRERRQLIQRLQHISERFAVRVSILGGDVHLAAIGRFYSRVKSGIP VVNDPRYMINIISSAITNKPPPKAVANLLARRNKIHHLDSNTDATLMKIFDKQPGGKE KSADFNKVTMPSRNYACITEADFSETNGTNTTSEQELAQFPPPKDGHFPLHPGEVDAG TIHPAADGITGRVGMDGGLDVSIRVEIDPADKEGNTEGYGFWSKPPVLKIHCVSAEGC YVLNADGWPDSSTIDCETNRGASPSPFPSSWASPGATPGTAHG UREG_05747 MEWARTKSAAAYNYVRSWVEDLYLSYNKENRTSYVLKDGLNNTK VTGDENVDGIQGSINSAVGNTVAPGQVGGVVGGAVDQNVLRGNFR UREG_05748 MADANRYQASDAESDTEQPQPQPQQQEKQQPVAQQQYQPQQQQQ QQPYPYAYAPPATQQQQVVARRTGDLGGRTGAIRESRIKDRPQPKEQRDNSLKIKIEL DLEAEVDLYARVKGDVTIGLM UREG_05749 MNNAFSIAWRGPCLGIRSSLQPKPSPPVQQFTRSFCRDARNSIR AACSRGDGSLSPRWSGTSAKPPSPILPRYCTLRRPFNTSASPRAQLNSSNNGGPQETG IPFQPRELSHSQIAQVFGTPRIPPAFGNRILRVLHGRRVAGTLDLDLPPDIKNGVPQH VLDDGLQWLRKHFPVDEDAAIMERIEREEQEEEERLMRRAEKLGLYKPQSGRFGAEVE KEGDVYGHSVLQEIRQENERKNLKREEAERHEWLESEAKEKENLKKAMQRNTELAKFE ESAIAEARPRADPNLRPALAWIQKHHLRATATNIDTSKLNKPRRILPSLGIAILTVGL CYFYSETYQAPSLEHRMFPSLPPAAATALSLIGTNVAIFALWRAFPPAWRLLNRYFIS VPLYPYALSTIGCVFSHQQLHHLGANMFILWFVGTRLHDEVGRGNFLALYFSAGAVSS ITSLAAHVLGNKLTVTSLGASGAIAGIAAAWCMLHANDKLAIAFIPRDWQEVFSANGS TFLAAIVLIEIITLMSPLRILAMDHWAHLGGYATGALVGWFWKEKREKEKKKNFWYKF LEGYR UREG_05750 MPSHNASHDYYAVLEVPQTADLNKIKSSYRTIAMVTHPDKNSNP DATAKFQLLHEAYSTLIDPIQRKLYDAQYRAKFGSGAPPATNSTRRSGPNEQGSGHEK QPGAELETRLRHLNAQRTRLEDDMRRRKAALNKLQSEIACLQADIKTREQAGKGTWWG FFTSILFGGATETDDIERQRDLERLQRIAARTVKENMLEREKAGIRTVETSLQSAKDT ILSVEQDIRKLRLEEEEARRKAHQERVYREARSRMAEMRKKVEQEERARQARDEEIRN EKEAREREARARMERDAKKWARMASGDTEHTKGPCAHRSWWDKIDQGSVCSHCSIATR RFAFKCPDCGIMACATCRRTLKGPRSYASSKRHKKHKHQQQRAAKPNN UREG_05751 MSSESLPITPTAFAAALKDLTIPSLYAKVSELRNSISHLERSNA ELKQFLDSTPGGDAVCEEAVGENEEVIKRMLSRVDLVKAEVEARGQSWIELNGTDPRG ETNDMEISAAAEDAEPTAGSPAIQGSGESLVSGQAADQPTERNGTLRQRSTATPTEER ERQGDDEPEGIYL UREG_05752 MTNSSSGSPLGADSTSNERQTVKYFFQEKYAPLNVKGNFLTLCA CPKNVELGEWLAHQNGKAARISAPKFINRVEKWIVSKIHDPVMFPTEQVSAAPITFAL AESANAPGGLSGPPPANPPISNNGTSPTGAAGQDWIGKSSGFPPTFYKDCQGIMKQMF RCYAHLYHAHWENPFWHINKHEVLNMCFVHFVTVAKYYKLVADKEMEPMEPLINIYIT QEKIPPEALAGHWAQQPAPSSTTSQG UREG_05753 MSSGGNSPQHLSKDSGDDVRDTIENESLPGDNTPPSLEEDKADL DNDDADLFGSGSEGGEDENDHRRRNLDDEELDSGDDQDRWDRRGSMEDEGAEMLNIMD LSLGRTAEPESTDGQIYTLNMPNFLSIESEDFNPETYVAPPFSSASTSLCWRYDPKDG ETIQSNARIIRWSDGSLTLQLASNPTEQYRMSLKPLARSNTSTKLNDYDSELDSHVYL GAAAEASSVIRLTSHLTGQLAILPTTIETDDAVQRLQESLAAATRTSGKNPDGTVAMI DVKVDPELAKKQAEQAEREKLREARRRQLAADRDLDRGRRVGLPGRTGGAGLTIAGLE GDDTLAARGRGGKKPKRRTNRRGEIYSDDEDEYGRRGRTREDEYDEDDGFLVRSDEEV EIEEDEGDEEEILDEDDNLEAEGETDDEIVAPSKMTTRDKDKSPKRALDEDETAAAVE TEGAKAGSPPARKKNRYVVESEDDE UREG_05754 MAANPTSAAASWFNMALSHPSSKTHGAGDAPKRHAACDECRQRK LKCSGEPTGCSRCVRQSLFCHYSIQKQMGRPPKRKRVDESKQSVSIQTPDEGAITDLL PIFEGIPDPVAALEASNMCPAIYKSFMKDQYDLKAGPFVTNGPIFEYEALPPEQISEW KPQDPDYSQINTSVLLQSPEYHAIDTSFPAPSTHTTNQCSCLSYLYLCLSSISTLSSF PLSVHSLTTLYNAARTAKSVIHCQICPKAFNTSMQNLMLLGTLLNVTADGWFLVSGKD PELLGKECVDLSYIASLPTDPELRQQHWKSWLRGVVKHAVIGSSVPPIVHAAQTQCLE TPSLLSLIEDLEERQRKRHEALAADAMAAGGQPQSGHGDEQDYFCMRVVGSARLVIAR FNFDEE UREG_05755 MDRSKGSKKRKAITKDIDEDPSVVSGNEYELEALDRNDSDDSDD ASDSEIELIPDFSSDEGEDYNEIDSDEIPSDTEPNQSAKNGINLSRIEDRSDEFTEDD EPNFRIEKDANGNDRYIYPEINPDDNSEYSEVDEEANVIGDIPLSFYDQYPHIGYNIN GKRIMRPAKGQALDALLDSIEIPKGWTGLTDPSTGKPLELSQDELELLRKFQMNEVTQ DGYDPYQPTIEYFTSKQEIMPLSAAPEPKRRFVPSKHEAKRVMKLVKAIREGRILPYK PPEEADESQEGVQTYDLWANEAPRPDHPMNIPAPKLPPPGYDESYHPPPEYLPDKKEK EEWENQDEEDREKEYLPRDYSALRKVPGYDRFVKEKFERCLDLYLAPRVRRSKLNIDP ESLLPKLPSPEELKPFPTTCSTLFKGHRGRVRSLEIDPTGVWLATGGDDGTIRVWELL TGRQLWSAEISDEDAVNVVRWRPGKEVVMLAASAGDSIYLAVPEILNPELESASLEAL DAGWGYAASAATGPSSTKKTSSLQWTRPSSALADSGVYAVIPLGYIAKSLSWHRRGDY FVTVCPGTSTPASLAIAIHTLSKHLTQYPFRRRLKGGGSPQVAHFHPSKPILFVANQR SIRAYDLSRQTLVKILRPGARWISSFDISSDFPPPLPAVIIFIGWAPTTDGCSGMISI FLIVPIRPLRYHQKGYSTSQIPPTLSSFCGLE UREG_05756 MPPRGLGGRHSLGHGIDKEVYQIIRKLVDEQQSEGSDLRLSVPT IYDQIKRSNSSLNRRPKKLLEDSIERVLDVVKADAADDDESESLDGDFEGLVEKQQLP ALNGVNKSIVGMWSSQSKPIPTPKKPDGAAESSSSMPVTASKRRQAGGESISKRRKAE SSIDRSPPTHVSLADLGGVDDVIQDLEDLIVLPMTRPQVYSSSKVQPPRGVLLHGPPG CGKTLIANAFAAELGVPFISISAPSIVSGMSGESEKALREHFDEAKRAAPCLVFIDEI DAITPKRESAQREMEKRIVAQLLTCMDDLALEKTDGKPVIVLAATNRPDSLDPALRRG GRFDKEINLSVPSEPVREQILRTLTRNMNLANDLDFALLAKRTPGFVGADLNDLVSTA GTAAIKRYLEHLKALGDEEMDIEEPHNNISPKILELRRLIKRARETPPDTESQTVHVS NEDFFAALPKIQPSSKREGFATIPDTTWADVGALGGVREELVTAIVDPIRTPEIYAQV GISAPTGVLLWGPPGCGKTLLAKAVANESRANFISVKGPELLNKYVGESERAVRQVFV RARSSIPCVIFFDELDALVPRRDDTLSEASARVVNTLLTELDGLGSARQGIYVIAATN RPDIIDPAMLRPGRLETLLFVNLPNETERVDILRTLVRNLTVEVTPEIETLVRSCEGF SGADLGSLLRRAGYAAIKRRDTIKAEDFAVAKKEIRPSVTDMKKYDRLRRDWGGGTAA Q UREG_05757 MGNASSSQKISAQDRAILDLKIQRDKLQQYQKRITVLTDRETSI ARECLARGDRPRALLALRRKKYQESLLAKTDAQLDQLEKLTGNVEFALVQKDVLFGLN QGTKVLQAIHKEMGGLEAVEKMMGESEEARAYQEEITLMLSGQMSNQDEDEVEDELEE LQREVGGSAKLPDVPTSALPEEGARTPATRATQRARERARAQAESAVPMAA UREG_05758 MSHHHHHHDGHDGHNHGHDHDHDHNDDLTPTFQSLLYKQIDFDG IVTLNESEHKAGAAIVKKTWAQRLDESPELESDVDEQLLMYIPFTGQVKLHALLFYAA PTPSAPKTIKLFRNRPDLDFSTASDLQATQTLTVPQTLTGSDADVLEIPLNRAQWNTT TSVTLFFEDNWSDGDEEVTKETSISHGKFMMDRRQGTHPLYDSGAFNVSSHTFSAERD L UREG_05759 MAADSDLSAGFVASRYKPPALLPIARHRKSLLYVVENYPVTIVV GQTGSGKSTQLPQFLDQAGWCADGKQIAVTQPRRVAATTVAARVAEEMRCNVGQEVGY SIRFEDVTSAATRVKFLTDGLLLREALVDPLLSRYSVIMVDEAHERSLSTDILLGVLK KVMKKRPELRIIVSSATLQAKEFLQFFAGDQVDSGNSSDMGGKVGRIVSLEGRMYPVD SLFLENPTEDYVERAVKTVFDIHAEETSGDILLFLTGREEIDLAIQKISEYAATLPPH SQGLQPLPLYAGLTTEQQLYVFEPAPENTRKVIVSTNIAEASVTIEGIVYVIDCGYAK LRAYDPNTGIDTLTAVPISKASATQRAGRAGRTKPGKCFRLYTEQSYESLPETSVPEI QRSNLAPVILQLKALGIDNIVRFDFFSAPPAELVIRALELLYSLGALDDYAKLTKPLG MRMAELSVEPMMSKVLLNASSFGCLSEMLSIAAMTSLQGSVWYQQDDEKKALESARRK FAAEEGDHLTYLNVYQAFVTKGKKDSRWCRENSLNYKSMLKAVSNRAQLKRYLERFGI EVNETLSSHRSQASTTAEQIQRCLTTGYFAHAARMQPDGTFRTISGGITLHAHPSSLM FNRKADWVIFHEILQTASKTFIRDVTKVEKSWLLEYASDYYRVKE UREG_05760 MSDPDAQVELPPMKPPRPSTTSTTQIQLEQDEIYARQLAEHYNN AEQTRRYPQSSGDHEPHLPQRRKETGLKPNELYDDREHSFIDDDLPIIKENLRKGFLE TQTRVNNWVQNLKKKLDGEESEDEYYQQTQQGQQGQQYGQGFPETQSYRPRRSGEARR SADRERYDADPQVLSDDFATLELRDNEGKTGRQPTFEVSKLTSEVATLPNRPPRPTAN PDLFKPKSPSPDRRKVSFQEGPPEEITGPYSSRQGTQSRSAQSLGKASKWQPLAAVEP SPVADHDPFSLGDTDDEKEVKKSDAKPDDAEKATKATTEATESDIGPSGTDKKSDKAP ESS UREG_05761 MTQQTVPPQLVIGDIHTLPQKDATAIIERITRLEKRTFPPSEAF EFPVELWKKRPNTRVIYAKPAEISRPAPTGPKSRANKDATLTENTTNVIAYAVYVRMK GTALLHKLCVAEPHRGLWVDENRTAARRLYARCGFAEKEKVENYYGNGRTGIKMTLEL G UREG_05762 MGQTLSEPVVEKISEEGCDECVIYGLSAMQGWRVSMEDAHSAVL DLQGAYLNKDNHATNPSKRMAFFGVFDGHGGEQMALYAGQNVSRIVAAQEAFARGDIE QALKDGFLATDRAVLEDPKHEEEVSGCTAAVAIVSNDKIRVANAGDSRSVLVKKQESA LLVVSSTLAVNLGTAQSFSGRRRICPTWKSPRNKHSIRFAKTLMDNCLASNSETGGVG CDNMTMIIVGLLNGKTKEEWYNTIAERVAKGDGPCAPPEYAQLRGPGVRNQFDENPNE YDPALNSRAGTGRTGRIILLGDGTELLTDMGDEDEMFENGDTDSDEEPHTATTNSVED PSRNEREGTPGPQNHVAQTNGANEGSKTAEVTLPSATRAEPPANATASQSSSS UREG_05763 MSPSPSPSTAAKRKRTASHLPPPDIPKSSTAELLQPSSRDASGE EGGDESTAPPASPKHKKPVDASAIPPSKRPRTRSIVASEAALHHNGTAALSIGNNDPG EPSETTEASVDIENRIGRRSGSFRQPAVRGQDGRMKPPMRAGLQDLAGYKTNPPPGGT AGEGAKKAFPDTHLIVGVTGDEETHMRKGLTVLNEVERAETVRHCKWVDEVIPRCPWI VSPEFLEEHEIDYVAHDDIPYGADEGDDIYAPVKAAGKFLVTQRTEGVSTTGIITKIV RDYEKYITRQLKRGTSRQELNVSWLKKNELEIKRHVFELRDTIKNNWSATGQELGKEL RQLWQSSRSNSPARRSFDLGQNGVTSPTGNGNIFSFGSNRSHLDVPNRPDSPGAGRTE DFATGYSLGLIGGVRSWMMRSRTSLRDSISHPPSPSGSDEERTGSEEATGDIPVAPKV KT UREG_05764 MAAQFFGASMNVMTRLLELDGPHGKGMHPFEILFVRMSATTLCS FLYMWYKKVPQPFGAPAVRGLLVLRGVSGFIGVFGLYYSLGYLPLSEATVLTFLAPIL TCYVCSLIIPNETFSRKQQLAGLASLFGVVLIARPGSLFSSVSGSSPEGSLSYGNTTF SPSSLAARNTTNGPVSDPDSDSNQHLIAIGAALMGVLGATSAYTSIRKIGQRAHPLVS VNYFSTLTTIISTIAVLVLPNVSFRLPANLSETLLLCGLGVCGFFLQFLLTAGLSYVP PPSVLGGKVSSHGSRATNMVYTQMLFALFYDRMVWNSMPSPLSWAGSGIILASAIYVA VARDGNGQSASANGKSEDEAGDSVDGVFSGDEGDLESGRGRAKIVYRNDGHDAVEEER RSLLHGLDDEDDDDGR UREG_05765 MAVKGAAKGRGPPRRKQPRFETSRVQDLDDREPLNGEQVVSEDA LNEASDAEYSVSSSDNEEEEKSTDKPYNVLLQLLNASEDSIGPAKKKRKLKHKEKNVK QQTEATKEASPGKGDLDLQDDLDVKEAENTESDNEQVENDEAEGSEDEADSGSDPFEI HFSQPDEAQLSKRIEATSQKWRTSRQTLPAGMRFTAVYPDVGEEQNLHRASLHSPKDL TLKRKLSEAASVHLPQFDAPNTSVAPYLFGYYDMIYGGRTTGNAAKLRDMYCLHALNH IIKTRDRVIKNNARVPKEGEDIEIRDQGFTRPKVLIILPTRQACVRVVDSISKFYQAE QQENRKRFMETFSTADDDTWEDKTEDFRELFGGNDDDMFRLGLKFTRKTVKFFSQFYS SDIILASPLGLRTAIEKEDGKKIEYDFLSSIELVIVDHADALLMQNWDHVEYIFSHLN LQPKEAHGCDFGRVRTWYLDGNAKYLRQTLILTSFITPEINSLFSHHAYNVFGKIKID STYPGAILDVPVPVPVRQTFSRFDSLSPVKDPDARFKYFTSTVLSSLAKNWSSSGKSS AAGTLIFIPSYLDFVRIRNYFATSSQTTNLSFGAISEYSSPRDVARARSHFMNGRHTV MLYTERLHHFRRYKIRGVKRVVMYGVPENPVFYKEIAGFLGIDPAAVGEAAEKGVRAL FSKWDALKLERIAGTKRMGSMMLEKGGDTFTFT UREG_05766 MADTGSFIHLARPLGPAAVGVAPTTAPLSVVIQPQAIFSILDHS LRRNADQERVIGTLLGTRSEDGAEVEIRSCFAVGHTETTDQVEVDMEYQKQMLALHLK ANPKEVLVGWYATSSELNTFSALIQNFYGSQGEGTWPHPAVHLTVSTEPGKDIETRAY ISAPVGVTAERAADSAAFIPVPYEIRYNEAERNGLEAIAAARDTEDRTSTLFTDIETL EKSIEEVLDMIDRVSKYVESVIDEEAPASTALGQFLLNALALAPKVDPANIESDFNKH IQDVLVVSYLANTIRTQMELSNRLATAQLTLGGGDSGAISGGAGGEAGGQRGGQRNNR QRGGQQRNQPEESRA UREG_05767 MENHDAADNQHHSVPAPVERAWIPFPKTAKPLTFDYGAHTYEIL PAPNFHEHYTLAFVDKTETAENDQARDPATEAAEAGSMSEPRVRLTRHHAQLNFGNEL RQLLRGFGDTAPHPDFPQEPNPETVRVLDEIVTDFIIETCHAAAQVAAHAGRQKVKVD DFMFVIRRDATKLGRVQELFQLEKELKEARKAFDQNDDRVGKDAGAAKGLGELAGSEG GVAETTVDGTDAAPKKKGKGKRRAAGAEAAASESGTGKKRKASTKAESTA UREG_05768 MASLLKLMAKALQLEGHDALGPKWLTLDCLASTRMSDSPFLDLE GNRIPGDQILGYGGTGIVLLRDQFAIKMPLRWARDSQADVDERLKVIKHEQDVYRRLG TYIASRNFLLNSDMSVVFCDFDNTSLMPIDINMEPADDDGYSIQTDIGQLGAVFFEIV TSERCNFDLFHGENMGGRIAEFPPWHRQPLAGANHRTMLDQRRVSKCCLLGGCFGFFL SRE UREG_05769 MTGVCVRPALLCSPRSRCPSRFKSSHKAPISPRHFSVSQCLSSS LKESDSPGLDITQDSYQTENSIRPPQPPSAPFFPIRRITSRTPTQSTQPSVSRRLTDR FKRHTSREPHRLWRNASAPAPAQPSNTGQKGENGNKSFKISNGIARAHAQQNPKQHPA FPGAHILKDRRLIEEAILEEARHYSGFSLNSRTYEIEFQARWNSLYAHSVVRPDYNRN FQTLFKAKAHGTPSTEIWNQLRVQEWTIVASWAKHFTNVTDGKRFWSGLKLSQKRERW PDIAFWLLLNSPQDALTFLESTADSPYPPFRMVSECMLYLDAFYYEHLTCTPESKSYY HGLLRSTIGPARWPVINVSQRGIRTYLKRCELSELLEAVEKMLQGETYVTAPTLMFVM DLLHKFNDASNSFRVLQLLPHRMNQWISFDSDVVAKRCCKLLTLDSTYDEKGTRNFQI LPKILNLGIRPNRVMLNIIIQNAIKLGDPEMAWDIYDYSGFSPDSYTYLLLLDDAVER GDTVRIQYCLRTIAANSELAQEPHVISKVLHALYRQVTPETESKLFNEMIQVYCLGHD PQPLRELGIVREELNVKGWKWKFPPSPHSLVLMVSAYLRLHKSMEQTIEVYQQFYRLV REGHDSVGKLAETDHIYNTFLMALQPDKTGIRPSLMIIQDMYRPLPETAFLKAENRPI RQRLPTMVSWGILLNTAMSHGDLETFAMIRQAMIEHGVKMNTSTWNAVLRGYAKLKMV DEFAEAMNQMLLEGLTPDEYTFAAVNRMRDQARLQETLDRIGVDLQTLTLINQPRLEA IQGMEGSPDQHRQILPQELQISGEETCDLLEVNERCL UREG_05770 MASTHSTLDAAAVDRKTRLAKLAALKRKQPEPDGKEPHLDEPDG KSADVSSKYLSGRNYDPETRGPKLGFENTPIEGQTTLEEKAAKISLSTAETAQKDEEA DKPIDLFKLQPKKPNWDLKRDLDAKLKILNVRTENAIARLVRERIQNAQKAAKEKTKG ADVDGEEIGIEGEALVEGMHIREREEEEEERREREDENWS UREG_05771 MSQGYVARLKPLQERPYTSRPSRTQQLANPKLLPPLSSDLPKQP SPTGNLNGDRGPSDRHKSRSRSRSVASNISSSSVSTISTNRSQSPAPRRRADLSPSPF RPEERKRKARRSLSIESYPSSGSDAESHSRARGTDRHLRRKWNSRSPDERGRQHASDR RGSWRNRSRSRSRNRSKIARERRSMTPALYQNATPSRYSHSPGRRYRRSSQDSSPERS RLRQRERSASREKPRDRPAAAHTAPPPKRRSLSPYSHEQNMRRSFQGDQVPRLFHSWH FAFFSRCPHRLHKRVFGAEGSFSLPYQG UREG_05772 MASNTAQLNGQLSFAKVAMMNPPLDQRSFPHHQGTQKNESVADV PIPHDPVTGGASGQRDLRHGDTDSVHVDELSKGVQTLHLVRTEEAADGLETPEEDDHL KNEGAFDDDQTHLSNSSTKPTSFDSKSMASVTTFAMDEKESLRPDDSASVQAIEEDDI SLPASGALNSQAGSENSARVDRVNQLAMSQRLSGMASVNVSRLNEGLKSLHHDPAINP VNERTLHGFPNEPDEKLLEAMRSPKDRLLILQLEEKIICFIKNPSEQSLELPPCNAFG RLLAHKLGDYYHLTHFVDNNVTSVRLHRTPWCRLPTPLSALYAANAMKTPPPVVPAMK IMRRAGQQGTSFSVGGSTAPSSSVPSKTASEVGAEGSGEDGTESSTAATPAKERQALT REEREAKYQEARERIFRDFPESKSSDSPNSGEHSANVSRSNSRAGRKKHKQRTPHDDS FEARSQFSTYYGGVQYSNAQLPFTGPVHDPSFIPQNNYMLGQPPSAGLNFGQGNQNTT MYSPQANNTGFPHYPMGMGPQGGWQNGHIPAQYANFHQGAQLPMMSQQSSTRSSPAMN SYALPTGMQYPQSPPTWTQPPYQSNYPSPAVQRNPQAVHWPNLPPNPVSSTQVVYPYG QSQNQTYSQIPPSNGSQHPLPGSFVRSSFNPQSRSFAPGAGISQPSSQPSSSHPASAS PWAATLDNVNRFQAKNLGSQANNRGSTSTSSGAGRQSDNKNSIAKWGTPSHLPPKPPP SEVPYDFDRMARTSTSSSQAYTNNTSTLPKPVTSKSGPLVVGGTASQNSRSHGTSASA L UREG_05773 MAGGAMKYRHLGRKSSHRQALLRNLVTSLFTHESITTTWAKAKE AQRLAEKLITLGKKNTEASKRRALSIFFTPHELLPKLFGPLRDRYLNRPGGYTRVLRI EPHKPDQAPSAILELVDGPRDMRFAMTAKTVARRRAQGMEINEFTERNVKKVTRYRKD GAEALEKEVQKAEENLRTQGL UREG_05774 MTLQPSKTNQRPPRPALFQGPPSHNASNLSLPPGLLNLPQGSAS RASSIKGTQASSVRHGTSKSFRGAAPELGSPFLRPARSCGQAEIDSGDAVWEEMQSTL AEVELNAASGDHVFGAEHSRALEELRVKQLALAQAWARSEADEVVESSASDSGMKGPE SVGRASTETEDRSPHNVLDEKTEKDIFFARKRREANDRYFERVNNSVLDVVAKLNEVA NAMRAVERESKEIWSESESISSAVPDG UREG_05775 MGKGKPRGLNAARKLRTHRRDNRWADLSFKKRLLGTAFKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVKVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLSALWKEKKEKPRS UREG_05776 MPAPAAVPMTPGLSSYIQSLKQNTVEASTENLITLLKRRQIQQP HSCALATAHLLLRAMHTNKSNDPATLIDHVKRIGRRLVSEQPKQLIVGNITRRVLGMM RDEAEGLKRDWGMMSDAGSDDTRPHTPPQGSFSNQARLSTHASGALEKLSHLDSLERP SSTGPVAAAPALPGTSMFNLLSYPPESTDPNSPITISRGDPETGDDKPRDYRGEVFDG IKEILEELNQVNDQIAAYALEHIHSNEVILTHTASTTVQKFLLKAAAKRKFTVIQVES YPNNHEDTHATVTGISSGDEERLTPEAFQKPLIAQGITVILIPDSAIFALMSRVNKVI LGTDCVLANGGLVAAAGTRLIANAAKAHQTPVVVVSGVYKLSPVYPFDHRSLVEYGDP SAVLPYEDGELMDTISVLNPLSDYVPAELIDLYITNVGGHAPSYLYRIVADHYRSEDV NL UREG_05777 MNSLNILSSRVIGQTTPTSPGSRSRSHSQGPAFSGRNSFAENRS YSEGNLHDIGSLEGSTEEELTSLEQPSDVPADNDAGAEKVPLLPGLQVNGKILGASDL HTIAQQLVEVIAEALSSILYTLASPAIYIVRSFRDENGRYSPLNPVRRMRWTRSEQRR ASIPAQGPGARKSRSDSEKGTASSRSLRMYPSRESISSISSNASESETDRRAPTPSGR HLRSKSEQIPSSGEEVTPRRSIRIQIQNNRKNRRDKTQKRRGSELSDATNVAAESLKS PISPSIHRITKYPHAPAPPRPLIPPRQPSYAPGSSSAFPQKTLVLDLDETLIHSLAKG GRMSSGHMVEVRLSTPMTTSTTLDGPTTTLGPQHPILYYVHKRPHCDVFLRKICKWYK LVIFTASVQEYADPVIDWLEQERKYFQGRYYRQHCTFRNGAYIKDLSSVEPDLSKVMI LDNSPMSYIFHEDNAIPIEGWINDPTDNDLLHLIPMLEAMQYVTDVRALLALRRGEAM T UREG_05778 MSAQPPHPTLLIPGPIEFDDAVLHSMSHFAESHVGPAFVKTFGE SLTLVRKLFQSTSPAAQPFILAGSGTLGWDLVASNLIESGQEALVLHSGYFADSFAAC LETYGAKPTQLKAPIGDRPALADIEQALKLADYKIITITHVDTSTGVLSDIKAVAELV RRVSPNTLVVVDGVCSVGCEEIAFDAWDIDVVLTASQKAIGCPPGLSIVMCSGRAMER FKTRQCPPASYYASLANWLPIMQNYEAGKPSYFATPATQLVRALHTALAQIASKPLSE RFAAHRAASARVKSAVAELGLHQLAAKPECQANAMTAIRLPEGLTPADVLPILGKKGV VFAGGLHKDIASTYIRFGHMGVSVMDPARNDIDKAIQALKEALAEVTK UREG_05779 MPFDSSTSFSPADILPSTLAHLISRYPDTARAVYRTKLESKAKN SKDDIERQLKSFLELDCWRYQVLPAALQDRADTTATRPTKRTKRAPDASAGADGLHLN KDELVQLMEWKLKHGSFRPALMNLIRSNPDSQIHTATSNAFSSLPTALKPQDGDGDDA LYPSASLEILTKSLRGVGPATASLILSASTASSSTNQVPFFSDEMYWWLCSHRYPPSS ASSPKQSKPPPKLKYTVKEYRELWDAARELIARMNTLDEKHSFSMQEIEQAAFVIGHF EQSGHDSLGSEVVLPPVPAAKGDEENTKQRSTSKKRRR UREG_05780 MGSTANAPNLRVTSFPDPFAVATVGGEQTHTTSVIKKTLNPYWN EMFDLKVTEDSILAIQIFDQKKFKKKDQGFLGVINIRIGDVIDLDVGDNEMLSRDLKK SNDNMVVHGKLIINLSTDLSTPIPANQGGPRSHTNTLPATTTPSQPHPPTLPALAPIP GARPTSSAAETPTTNTAPSSLLQPSRNPSTTTPATTVTPVNGTGPPVNGMPSHTRNLS SFEDGQGRLPAGWERREDGLGRTYYVDHNTRTTTWSRPSAHYSEQAQRSQLEANMQME RRAHQNRMLPEDRTGANSPDSQQEARTPPAANNATAVQMMTTGATTAGSGELPAGWEQ RYTPEGRSYFVDHNTRTTTWVDPRRQQYIRMYGPGASGNNKISSQPLSQLGPLPSGWE MRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQGVPQYKRDFRRKLIYFRSQPALRIMS GQCHVKVRRGAIFEDSYAEIMRQSPADLKKRLMIKFDGEDGLDYGGLSREFFFLLSHE MFNPFYCLFEYSAHDNYTLQINPHSGVNPEHLNYFRFIGRVVGLAIFHRRFLDSFFIG AFYKMMLRKKVTLQDMEGVDEDFHRNLTWTLENDIEGVFELTFAVDDEQFGEHKTIDL IPGGRDIAVTNENKRQYVELVTEWKIQKRVEEQFNAFIAGFNELIPSDLVNVFDEREL ELLIGGIADIDVEDWKKHTDYRGYQEQDEVIQNFWKIIRSWDAEQKSRLLQFATGTSR IPVNGFKDLQGSDGPRRFTIEKSGDINALPKSHTCFNRLDLPPYKTHEALQNKLSIAV EETVGFGQE UREG_05781 MATTGDIPEEHMNTHDKHGDDEEEIEAMKRRVAEMESEAAKLRE MQASLDKQSENLREDKEDIDARSIFVGNVDYGASPEEIQAHFQSCGSINRVTILLDKF TGHPKGYAYVEFSEPSLVAQALVLNESVFRGRNLKVVPKRTNLPGMSRGRGRGGFRGG RGYGGGYGGRGGYPPRGSYRGGGYRGRGRGFSPY UREG_05782 MTSRLVLVIGDLFIPDRAPFKKLLTPGKIGQILCLGNLTDRETF DFLRQISPDLQLVKGDFDVDSPNLPLSKVITHGSLRIGFTHGHTIVPPGDSDALLIAA RQMDVDVLLCGSTHRFDAFEAEGRFFVNPGSATGAFTTEGGAEEVVVPSFCLMDVQGD VLVLYVYQLRTDEQGTETVSVEKMSYRKPNVQAD UREG_05783 MDVETLRQTLEAQLSLEVLLKHNELRLIDQEIAKCQVALDQLRR CSEIPYPACSGLAQSVSQGTGFAVARPENGRQPDSPSPWGVTDGPYSRHYAKWLLPDP RFDGGEPEPLPSAMYGASKGPLEGRTTRGSWAEGSSRSQRSTAGAKLQALSNGYPPPK DKAGPMIIKRKSDGKMVKLVCLDCRRDNFSNTQGFINHCRIAHNRSFASHDAAAAASG EPVEVDESGTVILPTNEPISNAPPGYVHPLIRSAHILDSTARDAMRKRTPSKAHREDK AVNTPRAKGDGSMLKSRSSPNVTQPRIRNIATSPDFTASPQTPHLSALMQRRGLGLNL LNLVDDALTKTEIDSYRLEDDSDEDAMDVDASSNVGEAHHGFRTTRLPARTVSSPTQN QRPSSRKGADQGFGKVRTLPPLRNPPPASQPYRSPYGPSTATTGNGRGPLEGGDVEMT ESNSPNLSPNTLESNQAPSLVSDDDEYEAPSESESPSPSPSEPGQDDIPFDNLEFQDS FETSGGGSASSTASVDYSEPHKRHLSAVSRPPTTSPAKAKSIKRSRAGGPIENIKTAT EPKHVTFVSPPASPIKGKKSGSRKPRRK UREG_05784 MSSQPLLQSAPGEPFQTQHSRFITTSPDKNSVAGKRIALPTRVE PKVFFANERTFLSWLNFTIILGGLALGLLNFGDRVGQISAACFTFVAMAAMIYALVTF HWRATSIRKRGQSGFDDRFGPTVLTVALFAAVIVNLVLRLKEGASSKP UREG_05785 MTVEAAPNNCPVPLEELSRIATEACDTALEGVSSYDHDQVGQWS SQIINKILQSLISATTSNDSTSSPSPSDPKQPSFRFTVNCTIIQQGLTDPVPSGSSPD PSSREATGRRGMHAASGAYWDVKRDGMWTYKYTNGMEKGLDLVLNIVWFGSL UREG_05786 MSVILCTAGYDHTIRFWEALSGICSRTIQHPSSQVNRLCITPDK RYIAAAGHHSVNLYDIKSNIPDAVMTFDGHTNNVTGVAFHCEGKWMVTSSEDGTVKVW ETRSGSLQRNYNHKSPVNDVVIHPNQGELISCDYSGTIRVWDLGENRCSHQLIPEEDV SVASVSVASDGSLLCAGNNKGNVYLWRMVQDRDVTKIVPIAKFQAHKDYITRVLLSPD VKHLATCSADHTARIWNLDPEYLPAKAAVETRATIDENAQKSNLTPAEQTPTPNGQPQ MLQVQLTNGVSAATLSHRTVVDRSLGYGPGLDSLDDPLTSLNHRQFNDQGSTENDDSA AQSLAPPIDPTTNTLYLETTLAVHQRWVWDCAFSADSAYLVTVCSDHYARLWELSSGQ IIRQYSGHHRGAVCVALNDYSEPR UREG_05787 MEAALSEGIYTSSSDMQQHTPPNNSKSPSFQPVVGQGLGLTPLP GSQLQQDRNHLQDLDLQGVGDFGGPYDLPTDHNLDLTEIDRLIRDGDLPGSLLSQDNL GEVYTNAPSLEPTENPVWSTDPPVPCRLTPSVLPESAVTSEPRYRPIAPKPTLGADNK RFLDDISIDEDNESDLSSAEGNKPAAKRQRVDQSMQPNFTRNSLPTPDQDPYFSKQRR HGFPSDTANSFIRFPVHNSVERQSDFTNRFSKLPSLAVELSGAPCITENTTSAPASLV TPKKGSNGNTVNVNTTYSRAPLSLPPHSTAPATPCLLADSDVPSFHRESSVDSLFDER ENSPVANAASSSLNVENSPFAMPFITSSTGTPISDHLKRVCQIKDEDILLTQSREAAW FVRQRPRYISPYPQPGGALGYLPSTPSLHVRSIKAADTEIAARLEDYRKKVRSCMSDR AKLVEELQASAGRGKYQALKDQVKYLKRAATVRQKREKEAKREAERWQEQHKNVATAY NQLCADFHHLRYYAHSMQIQLQHVSQAREPRLLHSYAPVQHGMSSQVTGQLGKLAAPP TQAPAISPLDMIRPPTTSVPITPNTSSPATPVMVDLTGDEDTQADTRPKPSQPTEYTS VSEPGIGQKELLEKMRKKEYRWLGNNNHMHQRFAPSLSAPPQTQPQAMVKSASARSPD TATRNSARRGNTKATVSQSDQGQSQTQHTIVGLNATDAKKAASAMNLGNDLESPERHL NTPRTSPQVTTQQEDEDDFARVLEMALQNNG UREG_05788 MAFPFFSFLRRRKAQNQTSSLAPGDLQRRPFSKRRLFYILLYII ALVFLILVLIGNVGDKPVLRETYFLKIDLSEIIPRSVPDAVLINSIARTIGLHDFYQV GLWNFCEGYEDGSGITYCSEPKKMYFFNPVEILLSELLAGATIALPGDVTKALNIARI ASHWMFGLFITATVLTFICIFLAPLSISTSPLNPSFSPVATQRAHSAKKRYLFPLTLL TFVTFLLTAAASIIATVMFTIFKIVFVKNEADLNIHAQLGTRMLVFMWIAVGMTAIGY IFHAASLCACCCRGLRKRRHGERRAGLQEEKGMFGRRENSSSSRGLGNEADEKRGDTR RRFHSWRRMRVDG UREG_05789 MDQLTRHLLDKEVKEFIDSIDPSLVCKLASSLHPEKKPCQIFSD TKRGSYNICFPVEFTDHESAQRPEQWMIRIPLLPRLAFPEEKNAKYIAEKTTIPIPRI FGYTITRDNLLGLPFLALEYITGKTLHGTDVPRLSKELRSHLFGQLSEIYIQLYRQQF SQIGALTLDSNDENSTVDYAYTVLKLVFNDFYRGKDSVSGEKDAWNYLYGIFASQGIV MEWVDQAYNHGPFILMHGDLRPPNIFVDENLNIVSVIDWEWSHTVPSQMFLPPSWITG QELLNATKWPDHLAFKSDVFQFWADAREWEDRHHNPDKKISALLPLTTLWTELLLSDT VFIAYALLKPCYLGNVYWNLLDNTYYGNNPDKRVESFFELKLRRPQEKELQRVLSDLE TFRKDLALVGLDPEFLEPPTPQPAKPNESDIPSKDSGPATCSRLLHHG UREG_05790 MRSDKGQDVLSRNGRRIRARDYEKIKISWAYRIGSQVVREIDGE VCGEIGGRFVAADVMDFRGNGEVYEGLWEGAHCQVFRGHDGKEVDCGEVQELDGVAEQ GSDAEGLCCGRRHGAGKLDKLPPTKLLVQGASMLPSNSAIQ UREG_05791 MLFSLYIATAASLLGRIYADEILTLTGTNVPSSLSIAGPIPTDS SFYQTYSSVITVSTASDELQSAQTGSATTTVTDSITTTSEDFTLLIGSNRETTTANGT TLSGNATATSTESAAVPTNTRPCNGYPEFCERKYSNITQVAAHNSPFVRPGNIAGNQE LDVTIQLNDGIRMLQFQTHYVNDTIRLCHSSCDLLDVGPLEDYLRKVVDWLKANPYDV VTILMGNSNFVGPRNYTTPIENSGLADYVYTPPKIPMALDDWPNLSQFILKGQRAIVF LDYQANQTEVPYLLDEFSQMWETPFSPTDRNFPCTVQRPPGLSEDDAKTRLYMANHNL NTEVNIAGASLLVPNTVLLNETNAVSGYGSAGAMAGNCTEKWSRPPNFILVDYYNIGN VNGSIFEVAAKYNNVTYNGRCCGRKTSAASRSHLASAKQNLYTGLLVAAVTGFVLMTL UREG_05792 MVDILPLCSYPSYLSLLPSIQTCNITNLPENYFLKYYMYHALSW PQLSFIAVVRPPPNSKNSDYPKVVGYVLAKMEEEPSDGVAHGHITSLSVMRTHRRLGI AERLMRMSERAMAESHRAHYVSLHVRVSNTAALRLYRDTLGFEVEKVEAKYYADGEDA YAMRMDLRDLWVKGDKEGGNPSGEGTPAKMKKKREESGDDDAQDEGDEVGSLGKKEDG KEGEKMVKVKIGRALGVGDLVERNEAVR UREG_05793 MTLTKAIHDGDAPPPYTPTDPLTPSTPDADEPSEQPVAEEVPSY SQTVQSSPNFISAVPYFTERPPTVAHGPGDEILEHAITIYTRSQAQRLCPIPTMLAIS IRGIHPARLANLPRKLRQEIERDRKDRPQENDEQRKARIAAVAGEWNSFFFRPRAMNV VIHFAPENGATTTSPLCPKCYPSAVRSMPLRPGAHASMPRSQTAPAAPPYSIPRKPVG ERTANPPPQQPVHPSIEGGHTGPQSQQRNVPNQPAMASWASTIQKWANNMSEQAQRYG DHIERQALAHGRRAEDRAEAWGRIMEAKGQMWENYFDQQGKRMEQAGEQFEQACKRRS PWLYPWNPSGPWGKPHDGGRNCGGMGPHWGPAGHPPFNHGGMGSHWGPPGFPMSSRSR KASISSLSTSSSSSSTESLSSFSSDSEDESGARPEIDIVRQSRLQARHLYTEHRARAA ALRHERCALRAAHRDLRSSVSRDRRHGAGHSEASHAKAAEAEAIKAELVALKREHKEL RNEFHQERKQIRRVMRNSKKEQQRTRRAEKRELKRNRKHPERSQSRPRGPDIAHEERP STAITANQPPLPPLPPVPPPIASQTPGTPASSTTDLRAGGIQPDPSPMDQPQRKSKNE NPKASKGKGEDSSKEPAPTTVGWGWTGGNAGKKSSGFRKKKQEPEAPSMQWSGDGKQE SGVLPVNDEEEEENVGHGSALMTPGLILTRKF UREG_05794 MAIAKLKMLFQKGEKGPLDSWIFHLKYASSYMSSSSATGKTRPR LSTGEGVDMRFAGGHREIRSLRGALSAEALNVLYANTCFRFHTRVANPADHSFFTFRK QVYAAHFSMIPALEITFLLGPPYQPWEARYREFCGILAAMPKLRKLKLMLISDDFPEF TPFQEERQAWVLLMKPLTVKTSLKELDIALLIEVEEGMLLGPINIFSHTDSLKG UREG_05795 MAVSRLSCDDEKDAALLASPELVREVSSENTASGLERGNSSATD FEEELVVPPVVVRCVAEPLNSTVDVSKVDVLSLVSKVDVSRVDVSNADLTRVVVLGQR TLETAGERPPDTWSATIPILGFLFASSFLKFEYTYRIHNVSVSILAVARVFFACILFV SFLAPNILLYSLLSAARRIPFKLEEGQVATHCQGALWCASYLHDTFGEHCAYVTSPAE AALNRDVELSTSLRFMES UREG_05796 MVGSYSKLGDPALLDKIDRLFSCNVGEYIDLPQLVVVGDQSSGK SSVLEGLTKLPFPRDSGLCTRFATQIVFRRARAKSIGVSIIPHANSTIEHAERVKEWK TALEVLDSDSFGRVMKEVHDVMNLSDKQNGANGAQRTFSRDVLRLEIAGPDEDHLSII DVPGIFKSTTEGLTTKEDIVLVRDMVYGYMQNPRSIMLMVVPANVDIATQEIVEMAKE LDPEAQRTLGVLTKPDLVDKGAEGAVVRMVNGKEQGSGVQWSVVRNPGQQDLQNQNTS RQGESKFFSEESPWNSMPADRTGIDALRDRLQEVHTAHTRREFPKVKIELTKRLQVQR KTLEALGDDRTSPEKQTRFLIDIATKYQALVSQALSSDYGSETIFYQHDELRVATAVM QRADTFSDHMENWGHRFYFAPKSLGETCEPEDSDFGLLSPPPDDLTSQGTKLARKSHT LNVRTKETPADLDDILYSPEDVSKPLGGISDWLKTTYQESRGFELGTFGSSLLPTLVH KQSENWKDLALGFVSDTIAMVHCFLVKLLKHLCPNERVASGLLSALSDDLGRRYRRSL KHVSFLLDVETKENPLTLNHYFNDSLQKCRQERMHAVLSEKSFADCRHGEVVRLGDIV QHHPMGNANHTLQDIHDILKSYYKVARKRFVDNVWTQAVNHFLVAGPDTPLKLLTAAY ILNLTQEQLESIAAEDPRTKRQREQLRKEVDDLDRGRKILF UREG_05797 MDFLKSAVASAISKGSSLPYSFGDRLDNGESIWTLHNGTKREDS SPCSIFTFEIAQNRSRLPLAKNALRKFKTLRHPGVLKLLDTVETDTQIYIVTERVVPL SWPVKRRSLSEETAKWGLNAISSTLKFINEDAASVHGAIRISSIYTSESGEWKLGGFD ILSSMKEDDAVIYTYGSLLPDAQRYTPPEVVKGGWETIKRNPLHAVDAYNLGTLIFEI FNGSFQNDQAGQTSNIPPSMQQSYKKLVNPNPKLRLSVAHFIEQGQRAGGFFDSPLVR LTQDIDSLGLKSDEEREEFINELDELSDDVPEDFFKMKILPELLKCVEFGGGGPKALA TVLKIGTKLTEDEYNQKLTPALIRLFANPDRAIRVTGFTDIAPVVREQTVKAVLTVIN KLSDRTINGELLRYLAKTANDEQPGIRTNTTICLGKIARNLGQSSRSKVLIAAFTRSL RDPFVHARNAALLALAATIDLFNEEDCAAKIIPAISPALIDKESTSDAPSAGTVKSNG VSWAGWAISSFTNKASGAKGEIQSASPQQTTSRVTTTNISRSSSMPRTIVESSSQPSE TTPAPSTNARRITRTQSERPPNKVDDEDDGFGAWGDINQDESQDHEQEAADTFFEARG SPSPAPSATPAAAYDDGGEPDFAGWLAAQSKAKTKKVLPKGLSKPGSTTSATTKLPTT QSSAKSSQPIVSGSNRTAKTRKIVQPAKKAVQTKPKAEPAAEDDDWGEATGDSAWTFV LVFSHAAKNSFDEVIAGPDPEAQFCGDASKENRPLEGKVGIVTGGSRGIGAAVAENLA SKGAHLLLVYTSSSSTTRTLELCETIRAAHSVQCFAQQADLCDLEDAVSKILSAAKQH FTAQGTNHFQIDILINNAGISENRLLNDTERGPIDADMFDKQYRVNVLAPLLLTQAVA PYLPRNRTGRIVNVSSVSAGLGFAGQSVYAGTKGALEAMTRTWARELGDRATVNAVNP GPVMGDMYWEAGEGFWSQMQGWQDNTPGSNMNVGPGFSGTGRDRLSEEEMRTVRDKMG GRRPAFTDEVAGVVGMLCTKDGAWCTGSVVCANGGLRMSP UREG_05798 MHAHDRLVFLMTAFIGSSATITTKNGEKFSGIFSGSVLEPNETS FILKMTRRASVDPDSIRPNGTSHQSSPYLGAAPDHKMVFDVQNLADFAVEGVSTAGME TKEQNGSAFRTDADISGNQPGRERELQPWEPGTDTVVEMSLEGGSTGTWDQFETNARL FGATSSYDEDLYTTRIDRNDPSYKQKQAEAARIAREIEASETTNIHVREERGLVHPNE DIGEEEKYSGVRRDEFPPLQTGGLNKYTPPARRPPMGHPTVPGAPIDPAIISAQVAQP KPTQPKSAPREAEVKQHEKQAEIRNTPEAKATSAVTSTDLPNPASTTELTGNTEGKLT AVKPIPSLNIPPKRTGVENAVANVETEVLGHFRQFANSEKLKFQERRRNQAQYDRTIK LNELVKFSKNFKLGTPVPKDLVPILAKDPNKQEEIVQRAKKQHEEKLAGEAAAKAAAA AAAAAVASTAASASTTTEQKPAPRQTGPTGPARYEMGTVPSMPPPDRQMYPRGRQGYP PLGPQSGRPLSHLPSHPGRAGSGLLSHRLADIQQQRKGAAMASVPTPLPIQDTRIPPS GPVGGDNANTHKQTQTPTSAVSTKFNAQAMEFRPNPAAHSFTPASASAVSSSPASNLI RPPQHGKWHQLIRKKPMPIC UREG_05799 MTTHHLPVSVCPPQPKRQPDRDSQSRNHSTSSFYHDRPGRSGID VPASSIMDYPRYQRSPQRRNASSEQAPTQQTLSAVNNNNNSNTNLGGMAGEPTGGRTS SGSAKSLAARTPPDKQLSQIEKSVTHLLVATKELLETLTQWSRGQATEVKVSDVYVRL GYEFNLACRAFGAIGVDTSDLGPVPDLLRTILEDTLSQDACTESLDRYLPRIRDIIIN LLHGLKRKQARLRSRQSKGIPPRQGSQGSAASGETALPPPDEARLPSPSKRPSDGGRS NPYDDQVSVASRNTSSNWPSDRQGATYSERDAIRRDAQRSIVASISSSSISSSTMQNM PVLQPNDQGSSTGRSQSPASQNQLRNFPHPPPPPPKTNDAIGMLQRSGELERRASRRF SAYQIQKHLGSTNGVPVLPTSQSSQLSNRGRDVRESMNAVRLRGPVQGRQKSGNRRVG DTSRLPVTPEPTLKTRIEPPPESTPDGTPTDKIRDQHDAENSDIFSADKAAPALPQIP ERDSLQDEVDKAGKREAESDEIRITTMDSDQSRPTQFTPEMSPPPPSKELTLFLQYKS KIKKYVLPEGCAGLTIGRLQLAFIEKFAWNTHNNGVDLPEIYIQDPVSGVRHELEDLG DVKDRSVLVLNVEALDEVKKHIDESVGGIRRLIEGMRDTVDGQATSIQLLTDRQQDAA KEIARLGTSPTPIAATNGQTLPLSNKGGYVAEIQSLRRDLAVLRQTYSTFSLDVSASM NNVRTKAGAVKAAAADMSVPSFEGDAGRARINAGKKELADESEKLVARVDDLQDLVED LRKDVVTRGVRPLPRQLEAVGRDISAVTKELKKMQDFLRREKPIWTKIWEKELQQVCE ERDQLTMQEDLAVDLEDDLEKATQTFALVEQATKQQALHGADPNGNAPVPGFRVPSRT LPPDAAIDPVKAKDSVLGEVRALQPNHENRVEAIERAEKARQKELENRRVGKFQKELG AFVQQGKLKKSGGFEEAERLRKAKDEKIRKEAWERTQGLNTEGSVEDQPANGPPKDGP SDENTETETPAAEEGEEPESPIIKDTDVAPTVPAATATAAETPRRASGVDKPSSDAPG TSEQDEQGQ UREG_05800 MTTVIENRISEEMEMVMETAAAASKYSQRTPDTLGTQGSISPSS ARSCRFPGICIRASSSRSAISSVLPTLVPAPLSPGQTAAVLSEQHAKPSRTLAWETLA AVLAGVARAPESSSLVRMDMQTVQNSPAEDAVSQDTPASRTGVYSSPRPRSLSSRQPH QYHLALQHHRR UREG_05801 MSPTPDWVTKLRPSGPQGSELLAQERAKSNVSVDKLAELLHTRE TLQRQERILEIMEKEPVFDKSQNHSLGRVERLKRSLGKAKRLQNLRTQHGWSQEDFHM ANDLLAEPTPYGLHASMFLVTLREQGTPEQHKLFLTRAENYEYIGCYAQTELGHGSNV RGLETTATWDPTDKTFVIHSPTLTASKWWIGSLGRTANHAVVMAQLIIEGKSYGPHPF VVQVRDLKTHEPLENVHVGDIGPKFGYNTMDNGFLLFNHVKIPHVNMLARFSRVDPDT GKYIRPASPSLVYGTLTWVRSTIVLQSGGVLARGVTIATRYCAVRRQFQDRDAPASEV GENQVINYKMVQIRLLPLLAATFALHFTGRGMMALYQQNQSLMKATEKGTKTRGAGPE QLNPGADLLADLHATSCGLKALGSTIAVEGLEACRRACGGHGYSSYSGIGPWYSDYLP TATWEGDNYMLTQQVARYLLKSARSVIAGKPANNDTCRVLKSYLARRDVGAAFDVLDN DKDIVDAFAWRTAYLTFEALKHRDVEKRSWNSLLVDFWRLSTAHSQYLVVKNFYEAVS SPATAAALGPETMTVMHKLFRLHALHTLEREASDFFTSGAVTTRQIVLARTKAVMALL EEIRPHALRLVDSWKFPDWQLDSALGRYDGKVYEDLFHRASELNPVNAMTFDPYPGHE ALLKNERTSKL UREG_05802 MPARPVSLSARSRCLLRAPKHSQRQPFSTRAPLSGAHEPHYDPP TGWLWGVKPGQKYVKEGWEGLWTYGFVGSLVVAGIAYAFKPDTSIQTWALEEARRRLE KEGILEDPDTVRKE UREG_05803 MAHNLIQCQILVQKAVRAASDYIASSSTESLFLVRPVHESDFVL GLQKEARLAKLPINVGIHEPAQGGEKVKNTLIWIDESGKITQKYQKLHLFDVDIKGGP VLKESRNVEKGTKIVPPFETPVGRLGLSICFDLRFPEVSLALRRQGAEIITYPSAFTV PTGQAHWETLLRARAIETQSYIVAAAQCGPHNPKRFSYGHSLIVNPWGEVVAKLGGEY EEPEIAVADIDFDLLTKVRTEMPLLRRTDVYPEV UREG_05804 MKSLRRNPSSHPHAANVSSKVFVRTTKRGKVQKIVRELYLRQDI PCSSKLCSYCLSYAPTDANDNVTPFVLSERPAGTKAFPRGHYLMPDTNALLNGMDLFE QTSAFYDVIILQTVLEELRNQSPPLYNRLISLIRSEEKRYYLFFNEFRLETHVRREPD ESINDRNDRAVRTAAKWYSEHLSEVLKKSKQSYTVPAIVVITDDKDNILKAKKEGVSA LSLSDYVSGLEDADRLLDMVTASRSAHDAKAQRGQLIYPEYYSISKFMTGLRAGTLHQ GTFNVSPYNYLEGSVQVAAFDKSLLILGRENSNRAIAGDLVVVEVLPKDQWKSPSTKI VDEEALTKDENPEFEENEAVVTEKERRALQEDVRKAHGTSAEGRAQPTARVVGVIKRN WRQYVGNIDGGSFSAEASSGRRQQTVFVIPMDKRVPKIRIRTRQASELLGQRILITID SWDRDSRYPTGHYVRSLGELETKAAETEALLLEYDVQYKPFSQAVLNCLPPEGHDWKV PESLNDPLWKDRKDLRDLLVCSIDPPGCQDIDDALHARPLPNGNFEVGVHIADVSHFV RPNNSMDTEASMRGTTVYLVDKRIDMLPMLLGTDLCSLKPHVERFAFSVIWEMTPDAE IVSANFTKSVIFSKEAFSYEQAQLRIDDQSKNDDLTQGMRTLLRLSKTLRQKRMDAGA LNLASPEVRIEAESELNDPVADVKTKALLDTNSLVEEFMLLANITVASRIYQAFPQTA LLRRHATPPPQNFEQLIAQLSKKRGFSLDVSSSLALATSLDKCVDPSNPFFNTLIRIL ATRCMTSAEYFCAGAHAETEFRHYGLASPIYTHFTSPIRRYADLVVHRQLAAAIGYEG PGASVGEGLTTRSRLEDICKNINHRHRNAQFAGRASIEYYVGQALKARGEMEATKSGS ETGAAAGVDEEGYVMRVFDNGVVVFVPRFGIEGVVRLEDFLLPGQKAEELGTTGGKQI AGMRESEFDPDEYALRVRERHQEREGGKAAKASVFVELFEKVKVNVSSVKEEGVRGAG KRRVRILVLGKA UREG_05805 MGDIATLQDAHPVRRPPAGAHEKRDPDNAELFGDDPTPSSAEDG LEEKPPDDVPPDGGYGWSYGVFLSHYLSNEIFPNMSPLAYAFTGGLSMSCALLISPLV TYLIHLFGHRIILNVGICVQTISFIAASFATQQWHLFLSQGVCFGLGMGFIFIGSVGI TPQWFLKKRSVANSIAAAGSGIGGLAYSLGAGAMIPRLGLGWAFRVLGITTFCINLVA CNLLRDRNKAIGSRYRAFHLPLLKRPEFLLLQLWGGFSLLGYVVLLFSLPNFALSIGL SAHQGSIVGALLNLGQGLGRPVVGLISDRFGRINMATFFTFVCGLLCFAVWIPSFNMG VLSFFAIIVGTVAGTFWATAAPVCAEVIGMQELPAGLSITWVLLVPPTTVSEPIAVLL KDDSKNNWVYLYAQIFAGLVYVAAGISLWLVRGWKIGDREIAAQKREATLALGNTRTV KGGPVEKVPDGIAINSPHHLVAAVSTEIDTRIWSPFCLLRRMLARSHV UREG_05806 MGELNSRPKQHLAAPCLWTKMKDIRHFASGSDKCEAPDLVSWRR FWLEPTGTRELCGGVRVVEAIGRDQQRHFRVVVPIAKRETKRETSLKKRRGREETKIE AEARRRSDARDPPFPFWAVSFVRTRGTWYYSKSMVHSIRLKTGSRKVSPPNPTFMNDD QLAYYLKDLRSNRPPRPHGSRPLPTKEPTASAAPLNRELPPRASSALSMSRPGDSTRA TASDLFPRSSSALSHRRNVSEISPQNGECPGIPEDSVHEHPRNLSAATSPSGQYRENG RRWIERQDARSLRNALEEMDLQDDEQQLYAAAQAEAVDLVLQHQMHGFPEQNPHAPYN NPDYPNQFRRHPEKWGQFGGHDSTLSQPERDRSVSDSSTGSAPNRSSLPPGNAPTRTG VPQDSTTLKVKKNSSVKRTSKVNFALPEDDRQHNPQQQTSSRMSSGDSSKGLFRNPRD RIYEELEDSDSKDEIKTSAFAPITSALRLKSRNSIPHGARPLPGQHTTGSNIKKPSIF DVYRNASTQSKNPLYKTNAFPTAAKASGGSDTTPTKNGIEIRSDDIRAATSMRFKDRS SKLPMPSAVSDRPGRPIVSFDPDWKAPDKETPADSGRDSRGSFGRSSPRPSTEVTPAS SKNSVPAISVNEAADIPTINIACEESTKPSVTISELGPPVSALTPQQDQKPRELPDPK KYAQDQRAKKTLAFSRNRNSHITPTNINAPAAACAACGLPISGRIVTACNHRLHPECF TCYHCSTPLECVAFYQEPDSSTRVRTSCRIARRPRSPKMASCKTPIEGEVIIACGAEW HVGHFFCAECGDPFTPKTPFIEKEGYAWCVRCHSRRTAGSCRGCKRPVLEDVVVTALG GQWHEKCFVCCECGDGFGPEGRFFLRQGKPRVTARGRQIGGPVELAACEKCEARRLKA UREG_05807 MTTPPPKRQKRNEYRNERNAQETGPIQMPKKRLFRQRAHANPFS DHQLSYPISPDHMDWSVHFPAYVDPDPSKTNAAGARKLLKDVKVADIGCGFGGLLVAL APVMPDTLMVGMEIRIQVLEYVTARIQALRSQQPPPEAPPESTPSDESLQTQTQSQAP APATPSPSSTAVSRASYQNISAIRTNTMKFLPNFFSRHQLSSIFICFPDPHFKARKHK ARIVSTTLNAEYAYVLRPGGKLYTITDVEDLHLWMVSHFEGSADDAAGNGISELWERV GDEELEQDVCVKIMREETEEGKKVTRNGGKKFVAVWRRKEDPEWIP UREG_05808 MPSRSSRTLGAAYNDRPPEKRSHFYNKANRELLRADTQLQKMEH DPDMHDRLYVAGNERVDHVYDYVPRGHHPVYLGDYNQSATAAISGLLLYTHADTYRRK MFGTCDEDPDDYLFQIVPCWKAPRAMVDKVGELQELFGDEMDSRVQFLPAILMMSSRK TPVNLVAQTSVLRLPQADHSHLDHRDLQQHSSQPVLPMATTPSLLAAPAPTPQQPPSA ADTFAFPAPHSFPPFFTLQPNTQTLLSQLQKWSALIQSYCRHHRLYRLSLVDALDSAL FHNRTIRRRLSLADARKVLDWMCSAEGGRRAEWVGGEAGGKSAAWIWWRRPEEWAGVI ADWVEETAQKNTVLTLYELIEGEATISQEFHGMDPDVLQKSLHTLVKRGKAQVFGSED QQGVKFF UREG_05809 MADEPSHIEGGLFSQSRICIVRSHHLDKKLASEVLSAVEENGGE AVIHMSPTPLPPINEFTHIVSTTIDFPGHDAACDALIPVVKPQWIHASIAKNKLANPR QYNPDPRLFLNDVVVCCLDIPEGDKDAIVGGVLAMGGLYTPRITSSTTHLVCLSMDAE RCRATTAKLTRLKIVLPHWFDDCLKLGKRIDEEPYTLPNPEILSAQYDAPLRVVESKD IVGASTSEPKKLPLLDDAREDLNVFDGKRVMISSDLNIGERVLQCLETLVIKGGGSIV YDVDSTDIYVCRYREGQDYQAASRAGKEVGNLSWLYHLITRNTWISPLRRLLHYPICR DGIPGFKGFKISLSNYAGEARIYLENLIIASGAECTKTLKQENTHLITAHGNSEKCTA AREWNLHVVNHLWLEDSYAQWRMKTVSEPRYTHFPKRTNLGEIVGQTKIDKFAIEEHF FPGEDDGAAASETISPGAMQQKDDNTRSDKPEKGEEPKKTKVAQRIEIPRSSTPRRIK EPKTTAKRDRLSLQTPHVSRFMTDEKENNTPSTTGSRKSKDVAAARLMEIAPDINLYE REKRRTGGVVYGGRRKSADEADVSRKRSIEPDEISDTESKKQKRVRAPVSMHLLVTGY APWVGNWKKEDSDRRHLRDLGIMVVADASRCTHVAAPSILKTPKFVNALAFGRKVISC DFITDCIAKDKLLDPDKYKLRDKESEKKYGFTLEQALQRAEKNKNKLLQGRTIFCVET IHGGFDAFKSIIENNGGQCAMYRGRPITIPGRRGTDTDEPHKDEVYLISGDDKGHMKV WPKFRTMVQDRKKIPKIVRSEWLLQIVMSQEWRWKDEFELKEEDIVPADE UREG_05810 MASTTFPFRVVEHVVPCQHIREYPRATSTSQEETLHLSVKQYIP LDNPDPQPGDVTIIGAHANGFPKELYEPFWEDLLAGSKKNGFRIRAIWIADVAHQGNS SVMNEHALGNDPSWFDHPRDLLHLINLKREEMPRPIIGIGHSMGGGHLFVNPSQTMAI NAEALSNIALSRVALSTMHPRLFTTVILMDPAIHNLKSYTTNHTFHTKKNHIPTTTLA STYRRDIWPSRAAAAQTLRKSKFYQSWDPRVFDRWITHGLRELPTALHPLDPQSESLP PGHRPVTLTTTLHQEVFTFSRPKYRADDPSAPLTHSSHPDIELGTPDGFSFYRPEPPR MFASLRHLRPSAFYIFGDKSDMSNPEFCRDKLEITGSGAGGSGGVKEGRVDARTLKDV GHLIPMEAVGEAAELSAVWVGRELQRWREEEEEFKRFWDGKSKVEKMSIDAEWKKRIG PPPVRRNGNRSAKETKL UREG_05811 MFHIPYPPSGSGYWSPVTSTLNWCEEDYYATVYLAEIVNSLTNV LFLFLGIKGILSCRRNGHDFVFQVAFLGYFIVGLGSLLFHSTLKYPMQLVDELSMIYT TCLMCYATFSFSKSTRNRTILGLSLLGLSVFITAYYHYLQDPRFHQNAYAILTVVVVL RSMWLMEVTLRSKWRKAQALNASSQVNGPSPGSSRDVQLSQNTRDLKILNTMWLMVIC GLSSFLGGFAIWNLDNHYCSTIRGWRHTIGLPWGVLLEGHGWWHLLTGIGAYIYITWG TWLRHCLNGRQDEYELYWPHFYTFPEVVHVEKEIPKPVHLDGTSAIKRL UREG_05812 MVYQQAILLILVPFGRIFPQCSPHSPKGNNKEANEPLSVICEML GHRAPANKAARAKSFIVPNGSSGFFGQLSRQIEADGKLAILWPSIQSRNKGFVVELSE ITAERAMGCSRL UREG_05813 MSIPNQALQKLVQEIEAQAIASQQQIGLVKSQITSKQRDMRLLE LTSNEIGQVPKGTNVYEGVGKMFVATPMEKVNMRLSNEQKGLKTDITNLEKRLHYLET TFKNSQNQIEQIFRTGGRIAAGREALGEVLELEARAVINSQVHREQKVRAFNSYTWVA ERSWGDRYELAAWYFKCRQQRAPQASLPPSLDPAVHFQIAQELRPVFSDAFQAFRSAR WQQSWPLMPRSVEALSTAQNGQRW UREG_05814 MPRGRWCTDSSTESDSMDSADPNEFRIHYRPRGHHRDFLKPRDG RSRAASVGDRRSEPPIINYISNKIPVKPPRRAQSRARSLSRRRDPDDWNDDDDDLVRA RRRLRREKLARELLEREREREREREKEREREKEKEKEKEKEREKEKDRDRDRERDRLK AQEQRIQELIAERHWDELEREEDSFRRRKKRWNKMMREREVEQEVWERVRDYSRDNRQ RSRDRSSSRSRSRSRDRRGARDACWTREEIENELAHEQLRQLKQDEANKRHVTDAFMI AKLKALEEEETEEKEGAEASRENRRKKRRRKRHSRSGCDNYSLTMECREEQAHEFLHP KEKEPSKELQKKETESEEPFVKVHRRYITPTTLNMYSLPWEWDPDDADYILIHRWIDE SFQQELFEHTRRLRMGITAGPVETEFVELKIPDKKKDKLFLVRKKGKKCPVIR UREG_05815 MSDGSASVSLSKPSFHFAAGLFSGLTSSILLQPADLLKTRVQQS RQTAALLPTIRTILASPHPIRGLWRGTLPSALRTGFGSALYFTSLNTLRTAVAASGPG YLLQGDNGDSQKPTNTNARTSSALPKLSHTANLITGAVARVSAGFVMMPVTVLKVRYE SDYYAYRSLWSAATDIVRHEGFRGLFSGFGATAIRDAPYAGLYVVFYEQSKRNLATLL APPASPSADAPRAPTSTATINFISGALAAGLATTLTNPFDVVKTRVQLMPHKYRNMMR ATRLMLREDGVRSLFGGLGLRMGRKALSSALAWTVYEELIMWADKRWAEE UREG_05816 MEPEYYELDPDGDVLLVLRTEEPKAEDATAAKPTGDGEGDGKSD GDDESKAEAPKDETPKVEAPQEVAESIYKTTRFRLSSKHLSLASLYFKRMFTGGWKEG QSLGNGQPLEISEEGWKPESFLILMRIIHGRTKEVPRKAPLETIAEMASLVDYFECGE VMGIFVEFWIAQLGTLPAQFSRDTVLCIWINYVFRRPKFQNWTRLAIRKSSGPITALE FPLPDIVINQMNEQREEAIERIVEYLYGLFDDFQSKNRCSLACSCMMLGALTKHMCGL KLFPRPSRPFAGLQLAALTDSLDDITTPDWTTRGSYSSNHPCKLAHVIRPTLESLEDS IIGLKLEEFPGPGSAAKMENGTKQAAS UREG_05817 MDTNALVDLIHEMQGELWVDKINKTHGTGQLCPWVSTFHPDNLP CHLDGTFYNGAFNAGMKMVFSDGTPWMVRFPDEKVAMEVATLDLIHKRTTVPVPRVHA WGPAASNRLGLGPFIMMSFIDGVSLSDLLSDPNASTRLIREDIDENDIEVIYRQMANY LLQLFKLDFDCIGSLPWPDSKSQSITPTRDQHQRFKTTTEYFQYVIGQDWEQLLQQPN STVGCYNAQRKYVAFSALKDFLCDLIHADYDHGKFKLICDDLGLANLIVKSKDDLTVV GVVDLECSYIGPAQLFGSAPWWLLQDRPVNSLWDCEDDKPPKVASRYFRYLEIFIRVL EEEEAKGHEDSRELSSLVRWSQTTGAMWLHMLILSGFNDHHSFPFTQLRQHFGTDWTT RENKISKAELEEFEMRKHRELDEYDKELEKKEEDKALVDSGKMTKEEFIGVTKQ UREG_05818 MSFPPLHIVEPNGAHMHTIILLHGRSSNGVEFAKDLFDSKSSET KNLAAHFPGCRWVFPTSRDLWSSVFKEELTAWFDVYSLSDPSEQQELQLDGLRESTRY ILDVLGREINLLGGKSEKVVLGGISQGMATALWALLCSRGRVDGRIGAFLGMCGWLPL ADKMEDLQLSEGHGTAETPGSLVSKSLPGLLRCEEIEVSVAETKAMLSTPMLLLHGTD DAWVDFELGRQARRNLTKLGLKVEWKEYTGAENEGHWIKEPEGIDAIVAFLEAYLL UREG_05819 MAYDQALSDTESEQSPREIPVVDFASWRPDSSLQERMKVAVEIV SACREVGFVYILNHGIPSERLAEAFAWSEKFFHLSSDEKLKAPHPSGPSVHRGYSSPG LEKVSQATSDRDDPELARKLREVADYKESYDIGSDDNQDQPNVWIPEQVLPGFRKFMT DFYWDCFKVGQNIMRAIALGIGLEDEDYLLQFHSGHNNQLRLLHYPPLPASWLEDQKY ARMPSHTDWSTITFLFQDECGGLEAEDINKKGYYMPATPIKDAIVMNVGDLLQRWSNG IVTHPSPSSLIMSCLVSPAI UREG_05820 MRPGLSLLLPILAQATGIDRTSPFHNPLLDGSDIIARNPSEALL LLKRQNGCPAGYNSCAFLNAPGACCQRDTICSRDDASNIACCPTGADCTGVVTESGSA TTSSGFMFPQPGTGTTTNPPTLTGSTVPNAPFPFVYIPTTFRSPEECVQAYSGCVSQY SACTRSLGGVHGVTVGGGGGAGITVPGVEPTGDAQAICMTLSSQACRGLHEAYCTAFP GGNSGSPPSVRRSLLYEILAGLGVALAGMVA UREG_05821 MAARPLLNKIRAWSESLAHSGTVMAYPTADSLPPLYLSRARQEL ASIAATISRFEPVTILSRPELAPSLLSHPHIQHAGPRLSIYECKVDQCWIRDSGCFFV QEHSAPRAVDLNFNNWGEKHPVPPHSDRTLAARLSELLAIPRLPSPLTTEGGALETDG AGTLLATESSIVNDNRNPGRTKAAVGELLKRTLGVRKVIWLKGVKGQDTTDCHVDALA RFALDRSANEGAERLVADVPVLLSRPHSSRPAAWMRVYEEARAVLEQERTADGRAIRV VDVPEPSIGGLMEHDEEDMVATYVNFAWVNGGIVMPSFGDRECDERAIQIFQAVFPGR IIAPVTINALPILGGGIHCVTLQVPSNGDQAGGIIDKSSSMSVASNPQLA UREG_05822 MRRSICSSLLALLATTPFLSIHASPFPTPAPHNNILINRDCANP CGFYGQVCCEPSQQCYTDSNGQAACRTNAEQAESGGQWEYFTTVVTQTDLVVVTSTGS RYVFPTSPGNAQCDASLGESPCGDICCTAAQSCNGKGVCIEGGSSPFPSPSPPVRPTS GVTVTATDRPTKTTGFIPAISTDGSTLVPITKGGGGLSGGAIAGIVIGSLAGAFVLLL LCFCFCVGSLASRVRGLFGGGRHKPSVYSESSFTDSGSHIGGGAHGGWYGGRPPSSKP KKSSWGWLQWLSVSVIFGAILVCLGLKRHSKESEKSYSYYTETDYTSPESSSTGPSSG RGKGDGGPGGAGPGDAGRVAGGSGGHGRRIIYEHTETYHAPRRGSSRHGGSGSGSRAV RA UREG_05823 MIQAQSQHLFSHQHQYPQADSTWLQHQQHQSHFQQQQQQQQQQH HPSLATSAQHVAQAQHVAAVAQHQQQHQQQQQQQQQQQHYNRLTLNGASTNNAQSVPG GPDNSAAGMSLNTDTMLSEENRKVLVWVAELLDPSRRETALMELSKKREQVPELALII WHSFGVMTSLLQEIISVYPLLNPSQLTAAASNRVCNALALLQCVASHNETRTLFLNGK LLSSTLLNFAANTFAAAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSSDV INFLLTTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDIGLAYICATYERFYAVGSV LSNMVTQLVEQQTVRLLKHVVRCFLRLSDNNRAREALRQCLPEPLRDATFSSVLRDDA ATKRCLAQLLINLSDNVVDTSAGAGPTM UREG_05824 MVRTKSSPGASPRNGRSTTRPSSISGVKPRNRDKPLPPIVYDSA DPVAAKRARNTEAARKSRARKVEVQEQMERRIAELEKELQKSRQSEAYWRSIAEANAL UREG_05825 MSATMRPIKTLRPSYAGTRAGFQRRLVLALSAIPSRSFSCTAQR RDDKQTATPSNLITSVPYSALTVGIPLETYLNERRVALTPQNATLLLKKGFSRVLVER GAGSEAKFTDEAYHDAGATVVERSQVWADSDILLKVRSPRIEGDINEVQQMREGSTLL SFLYPTQNQNIVAALANRHITSFAMDMIPRISRAQVFDVLSSMANIAGYKAILEASNH FGRFLPGQVTAAGKIPPGKVLVIGAGVAGLSAISAARRMGAIVRGFDTRPAVREQVQS LGAEFIEVDIEEDGSGAGGYAKEMSKEFIEAEMKLFLEQAREVDIIVTTALIPGKPAP KLITDEMVAAMKPGSVIVDLAAEAGGNCTLTVPGQKVIRNDVTIIGYTDLPSRLPTQS SSLYSNNITKFLFSMAPEAKHFGIDPADEVVRGSIVTHGGEILPPAPRPAPPPAPQPK AGATDVSKEPAELALTPFQKVSREVATVTAGMGTALALGKFTGPLFMGNVFTAGLAGL IGYRVVWGVTPALHSPLMSVTNAISGMVGVGGLFIMGGGYLPGTIPQTLGALSVLLAF VNVSGGFVITKRMLDMFKRPTDPPEYPWLYAIPGLLFGGGYIAAVSTGMAGLVQAGYL VSSVLCISSLSGLASQATARQGNLLGILGVSSGILASLAAVGFPAEVLAQFAGVAGLG SILGAIIGRRITPTELPQMVAALHSVVGLAAVLTSIGSVLADVGSISTLHLVTAYLGV LIGGVTFTGSIVAFLKLAGKMASRPLNLPGRHLINSSLLGGNMALMGTFIAMAPGSPA IAAACLGANTILSFLKGYTTTAAIGGADMPVVITVLNAYSGFALVAEGFMLDNPLLTT VGSLIGVSGSILSYIMCVAMNRSLTNVLFGGIASSPTAVEHQIEGQITKTSVDDTADA LLNAESVIIVVGYGMAVAKAQYAISEITRMLRAKGIKVRFAIHPVAGRMPGQCNVLLA EAAVPYDIVLEMDEINDDFGETDVTLVIGANDTVNPIALEPGSSIAGMPVLHVWKSKE VIVMKRGMSSGYADVQNPMFYMPGTKMLFGDAKTSCDVGSEFADQHMTNSYPACS UREG_05826 MVSRKRAREETEEHAGSVADSDTTQHGGLLQQLRSMWEFANLGQ YIFTFGKAMKIDEDFDIEPCRGVGFGDGVSKTRALGEIAGDWLVASQIRLVTSWFVQL TAWTLWNPDRLREKMPEQKDTEQTEWRCEELGYDRDERLYYILDDNRLYRRTNPPIPT PKPAKPKVNSKRGRAAARAAKRRKQAESDVKSEDEEQVNGDLEYKWECIAVTLADYQA LIEKFRKTKDADEKILRDRLIEEVLPTIEKLEEAQQKEIQRREKELLNLQKMATAKRS SRLADKQERERQELAAAEELKKREAERIAAKKEHQLKEKIEKERLYRLMTREQRLKDR EEKRRLQEQHLAEMEAQAKMLETGEARLSERQLKAMMEKGKQDLENLQAEEPWTFDCS VCGIHGENLDDGSHSVACENCNVWQHSQCLGIPKEEAERDDFHFVCADCQRRIEDAKR PKIPPLKFRIPTSTSPPSAPAAKSNGEKQKQHLGEESPVKKPKKPHPIPPGQAAGMSV PGVQTSFHTNAPASYPQSTYPSAAPVAANIPPAAHIQRQPMKNGTFYPPIQPQQPPPA TAQLNRSMQPGQHPEPQLKFIQHYPPPTVPHSTSTSFNSQRPSSSYSTQSTYPSPIQN RPSMSPTQGNRDVGPLAGFRASATQNGPMPSTPFSHNVASSPYLNQTPSASFSTPHAS FVHTPPPNYSQPISMSGLSPTKQSPPRAPPTFSPNVGNTAVLPPVQKLQPSPKLMGRS SPDAPVPTPVKSMTLEQEDWRRREMEYPTQNMSPQLGPVNSSTTRPQAPHPTQLIPQP TSTAANGLFHGAKPQ UREG_05827 MGCTTQDPCCCAGEEGERLAVLVDERHADEDGTSRLVRCSIGPL GLAGQPFLYPSLPPAITLPQHSCFLSLVRFQYEQSESPAANHPRLHLLVPASVQSPSS PSPPQPQEPQMPRSQHPTDLLHPERLEASESRRSKVLDLRGRFCSREVGGKVAPRSLR RATGTHSGDEFVADRISAAITVRLPIDKDDPSCRPERLFHGSRDLRFNPRTASTLENQ PVCTAARSAIFCIMGRPRASQHRRLAELAILTSSTVMAGNFWSTVDDSPIPKLTIQAQ IQLYPRAIA UREG_05828 MSQNNSSQPPFLGDQHPFTVMYARQEYAYPKVEVENGEAPGVMT YVRAAQLSNHHHHHHQHRAADMKRTRSGGSVSSKIAPKIPKFPGTDKSRVQRVTRIRK RTRAPRADKNGPIINGPLSILTKDMVHIPIRDMEAWVNRSTEVRRKEVAAKNGKIARP MNSFMLYRSAFAERTKEWCSQNNHQVVSRASGQSWPLEPKEVRDLYEQYATIERDNHQ KAHPNYKFAPNKTQNTPRKKQAAEKEDENSDLDDAGFGVPVCSRPLRKWANRSDADEG FGSRTSTPFDKDSSYESRNSTPFDRDMYMHQPDINPSSWETVNPGRPLPGVLSPPEQS HYYQPSIHQSLLGPNIEDVTYKKMGIPGASFDTQATLTGLPRNSHP UREG_05829 MYGDQGNKLVQHAKRIQSLPHLPPHHTDLTRTLIREVHDLNANV TALLAPYTSPDSPTPAFNPSANPATACALLVNHLCMRRNKRCLLAYHRVRAEKVEELC WRGYDVVEYQQERRRREQQGGGGGAGMGNVLSAEEEEYLSRYSEMLLGYKGRWTDVDL TGSLEPPRDLFIDVRVLNDVGEVQTEYG UREG_05830 MEGGRSPVPGPGSRQDTGQLQPNRRRAYSTRESDGQDASSEVSA RFGLSFDGAGSLKGMTGQRRASTSLSLYSTEDGQYSFQGVREQTAELESRALSDAESV HSVRTSLSVPRGDVSSSSLDQRVAEAFGNRGRSNSHLVAPDVIVEEESDSDTPRAGSA HSGGSALTALLLDAPAGQRRIGSNLPRDDLSGEARSSISGEHAAHVEGEEDAAEETPL MRQQSKSTSIRGYGTSDDIENHSLLLPTSTPPWDAKHPSQKPQIPWKTLCNPRKWNRR TIFQKGIVYPASLLPAVLLGLLLNILDALSYGMILFPLGEPLFSDLGADGISMFYVST IISQLVFSCGGSVFKGGIGSEMIEVVPFFHKMALTILARVGEDKMDSVLATTILAYAC SSVLTGTVFFVMGASGLGSFIGFFPRHILIGCIGGVGWFLIATGVEVSARLSGNFKYD LDTLERLFQLDTFFLWTTPLLVAIGLLVLKRYIKSNFLVGGYFVFIAGLFYFFKLVIG IPLTTLRAEGWVFDPPASENPWYHFYTLYNFSAVNWSALADTVPAMFALTFFGILHVP INVPALGISTGEDNLNVDRELIAHGISNALSGFAGSIQNYLVYTNSLLFIASGGNHRL AGIMLAAGTFGILLAGPGLIGYIPILVVGSLIYMLGIELMEEALVDTWGKLHRLEYMT VVIIVVTMGAWDFVTGIVAGIFLACLNFVVQASQKSAITGTYTGQVAYSTVRRNPLHV RFLKEAGRQTFVIKLSGFLFFGTIVSVEKQVRWLIEGEVFSHRPIRFLVLDLSHVKGL DFSAAEAFTRLNRMLQARGVHIIICGVDVAGEVGRSLRNVGLFEQESNVRVFENLNSA LEYCENELLQALHDRKDAMAGARVEPSPLKQIFKPQTSELPVNSPRRYYLHQLAANTL REEAASPPSSSPPTGKPHHPPPLPLLMHTFQGLTTKTEDFWAPAAAFFTRAEYPASSV LYRSGDSAHYFYLLESGMLRAEYDTPQGHYFELVVAGRPCGELPFFGGTRRTATVRAE TGCVVWMMGEEGWKRLSERHGQVGLEMMKVCLKLTAERMESITSYVLTATR UREG_05831 MTSSNATSDDRMLCAYLRKPPRKRRRSDKEPSDGRPYGLLKQRR QTLRGTEAAEPLTQTALTTAALEEHNRQTHTGTKSSPRIQPPNHSPPTQSFLEMIRER SIEGGPDLSDLRGYPFPEGFMIDAGTSTSDAMLPRRRVYPSLASGVIKGTDSTSVYSQ NFVLNLIDHGINPIGTTMEIPNNWREINKRVIRRRPSLSLSKFTRADFERFVQADVFA SKTQHLRSSVVPLIEGNTGDASCVRSGCPLENLAPLIDGTSTAHPDVLYGAHPEDLDP RIRRDLNDKIIPFTQHALPVLPNFFLEVKGPGGTLIDLTRQACYDGALGARGINCLQS YKRARQVYDNNAYTVTAIYHGGLLKLFTTHLSAPKVKGGEAEYIMTQLRAFCMTDETQ FREGAAAYRNARDWAKDKRDELIRAANERLIGSQSGSDSDRTDCCDVRIKVSKVLKSS KTGKPLPN UREG_05832 MQPKAFLAFIALFPAIGLAAPFQTGLRVLSENLMSCADVLAERS DADTATNWKRAEANSQVDSRRRLEFERLRGYNKGNTKRADADDVVNMREIQ UREG_05833 MAEEPRSFSELRHRGKQYTSQALRRGKQKPFGHGRRENIDRRAS AIHVTRQKLTAGASLSVERQSFGLRYNMPEPYAINMKEALRDWMGSKYQIGLSGLALP VLLLLSEGLFVLVAQGKAKRAQYSHSIKYR UREG_05834 MSEAQKPAEETPVVPPAAEPVPVAEAQAPATETTAPADAPATEA PTDAPAEAEEPPKEEPKAEVTPATDGVLGYKAPGLVKSLRFSKRYFWFSDDAVESRNL TTYLQNEKLSVAHPTAAWASQTGKGLLFIAKRAEDKAHPTGIVNLAEVSEVVKEGTNE LSFKWNGHKHAFQATSADERDSWFVALEAKSAEGKAEKEAIVGGEGYKAELEKFAKPA TPTTTPSSPKKSLEAKAKEVVKKVEGEESKAKSRSQSRKRASIFGNILGKKDEGDAKK EEPKKEEAPEGEEAKKPEAEAPVEEPAPATPAAEVVAPADTTEAAPVADAKQEEPTRP EIKPKRTSVFGSFLQRVTHQGQPKADKEPAAKPAETTTPVSSTAPQLGDPVNPAASEP IKPESVTQPEPTPEAAKTTQEEPATSPSSNKGGFLNFMKKDKLEVSNPLYRY UREG_05835 MSQQAPIPPMNKALPGIAVPLPLITTSPPVRELESSLRRSLELR IQSIPDPHTASTGAKLAILFSGGLDCTILARLAHDLLPSDEPIDLLNVAFENPRVAAN SPKNDSPNSIYEDCPDRKTGRSSYEELCRVCPTRLWRLVCINIPYTETTQHRETIRRL MRPHNTEMDLSIACALYFASRGIGEMTNSNSEGGAVPFTTTARVLLSGLGADEVFAGY QRHALAFARQGFKGLVDEIDLDVGRLGKRNLGRDDRVISNWGREARYPYLDEEFLTRA LQRPVWEKCGFGIPSGLADENPPLEPDIEPGKKALRLVAWNLGLKKVAREKKRAIQFG SRTAKMESGRSKGTQILS UREG_05836 MAMMWYASVLCLIAVRPSVADNALCIFFRMNKPRAAQRKEDATH FELPGAADRACGSVTKGLTLDIKNEVSSWECYRFNNGPRLMPPPTEAPTSSSPTPDNN PSRGIESPGTERPDEKPVTPPPAGSSHKCPVSPENGENATGRFDGVMDTSEQPRGNNA SSGASLKMGLEGGSPGNPPETTPEQNAEALAQPVERLSLSKRTADGVPALQLHTNADS LSPPPPPQKDDTYIDPTPKTPQAPPSPIGTPTYADKDLPDVPRNLQQSDVGEEKSEGE GEGRKSEDSQSEIQSIMEQFADESRSLRQEEIMSPRLELAAHPPFPPRKSSLEPVVSV DSAGEHQSSPWQPRRSSILSGHSRRSLSPPAVPPKSPPNTSQKAPINEQAESTNASLP EPEPDRPFDFHRFLEQLRHRTADPVAKFLRSFLTEFGKRQWMVHEQVKIISDFLAFIT NKMAMCDVWKEVSDVEFDNAKEGMEKLVMNRLYSQTFSPTIPPPPVLPRNRSRGRRKD LQKLLGPGRRGQHQEDVERDEILAQKIRIYGWVREEHLDIPPVGPNGHRFLHLAQQGN DTSADSFMPLLIYVVLKANPEHLVSNLQYILRFRNQEKLGGEAGYYLSSLSGAIQFIE TLDRTTLTVSQEEFDRNVEEAVSAIAEKNKAAENLLHSENEKSPGSREMTPRNSEEQG SRSTESPYASNSEDNPSMAGLLRTIQKPLTTIGRIFSDDTESQQQHRVLTPQQQGAGP TSAASQQHQENNVRTGVARQRSWNNGSPQQQGQGHKFEAQEAAARQASAEAAEAHRIQ RAEHVDVVETLSGMFPNLDKDVIDDVVRMKEGRVGLAVDACLALSAE UREG_05837 MPRKKKSQLSLTSEDTKAESSVAASVPASPDPQSPHSTVQGSAA KKSGEKSKPAAQPSTHWRYISSFHGPWLQLPPDILETLSYSNYASPRPHPIDPAVFFD IVKIRRSIDEATDLAVRAANGTTSSALGNSLNAANGILSGGSSAVLGLGIGGGGGHAK LSRERRHRMREHATQKLSKAYRLDEIAASVATMQSASALEDVAKLVLQRNEFDCDAKY VHFFHEKIPSRSLAQCTSLEPLDEIIASRPREGSTFRTRAVTRVFKEDYMGAARDLTE GLSVHRLYRTAHMEGRSGTESPNAGKVNEPQVMDIREELRVDEKNQPTGLETQLLFHR AGVYLSVACQHIASALEYLKLSEKESLSPQEPESEGGSAPSLSPAGKEAYRRWLEARK IVKTNARRALRDYMSFLSHFDYTPGLSCEVAEAFLRKVNYTASGNFGKPRRPRNHSGR LLESGNDDDGGSGKDSQSSLPSTIVYKVSDLFTAQPPADLPPFPAESQELVPASQTGG NATSDDRSSHEAVTYHPLLTDALHSLLLCHALVQTSSKELLRHAYMTARIARVCDGYP IFLAPRSPSRADWIEVISHVHDWIDLKQSWESLCTPAPLSQTQGIEKLDISEEEKRES RKHEAILEALGDDRVFDEASFRAAVQAREKRMEQQVQQRKTGGQGGSPLIKRWAQEDG KEYPICTERAEGIIRWIQEAPLTTGEGRAKGKRAKKKKGSKEDDEHVEGADHS UREG_05838 MDEKGTSEGQDPSAFLSGITGASVTVKLNSGVVYKDGYMNIALE KTQEYIDGKLRNSYGDVFVRGNNATLYNDRGARYFALVYAPPSLLAYSSSILSWYKRS ASSLLSCPSVPVPCSDMPVADEAIDCDDDWDSDDEELRDDGC UREG_05839 MALFLVLKRLMYGPANPSLQERLRQRLERGPLLPHRAIGDDDPN RRLLDVEAQTHATLDHTGNEITNAPTRVRVNPKIVSDAILGLSDGLTVPFALSAGLSA LGETRFVVVGGLAELAAGAISMGLGGFVGAKSELESYRTTRRETEELINAAPDETANR VRQVFARFGVPERIVTAISDRLHNSPDLLMEFLLVFHHREVEPNCNQPWISALTLAVC YFVGGFIPLIPYFCVKKVFVAFYWSVGVMAITLLIFGYIKTCVVRGWRGKENIVAGLK GGLQMIFVGGLAARGCNCLGAWD UREG_05840 MASNKLEQALQALDDAHSDDPNIVTIDQKQIPYELHYADKMTKY LTLHQPSASELLRLAVRAQHLRRWEIPRSSYPMNKMGYLSWRAALKKRHASLAEEICL DCGYSAEEAAKVAALVRKENMKTDEECQVLEDVACLVFLDDKFEEFEREHDEEKVIGI LRKTWGKMSERGHELALNIPLSSKSKELIARALS UREG_05841 MSHTDSQRYLSTRGGSYDLSFEEVVLKGLASDGGLFIPEAIPSL PSDWQSKWRSYSFQELAFEIFSLYISPSEIPPNDLKDIIARSYGTFRAPDITPLVTLE EKKKLYLLELFCGPTFAFKDVALQFLGNLFEYFLVRRNQGKTGKDRHHLVVVSPIQEA QMTTVLDSNVHNLSVEGSFDDCQDIVKALFADPEINRAHKLAAVNSINFARILAQMTY YFHSYFQLIRSPSYIEGKPVRFVVPSGNFGDILAGWFAKEMGLPAEKLVIATNENDIL DRFWKTGAYTKQAQARDASAGSGDEIANAQGSVKETLSPAMDILVSSNFERLLWYLAF EVKCEGDITKRRASAGETVRTWLNELKTKGGFSVDSKVLSLAQKDLESERVSDDETIE TIRYTYSTCFPGGPASHGTRGQTGGYILDPHSAVGVAASLRSISRFPESFHISLSTAH PAKFAQAVDLALRGQHGYSFDEVLPPEFVGLEHKERRIIVVPAGEGWQTPKRDPISKA ERPRVRSGAPYGMMKQAGAFPLYYIKHRLKSDLHALNGAFANHERAIQTEQPQLPYNQ VLKTAYERLAIETHTQPQACNDRTATQLLDEESSIFAASISTWPAFHDTVDAMRRLKT RFKLVPISNVDRASFDKTLRGPLAGVHRDLAGSHEPFFDAVYTAQDIGSYKPDLRNFE YLISRAKRDLGVEKDDILHVAQSLYHDHEPAKKVGLNSVWIARGEGGASAMGGEVAEY VATGKVAFGWKFASLGELADAIDKEAAE UREG_05842 MSAQPGQQSAITAVNAFPTPASSVGGIAKDSQEPSEKGIRLSTE IEGAPGFTDADSGVSHTQHDQQRQQEETAGSNAEDEAMDIDRNEGISEHPKIAALQND LGQAFHTCKTSYSISGPNPHFDLVSLYGLGPVAASVARTDPVTGEKINRLRKSYEGKI KGLGLSGRNKPVKHDPGAPGGLRQLTMWPEEEWQNQKVIGKDIKVAEPDSTLHKLYMR AMKMEPGPVPNNDYWEDVLGHEKPAKQSATVPQTKKAGDASAAGIIRQPGQANGTPMS TSISPVESGRPKRTGKKRSYNDSSFVGYGEGFPDDDMELDGSFYSNSEESGRGSAKKK RKKVTTLAFSPWVLLARLHLFDLKLMQMLS UREG_05843 MNRRSSRGGLQSAQSPLPEAAKTCWLTANGAPLSPRAVEDEAVR AALRLVVVPMDTGDLKPSHSATRKSSPTTSPLSPDEQKNGRIAHTLTACTRCRRNTTI PRTYILQLQSKVRRLHEELERVETQIEQSPDPELMIRGGGQIKFKENDESRFLGPSSG IAITRFVMEMAKQNTDSKSIKEVVNETTAQEIKHVFTKESQKPTSKIYPLVSSVAQPD LPDRDLTDKLVDLFMAKAQYMLPTLHEPSFRRDVDAVYRGSDDPCQNFQLRIVIAISM QKLSTQFAGLADAFYLAALPYLDACIRKMDVSTLQCLVLIGQYSLLTPTRTAAYWVVG TAVKICQDLRLTDESTITRSADGRPLSFLEVDMRRRLFWIITSMEYGLSHSLGRPSAF CVSHDHINVKFFEMVDDKYITPEGVLPEARPIMKKCIAIHFLKMRLLQAEIRRTLYLR KRSTPIDDQDPWFAQMLQKLDDWVNSCPTNDEGSGLSRVWFEGRRNTMIVFMYRPSPQ VPEPSIQAARRCYDACVFNVKMHKEQVTTGSVDLTWIWTQSLCMALNTILWSLSYPSI RQEHPLGEVMEHINVAMEVLAVSAERWPGVESCRQLYKSLIAGCLKAYGTGESFVVRS PSNRPSPASSYDMTTPQPVSSPDPSPSATLMPPQSTSASRRSATVSSEGSHDMNDSIE RTSTYSARNSFCGSESQTTISHPPFSPYLTDSIPTQQPITIPSCDTPTSLAQLHNIFD PSSFHNSIPSVVPGLQNWDANYSAASTMAGHLSYTNAGLDDPMIWTGTFGEQYSQFFN QPFPNTGWRDRSLSREEQSELMANLVNEIPDVSYLINESATFYNAMP UREG_05844 MKSFAILSTLVASVLAATVPNTVSYDGYKVVRIPTEEDNHAQVV KVIENLKLDTWKFPKRAGSDADIVIPPEQLEAFNKAIAGLKVEIMHEDLGASIEDESS TITAYTAGSVAADDRWFTAYHSFNDHMAWLRDLQQQNANRSALVNVGNSHERRPVTGI HIWGSRRGKPAIVWHGTVHAREWITTMVTEYMAAQLLTDPAARSMLEKYDFFIFPIVN PDGFVYTQTRNRMWRKNRTPNGGSSVGTDINRNWPFQWSGSGSSTNPSSETYRAYGYS CTARPDNHNRLISLANTFAQALRAVHGTSFRTGPICNTIYQANGNSIDWAVEVGNVET AFAAELRDTGRFGFILPPNQIIPSGQETWAGVKAMFAQL UREG_05845 MGYFASVFLLLITALPAARAGEMIQAAAGTPGIIPDAYIVVMND GISDSDFESHRGWAASMHNKAVQKRGRIFSGMTRMWNATELKGYSGSFDRQTIQQIAN HSSATGIIFLTICWSGITIYGVDTGIDIQHPEFQGRAIWGTNQVDNVDRDQNGHGTHT AGTFAGTTFGVAKRATIVAVKVLDAQGGGRASSIISGINWCVSHARQNNLLGRAVMNL SLGGSGTRSFNQVATNAANAGIFLSVAAGNDAEDAANTSPASARGVCTVAASTEQDTR ADFSNFGQIVDIYAPGDRIISAFPNNSRQVLSGTSMAAPHVAGVGAYLMALEGISATQ VCDRLKRLSQPSIRNPGPRTTNRLLYNNSGV UREG_05846 MASIIYPDLDAPLLKVSRPVAACSRCRNAKIKCDGKLPACSACE RAGRSSGCSGATDEFAKGKERSYVASLEAQCERLEKQIEAAKRRQQGLMVGQVDREND GFSATPATTLSPSAGDEGYGKESSDIDDLVGDFGFLAVNATSRDFYGIRSSTSFAGLL LTVAIAELIPRSIPRIELPLHSQIASLTLDYFDHFHPLMPFLSEVKFWTSVDAIYQDN GRFANSHDHWTLRMVLAISAASRSRTMNDRDCQVAMAHVHVALEYAEDVLRPGTIFGI QAILLLAQYSTLNPRHFRTWYLSGIAARVGTDLGIHQEHASVACLDEATLDSRRRTFH CVYSLDRYVSTALGRAFSFSDDSVDVPLPPNPPLSASPPWQGGLFLRSIEPALFLFQI RHFQSAAFQDMFFSGRQPSSAAQSCAWERCSAATTWFQSCPTSTPAHFSTLFHLEYLF TLILVLSPSNRSPTISETDQILLFEYSVEYISQLYNEVTAPTTFSSLLTYMDVERTYT VACKLVNLLQLSHGEILQDKTPEKQKLQSGVPPAPLRSTTTGRQNSTKRAITCLYEAH SIFEYAFRRWNVRTLLDDFYRSSIELKAMLSSLLDQQQRPLQQPPHHIHHSFAHEAIR QSHSPGFQHEQTYKSSQLITPAQIQHMR UREG_05847 MCYPKDEDLLKKHCAFHQEREKRAFWLVSAMLAAFTLAVAGLIV FHDCVQKREKTPKREGKGPLDASKRPWASRIKCCFKRRREAKVIDLEEFSSNPDKASA LAQDEWSSIKGELDGDGAQTAGTRSMLDWEPVTFKDGTEVVPVMPRAQMPRTEKRHIA RVTFAASSTPPGNVLAPELDVNMVCSSPTERVHPGTRKGFYWSRERR UREG_05848 MGYQTIAIAAVAFVYFVIKQLNKTDIPKIKNLPEIPGVPIFGNL IQLGDSHAKRAQEWVKQYGEVFQVRLGNKRIIFANTFDSVKHFWITHQSALISRPTLH TFHTVVSSSQGFTIGTSPWDESCKRRRKAAATALNRPAVQSYMPIIDLESTASIKELL HDSKDGTVDIDPNPYFQRFALNTSLTLNYGIRIDGSIEDELLKEICHVERVVSNFRST SNNWQDYIPLLRLWPSRNNEAKEFRERRDKYLSLLLDMLRDRMAKGTDKPCITGNILK DPEAKLNEAELKSICLTMVSAGLDTVPGNLIMGIAYLSTAHGQEIQDRAYKEIMQVYP NGDAWERCLVEEKVPYITALVKEVLRFWTVIPICLPRVSVKDIEWKGATIPAGSTFFM NAYAADYDENHFKNPYEFRPERYLNVSDGAGTPHYAYGAGSRMCAGSHLANRELYTAF LRLISAFRIVPAKNRADDPIMDCLEAGINKCALTLDPKQFKVGFRVRDRAKLDSCIQG SDERTKDL UREG_05849 MADTKESIHETSLKETVSEVEFDPAAEKALLRKVDLWVVPVLFI LFLLSFIDRINIGNARLQGLEKDLNMKNHDYNVALFIFFIPYILLEVPSNLVIKRISP STWLAGIIAAWGVVTIGQGFTQNFAGLVVCRFLLGALEAGFLPGCVYLISMYYQRHEL QTRINIFFSASIIAGAVSGLLAYAIANMDGVNGYSSWRWLFILEGIVTVVVALASWFI IPSWPETAGFLNEDERKLLVARLAADNKGATMDRLDKKAIRRCVLDVKVYLGILMYFG IVNTGYATSFFTPTILNQLGWTAIRAQVMTIPVFVTATVVTVTCAVFSDRLRHRYAFT MLGCCIATVGYVILLCQREVSVGVRYFAVFMVTCGGFIAQPVVMAWVSNNMGGHYKRS FASSMQIGFGNSGGLVASNVFINSEKPYYSTGHGTSLGLVWICALSCTAFFLWCRREN KIRDAGGRDHRYSLPEDELTNLGDDHPSFRFTY UREG_05850 MSRVMSPPPVQKDLEAHKRRLRLLPRPIRTLSFSSKHTSSSPTT LSSPVHAGTRASTSRTPTTAITTVLPESQSPSGSPSKSLRRISTASRYFRPSALKRLF TPSTAAAAAASPTTSTTPANPHTSSPPDPPQRPPLTRLRDASSSIITYHRGRILDRDR RAERYCKIPLTQWNLENLQTELARQQTSVDPESSSNIHGRKSGDPEEEGAVVIEDDGA VRVLGLEKSDGGRGERKVTNESSGSGPSPSRRKAEKVVLPWLEDVAV UREG_05851 MPKPQLPLTPAASTEIAALDKCINSPGEMYFTLPPSAMSNSAGT HPTPFPPLSPTSPEATQSSSRTLRQRSSTKRPAADFTLPPPPTRTRKIIQVKPKGQEQ PRTAGTQAKGKDGQNASTDGPGSKKKQSNATTAAGRKIARRTAHSLIERRRRSKMNEE FATLKNMIPACQGQEMHKLAILQASIEYVNYLEQCIVDLKAANNRRDSTPTSPTWNES KEQGTALSSYTPSPEFNPQFEPPVSLSQTASPRPSGDVHPRSSHASSFEIIPMILPSP ALPPSKTPHFPPRVHRDTLSSNTSTISSISNTSAASSTTSPIIPQQTHDSQAGKRTPS LLGNSSQGTRMDVDMDREATTALLMLNIDRRTSSGGADMLRPAFLSGNSDDGGKKGIS VQDLLSH UREG_05852 MAVGADGPLSGSRTSADYFHGRDGLGGVHTTHPHLTPSQEWESL FSPSPGSVEELAVAAQHHSSFIASKRPAYEEMLRVLKQNDPGTITIVALGPLTNLALA AAEDPETFLRAKEVVVMGGAVDCPGNATPTAEFNTYADPVAAARVFALTSQMPLSTMP PAELSPNLPTYPSTLSKQLKVKLVSLDVTRSHNLTRGQFHERVAAIAAAKSPLAEWTS AILEHCFTRLDVMHPGHEGDMASLSLHDPVCIWYALTPDSWQWMLSLDSPLDLRVETT GQWTRGMCVIDRRNRKRRDHDDPDVEDNGLWLGNRSGNRIDWVVGTPGADMFASHVMD RLFVKQQN UREG_05853 MDNASTSENSASAEYQAAASRHRITPSTSTTDSVEMLGAPGSAR EVIIEPGKVTSSPTLAPTFPATPPLSSPLRSLPPPPNNIPRITDLGAQLLIESLFKRQ CLVSYIARRHIRIWNGAYLVPPAVL UREG_05854 MNPYLRWAILLFITGGLAFYYRKGSSKIKTASSKPILERVEQSL PTKKSKQRKPKKAPQATSASGSPTPTPQVQLAAAPPTTSHEKGEGMSNLEFAKQFSKV REGNAIAPSAAKKPAPAPKPVSRPTTGRSDAQASTSASSMTGADADDDLSSIGSSVAK PAGDVSDMLETPVPGASVLRVTGSVENEQKSKKNKKSNDSKPVETKKQRQQRIKKENN KRMIQEAEAQRRALLEKQLHSARDHERQEAAKSKPPVSNAWKAGVTTNGSDKPKTAPR PLLDTFKPTNEQKENISAVTPESWTDGLPPEEEQMRQALSESEWTTVSNKKKERRRAN IPGVAMSDTSSSETPDRQTPQPNQLNPAKPAPRKQTRY UREG_05855 MAQIRGTAGYNLGHSNPFVSPGRADVTNDPSPLDAIREHTSKIE DWLETISDPVKPYLPAIGRFLIVVTFLEDSLRILTQWSDQLAYLHEFRHFPRGVSHIF LLTNVIAMLICSVFVIGRKHSEYAVAGLLGVVVSQALGYGLVLDLTFFVRNLSVIGGL LMVLSDSWVRKKFVPAGLPQLEEKDRKMYVQFAGRVLLIFLFIGFIFSGTWGFWRILV SLLGFVACVMVVVGFKAKWSATILVVVLSIFNILVNNFWTLHSKHPHKDFAKYDFFQT LSIVGGLLLLVNMGPGQLSMDEKKKVY UREG_05856 MWLYRGAQSAVFYYASCTPCGEYLYKRKRRREAARTVREPSRDG LVSDQPVFFHQPFPFTTNAYWSEEIALGPGPPSRKGHRNGSRTSSQRNLASPEEPHNH EALTEALHGIKKDKDKDASKNPIGDAWNRIRYQREDEVLWGVEVKGSSVGLSGRGRSG THSSKYYIARNPEVNDLHPPVVCGPRSREETKWMLQPPPSAKVMAGKQPHFKLAVPPL STIASSSRLSVNTPTSENTHLNPSPTLNSRSNSPILQSITREPIKPPAQTLLHRSVTA HLEDRPSTNETADSGKAFHPRTPSTPAWAALTHTTSPDYDINPYFKLPLQVDIDDLTE DDMSDLDDLRHFRPYRWSMDI UREG_05857 MSLQAYINKKVLILTVDGRTLTGTLLSTDQLTNLVLSETVERII RPPDDPEPSSEVDHGLYLIRGDNVVICGEIDEEVDGKIDWSKVKGEVIKGTKNF UREG_05858 MPPPPAPPPPPPPPLPGFGGGAPPPPPPPPGGPPGAGNLPKQPP KSVAKDRSALLTDITKGRNLKKTVTNDRSAPAISKSSGGSSGPPVGGAPPIPGMLKPP TGLAPPVPAGGGNRVRSNSDSGGGGYGGDGASAVPAAPQLGGLFAGGIPKLRKRGGID TGAAPDAAYLTDTESPGSKLRASTGSAPKPPTAPKLPIVRPPPPPSADSPAQPVVNPL VANLRKPPPKPSSRPSSTFSTKSAPDVPPPRAPTTFARCFACPSSTASFYSKSFRSSS TSSPPSLVDSRSTICSPATSYYFSP UREG_05859 MKRIALRAPKKAHGSVCQFCQFSSASRQLALQLQAPLFARKLSK IPKAAHKPKAYLANFSLCNSSIYRYASSTAVAQSKDDPDLMLRDVLRDSHAIITGTAL PSEETVVELLERCKNIVKIALLDNEGSTEVADNNATSSLLDLEDENGNANKSPAKPKR TLNKNFRQRTTIAISSMLDELVRDPKVFISPNILHGYTVIQSQLKKADHFPEVFSLYA SKPAPQASGSTITYHPVNPKSPKNAIPQELADMALDIAIEQQNLPLALAIVDKTFCTP AFRRAKVLRKASLPLMGLVGAPPAAYIAATYASTFQNTMNPTTSTWIAFSAILAYITF TSSVGLVAIATANDQMRRVVWLPGMPLRERWLREEERAAMDKIAVAWGFKDPWMQGEE EGEEWESLREILGMRGMILDKTDLMEGME UREG_05860 MSKVTVAGVRQNVQQLLDYSNNQKKRNFLETVELQIGLKNYDPQ RDKRFSGTIKLPSVPRPGMSVCVLGDQHDIDRAKHHGVDAMSVDDLKKLNKNKKQIKK LARKYDAFLASETLIKQIPRLLGPGLSKAGKFPTPLSHNEDLANKINDVKSTIKFQLK KVLCMGVAVGNVGMTEDELISNIMLAINYLVSLLKKGWQNVGSLTIKATMSPPKRLY UREG_05861 MKPDPAVVVRVPQGTGIPSTTLKILCRRFQKARLAALQADPSAF SSTYERESQFDDAAWAQRLQNPAAQTFVALVKNRTEFPPEGQKRQEESKGEDIDEVIE LLSNHEWMGMIVLVGPKALAADGSESAAPWKAFASMGPSSILDMASLIGAEAAYFAAS MFVLPGARRQGLGRKLITHASEVVKRDCVAFGASKLNINLLVAAENTPAISLYHNCGF EVVPGASPASRGVQETDLATVAMVRTTEIMTGS UREG_05862 MASQKPSPPDYYSKLPDCESLLGKLCNLDNSSDFRKCKYQLGNE ETCNFVVDFDDNGAWGAFDVDKAELSALLDKTKPRPFETRWIHYGMSHRLGGLMCSDP SRKKKITPLSPKLACDKPNGVVDETEVSSETTKSSDIEAGYQSEKMVPADAPRSLEKQ EFDMGGISFSQLVDKIWHFSTSSSSVAQLIELGTVISIQENPFAQENLTREQRQAALK IIRRNIGLVLFGISKQHGENKKKNPLLGTHIRNSSSESTDVRVKKGEGPSLLFYYIFD DWATNYSLVIGREHSYSAALEKLRTNMLERPRVNLITDLHRVGRELAVLKRLYQSYEL IATRILNRQWQMKEQTRSVSQRTASQIRGLNKHRFNVTRDHTWTSLPDDESEDEFNPR QSGGVQLKAAALNRVERLADRIRLYALSEIEECLNEKETLTFLVSSGFFPQKRRTI UREG_05863 MGMSFSKLFDSIWRKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRVVE AREELQRMLNEDELRDALLLVFANKQDLPNAMSPAEITQQLGLQSLTRRAWYIQSTCA TTGDGLYEGLEWLANALKKSGHD UREG_05864 MTGQSLQLDFHRAPLFSSFEDLWVEYFIRYFLSILQHNKPATTT PQSPEAKCLILQANSLPGQHSYSGSMALFTGNSYISSPLMFTLFSTVSVLALTLFIRR VRSGYHAFLALGPGGTPSTFMGYLRICVLRIFALRNPLNPPLLPVNLRPQTGILKDLP KRNSPRPKVAGIAPQRQVSQRGSASMYSALAAAIKDISIHNSDHLYLGTSCFEKHSTG LFSLPTLINQVTCNGEVCHAHPSDGSLHLTLHPADVKYVIEKGWGERHPLARESWWWK LRFVPVGFVMIYAPQTMEELQCVIQIIHAATWWVTGMEPRSKDCAYKTAAVAKAQGRR SDDSQPAINVAN UREG_05865 MKKRDDPIREKQPRFYPSRETSFEHDEESSSFSDSSYSTSYSAK PMLKPSFPRKKRIVRPYRLPHTVMRCFCLGVFCALVLFVFALFRVTLMHAFIKPVELK IPGPDLVSKPPQWEGFPFLTRYYGGIRTLVSRTENVPEYPNDNEEEKKGNGQSSLPNV YKNEKDSSLAPTFVFDPYPNYTSPEYVAKYGNKEDCFLDTLKKVSIPYVRHYNGIPKG FPDAIMGSTKLLGIKDDVCFDRFGRLGPYGLGYGSQIGGSGAGLEGDRAGVESIWGHT PPVDFRKVKWADAQDRCASANKHRFSDVPGSGRENFRAFPAGKGHKKELRGERFSTNA TVGTNRLPRTAFIIRTWHDFQYNTETILYLRSLISELSLMSGGEYFVHFLIHVKDDNL QIWADDGIYDRVLQAALPKEFQGMGTLWSERQMGLIYGGLEESFARNLPVHGVYRSTF MPLQYFAHRHPEYDFYWNWEVDIRYTGHWYHLMEKLAQWSKRQPRKGLWERNSRFYIP AVHGSWEDFRQMVRVQTEIGTNSPNNMWSAVKKDQPKGYRLGELQGDKPIWGPERPAS ERDILKVDNEGIPPTTYERDTYEWGVGEDADLIVLNPLFDPEGTTWLLKDDVTGYDKE SERPPRRAAIITASRLSRKLLQTMHRETSLKRHTMFSEMWPATTCLHHGFKAVYAPHS VYIDRRWPLTYLESVFNGGRNGASGGARTSIFGDREHNFKGTTWFYSAGHASNLWTRW LGYKVNNDGGEDFELANEGRMCLPPMLLHPVKDVSMMVESSGR UREG_05866 MHAKALLACSLLSAACVVAETGKLGDADETQNNPFRTVYEATLL AKEGTNLRGSVTVSGSPDGRGIIYNVDFTGFPAEGGPFTYHVHDQPVPEDGNCTKTLA HLDPYIRGEVPPCDASKPETCQVGDLSGKYGNIEGIEGEERYKKAYIDRYTAITPGIG AFVGNRSIVVHYANKTRINCGNFVLKTTNPGGPGLPCRDGKCSTGTPIRGSPSRPTGP PMFEGDASKVSAFSATGILAIVIGLLW UREG_05867 MSRLLHRRDFTIKSPAMQDVKDASRQINCFLRSRERKEIHREKQ NNPQQAVIDGAPCYARDSSHGSLSGSQTSARAKISNSASFSALGHVASAPGTASGARD QVFPLPSPPFRLFAWSGALGNWMKTHICACCCTCPSLYLPHLQQRPVTAMTSGHSTQN GGHVSVRYIPLSYNHADSQASALRLVLALRPEWEHAEGKIEFVRFTDGITNTLLKIIR RAPGMTDEEIDSEAVLMRAYEEVRSHALLSSKGLAPPLLARFKNGLLYRFIRGQVASP HDLTQPPIWRGVARRLAQWHAVLPISDSTTNPGIPESVIEGANAHINGDAKSPEKSND DITPVRTQGKGTPTLWTVLQKWILALPVNTDKERERRKRLQKEFERIVAELDDKSGIG EDGLVFAHCDLLCANVIRQPKSASAVLPEDDSVETVSFIDYEYATPSPAAFDIANHFA EWGGYDCDYNMMPTRSVRRGFLTEYVRSYSKYADLGKSEQDAVETLFQDVDRFRGIPG FYWPRFPKSISIMQITLKSASESIGPGDANRIALAPKPVKRCHFENAAGRKKSSQLLF GHIPPMEKNLFGTTFLANRPLAPFYIFPLFLVDISDCPGDYTAGKLELHGVEEI UREG_05868 MARSGFDWICVDTEHGNIADAEMHEAVGAIASLGVSPIVRVAAN EGWMVKRALDSGAHGVLVPLLETVEDARKLVEAAKFPPMGKRGFGSPFALGSFGNMSS TEYLLQANDALLTIVQIETKEALKNVEEIAKVPGIDVLLVGPYDLGNNIGRPVIDGFH PELEAAIERIRKAAVENGKRAGIYSSNGEMAKKFAEQGFHMALHIDMLVSIPCSSTRP PRSSRTVVGFGPGPVGLLKYKNASKEFEDLDEPCHYHPGPPKFHEGQKGWECCKPRVL TFEEFLEIPPCTVGKHSAVDDTPAAEAPKAGVEDELAPVRKTVPISQTRPSHPPSTLG ATGVQTPPSGSPAPPESESDDPSLEIPANTECRRRGCKATYNPGASRDAEECVHHPGQ PIFHEGSKGWSCCKRRVLEFDEFMKIPGCATKARHLFIGKGKKEEKVDTVRTDFYQTP STVMVSFYLKKIDKDTAKVDFSSPTTIKFDLPTTDNKRFLDTYELFAPIDTQKSTYKI MGTKMELTLVKADGSSWPVLRSNDQRTGEIIQTGRATKA UREG_05869 MAVKTLSLSELRREFLGKDCLQGWDILVSYHTSVLNEILRQRAS KIDGLTKIPEWPAVWVDPITSETVTSTVSISLSNPTISFKDSQASVVVTFHLTGESRR ENSSAPDVLPPGLLLQLDTKLVDVRGTVAGSGAAAEIHTSPSENGEAPSRISILEPGT EHARAVAIDFRSGTITIVPETGVSLSEDQTVFVNCIATDIQEHFQKSVGLDCYLGSVS NKTATGTLSSLKPTTFSFSTIASDARTPGVLCMWIGVEGGAGNYTVPDEGLPLQMKIN TTAYNPVPKDHTASIIFSHHIMANKFFLPALAASNMSNAKCETVLGNPGMKFLFNLPS LALHVDKVDELGSNILVKWHRKLDAVDFNMKDSSVWLEIGEKVAINWSHEVKLKWSFY RDPTFGNAETTQGDITMTFKVVESGDWKLAGNKVGFVIRFPEKFGITVVASEKSDLEK LFLESEQIPEEYKKISMEIPVADVELDSMDYFLTTNLVFPGYTSFVPGNPDNGLVVPR DTIITGEIKTWETKSDVTAKGAEVQSLPVSLEAANQIYGTNESANEPGVTALTKSTDV AAATEDILANVATPPVQSPPIQVITKPASQLPKDLLDPKGAFFRDITRASLDKESPLR ATLRVLDQHGYGQVTEAEINQMLNVATPKVENKDPTTEVNKGRILQEGNAQSSLFSLN LFSGRYIIDGSSRELIVNAHTGRIKVDGVEAVPTFSTDPITHITTTTWNVPSKTYSVV FSSDILDDDTFQPNFTGKVNNDDISGKRRILDSKDKRILSANASDIITILGFSVGHTL TLIGLGLAIRWRPRDKIQQDPAQPELSRRVSREEAEKLLKQSEKAGAAKQEIIIELKD MLKDNSEHKADARASMADVEGVFMADVNKLLETESTRIRDSFQGEAVQQLAEGSGEAF EAQVTTINEMLRQRVETYVQQNISPSIHESLMVWKQVGIMTEGEIGEIAKNCLKPLIE RQLADLTKKEAGKPSVAEAIVKYTAIEKHVLEREAVFKDIEAATRTASEVETGLNKTY TEKETAIKQKETAKDNEMDAERKKVLERQLETLKKELEAKKLEREAAAKDALEKSNRL KKERVELEKKQKNRDLERKKKIEAVEAALKKKHHHR UREG_05870 MSDPDELQVPQFVHVPDDNFFHFSRNQTTVVCSSGEYVLKTPFD FNGERVIICAETLEIPGDIKLPGKSIDICCHTLRIGSSTRVIDVSGNPGDPKDPETIG NGLSGSTGGDAGSIRLYVQAMDPEVAQNLKLKANGGRGGLGGNTSAKDKSGGNGGDGG NGGFICFSYGHLTQVIAASIHEVDSASGSWADSIAMLQDNIVQPLASAEPAIVSGEGL SNFKLLAENAESLLEAAKLLSRALDALLSPEPNKPREVPYEIEEVVTSYNAHVQRLLS LQIGKESDEDPIQCGSAESLDNARKTVEAWFAPGSVTSDEDLTNALQLETTPIELPFA ELKKNLKPLYNLVVAAMRKQQLEITNTVCSTRGGTAGAGGNGHQTGINVGDRGIDGSA GQTQIFPFSFDGSLETLNVNHAYALPEHHQMILNRANSLYFATDGKSRGMARLLYERI VNRLKFVPLLWSTEKDGVLEGKDSNLLDQYKDFQDNRQITLQIADQLTSIYSRATSML NQILKGKDLFGFTEDFAPRLTYDFYYSRINSLIDSVKEFETKEKLYMDALKSQAKAAD SLSGSVSMFERRRDHAESRIGILQDSNGPLQTAAYQITQFTPYLKEKRVAVKEALNVV AEDIKNRLQVDAGMIIDAFATLAMAPSWFTAATQGANVAHKAYTEIKDSSGMSIHKDH VITELGDAGKTLFSLSDAFQSRSDHSLEPDDPGAIKIMAEADKIQDLLKKFKDAIPAQ KGDKLKEELDDYMELVKNRNSAVMDYNSALELLNTAHQDKALCDKQMETLGGEQLTLN ANLPIICLWLRKARDDLKFDTMLHIDMAARAVKYWGLVDIPQFRGDGPLYDSSALEKW VKDVEKKWVLAKEKYSHLVKSKWPSDGHMGPVYRLTEGELLTLKQFRERKDSQTGESV QIHGVMITLKPHMLANSKTEPEAKLFHLKNNIRLSQVRFWVVGLKVGENDLKQELVSV QITMMGNEQIIDEQKKVWRFSSQPMRLDFEYENRDIKDLTDCTQNRALSSQMLGYLNA GDPDSKAEAAIGPFATWRFEIEKTRGLDMESIQDAYVEFCGVSRPFS UREG_05871 MDHIYFGRNREQRVHDLIKHDKRKQARDAIQQKLIDLEVFTKEL KELGLEKEKPLDMSLDREQVRECRDSGQKLEKPNPQTTLHNGYFETKYGSYGPSSQAA KGSLSALGGGH UREG_05872 MDQLKKYQLDEAAKAFIAAIDRDRVCSLASSFHRESWPCRIFGD VSKGGYNACFPIVFITPEGNKADRWMVRVPLIPRLAFPEEKMRSEITTMKYISEKIKI PVPRIYGYSMQGNNILGLPFLLLEYIEGKSLLTIRLNQLSIDEQEHLYPQLSERYLEL SQQQKSDRIGALTLDQNDDKWVFSHNRPLSIFVNGQVLGGLDACRHMGPS UREG_05873 MSLLSSIIKAAAPFRLGASINNSDSSDDEGEKAPSVIPESQLRK ESSEDSDAPSSIENETKDPSPHSSPRCFENEMDGEETSEKEREAPSADTPESHLRRED SLSVEEEDGASRQRLASPLLGAEANDAYLSEEEREDTSDAIPESHLGREDSLSVEEGV EPFVQCSASPHLGAKPNGADIIDQEREVTPDVIPESNPRQEDVENDVEALSIVEKENE APIQPSTPPRSNAAPVISFSPASSVQYSEVSGNSDRYPERAMSLAGLKEAVAQRTDTS KPGYFELSRNEQRRIAKRLEANTKNKKPRLHARDLILPSRKIADRYLAIYLTREYVNL PVIHLPTFQTKYLSLWSRDFRGDSAIVQGIVNVMLALGCLAIDPTKNDDACLYFARAQ NLIRFGSLDGEDLACVQAYIISTQFLHAIGNLAAAWKSIGIAIRVSQSLHLHLKSGSH HLEERVERELAKRVWHCCIMQERPSIVEFFTSSSRLYEKYEDILSIQEELRITEGRSP RKVIECFDPQKLLEVDRLLCSWNAALPPFLQPNGQRQENSIAERQHIILRIRYLHMRI LLWRPLLAILAADPEICGSSQIRDMNQTTRNCVDTPLIYTIATDAALKCIASAQEIIE ILTKHEQINEESHHVGPVPPWWDNIGYAFCCATTMLGARMSSSAYEDFQENTVEEGWN QCIDLLTRYCAFSPLAAKCLEFLEELATTVTSIDDDDDEEEVKKEGNADGQVQRARDV TWLESLPVDLRN UREG_05874 MWMPLQMSVKTRVLCFFLLGLVNGLQHQYENPFVSPNRTVTRPI SCTNGNLTPLCCDESIYNPIKHNRRLRDPLSDPALESPFVVHPASKPTKHRTDFDHHG TTIPLILPISASSSPAPALSSLAVQRAVPLAPHSLSRSSNPNPIERPLSQIVSSEVTS GGVLSDKTKKEVKRSCFGLLVCLIVAVIWL UREG_05875 MATNSVNPVPFSEPPYLCGLPSPYYSEAHRDFQKRCRAFLWENM NKYAMEWERESQVPEHVFETFGKYNMLLPNLPSPLPVGWLKRLGIHDILGVKVEDWDI MYTMIYLDEMGRSGLHGPPSSLTAGFAFGIPPVIKFGSKELQERFLPDFLTAKKRMCI AITEPDAGSDVANITTTAVKSADGKHYIINGTKKWITNGIWSDYTTMAVRTGGPGASG LSIVLVPLKNYPGVSMRRFYVSGQTASGTTYIELDDVKVPVENLIGEEGQGMKYIMTN FNHERLTISVGVTRQARVALSAAFEYCLKREAFGKTLMDQPVVRHRLAKAGAELESMW AWVEQFFYQVKHMSKEEGDRRLGGLTALAKAKAGMVLNECAQVAVLLFGGNGLTRTGQ GELVEKISREVWGARIPGGSEDVLLDLSIRQLVKIFKAETKKLGESRL UREG_05876 MALTISSTPPGLETSRVCTGLSGRTELKTSAQSALDARGSQLTT KVTTQNKHAHQPLNGEYKPRIQAVLTASPVVDRMVMDALILRHDPLPAVTPGSMAMDQ NQYILTEIAVPSDE UREG_05877 MGSFSSETVVHLAQDPDHASELISLSYQWKDQAEPPAFALWMAS SEVDQKFLGHFATATSHTNPFLSGMLYKLLGLSVVLSKKLLRARKSRRLDPTRETKSL QLYHHILWLSREGLIIVEQYILPMVADYIDLKVLSYKLQASFYHIFVLFHNQPRISRP GIQTFPFSTSHLDLPNGTRNGQKEVDINNRPISIVPSPPPDMGGPVGGGKHAQSRPPG LGMQPDSPKIAASFILPALDYTPRATACFSYVALLADQLLPGSHPIRLSVKLEYAAYL YDCLHDASACRRLAKQAIADVYKAQEGMDDESFEDAAELVGILGKMVKRGGKTSSAGG SSVDKSWSDGTHSTPTRTARSGDNTPKMRQKRIALGLEGSSPAIAHDPVMGHPI UREG_05878 MPQENARTINAVTGHFNDMRMKGRLSRSWRAKHWNHDRPWTVEE DAEILLWHVSGRANLDPQKFVRNDRAGGAVLEREKYLCEDAELVETVARIEERLRLIL LEHDMIDTEADTVMARQAAIEARREEGNGLVEIYTAIQDSLKAREADAEEHTGQV UREG_05879 MIPYAEESQPYLRHPTKRRPFFHSSNQAGVAPFQDVGNCRPTAQ TYASQAIKNAQGHWDGNLNKFSISKGAALCWQPNKAGYPQ UREG_05880 MAQWVWILPLLAICPHGIAGQAQQRFQLEADIRGENVVGTEADN PRLHGRFLHITDIHPDPHYKPFSNSDSKHECHRGKGHAGYLGSAGSDCDAPFALVNAT FRWIEENLSNSIDFVVWTGDSARHDNDENIPRTEKEIISLNQAMVENFQEVFSEKKKS DKHLRIPIVPTIGNNDMMPHNIFRAGPNRWTRIYASMWNRLIPEEQRHSFIQGGWFYV EVVPNRLAVISLNTMYFFDSNNAVDGCNHKSEPGYEHMEWLRIQLQFMRDRNMKAILI GHVPPARTASKRNWTESCWQKYTLWLKQYRDIIVGSVFGHMNIDHFMLHDFQNLKIGD QGAITLEGGDSHTSDELTTQSRTTYLRSLRDLWAKLPSPPSKKANPHLAGWIEQTSKL TVNKSKKKYHRQIGGRWAERYALSLVSPSVVPNYFPTLRIIEYNTSGLSGLDLWSHHD ADDGDVFEAQASLNSTPNITLESELEQSKKKGRTKKKKKHRKKKKKPPKFTVPTPPSS TAPPGPAYSNQPFTWLGYSQYFANITRTNAQLGKKPVAAITPSWREYQLQKAEDPTNT FGGDPFKFEVEYDTRTDKAFGLKDMTVKSYLKLARKIADSPELTQSCISHGSSCGNLV AGKTAESENENLPFFLPEESKGVVSISRKNVWKWFLRRAFVGYFSDKELHDLIDGV UREG_05881 MIEFPTLQPAFSLQPMVGGRVKSLPGFSPAFNGEFVGSGNDYIR VDPDGKHFRLDAHGVIRTDDGAV UREG_05882 MTVTFQTGDREFGGSNNLDINFWVEDPSGNHQYSKTYISSDEYS FTATRDGKYVYCFGNEAWSSNSKEVSFNVHGIVYVPEAELPSDPLEAEGRGLIGDNVI VWEHVLNTTAVKKLYDELIQMKDEQQYIIIRERTHRNTAESTNGRVKWWSLFQLGVLI TNGLFQVWWLKRFFEVKRVV UREG_05883 MPPSTRSKSEFKISFADVFKSASTLRAEAAAAAFKRSLLEAHNN ESASAAQRLLTSKEAAPAPANQKVEANPAASNPEPAPVPAAPSTTNPAKSSIPVFEWT AEQDFQLLKLKAENAPWRAISASIGKPVYVLKDRWGVIQPKTMAPIKKPKAREEPKPA KPEGNNKPSGRRVSFSEPLVTNNDGARDADLSKKVLYLDEAFTLEEVILLNQIAAKYD EDKWIRVSSRFFDKTGKRLPPRILKKHVRVE UREG_05884 MRFSTLLPLALAAVPAVSAVGKLGFALGVKNADGSCKSQADFEK DFDVLKAHADIVRTYAAADCNNAEAIVPAAKKKGFKLVLGIWPDVPESFDADTKALQK AIPGNENVITAITVGSETLYRGNFTGQELLQKINQVQKMFPKVTVGTADSWNKYADGT ADPLIAGGVKFLLVNAFAYWQGQDINNATATYIDDMMQAITHIQKIAGSNADKIKIAT GETGWPTDGGSDFGAAKAGTANAKKFHDTGVCAMLKWGVDVFYFEAFDEPWKPKSIGD NGKAADETHWGMYTADRQPKFKVEC UREG_05885 MSTHVHELQAYRYLPTDSANRASQLPPHGSKQNKTQELIVPVPL KTPITPGASSLAAAPPAPDVSRSTTPLQLSLPALARYHSAVGSQPTSPDNRRTESRLS SAYYTTAWGSPYATPSPKRLTSSIRSRQGVSDFGVETSPSQSMHSGQPGTSSRRYNTD PHKTGVPPSESAKLFDGLPGKRLEEDLLVRQGGNSAKAFTQDWINQYLSGQLRSERTN WLSDDSGDDVASFLTARNYLSESEGWLGLDDDPLEDDPLKTPTASTFFNRRLKEQTGK LELPKLRARHLKTNSTDTLKQSDFWDFGYDQDATPAAIPPTESNMATTPETATDRKSS MSISPVEKPLPPPPDLDKESGQPLPPTPKPTLIRRTTSQRPKTRVPWRGKQCVIALPL DDLRGTSEGSGALLTPADVELRLKKWEQEGYDVRSFGSDLAQSRPAFPDASTFQTEQQ DRKYVVTFPNQAEWDAYVNFLKEEKLRALGVFLGDDISAPMSQTPSQFVGNAMSPPIP TSSAASNQLNMGGNPLANILGQATKPNAGLLSLTSPSSPFGFATSSPFSNPPVSFSSE PGYPFLPFQTPATTTQGTITPQHPYGLRTGVVSPVGGSNLPNLGSLLQPVSPLTPDDL KQYPGNFNPQLSHPFAGQSQPSQMGDIGNIISPPLEDPGLEVEADSDTIQSSSGPEIA HPTPRGHRHNLSETLQRGVERADFQLESSMKSQFEDSQGTQSRDDLMKSRWAMPDESA QQSFNQPAQASHQHHLLQQQLFSEQPLEEGQLDGSEIDTNPSLIGTPTRESMQHHSFH QMGQDSNVFIPGHQPKTSLSNFNIAAKAFDPTATFSPTNFSFLANNFQPGLNKAESVF SSGLPPHSANPTGFNINTPSFAAHPKKNSVHNFKFSAASFNVEAPVFNPGQGVHVDTP KDTHDVESKIFSGFDSTIIVPPVKKSKAIPIVRPEENEKEEKRIAEDEAGRSGAQGRE KRMRRSQDAGDQEVSLPTLKDIAVDSKEAASDVGFPGEPIPHERGAVETDANLSVQEI HDEFEAETDKSVGTPISESATWKPFEFNNEEDAAAFHAALPTAPLPFGAKDGNSKEPS SPAASFKTDEALQVSHSINELSSVSERAEDSTLTSGLKPTATPFEFKSAASAIPSIEP KKPVGLEASKYAVESAPLSSAGNRVSPVPEPFQREATTEPERPNDVLASDSPNEQEID AVMRQLNEEDLGVERMESPILHHVAMEDMNVPENQYGTPELAASLVRSNAPSPSIRDR SLTREHLPKLNTGLTTPSHALFTPQRSSNLGTQSPIRHLNNPDIDHISDWDDAISSGQ EEELQQKTRFFETHVDELISGVLYDRLLPLERTLETIENSIGLLASQTITRRSTSAEV EHSDADDEDEDEEEAHRYRSRSPFKGQNRLLEKIRQVVSESVATYTKNESSSIDLSEI HGSLRELKSFAAERVAKEGPPVDFSETHQHLAELKALTIEHANQQLPPIDLSEVHGSL AELKALAAENASREVPTVDFSEIHASLSVLKTLIASKPEQDEGRDLKNEIVDSIVNHP KLADMMRSSEGERDVEKLQMQLEGLQSMLRLADERAEEEYNSRRRIQDSLAESQTLLK TAEEDATRYKEAATAAEDALKEFKNQKLPEMERLEKQSALLNENQESLQLTLSELSHK NITLQGTLDEYRENGDRLRSELGEVKSENKELRQTISILKTQMEDGLRARQSLREKFE KLQDDMVTATRDIAQDQSLWRKKEEEANIRYNVLNTKYEDEVRRRQKLELHINDLEQK EREATKLRFILGQSQEENAKLEELLMMVRQESHDYQNKAAKYEREFNEARESSRAEIQ RVRTSMEADLENANHQVNFVRAELENQIQNLENQLESTKMDADTTKARYELLLEEARD KKAAALHEAAESKEMALQDQRLMHERTLNDLRERHARALHNGSEDRQREESHYMELLA LRDEKIDHLQDKIAHMEEKLEIAKAAARTAAQAAQSAKAAQVMSPTQVTSPSLTLARG TSIPEKISPQALRESIMVLQDQLQQREGRIEELEHELSLIDKDAPAKIKERETEITWL RELLHVRLDDLQDIIHVLSQPSFNQNAVRDAVIRLKANLQMQQQEKERAMAGGSPQAF PSLSAISNFAASPRALPLAAAAAWGNWRKGRENSAAPSATNSEGNDQTPSKSNSQSFL TGLLTPPNSNMRTPTSRSAPSPRSYSDSRPLRSSEQRRRKPSTLQSRQTDRSLEPPRT PPLLRKSSYDHDAEATSYDEAYADDNESIISGILTQGSVTPTNDGPFGPAI UREG_05886 MVRTRGLLDSPSKQLMQDLIRDLEQLKRVKEYERRSFYENLDRI DREREEVHNAAINAAAAKRDQLRREAEELLQQHLKAVEEERRRKEEAERKRRAEEEQK RREKLERERAEKERREREEAAKKEAERIAREAEKKRQAEEAERLRKAKEEEQRNRQER ERLEQEKKKQVEAQLKARKEQEEKAAEQKKQQVEQTFLGETRRTPQEIAEHQRYLALH KHFKQFRKYMSLNNTWGDMRRTIKKCVGQLLTDDKAANRTPTNEIVTTLKKASTLQEP SVDIRQFIAFPPPHIASEEGRVPALLIYLLNIFSKAIIAQLAAEAGITPKCAGPLGVL AAQVFSMDAFVYKGCPMIDILLAKYHFVCPVLWGFYGSESTEMGKTALGWLRDEPGGP FVSRQVHEERMIGLGAGFAAISLRDFSRTSRQNPLPNTHFWKALSNIVNVPPEEVQDT HLTVLGAMLKFSAPRVVGFWGDLGLLALRNAIVTFPGSLPKKSRARRPTISIVPFNDL REISIFNHDCSSYSFEGIYSDDHHPPVESGREVQETKPNREQFGIQDKGYKKEPKQQW UREG_05887 MDQQGQSGVPGPAGRRLHIAHRRSPSELTPLMMEQLALQQQIEL LQQQQQQIAATQQYVNMGYIQPQQPLQHMPAAYSPTMQGQAGIPNMPSHGNAFQFPQV QQQQLGVSMNNPNQPSHRRNQSAIPNVNMGPPPAPSSGSAYSEYNQQNQNQGNHNREN GGQGQGRGRGTPGGGHQRRHSLALPEARKAAELAQQKRTTSGFQFPIPGSSGTSSTGQ GETATPEEKTTHATINTTPQAPHGLGVQRAGNIRAGTHMRSQSLAIGNGRGPAPRGMA GFQFPQTGDNTGDGQRRAITGANKATKASHRTSREDQLGVSTNNKQQQQRKTLFTPYL PQANLPALLNDGQLVTGILRVNKKNRSDAYVTTPDLDADIFICGSKDRNRALEGDLVA IELLDVDEVWSQKREKEEKKKRKDITDTRSGSSAGLERGRSESATNGESQVAPDGSIR RRGSLRQRPTQKKNDDVEVEGQSLLLVEEDEISDDHKPLYAGHVVAVIERVPGQMFSG SLGLLRPSSQATKEKQEAERQARDGGHSRPQQERHQDKPKIVWFKPTDKRVPLIAIPT EQAPRDFVEKHQDYANNIFVAAIKRWPITSLHPFGTLVEQLGSMGDLNVETDALLRDN NFASDEFSDAVLKNVGFENWTVESESAASLAARRDFRDEKIFTIDPNGSNELDNAIHL KQLSDGKVEIGFHVADVTHFIKPNSLVDREAKKRGTGVYLMTRIVNMLPPRLSSNICC LQPGEDRFTISVVYHIDPKTGIVEGEPWIGKGIIKSSGKLTYDEVDAVLSDKDVELHA ATIADIKLLNCIATKFREARFGHRSADVPPLRLFYQLDDENVPVFHNIFDSSSAHELM EELSHKTNSLVAKRLFTVLPQKALLRRQASPNYRRLGTFVDRMTRLGYEMDSSSSGSL QTSLFKVQDVDLRKLSQGMETLLVKAMRRAKYYTAGSVRDDDRQHYALNLPLYTHFTN PSRRYADIVVHRQLDAIINNVEFSEDIESLTKIADHCNNKKDSAQNAQEQSVHIESCR IIDKKRQEIGGDLVSEGIVLCVYESAFDVLIPEFGFEKRVHCDQLPLKKAEFRKDERV LELYWEKGVPSSAYIPEDERPKPTLSSRAANAAAAARQAEEARQRAREREEAQRKQTE TGTMSTDDVDALFDDDDDDSMSELAEMTAGVSLNSPADRSTQSMPPSPTRNGRVQTPH RTQSDPKIATSAAETPEGKLTNKEKYLKLFKLREENGEYIQDVTEMTRVPIILKTDLT KSPPVPYMRSPFRRLAPSFLAAHSPTSFYPVTS UREG_05888 MRFSLISSVLALSAVALAQTTSGEDSQTISLDDPVLPSTGSVAV PSETPADPATDTGSASILPVPPTVTISTDGPIGTAPGGTGSSSMPGNGTSTFQTETES RTVSAPTGTATTTSSEGFAAPTNGPQAVGLGLGLGALIAAFL UREG_05889 MASGFRRLLADHTSLHNSDLPPNYLFPPSTTHSSIPDDLRQLTI FLAGPPVTPYSQGLWRLHLRIPEDYPRSPPKAAFKTRIWHPNVEESTGATISCLLIHP NPDSALNSAAGALLQDDYEAFARQAKLMTSIHAPVPPHLKELVSGAKRRGEDPSAKLE ENTQLSVSVQTSKTTRTTSSVVMKRKISSVGPTSTSNLDIRLKSDCLPEEGLPAQPEE EPESDGECGSASKENDPSLSPSPVIMPAPSPRKSILGKRPLAAIAPPESDEIVVINDD DQRDFNSLSASERNIVSNITSSDYNQLRTPQRKSPKLSELGRGSIKAPSRFHISSDVC NKPGDIFKGNIAASSARNVRQLPVPDPFPMSNDSNSSLSCSGLGLSNAAYEDPSKHSR RIPIARKVPGSGVKTKPRTGLRRL UREG_05890 MTFPSRLLTTFGLIFLAHAGYSAHEHSVLYGSTHPLPLDITLET LVAVVLVIFGLVLGAEKPKPISWSAWAGEIERKGGSENPFLGLEERRAFIDIRVMGYV LLRRAKRKEFREWKRQRDEVSTK UREG_05891 MGFADFSADSGLAIANIYLSTHSYVEGYAPTQADVVTYKALKAA PDAAKYPHLARWYKHIASYESDFSSLPGDSSKPYTAYGPENAEIPVKADDDDMDLFGS ESEEEDPEVVAEREKRLAEYKAKKAAKPKPAAKSIVTLEVKPWDDETSLEELEANVRA IEKDGLVWGASKLVPVGFGIKKLQINLVVEDEKISLSDLQEEIEEDEDHVQSTDIAAM QKL UREG_05892 MVLSQLSRIGERFTVRAFPGISRSRLPNRVSGHRIALSRRPISF FPPSSRLGFSSPSLVRHYANGRPHPPGGTHRMNLGGEPEKPALEEFGVDLTARARDGK LDPVIGRDAEIHRTIQILSRRTKNNPVLIGAAGTGKTAVLEGLAQRIVQGDVPESIKN KRVIALDLGQLIAGAKFRGDFEERLKKVLKEVGDAQGGVILFVDELHTLLGLGKAEGS IDASNLLKPALSRGELQCCGATTLNEYRQIEKDVALARRFQPILVDEPTVADTISILR GIKDRYEVHHGVRITDGALVAAATYSNRYITDRFLPDKAIDLVDEAASALRLQQESKP DAIQKLDREIMTIQIELESLRKETDIASKERRAKLEELLKGKQDEVGELNKVWEKERA QIEGIKKTKEELERARLDLEQAQREGNFARAGELRYSVIPNLELKLPEEGATVSATDG QNLIHDSVTADDIAGVVSRTTGIPVNKLMAGDIEKLIHMEDTLRKSVRGQDEALSAVA NAVRMQRAGLSGENRPLASFMFLGPTGVGKTELCKKMAEFLFSTETAVVRFDMSEFQE KHTISRLIGSPAGYVGYEDAGQLTEAVRRKPYAVLLFDEFEKAHRDISSLLLQVLDEG FLTDAQGHKIDFRNTLIVLTSNLGADILVGADPTRGDEISQEIRSAVMAIVQSSYAPE FLNRIDEFIIFRRLSRQALRDIVDIRLRELQARLDDRRITLEAGDEIKDWLCDRGYDP RYGARPLNRLISKEIGNHLADKIIRGQVTTGQSARVVFNSDKTGLDIEASSSA UREG_05893 MAIDMRDRGTVGCSYYVADEKKLYILADIIYGGIDVVETHAQFR LPYHLDVRPSQEFNFETARTKLSNLKLGQATDIPKFLVPGNEFSYERTANGADGGFTE EQGKLLHLASTVDMENHVSIGCAGALISYLQRKRSTQYLQGDLTGDHLSRIQAIEMLS LDSNMDTLSSLQIIQSESHPNAFNQGPGQSSSGSKESLSIYGLFHRFARTPQGKAKLR QHFLRPTIKPNVLRERHDFISTFLRSENVDATEKLITSLKGIKNLRPVMVHLQKGIST GHARFKGFKSVVWATLLEFAYHAIDIHEILKEVIGMESLDLCVKVDLEASVQEHRTIV KPRVDQGLDKLKETYNGMDSLLSQVAVTIAATLPERLGNELNVIYFPQLGFNIAIPLN EFRRPVYDGGDEGWTQVFTTENRAYFKDFRMHEMDEKLGDMYGNICEKEIEIVYELAQ NILSYEEMLIEASDVCGEIDRHLLHEATVPSFVPNDTLLAALIVYMAHIGCFVPAEVA TIGFTDKILTRISSRETVSKTQSTFAIDLQQVAFALAYSTNRSLIVIDEFGKGTESTD GVGLACGLFDYLLNLGDERPKVIAATHFHEMFEHGFLQQRPELQLGYMEVQMDHSASE VEDQITYLYKWVPVVDHMLSLGLC UREG_05894 MGKKRKSRASAGQSASKYTTYNDNSRFDPDEQFADSADEFQAGR DQVLLEEGPEAKRRRRLAEDEELLQVSDEEIHAYLSASDEDDFDDDEIDEGGYEEDDT RHSVPKKISKLRSREPLSPSLSEDAKAEEDEVGGWGSSKKDYYDADIIETEGDALEEE AEAKKIQQKKLQSMNDADFGVDEADWFVPQPDEGEHDQIKGDTVTEVLPELQISDDMS SADRLSLLKQRYPEFEPLSAEFVVLQQVHQELSEAVAQIIPGVGNSISVPLIKWRALS AYLGAICMYLVLLASPARGCKERCLAIAPAKLREHQIMETLVSCRTQWEDVRSIQEPE SSDFVRDAMPKERVLEAVSRAEDSQLKATGSANKIKKTKSQRAADKARKESDLRRAER LRQTEAALEELSKQLEPYGSQKLKIKQNKPIPTDDDSDFGDETSLTAHEAAEKAKKKK SLRFYTSQIAQKANKRGAAGREAGGDADIPYRERLKDRQARLNEKAEKRGRREANELE RLGGGSDDEDYRAAKEIRGEGGSDSEDYYDYVAGRNKQKKENKKKLADAYTEAAREGG RVEVQEEIGPDGKRAITYAIEKNKGLAPKRNKDVRNPRVKKRKKFEQKKKKLGSIRQV YKGGEGPGGYGGELTGIKKNLVKSVKL UREG_05895 MELARKGANVVIVSRTVEKLEAAIKEIKAAAFNSTSQRFHYISA DLRDADEADRMLSEVTAWNNDMPPDIMWCCAGQSLPGYFVNTSPQTLKDQMDTVYWTA AFTAHATLKRWLVAASPGQKPEIMRRRHLIFTSSAAVFVPIPGYGPYTPAKAAMRALS DTLVQEIEVYNGARTNPRNIAPPADVKVHIIYPMGILSPGFTYEQQIKPELTKLMEEA DKPQTPEEVARISIKGLERGEYMITTMLVGTLMKASAMGASPRNYSIRDTVTSWVSSL AFLYVIPDLTSKAWNWGRKYGVPEASERRRS UREG_05896 MKTLLAFWLLTVLAAAIEPHMVEQSDPSAIIPDNESLPLEPVIA CWNSLDCSMGQIEAMKMKDRLAFLQFMARWKLGPLVSVNQFRAVEGTMDFFIRKSIGG QKTWMSYVNAAVVEAIQRGAAISLDESQNTGGNPAALKWADYFDQRKAGKLMDRDTHD RAWALAEQTAVEYGIRVADSKPDIQAPTLREQRWQYSTKIYRMLMQYRRTLLWLIKTA FTFTNPSLPMASEAFMDWFTDVTDASSTGFLADVVWRLCALGLSWDGEEPIQEGQAIF ELATDFWEEFQMSKHLNY UREG_05897 MALAPVTNAECMEFDSLASDRGDEIFAKWKTDTLFNRDILRKAG TILADKRGGVPDTLLGPRKGAFNVFLRMTFGDGRSAIIRFPCPSTSMFPEEKLKREIS VMRFLEQHMSFSVPHVLGYGTAEESPSNMGPFVVMDYLDHEHDLVDALNIPDRPGIER PVLYPEISEDRLKLAYGQMSDILLQMHKPSFSRIGCIGREDEDDDFDDVWTARYRPLT LNMNELVQMGNLPRNLLPQSTFETASSYYLALAEMHMDHLQYQRNDAIHSAEDCRQKY IARCLFRKLARERRLCKYDHGPFKLFCDDFRPANVLADAEFRVTGAVDWEFTYAAPAE FARSPPFWLLLELPEYWPEGLDDWTQKYERRLKTFLEALQEKEEHAIRRGIITENDRL SRYMKESWETGDFWVNYAARRSWAFDMIYWAKIDRRFFGAGDLEDRFRLLTKDEREGI GAFIERKLAEKEDRKLKDWESVTAPLNG UREG_05898 MSIALNNFPVTKSNLAAIVKVIRKEVNVVKLLDDDGTLTHKINL TSKSAFWATKSTVLLQQLPPSTTRNSHFSNFFSGIFVTAANMSDSKSVGQTKKFGKGE RVIPHQKAQKWYPTTDEPQLKKVSIRLYLCFAILRPSCLCVCAHPRIKPGDASKRIET ETSNATRKTAHPAKVRPSLQPGTILILLAGRFRGKRVVLLKHLDQGVLLVTGPFKLNG VPLRRVNARYVIATSLTIDLKGVDSKTLEKASQPDYFTREKSAEKKGEEAFFKNGQKP EKKKVASARAADQKAIDQTLLATIKKEPFLGSYLASTFSLRTGDKPHEMKW UREG_05899 MVPMDATFTPYKPTSAENPSNSDRPTNGRIYVLKFSSSSQRFLF WLQSKTQHEHGNPAWFSARDLKLGQIVNGLLQGEEVDVQAAIASLPRNDDHDDDETME DVEGTDHSPDRHRLGGGGAGASATSRSDPSSVVQDFLRSLQGNAAVKSEPAEKPFTTL NELLMPSSTLPLVESANMNAVDNLLNFLPPSLLVLAQNLHIPTTDISPDEAQQAMRSL TLDQKKDILKRVLRSPQFAQSLGSLTIALRDGGLPSISDALNIQVENGGYMRRGGVPL GGGDAIRVFLEGVKSHVKETPCPEDSMETD UREG_05900 MASSGNGNRAPDTHGHQSIPLRDLSRPPGTVIAGSTGGRLGRTR SISGSEGNGLGRRSLLSRRSNVRRYERIAEDSPSRTPGESSDGFQTINLSQDNSWGGD TIGGTGSRNLHTSDGEQNAGLHVNRSESIYQPQARIGSIGGYDEPDNLAALTHMEPEY FNENASPVEVGETDRTPLTDRRYLQPISGTAERQTGRSQSYSGHSSHSVRFADDASTS RLGDDLPHLESGLGRRESSYESRVSSQPSLSRSKRLTATSSGSALSRAGSMMKMMSQR VVNLSNEPELVEQSLRRKSSLKSARLEGPPTLPAMMDYAHDIRDTPSQDGAPEKRFPL QHARQQNPKINPLRGNSWGIFSPENKLRKALCEVLIHPATEPIILILIVVQTVLLAIE STVEKRTLVGKWGDGAFDYAFFVLFIVYTLELVARTIVSGFILNPEEYTTLDRSGGLR KALIAKGRELFIPQRQLSTKKVAAPVNAQMSIFRSFTGMQPQANHADGAAQQRARLAR RAFLRHSFNRLDFLAVVSYWISFVLSIMEVESSQRLFIFRMISSLRILRLLALTSGTT VILRSLKKAAPLLVNVAVFIGFFWLLFAIIGVQSFKSSLQRTCVWVDPKNVRNFTMND APDGIQLCGGYLDNITGTEKPWLLPDGSQGANKAKGYLCPQGSLCVQGTNPYGGTVSF DNVIHSLQLVFVVMSSNTFTDLLYYTTDSDYLITSVFFIASFVVLSLWLVNLLIAVIT SSFQVIREESKRSAFTADNIDDMQPEEKAPFRVSALKRIYDKTFWLWIVLITFDLVVQ GMRSSSMGPDRKAFIYSTELAVTVILLFEIIFRFAADWRTFLRSSRSWFDLALAVITA IIQLPLIRDSNRLYAALTIFQILRIYRVVLAFSLTRSLIMTVFSNVVGLLNLILFVFL ITFLTAIFAVQLFRDQIPKQDSGGNPTPVSFSSIYNSFLGMYQILSSENWTTIMYTAT ASSAPWKTAWISAAFFIMWFILANLVVLNMFIAVIQESFDVSEDEKRLHQVKAFLQQK QLNGSSQGNPALSSIFKLGRDQQKYRDPLDHGPAALEMLLKDAVVHEFLDEPVPLRPA ESQQQDLSPDLVQPGAFSNWLNKLKSRILNREPNPFYSKLKISRDYDELDPTAMAKEV LSASEQRKQAQRQYLQRYPRYNVSLFLFPPNHPMRRLCQRIVGPGRGNTRIEGVDPYK PVWYTFSAFIYAAIVAMVLLACIATPLYQRTYFKTHQYAVKNWFVWTDIGFAIVFSVE ALIKVIADGFFWTPNAYFRASLHKNGDVSRVVGAFRALRALRLLNVSDSARETFHSVI IVGGWKVISAGFVSMGFLVPFAIYGLNLFNDQMKRCNDDNFGYSALTHCVGEYKSSPY AWEMLAPRAVDNPFYSFDTFGDSLFILFQIVSQEGWTDVLWSAMSITGADKQPRAFAS QANGLFFVVFNLLGAVFVLTLFVSVFMRNYTEQTGVAFLTAEQRSWLELRKLLRQISP SKRSTSKKNNKFKAWSYRIAHYFFIPRNNQLDQLFKTAAASLTAISNVLATWFVLFLV YAIALTQIFGLTKFGEGESNNINFRNVPKALILLFRMSCGEGWNQVMEDFATMEYPYC TVVEEFFESDCGSAGWARALFISWNILSMYIFVSLFVSLIFESFSYVYQRSSGLYAVS REEIRRFNQKRATFDPDGTGYLSLDQFPRLLGELSGVFEMRVYDGDFTVGRLLEECKV NRRESQQPHVRAVDGVDLDKLSRILRNLPIQTIRRKRARLNAFYEEVLVSADREKGIS FTSCLMILAHYNVINDSKSLRLEEFLRRRARLQRVNEAVRRNVVVGFFNTLYWFRKFR RHQELKHTSRLVEVPQFSVPEIYVEDDGQDEGVSEGHQSRPPSPSMLSPTSDRSGTSD RSSRTLPRIDTTIATGDPFSSPTHSEWSQIGSLSPHRSTMGDGDMGQTDGATGRPRAG SSVSVQGVMDSFDNSVWGESIRRSFTQRRSQGN UREG_05901 MADNKRPTPDLLPSPWTDFTPLRNPFSYNQSNSTDSERGSPLTS ATSSPTCSPTSSPISATRSHPFSPYFRRGRSRERNSFTSRSPSSFRLSSLFLRRRPSA VDLALSEERSRCSEDEIERRGLGLMEPRPVDPMPVLVDIDEDGHKASGLLMDGKTLNP TNSATSNQPRYVMGGIFEVMEGSA UREG_05902 MVIDLTGDSTSEGEDIYHEAIKTSFNNADLLLATFKHQPKSHKT QRKLQELRIVPIQNSSSSSEAESCVSFGSRKRRRDETDSPASSLPGHHGLSDFFLVHN TPKKVAGMYAKVKDVKRSTTKFNRGVRTFQPPQKSRRTPLDLQNLYAKKVASINGPPV HITFNDNSRIVDFNFEFISNYKMQEGVYPVDSNFHAGCDCIGAKCNLRSCSCLSQEED SLERIIPYRVGDAGVIILRDEFMRRKSMIYECSLLCNCDWNCMNKVVERGRTVRLEIF QTRNRGFGLRSADFIQAGQYIDCYLGEVVTKVEADDREAATSNNRASYLFSLDFLVDQ DDDDIYVVDGRKFGSVTRFMNHSCKPNCQMFPVSHNHADQHIFGLAFFAVTDIPPGKE LTFDYHPNWKSDGNLDIDPDAVKCLCGEKNCRGQLWPNQRKTLHN UREG_05903 MASHHHYSSLPPLTSDERSRARDVLSRIIDRTNHSGSKGGYNRH LLVRHTYAYAQSDLSRDNFLRGSFTFMGFDISSEDDVNMDDDQIPSKFIHFADLLLEQ FFFPLKASGKITPQPTSAQLSAMQSIQSSHEIISMSEQGSYLQDLCLVRDQYRCVISR IFDASQEVKRTDQHGLDAQDDVGNLLRDENRFEELKVAHIFPHSLTQMNVNLQLGNSR QTTIGILNMFDCDVVHLIDSPHNAISLTPTFHKLFGNFDIYFDAVAGEEHTYCIDGFL NPISAARRGLPVTRKLLLSEEHMINAPSPRLLALHRAIAHILHLSGAGEYINKILQEF EETGAQKDGSTDLGRILTLRLGLV UREG_05904 MSALYSQGFNFTSFIQGGVDPRTGQFTSSIAIYETPTLARNCPS LQLSITYSPFTTTDIGLGKGWSFNLSTYQHRQAKTLVLSTGEHYQVAEDSSSVRVKDQ KLKSFHFRKDSGNYQVIHKSGLVEVLSNKNDSYSTTVPFELYAANGRMVKLTWIRSGE QPRLQSILDGEQELLTINYNDARATITRSPDTAEASTFTLIRKNGRLAEVQSPLQGIP PWKFEYETFGQICCLKKITSPSGLQEEVSHKEKGHYLPKGAPYRTVPYAISHISRPLN NQPAIKTYYSYSASNFLGYDSGFDWKDGEDNLYRAHENYQYTTTVQINQGPETKYTYN RFHLIVSSRRRQNTKQVTQTVAYYMLPTGAFSAQPAQYQLPKSVQTVYEDTLANTHRT ETTQYVFDEWGNPIQEIKPSGVTTDRDYYPPGGENELCPADPHGFQRYMKSVIVTPKS SSFKAPKRSRQYTYKEWSTATSLYASYFVAVQQDKTLEDGLELFISNYTYVNQPSDRN HGRLQQKTVKLSGRYMTTKNWTYRYPSPDRFTQIVEAKTFDGYNAQDEKECSLSTGLT STHTTPIGIKTCYQYDSMGQLVKETVSPGTSYETVKKYEYAVLKGEVGYCVTETNSKG MQKRHFTDGLERICRVEAQDDDGQGSGPGDYNGTFRTVQERSYNEIGQCTGTTDIDWL RAEGNGAPFEQRRSRTIEYDDWGQAYRITESDGSATLSATDPINLTRTEGIEGQSQTR TQFDTMGAATEKDVLNSDGTVYSKIKYTYDGLGRMVEQESPLGHITKYQHDSFDRINQ TNWPEDLVVKTEYAPHSASVLPILTKVNDHVMGTQAIDGLGRVTKQSLGSRTTAMSYQ GIAPKPSDLTTPKGDKHDLAYDLQLDDVLTSQSSADDSNTFLYEKRTAELLSLDGSFK KEQRQYLPSGLLLQESFQVGRNSFATKSSYSMAGKLQTYTDANGQIHEIQYDDCGRPN RLVQGNVQVSFTYTKDNLLLESNAVDMETNQNLTTTRTYDEFGREVERTVKKGSEILY RLSQAFGPTNLLLRRKGEDGHGSVIKDESFQYDSYNRLIDYQCQGIQPPMDDKRMGLR RQKFSFDEFDNLIQISSTFNNGSDNTVSYKYSSKEPTQLTQITNTNPYFTPRIDLEYD ENGCLTRDEQGRTLKYDTKGRLTTVCGSTGKTLCQYFYDAGGKLVCQRVQGTDTYLHY RGDSLIATTTGDTKVSYISDGGIRWGQMLQKGNKTETQLWMSDSQQSVLAWFDTKKPT EIHGQTYSPYGFNVGTSSIGFNGQWRDPVTGWYHLGNGYRVYNPVLMRFHSPDQSSPF ASGEINPYAYCVGDPINRSDPTGHFSLFGIHFGGRDLAMALVGLAAGLLVGFATGGMG FAVEAGLGIAVGVAADAGTGAAYDAATGKGPTLQSMATDAVFGAVGGVLGEVGGRLIG KGLKALSGIGTSASRSSAVATTAAAVAAAERRSPRIMGDLYAHQVPGNIWDPATRSVT SRTDIINGELGNEGFLTHGYEGLLMGWNPTGQGLGLYSGEYVARRTILPEMEQAAIRN PLLRGIRGQGRPFHLFACYGTAGTGQAVANVLDRPVIAFHGLLAPLTRVPGTDIPRAP LMVHQVIRDLERSGGVSSVYGDNTDIFYPQMDIG UREG_05905 MENVPAINKEPAPAAATGEKADNPDGPPVSELAAREVKCWLHNT LNVALYRDGETIAHGKWTTDMYPPEIVEAGEWAKWQTQSDGMMTGTEGTTNYKIFISD DANEVVSNAEEDTVVQMWWANPFSGGNQYNAGIVGPRRNDYKIKVDGGGGNHSTVTYT LSKK UREG_05906 MTWEISDFRVRTTTPSQESDVLYANGRMQVAVCISIKAINKDTG TRYKLSQAELDSSQLVDYYNSSKTLQGDWSYSSQENEFTHTLAVGMSVSDSAPKDTPT YGSFEEGPQEKCYWVSTTKADNKHIGACITQPDGTVISTSDPDYKSQVTLTGKTPIYY TTDNTTQVSKETDSGQYKANLVRGSRSTPADGHWKQYNYYVSTNVHQLLKANIYGYDE SGNTNPHHDSRMSHSFAYAPNDNYLNLCFIWDYGPPSSTTAGLHYEGTTTVAGQNYKY KADACANIGVNQQERHLCLTHLRFNAGVNTWGDKWHVGCWFELYDIFGNTGTMSVKWD DKAIVFRDGKP UREG_05907 MSAAASQASPVVVRNPAASHPHSSYRPPSDLPQRTRSSGAPSTK HSHSRSQSGPRSHGYDRSASHKGPSNSLARRDYQPSSTAHSNSTRRSSSRDRTQDHNL PYRTDSRSHRRTSKPSYSRNSAEMTGPNAAVADGYAAGRTSHNPPPNSSGITNQTRRR TTITTSSGQWALGKTIGAGSMGKVAVKIIPRHSTEEHRSTRDTERADRSKEIRTAREA AIVTLLNHPYVCGMRDVVRTHNHWYMLFEYVNGGQMLDYIISHGKLKEKQARKFARQI ASALDYCHKNNIVHRDLKIENILISKTGDIKIIDFGLSNLFSPKSQLKTFCGSLYFAA PELLQARQYIGPEVDVWSFGIVLYVLVCGKVPFDDQSMPQLHAKIKKGVVEYPPGLSS DCRHIISRMLVTDPKQRASLTEIMNHPWMVKGFNGPPENYLPHREALQQPLESEVIQR MTGFDFGPPEFIATQLNKVVESEDYQNAVRAFLREHHTASHVSDKKRGVFDFYKRRNS TSKETLSNTSLETTHQSIDPINGYHPLIAIYNLVKEKLNREKLEAHPGGLGVPHSPGE PPVKFPDISAPEAAHTNQSAYEIPGDRDTGGRSRPRARTHGDDEVSEGIKNLRLGKPS APASPAIVTPQVEQPPKKENAAVGLLRRLSTRRTRDRGREDKVNAQHGPTLTVKSPDN LLPPRKSFSVRRTRRGEGTSGTLHPGGSQPQQQELTPGELPSRTNNLLGRSTSVNSAD FRSRKGAQRGGVDTLSPTIPHDPPLTSGSDRSSLNVHRSKASDINTSDAKVLYKPQTL RTKSLGHARRESIQARRARREEAREANVPEETDAELAEASTSAENGNTGEDFIKPVYL KGLFSVSTTSSKPLPFIRSDIIRVLKQLGVEYVEIRGGFSCRHAPSIDLKRVVEARPP SPEQQGMVGGHRRRISFGGLRSHDREDNKDQPRPSRRHPDQSFISNSDGSDDYAANRE TSAGERILGETTTRVQSDTGENLVLRFEILIVKVPLFSLHGIQFKKVSGGMWQYREMA KKILDALRL UREG_05908 MAFKSMACVPPEATRGVKTINRVRHGSDSDKATTMATPFSDRPN RGSDTEIVWASPSEPAPVFSGDGRARRARGSSDDNEDEPPSGFINEDLRAGGPYLCPL PVSLFPFPRTHPLAIETDRDPGQLFNAIRSILEAHSITFNSIDFCQCQAEWDPEEPRI PTILISARKSQLDRTWLHASREIHGLLQKKNLNDVSVEIADPRVFEPMQYDPIHPTDT IYPKWDAVCAAILDLGINNCRAIECFRYGRGTDGKNPQTIIVTVSPSESKYKQTREQI VDILNNFELPTVGVIIAKGEIERSATPWMLPWDDMKDAGQAGLSLGKHGISNSSGTFG GWVEIQDATTERWIQLGLTCFHCVDPKEQANTAPPQLLTRWYTNGISANDADAKEYLK VDQPSMHDIENELAVLDEFIKVDQNHKCYASIQTALQADEFITPPDSELYKSIKASLQ KHMAKKLHIEQFRDSGAPYLRHVYAGSGYREKPILRENHSDYEAIMDWALIDVEDSRV GDNNITIHGTPIFHAIHHLTTLRNWDVIRPLVSNEDSGTDDLYKVGRSTRFTAGRYNG IKSAHVATKINEHGEQETKVTMEHAIVGISGRFSNPGDSGSFVFTRYGEVIGMIFGGH TQGPISYMTHISDLFDDIKAITGCIGVRIYAKRYVLLPPLLCQRMPLITRIQGAQTFV LPP UREG_05909 MSSKRSWGVFEADSLHSSHSPFVFYGTPLPAADHQSRDDGSYVP IWKQEVTDERGRKRLHGAFTGGFSAGYFNTVGSKEGWTPSTFVSSRRDRAKDRKQSAQ QRPEDFMDEEDLREAEEAQKLHTTDEFTGIGSTATDPHRRAALVDIFRPSGETIGVRL LKRMGWKDGQGVGPKVRRKANLHDENDDDGQTYLFAPENTPMISFNRKDDSKGLGFRG ESRLRPSLGSGRGTRTEEEDDGDSVPETFGGPKLSLHKITNNRQKPLRRGGFGVGILN DTGSDDEDPYEMGPQLSSSRVIMDDKKPKEPKSTIRSANPLLNKKPIFISKKAATEKA RLGLRKCHDGRLPIDGFVLGTRMADLSLAATTVEYAPPDVPTDWESSKLRQHSTKDNA GYVSVAEAAKSSSLDPKSRAAMFGEAQLPGKSIFDYMTPESRERLVKATGKTDLPPAL GEGPPKGFERSESQRQRDLWSLVPHLEKDTAIQALNRGASGWMPYSEDESKRSRYRSF LEVQAGITDKLPPRSSGASTNEWVVELNEFARAAEVFKPMSGLMASRFTSSTSLTPTP SNGDNESDQLLRKPPAKPEDPAEAAAKFGMYGPMTRLTLRFSPTRLLYKRFNLRFAPP RADSGSGQGTPGETPAEPANERSLELVSKETMNQLMTESRMSIPGARPGKEPQQAPPD LSKALVDPDRNEALEAARPGDAVFKAIFGSDDEDGGELD UREG_05910 MPLAESPRAGISLAMAIWRTNASNPPALDNSLSRSHSSASHPLQ SSRRRRQNVSLGEHYNQAIRPHAWRSKRRVWSKAQIAREREEFFETRVTGRPEVWAAL KLAISLMRAGDIPTAQSIIDAAGVTVPTGDLCDGCYDENGALYRLPQVIVADPTNVVD AAAEDQEIRRGAEADDEITNGKLGMDIDTEDEFEEQIENKREEKGKRNERDVIKVCAR LSDRGGPDFTVEIDKHHSVSVLVRKLESEAALSSKHRLRIAYLGKILKENETLLAQGW KEGHVVNGLVLYRSP UREG_05911 MADLQQSPFLRELTSSERRTRDKALDSLTLFLKSRRDLQLVDLL KIWKGLFFCMYHCDKPLNQQTLSRSLSYTLVPSLPEQVVQPFLRAFWMTMSRDFHSLD RLRLDKYLFLLRCYIGVAFEIFIKKGLSAQKAGNQRGTTREQKEINGKKRKRADEAEE TDSWAGLETYLDMLEEGPLSPVNFDGKQSDSSAMPKGPDGIRYHIMDIWLDELEKCAT DEVEDDEDVTKTKLKDGVPMDLILRPIERLKETSPNKTVRKRAAETLQDERLVLWGVR EREAIEGSDEDEDEWGGIED UREG_05912 MTIGSLLDCDRCPDGYSSSEEEQLHRSALGKGSILTVGKQVSLI LTAKSLAVIAQTPRNRTIPYFNVFTLLLNGLCNNHAVEIAQKIDIQAYDVIVPASGDG VVYEVFNGLGQRADACEALSKLAVAHIPCGSGNAMSYNLYGSGTPSMAALCIVKGLRT PLDLVSITQGDRRILSFLSQSFGIIAESDLGTDNIRWMGSARFTYGFLVRLLGKTVYP CDLAVKVEVDGKERIKDHYRSESQQKSAHSTAGDEPRSPGLPPLRYGTVTDPLPDDWE LITHDKMGNFYAGNMAYMAPDANFFPTALPNDGLLDLITIRGDIPRLTALQMLMAVEN GTLFDMPEVNIRKVSGYRIIPRDREDGYISIDGEKVPFEPFQAEVHPGLGTVISKSGY KYETPGI UREG_05913 MASRRLKRWFNRWRKRYENKNDRVYDNRAVELSTVSSRVDTALH HNSRHRAGSLYQLPEDLMFMVCRYLTDAQVVMLMLSCTRFWRSRTEIGIFAERWKRMA SPIEDDLNKLAARFYVLRMLEYDGLLQRGCPRKYCCWGCMRVHERQAFSPKELRKRVK LKSRPDFLPRRRNRRSCELAKRYIWFGLCREMSFVKLRHIITNPRTQQLEAGQIVLKD RSRVQFWGCSKLHDKRRQFSYIFEIASTRDIPTLQAFIQHSQAVNLPLCPHLRLGDQA VTQLYIQPSQPYTCKDCTTTVKIAIVQSFIFIYVFRYVGLLRSPTDPQWMAQSYRAKH RWVKAQAQAFGRWFVKTYGETGPRGRGYRPFKRKQMATLFEGVESCTPEWPGGGPTW UREG_05914 MALYLENTYSLVHQDNSADQPTLQELKTQLEKGTDESKMDTMRR ILTIMLNGDPMPQLLMHIIRFVMPSKSKPLKKLLYFYYEICPKLDSNGKLKQEMILVC NGIRNDLQHPNEYVRGNTLRFLCKLREPELLEPLLSSARLCLDYRHAYVRKSAVWAVA SIFQHSESLIPDAPDLIYSFLEEENDPTCKRNAFAALLTISHPRALEYLNKTFDGVPN ADELLQLVELEFIRKDAVQNTQNKAKYLRLIFDLLDAGDSTVVYEAATSLTALTSNPV AVKAAAAKLIELSIKEPDNNVKLIVLDRVDQLRIRNEGVLEDLTMEILRVLSSPDIDV RRKALGIALEMVASKNVEEIVLLLKKELAKTVDEQYEKVNNEYRQLLIQSIHQCAIKF SEIAASVVDLLMDFIADFNNNSAIDVITFVKEVVEKFPKLRHSILERLVSTLSEVRAG RVYRGVLWVIGEYSLEQNDIREAWKRIRASLGEIPILASEQRLLDEDSDESKEAKEQV NGHPKASSGPKVLADGTYAQETALTSQSAAAAKLEAVKAAQKPPLRQLILDGDYFLAT VLSSTLTKLVMRHSELSQDAARTNALRAEAMLIMISIVRVGQSPFVKTNIDEDSVDRI MSCLRSLAEFTERKELETTFLEDTRQAFRAMVQVEEKKRATREAMEKAKTAVQVDDAI SIRQFTRKSAGDDGDEIELDLAKATGGDSAVEDLSSKLSKVVQLTGYSDAVYAEAYVN VHQFDIILDVLLVNQTMETLQNLSVEFATLGDLKVVERPTTQNLGPHDFLNVQATIKV SSTDTGVIFGNVVYDGSSSTETHVVILNDIKADIMDYIQPAHCTETQFRTMWTEFEWE NKVNVQSKGKSLRGFLQQIMERTNMSCLTPEASLEGDCQFLSANLYAKSIFGEDALAN VSIEKEGEDGPITGFVRIRSRSQGLALSLGSLKGLKANA UREG_05915 MSNLNTQNEPFYLRYYSGHSGRFGHEFLEFDFRTLGDGRSAAVR YANNSNYRNDSLIRKEMCVSSLMIAELKRIIKDSEILKEDDSKWPQKNKDGRQELEIK LGNEHISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKAFVFSLISLHFKVSVVAVP AGASPA UREG_05916 MPTPSVSSPRLTPLSLDALSARGTCPPEIADRTDGWPASADQLA HDVYHNAHLITASQVQSELGTVGPLRNASTTIDPSQITENKDIKVDDAIAPEILSPAT SVSTNSTEIKDEPPLSPARPSTSPTPSYMTSLSHEFSNVRLLPDHPSSFLRAGSKFVG TQKSESNCYNVDVEIKDVNMEESFLCGYLRIQELTHDHPTLTTYFEGEIIGTKHTFQT KNKSWGATDKTDMHYWARFPAWRPLAKVAKKPDFTEKNFAQKEHIFMRWKEYFPGARS SCQDH UREG_05917 MERRRPSLHGSESSASPDYYPLHPPFSLPGHDDFDDENQGVDDI HGTSLATSSAPVNPINPANPAYRNSLALASPATYTPWTADLPRHSRTGSGGGSIASFE RQHPSEMSERDLRPQRPSGPARTPSNTYAPPRRPPQFTAHSSSQLPYAGKRPTRRDPN AQYRAQEKAYVQRVRQGPPNEWFNFGTQIPGMPDIDLELEDESPSSETQFNDPYDIET NLVLENENIQPTLEELQNPKIRERLEWHSMLASVLNGDVVKQEKRRLIVTSAPKGLSD INGDVWYGIRAKLCGRPEPLQRKIVEHDRSQLGPVLEEIISFKIKDHHKRADSGEFTA SCDAIISWHNTTRLINTQFAILQRWVGNEELNFTLPVTRPSHAAELADEGSFLDRIMK EDGLNSLQGDNSILDEFGRIRNKGMLDGIGDVIKKAKSTLIENYEAFAERHLPPYIEE LLILISFPSRLIVEIIRVRLSYAKKMKDPGQQSPMLLDQMIVQFQILMKIAVDIKQRY LIISEPEPGWELPPCFEENFDTVVVDALKYYFKLLNWKLSANKNTFKEAEILEQEWDF SNEIGRQFEGGDIEVAEQFSTLTAKALQRLMLHFERELLSKPEEDAVESEKRYKQILD SVRVRQRKLFRFSRFLRERFENATEFHLKDDIVDTFSEALLATGHFLVTSLDAVGQKG VSLIASPSLFGRSKDIQSILGTSFRAEDVPEDPSNPYILVIRPEKPFRWSGKRMEVDL LEHPTDVRLGKLRLVADGSQQRLQDARTELTRLTGLEFDVTVEQRANLGRVNVELNKI KKTAWKLSNAIIDSVEIIRKQNQGAGNQELIQSCFAFATEFGKRSLMYMEPTRRSMNQ GKLVRLGVDWVSFICDDCDAADRRTFKWAVAALEYAMAITHGHSILGLSDEDYCLLRV KVAGCMATLISHFDIMGARSTLAAKAEKLLLMAGKFDLSKSMDDAEAEGAVHEQRYQR VVELEEAIEASNSKRRPLGKVLEGVNEADRSLAVLSSSATNVTLRWQQGQFIGGGTSG SVYAAIDLDTSYLMAVKEIKLQEPSVIPGVAQQIRDEMAVLEVLDHPNIVSYRGIEVH RDKVYIFMEYCSGGSLATLLEHGRIEDETVIMVYALQMLEGLAYLHQAGIVHRDIKPA NILLDHNGVIKYVDFGAAMIIARQGKTLKAMDQYGGNYKDANGVAKDHAQRKNQKSVT GTPMYMSPELVRGEVGQGDRHGSMDIWSLGCVLLEMATGLRPWAGIDNEWAIMYKIAQ GNQPHLPTPDQLSEMGIDFIKRCFEIDAAKRPSAVELLQHDWIVTIRRQVVAEPQTPS SEGGSSHTSSSTSSRQNSSMF UREG_05918 MAETLDLNALNYTVLIPYNGTTATGGDSLEIDLNVFYNAGDIAW MITCTALVLLMIPGVGFFYSGLARRKSALSLIWLSVMSLGVVSIQWFFWGYSLAFSHS AGTYIGNLQNLGFKGVLAQPSVGSTKIPDLMFALYQGMFASITVALAVGAVAERGRMA PCIVFMFIWTTIIYDPLACWTWNASGWVYKMGALDFAGGTPVHIASGATALAYSLMLG KRRGHDAREFSYRPHNVTHVVIGTVFLWFGWFGFNCGSAFSANLRAVMAGVVTNLAAA AGGVTWCLLDYRLNRKFSAVGFSSGVISGLVAITPASGYVPPWSAVIFGVIGATACNH ATKLKYLMQIDDALDIFAVHGIGGIVGNLLTGLFAADYIARLDGATHIDGGWLNQNYI QLAYQLADSASGAAYSFFGSCIILFLINLIPGLQLRTSENDETLGVDDVEIGEFAYDY VEITRDVGSELETDISEPKSDIDSVGRQKYISTASNTNEK UREG_05919 MPVSNSSLYFVSRLQESGNTNAPKAKKPRTNTAVYVTSIPLDAT IEEINDVFCKCGVIAEEIDSHRPRIKMYTDENGKFKGDALVVYFRPESVNLAIQMLDD SDFRLGETGPQGKMKVQQADFSFKAQQEAPQKQNTRDKAKIIKKTQRLKNKLADWDED DAATLQPTGRWEKVVILRHMFTLAELEDDPAAILDIKEDIRDECSKLGEVTNVVLYDK EESGVVTVRFKDPEAAQACVEMMNGRFFGGTKVEAYIADGRERFRKSNDKSYDYEDDG AGWEASYDDEESKRLEKFSSWIENETPKKDSASS UREG_05920 MASMAPAIMPERLIFNSNASGKKFVPRAVLVDLEPGTMEAVRAG PFGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDQVIDVIREEFPDRMMATFSVVPS PKVSDTVVEPYNATLSVHQLALYDICMRTLKLSQPSYGDLNHLVSAVMSGVTTCLRFP GQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSRGAYSFRAVTVPELTQQMYDPKNMMA ASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNVQNKNHSYFVEWIPNNIQTALCSIPPR GLKMSSTFIGNSTSIQELFKRVGDQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMND LVSEYQQYQDASISEGEEE UREG_05921 MANLATFRPPTIQNEPNKHYAKGSPDREKLQSALKAFKRNAPVQ VPLMIGGKAVETSSVETQRNPSDHAQIVAKYHNATQEDVKASIASALDAKKKWESLPF ADRAAVFLKAADLVGGKYRYEIMAATMVGQGKNAWQAEIDAAAELCDFLRFNVQYASE LYTQQPAHNAPGVWNRVEYRPLEGFVYAISPFNFTAIGGNLPAAPALMGNTVVWKPSP YAMASNFLTYKILVEAGLPPGVIQFVPGDAEMVTRTILDHPEFAALHFTGSTAVFRSL YGKIAQGVAEGKYKGYPRIVGETGGKNFHLIHPTADIHNAVINTVRGAFEYQGQKCSA CSRAYVPASKWDEFRTLLVSEVEKLKIGDPEDFTNFIGPVIHEPSFAKLSKVIDDAKS DKSLTLLAGGKHDKSKGYFIHPTVYSTTDINHHLLSTELFGPVLAIAVYDDAAPNAFE SICKTIDSTGQYGLTGAVFAQDREAIYYAENALRTTAGNFYINCKCTGAVVGQQPFGG SRASGTNDKAGSQALLSRFVSMRSIKEEFVVVEKVLYPSNE UREG_05922 MFKQKKTYGIPSISGLLVKTSEFSKQQTAMKRYADTSVLIAEFV GNPPTSSRSREAIARMNYIHSVYLKSGKILDDDMLYTLSLFALEPFRWIDRYEWRQLS ELEKCALGTFWKSIGDAMEIKYDKLPSSKAGFRDGLQWLDEIQTWSEEYEKEHMVPHR DNHQTAEETISILLWTVPRVFRNLGRKMIYFLMDDRLRTAMTYL UREG_05923 MSGGPEPDTPDPSSPLRRERAPTITIDTSAVNASQSSPDPRASI EDQRGASGDSGPNSRSQATGQLNPSNSPPELHPSPSPDGKEYRESRPTSPHNVSSPTS KQAEPSHSNFLAVPGARSRGNSFDSEDATNSCAGDTVAPSSTSATDTPGQRESPIAED ITNVKDALTPDPGTEADFEVQNNKFAFSPGQLNKMLNPKNLTAFYALGGLAGIEKGLR TNRNTGLSVDESVLDGAVSFEEATQQNGSSKAFSAPPPRIDTAHAESISKSNDAFVDR KRVFSDNRLPARKTKSIWELAWIAYNDKVLILLSVAAVISLALGIYEALTAKPGEPRV QWVEGVAIMVAILVVVVVGALNDWKKEQQFVKLNKKKEDRKVKIIRSGKSIEISVYDV LAGDVMHLEPGDMVPVDGIFIEGHNVKCDESSATGESDLLRKIPGDEVYRAIENHEPL KKLDPFILSGSKIAEGVGTFLVTATGVNSSHGKTLLSLQEEGQTTPLQSKLNVLAEYI AKLGLAAGLLLFVVLFIKFLVHLKDIEGGSTEKGQAFLQIFIVAVTVIVVAVPEGLPL AVTLALAFATTRMLKDNNLVRLLRACETMGNATTICSDKTGTLTQNKMTVVAGTFGTA SRFGDNAATASIDDDESENNQSTPSASEIPPGECVNALSSNVKEALKQSIALNSTAFE TEEQGTIDFVGSKTETALLGFARDFLALGSLNEERSNSEVVQVVPFDSGRKCMATVLK LSNGKYRMLVKGASEILISKCTKIIRDPTADLSDIPLTEKHRATLNNIVMHYASQSLR TIGLIYQDYEQWPPRGVPTQEDDRRLASFDALFKDLIFLGVVGIQDPLRPGVADSVRQ CQKAGVFVRMVTGDNIMTAKAIAESCGIFTPGGIAMEGPKFRKLSSYQMNQIIPRLQV LARSSPEDKRILVAQLQKLGETVAVTGDGTNDAPALKGADVGFSMGIAGTEVAKEASA IILMDDNFNSIVKAMAWGRAVNDAVKKFLQFQITVNVTAVFLTFISAVASDEEKSVLT AVQLLWVNLIMDTFAALALGKSILNYGPSEQDEKEFRAMVFNTFVWMQIFNQYNARRI DNRFNIFEGLLRNKWFIAIQFIITGGQVLIIFVGGQAFSVVPLNGREWGISIILGLIS LPVAVIIRLIPDEFLHKLVPRFWTRKPRGPQVFVSDEDQRYEWNPALEEIRDQLQFLK TIRGGRLNHLKYKLQHPQTLLPRSRNGSRSQEFSVPQTPQGENEPELNGNAPATPDSR MRSRGRSRSNSAFGPAAAMAGIVAGSIAGWSPVERGTGENDSIKFSRTGPGALDQQEG IEIHPNTRDDDPVVSDYSPASKVPPSQNPDLVPEFLHDSSSNTGHQSRRSTSRHSTSR HSAASSAKPHPKT UREG_05924 MPSNDDEEMSEEDEEAESDDELEEEAESGEENEEAYEDEDEDHE MQFENTDEEEIEIEERIEDYYRNEGEGADGDYKATNGTNSGEEQGLKHFLPRSSGSAL SNLLTADVKQTLHPLEDMAERVLKQIESFAHNLDQFRKQSPTPQDPQAFREACKLVKN YQSIAENNVHDLSTSHQVRKPYRPAHKKNEKETTIGEVEEQIARWQLEAETWDLLYQL LTIANPETQERAKHSQETALQSLHRYSSDKEIWDNFLEADHFARECVIVLQWLEKLAR STADLDTVISELEKEADRGQGLWAHGWLYTKETIKGAKRLRSWSQPLDPEDSRITPSL LGSEKQAPLITQLDPDAVIRQGLGLQPHDRFYEQATWLRCWKMLRSGQSWSEIRAWSQ ERLENWRALSVSGSSTTSKNGDASDDGLSRMMGCRSQEAWRAACSILASDPHTDRYEK AVYALLCGDTEPAYKVCQTWGDFLYVFYNHMILCRYRDFCKQFLRKLSLPANAKITLS IEPPNHDRILNFLNNLRKDERVAEEAKNPYRQIQSAILSKNLEHFFYHHATATANATN ATKQPTLLPKLPPIVGIRDPLLIAANDEASLRIISHLYLLMNLIGMVRGDSHFTDVVA VNVVKYIELLREKERIDLIPLYASLLPKNIGHTILAKVLIDVQNERVRRGLLQRMRAF GTDVSAVLSSQWQWVLSEAGPDEQRPIDVAGHTELAPARELWKRIQFSKIPVPAEYRI IAFTIGIGDESPSSPVKSGSEGHLESAGQHQQQRPSIDPNRLVVEGLALEALIVRDLE LLISTFDALESWGNLVDEFLDELEKIRVAYLPEIILLYHNSLHLAGCIIGREILAQCM TLAVAIAGSATLTESFVTSGRTQELVRTLALSSLAIMSLKDTKLKKKLPRGATLDIWK IQPIEEDAKGITLLWEK UREG_05925 MDNTTPPEAPRQTEHLNIKVTDNNNEVFFKIKRTTQLKKLMDAF CERQGRQLSTVRFLFDGTRVRPEDSPDTLDMQDGDTLEVHQEQIGG UREG_05926 MADSVKDPANKPGAQKPKQKPKPKVADSWEDASVSSSEDEEDTY AADTTSLPPQTRAAHNDAPPLAPSSIRSQWSPASAPQGTGSPRSRPEKQTAVASRIIS NALGVRTQRTEEQKAYDRAVLESERRKREREREAARQTREAEEKAKQAVWES UREG_05927 MGGDVLAGTDFVDALKVFEHDDDTHGIIIVGEIGGAAELDAAEW IKDYHARTANPKPIMALVGGIEAPQGRIMGHAGAWAAPGEADAQTKIKALQNAGAVIV DHPEKFGEGMKILLSSTLHRPVFEVGFSCQRVCFEGHLLTNGQNAAPKIAQQQRGFHT LRRPQIRRHTYNSAQRRNLYLQQSHSFELLKERNISVGEPNGADAFFLGISIDRTAHS ASVIASPSAEPTQHLSSTKKFPFGYGKKKFPANSPEIQAIAAHVGLAGSAQKSLAGLT EALVDIFMTKEAFSLEAKVAITGAGTLEVQGARFGFDDAAYRSARRQEDIHKLRNKQL EVPEEVEAEKDGIVYVKLEGEGSIGTLVNGAGLAMNTVDALTIRGGHCANFLDTGGKA TSETVKSAFRILLSDPRVKAIFVNIFGGLTRSEMIAEGIIMAFRDLGMKVPVVVRLRG TNEELGQKMIAESKLPLHAFDSFEEASAKIISLSRM UREG_05928 MTFIRAPSAALGRSAASLYLTPTLVFPARQRCSVRFPSFRVGLS RTRYYSSDQILSSPPDPPSFKKRSILRRLLGFSAIAAFSFFVGTASNSKVFNMHSTVS EMVLNEGTVSSYTAEDEFAKEVEDYINSHPLTESMRGNPLFKESRPHLQIPPEIRSHT LTGGTLSGPNKIVVPPYTWSEEGGKSFVSMFYLGPDVSGHPGIVHGGLLATLLDEGLA RCCFPALPNRIGVTANLNIDYRRPAPAGSYFVLRAKTTKVEGRKAWVEGWIETVPEDG TEPVVLVEAKALFVEPKNAAMLPRLYRAT UREG_05929 MSKQTKYILLSLPSSITPSHHREDALEAITKTISSDNGTVIPFP IPDFKIGTLDALVQQADELTKAEATCQAVAGKVGDALRSVLEGDEEQLARVKTVNDKP LEQYLRTFSWNKVKYRADKPLSELIDLLQKETASIDNDVRAKFTQYNQVKSSLATLQR KQTGNLATRSLTGVVDPRQLVQHSEYLETHLVAVPSRDTKDFLRSYETLSPMIVPRSA TRIASDDEFTLYGVTTFKKHSHEFIHKCREHRWTPREYKYVEGGEEEERKEVEQILFA L UREG_05930 MATNITFHNAAFTRAERTKLRGQRGLTIWLTGLSASGKSTVAVD LEHYLLAERGVAAYRLDGDNVRFGLNKDLGFSEADRNENIRRIAEVAKLFADSNTIAI TSFISPYRKDRDTARALHEAPTQGGPEGGEEGLPFVEVFIDVPVEVAEQRDPKGLYKK ARAGVIKDFTGISAPYEEPLKPEVHVRNVDIPVRQAVEQIVAYLDKEGYLPPKPEAKN UREG_05931 MVPFAGYSMPLQYADQSHLESHHWTRAHASLFDVSHMVQHHLIG PGAQDFLMKITPSSLDKLKDNHSTLSCLLEEGTGGIVDDTVITRLGPESFYFVTNAGR RKEDLEFLSKEIEAFRQTHDPSARASVIHWSILDNRALLALQGPSSAAVLQALVTQGE ASVESDLSTLHFGQCRQLHLSFPDGSHTPARLLISRTGYTGEDGFEISIPTEQDAQLP RRIAELLLSNPEVRLAGLAARDSLRLEAGMCLYGHDISTAQTPPVAGLGWVVGKDRRD PSSPSSSFNGSSVILPQLASPAKTLKERRIGLTIEAGAPAREGAAIVDLADGKTQVGV ITSGLPSPTLNGANIAMGYINQGLHKKGTEVGVLVRKKLRKATVTPMPWIESKFYKG UREG_05932 MGAFLNISYTFIGQITLPSFIAEMKNPRDFYKALLVVTIAEVLV FSLVGSIVYVYAGQSTTSPAFGSLTSQDNKIISFSLMVPTLIFLGVLYASVSARFIFF RLFEGTSHKGNHTVVGWLSWAGILGVTWIFAFIIAEIIPFFSDLLSIMSSLFDSFFGF IFWGVAYIQLQKLEEKKRPNTARGVRGWIGYIFSWILIGIGLYFLGPGTYASIHSVVL NYKNGKVGSPFSCKDTSV UREG_05933 MSSPEKIDEEDLDQMWKEAQVEFQKICGKDPNALGVLRVDEVIA KIAQKKEADEKASAKYRRAKDAINKTLLCIQNLGAIVADAASKAFGPSTLCFNAVSYL ITSAQNYSKIFDGVSELFERISAFLDRFEVYARSKTIGVQIDLHLRKIIHELLRSFMR ICSLSIKISKQSKLLLALEVFSFRTDKGVSEELEKLETLIQRETGMGVALILESVKIN ESNIVTGFTETKSSLRMINSKADGITSQLAGVSKILENAAKSKEADGLSKRNREKIKH ALKIEKETWRTDQEEFLRTMVSGSGEWLLTDPQFATWADKNGYTAPILAFEAREGFGK SYLCATTIRRLYELYPPGDTEQRVTVAYYYFQKDNKDENSVNKALRAIVWQLTQRDPV YQKFVAGACDQSEEFGNTLELWKQLVVQFSTKTEANIFVVLDGIDEAETESGHPLLQI LRDTSLFATEKRPVSLRLLVTGRPRSFLEINKTPNIAMSTIPLGERNEGDILKFVEKR LDNMEIFKNLEQSDLQELKIRIRSELIGGAQGDFFKLNYMLTEISKKRRRREIEEVLE HAAEDRQATIGREIERLRKTLGDEDIQDLNELLTWVIYAKEWPPLKTLACAMYVNNGE ISLIPLEEQIREKYSALVEVLEDGTVTLSSYSSIVEYFRSKSQEAAEEEATHSALHES EVAIVRRFLLNVCDDELFKKFGFEEFFQRKLSHKNTPIHVDLENMHTHILLTCLKAIC DKPESDVTELLEYAFYWLPDHLNDVDLALTPPKPKIAIGSQLIKLFLDEECADRWWTE ERMWMRTWWVYEDNYSSIVLNWFKDSAVVKGLTEEQKEWVNGLTSKSRPDDDLLLPLA KIMAKRWLQSPDYCLPKDVYWWLLGYITKIQERKGEAARIVHDVDPTLEQILEVEKWA MKELDVAEQDSVWAAQMANTFRHFSLYEQAIERSMVSKDLDPSNWRAPFCLAQTYALQ QEYKLALDVLGDIIEVFRKDDKLMDESRTVFYDEILNFLGEWNVQLQEYNAAVEAYRE IHTHNRDSYEPIFRILQILEKQGKCAEMFEYLQALDKEVDNEAGQGAGQLEAVRKTYR SAVEAAKSSEARLATLAALRYWFSMSLYYDFPGRDAREEAITMWEQNNMLTPRISDST TSNLRRATTIKLASVLLQEAREAGFDSPTAGEYRNKLDRLCRGDETGEPNGFDFNAKL MLARLDHLMGNKPKAVENLRSHVRIALDLLSDDDEENDWQGYLRLSFCLSHVDDDINA LAAWSLLGPKPIDKDNQSGNDEDKQQVDIGLANEDRITSENGDEGEALSQTDEGKAEE EKGKEEDETSSDAAAMASSPVPSDPGADGGNMYILCDGGCGRVWNTADNIYTCKDCLN VLFDEKCYETLKAGAFEGKVCNKTHNFLHVPPWDYDAAAAVPERHVRVGEELILISEW LDRIRKEYGLN UREG_05934 MPVERWTSKAQKLANSRLSPHIGEVSFHTGRLSPLGSASFGAPV TRILAAGQALPSPSGDGYHYIHKRDIAAIQDAMHAALHPGDATGGDELLYGRAGLLHT LLNLRELQLDDETMQVLRASVFKKIPLLVDSMISSGKLAAREYLELYGEQEYMPLMWP WHDKYYIGAWNTQRLTCL UREG_05935 MAKAKRKGPSGSGDTAKTGTNNSIEHSDFADSLPDFSGASLADL TKRISQKLQENQGVKSGSKKGSGTTSAKVNRTKSNDSGSKTEPPANSKKGKKRDRSGQ IIASQTPRLTEPKRAEANDALEQEIYAVGGTKEDYELLAGIESGSEMEDGMMDSNAKG IDAEALRQNITKLIKGGDILPDPSPRASKPLVSRADRVKQPSNAPDKQPKKQDKQGKQ ETVQNKKEEKNQKKELDQTMSHHKKTAFSNLIFDPRPDWHLSPLPELTIDVEKRFNIP RPLLDRVRDYASSLLETENKSYTASRAASSSSTYKFYSTIVSSGTLSDKISALILEVQ ESPLHNTKALEQLVALAKKRSRAQAVEVLRSLKDLFAQGTVLPSDRRLKFFGNQPALG AALLGFSNWNPGDPLPRGLERSHLVYWAFEDFLKEQYFEVLKILEVWCNDEIEFSRSR AVSYVYELLKEKPEQESNLLRLLVNKLGDPSKKIASRASYLLLQLQQAHPLMKGTIIS AIESELLFRPGQSQHAKYYAIITLNQTVLSTGEEKAAEKLLDIYFSLFVSILKPGKVS HDKEAGKSVKPTKIKGKKHRALSKGNSQEDELSEKLISAVLTGVNRAYPFITSSTERL SNHINTLFRITHSSNFNTSIQALMLIHQLSSSHKVSADRFYRTLYESLLDPRITTSSK QALYLNLLYKALKADLNTKRVKAFVKRLVQILSLHQPPFICGVFYLIDELRTTFPGLT TLITQPEYHEDEEEEVFRDVPEEGEEATETISPTTDAVKEVPGYDSRKRDPEQSNADR SCLWELLPYLSHFHPSVSVGATQLLQQQKMSGKPDLTIHTLSHFLDRFVYRTPKASAG LRGSSIMQPLAGGDVSGLLITSGHTTKAHESVNNETFWTKKTEDIAAEDVFFHAYFNR VGKDKTKKKPKMPAKDEHDLGDSDAESEIWKALVDSQPGLEGEAESDDDFDLEDLDST MGNEDEDIGSDLADDEVIFNDNDEDFGEQEEEDAAGKIGKDEFESFDEEAGFDMDVSD AEAFVDSDEDIPSDFDMDIDAEEGEKTVEKRPSRKEKRRKLKHLPTFASVDDYAALLG QESDGGI UREG_05936 MESGIKQDTRNRLSTFWVPTGGVAEKSKKKDGNELLVRAGFIRQ AYSGIFHLLPLGLRVQQKLENLIDKHMQSIGASKLSLSSLSSQELWKRSGRLNDDSEI FRFNDRKGASFLLAPTHEEEITALVGSLVKSYRDLPLRVYQITRKYRDEPRPRQGLLR GREFLMKDLYTFDYSAEKGLDTYNTVKEVYTRIFDDLRLPYLVAAADSGNMGGDLSHE FHFENPNGEDTVITCTNCSHAFNEEIADGRGPKVENRQEPEHSEPFGPSPPPAISTGE WVTISRDKSMLVRAYYPKYLFDEGISEPVERQINSHALQSVARAYGAELDLGVKNPLE AWKIQANCPEPGDRNLRVLDVYDFRVRPFDKPPLNELLDSETAERAKVQFRSLHCYPG TTDSLDLLRVASGDKCSRCGEGVLHPHTTIELGHTFHLGTRYSSALQANVAVDPTKLI GGKGPEGASSVLVPLEMGCHGIGVSRMISAVATMLADHKGLKWPQVIAPFSAVICFGK GFEQDAEQVYDALVSGQMSPIDAVLDDRSHTFPWKLKDADLIGFPVILVLGKQWRSSK LVEVQCRQLDNFKDDVPLNELPSVVSSLLAKL UREG_05937 MQFMRAFPLLLAFAPWLAGASAQRWKGKRAPDTDAAPESDTFIR PTASNPSGWNSTLSNTIRGTGPSSGLIPILPPSASPTRESETSETSSTSITTLSATET TTSTGSPLITETSEQETPTSSSATATVTSDASSGAIYAPGVTTVTSTVNGTPVLVAIV SGGAITSPISVSPAEITPTGSAAIASISSFSSEVAKISPIIKAWIDDPQPPRETESLD AIGAILPIAAKLLTDFGGNSLTGNKPCPGSAMRRDLAQRGLFSFIGDAISCITNSLGK MVPIIAGASLLPKPKLDGIIEKLGGELQNLMSQLDSLNDKDILPSNPSPQPTGNEGQP SASMPSGEMTSPGPTNSPTMASTISSSSTRSCASATPTCKIVCDSVSTGSSNSADSNC RTVCKATPNSEDESCEPPKTRKLCSLTCPDCSPPSIPRRSLAGVSRRLRDLFSSFGRP NVNLELEQSTNLTEISVVKRALKVPGEYDNFKDFFQSELQAGEMVPHGRKWDASTMSL VDLPAAINSVFGDKPKNMGVVNLYGCTSVIVMSSKGAWVSHYWETGGFSANPDAESQA IFQENVLGPLGDGCKFHGTQIRPKMLSDPGLLHLAGGDPPGMFAAEFSPVAYIITPRT TEGGKMYSPCIDQIRDRLQEVVPQVQIHESTYLPDEADPLITNPQRIIGITHLNGKAL FRYDPVQRVVDEGCQKQQIARMELWHEGNPTPIFTSEWPAHPEQTVATGHARRDEDPA CSLPVSSITMADGTSTSVNIPIATSNIPGTASQPTQTISGVLPGTTMQSEPVPLPTTF VTATSIAAAPPPPPPPPPPPPPPFSHGTCKLSILQQTEGADNPVHLHTRIFDGSGAFL KGRTFPYRNWGDTVTIPMAISQLPYDIDVELTKAVPDRGAWLPPWLDPDRAVPVWQKW TVNINAGGTRWSYGTTRCRER UREG_05938 MSKTSAAPEPGAVDITTLSVPQLRSLQTRLNTELEHLTTSHAKL RTAQSKFRECIRSINDGVIKGATGNAEEKSEILVPLTNSLYVKGHLADREKVIVDVGT GFYVEKSTAKATEFYNGKVDELQTNLRDLEKVLQAKSTNLRVIEDVLRQKLLAGEATA EPSSSGGGGGA UREG_05939 MDEYELVGAQDGHEPAPKRPDNFMRSPVPRSFLGLVDSQPIALP QIQSGNGLPDNVKLDLPPNNLLVRSLTPQNGPNTVNNAPRPVPASRTRPVWVNRLNKS GQSRGSDYKDSPAKAAWRAGHPFTGRIRLPAPYGQIKQKIIDESGLKSSREAEHGLLE RITAETGAFIPPPHNNEQFFRVWGTSEQVRKARLIVSQALAIFTSSQPQNKKKSDHFV KISSYCEQKEERINQIEKHDSMLQLLRQRPDPSSRFPETLIFLWPSDELPMESALGPQ LEALDPLRIEFGCPIYVDEELPSYIRVDAYDHDTVLKVVSRLRAEWAELLATMHVKVK LYLIQPPEKLMNCEVQIRMPRLANGVILCTPVLYGMLVGPNHPRSQKDKRELVCMKNE KRLRDAVEQSLQGLRFLKGHVRMRLNFGVFVLDEYRTPQGKPRHSYEEFRTMLFNSKT KGRLIPGLDFRHGNQDLLSRIAAATDLLSPYEATAFTLEQATPLYAVNFEFIGEDSSV LRLEAEFAKSPASGLLEVFQRRWIRPHEGKSFGDQRPPLQIGVIDFERYEFDMFGIR UREG_05940 MSGHRQAIFNQHVLVDTTPMPEDIPKVEEIGASSAPLMSASYFI GDRCKAFNDDYMKCKQEANGRGEIECLKEGRKVTRCAASVLKDINTHCLKEFRGHWEC LENNNQQLWYCRGPEQKLNACVFDKLGLKKVIPGTPENQTPVHLRKKQIFANTQSPQW UREG_05941 MTDGLETSRAESSSSGGLDMFDETEDKSTPKVKVKMIMSRTDLL DILQISTLEEFYAFLKRSTIKLY UREG_05942 MDDNLYCLSNKDFQWEQELCSDLIIASTEKNVNLYEEFQKFFNN TKFQWEDHEEACIKLDMNNLKIFCLQLMKSVIILKPWQPVAVNTIIKFKTNKLLSEAI LTDVVNLEKT UREG_05943 MLVACKKQKAEEKKKHDEEAMQAHTHAMMAALEVKVMIHEHNHL AAADLLKDEMEEEMKTFQSHLQASLNEEMLPPSEKMGEEKFEIVVSFDEEEENEEEKD D UREG_05944 MSFILLCLLPLPLPLTLPLPSPPGPLALLCGGRSSTLRHCQRCY EEKEEDDNNEEEEEEEKKDESMKVESINLPN UREG_05945 MLVACKKQKTEEKKKHDEEAMQAQEEIKNKICQKIKIELAAHSI AACIQTHTHAMMAALEVKVIAHEHNHLTATDLLKNEMEKKMKTFQSHLQTSLDKEMLS PPEKMNEEEFKIVISFNEEKENEKEKND UREG_05946 MSEAITAVRCSSEYYEDTEHLKNELLAVAAVLHSIHHMRAYDPE NWEKEECEKYWQHILTYYVKHYQQYEKPREVAAADDENIENILFKQSHQSQVNSQNEM EQYLNNENLMTSSMSLLEQWQNLKPVFPTMTHMVRDILAIPLTDVGVEQIFNTVQDIC HYQQSQLHESIIKKIMLLKYKNKKHMFDEVLVSEKKLAKKIDGLEDEIAEFLKTEAGG ELTRDEERQSACLLK UREG_05947 MTPDESFEATPHSNPPYDIVNFWCGDQDRTEMTVMCYGGRFDIL ALAKNMEECPAIEREFLGLVKDLLSMNNDDFEYKPGESDPMEEMCHWMAEACFAQFRA LAPRPTEPRIITVEEYYTTPSIQLTITVNDGQLTAIQSSGNPGDLMPRTRLSSSYTAL TASVPLLEPSMVKLPWDSENERPSRPSRVLVGNEGKEQYFKPAYREISERTEREIKTL LRLHQLGLSDKIRVPKIHVRCLLEMKSERQHHIFEKHGFIS UREG_05948 MSHHPQSHRKYDSVGGRMSRADGNHMGRYSGRDSRLRVLSPVSQ PEEQMARRRNLDRMPGEDQGEDDDEDEDEEEFQEARDSFYGDEEQNEDDMGHQQHIDT DIDREKRNRRWRRRVEQALTKMTAEIAAMRELMEARAHHNARRKRAWVWLKWLVWVAI RQFCWDILILAVFCVWMRFRGDRRAEERLKRLWGEFRRWLSRVRFVRYLPQTVLAP UREG_05949 MDMNQFIGYVGTLHEINPEASTIALENVISHGTEGRRGNPADEI APSASVYEYIVFRGSDVKDINFADEPKDNPPAEPPQMPDDPAILGSSSRPGPPQGGAG RGQPSQFPQPPRQTPPGFPQQPPFPGYYNPYGQRFGPPGFPPGPGFPGMPYNNGPQGW YPPPGQGFPQQPGHFPPPPMPIGPPQIQQGQQQRPPTQPGPIAPSIPKPTSELPVGEK PANKPSSKPTTPAPAPTGAAAPPSGAPEGPDTKKAQLQQKVANGGPITAPSAPAMNGT ANAKPTQVPPTKPERIMPAIPISTPATKPPVPVPNNAPISGSTAPQPTIQNGRAPSVM QEATRAATAAVAAAMAKLPQPPSGQTKQPSVEATVESVTKKVGDMKPFEGERPSRGGH QNARGGRGRGGYHQAHAKKVEVPTTDFDFESANAKFNKQDVVKEAIASGSPLAESEDK IANGVPATNGADGTMAPNATNLATGIAYDKSSSFFDNLSSEARDREGGARRGRRGEEE KKNMETFGQGSVDGGYRGGFRGRGRGRGYGRGRGLYGHRNAGGRGRGNLRGGREASNV SGAPAQTS UREG_05950 MQSTNPDNFFHTTASLEDSRRKAGKSGNKNGSPIKLPSKILAIA ADPFNTDSVFVAESSGVLRVVALETSETTAIYRGPTAPLTSLCFSTDGRTVFAGCWDK SIWSWDVKTRKAGRKYVGHNDFVKAVLCPNTAGRNLLVSGGADADVLVWDISDGKILH VSQNHCRGIQSLAQDPVVTDDSTSITVFSAGSDRTILCFRIPINAGSMTVAESILEHE TSVYKLLFDEDGDLWTASADKTAKCLARENGWKSELSMEHPDFVRDVAVHEAGGWVVT ACRDEETGELHHTYSGHFEEVTGLVLLGTKVVSVSIDATIRQWSLRPEDIRQAKLEAS GAAAEDEEPPRGQSMLTADEERELDELLNDD UREG_05951 MAAEPPASSQLVNRLSESRSPYVRGHMNNPVAWQLWDSAAIDLA KRLNRLIFLSIGYSACHWCHVMEKESFMSQEVAAILNKSFIPIKLDREERPDIDEVYM NYVQATTGSGGWPLNVFLTPDLEPVFGGTYWPGPHSSSVPRLGGEEPITFVDILEKLR DVWNSQQLRCMESAKEITRQLREFAEEGTHLRRPDSEGEEDLEVELLEEAYQHFVSRY DPVNGGFSRAPKFPTPANLSFLLRLGRYPGAVMDIVGQEECARATEMVSKTLLQMVRG GIHDQIGHGFARYSVTADWSLPHFEKMLYDQAQLLDVYVDCFEATQDPELLGAVYDIV AYMTSPPMLSPEGAFHSSEDADSLPTPKDTEKREGAFYVWTLKEMQQILGQRDAEVCA RHWGVLPDGNVARGYDPHDEFINQNVLSIKATPRHIAKDLGLSEDEVVRIIKSSRKKL QEFRDTQRVRPDLDDKVIVSWNGLAIGALAKCSVLLDRIDPDKAEHCRRSAATAAAFI KEKLFDADTGQLWRVYRDGVRGETPGFGDDYAYLTAGLIQLYEATFDDSYLRFAEQLQ KYMNTHFLAMAADGSTPAGYYMTQENMPGDVPGPLFRLKTGTDAATPSTNGVIAQNLV RLGSLLEDESYSVLAKQTCSAFAAEILQHPFLFVGVLDVVVGLEVGVKSVIGVIGRDE ETARAAVVEAMREEAGMAMSTSAAVLALVDVRAGGAGESSWLRERNVLLKDVRGGKNF LLTVSNLAISYCLQTATTETTDNRRDARCRCNAGCHDVFQPVHHAWRWQLEKPSEFVG GGPGVFQDGIEPRDALPLAC UREG_05952 MRYSSLVAALCVGGALASPVDKRAYVTDLTVVTKTVYVTAGGAA APTPPPAQNKVPWWKWPGKVVYPPVPKPRPSPPPPPPPPAPTPAPTSAAPPPPPPPPP PPPPSSTPEPPKGDEPEQPPPPPPNDPQPKPPADDDYRGVVLYHHNVHRSNHSAPALE WSTDLESSARQLAETCVYGHNTEYGGGGYGQNIGYQGGFSNIASMITNAMYNGEAPLF ENEYGLANPRSNFHAWGHFTQIVWKSTTKVACYTKRCPSLRVASSGSTVNNADFIVCN YGPPGNYAGRYAENVQRPLGKPTVTA UREG_05953 MPKPLGTIEEPSRHPHASGGVPGPNSSPLKEKHPFSPDHSGVTF SHQAALPKLPIPDLESTCKKYLDSLAPLQSPREHEETKEAVQEFLKAEGPELQDRLKF YASSKTSYIEEFWYDSYLNYDNPVVLNLNPFFLLEDDPTPARNHQATRAASLVVSALS FVRAVRREEMTPDTIRGKPLCMFQYSRLFGTARVPTDNGCVIGQDSSSKHLVVMCRGQ FYWFDVLDANDDPIMSEKDITLNLCAIIEDAEQTPIQEAAKGAIGVLSTESRKIWSGL RDILNRQSGPNNTDCLNIVDSALFVVCLDHTEPSSIGDVCGNMLCGTSEVVKGVQIGT CINRWYDKLQIIVCQNGSAGINFEHTGVDGHTVLRFASDVFTDTILRFAKTINGQAPT GCLSKCEHENPPQLKWDWDPGTKPLPYESAEVPSRRPCCISMSLKALRFPALYEKKFH HVNEFLSGMHSCKMAFPGRLTRAVQPHRKYGDNPAAEKINALRKATQKHTAVTKECSM GQGHDRHLYALYSLWQRSYDDRQNAMSSGRSSPNSCPNPNESSPKLTSPIRTSASPSE DGYSSLSSRNGYGPAPTIPAIFNDPGWDKINSTILSTSNCGNPSLRHFGFGPTSGDGF GIGYIIKDDSISICASSKHRQTSRFMQTLESYLLEIRKLLRALSPPSDRPSAVPAPNV ALERLDAGARTPRRGRLIRTDSVAREIDTPLTESGFLEDDGMGGYGFFDAGMLLHALQ ELQEGRERGRDKPSKRRLVGKMLKLNEY UREG_05954 MNGSPLLIQPEGAVNFIALGSAGAVFEDSNGQVVKTALKHDVKG CSQQVIEHTRHIESISEICIEREKSIYKALPKHPRILDCLETKEKSLHFPFYRLGNLR EYIQHNEIIDSHIRDQWVENAIDAIALIHAHDVTHADISPRNFLVADDLSIKLCDFAG SAMGDLQPLVEEEDRYRMAPLSPRNFKTDLFALGCLIYEISTGSRPYDKIDDTEEVEK LYKARMFPKLDDVKYRNIIYKCWTCQYEGVETLWSDYRHCTYQADAGGELAAQWKYAP STLLEN UREG_05955 MSAGKWFKMLGAGAILCIGGPMFVNYVRPTEEELFQRFNPDLQK RNLENRERRQKEFDDFVTQLKEYSKSDKPIWVVAKEAEELQKKQQREAALAAKKAAAE NPVESTTQS UREG_05956 MFTAACDDTVGSPDESIKNAAKTVAAGMVKYYTGYKPGDTPGNL PDPYYWWEAGAMFSSLIDYWYFTGDSQYNEITTQAMLHQVGDDNNYMPRNQTSTLGND DQAFWGMAAMSAAENKYPNPPADKPQWLELAQAVLNSQVPRWDNQTCGGGLRWQIFTF NKGYNYKNSISNGCFINIAARLALYTKNETYADLAEQYWEWMEAIGLVSPTSQVFDGS DVGKNCTELSHIQWTYNNGVMMGGAAALYNFTNGAEIWKRRLDGLIDAASIFFSKDPP NVMTEVACEGNGKCNVDQRSFKAYLARWMALTMKIAPFTREKLLPRLQASARAAALQC NGPDNACGLRWTKGAAYDGSTGVGEQMAALEIIQSNLIDEVAGPADNTTGISKGDPTA GTGGDPVYTFSDITTGDKVGAGFLTSVVLISILGGAWWMVS UREG_05957 MLKVVHGGNLDPDAGKARPDQLVLPEDPTFVPGLMLPGLNVDLS ALDITTVLETPRKMSSLLSSYLHGSNKSSFQREIQLQLSSSQVGTAAFGLPSQISSAQ KGPQVELPAYLEEGGVLLQPDFEFDAEGNIIELPLKGNSDRIVIHETEEYNDVEMTGA NQARLTNMDRADEFEDLPMFDVGMDLPLGEPPSNQRHKVDDKPNDIDAVPPGQSEAHM PLKKRRLRNIEADDLPELRNSDLAQWNSDYAHNMACAVKMKKNNKLVTIAKRNAAFCV LGVGIAAVGLGLGASSVPHPLDIFSGTRLLSALTGKKIQGLRRKRDRSSANGSDTDEF ERNVRQKTKDGEVGRWAGSENGEIVPFDDIEIGRHAPPSLQDDASLQMPWNVTASVHS SFRGSSIAGGHSGVNSAFRPGSDALISGSFAGRRGRLTSASPLAGRGGMRNALLGLSL QEDEELGMDSFAGVDMESVVGDITREQNIGMSSPAAAREKHAEPHWFLSNLDQQTVNF LEYVKNRIADITNATTDGELHPEISFDCLLLPASSSRIVATQGFLHVLILATNGALRV RQDVDMGTARGCDDAGEIILSMPS UREG_05958 MLPVPLLVRGGARAARLGVASTRLARLSATVPCLGPQRRKYSPR SEPYLSTRSTVVQLLSNIGSKREVQQYLSHFTSVSSQQFAVIKVGGAIITEHLQTLSS ALAFLNHVGLYPIVVHGAGPQLNKLLEAAGVEPQFEDGIRVTDGKTLALARSLFLEEN LKLVEELERLGVRARPLTTGVFSADYLDKEKYDLVGKINGVNKKPIEAAIKAGCLPIL TSMAETPEGQVLNVNADVAAGELARALQPLKIVYLAEKGGLFNGDTGEKISAINLDEE YDDLMKLWWVRHGTRLKIKEMKELLNDLPRTSSVAIIHPADLQKELFTDTGAGTLIRR GNKLLVKSSIDDFENLDALRNALVRDREGLDAQTVVDRYIQSLRDGEFKAYFDEPMDA LAVVLPPKEGSSFSRLATLTITKAGWLTNIADNVFASVQKDFPKLVWTVKEDDENLTW FFDKSQGSLNRDGEVLFWSGVNSPQEVGELVQEFSKRGSDMFADTNTAQKIPASAKTG VNSAFGQQKRTFSTTSSNARRAYLGRQMGVNTQSVRAYTTTNPNPPLGKKNASNTQPS RVALIGARGYTGQALISLINAHPYLDLRHVSSRELAGKPLQGYDKRSITYEELSPDDV RRMAAEGSVDCWVMALPNLVCKPYVDAINEVDKEKAVVVDLSADYRFDSKWTYGLPEL VNRGTIAQAKRIANPGCYATAAQLGIAPLAPFLAGQPTVFGVSGYSGAGTKPSPKNDV ANLTNNIIPYSLTDHIHEKEVSSQLGVDVAFIPHVAVWFQGIHQTISMPLQQSMTSRD IRNLYQDRYAGEKLVKVVGEAPLVKNIAGHHHVEIGGFAVHSSGKRVVVCATIDNLLK GAATQCLQNMNLALGYSEFEGIPDEQ UREG_05959 MADRDQPYDPYIPNNSNPNGGGNSGNQRTAALQAQIDDTVGVMR ENINKVSQRGERLDSLQDKTDNLAVSAQGFRRGANRVRKQMWWKDFKMRIWLIVGIII LLVIIIVPSVLATKGK UREG_05960 MAAPRGRLQGKNAIVTGAAGGIGLETTILFAREGASVLMADISE PALEKAVAKVKAAVPNAPKVEAVKCDVSKESDIQAMVERLDSWGGLDVIFNNAGIMHA DDADAIDTAEKIWDLTHNINVKGVWYGCKHAVLSLRRHKKTKGSIINTASVVALVGSA TPQLAYTASKGAVLAMTRELAIVHAREGFRFNSLCPAPLNTPLLQDWLGDDAHKRMRR EIHFPTGRFGEAVEQAQAVLFLASDESSFVNGSDFVVDGGVCYT UREG_05961 MLGDSDPKEVTLDNLEATLKYDTQVKLAGIDADGILRGKLVSKK KFVSIAKDGFGFCSVIFGWDMHDQTYFKELAISNKENGYRDMVAIPDLKSFRRIPWES NVPFFLVDFYDPDTHAPISACPRGLMKTACAGMEKLGYKAMAGAEYEFYQFRVPSNAD EGERNSSGTARFLKTNPVADLPPLTEGMFGYSLTRPVHNQEYYYRIFNTCQTFKCDIE SWHTESGPGVFEAALEFGEIKEIADRAGLFKYVVKALGSKYGITPAFMAKPVHGLPGN SGHMHVSIVSKDGKNLFFREQPDPSPPYPDVANLSDLGRYFLAGVLAGLPDIMPILAP TINSYKRLVENFWAPVTVSWGLEHRAASIRLITPPTCSPKGSRLEIRVPGADTNAHYV LAAILALGWRGVEKKLDIPVPPLPRGEDVGGQSDNGERLAKSLKEAVMRFMAKDSIAR EVFGDEFVDHFGGTREHEVKLWEEAVTDWEVKRYIETV UREG_05962 MDIKGAAIAVHIAMSARLIPYAVAGRYAVELLYVKGPDGPEYDS CQKTLTIIVDCDEDYAINVLLDSGEPFAVTSSLGLVYDPHVGYISADPISIEIHQSHQ DPYLLPWISWSPKLCCSINSVLCMQVLHPKVFVIAMARLWNFAPEHCDAKRYLADVVY LLQWLVEKKMEIDFNAYRGPRKRVLLMTFSTLYSLCDEVRGLLQSTMRVEDFVAVRDD NIPYY UREG_05963 MTSTAQDVSNPARDPDQYSDPSGETMKAVVWMGKNDVKVGVVSE TEKGDILGHEFCGKVEAVGSAIKNLNIGDRVVASFQIACGQCMYCKKGHSSQCERTNE SSTMASMFGGRTAGIFGYSHLTGGFAGGQAEYVRVPFGEVNLLPLPDDVSDEKGLYLS DVLCTSWHCVADTGVNKGDVVAIWGAGPIGQMCARFSLMHGASRVIIIDGGNGAWRLQ FTKSKIPQVECVNFSDLPKGESVTSMLNKMVHGGPDVALECAAGEYAKSWAHYFQQLL GMETDTSELLNEMITSVKSFGRCGVTGVYVGYVNHFNIGSLMQRGVRLIGNGQAPVHK YWKDLLAQVREGKINPLEMVTHRGKLEDMEAIYDVFNKREEGMQKIFVQTRFSDPPSA DSPQLSTFS UREG_05964 MEDSFAKGTDIDRRTCTRVVPMRVICTGLPKTGTTSLRAALQRL GYEQTYHMESAAYENIRDCEMWLDAFRAKYDGIGTFEKEDWDKLLGHCQAVTDVPAAL FIPELVKAYPDAKVVMNTRDVDAWYTSTTNTIVAALSNIDNVKTGGFEPDHLKAIRKE LLTKVWQTSFPDGFKETGKEAFHKHNEMVRQTVRKDNLLEYSVKEGWEPLCKFLEVPV PDEPFPRLNDRASYWQKMTKKFGLKIPEPQKIEFEQQTTTSCVV UREG_05965 MGLGGTFRPSHLAANGENWVTSSDLCLSDLHFEPGIFLGSATHL LANPNLTSGHLFRADILFDSAGILKSPEEKERAVLGPQNNTTNAHDQLAVERLPAPDF DGYTLTRTILRRFIPRKKIDSELDQTCHFYSHKSFQDNQGIELERCLLIYLPHFSSEE QVPFYHPSIRALGFLYEFSAPVPNNAHSATTKGTGVLSLHFIPFSTGIPESIPYRLER TFLALLTAQVRLARSPAATSNPTGSNPRPFKDNIIPQHVVQTTYAKLKDKYASTLIRN WVEVTEPSKHVFEDISIAAFLIELWKKMYRPISLNGHDASEESGEGAASFPGFVDIAC GNGVLVYLLREEGYTGWGFDARRRKSWETYPPSTQKCLKESICIPSIFRYASPTASQT IPANIETNDGIFKKGTFIISNHADELTIWTPLLGVLADPTSPLPFLSIPCCSHSISGA RFRYPPPKRRSATTTSLSAETESLSEHDQNPQPATGDLKALRGMKYSEHDKLDISSST YAALTAKLMSIATELGYDVEKTMMRIPSTRNIGVIGGLKAHKMNQEKSNSPRLGESEM ETRVRQIVEREAEADNGLAAAARIWIERSMKLHRGQGSGSRRASECVREGQAARLGHE QLVKEQGPNLQKQALVASRLDVEAAKYLY UREG_05966 MAFVLNARDTDTSGSDDEFPSEILGYSQALHGSKRARLTPQLES EDSDSTSSVGTSDDSDQSEPPQRRGADPPNRFVGIRGEVGNGQYRPGAIVRIKLTDFV TYSSAEIRPGPKLNMVIGPNGTGKSTLVCAICLGLGEGPQHLGRARDAAEFIKNGRPE ATIEIELASPIGKRNTVVTRIIKRNGNKSLFAINGKQVSGKKVRQFARSLSIQINNLC QFLPQDKVSEFAALTPIELLRSTQRAAAPREVTEWYEDLNRMRAGQKKLQVRNRQYQE ALQTLEKRQENQREIVERMRERVAVKKRLEYLELLRPVPKFREFKAQSTEAKNRRRAL LQEKEALEAQIQPILEAIAAKRKYFSELVDVVKQNRKQHIKANEFAKGFWKQMDQVSG KMKDLTARIEAEIKSNSGYVTEMKKLTQSIDRIERLMEEGAPEFDISAYNARIREQVL RMRDIQDRKSQLQSREMPIIRDHSHNKASYLQSKQRLDSLGFQAGQQEEKLRRLSEDT YTAWQWLKDEKNQEQFEKRVYGPPLVVCTIKDPKYAMALEGMVQKNDLCAFTVQTRND FNKLQEILYQQKELHDITIYACSTPLSQLTSPVSDEALQQLGFDGWARDLISGPEPVL ATLCSENSFHTTPIMLRDISNAEFEHLENGPISSWIAKTQHYQITRRREYGAAGVSTR VRRLRPARWWTDKPVDDSIKIELQNEVMKRKREMDEVQAQLDEGKAELERLEAEHEEA SGEKSKIEREKAEKQAALTSFKALPTRLAQQKDKHKELVERVSQFRKDIESLRKKKDY LSLEKAVSVLQYTKFVEKYRKLHENLVQMRVRAVEARSDWQSLKKHNSDVTAVVERKN CEIDEVSLLVTNLNKEAPKRIEEMRKIRRMAEDDPELSQMIGSISQYSCDQLEAEIDS AKATLDLTYEGNSSRVIEEFERRQQQIDKLNKKYEKIQKDLADFEYGIAEVRGKWEPT LEALIQRISNAFSNFFARIGCAGQVSIDKGEDIPDENGRLGDTSDFDQWSIRIQVKFR EQESLAVLNAHRQSGGERAVSTIFYLMALQSLSASPFRVVDEINQGMDPRNERMVHER MVEIACGQADSKDAGGQYFLITPKLLNGLRYQPGMTVLLRLADEEPKRGKEE UREG_05967 MAPSTPRLRVLSVGGNAISAFLAWRLQATNACDVTLVWKQNYDH VSQYGISFKSKVFGNERFKPRHVIRSTDEAPSREQGYDYVVLCVKALPDLYDLGDLIH SVVTPEHTCIIVNTTSTLANARASTRSFEANQASTLVIIIVGIVNPYLRLLKKVGVRQ LVSDVIDELIALAKALGCNFPDDFKETTIAKMLAQPENPSTMYQDFAARRPMEVETYL GSPIKLGVDSGVKLSRIETLYAMLHHINVVNQNRQREPTSPVAALPPRLSSAPRPSTN NMRGGGRSYSSPQGAGHPRRGGPSMGSHRPPNGYPPSRGPPAQRDPSAEDHNLDEFSH IVHYDAVAGELTQQNGGASNGGSSSADLALRERELRIRQRELQLKEQELNMRRGGPNR RMSSHREALDDDGDYYDAPPPMPQIDPDNFDMMSVTSRKNRKMPANNAGQFRKNPEMA SGRPPSAFSRAFGMGRNRTSARIVEEIPGMHDSLLDNPMMGYSSNRYGNVDRREMHAE SRANSLTASRINELGQGVGHGPYPPPSRRTSQSPGQGFHPGGRGMGRSSTGIDGFNGP PNGMGHPNRRSPPGMRAPVPRHPSGQPNGAMPQQIEQQVGVSNSHSAKGHPNVRSLTG SASASAGSGDSGASANIDSEASAHSSQSSLPPIFQKFSGK UREG_05968 MPSHTTRPGASSALPLPSLSTTSVSASAPTSTSTATSASAISAS SMSAAKSRQYAHCTPAAQLNAHLADMENLMRMTSAQAGDMRFLGGYVGGLFMGAAQGA RGGRGGEEWCWEARVS UREG_05969 MASVSKLRRILITSTLSVAIASGALYGASLKMRQDSKKEKIGAL LVVREGLVRKKEMLEGQIREVEEREKKRADSAGGSGGGRRGG UREG_05970 MPDVEKGHDRSTQNQRQTPGELVRKTRAEASNTLLPRPVASLVT LFAHSTSLSLRVGTFLGGFAIDSVRATTLTGLELSRAVVEATLHSTITSASFFASASF HLSSTTLSSVAHFSQSLLSTLDAILGSSESSRAIAAIVTLIRREFRNPETGARADKVG IADLLVGCIGFAMLQRWGRRNTEREFRENGNEEIIWDVVILDNGLRADVVGTQRTDYP TNVESLDAPPTRPASFLAPEETEGDGTFQAMERESTPLNPLGRLVPHVSLPADRQHAL SDEEIRHYIVNQLPRGSHATITTDSVTARTITVDVYDMHHNYIEIAPPPGTALVKESF HDGRDADDSPSLAETHLPKHTVVFQTVSNQSQTAELRPDNSSSQSPMEMNCCEHRPRL PQRLSKRSRIGSWVNDPVPTVEVEPINVNSPETSRRPAFKRTISESSLSGSETPRASS QRRSAASRATHRLISNAEAKLESIATLGKRATSSSTLNHQPAGFNRESMGATTARIRS KPKQSTEPGLQKSKLLPAMPLASVKLGSSQSDVYGTDISATSLPPKDYFSSQERGLES YMARTDAFSLHKRPKSPASPTIARNHISSTSSLSKIKSDSDVQGLSSDILQPRSPMLS RRSSTKSLAPTIYSLAGDSKSSLVLAPRFVRSVYEDQDTLSALSRDGKFPGLFPYNHL VQNIQRFSRFSSASYGSHFLRVMGISTGPQDWQNEEMDHHEHSSFYNHTGLPPSTILL SSFVDSAGGTNSCGKTQEGFPLVHYLALDHDSKAVVLTLRGTWGFEDILTDMTCDYDD LHWMNKTWQVHKGMLASAKRLLEGGGARVMATIKAALEEFTDYGVVFCGHSLGGGVAA LLAILISRPNDTHMHGPSFVTASSQSSDTDNNTQRQPGQFRLPAGRPIHVYAYGTPAV MSPSLRLATRRLITTTVNGQDVVPTLSLGVLHDFHAVSLSFKSDVADARSYVKSRVWD SISRSIANKFYINQPPLLIHAGEGIGEDSWAWNTLKSLRAQLTAPKLMPPGEVFVVDT MRVLQRDAFTMDTPSGDGYPRLGRPATRVQLRFIRDVEARFGEIRFGSGMLGDHSPAR YEASLAVLARGVLDS UREG_05971 MSHEEDLIDYSDEELQTTDAAATAAAPATNGAAAKKGDLTVAGA RADKKGSYVGVHSTGFRDFLLKGELLRAITDCGFEHPSEAFLHFLHLPTSVHHETLGD HRIYASRSLQAARGYAFEKREEYCIAAASGVLYVSPRDSSGPAKRTYSDYFLLFTRSF LPDCYLVDLLIKNPRDGRTFGLFASIMPSFELWIETKSNMSLAPHTVQQVCIPTAILN VDVLCQAKSGLGKTAVFVLTTLHQLEPVPGECSILVMCHTRELAYQIKNEYARFSKYL PDVKTAVFYGGTPMQKDIETLSSKDTYPNIVVGTPGRLNALVREKKLSLRNIKAFVLD ECDKMLDQIDMRRDVQEIFRATPAEKQVLMFSATLSQEIRPICKKFMRNPLEVYVDDD TKLTLHGLQQYFIKLSESEKNRKLNELLDNLEFNQVIIFVKSTSRANELDKLLRECNF PSIAVHSGVSQEERIKRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDMPADADS YLHRVGRAGRFGTKGLSISFVSSEDDMKVLKDIEKRFEVALPEYPEEGVDASTYMA UREG_05972 MAKAKAQKGAPGAAQSHLRARISYLHQAALLFQSAHPPPTSNTD RTATSASVGNESVEQQYEGESGIQGLDQPGGIGCSSYLARRTEGYCERLDVEVESAVE MRRFFEHIIPPIRPSSAIPKPP UREG_05973 MSTSMSVPDARLGLTSSEWQMVVHQQQIALQSSHNGSMVSRGRG MGRSTSSSRAASAASSHGRLLLDPDSLQALYYQLDHLLRDIRGRIEYLNEQSEISIQN TYDQAGNMIADADAEIARVRRIIASIDELELEFDKIKRIRDIVKGFRARVETLDHRLD QASRRRR UREG_05974 MAKDKERSVNPAQAQRRLEKQRALKKGKAELQNRRNEKLARRNP ERLQRQIDELKSAEESGQQLRPREKQILEGLEKDLKAIRKARESLGDRAPQFGGGDAR RGQGRDRDAGRGVLGKRRRDGWDRRRERDDASDTDESVRNIPMPRDTPPPIPRQHQRR GPTSDTEPGEQRQIHGLPEKPPIETKTIYEAAPAIRNLQQEAVNKFVPAAVRMKQAAV KGQGRLVEPEEMERLEKEGYVAAALPPSTPRPTVPTDDSAQKPGSMDIDAATLAEEEE RFRRELKHVQIEEVEDEEI UREG_05975 MQNQPKLTPRFGCPFPPQSPQRLRRELRESKGSMTIEQYLGASG LYHSTTVSSPVPYLCSVLLGRKKCEECRKAHRPCVPAPVNKTPQQVIDLCGPIYFNSR FGCVAQGDRDEFQQLVAETNRPAALVRSQRARAAALASVASFSVQTSDRFQFKQSQNT UREG_05976 MAIALAEADKYEVLERIGSGSFGVIRKVKRKTDGYILCRKEINY IKMSQKEREQLTTEFNILSSLRHPNIVAYYHREHLKATQDLYLYMEYCGGGDLSMVIK NLKANNKFAEEEYVWRVLSQLATALYRCHYGVDPPEVGSNIFGALTSTKPVGLRGKQA QVMILHRDLKPENIFLGADQSVKLGDFGLSKLMGSHDFASTYVGTPFYMSPEICAGEK YTLHSDIWAVGCIMYELCTREPPFNARTHIQLVQKIREGKYPPLPDIYSPELKSVIAN CLRVNPDNRPDTAGLLKLPVIRLMRKEKEVVDLGKALRTKEETAFQKMKELELRCAQF DKEKAAMRADLENSVRREWEVKARLEIDRQVHMEIDALRKRFEAEVQDRVVAELQKQR VLQGQMLPNEDDSIGSSTDISRSSVGTDDTDFPSSTDLSSLSLESPSSDHSKAARKYN RTPFSRAKTTLESPIDVQMAEPSPMSIASLSLSPRRMHLGSTARNIFADGGKKVPKWD AALMYSDDEEDIPDLPSPSRPQVKADPFKAPYRPLLRQNTTAMMQKLSNQPSLFPTKA PSQSAQSNSQPEMRTSEARAKSPHRRLSKIPSCTSLALDGGSPNRRTGIKPPGSKANA GGEEMFKAVMQRNMGGRTLVELAQARAGGRSLEELKRPGESRAPITGPIPARVSERDP PATWDPEKDEMPSPFLARGAKILRNLR UREG_05977 MTDSALLRKDELETSLHNERKLIQAGKLKEDNPLDLSEGFKELC EASRRGDLKVCQEKIAEGVNINGRDLFDCTPLILPYAAHIASLLTRDHPRTSDIVVAS ADESFPLHKFILSARSPYFRKKLAHCPEATTWKLPSTLPPQAFSAGIKYLYFGEPPRD LRSGPGTGFTESEVLAGIDKIATQLELHSLMDTILDSGDRRLARQRRTDEVAKVKWDR DNTIFADVLLRADEDLIETPDANETLLDSKDEATSKGIPIGPVSQSRPIPQAKSPRRS VLYPAHRAMLIRSEFFLAMFSSAFREAQMSKYLQIIHVDCSPDVLEVVLDFLYTEKVD FPLDIAVDVLYAADLLMIERLKTKAAVIISTLGNGNLKKQERPLQTTENEPHTTTLEG TSGTNEQEEDEELIDIYEIIRAGWLTRVQRLEEFAARYLAYRLESHIGRPEFEQLVLE SATRIQKRQETDSIELVDDIRYYLSDRFRLRFEDSGLDEMMEGGAETSITEFQDKMTM AQADASNSSSDVGASSLSSNALVPSSRGSEPSEDEAVDLCADVSTQSNRDVPAAPVFR TVDGEIAGDEFARDAMNYQILLNKLDRLLEKLNLDA UREG_05978 MNVSSLISCDQTAPFHGSATSYFEHHQRIRSPSIPKRSHEENSS SASPYPPFATLPLSPPEDDGKTTFSLPSISSLLQSVDAASDTHVAKRQRANPPPSIDL ALERRGACADQAIRQRPALPLTPPLRPESGMGGVNHSPSASSPPRTAISLPSLIGSYP SPVSEAPEGRRMSQISRHSSRTSISQSSQHPGPEARYPSPPTLSSPSFAAPIEPPPKP EYYSSGARPTNFPPVTFAVLPSQPTHPQMVALGSPAWQHHHYFPPSNTATYPLNHDRY ICRICHKAFSRPSSLRIHSHSHTGEKPFRCPHAGCGKAFSVRNQPRSQRSLIEKRKGY AIGFDEWVLTMITPTIRSTNEQIYTTASCKIANVAVININRRIAELRKSFRNRRSNGT LSPTKRRVKLAFSLDCQSTSSSRLALLPQSL UREG_05979 MIAWWAGVWTTQKKTGSPESKFVLKKALQKKKKGKKGGSFEAFF ADENNINTSWSWLVGAMWQEGMSFQPRQSSKCLNFVMIIIRSTARVLAKEKKGSRGSK NEWTKTTGNAGMWLPEFKIRDMRASGQRASIGGEKRESFMPP UREG_05980 MAPDRLGTSKESLAATRPPTRDRPVNAPNWHPSSPSGHAANLQP EPQRQRLAAEKGGWGCQADHPHVPRVWGNSVYEPATWWRGQLTLVRSASPKASPFPTD RSFGEAVGTGEIIGDDTAGSKMRRPPVSWVSCAWPVCSGSTASLKVQPQPSAISK UREG_05981 MAAGSAIGWSSTRMRVLTPGVVNKKRNSENHPCGIRLKPKKNEL PDKFHFCPDKSSLSAIPAMFLRSPDSQNLGF UREG_05982 MERNGISQVHWKRAVLLFSVLSYSPACLTENTSRSISTIGLNSD RIYLTGNRKPSLYTKGFTSCMGNPLISITRLDAAIYNSNETFVFHLEGSTSVPNSGVI IDISIFAYGMERFRLAFDPCSANMSSLCPLDVNIRIKEQARFSIAQLGVMELRPTVYK LPDFEGQVILRTSSKSSASHLSYYVSELTNGASFSHPYVIGPMLAAFTVLSMLSSFAL AIYAGDIESTRTHYAHGPSTLAIFAIFHHIYSSGALAVNWPSALVAFWSNYSWFAGMI YSQKMQSAIDWVTGNDLESVHRFNSCTLVTIAPPGQMYNLTQRYTKLSLPIGEGPSIR PGDLLARAPNMPVSDSSGYPSGSHSFQSHTGFPMVLSAAKISASNAFLTSLLWLLAFI GAAIAAIISLKAMLDFFIRFRLVRTGRLEYFRAHWLAYALATVFRLVFINFFFLSFLA LFQLKLGGSKAVVAIAATTLAIVSIGLLGVSGYALHFRLRGGKLTYDKKTCYLQKTYI HKVVPWYRLVRDDVSPEDDPDIKAIRLPCYTLRYRPSASERDHIHTDCNFLLRFSWLS ARYRSNRWWFFSLWVLYDLARAGFLGAAAPSGMIQVFGLLCLELISVILIAWAKPFEA TRLNVLMLYLLGFSKIATLAISSTFHPAFNLGRITTAFLGLGIIALHTTLSLALLVSI ATGLVSTYLSFTRYREIAPRDRPAKGLRQRYLNHVTKASSRKRRQESVSRSPVAFGSL RGPSLSASSTQGYPRVGDGYTTETPTGRFLSPTTSQVSFGHHFGSSFPQIDSTRCSHR TAERTPARESSESDRGVFEVF UREG_05983 MPVFTEFATSSRQLRVLPSFASPLPRLSPAFDKKEDRYEVVVVG AGPAGLMLNLLLARYGLSDESLLCIDSKSSTLLSGQADGLQPRTLEVLRSLGVLDELD NEGCHMHQIAFWNPSSDGGIERTSTVPDIAVRARYPYEITIHQGRIERVLETDLLRYS KRGVMRSTNLVDVKIDEEGDPEFPVVAVIETEQGQKTIRTKHLVGADGAHSVVRRKMG LMLEGESSDHIWGVTDFVAVTDFPDIRKRCAIHSDSGSVMVIPRERIQSGEYLTRLYV QVPGAVKPDDESTAANGMTEDAKREAKARRAQVNAESIFEQARQVFKPYSIRMRDENA IDWWAAYQIGQRMTSQFSVKDSRGKERVFIVGDGKALGPDAGQGMNVSMMDSYNLAWK LVYAIHGLTPDSKASSEQSDRILETYQTERHTVAQQLIDFDKAFAGMFSGKIKTEEES GLTHDEFLNVFQTGNGFSSGCGVEYIEGILVDKDVNDTVVGTDYLSGILRPGRRLLNV QVVRHADANPRNLQDDFPSNGRFRVLCLASTDLLISDGASAKAFTGINEIISKFPENL IELVGVYPSQLGDFYWQDVPKVIKQRAEMRFHSGSIDTVYKIYGVSAEKGAIAIIRPD GYVGAVVPLSGLQKVEEYLSRCVCKA UREG_05984 MAALAGTLAEAAPGSHKLPLFNGVKVVQASVDTSASPEFTGMTH ALLSVVYVKARSFVKLTDSWAVLDSFSCKGSTLCGRGVSAADCGAAYRIIDRNHRYFT GSGATQTGVCYKKCGIFVEGGNCDLSGKEMIDGYNQLRSRGCKICGSKTYNDGCRLVI NYVTGC UREG_05985 MDFSYFVRRNCPYFSRAIIKSILIAILGISIFALWFGMNSDRDN VHPILTQLIPAGHCACTTATIFNCTSCVDLAFKFKPTISPAPPLATPAWAFRYGQDDH NTALNTGQCQTAFPGLYEDVDRAVRFWISRGGILKKSLNTVKLQNGMARAMIYNGNLF IIEARAAQEDHRRKILAVLSSIHRALGNRAPNIEFIFSVEDKVEDVSGQGHPLWVLSR KATEKSVWLIPDFGFWAWGNPASNIGPYDQVVKRIEKFDLEDTMPWSSKTPRLVWRGK LSFAPKLRRRLLEVTRNKPWGDVKEIVWSRKSHFISMEDHCKYMFIAHVEGMRVTSPA PEDQAMALNTYHPGRSFSSSFKYRQACRSVIIAHKLQHIQHHHYLLQSSGPNQNFVEV ERDFSDLSDKVEELLANPEKAKRIANNNVKIFRERYLTRAAEACYWRKLWEGWASVSQ DSPISNNKSEPGLRFESFILLEGKTMLSFSHQA UREG_05986 MTRGSSPWCNVFSTDDWENFEYARDLLHYYRAGPGNPYAPTMGW LWLNRTTELLLHPSNEGDVFFSFVHDGDIAPMLAALNVFDQPDDLPTTHIARDRRWRT SQVMPMGGRIILERLTCESPGRYQVDNPANGEPPSSKSRFIRININDGIVPLPDCNSG PDASCPLSQFAERTRLRGEEAGVFEDICGLENWGNGGITFLKQE UREG_05987 MAKTRRLLREEITYSFAKQRRGYYDQQIRFFDFLNSQKDSIRSI VAHHLNLRSPNTCHVAEVEDWLYGSFNVCIPVTIVDWKGKKQPGDRVLLRIPLPYRVG EAFRPGNSDEKVRCEAGTYAWLQENCPDIPIPKLYGFSVSAGVFSQIDNLPFLMRCLY RVRRCLRSLFGYPTPSHYVGHRGGPELLNDEKERSNFLLNEYIEEDKGRMLSKSWLKK RDDPTLRMNLFRDLSRIFLSLARHPVPKIGSVTIDNSGFLRFENRPLPLGIQELENEE IPIDIPRDYTYSTVDSYIVDILGLHDSRFRHQPNAINNYKSWIRKTGKDEMLQGICVD RIRTINHTASSAKEILHQIRP UREG_05988 MSGHTDLPRSPKGTIYETEKGFDASLMIEVDPIKIKFIGELKTS EASSIFHVNYNGKPRVLKVFHNKGDPGYADDGIRDLNRARCEIRAYCRLKQSGICDQG YVPQFYGYTLSLNPAVHTPHLDSFQRDADFPSAILMEYLPNPSLMNCLTYSKTRMSKA VDGIKQIHSALIDHNDPYPKNILIVPGDPERVVWLDFDVAITYPNSAYIGERDRGWLE METARVECFGEMLVGTSNNFTACCLVLTEFPGRRSDTGPSTKHKILLSW UREG_05989 MYAGSVYFAYRRADDDDDDDMFMGICNRLRAATKGHALSLQCGY LTSTTRFLVHRKAHQNRKIALSSLASNPDAVSLSDMELCTAPPAQRYTVISLVEFWKI SRINGGMYCEVGTTGRLLDDWPLQSLESLFDFTVKEVDYSRPGLGPLVRLEHLPSWEP FQSDYIRLERVTVIFCQHLTHAPALVQEDVWSHFDPISPNPSPPCKHINYLVLSVKEL FMCTVCVQSLHGTLRTEPQPFLNGTDMPPRQSIGLAVSATAPSLQMLRLHLLPVEIQQ LILSRVSLGPIEPARLGCMLSLGSPFRWLDGGRPIQRQEVLTTKPTKIPVESHIQFDG DHSGVAYKVRQSNPRY UREG_05990 MEYHSVPPEERARGPKGGLLPYGYLYKDESRNPRRPPEETGSFG KRRNARYSSARSGTRTGTPARRENQNVAEFGRLFAIQQEEEQARRKLLPTATSSSIDT TRKTPDAVATECIIYGYKDRTAQWKVIDRFETISQGVVCEDYPRTDPDIAPKYPQLLT SGDVVVHRTLSADANKKAKKYAGGEHWIKVTFDSFAAADRACHFSPQDIDGYSVYCEL YNGHGPNEDVPIPKGSRDTIKQKLADPYTFGPPASNDFLRTADIDSAIIPRPFPPTSL KSDLKQFDDTISQQSTTTASSATATAPVSDNDALRHRFPQPSQSEFMTHIPTVRKAVL RPASEALPPQPSMGERIIRSIPILSWFSGDIVGDGPVLKEDGSFDYDKSNLYWRFWYM VDTALGTDLCGLKE UREG_05991 MDQAKLARLQQSVRIGGKGTPRRKTKKVHKSSGTDDKKLQTSLK KLNVQPIQAIEEVNMFKEDGNVIHFAAPKVQASVPSNTFAIYGNGEEKELTELVPGIL NQLGPDSLASLRKLAESYQNMQKKEGEKKEGEDDDEDIPELVGETFESKVE UREG_05992 MGTPLLRFMFYPMDQLKLLGSSMRQMVGKKFDPEKDIIDQSSKV ILITGGESATPIYSFNTSTQPLYTHELMRTPVGNTGLGKETVFQLAKHNPTRIYLAAR NTAKAQAAIADIQKHLSQPADIHHLPLDLSSFASIRAAADQFTSSNNRLDTLILNAGI MDILPHRTKDGYEMDFGTNHLGHFLLTTLLLPTLVNTAKKLESEGKPPDNWRVDTQS UREG_05993 MERRHDSSHKPTPSGNGVPSYFNMQQMYWAAVGAVIAAATLANI MNKVIAAQRFSDKTLAPSKPKSFFFRAYATGTAMVREFSNAGFRPLAIGKSTLHTAPV GRVSILLANLLVVMVLCFYKLNTMDQWSWETVGYRTGFIAIGQLPLVFLLASKNNIIG FLTGSSYERLNWLHRWTARTLWLTATVHMGFWFRSWGRYDYILVKLTKDPLTQRGFAA WCVLTCIVVISMAPIRRLSYEVFVLSHIATFSGFIAAVWFHAPAEVKAWVWIPIGLLV LDRVVRYAFMALANIPLLSLHRRPASTMNLSKWSLSATFTPLPGNVTKITIQNPTISW KPGQHVLLSCHSVLPLQSHPFTIASIPSDDKLEFFVRAEKGGTKKIFDHVSSQSQLRE QENSRRPCQLTKSVIIDGPYGRIRTLRQFDSVVFIAGSMGATFTMPLMRDIVEGWKNE NLGPETGRTSFALTKRIRFIWIVRSQSHLTWFMDQLQELMRDIDRCAGANSLFNKTRS LEISIYTTCGTESCPTLQSPLSARLASMSKLATAEKQPAVEMPARQSKPNSCCCARKV TDETAPSTPCLCSDLAPAPPPSLPTTTVTEIEVGPSEKGLPTPTSYSQPPLDSRITIV SGRPDTRTIIRSVLEQAEGESGVVVCGPSGLNADVRRNVVSLSDERAVHKGTGAQGIY LHVEEFGF UREG_05994 MSHSHGAAPQPVGHSHGGDPSSMIMAMVFQNFIQTPLYTVAWTP ATGGTYAATCIFLIALAMLGRGLMAVKTTLDQRWLNQARERRLIVVAGQTPESERVHN DPEAKHAVLLTEKGVEERVRVVRRAGGPPVMPWRFSVDLPRAALVTVMAGVGYLL UREG_05995 MHFPRRILALSSLFSLSAAHFVLVEPTPRGFSEDELSNFPCGGQ SVSDSRTKVSLNDPKISIALEMGHDQTAVQVLLGLGNDPGSNFNITLVPTFRQTGLGD FCLPEVSLQEQLMGVGLEDGMNATLQVVTNGDPTGGLYNCADITFSSTTEFAVSGKCK NGTGINAEAFSGDAAQRNANASTPNGQAQQGGSNTDPSGPAPTSSNIAAPLQTAGWGI LGAVVAGGVALL UREG_05996 MATAPFVVPALKKHSATVIMAHGLGDNAPWLTVELVVVLGGMPF CQSLAQVYKPGPVLTEVRMMLAQNWRRRGMFDEVSFIFPNAPPIPITINFGTVMPGWY DIATLSVTATQEEFVQRQDEPGILKSREYFNSLIKEEMDKGIKSSRIVLGGFSQGGAM SLVTGLTCKDKLGAIFALSSYLPLSNKIKELLPENWPNEKTPVFMAHGDIDAVVKFEL GQKSAEHLKEMGMDVEFHKYPYVTPISSVKRALAPMLTSLTKRTWTLG UREG_05997 MPIDNMIIVDKVLRVFTCGANSRNSPRPDWEYAIRDNRVLIPRI LLETGMNDMISSLSVTTTPEMAPFKQPGRSLKLDVGTPGRLDTLRFVTDLEREHPLVD DDIEIEVKGVSLNFKDVMIAMGQLYEPALGLDCSGIVSRVGPAATKFKPGDRVMTWKV GTFRTYTRASESMCQLIPEHMSFRIAASLPIVYTTAYHALFNVARLEKGETILIHGGA GGVGQAAIVLSQFIGAKVLVTVSNGTKKDLLQGKYDISEDCIFNSRSDSFAKGVMRMT NQRGVDVVLNSLAGEALQQSWNCIARDVQNTGLNMAPFIRNVSFHSINLLDILAYKPI KAAKIFQAVMELVRQNVVKPLEPTVFMPFSRIEESFRMMQTGKHMGKIVLEPTGHDIV PVLPRGLKPYRFRSNATYLLSGGLGGIGRSIALWMSNNGAKNIVFLSRSGSKKPEAQE VMDHLVKRGVKVAVYNCDVADAQQVEKAIRQCGMTFPPIKGAVQGAMVLRDAVYENCT HEQWMGAIRPKVHGSWNLHKYLPKDMDFFVMLSSSAGIVGSRGQGNYSAGNTYQDALA YHRRLQGQCGSSIDLGLILGVGFVAQNKEQRITGNLQTWNFVGIVEKELHALLQCAIT GENAIGNRIPPQMVTGLGTGGMANFAREKFPWWFADAKFTHLKHVDIHKTTADQGSGT VQLQSLLAQVTSVNDAAKHVTEALVQKLARSMMVEAENIDSGKPISSYGVDSLLAVEI RSWLFTEVQADISVFELLSNVPITTLAGNLVMKSKCVPRAVIQQG UREG_05998 MSADSAANGSPQSFMSDPVMPIAIVGLAGRFPLDASNPEKLWDM IAKGRSALTDVPKDRFNIEAFYHPHNERKGTLNARGGHFMGQDVSAFDAPFFSITPNE AKAMDPQQRMALECTYEALENAGIRTEDVAGTQTSCYVGSFTGDYGNMLCCDRENLPL YSALGTGSAMLSNRISWFFDLKGPSISIDTACSSSLVALHLGCQSLRTGESHMSIVGG TNLMLMPDIMSSMSSMHFLSPDSKCHSFDACANGYSRGEGISFIILKPLDAAIQHKDM IRGVIRHTGVNQDGKTLGITVPSAKAQESMIRQLYAEAGLDLGGTQYVECHGTGTPVG DPLEALAIAETFGKARTPGDPVFIGSIKSNIGHLEGASGLAQVTNSVFALEKAEIPAN PWFNKPNPRIPMDKWNLQVPTQLMPWPSEGPRRISINSFGYGGTNAHCIIDDAYHYLR DRNLNGNHNTVQDYNDHSPASSNDSGVEVKQDQILSLRYGNFGVSTPRCVSFGEPDIF VNPGSKSPKLFVLTSNEPSGIDRAATVYHDYLLRRVETLQPPGVEQLFEKLAYTLASR RSILPWKSFAVASSIDELAEKLSNSLVKPIRSSKPPALCFVFTGQGAQWWAMGRELCM HQVYQQSLEEATRYLLSIGSEWSLLGELWSEQESSRIDSVVISQPICTAVQIALVDLL HYWGIKPAAVVGHSSGEIAAAYAKGAISRESAWAISYYRGQLCGKIPELAPHLKGAML VTNIGRGDVEKYLNRPLDGNATIACINSTQCVTLSGDAESIHQLETMLKADGHLARKL KVDAAYHSSHMEVIASLYMETIANCRSLPDNNQGVKMFSSVTSKLIENTDLDPSYWVL NLVAQVNFLGAIETMCGYSSSTRARAIRPFVDILIEIGPHSALQGIVKQILNARGSKF GDIVYMSVLHRNEDARKTALNTIGRLFQHGYPVDISAANNDHTASGMDGFLVDIPPFP WNHTNRYWAEGYSSRNYRFRKHPRKDLIGAQVLEELPHEPRWTNTIRQSEVPWVEFHR VQGTNLYPAAGMVVMAIEAARQNADQSKEIEGYELREVMIRKALVIPSDDTGIETMFN FSPSQPGFEGSDTAWQKFTLLSRVDGIWETNCTGLLLMKYKTEESPIFLDENQAANKV YEHECMQIASECTISKSNNQFYKHLASIGIHYEGPFQNLLDIKKGPFKTVCALKIPDT KGFMPHQYEFDHVIHPSTLDGIFQMGLPAVTDLHEDLTIAHVPTSIARLYVSADTPVK PGSMLRGYATTEGASSSDGGLAVVVSTPQWTKPLVIIESMKSTTLKAAETAFAHAVSM RKLVSFLHWQEDVEKLSSEDLRFLFDRALGGTGNIESRLVEDLEFASFIYVRRALRAI SLGEAKTFLPHLQKLYQFMQSTYERVRRDAADCQTQNANWLNTTEEFETELLARVSRG STDGAILCAHGENLPSIMRGEVLSQEVLMKDNLLYDFYQFGVGSTQINTQLSCYLDLL AHKNSDLKILEIGAGTGGTTAPVLEILGGQNGTSPRFSNYTFTDISTGFFAKAQEKFI QWAPFMTYKRLNIEEDPLIQGFKANEYDVVIAANALHATRSMDNTLTNVKKLMKLSVP S UREG_05999 MEMSSTKNRLLVVATAGGKPNAEPLLEIAKILNTRGYSIEFATL EGQEKWADKYPFISKVHILGPGVAPAVQRASYARMMDWKAPRLFNDCQPLFDAKMFLE SSWPSVYSSLSVLMQDRDARPDFILADYLVDAVIDMHAEYRVPIAMHWPQMPTGMLPA SYVPGIPGLQVDVLSSENATLWQRLQNELVKIHILPWVIKYYWWRRKMRARAGVTRSL PSGPKPSHLVLVNTFFAVDTPKDLPSNVAPIGPIMQDEYPHLTEPCLSFIEKHKKIIY VAFGTHILLQDKLFRQIMDGLLVVLQNRVADGVIWSIGGTHRKHLANGCDRNMLFVNF APQRAVLAHPHTRAFISHAGRASTNEAVFHGVPVVSVAIYFDQLQNAMRLHDAGVGIP LDKHDFNADDLANAVTQVVKDSSGDFRHNCLRLKAIARIASRRKHSGADLIEEVLADH EGRHVDSVCKRPMHLQTADVRMPVWKAKNWDLYAIAIVASGLFALSTRVLVNWFY UREG_06000 MGTSSQILQSQLAPITAAFEGIHEFFYIDGIGECDPADGVEEVF PGPYFCHYNLPTHNLVSCAHEFVLDIMELEGPFDGVIGFSQGAALAASMMLQHAKDNP EKEELFKLAIFAGASLPYNLDNVAGPAELESKVEGSGILETEPVAFPGPVHLSSAPFL CLYNPETETETARITVPTLHLIGHQDPFSRQGNSLVKLCSGITTVVRHDSGHEIPCDK LFVQKATASLEQLIHTVTFQV UREG_06001 MPAGKPRVKVACTLCHSRRVKCDRTEEVACTNCRLAGQDCQSII SQRGKYKRRRTEPGLVQPSQLPVNGDGSAHYVSEDTPVVNLQPAPSTVQEVSGLTMTS GTVPEMATGTSFSGSSELSTAGRESEMLYYGDAFNLSYVFNEMCNPFDKPLDKCSPEE SIETAKALYDANYESDAIVNLQAWWGTPTEQKYTWHWMGIATSLAQSLELHRSKAYTS LSRRQQKFRKRLWWGIYIYDILEALILGQTPHINDDYCDVPKLNEDDLTEDDEEAINL CMLGNSTAESKLYLLYLADLVSKAFEAAVKIVRILEDLLSVNALAFSPLHINRDPVFV SQAATSNPFASSQYSLNSEVKSSDIPIDILSTANTTTQTIDPFTMASLPVGSLLMNAD FGMDASLFFQEDDDG UREG_06002 MFRFHKPLDIVTLFHKPALPASLRALALLKQASATAAETASGIH PTAEKNFRPRREQFELDVTEDPPTPDQLKLIMDYMGGGAVGAGKPGDLVQGARDRLDA LRRLKEDGERFVRPVVVDWNNGKAVLGTNESAILKLLQQEPPHTPDNTP UREG_06003 MAVNMRSQSPLGKRDMRPSQIPSLIHSRSNNDLYDRPRTPQHYG FTSPLHTPHGSPSKSRLPPGATDLPSIFDNAMKLTPSSPSKSVFNLSGLSPGKGQIGG GEPSTTFTESVIHRNTPASASPTRRGNQENAPPGGSRLGKEPVFHSNQAAISRQEAYQ TRGRERKHPTQTRGLTTEELEKLQLPNVKRLANVAQLYFLDHYFDLLSYVHNRQTRQS QFKAAYPPPPATSRVEYEAALNKYLGRERAHLRKRRTRLRHADFQILTQVGQGGYGQV YLAQKKDTREVCALKVMSKKLLFKLDEIRHILTERDILTAAKSEWLVKLLYAFQDDEK IYLAMEYVPGGDFRTLLNNASVLHNRHARFYIAEMIQCVDALHALGYIHRDLKPENFL IDSTGHVKLTDFGLAAGMLSPGKIESMRIKLEEVGKTPVPFGRPMGQRTAAERREGYR SLRKREVNYAKSIVGSPDYMAPEVLQGDEYDFTVDYWSLGCMLFEALAGYPPFAGATV DETWQNLKQWEQVLQEPVYDGPDYFLSRRTWDLITRMVASKESRFKNIKEIHMHEYFA EVDFNRLREMQPPFVPDLDSETDAGYFDDFGSEADMAKYKEVHDKQRALEDMAERDDK MSKSLFVGFTFRHRKPAMGTDGRTSPRKAIPTDGSFGTIF UREG_06004 MSAPVAFRYSAQAQSNYKPPLIANDNAFLGDVFTSEAENPEKPM CAGFYRLEKGTPLVYTYTYDEMKIILEGEFEIADTTGQKVTAKPGDVFYFPKGATITF TTPSYGLGWFTGQRKEGGA UREG_06005 MDTLRVHDNRILHQPNAINDATDYNSQAATLTAMRVALPSFLDP DFRRGPFVMTFTDFNQSNILVDENWNITCLVDLEWVCSKPVEMFHLPTWLTCKAIDEI AEESEEYGKIRQELVDAIEAEEETLLSSKVCSSQRNNKLRLSTILNKGWENGSFWYSL GVASPAGIWAIFRREIQPRFLARCPRHEGFHSIMPWYWSTEFVDIAKRKILDKKKYDI QLQEAFGLHFNDSIDKFKS UREG_06006 MPMEPKLSPGSSEMLLMRFDRRTCGILSVKDGVTENPWRTLVWP LAKESPALYHAICSLAAFHCSKEDPHLKVFGMDHMRQSVRTLAMDIGTMRTDAALATT LALAFAESWDRHISNGIQHLRGAKVLMNQALENQRHFSTRRSDFARLRFLYNTWLYTV VIARLTSLEDNVFDDLPLPPEPSPSIRVHEIDPLLGCAATLFPLIGRVASLVRKVCST KSNSIAVISQAIELKTLVEQWEPPKYFEPPEDPTSDVQHSFQTAQAYRWATLLHLHHA VPEIPSESASELAKRVLVLLATVPLSSRTIVVQIFPLLAASCEVDNEEDRAWVRERWA AMQSRLMIGNIDRCVEIIHAVWSRRDDYHARKLSGQQVSYVVPLDPTRRASVHNTIED DDLGSLELFDQERRQSIPDLSSLSFGSPSLSPIPVPVRRGSMNMALESWEFETTVRGR LHWLGVMRDWNWEVLLG UREG_06007 MASFVGTYMEREAQDLLVENMSKNLADADEYPALMDIHARCISM IANMWHPQPGEHPIGTATTGSSEAIQLGGLAMKRRWQEKRKAEGKDASKPNIIMGANA QVALLKFARYFDVEARILDVCEESHYRLDPKEVKKNVDENTIGIFVILGSTYTGHYEP VEEISNILDEVEKEHGWDIPIHVDGASGGFIAPFTHAGAGGPKWDFNLPRVHSINVSG HKFGLVYVGLGWVIWRDRQYLPKDLIFQLHYLGGTEESFALNFSRPGLQVIGQYYNII RLGFDGYREVMENCLRNARLLSKALERTGWYVCVSDIHRKKGEYEFRGVGGVQPYHEG ETSADYNEGLPVVAFRFSDQFKNDFPDVKQESISLLLRAKQYIIPITERVMASEPIDL AALQGKPTSLARRQGKPEAKPLKKVTRAKAGEGTHHPMAKGGHRSVC UREG_06008 MAIATPYRIGVDVGGTNTDSVILDTRATNTATRGVVAWHKTPTT SPNVTDGIEAAVRSVLEESKAPRDQIACLTIGTTHFINAIVEHDARRLSRVAIIRLSR SFTREVPPFSDFPTVLKSIMNGYYCYVDGGLHIDGSQEAPINEDQVVKECKIIKEKGL DAVVISGVFSPIDDHFHQEDEVRRIVRRELPDVDIVCSSEVSQIGYLERENASILNAS ILKFARRTIRGFKDAMKRLDLNCALYLTQNDGTLIDAPSAARLPIRTFSSGPTNSMRG AAYLGLNNSNDDAAEKTATIVVDIGGTTSDVGVLLPSGFPRQASAYVTVAGVQINFGM PHLESIGLGGGSIVRQEGDKVTVGPDSVGHYLSTKAKVFGGDVLTATDIAVAAGEKIG NPELVKDIDQATVTKAQARMKTLLENVVDLMKTSPAPLPVLLVGGGSVIAPMELQGVS KVICPPYHSVANAVGAAMSKVGGTVDMIQSTESQTVAELVEKAKATAIERAVASGAKR ETVYLAEVDALPLQYVANQVRVIARAVGELSPEGVPLTNGANWDEEAGDEEIYKETAK RDAHLDEVDPAAVDIATYRPKVVKNPKTGVQEWIVSEVDLQWLADGCYVLGCAGGGSP FGEYIRLRDQIRQGHIIRVIDVSSLGKDDLIYWGGHMGSPAVSVERLAHNETEQAFLE LMEYLKHDSIDAVMGLEIGGANGLQPLALGSTKNHNCPVIDADWMGRAYPTYWQTTMC VYESKQLVPCAIASGDGKTIIMTKTTDDEIVDRALRASCAEMGSRVGMAAKPTTTDRV RNYGVINTVSLAWRIGRCIARAHANNTLTTVAEQMIDEIGGPSAAKVLFRGKIIAVER RLFKGHSYGEITIQQTQQSEEEESAGPRAPAVAVGGVIKIPFKNENIYVKHISESGEE KYIAMVPDLISVLDTQSGKALGVPEFRYGLMVTVLGIACSPRWTDTPRGLEYGGPGAF GYKNIKYTPLGTYVEPKSVVAEFAEE UREG_06009 MSFRRVVQSLYVPSSKETSSTVWINDDIRPLPPKRRTWNVYAFL SFWAINQIALSNWQSGSSLVAFGLSVWQTVIAVVVGKLIIALVAVFNGFVGAEWHIGF PVFSRVVWGIYGSYVALLQRILLSVVWFAVQSWTGGLCVTAILASIFSGFHNLENSFP ESAHMTTKQFTGWVVYNVLTIPILYLPPEKTKRLFYVMNSISFVTLIGMTIWALATAR GGGPLLTQPATASSSSDLGWSIVKGITTVVGGIAVGLTNQPDYSRFATKPGDQVFGQY FSIIVLGSIMPTLGCLVSSATQQIYGVALWNPPDLALKWLEADYNAKSRAGAFFAGTG LVVCQLAINTIDNAFSAGMDLSGLFPKFINIRRGAYIGLIISIALCPWELLYSAGTFI SVLSAYSVFLGPMCGMMISEYWIVRSRKIKLSDLYHPRRDGIYYFWYGMNPRAFTAWV FGFAPLLPGFVNAVNPSISVPEGCVQMYYLAYPLGFAISFVVYIVLNKVFPPPGLGEV DDVDQFGTFTPEEAARLGMAAGDEMIFNDFKDGEKPSSHVV UREG_06010 MPRLLSGLQIFLLLTLTGLTPARTLFGRDRHETIFNSSIAHGAS KVQLLLGSSGFDGPKLDSVNASVYDWWYFQAMARPFSSFVIVFFTSSANAFPFLIDKD NVLPVWIWASFDNGTTIRRQTHAEVARISTLGDGSSGRYEPTGMHWSGSRDMSKYDIS VDNKELGIKGTFNISLGTSPVLPCGSPKATKSMELMPNIGWANAVPFGAGDVNMNIDG SDLRFTGLAYHDKNWASVPFSQSVRSWYWGQAAIGKYVLIWFDIIPPSASNHAEYKRI HIFDKDSRRHLYSSCADDAVAARPYSKDGTPLRFPPKPGDPVPGVMRIVAETPAVDIR VVGGKRIAGDGRAYTRLFGGVEGCVYGECASEGMAIIEQMVL UREG_06011 MGAAFDALNMQQSFGQRRLTSATLPSFELPNPQAPPLSQQKHQL HSTITAAQSSISGVKVGNLLTPPANSASDSPSTIAQGRNNSHSSTMSDSLAPSYGHNA VYPSPLSPSPALSATSSHQQQQHHEQQQSQSQQSQQQQQHQPPSHQSSVPQGFSHPTS GPGQQQPAPQTNPLDPYAHKYTYGGPQQPPTSPTNYNPYQAGPSSLHPSTTGAPAARV PGMPVLYPVDTQQPLPQFSRPYPSYSLPAMNGPIMSNIHSPNNQMALIGSMQSNLLPG FNSGHAASLHHIYSGHPHPHHLHGLVPPPQNDRPFRCDLCPQSFNRNHDLKRHKRIHL AVKPFPCHHCDKSFSRKDALKRHILVKGCGKDPASDSNNTTTTAVGATLKDEGIGGTV SPSLGTKSRHDK UREG_06012 MTFLQPFPTCLLARTVVPTWVRGVATVGIKLPALRIIVAKDKER SEQGQRVNSNNRHALPPRSQRAEAEIYKNEPKRGAMVYFYQGEVASRPKRSNNSFPGV KKK UREG_06013 MAAPGEPILGSLYIYAPNKVAPVIFTVLYGISAGGHIWQCYHYK AWRMIGLHPLCAVVFTAGYALREYGAFNYLYDHSTLNLITFIVSQVLIYVCPPLLELS NYHVLGRILYYVPYFAPFPANRVLSTFGGLMAIVEILNSLGVSLKANPSSSSNQQHLG GNLTIAAISIQIGIIVIFLILAGLFHLRCVRANIRPKPIITPLFVLYASMMLILVRCV YRLVEHLGNTTVDLDSIEKLRALSPILRYEYYFYIFESTLMFLNSALWNIWHPGRYLP QNYHIHLAEDGRTEINDEFKDDRPLLAKAGHVLTFGVFFGKNMKHHRVPHQLNNYPPN SP UREG_06014 MGEGDPPTVIYVNFTSKKIPEKFNARVKELDSMMKLTHQWLDTH AGLQVKARKAEGEIASDDSPEAKWKRSDYRIKVVDTYLTENCSWVYTPRSEKYTKSIK ARKVDFHFELVKNVLAGLIVPTSLTQQLEAIFKGISDTVMKTQHTAEKRAVWSLIQVY TYDEMRDDVRCSLRCISYSIDQDMKKIIVGKASYESISLDFSYLNGDYHFNEDTWKEV KSEVNQFITGRAVKQIRDTTKVPV UREG_06015 MANLAVVKEPATAMKSIGQKSQTPNDYGTPNLLFLFYIPPVPDS EREKLNSLKADIQSWFAFEAGKAELQVEDRLEAGELTADDSAALRVKRTIYRAKVVNF YRNDGQAWAPRSSADKGQRDITAKKQDMNGVIRKELLDHYIRSGVVPAQFSFILQAVN LLIAANPDWEHKYLFTHVVFKYNDAKKAITPYIFVSDFEVKLPELQDDDSEESVAIDY SDYKLDFVRATWDKTKGEAKDFIASGERICRQMSLELCIN UREG_06016 MATVNINGNEYKPGSGHARPHGISLSEPRHILLQTKHATPLKKT EKGKLKDEGVHIQEYFSPGTYVCAYRGNGLESVAGLPFIQSAESYPDEVVVHQALKDS TPGPPTARTVDITLHNDATSSAELKQEIADLAKTKVEDVKGDGGKITVTLQPHALEAL TAFAGMDAIRYIHEAHEPRPANEITRDIIHGNARIKSEIYKGTGQVIAVADTGFDIGD QTDTLSAFAGRVKRLYPLGRPDNADDPTGHGTHVCGIIVGNIKSQHYQHIEAPASEAQ LVIQSCYKTKNRTLGGLPPDLADLFRVPYVEDGARIHTNSWSTAPMYGQPPYNGAARS VDEFVWKHQDMVICFAAGNDGRDGAKTGRTDPGSISSEPAAKNCITVGASESARPDIT WDSSILSTKPKEFTYGEYFPSKFPKPPISTDHMANNVDGMAAFSSRGPTKEKRFKPDV VAPGTCILSTCSRRLKIPFKHYGISKDKELMFDSGTSMATPLVASCCAVIREALIKNG CPAPTAALVKALLINGAVELKGQYEPSEAKPTPNSDFGFGRVNLENSLIPVGRGTTGG YIEATLDDDEEKDPYKLEITVPQSNDGHSKSTTLPTLKVTLVYSDLQGAELQNNLNLA VISGDVERHGNQGTKEFRTEGPWEQDDFDNLNNVEQVTWEGISPGKVIIKIRTAWVTS DDQPFACVWQVL UREG_06017 MVCMALSHRMNRTRDEPGNNALAVPFYRYRAVALRSLNAEIDDE HKRTSDTIVAGIITLLLTDYVMAVKPVLTWHKKGTYAIQSIAAIGNTTSPASQLSMTS SHLDELDFLRLHSDYSLPLLQMCPPALFGEVVKINHLRTLGVLAQTHGPSSTENLCQE AYGILSRVCDFSSEKWADSKLSSTEDWILLGNVYRAAVSIYCISSLQSLAVLPSTIAL EAQYAALAQLLHLLLKESLLSPRLKSFMLWPLVVLGVESVRGGVVMRAFVKEQLVEMS RHAGTYVPLTAKGVLETFWASGNTSWDSCFDKPYAFTTRIAVDMSRILLR UREG_06018 MSLLVSSFPPFICLGPGREELPQGNTVPKCSEERPRMLDVPFSG WRIDRVAEGAEAVSGTNGLLLGRTDILAETIIALPARSVEHHASLSSNLVLSAEEAVS LYWWCLAFESTRRRFRPNAGFPAWDVSRRESLAPRLPDDTERRATASEQERKADGHDV KVRLISTANTTPQSNPPVSRCSVIIASGFGLSSICFFVIQEPNPVFPASPPEKALQPD IPSPQHEGKILFASLSSTQLKAPDRTDPLICHPPPPIHLCGGSSSHPACLASVGPGPD TPPNFDGKLESSFHENVVRGGVLRESVFPHWDNGASQGGLDNTEEMQKQDPLATQIWK LYSRTKSQLPNQQRMENLTWRMMAMSLRREREQVQSRVDRTPRREIETGFSSPNQISS QAQNTGHSDLMNIDDFVVSSVGSPAALSPSPPVGQLTHDHAIASAIPIKTKEQDGSPA GIIMPASFPHAPRDERRNAEFGYVPRRVRKTSVDERMTRKRPAEASPLVPPVNSLIMP NDPDFDATMEDYSLDQCQASLAINNQMSPALNLDTFPVADDQIITSAGPYNQSFTFSP AESPLLAHTAFQNMYNHASIGSSLNSADVFSPTHSGYQSTVSTPHFTFDTERSMYFDH ASIDARNQRQMGGFQSTRPSNLSAPGNPSYRYGQGQPYGTGASVLSNTMHSAGLSMRQ SMDTHQVLAQQNFSSTQTHGHVNRGNRGQMFTFGGDSDNEDESGTSFLGKSIPIQHEH LITEPINSSSTIDWPTQFSSMPNFHPHHRKQVTIGGAEFQDTSQEWNEGGSLGRGHGS AASVSEIRNRERDPRRQKIARTISTPNTTQLLQQGSNNSQSRTSPSSPGGSAMSSANP SRPASPGPAKTADQPAAPTTCANCFTQTTPLWRRNPEGQPLCNACGLFLKLHGVVRPL SLKTDVIKKRNRGGGNNTTSAGATRTSKKISRKQSVQQPTSNPVGKMNIAESPAPITG GIPANTDPTQLVGSTKLGVVPIAAAPPKSSNVPSTIPSSAAQARAPVQVTPRRIRRVE KPAVLPLSHSRPTFEAEMRDVREENSKTPTLSHRPSRLAPLYSRPPPLAAVDPAHHSL AAGATPSNSQEWEWLTMSL UREG_06019 MNSHRQHDRCLPSSLAHSPTAEAMDGPKQRAQDNSNSSAPSLID LPTDIHVQLVSYLDFRDLQMLRATSTYFRSLFSESEITKARRDYIQALQQKEVDEVLM DQERKLSVQTLGAVPENNEPDLRLTCYTCLRLLSTQNFADTQVMRRRRKGHADAWKRF CNECAIQENRWEPGITLSFQRRTMIYCRRCRAIRRVPANETLRTFGLCQDCCDDTGIS RFEKSYIFDWYEAKMLIDRFFSRYNSSRVVVTEEDWLQLENELSKLGQQT UREG_06020 MIGFGFHGSGTAVPALVMNNRSQSQVLWRVLLCDLGVELDAGRF FFMHTAESKNAQHSRWASGQSSFARLGALNHTSCLKPPSQIQNVSSPPCIDNAVSTAS PQTRTSGCHEHEKKSNQREKELEAEHKWEHGTAR UREG_06021 MEAPNDFISQESPQGLAMEGSAGVAADKKRNKLGYHRTSVACVH CRRRKIRCLLAPDDPQGRCENCIRLKKECHFYPVDQQPPVEKRSRAGSKAEPPTPDAS IVTTPTLLGRGPMMEPKDSYFPYPSMPVNETPEMATFNPGSYGTSTMSPYSPDPTTAL GAVQPLAQPSAWETPSLYDQQLSGVPPTIQTSPPAGAIWDQNTPMTATISSLPAMSST VNPVGLVPGNNTFGIVTDGSPWNLQSSATMPISSPEVTTPGYAGQLHAPLASEFKPPI GNASRLYPVPMSAPVTVLQPPTLPVSYDIQQQTLAYNSWGAINGPDISTSSQPIVTGI PDPMAPWYAAGDPVQYAHIKQEVPMVTSPLQPFDPGVPHPMQKP UREG_06022 MPDFFDGAPADISWYPPQTDEQKQKLGEFFQTKAPPPNTLPRIP RVLEEANKLAPGGSGFKSWGIMGYCWGGKIASLASGKDTPFKAAVQCHPAMVDPKDAE SVTIPMAMLASMDEDADTVLKYKENLKVPNHVETWSTQIHGWMAARGDLSNPEVKKEY ENGYKTALAFFHEHM UREG_06023 MHGPAPERSFFGGLGMCWTDVTGARSPLRAPKRPRSAHFALSAK ILGPSYHHIPKVTSLLQARRTFLPLDYVLKLTGRPPRGRHFCRNGEKAIQKTKLRPKR ILGPQWRLEIEQVQEDSKRYSSSSSENESIASSATETGPNTPAALQEVYEAALGGHSD HDGLIHQPDSAFSEQELKDIFSGAPHFLLEKGRNSLWYPHILFPWDDNTSIQNLRDRK PLHHASHSLSTLHAHLPVCHEQSGQNTLKYWTQKAENRRPTFDLGVFEVPNMLSARAK EPGCVGFRNYLELPVAHAFRYIAHTHADHHHKDIFPGTLSGRPNDPYSYCRPRIMVDR GDLLREGPTAWRRLGVRYCSVKAITERMERLCSVREDVMCRCQRSTILDTESICQLND ELFSRFLYPLPKELRDFVPAEPGSLKYQIVVLMQVLGVKGAWIDFSLVEWRIRAGQIL WEAPPHQDGDCINCTDEANCGIERKWLLLQFVLTAELVLRVDAAVKLGIIAKSQNVLI TPHDIYQMNELRTHQIDWGIITGRRAAENLMFQYSPFENDEDQAPPLTKSKTTWIKEK FSRSKQKVPQMEDCAWYGRLIPRFPLRQLEGLFVFAEALEWPGLDGIKENLRFKFSAA LKDRQSMIAAFDSPLRTKAAACSQHRLERQDMYRKSVTARLIHLQVPPDEVEKDKLYL GGWPSRSWFSGFVIPGESTNDLLISTLLENDPQALKTLGPIANLYGGFIYQNRSYWSK VCIVGRVLCTFFPRSICMGWAGCNILPRCDDGERIQDSWVEVSVKDNHAPRGEARIYQ GSKVLLESSPLGAEGDLTPRAFSLPMDKTDTECLATTIQFEQLTLSVHNREEQESKAD TLQKALALVSFAVSQQSSRSETVTFPLTFNVQFISSYTCLPPLGYIAHLCSHQSDAQA EPSYHRHVHPNVPLDEEADGDLGETEMLARKLRPGGQPRLPGHPLHVVSYPYSYIPIA ALAKMLVVRQVRPRISFMMYPDEFLSFVDPGRRWHRPRRRQTYIVDARGSSDKEAYAR AWCSAVGTDAVVARVGRTCLACSIREARAANVPVVRVGALACEGGIKDAR UREG_06024 MASLPVSPVSSLSPTHSLPSVKDLEAGYVTRTKVVMKDEGVQVT MTELSQAPRRKPLPQSAFGSIEHQENAAWSTKKYPFSAFSVAMLTEKLKFGGNKKKQR MFILGVIAAIVLLALVIGLSVGLTLRKKTSNLPLPLNNGGPYSGDLTYYEPGLGSCGI TSSSSENICAVSRILYDAASTGSNPNENPLCGLKLRLKRGDKSVDVTVVDRYKAPVNV PQN UREG_06025 MGPEKGFRTASGRCDRMNTGVLIVGAGGLGCPAALYLAGAGVGT LGIIDGDTVECSNLHRQVLHRTKNVGKFKVDSAIEYLQELNPHPKYIPYRTRLTPQEA PDIFVNYDIILDSVLLGKAVVSASALRTEGQLMILNHPPRAPGDVSGGPCYRCVFPIP PPADSVVSCAEGGILGPVVGLMGVMQAVETIRVITSPLTADNGGQSIVPALHLFSAYS TPPFRNIRLRKRRANCSACSAHRSISIDSLRSGSTDYVQFCGSVNPPSSHAARQRITP AEYNNTYLAGASNGESAQTPILIDVREKVQFDVCALSNSVNIPISQILASSRPPIAKN NEGVREDLPSWLPGSISNSASPVYVVCRQGNDSQLVVQKLRKLGIDRNGERTVTDIKG GLKAWRIDVEPDFPDY UREG_06026 MVRTRRLRRGEVTYSWARNAETNILVALEDHKKTIKLCANLLKK SALLQQAAAHHLRLSPEQCEPSHPKSWLFGSFNVCIPISVPGNKEVLMRFPILHRIGE SFRPGNADEKLRCEAGAYAWLRENCPSIPVPKLYGFSLSTGQNFTAIENLPPVPRLLH HLRRRLLKLFGCAVPSAYIPQEGLDLSILKAGYLLIERIPESYGRMLSCTWEDKRHDK GLRANLFKGISKTILTLAQVPVPRIGSFMIDDSGFLTLSNRPLTLEIMDSESQQIPVD IPRDMTYSSVNAYVLDCLSFHDNRLHFQPNAINDSPYNYANRCQTFF UREG_06027 MIGRWLSDLPMDDPARKSTSKPMLRRFILHTKPIKYLHLLGSGT EGVVYRVEIEGKEYAIKVFRNWTYTGTKLKGRAQHYTFPIANEARAFARLDSIGQNGT WAVKCHGWMKISGRQRLKSMREYTCWAIVKDYLPHPVSISDIPEIRRKMKIARKALIH PSDTQPCNYRDSFFVDLGRVKTHPYPPQMWSNRERREFFTWFDGYASRWEVSVRDGSV VEGWLNQEFKRSIAEVEARNKRDEAAKQRKLLATVPDATADDQSDWTSNSS UREG_06028 MQTAQTESLQNCRRREKKKNEKQEKQQTVNSSQADTMAPVRPKS NSKSAPSSRDTPSKGNEASGFADSAFHTSKKDKRVIKHSTFVSKIEKSHKKPLKRRRP SKKLVANLDSLAEALPDADDNPRADSQVNIIKQKSLKHRPGAMKRKEKLDQFERSRFA KNMAQMARMESTGPASTSDGTPTATQSSSSNRWTALRNFISHTIDQNPEFKR UREG_06029 MARLSPYTRKHKVTVVGSGNWGSSISKMVAENVAANPDLFEPIV NMWVYEEQVEIPKSSRHYNPSSPLCSGPQRLTEVINQLRENVKYLPNITLPENLRANP SLEDSVKDSSILVFNLPHQFIVGICQKLKGHILPFARAISCIKGVSVTDQGISLFSEV IGRELGIYCGALSGANIASEIAKGLYSETTIAYDPPHYDSKAPTPQNMSPASSAVNVN EIAHFQHKDSSGSYSAVKLRPMPAEYPPVDQALIKTLFHRPYFHVRVVDDVAGVSLGG ALKNIVALATGFVDGKGWGDNAKAAIMRVGLLEMVKFGKRFFGETVNPQTFTEESCGV ADLITSCNGGRNHRCAKLAVERGLTVEEVEKTELNGQMLQGTLSAREVNAFLKKQGLE NEFPLFTAVYGILAGTVKVEDIPALIER UREG_06030 MAAPTQVYLLPLKSDGAPDIPGGYIYLPPPSDPPYLLRFVIEGS SPICRKGNLWVNIPAPKDCFESSKFRKFSLLSNLSDDPTPSPHAETRTETFYIDVCPD IRLNGERMPVESLSIFSVVSKFMGRYPTGWDKHLKGIGQRGYNVVHFTPLMTRGASNS PYSIYGQLEFDRHSFPNGEDDITDMVTRMEKDYGLLALTDVVWNHTAHNSKWLQEHPE AGYNVETAPWLEAALELDDALLKYGDDLATLGLPTEFKTVDDLATVVHQMRPHVIEKI RLWEFYTVDVKHGTKSIIDAWRSGNIEYPKGGFGDVGVGGLDQIRQWPFEKKAAFLRK KAFENDNRVLGRYSRRVNPQIGAALLTALYGRFDETTTDSQAVEENVTKTLDALNLPL FQEFDKDVSEIFDQLFNRIKYLRLDEHGPKLGPVTKDSPLIETYFTRLPLNEVTKVHS SKSLALLNNGWVWNADALRDNAGPDSRAYLKREVIVWGDCVKLRYGESFEDNPFLWGY MTQYTKLMAKYFAGFRIDNCHSTPLHVAEYLLHEARRVRPNLMVFAELFTGSEEADYL FTKRLGLTALIREAMQAWSSAELSRLVHRHGGRPIGSFDPSLLSHWCQREDNTILNHI RQTSVHALFMDCTHDNEAPAQKRDARDTLPNAALVAMCASAIGSVMGYDEVYPEHVNL VTDGRSYQSSFSEAEVQGLTGEGGIGGLKKLLNKLHTEMAVDQYDETHIHHDGEYITV HRVHPHTRKGILLISRTAFADSPDEHTFSPVHLTGTKASLLGAWKLEVDNSNETKQTI TSDKQFLRGLPSRVSELSGFRIEECGDNTIVSCPGKFPPGSIALLETHINEQDLPIGL SDFITADVDEAFARVDLTALNFVLYRCDSEERDSSGGKDGVYNIPNFGPLVYAGLQGW WSILEAIIKQNDLGHPLCDNLRDGQWALDFVVGRMRRAISHQNYSQLEGPTKWLEDRL NAVRRVPNFLLPRYFTIIIKAAYDAACRRAIHGLGVIIEHGQHFIHELALVSVQQMGE VKSASLYPTKQVPCLAAGLPHFATDWARCWGRDVFISLRGLLLAAGRFDDAKEHILAF ASVLKHGMIPNLLSSGKLPRYNSRDSVWFFLQAIQDYTHIVPEGIRILDEKVSRRFLP YDDTWFSFDDERAYSHQSTIAEVIQEILQRHASGISYREHNAGPELDMQMKPEGFQVN VHVDWQTGIMFGGNQWNCGTWMDKMGESDKAGNRGYPGTPRDGAPIEITGLQYSALRW ISNLRKDGLYSHNGVDIGSGQTITFLDWVDRLKANFERCYYVPVEPDEDRLFDVNSSV VNRRGIYKDVYRSGKGYEDYQLRPNFTIAMVVAPDLFTPRRAFHALTIADTVLRGPTG MATLDPTDLNYRPYYNNSEDSTDFATAKGRNYHQGPEWLWPTGYFLRALLKFSLLKDD SYQSLMDVYQQITMRLEGLTVL UREG_06031 MTPSLLALATVTSTAFQSRTSGGDGDGGHDDRLKFQDQARGQRD LYTQIVISFAVGLSAFLTFCVLRPKWRVLYNARRRLRTAASRLPELPDSMFGWIPVLY KITDEEVLASAGLDAFVFLSFYKYAINFLTITFFFSLVVILPIHYAYTGKYWDGRDGR DGNSSDFSHLASSQTYLTPLGDKDEPKTDPTYLWMYVVFSYVFTGLAMYLLVDQTNKI IQIRQQCLGSQTTMTDRTIRLSGIPPELRSEEKIKEVIENLGIGKVENLTLCRDWREL DGLMNERKRVLQKLEEAWTKHLGYRPKKLRRKARNRANNQTALDAINESETTALLSSE EQAHVDDITQERPMIRLWHGPFKLRYRSVDAIDYFEEKLRRLDETIEAMRENEFPPTP LAFVTMESIAACQMAVQAILDPSPMQFVASLAPAPADVVWEKTYLSRSKRWLRTWSVT LVIGFLTVFWSLLLVPLAYLLNLEAIEKVIPQLADALARHPLIKSLVQTGLPTLTLSL LSLLVPYIYSWLASMQGMISHGDVELSMISKNFFFTFFNLFLVFTVFATASNFYGFWK NLRDVFKDTTTVAYALASSLESLAPFYINLIVLQGLGLFPFRLLEFGSVAMYPFQLMG AKTPRDYADLEKPPVFSYGFALPQTILIFIICVVYSVFPSSWLVCLFGLIYFFIGRFI YKYQLLYAMDHQQHSTGRAWPMICSRVIVGLFIFQLAMTGTLALRRAITRSILIIPLL AGTVWFFFFFSRTYDPLMKFIALRSIDRDRAAESDESPTPTSTMSPPSQWERDSIPLR LRGQDLAPRLRKYVNPNLIVPLDEAWIPGRAHRGSVISALNNNSEVV UREG_06032 MASQTWHIENTNVGNRSHAEDWRVRGYNPLTPPNLLQHEISQTP RSKKTVLMSREEASAIVNGIDPKNRLMVIVGPCSIHDPEAALDYCGRLLKLKEKYKDQ LFIVMRSYLEKPRTTVGWKGLINDPDVDNSFQINKGLRIARQLFVDLTDKGMPLASEM LDTISPQFLADLLSVGAVGARTTESQLHRELASGLSFPVGFKNGTDGSLDVAVDAIGA VKHPHHFLSVTKPGVVAIVGTMGNEDCFVILRGGKKGPNYDEASIAAAKKRLTEKGLS ARLMVDCSHGNSEKNHKNQPKVAKVVAEQIAAGEDAIMGVMVESHINEGNQPVSPSGK AGLKYGVSITDACIGWEDTESVLATLAEAVTKRQALQAEKSSS UREG_06033 MGSAGSTQHPAVEAHCMTEVLEALQNAIETTKPGEDVVIPTQAI ELFQRYHRSGDASRLPDVLLLLEKGPCERLDATSFGFIVDLFLRSKPCAARDKGLHLL LVGLPVLTSVVEESVRNLTDKARNGHGSGSGLAAYQRKSGLGDKCGRAVFESLLRESP LEARRWVNITPFPLLRIGAIVLNGGADYDLKFTAALIIQQLKPVCPDPASLWPPGTDE DICHWGPKVGSTPHVLFECFSVLTGYIDGYIAPSSPQPGYCSYVQRARSGLQFWGGHS DSVSLRDQAFGIALKPEQVTLFIISDGRAQFFDIPRNSIRSVRSVTDKPRLCMHLIAG THYSRDGLPANVEQINVHFSDLELRHAVESELVASMQSAQQLSQAPFDSRGQGMPAST QITAARCATSTAIDLSMPSNGESAASDIVAGHAELPAKRTGRVASSAAVELPPPDHSS APSETSRPETTARPKPAISAADQATEQRHCAMRSSAGGEADLLKAVRHPQLDRSLLSA HDNTPSAGRGSNCARDMQDGDGSRLKRPLHRVSGPVSRADNVDWDEDLRVAPDDEPQP SRPKQQKRTHKQRPASTGARRAVQPMLSLSPQPLQIQSVPRRKTASKQGVPATLASLR KKKAPVAKADPELAVAKQPLRLLGVSDDPIESSTPDVTTTAGTVDQGAALPALEAPTA QAEPGGDTESITLSLGPFPAAKMLQDDTFLDEELRLLFACPSKSPGQGADPYASPASK RKNVSSHGDTVSAASGRNTDEDARANKRLKAGHNRGMAWGNTLAGLIKDAKLVRPYRP SSKRKPVEEDDTVPKDENATNAAKTSEVSRNVSLFIARQNATGHKANAGEEIPGDLSS SKEDWDAIYSKDKAKTEQGNTAKTESCHGSKSGYEKAGIGKDMQMEARVQRSSDSVDK SIPGMGSDRTRRAGPYSRAWGHVSPEDEVFPVKCTGQTVDENGSPHPKASTKTRLAKP CRGDNQMQTRSRAKDSPLRGDSSDDKENAWPESDLEGDAPMFLSSDLSGRTFPASAKP AAIPFTTRSIGNFRAWHRSSHERDGPRTADETESYITSSLATTSSETNCDCAASEWQE RLRGTNQATLDILLQASNRLVRHLADEESAIADVVSVYQNGGARIIEQLEDTHEERAM RIRAQIEPVERTLAQMTRKISRQLDDYREALDNPSNAIGRLAATRDQNRKRMCRRIED AKTECEKDGEHVLI UREG_06034 MPPKKAPSEAPPDALASTAATLDEGPLRTPWYYFQGRTSTPIPA APIPQISAARQRAAAHDHALDVDALLEQHPRQDGLLQQQTVLYLAYGSNMSSETFRNT RRIVPLAQINVCVPDLSLTFDLPGIPYLEPCFAGTQYRDPQTGQPLYPHGHFAPHTDP SRDDRDGDDKGSLLRSDDSALDDTNVWRGPLVGVVYEVTLADYARIIATEGGGASYID VAIDCYPFPPDYDPSQPVPARPSTAPFRAHTLLSPANNPKRNAGAGTSHRARHPTYSQ PSPRYKSLLVSGAKEHNLPVAYRTYLASLHAYRITSLRQRVGQILLSLLWLVPLLTTM SLGRTLSDEKGKAPWWLAKLQNATFEGLWWSYDLIFRPLFGDGERTAGT UREG_06035 MNAARADCGEDGFHSSYSQCLESVKVVECTNTQAESGAYRLCEV DLDVQAYQLHSQDLELLSQHTSEDSEDEPQARIIALPNKGLDGIWETLLFEQPIPSTL LRAISRMDSAANMPSSRALAQKLAIRLGKQFPQSKLVEINANALGSRFFSESGKLVTR MFGAIESLLDQEPETLVCVFIDEVETLTAKREQSLVGNDPLDAMRAVNSLLTALDRLR HHPNVVVFCTSNLFTALRDPSNPESALEYIAQPAETLVLPRYEMVLWYQLFPESIPKR LGDIAHASVGLSGRTLRRLPALSLVLTNHTAFPPPKMLATSTFEQHLSSLRLCAALAI ASRRPPSLSEPVLRQCCTDWRGSLIPLTFHIMLARSLLRSVPSKAFARQSFRQTTTRS SSSKASSASESPFYLTLTASAATAATLGVAAWYYHLYGPQLQAMTPAEEGLRRGFQVY REVCAQCHSLTRVPWRSFVGTMHTVDEMKAMAEENEYDTEPNDQGEIEKRPGKLSDYI PPPYKNDEAARAANNGALPPDLSLIVKARHGGCDYIFNLLTGYPDEPPAGASVQEGLN FNPYFPGTGIAMARVLFDGLVEYEDGTPATTSQMAKDVTEFLNWAAEPEMDDRKKMGL KTLVIAGTLFAISVWVRRYKWAPIKTRQIVYSPPVGKKR UREG_06036 MDTHDVSDAPEYLQLLRGHQKTLRNAQSRKGQRPRPTKSREEII MQFQFRQMMKDNTPMRGDLIRSSFVPPAYNPCITPLKDLEKAMIKDLTLETHHRGSYL LLRAVTSTDVLTAVMVIVEDEERNVLMLQLYNQANEFSADSHISEGTAIIVKEPYLKV MSDGDYGLRVDHLSDVVFIPEYDSRVPLRWRQQRIKEETTAGDWKTTGNDFFNEARYH FAIECYSKALDSSPTDDEAVIIKLNRALTFLKTHQFDAALRDLDVASTGPKPSEKALF RKSQALYCLRRFRESCDVHTVLSREYPENSAAKREFARAMARLAEQESGKYQFKRLQL EARKIRPPKLDHATYIGPVAVQPTESRGRGLFTTEAVKAGDLLFCEKAFAYAFYDSDA ANKVLPLLINAQTGAMTMGSQAELIENIVQEIYKNPSLMPIFTGLYHGSYEPVNVTEV DDKPVVDTFLAERIMSLNCFGCPTSSRESHMRLLKEEAQSENRAERFRSCGDLAPNTE ITFWYRSPLDNKYNETHMDLKHWGFKCSCVICQDRQATVKADLRMRTKLRADMLKLFQ NSKRSSPAKLEALLSRFVETYSQPAPEVPRLGMWDPYLALSRMYAAYNQPHKAIEFTL KTLESLGYTIKGGNLPRTSGLPLLVEKWGLMTDSLVGCWMGLSNAYREVAPDLADQAE KYARITYRVCVGEDETFDETYSSERPNGPLISLIATRTCTAVLKFVTTWAGEDEA UREG_06037 MASQPKPKGPSKSPRRDVLASLKLAQVEIMKPVLPAELMATILD YLAPPDLIRMARTSRRMHEMVYEDSRWVRLLKQMGYWNELDARRHAEGNRPQSNGRPR APTLQGQLAKHNNLPAVPVSSKPPDGPTQEGFDTVHFGGPAAVQEQPNDVVSILDSVK SIRGRAREEYGKVHAALYPFYKDIMQSEETTNCLVFKTQHGPEQQAKLLSQLLSFSQS DQSLGWDERSRKLSSVISEFETAALREFRIGYESGDIDGLTQKYAHVLVALNGGGAAI ELFLHHNNILARKSDFGDPIDCLKNGTVSLEHTQAFLTRLSVAVNEEISIINRCFAKC PGLASSFLQKVAQSVLSPYFSTLFDDIHTQNSSSYLRAVSGTFVQTLNFAQDLNQIND SANDFSEAAIHMVMDTFEPHVELYLTEELNEFRKHSETVVTDWDRQLSEHAASTESFY MSNVNRQADKKDFLTSFKKVLMAPVNILPGFSKSNGTKAETVDKSTGSLGPIGTSHIN RSSTIIVPVPTPPTPSEAPTTELAAKAAIINSKLEGIRTLFSIEVALNLVHAAKSSLE RAAQFVKIKGELGKAAQQQCELIFISLLQILGHRHVIAGFDKAVEHLSAYDPRQHSSE EKAGVEPLVTFLELVNVGDLILQMMDVFYEQELIGAKLTDRSDFLNPAVKEKKRFEQL LDERVAAGLNKGIDVLMEEVEYILATKQPASDFNPEAADPLTQTVDIGPSDAAESIVR IVSSHTQMLVGSTDKSTLDVFNQEVGLRLFASLCKHLKRQRNSDMNHYFAFIQSMRND QLLLYFTALRELSQVYLIDPSDSKEMATIIADVDRFKGILRAEEVYEFAERRADWYQV KRDVERAMFGIGCIVI UREG_06038 MATVIPPPSKRQRLEASERAKQQQEVQAIPDNLGSIRVQFFDQG TGKASGAAVAIPVADATVRNLEILLNTLQGNEDHERVPYRFSYRPEGETDDAIDILTD IYQTLLKPGLKTSEDIIHLQYTPQAVFRVKAVSRCSASIAGHGEAILSTSFSPASSSR MATGSGDSTARIWDCDTGTPVYTLKGHSSWVLVVSWSPNDKILATGSMDNTVRLWDPN TGQALGAPLKGHSKWIMSLAWEPYHLQEPGRPRLASSSKDSTVRIWDVVSKRIESVLT GHKGSVSCVRWGGTGRIYTSSHDKTIKIWNPKDGSLIQTLSSHTHRVNHLALSTDFVL RTSFYEHNKVAPESDVDRIATAKQRFEKAATINGKVTERLVSASDDFTMFLWDPASSN KPIARMLGHQKEVNHVTFSPDGAYIASASFDNHVKLWNARDGKFISSLRGHVGAVYQC CFSADSRLLVSSSKDTTLKVWDVRTGKLAMDLPGHQDEVYAVDWSPDGEKNPNPNPMV SIPESTTLMRLIHLLHVPFKLIVPDDIPTRPKTAHVEEPDSLSLMMMRRLGAKMRFMA AIIFGAADGTSIPPYDLRKGGCTIAKTRVPVIILASLSHPRINCGGYIRLVVG UREG_06039 MPSTVLSSRTCSGWSSNKRSTTAYTTPSGRPRTGRPTTTATSIS SQDIICAISESRGICPTVGLAFVNIATSEAILCQICDSQTYARTIQKLAVLEPTEILF MKTAKEPRSKLYSIVEENLPQLAVTTIDRRYWAEKSGHEYVDQLAFKDDLESIKISLE GNYFAACCLAAALSYIEFEMSKTFATHSLRIKYEPSDGSMMVDLATIISLELIQNLQN TKSKDCLFGVLNETLTPMGARLLRSNILQPSTEKSKLLGRYDAVEELGTSEDMFHAVR EDVARQSYKEANNDVNELASHLAEAHNLALDLRFEAARQYYFRLPVSDLEDRQLPDIF INIFQKKAYVEFQTLELVKLNQKITDSHNEVINMSDRSIQELIEVIRPEISVLFRISE GLAMLDMLATFAQLVAVRDYIRPEITDTLAIKSGRHPIREKIHHSKFVPNDAYATRQS RFQIITGCNMSGKSTYIRSLALMTVMAQIGCFVPAQYASFPIVNQLFARVSADDSAEA NVSTFSAEMREMAFILRNIGPRSMVIVDELGRGTSTVDGLSIAIAISEALVDSHALVW FATHFHELARILAHRNGVVNLHLAVEMSNPTSTMTMLYKIADGYAQDKHYGLAFARFL SFPPELLETAQTVSQKLNLKSADQRRSLKQIAIAKRRQLLLALREQLFQARDGMMKGD ELRTWLKKLQDEFTMRMADLETEMVMATDGENTRRGRATD UREG_06040 MSVLCLVGCAITWPILFPIHIIGGAGNKQLDALSFSNVQNPQLY YGHVVVAWIFFGTRIGVLHDNARKHPLCHVEAGVSPFSFVCEPNIVSHSFIPGCAETI PLQEEAVQSWLIDWRRPETTYIKRAHAAHLKSAHGGLNDSRSSINTSPVEVEKGLQQF PTAADVKRPSHRLGLMFGKRVDTIDWLRAQLKEAIPQIEKLQQGHRAGKEKPASAVFI EFGTQMEAQIAFQTLSHHHPFQMTPRFIGISPNQVIWPALQYSWWQRIVRKFLVQGFI TVLIIFWSIPSAFVGSISNITYLANLLPFLKFIDSLPAIVKGAISGVLPTVALALLMA LVPIFLRWCARQSGLPSTAQVELFTQNAHFVFQVVQVFLVTTITSAASAATSQIIKDP LSAKDLLANNLPKASNFYISYFLFQGLILSSAAVMQVITFLVFKLLRVLFDKTPRKLY QRWAALAGLSWGTVFPVFTNMVVIAITYSCIAPLILGFSAFGLYLVYQAYRYNLLFVY DSIVDTKGLIYPRALQQVLTGIYLAEICMIGLFAVREAIGPLILMAIFTILTILAHIS LNDALAPLLSALPRTLDNECDAEEEILEGIDRGFPSGSANDPEKGEFRTEKPHTECSG RRVRMRKAFLRLMTWLLHPSAYADYTSLRRKVRQDPGISYDPNIDDNAYHPPWVTAPT PLLWVPRDIGGISRQEVLLTRTVVPITDEEAYLDEKNKVVWDKLDDSAAPAYIGSMAD PSSGIPQSSPQRNRHPSRPQGGRRGRGGGSHPPMTSNSQSQRGSRGASRSRGAGRDGR GTKQGGRSADRRPAANDDDTGGEPPGRGLRGDGTSSDRLTGDAKGSEGESAGKETAAT DRAAADDADDSDVCFICTSKIEHLSIAPCNHRTCHICSLRMRALYKTKACAHCRTESS FVIFTDDRGKRYEEFTDKGFIRTDDNLGIKYETHEIFEDTVLLLRYNCPDKDCDIACL GDLCTRNKKVFTHEHELFSMAQLRKHEKYGDDNPGALDQSGFKGHPECGFCHQRFYGD DELFAHCRDKHERCHICDRRTGGSRPQYYVNYNALEEHFSKDHFLCLDKECLEKKFVV FESQMDLKAHQLECHPAGLSKDARRDARHVDMSTFDYRAPYQPRRRDGRRPLGRDPNS EPLPPSSAQPLRRDELAYQRQLAVQSAQSISQRSFGGQLTQPHPQTQPVRPSPAPSAT SQPQLAVPPPPSIENLDLNAPAATPQEEARRIAHAAVMDRASSLLRHDALKIDEFRKK VSLYRSSRIEANELIESFFSLFDTSSTDLGKLVKELAHLYEDDSKRTGLLAAWNDWRA INEDYPALPGPSGVMPSTSLPNVGGGKRVLRLKSSTAQSSRSAVAQNPRLPGLLNPTS ASNPFPSLPNLALKSQKGASSNRVWGTVPTPPASTPKTSPVPSRSASRAVVPTSRTTD TSEAFPALPAAPKPNVLMAGLTRGTVRWGAQGAGRGSTPAWGANNPTPAEVGADAGEA SQKGKGKKGKGKQVLYHFG UREG_06041 MHALQTRTRSPGGLSDGFINGRITWPAQGKTLGEFLPFAADDAR QHAVAPIRARRVSDGSTGNSTLKVESLAKPQKEFPIVLPFVQRL UREG_06042 MEPNRPKYQCRHEGCNKSYLRSEHLNRHTLIHKKAAFLCYLCQK RFTRNDLLNAHLRRHEKRGQVAELATKTQSNSTSSPPPILSPGAQNSTQEQSPGELKS PPARDWQFAPTQLHEHQGPTAPPLAGTAPVPMNPFPNPITLPPLPPQNPDSSLVYQPT QPGDVLPQHPVSSGGILPHHLPPQQFATAQGLEDDYTWLFHGSSLFDLPPDDYLNLHF GGGLGPGSPTVR UREG_06043 MADFDEALRHWRSCFDKLSETDRKSRVSWCSQVMYHFSAVLLRN NLSDIQMAAGSAYSSGRAVTPQCAQAAYSRLVSIDPVSHDSYLHGVEVVSLCLQNPEG QRSLNPNNVGFPSPEPRPLWQTYGAFLGLLVIWARTLGLEQEDTTAKRPTTLSSGSIP GIAASTLNNMYQRELARTEPTKEDVQLLRSELRQLIGIVCELLMARPWEISHEAARIL TSLAERQAPGRLSFGSHIESTTR UREG_06044 MAAHAPTNDEISIDMLTETGKAHWSEIKAALPDAKMADFFVKPR KHSRRPDSEWDHIVRGSEIKGSFAAMDDGHEGFDDYALRVKAVDPSKLNVDPNVKQYS GYLDDNASGKHLFFWFFESRNDPKSDPIILWLNGGPGCSSMTGLFMELGPSRVNRNID LVYNPHAWNSNASVIFLDQPANTGFSYSTSPVSNTVSASKDVYAFLRMWFQQFPEYSE LPFHLAGESYAGHYIPQFASDILAQGGLNLKSVLIGNGLTDPKTQYAGYRPMGCGEGG YKAVLNRNTCAQMARALPGCQRAVQSCYDTQNTRTCVNSASSCNSYFLNVYPSSRNIY DVRYPCEDRANLCYSIVGWISRWLNQRAVIQALGAEVDNFQSCNSAVNRAFFNNGDWS LPYHRKVPGLLEKIPVLIYAGDADYICNWVGNKMWADALEWPGKSEFASKPLKDVMLT NGTAYGQLKSHKNFAFLRVLKAGHLVPYDQPEGALVFLNKWLAGDLKE UREG_06045 MPLGLMRSLSVPPSSKRRRVVAPIAKPTALSCEPRRARLDNGYQ REQEVQGFAHPPTMKTKGSVWRTSV UREG_06046 MAPSFDTLSEQDLHEEEVEIDFSGTDRVSPSSTWDPSLTACRAA ILDLKAQYEVRLEEGLDAFVVIDGLPIVPEDSKPKLIKFLLKKLNTVGRTREDAIFMP LNEKGMSEGFAFVEYDTPEQAIAATKHLHGTPLDKKHTLAVNKLTDIDRYGREGRIDE EYTPPKIEPFQEKEHLRSWLGDPNARDQFAMYRGDKVGVFWNMKNNPPENVVDRDHWT QLFVQWSPLGTYLASVHPQGVQLWGGPQFNKQKQFPHPFVSLMEFSPGENYLTTWSSR PIQLDGPPGILSYEEEGKNIIIWDITTGKPLRSFVSHELAAPAADGDAAQAKKKVQWP AFKWSADEKYVARMLPGQSISIYELPRMNLLDRTSVKIEGVMDFEWSPATVQREGVKQ YEQLLSFWTPEVGNNPAKVGLMSVPSKEIVRTRNLFNVSDVKLHWQSQGVYVCVKVDR HSKSKKSLATNLEIFRVREKGVPVEVVDSLKDTVINFAWEPKGDRFVLITTGEVPSGT AVPPKTAVSFFAPEKTKSGTAGNFKLVRTIEKKTSNGIYWSPKGRFVVVATVHSQQHF DIDFWDLDFEGEKPEGEKDLSANLQLMKSAEHFGVTDIDWDPTGRYVVSSASAWTHSL ENGYHIHTFSGTTLAEHAVEKFKQLIWRPRPPTFLSKEEQKKIRRNLREYSREFDEED KYAVDIANTAIVEMRKRLLSEWTAWLKKEKEIVEEEREALGLPKVEEEPAAKPAPGAE DDTVVEEIVEEIIEESEEIMA UREG_06047 MALSPDVKFSYIGIIDKILADSDLNTISEKRIRRGLQDATGHDL TPYKAEIKELIMVRFDIFAEQANSAADDGVGANQVSPDPSATSQKRSAEAESASEEAD APFPKKKRKSDLIDSDAAYAARLQAEEDSLARPTRAARKAAPVKKKKTTKSKMPRRVK ASDDSEMEGSANENKREVNRTGGFHKPLNLSPALSALFDGEVSLSRPQTVKRIWQYIR ENKLQDPADRRQIRCDDRMRAVFKQDRVHMFTMTKILNQNLYNPDE UREG_06048 MASNPPGECCTRGVQHDGTPAGEIKDLHGTQTYFAYPQGDSKPE HAVLFLSDVIGIYPNSQLLADGFASNGYLTMVPDLFRGNAWQLNAGSAGLMDWLRNHQ PESVDPIVEAAIRHLREERGIKKIAAVGYCFGAKVHSLPSAAHEPTPTWAPLTQAKST SAMSLTRQTDSIFPANLRHQSEEILRKTGLPYQINLYSGVEHSFAVRGDLSKKQIAFA REQAFIQAVTWFKWHLSSMNLDSFSSHSDWARI UREG_06049 MSAIKFLITGATSGLGGSVLATLYEHVSAGSKACIAAASSRADA ADSLQNDYPGIQFRHLDFGNREQMVKSLHGVERLFFVSSPEIESVKREKQHANVVKAA REAGVHYVYYASLAFGGYGSHSNVGVQQAHLVTERLLQQEELGEATANLMLRDPGTLS FHNNIALLTASRTYTLADVVAAISQATGTDIPVEQVSRDDFPRILAAEDAKDGRGKKS EQFFTSWRSLIEAMEQGEARTVDPLMQELLGREPRDAMQHVARLVQDGAREGGYTWHQ NYAKR UREG_06050 MYSLDQDRPHPMPPPPLSYEQVGPPPPQQPPASVYPPPNRAHMP PAAVPARLPPPPVSTIWHGRVYILEVLQQPVRARMCGFGDKDRRPITPPPCVRLRVLD AQSRKEIDVTEIDTSFFVLTVDLWNADGDREVNLVRHSATSPSISTASASSFPPPPQL PQYPSYGHPSSMYGQPAGYPQYYPAQAPYAQPGMPYQPAPAQPYYSMTPSYYSQGSAP MAAQSVMPAAMAAAQPTGMFTRNLIGSLSASAFKLTDPENKLGIWFILQDLSVRTEGA FRLKMNFVNVGTDPSDSASESGPVLSHGSAPVLASVFSDVFHVFSAKKFPGVIESTPL SKCFAIQGIKIPIRKDGVKGARGAAAALAAAKERDGEGEEWEE UREG_06051 MKPVTLDSPGRDLCLFPRRAVSTAAGRLFAGECRDPGNPVRLSR RDEVEMAAGWCYLLSLVNICRGSWQRRPARFLIFSDAYADFVLAGGRVRLESGEEKPT CANCRRQGETCDYSIRLNWEGRVKRKPVSASQAGSLVQIAPGNDASSTSNIQLAESTR RHSDARSCAGSHTQHGSLAEKNPSFASGFNAQPAGSISTTTTPVLSQPYSNPSSAQSN PSPSTGNFPRDDATWSQTRLSPYPSPSDSAMASPGIAPFQPTSGPAPFSHFQNSFSPS PIYAGRALDTEARHDAKRVKITTHGPHEPGALTARYRLRLASSAHGTSHSPGRIICSP TSDLSDTTSEEAYQPLQPLSPHISDTRASLHRVSVQSLLSHSIGDVRLADVDIHPQTS TTLSTVRVTALNETTVGYGFDCGRFDLDINRNDDSTAIEYDITEQPSAPWSLPAISGW ANSGRDTQKRVTAVFTKGGYYARPVPINIPRYLIPLPSALLENPINLLYFYHFLNHTS KILVPHDCSNNPFSNVLPAMAIQDTNLLKLLLAYSASHRARLLGHAEPYNRIAHWTRH VFPQLRHALDDSNEKISDTNLASAIMLASLKIISPSTFEVPIPWESYLNLARGLFLAR KQMQIKYSSDQVEFFLCRWLGYLDILGSLSSRQTEPPLLGGNYWSTVALDDARNPGSD LEIDCFTGFTLECRSLLVRLAELIHRCDAYRVDPGTDRFLSVLTLPPSILAAAEGLLR DMTAAGSNSRDRRTHHGVLEHSDMAALDDSYRLAGIVQLYRRVFSLSHSDPKVVESVN LLIESLDHVPRGGATEVCALLPLFTVGCETQDPAQRQAIRARFKGFEGVGMKQIRRAR KLMQRSWQENLPWTVLANGEFLG UREG_06052 MSRIRSPAENPEELILSCPTIKADVYTTGRGGSGNMVSNDDPEA TRARQDVDVPPSVTAGTADEGRFHTGRGGAANVYTRSPGDIVNSEDDPDTHALVEKTK SHAGSFQHNNTGSSPERKETNSQDDMPQKPGIAHYFMPGNLQQRRSRSGSKQHDPS UREG_06053 MTFAAGLAADLLRSQRLFLVKNMLSVDERLVVPEWSETALLPGM RGIDLLCFERQDAKAPQI UREG_06054 MNLTVMSCPVRTAALGGRVVWPTLAAVSCPSASQTTTRRQYQEN RFGRYPEQRRAASSSTRAAFGRPISCYLYQPPAMVPAAPTSIVAQATSLRRASSSAAQ THDSSSVSSSQTPVRLDWNSFFTLRASRRKYSLVSSILASLTTTAAGAQVIASQNFEA LGAQIMGFDPFIVLGLTTIACGAVGWLAGPVLGNSVWGLVYRKYKGAVVVKEKEFYDR IKRFRVDPSANSFANPVPDYYGEKIGSVQGYRQWLKDQRAYNRKKRNFV UREG_06055 MSFNNPTQIFAEDVTEEKGENARLSAFVGAIAVGDLVKSTLGPK GMDKILQSASTGEIMVTNDGATILKAIALDNAAAKVLVNISKVQDDEVGDGTTSVTVL AAELLREAEKLVERKIHPQVIIDGYRIASKAALEALEHAAVDNSSDPAAFRKDLHSIA RTTLSSKVLAQDREQFANLACDAVLRLKGSTDLSHIQIIKKAGGKLSDSYLDEGFILD KKIGVNQPKRLENAKILVANTAMDTDKVKIFGARVKVDSTGKLAELEKAEKEKMKAKV DRIKSHGINCFVNRQLIYNWPEQLFTDAGIVSIEHADFDGIERLALVTGGEIASTFDH PDQVKLGHCDLIEEVIIGEDTLIKFSGVAAGQACTIVLRGATEQLLDEAERSLHDALA VLSQTVKEPKVTLGGGCAEMIMSRAVEQTAQNTTGKKQLAVDAFALALRQLPTILADN AGLDSSDLVTRLRQAINKGLSSSGLDLLKPGGGIANMRDLGVVESYKLKKAVVSSASE AAELLLRVDNIIRSAPRKRERVPLEIPHETESISSAQNDMNLTAINLIATSPPKHCDR RRGTLLPVMSLPVDYTLSPFDCPASQELAYAPSSDSTGSVGYPLCQPVAPETRTMTPA HADHHRSSPSGFRLSSLSPFQLERACFTPHGVGLPLANPNFATGAGAPLSQSGHSNQT TDPSTTLEKALSTLSALELRFAEQESRIQELEAKVSRQQTLPRPSPEAVHAPKSVPIL DLLEIGNDPEPDHIPGAKSRGDEMHAHILESSAASTKYSSSTGLASELVGFEEIFERK SAQAPQNSYERSAHDQPQTLLEGIGSAMELTHHRRIDSRSNPGSSLLLDMGDSPPRNN SSSEFSVSSGNQDRASDCSVLPGPIPWDGKISWKTVSVFENVKDIANTLCNQPPEMAS RGLFQDDLVFKPRQGDLNVYRTVAVLNLPDNIDMKTFLKGIRGGCIYSAHLHNTLYIS GSHMGVVTFIFQTDAIAYAEFAAKNGVYFNGKRAEVVLFKTPTYPISEVMERYIFDLG HTRCLSIRGESDPERFTMIAKFMKDNSRMYFDLGDQMVENETKTEIIVRFNSVQAAAG VMAKLEKFPLLYGCDIDFDIDPCSEPLPGPRIF UREG_06056 MAEMETAVEGASNKRPRAQLEEEKAHENDEGSSSEDDYGPALPT AQPKKKRRKLPYEKLYIDALPASLRYSKSLMHKEQLSFITITPHTDFLITSSIDGVVK FWKKMAVGVEFVKEFRAHTGTIKSINASADGRSFATAGTDKTVKIFDVVTFDLLAVLN LDFVPGCVCWVHPRGASLPLVAVSNESSNDILIYDGRAENCTPLYTLTSIHRAPVVAM AFNNAYDCVVSADNKGMIEYWRPRNNFDKPDGLFDLKSSTDLFTFKKSKSFPVSITFS PSGHQFATFSFPDRQVRIFDFPTGKLYRCYDESLPTLSEMQQAGTALKKLEEVEFGRR MAVERDLENPVVASKVNVIFDESGHFGIKCINTFTNRVAKVFGKDEPFRALNLAIYQG QPQKKGVVTVSMAASANPLLQEAEERDPILACTGFAKVRFYLFTNETEISKSSRDVQN EKPLRDRDAAQDAWANKQAETGTSAIIHTTMGDIHLRLFPSVAPKAVENFVTHARNGY YNNTIFHRVIRKFMIQGGDPLGDGTGGESIWGGEFEDEFSTLKHDKPYTLSMANAGPN TNGSQFFITTEKTPWLDGHHTIFGRAVKGLDVVHRIENVKTYKEKPEVDVKIVSISVT UREG_06057 MVWRLRGARASLPAGIIVFLPLLAAQQQLPLSSRHHGDIHAPPI NLNLPSAAPSRVTSPSDASALATLALAGSNPAVRAPPVQISSRNNLALQLQARSLQDW EVEDFVLLATVDGTIHARDRKTGAPRWALEVPSSPMVETIHHRVNRTDLSDTQRDDDF IWIVEPSRDGNLFIYTPGPKGGLHRLGLTVKMLADETPYSGTDPPVTYTARKETTLYT VDARTGSILRVFSSRGSMPPDQTCRRLNEFGSVDEGECESMGTLTLGRIEYTVTIQNT ETGLPICTIKYAEWAPNNRDADLQSQYSRTMDERHIYSMHDGVIFGFDHSKVDGRRYT QKFSSPVARVFDIARPLTMDTPDTPLVLLAQPPPDDDYSATGIEGREARVFVNCTESG GWYAMSELTYPLVTGRARRAECYEKPFLPSEKPLMSLSLNEKRTALVGVHSLSEPSNH FHRTVPSISGPPADFANDTPRDIIEEPDKLPTPAPARINSVIIQKGWDNAVDIFVTVI LLLIGAFVYFNSRNIQELAKQKLDLKNIISLETAPPATPTSLPKQDLNSEVVNGLRAD PSETAVIEVEGGNLVPESLPTERPTTPAPDNPPNEPNPVYIAGSNSHLSPERQETPRV RIQEPPDEDLEENGDLATKTPEKKKKARRGRRGGQAHKRAKKPSPDNEDYEKLSDEAT PRRSQPSPHSLLRPELSRARAPSTEMLEADGSIRIGQLKVYTDTVLGHGSHGTIVYKG SFDGRHVAVKRMLVEFYDVAAHEVGLLQESDDHNNVIRYFCREQTAGFLYIALELCPA SLQDIVERPWDYPTLITGDLALPDILRQITTGVRYLHSLKIVHRDLKPQNILVAAPKA RRGSGSLRLLISDFGLCKKLEDNQSSFRATTAHAAGTSGWRAPELLVDDESPANPAMW SNNSMDSSEPAVVDPQTNRRATRAIDIFSLGCVFYYVLTRGGHPFDKDGKFMREANIV KGYHNLDELKRLGDYAFEAEDLIRSMLSLDPRSRPDATTVMIHPFFWSPAERLGFLCD VSDHFEFETRDPPSLALQCLESVGPNVMYPDMDFLKCLPKDFKDSLGKQRKYTGTKML DLLRALRNKRNHYNDMPEHLKTHIGGLPDGYLQFWTVRFPSLLINCHWHGLHDSVSWI YSDL UREG_06058 MVLLRLNIKILPVPLLPGDCNLPHTRATVFMIPITKPEGLSMRE LACQIREKWAKLRSEAGPLHIKKLLDDNNPSVDVDMDLSVADVFVDTGKARADGLDQR GTVRVIQIPSLLYTRLESIAQDWNDPMGCKQVATANTAPPVPLFHETAPNNPNLDPPA QNLEYRDPDTLRVSIETDEPGNVHDEDDILDITSRPAECHGGSPAVLDQTSSPNRPPP NKQIPRFPRVVVEIRNSNPSRSKLSENTQKDSSPEVHPVEASQFPPRGKRPGLSFADS RIVDRARFQIVNTKRQRRQTVKEGAAPPNELYSNTENELPLDRQQEARKKGRMKEKSD KSDSHRQPEASGEAQTDDDVTVIDPPPTRAEYTSLPKRAANSKKQRASSTTGQNGTSA QSKVEVIDLTQVRSQLTQDFSLAPSLEHPRITGTGAVISPTQDSESGGSARKATDDTQ TLLPFRETPCFDFHSTSEASEGSGDNGNKSSPPKEDFSKPKTLQRRNRLPAPQPDSNT AKKPDCAKNVLCPQSPTSAQKSNSTQKRDEWVPSDWSVDPDDVAFPKRQLRKPRMQNR PEHEVEQQEFPSPARRIRHLEEKETFAGAAHLRTVVEQPQGYLNRALERQSKAPQRFQ LGIQRPERCNAQKQSRPNGQPEEQPRQPENPENRA UREG_06059 MPEPVSFPPPPVPTIVNGHVESHFSRSASPQWSPPQFVASPYIP IHGMAPGLNYGQQAYEGMKAFRHASTESHPNGRITIFRPQRNAERMKHSAQFISIPPV PEAHFLECVKLAVSANAGYVPPHSTGAAMYIRPLVFGSSAQLGLNPPDEYTFVVFVMP TGVYHGVHAVDALILEDFDRSAPEGTGSAKVGGNYAPVLRHSEKARTHGYGITLHLDS KTRSEIDEFSTSAFIGVRKDASGHITLVVPDSKNVIDSVTAASVVTIGSNMFGYKVEK RRIPYDELKSFSEVMAAGTAAALVPIKSITMASRNDKFTYEAGEADAGGEVYKKLIQA LKGIQRGEIADKLGWLVDIEPTPAGWMDAAGDKNVGTDSANLP UREG_06060 MTWQASALGRICHRCFVAKDLLSNQAEQKKRRNNGIRLDLRASQ AQAAAQHPDLATPNRPDPVVQSRRGKCDRPRSRDDSRVSTGFTIIIL UREG_06061 MGCTPESFKRRTLSDQQNQLNQTLKGRHLHMIAIGGSIGAGLFV GSGGALADGGPATLLIDFFIIGVMMFNVVFALGELAIMYPISGGFYTYSTRFIDPSWG FAMGWNYVFQWAIVLPLELTVAGLTINYWQVDVSVGVWITVFIVAIILINVFGVLGYG EEEFWSSVLKLSAIVIFMIIALVCVLGGGPSNGKYSEYWGARLWYNPGAFNNGFKGMD IPILRVCSVFVTAAFAFSGTELVGLAAAESKTPLQSLPSAVKQVFWRITLFYILGLLF IGLLVDYNDKRLIGSGGYIDVTASPFVIAAKDAGLTGFDSFMNVIILISVLSIGNSGV YGGSRTLTALAEQGYAPKIFTYIDRAGRPLASTVTLIAFSFLAYVNLSADGVEVFDWL LALSGLAALFTWGSICFAHIRFRAAWKYHGHTLDELPFKAAFGVVGSWIGLGLIVLVL IAQFYTSIWPLGGGVNDAKGFFKSYLAMPVVLFFWACGYLWKREGFLKLSQIDVDTGR REIDWEAHNAMKERKRNASAFMRIIYFLF UREG_06062 MSAPAERFHGTSSVGGWSFIGLQACGSFSDGTKVSKEMRPSPSI PRSRNDPEFCPQEWKRIQPMDQLTIPVAQRHDPLELPPVAAVDSLLWHYSDSSIMTSQ ISRQCRRYNQGDHLAKTPSASFKRSPLIVAIHKPISIPNLMKSPFLEPPPFALMPTGS CFGSGDDYFSPQPRKESADRNAASGPTTDKGAGCGAALERWPCLVFSDGGMIAGLKAI DLAVKDASLRAECSAQGRKRLDHLQHGLDRPLPGQSTSHIYKTAGREDAFLDTGNQTG DLQEGDHGPVPSTLGLFKAQKPKSPARDRFFYKA UREG_06063 MVMDMVKVLTMACILAIAYVVGVVVYRLYFSPLARFPGPKLAAA TLWYEFYYDVILKGQYTFKIKDLHQKYGPIIRISPYELHINDPEYYSTLYSHSSPKDK YYYYVKPFDFPRSSFGTESAQVHRFRRGAMNPFFSRGKVLQHEGLVQELVRKFCDRIE GFGKTSQIVPLSLGFTCLTTDLITSFVLKRSYRFLEATDWNPHWGQTLRDASELGMMS RQVTWMLPILKSLPRAWAEALNPGLVLFFSLVQRTYQRIAEVEQQRDMAEKGNACSER GYTLIDQILDSKLPDDEKTPERLAEEIRSAIGAGTETTSNALTVTVYHILRDPSKTQR LRQELEGIEPNPDREIKLRELEQLPYLVGTKTTIQACEIRVNDEPVIMYPRRPAAAIG MTSILQHHDESIFPDSYSYVPERWLGEEKRKRLERYLVSFSKGSRRCIGMR UREG_06064 MEESIMLVQSAPTGFESAPPLVLIHDGGGTTVSYFYLEPLDRAV YGIQNPRFYSGEPWKGGLSEMGRVYASLVRSVIASGPIILGGWSLGGILSLEVASVLA RSSDIQVLGIVMVDSINPFRVAPQNPNIVPYQIEYGEHAKPETRELVSNCMNLAVAMA SAWVPPVWKGCSDHGHVLRRQALEAELSARMPAEYGHLCSVTELDVPWREMPPTVPQT ILLRCNEYVPVSTADNSHAVARVDVARNLSKLGWEDCGYDMVSAVLEIPGHHFDIFAK HHLDDLSLRVKLACRMLERVAL UREG_06065 MGDAPEKGWPFIRRVTTYADEKECERIVECFEDEESLDIGPEHA FCDERHEPACNEQPADFPIVVWVIHHPLRNGKRGCLPLCAYSSAIEEHLEGTFRPISE GRVNATLGGDDPFLSQSPRRTTGIATTAVHGGMVMSLAVQDMDCAIVSFDVHKKRHVC NPQDEKPAKHLIDKGNSRITHFDGGRQPTPVEEPLEEGVWHDGTARSALPSPTKVLSR UREG_06066 MDVIVKWPVPKNMHEVQQFLDLVTFYQQFIKNFVRITTPLSNLL KKLNEKLRKKKFCLIV UREG_06067 MSMEELKTVKKYLKTNLKKKFIVPTTAFFTSSILIVHNGAKLCF CVNFHKLKAISKHDQYLLLLRDDSRHQRTGAIYFIYLNICQEFHRIHMSEESEDLTSL UREG_06068 MILNQQVLRATRIKAEKSNIKRKIKKVTVEDEYASKIDDENKNF KKEDGMILYHGLIYVSRKIRKEVMIQEHDTVTSEHFGIDKTIKKITRMYY UREG_06069 MAGQRLLWLEEPSAKNAVLAVISKQLKKGPAGEAYTLDQLKVPQ ETLKKQNNQYLHQYKKMNMAFIGTAAYHQHMKKTPGNCHMTSLQEIDRLMDEKWKDIN EVDEDDEKIDIDEKLSKIYK UREG_06070 MSMKELKTVKKYLVANLKKRFIVPTTALFTSPILIAHNGAKLCF CVNFHKLNAISKYDQYLFLLIDELMDQLNEAKYFTKLNICQEFHRIHMSEESEDLTSL UREG_06071 MLKVINHYKIFIKTLKITFEEVEEQNAATQQLQNMWQKTSPQEY AMRFQQVCINTDWDNEALADTFYQGLFNNMNNKTTCMEKQPQNLTGMIKVTVRIGN UREG_06072 MILNQQALRATKIKGEKSDIKRKIKKVTLEDEYAKKIDNKNKNF KKEDGMILYHRLIYVSRKIRNEVMVQEHDTVTSASTKQWRRSQGHTIDQKCGQTFNNM SKNVRHVHEAKSTSNNHMGSYSQYHNCEDHGNL UREG_06096 MPRAKRNLGERPISSDVILPMQDPYMTQITDGRKNHEFRKYRLK PCVKRIWFYRTAPHSSITHVCETLPARTRNPGDLPLDEDGLGNVEFNNRHKDWNGYDF AYKIVTVYELRRPISLKEIKDKHGFKSAPRGLVYLPDSISNSVNWKQQKLTARGGKDG WVSHDEYDECLRRREIPSLICMPPGPCLMLPSRPLVPGPQLVCQRHDATRPVEHGRGF DARELVTEDVAGPEVVAVDGFYCLRLKAPHPTRHAHARTRLLRQKSASRPGNQPYRRG PMDSAWRRDIADGTNQQWSGGVG UREG_06097 MPTADDQTSLDEDETESAGAQDLDPRINTLGGKDVSVSIVSTEL ARTESLSEVGDSGNVSINRLSKSSVKSEAEQVLVLTPNGLELLSGSPPVSELGERAGD VHSRDFALSKPPSLSSPDSIIARETEEQIISRKSSFELAGVRDAELRVSERCGGYPAL SDPELLRNPHHQLSSIKVAVRHANKTSLDSSSTRSTNVTTVSHARYLSVPTTHPAHQR FSTTKTANELNDYATPDVVQTQYQRNKLPFFQTPGVDGAPSNDSQSTSPNAPKVSTVQ QTKTAGLATRLPGVDEGSLPLSVQRPRSRLSTFLSRFGSTHSKVTHSPPAQIQQQSGI PDRPVENKPLEGGSKRGPQHNISTGGTVDGGNPADSEVMKAKKGLKFLSRRSYNVHTE PPKKSTSLGIKTADQLPKPQRLALQARPPLPRVATMSPAQNNPGNVNSANTRRFYRSL NTQLSNIIDHPVSGTGVNPPSKTSLQTRLSHNRNISQPATTARYSVGGHPARSEFSAY SVGSQPEYFKAHSQGISTFIPGFPFQSPHLFVEQTKQQTGQPRGLQALQAPSIHQGAM TKPTSLVRQAALATEFSESTLSESRGPQTLQHGAGLPPPRPPPKIPLDYAYPSLAAPT SIRITSASGRQHQEGAGSLGSTPALHTTDFFQPRQPLLAREEAHNGPVELPTHDDSSE EVVMSSVSYPGQEWQPSSFGNWD UREG_06098 MATEKRSSTILEEDADSMAVRPLTPSAADFTPGHRRSLTPPDPQ NSPARRTVITPGESMVRPESADTSEVLPTENETHDVDSAQDKREINIPHTDSTTGAES EVKPDPYRQSDVPQYPGVQQGNTTLPKVDTRAESAQPVESVSASTARSGNVNELNDRL RDEIIQSLRSTQAEEPISEDGSSGQDARSRIRHESTSIPSIYDSYWNEQAGLSSSSGA MSQPNLPEQVRNSVAAETSSGHTKPHEGSDVLAPPGNSIDATPIPTRPRLKKKFSWEM DSEEDLSAAFSSKPNPIESQPTTDPPTNTTVTPPDTIRRVEDTQQSSPHGPMSEKASK DSPTTGLARTATPSAESIQSELEPKPSSPMETLAVESRGEEIDIPLKNVPEHELPGTN ANTHAADGSKLLGFREVMAMSTPGQKIAAFNRTRDQFAVADTGLQDWLMHAAMSLPEH ADLVQQNGALPLGSNPSHRPMPSRTKFPKLSSGSGSSRTHMRNASSTPLSSMIHSQQV QAKGKDLLHSAGVLGGKAGDAAKGLFAKGRSRFRHSGSADKVDI UREG_06099 MARYQPDYLHNDRTRPEGGSPLTPYSSSQPPSFKTNVNRMKTKR WVNAKTYTYDGDDWGDSEDDDINDAYSDNQPSRSPPLPQAHLQSQPAVQPSRTGGQSP STSSLAGHWDNPPARAGQLPVSDVHRPNPTSEPVPAREATT UREG_06100 MGAIPIQDRTTEFRSILGQAQKRLASSKADVHRQTLLRPDTSPQ NGPPRKSEFARRAAEIGRGITATTAKLQRLAQLAKKKSLFDDRPVEISELTYVIKQDL ASLNTQIAALQSLTLSQHPKASRSNADQEGQHNDNVRPPLCLSNVSVINPVAQVVVML QGKLADVGANFKEVLEVRTKNIQASRSRTENFISSVSSKSHSSLHPQRSDSPLYNPPR SHSPQPGTSDLLTLEPSQLLMMEEAQQPANTYIQARGEAIEAIERTINELGGIFGQLA TMVSEQSEMIQRIDANTEDVVDNVQGAHRELLKYWSRVSGNRWLIAKMFGVLMIFFLL WVLISG UREG_06101 MRRTLVSRKARKRRIAENAEMNGENYYNRQIVVKVDVPPIGSTT PDQKAAEITAAPTAGPRLLPTNDDGYLRNPSPNSDPPPGTAGYPRPPPGSDGFAISQD PYGPPPSVRSDPNLRTQFSDTSMRSNRSDGPVYPPRARGGYPPRGRGRPYGGPRGFPP GGDSRGGHRFGPPRRARGGPPPGYPPRNRGYTPNGYAGYGPGPGFGARDNPMMNNGNP RDRDIELQASSGAARLASGKTGNITGPDFEPRGAENPKSPTSVYSMDASYVPPRSNWN PQEYPIRELPTEQIPPISPLGPNTQPRDMTRSPPALRPHPPSSYYEDLEPRFALPITG VESTDVPSALVPGSSGEPLTRSSYDDFPVGPRSPAASETSHFTSISQRGINPKWRPPE SETPKAKLQQRQDVLLNSNPDFALPAGRVRANTVAGGRMPPPPPMPTIPGTPTGIRNE GKFQF UREG_06102 MSAIQTQQGHQDGWFAELEAELKAHLTLVDGNSASTEQAARNKT REQLRTAPIHSAKVRAAFGKNRAMQENEFIEADIDAFPQYGLIGKRLATYGYGSENIQ TGEAEDDLIYTNTNAPWSAFICGSQGSAKSHTLSCMLENALLAPSQTGKLAAPLTGLV LHYDRFSGFEAGQPCEAAYVCSSGIPVRVLVSPSNYQHMKSLYENLEGLSDGSPKPVV SKLKFAEKHLNITMMKALMAVDGEGQEPLYMEVVTQILREMAQEYESSRINYHEFKCK LDRQILAPNQRSPLALRMSLLESFLEVEEQRPKRRGQSAIKGNAEVDKMWSFEKGSLT IVDLSCPFVGANDACALFNICLSNFLAARGEGNRLVALDEAHKFLTTTGREAIELTEN LLTIIRQQRHLGTRVIIATQEPTLSPKLLDLCDVTVVHRFSSPEWYKTLEGHLAGAVV GATEEERSRFSRNSIFQDCLA UREG_06103 MAYQGGANSPGGYGDHRLQDLPSNGSQYHLPQDDDASRSLLHQN QGPFSGPFDDPHHRNTSPARPASRYSLTESYATDSQNMNQYNNPMYGQHMENPAAGFG VPSRVPSPYSRSETSSTEAWRQRQAPQGNLRRYATRKVKLVQGSVLSVDYPVPSAIQN AVQAKYRNDLEGGSEEFTHMRYTAATCDPNEFTLHNGYNLRPAMYNRHTELLIAITYY NEDKMLTSRTLHGVMQNIRDIVNIKKSEFWNKGGPAWQKIVVALIFDGIDPCDKDVLD VLATIGVYQDGVMKRDVDGKETVAHIFEYTTQLSVTANQQLIRPHDDGPSTLPPVQMM FCLKQKNSKKINSHRWLFNAFGRILNPEICILLDAGTKPGPKSLLALWEAFYNDKDLG GSCGEIHAMLGKGWKKLINPLVAAQNFEYKISNILDKPLESSFGYVSVLPGAFSAYRF RAIMGRPLEQYFHGDHTLSKQLGPKGIEGMNIFKKNMFLAEDRILCFELVAKAGSKWH LTYVKASKGETDVPEGAPEFISQRRRWLNGSFAASIYSLMHFGRMYKSGHNILRMFFF HIQMLYNTFTVFLTWFALASYWLTTSVIMDLVGNPTQEGQRAFPFGNKATPIVNTVLK YLYLGFLLLQFILALGNRPKGSKHSYITSFILFGLVQLYIVILSMYLVVRAFSGDVDF VVDKGVGEFLKSFFSSDGAGIIIIALAATFGLYFVASFMYMDPWHMFTSFPAYLLIMS SYINILMVYAFSNWHDVSWGTKGSDKADALPSAQTKKEDGGKAAVIEEIDKPQADIDS QFEATVKRALTPYIEPKVDEKKSLEDSYKSFRTRLVSSWIFSNALLAVAITSDNVNKF GFSSQATKRTARFFQALLWATAFLALIRFIGACWFLGKSGIMCCFARR UREG_06104 MLKIWSMKQKQQQAENAEGASGPKKKKVTAAQLRVQRDLAELSL GSTMKMTFPNSDDILHFILTVEPDEGMYKAGTFTFNFTINQNFPHDPPKVKCNQKIYH PNIDLEGNVCLNILREDWKPVLNLNAVIVGLQFLFLEPNASDPLNKEAAEDLRLNREQ FKRNVRNAMAGGTVKGITYERVMK UREG_06105 MAIQPITGMLRRGLVLDLSTAFGLGTTSGYLWWYGYHLPRVRAR DRLYATLEAERAREAAL UREG_06106 MAPEKTGTPSLKRPSPDSDHLPTIPAKKQKIEYHRVHRLQSPLN VQSLDSAVIADDASVDQLLNMAISISLREAGFDHAEPVALDSFRDGVEEYLLRFLSYI RASMASCRRTQPIPCDFEHALHQQAISLDSLRPYLRTLHKTKQPPVILSTPPPDEPVP QTYLPFLGPQLSASDDKQKYSYIPKQFPRLPSRHTYQRTAVYTERETDPRKVREQATE EGRLGEEALRKLTRAAKESRLTGEEMTEKRLWGRETESMQSMFEKTLAATLQRQAEEG RKHKEMKTHADAAAAVIDFDSAEPVKQKTPDLLGINPQKLEFGPVVNCERVYWRKFTV SGTRRAEQQKDTSAKPAREGGNN UREG_06107 MGAEAFGAKLLADVDSESLSSILDEFRKSHAEDAIERTLVGIKP IDHALSVLAASGSLRGKPVVEITSPSSADGKTSLLYYAAALAVLPAHLDLELSLGGQG AAVIWLDTDGRFDVLRFKQVMLGIAEESIKTAHCGSGEAETPIDGAVSYSVFEEALRH VHIFRPQSSAALLATLESLPEYILHTQHHSRWRRIHAVIVDSASAFYWQDWREAEISR IPGAREEAEAQLQPSTATGHRAHIHRGIISCLHSLRKRFSCSILYATAGLYPARSPPF KPYLPHPWPIFPTVRFAVRRDPVRPFADGMTVDEAGVDASARQEIVQRVYLQASEESH A UREG_06108 MTDRAHWRPLSRTNIQAAHTVIQPHIHTTPVLTCRTLNRLASTP QSPGSLLGTPFEGRTPAKPKINLFFKCENFQRIGAFKARGAFHAVLRLCNHAQALALA AATLKIPARIVMPRISTPSKIAGTEAHGANVIFSGSTSQEREEVVKHVISETGAILVP PYEHPDIILGQGTVGLEFEQQVKQMVRQDPGLSVRSDPARKDGALDAVITPLGGGGLN SGVATWFSSGDGQPSNKTLVFGAEPSYEGADDCCRSLATGTRITSVSSLTIADGLRTP VGAIPWSVISNPEKVRGVYAVTEEQIKAAMRLVLERMKVVIEPSAAVGLAVVLFNEDF RALAEKEAGEQGWDMGVVFSGGNTSIEAMGQLFSC UREG_06109 MASRPAARALRASFSRNVSSSASKASRRSFITVSNARPAIAARA PIAAPFAQQTRGVKTIDFAGTKETVYERADWPREKLLDYFKNDTLALIGYGSQGHGQG LNLRDNGLNVIVGVRKDGASWKEAIQDGWVPGKNLFDVTEAIQKGTIVMNLLSDAAQS ETWPTIKPLLTKGKTLYFSHGFSPVFKDLTKVDVPKDIDVILVAPKGSGRTVRSLFKE GRGINSSVAVFQDVTGNAKEKAVALGVAVGSGYLYETTFQKEVYSDLYGERGCLMGGI HGMFLAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYAACSTTARRGAI DWSSKFKDTLKPLFNDLYDSVKDGSETKRSLEYNSQPDYREKYEKEMQEIRDLEIWRA GKSVRALRPENQK UREG_06110 MQASMLPPDFFQHIPQISTRRALLVLDLQNDFVSPGGALLAPNA PTLIDRIPALAAHFRSSGKVFWVQTTFQRPRDTFSQAAGGDLILVNPPASAAAPAAST TDPEAFLTVQAPHPCCRPDTPGAQFPPAVVSAIDAQADTVLVKSDYSAFQSPSVLLSF RTQFITELYICGALSNISVYATALDAVRNGFVVTLVEDCLGFRRFSRHRDALRRMTQV LGVYTVKSADLLTPDDEPSSEKLPAEAKEPVQQGPIEFDDGPDSVDEPPSPMLTRALR RLRTDEDDPNPVPESVCHSRGRASRLARPKTPKNPASSTRGPGESIGSGDSKIIYDLA LPDDAFRTLRDEVRWQKMYHMSGQVPRLVAVQGTVQPDGSIPIYRHPADESPPLLPFS STVDTIRETVEKQLGHPLNHVLIQLYRSGDDRISEHSDKTLDIVRGSSICNVSLGAQR AMTLRTKSSATSDPESNGTGRQSQRVPLPHNSLFILGERTNMEWLHGIRQDKRPESTK SPEELAFNGERISLTFRHIGTFINPESDTIWGQGARSKAHSDAGHIIHGELAEIERLV RAFGLENRQTLFDWDAQYGEGFDVVNFVTITSAKVLLSSDEVSDLRVLLCLVENGVRY EVLQSRTDLPPLASDTLHVPSGDRIPIYLDSDGSTCISGDTAILKHVGTHRRAQDTPD PTIPLNKSTLEDRLAAANNLLCTWRTAVKTQPPSPPSDSLAALEPLNRYLPFFASLLP PENTYISSPTFFGIDDCREANMCKRGAGRNEHGRITE UREG_06111 MASLRSLLRRKPQATLRKISTTCRGKPISEDGIYHYTNGRFLVN EHYQCQRRYLKFNLAELCNVASTLGSQQSPIIAVDKLEGGFCKALIMKREDGTKLVAK LPTKIAGPPGLLTASEVATLKYVKQHTSIPVPVVLSWDCDPSNKVGSEYIIMEKAPGV QLYERWADMTGESKIALVNNLVRLESQLASIQFPEFGSLFLRDLDDTTMKGHSLRPLP ATIDPTHSYCIGPSVDRSWYINAQQDVSQPTFRKGPWSSLSDYGCNLAKREIAKLFRQ RRTDDRPYRRRSSEEEANDLLPAMKLVELLESRHTELSSLSRPILWHTDLHLGNIYVA DNDPTQIVSIIDWQSIGMKTISSAS UREG_06112 MAEGFTEILSLTSSLCLSVVSRAASWRHPFRRCIVGCPDRPDPC PDCPSGQECVSRPATCDTCMENVCVPAIETGLPAQPSGPNVGAIAGGVIGAIAILVVI VAVGYCIKKRRVDEYDESWSPELAEKQEAFASQRQGRLSAVGSIASTVLTRASNVIQI AYIPGVTNRSPPDSPSMIPPVPPIPAARANYDQHYFMPGDIRDSVWSSTSEETRRSIA PSLARSSVATTIYRSNAVVSPVPAQQALRAKAAMVSVKSGSTTPTLSSNPSPTTLTPA VPAITVTQMNKANAVAAKLEQSDKLPVGSSIVARTAVARPINVTKPKYKKAAEDEKTH SATTPIDEDDVNSPQGDTASFPVELASRQKVEGHQSKSKARDSVHSTAVTVIDDSPTE KHGPFADTNRPVRPSATSSAIPEEISNPSRRSIDSKGTSAHRQRNSQSSNRLLDRRGD PASQGRQNSPFSDDNEVI UREG_06113 MPVPPRTEAQLAAEKGNPCNETVAFFKYPEEKLSQLGGGLSLVS EEAMQRCNHGDGSANSNNLKGVRPGITLFYIVEDLEECMNSIVANGGKKLSGEFPEGA HGKIMYAEDSEGNRLGIYEVIGSCS UREG_06114 MQAAEGAPVDPGKAQVVDRVPKVIKEIKFGVLASQDIVSQAHVE VSDRKLFDLDNDRAVARHGPLDGRMGISSKSGTCETCGGALQECNGHFGHVRLVLPAF HVGYFKRVISILQEICKDCSRILLPENERRSFLRDMRRPGIDNLRRMQINKRINERCR KTRTCYTCNAVNGVVKKAGTSALKITHDKFRAFNASTSAKKIPPASKLVFDQSFEEAK RSNTDIEKHFKKVQDDLNPLRVLKLFRRISNEDCELLGLNPKEVRPEMFLWQFIPAPP VSIRPSVGQEGASTEDDLTAKLGDIVQSNINLKNAINKGAPVQTIIECWDYMQLQIAV YINSDVPGLQKADFGKPIRGICQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRID EVAVPQLVAMNMTYPERVTRYNKEKLRERIRNGTKVWPGANYLSKRGTTFKVFLKYGN LRLMADQLEEGDVVERHLEDGDIVLFNRQPSLHKLSILSHFVKVRPHRTFRLNECVCN PYNADFDGDEMNLHVPQTEEARAEAMELMGVKNNLATPKNGEPIIAAIQDFITAAYLL SSKDNFYDRKTFLLYCGYMLEPGTKFDLPPPAVLKPQMLWTGKQVFNVMMRPSKDSPV LLNLDAACREFSAPKGTPRDLDADDGWLCIRNSEIMCGLMDKSTIGSGKKDSVFYVML RDFGPRVAAEAMNRLSRLSARWLTNMGFSIGITDVYPGDALLQAKREIVEEAYAQCDE VIQLFKTGKLEKAAGSDEQQTMENQISGILNKVRQQAGEKCIAELSKWNSPLIMAQSG SKGSTINVAQMIALVGQQIIGGQRVQNGFQDRTLPHFPKHTRQPLAKGFVRNSFFSGL TPSELFFHAISGREGLIDTAVKTAETGYMSRRLMKSLEDLSCRYDDTVRNSSANIVQF QYGDDKLDPVDMEGKAKPVHFDRTFTHAEATTYSKDDQGLPCSEIMSLCRKLLSAERS KLNRFDLLGNGLDYMDRSDHGIDQLESNRDFLQSIEDYVWSKTKQLQPVTGTDLDTPD GQMAISHTAKLSAKTLTAFVTSCLTKYKKAQVEPGHAVGAVGAQSIGEPGTQMTLKTF HFAGVAGMSITQGVPRIKEIINASKEISTPIITCELVNKEDLRAAQIVKGRVEKTFIK DIIYYIEEAWTGSVAYINIKIDFSAIQALQLELTLRDILNAIKTHKRFKSDDLKFRSF GSHIHIFVDQTAAKTLSKTEQTATGSDPYIRLKHLKLLGYPQCSRAVIRTDETNTKNT LLVEGYGLRACMTTDGIWGTRTRTNNIMETKDVLGIEAARTTIVDEISEVMKDMRIDP RHMQLLADVMTYKGEVLGITRFGLAKMRDSVLQLASFEKTADHLFDAGGAGRTDLVEG VSECIIMGKSVSLGTGAMEIVRPMNFYEGQIGKKKTSFEDAWREVCESPIKGKKKRV UREG_06115 MPMPGFTDGVLAQSLTPIRDMSPEQVEKTEKQIQEEIQAIFRQI TASVTFLPMLDGNCTFNVLVYADADSEVPLEWGDSDAKEIKNGEKVQLRSFSTNSHRV DTMVSYRYASNCPINVIGELLG UREG_06116 MRGCLQSVRLLTTALGQSPRRPLPFAFRLPPNASRLFSTCASRA AATAKKPPSELEERILAIPIERYRNFCIVAHVDHGKSTLSDRLLELTGTIEPGSNKQV LDKLDVERERGITVKAQTCTMLYNHKGDDYLLHLVDTPGHVDFRAEVSRSYASCGGAL LLVDASQGVQAQTVANFYLAFAQGLELVPVINKVDLPSADPKRALEQMETTFELDTDK AVLVSAKTGLNVQQLLPTIVEQIPAPIGDHTKPLRVLLVDSWYDTYKGVILLIRVFDG SVKAGDQLVSFATQKKYIVGEVGIMYPNQTAQSVLRAGQVGYVYFNPGMKKSQEAKIG DTLTKVGSEKLVKPLPGFEEPKAMVFVAAYPVHADDFPHLEDSINQLLLNDRSITVKK ESSEALGAGFRLGFLGTLHCSVFQDRLQQEHGANIIITPPSVPCKVLWNSGEETVITS PVDFPDGDSNRMKVKEFQEPYVLTTLTFPHEYLGKVIELCEGNRGEQVSLEFFTASQV ILKYQLPLAQLVDDFFGKLKGLTKGYASLDYEESGWRKSNVVKLKLLVNKMPVDAVSR VVHISQVPRLGKQWVTKFKEHVDRQMFEIVIQAAIGNKIVARETIKPYRKDVLAKLHA SDVTRRKKLLERQKEGRKKLQAVGNVVIEHKAFQAFLSK UREG_06117 MDQAMLEKTKNDKILARFVKKGGEIVKKLAKTVLDNAALVTKNK AELAKPAPKESLPQGTVRKEQPEPSYPQVVIRNSAPLVAKKRAREGEDSNSPAPKRVV SPPNIKPLSRLLPATTNTTQRGAAGNDGKPASHNVNAPNKPKANIVTPKPTPSLFSSL MSASKKPGTSNAARAAAAAAAKEKAKTEQETARPPPRPTFSFAETLADLSKPKKPEVA KPVEELPPESEEEKAKRLRKEERRRLRVSWKPDETLVEVRLFTHDPEEEIGRDDSARR DLSDLAGEGRTLKMHRGLDDLDEDDDDDMLPYHAPSEVDFTVLEASDRSRNFFKTGGE IAPKSPAKEEQDQRESNTLSVFYASPDDVPISPKEPSVLDKEEESYEPEDDFGQPNDQ VQARSAKYFAAMAAIAAKAAGTKVGKPPTPPAATVSSATSSHTPAQMDITSLLKVLQQ NPLSQSQTPQQLPQPQSNTSDLERIFNQFREPNQTPLQQASQVPQMNTAPTAPGLDWQ KLLNVVTLQKQMQQAPSFPQIQATQGPDLAAVLAQLNPGGQQGQQQQMAQPLEFSQQS VATPYENPERKRYREGAESHEGDDISYGYNKRFKANGESGKSKKHHKSGLVPCRYWKE GKCLKGADCTFRHDLTD UREG_06118 MDAATDPNPPPSTEQAAAPFSADYWNGMNSIYPPTQSHDQPFGI GWDHPVFQQHTPHPQPQSQTPDLYAHPQQGWHQAAVAHSVVPEPQQNYDISNQYRIDS FQQQQQLPQHHQSSTASYDSPQPNAPYPTYSFVEAPTYYQNPLTAHNNYSTQPQVDLQ RSRLQNVPESSLESHPTTYATPSALRPNIQARPVSFATAYSSHSPSYHNTIDPQFLTA TRHSILQPEQAQGNVFVVNPADLERSNAARTPQVANGEFAKPTVPPGQNMNFIQTKLT NSGIPAISRIEKPIQPRKKKETLLKAKTTARREPAKHLKQKSDSETSESEVEEPPEPS PLPASRPMDLDGSTKYDALKIVWFPRNRQPSAPAVRNAMVLFSDMVKGIRDSWKSRSE ALKAAENQNQEAKIPAIKREVIMQRRLLDMIINTTLTHGHPSYVRSLSIEV UREG_06119 MAASPFSLRQRQIAAIERILNLNHDPPQPPEFGNEPSGSTTHTV PLLNEDGDPIWKVLVFDNLGRDVISSVLRVNDLRSWGVTIHLNINSRRYPIPDVPVLY LVEPTLENIQNITTDLSKGLYAPAYVNFLSSVPRPLLEDFASQIASTATSDKVAQVYD QYLNFIVAEPDLFSLSMGKDTYWKINSAQTKDEELDTLVDRIVSGLFSVSVTMGAIPI IRCPKGGAAELIATKLDRKLRDHILNSKDNLFTSGSQRTLGVPAARPVLIVLDRNVDL VPMLSHSWTYQSLVHDVLTMHLNRITMEAPGDDSNPARGNTSRSYDLTANDFFWARNA GVPFPQVAEDIDAELTRYKEDASDITKKTGASSIEDLQNDTASSAQHLKAAITLLPEL RERKAVLDMHMNIATALLKGIKDRQLDNFFQMEENIGKQSKQQMLEILADPNRGNEPT DKLRLFLIWFLSVESDLSRAEIIRFEEALTQAGCKDISSLAYVKRVREITRMTMMTTS ATAPQPPSSDLFRGFSSLSNRLTDRIASGALGANFDSLISGVKNFLPVNKDLTLTKIT ESIMDPSSASSSAIAKVENYLYFDPRSANARGAMPPSSSLRNPAQTGTPGSLGSSGPG TGATFGQRRQGFNEAIVFTVGGGSMEEYGNLQDWVRRTSGEGGVGQTSSGVSRGGSGT LQRRVIYGSTELLNASDFLTAALEPLGRES UREG_06120 MDTKSMHGTWVNGIKLTSGRRLILEDGDLVTFGTKVARGSDAYE PLTVRLGLSWQKADSLPMNIPEKESERRSTNTFVVPDDDDEGSDGDFEIEVEGDYHTE IDDPKCDSPDVTSVAPSPNSCVGGQVVDSPITLPTTHMENSSKRDDSPLFVQDDDGDD SGNPGPVVEGSNVLDISDPGFYVEIDDSTSDESEDSGIESLEDQRDVLPDRFHSREAP FTETGDSTSATAADVLRRMGQTALPSTPESMHRDEKPTLPDYGKPLNMSTNAEAERPR LQSERNSKQPLRAPPYSPEQIRANNDVAAYLERVTMGCGTASLLRNRHQALHGSLAWP TFPQRPELSASPYMEGPFAGSGAPYVPMNTSHNRTGFGRELPLPPFESRGMEALQGNY EGANETSAQASGRYPYADWCNLDPKEIAPGSNGEKISARGNDVQDLCDQPGPGKKKSL KRKLDETDLSQGQEADASNSSEVLPDAQPHESSQKSVQFESLITEMPMEEDGSKTAPG TADNERPKKLAKMANERPSRMQIVARYAATAAAGAVVGGVGAIMALASLPADFFN UREG_06121 MSRDLEPEARELEHMFDISTEKLKEITEHFTKELTKGLSVDGGN IPMNPTWLMNKPTGYEQGTYLTLDMGGSKARVCQVTLKQKGQVENKQREFFIPKHIKT ATANELWDYLAECVEAVVDKEGMLAKCQNDGEHQDDTLRLAFTFSYPVTQDSINHGVL QRWTKGFDVKGVEGQNVVSQLEEALRRKNVPVKVSVLINDTAGTLLASAYADPDTQIG AILGTGCNSAYVETCNNIPKLQHRNLAPDSPMIINCEYGAFDNEHCVLPRTKYDKLID KESPRPGQQTYEKMTSGLYLGEIFRHAILDLHTRNQLFVGKQVPALSQPYALDCLHLS EVDGNGSSSLQSVLQISLEKHEMDLCQKLADLINARAARLCACGLAAICKKKGLSSCV IGVDGSVMLKNDVLRGRTMKALGEILDWDAKSRQAGEKGTGDTPIRMHFVKDGSSEGA AIAAAIAAAQA UREG_06122 MSTSRRPTNPRGPKPNVTFTGLCMYGVGAGLLGVAAMTVGEKVE QYFTGRPSSLVPGRALEGLLNLAPRTDNQMFSLNVMMNYAEGAVAGVARAVMSFNGIR GPIADFLFVGVRMLIDQSLEVWSGVGSWPW UREG_06123 MQAVLGKVGVGPSKVLHDLSGKTALVTGGAQGIGYEVAKAFSSV NARVIMVNRKEEQGTAAVKAIKDEMGAGANVEWVHCDFGNLKEVQSVFTKIREKEDKL DLLVMAAGIGANKFALTEDGLDRLFEVNWLGQYYATNILYPLIRKTSMMPAASPPRIM MLTSELYRTAPSNIRFESIDEINDEKLGPNELYARSKLAVILGIKYGLFERVIKKNGD NVFAMAIYPGTVKTEMQKQWKEAYPGVTGKLLQLAAGAISRSPEQGAFSTLYAATSPE IEEKQWNGAYILEPGQLGKENSQVNPELGANLWDLSQKIIKQKVGENAFVEWK UREG_06124 MSSSTPSQPTIVPVPAGNATIVTAPVPSSPSSLWDRVSKWASEH KALVYTIAGVAVVVTSAGVVYYLSDSGRVQATVQPGEKKKSKKERRREKKKAEEEKKL EASKSSEPQAKQAEEPEELPEVDETTVQALDAETRALYAGKLKAAGNKAFGSKDYNKA IELYGKAILCKPDPVYYSNRAACYNALSEWEKVVEDTTAAIAMDDEYVKAMNRRANAY EKLEKYGDALLDYTASCIIDGFAKDASKQAVERLLKKLAEQKARVIMEGKGKKLPSAT FVSNYLQSFRPKPVPEGLNDDAELQEESGKGQLRKGLQGIGKHTGDGYEEAARSFEKA LELGDLEAFEALALNMRATFTYLAGDADSALNDLNKSIELDPSLVQSYIKRASLHLEM GNREAAADNFDLALAQNKDDPDIYYHRAQLHFILGELAEAAKDYQKSIDLDRDFIYSH IQLGVAQYKMGSVASAMATFRRTLKNFEDVADVYNYYGELLLDQQKFEEAIEKFDRAV ELEKSHKPWGINVLPLINKALALFQWKHDFQEAENLCQKALIIDPECDIAVATLAQLL LQQGKVSEALKYFERAAELARTEAEVVNAISYAEATRAQLDVQDRYPKLAARLAQMGG AGGLGGVF UREG_06125 MSQSCSEAAFQIISSLRFDPALPNASSSHNAAYYLFPYHYDRLL AAATDFQWTLAVSRLQERGHDHLLGILDREIPSPSQPWRIRLLMDETGEIKSEFTSLA PSPSNLLLLPTLGEASHPFSSSDQARFSPRWVLRLDSQPTTPSLFTRHKTTRRGAYDA SRARAGIRSSQEAVEVLIYSVSGEVMEGSITTPYFKRSRRRPGSEDLEEVWVTPPLSS GGNAGTTRRFSLATGLCVEEVVGVDDLVDGEEVWLSNGVRGFFPAVLQIDA UREG_06126 MRPSACRLPIRKNRKQEKQNAAKVPAQTRLPHIAALASPSPPID DLPPIDRECRQSLSHGQCWCLDTMKFFENTFNYDYSFPAVSLAFFLRYPNPYSRHVLT SDVIERYVDPKTQRLHTTRLHLKRSKIPSAMLKLLPKGIGGADHSGQSFILETSVVDV KEGWMETESRNMEWTGILSVVEKQLYCRCVRSGHFDAPAPSLSSAFSIDDRRDEWTTV KTTVTFRSRLGQSMLTRSNKPDAAADADEEPHKRGFLAAWSTAGLQRTIELIGVKRTR DAVFKSKQGMNIVLERLRYGGIVAVLEGMRRDREAVGGGHPDGAWKRVWAHGTNGGGN GEHSFPHIETDHADDDA UREG_06127 MAAAFDDEDLSISLPSFDQDGLDDRALHSHPSMPPPSRPFAVPS EQSPATARDMQRLEQYKTVRILGEGSFGKVKLAVHQASGREVALKIISRRKLLSRDMV GRVEREIQYLQLLRHPHIIKLYTVIATKTDIVMVLEYAERELFDYLVSRGKCNDDEAR TFFQQIICAVEYCHRHKIVHRDLKPENLLIDSEKNVKIADFGLSNIMTDGNFLRTSCG SPNYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGKLPFDDEYIPNLFRKISAGNFYM PSYISTGAANLIRQMLQVHPVHRITIREIRRDSWFKKDLPKYLQHPTEELVATGADPN KAIDLRSIAPGKSPAVREKIRQNAVTKLERRMGYGKEDIQDALLKPEPSAIKDAFFIV VENEMMQTNCECRIRSFQPAEILTSFQHLQSRMAVLHRFSPKKVQMPLHGTIARLLGP ESPALMSHPLPPFTPYRVPPPTPPASRVSHVRILPTSLPYVHDQIMIQRAEEMASRAA GPSEDDSRASVQHVETVDHVGSPREITPEEQAATARALKPHSRSIVDLDKLRYEPPEK LTQVPSQPKRSRKWQFGIRSRNLPYEAMLCLYKAIQAEGGVWEIQPAEPVIDAHGDRT PEVTSDIPGSLQHKYRDIPSEYYIPKDLWFIRARLLKQGVHAPGPLSSAHSSRSDLEE FRRRVSLKGGVLHPEDKSGSVNTAASSLSSHSASFGYGVWVFIDIQLYQLERDNYMVD FKCDGYQNVVRIEGDGSVTEWRPISKRFRNKEKEITSPYPFLDVASDLVAQLAAAT UREG_06128 MLPMKPLNLFLRLLQVAFATIVMGLIGDMLNDYRSHSQATVNYV MFTVAFALATLFYLIAANSSESLMIHPVILFLVDLLNLLFLFCAAVALPSKLHVPDCS NDRELRENTITRQSRSLRKTCREAKAATAFLWFLWFVFLISTILSGIRMTGGWLGMRR RAHRRSSRVAPQPTMSQV UREG_06129 MAMQSTLITRRETSAQPVPELSGAFASNQSSYTNSPMTETLVGV EPWMQEMLHEDLFSTPSLGSTYETFAGFETDTVPSTFAMNDPALFGAESWSAHGADSC EFAQTGDVVFAPGTAFESFDSSTDFDADLASFLSGNQATQTSPQQSFDHLPEAFETSA SHHDHSQIAFNQVTAAFRELTRARAAADPRPVSNKQKQRDASIALYLERLRDACEDAV AVINSSGSSNHSADSSCFSSPNLNSLQNSFHSNHDPVVRGESESIVFDKSMATDVNSQ QLYPVESPSHSTASVSTPHSEASSTRQQCTQPPVTGGVELVMDLNMNAATTLPRRHRP RTQAQRERYLAVRNRGACEKHKKQHKRCTCVDKDIAPSESLKQNSVGLKLPGGSITKQ SSGIRSQQTQSSSLPSGGSTTGYKWCPGDPNHSTPGSILTREIMPRGEYPISRRGSRR GPDHSMSEATLHRQATKFADASESRRCRPDSIHSLARVVRDPDARSSSLPMSICSDRP NRRPGSPSCSVMNTGRQMAVYRVPRLIARPLSTPANVPMGYHQWSPNQSALKIAEHTR SRPTFMITSNSDKLGGSESGHLNRLSVIHTRSSAVPRTAFDDDRRYLGDINHSAILQL HSTFARADVECHESGLEWLSYLMSRAYRLLSCTWRQTQKAYIKDPFRVWLVLWAVLLV STLIFPSIF UREG_06130 MKSYAILALCLATVSAVDLIQIRIRTNADKEVGLLADLDELART HPSASRGIGASITDKNVYCQAFSDPHGRDELGDPFSAGNDVAFTSSKDEKPVQIGSVL CSNSVDKLEGPGQKPLANEKGGDTTEKTVRVQFRTQFVEFTRGDVKLGETVQLGSDSK LGTTVEEAMVVSATGDVDWTAVRCQLFKDPEGKEKLGDAFTTYGETYGTEPAEVGAIR CDV UREG_06131 MGESASVFHLEYSTVTGYFLQDDPATDPATFDYATSNFGLINQA YDTDTLSDPNGSKSQWERFKHKVLTLNQDAEPDTKYTLLYLGRHGQGYHNVAESYYGT PSWDCYWSMLDGNETSTWADAHLTDRGIADAKVANSVWATQIEHGIPVPESYYTSPLY RCLETADVTFGTLNLPLSHPFVPTVKELLRETIGIHTCDRRSSRKHIKASFPTFNIEP GFAEFDQLWDSKLRESSSARTERLRAALDEILLSDSSTFISITAHSGAITAILEVVQH RDFPLPTGAVIPVLVRTERKPGKAPHRTTEPWTPAPECTANPTATQKALN UREG_06132 MAGAIEAEGAQSTCPPVNEGGDTSNDSAKPVFKGERPACFSTTI QECIFVITTTMAIGQASFFTGMNIGVTAAIGEALNMSSAEITWITAGASLSSGAFLLS FGKFADLFGRKVMFVVSMLLYTVIVLVAGFAPNAIFLDIFSGFVGLCSAAVVPPAVGT LGAVYEKPSRRKNIAFSCFSAGNPLGFIPHGSCLQNEIAKIYEISLSGDAPNGWKTGY VIALLVIGVLLVAAFLYWQSIAKYPLMPLYIWKDRDFSLLNAILGLGFMGFSSVSFWL ALYLQRIKHLDALEVALYLLPQVVNGILVNVIAGLILHRVNNRLLMGIGALSYVACFL ILSFMQEDATYWAFIFPALMLSVVGADIEFNVVNMYVMSSLPPSQQSLAGGIFNTLTK LCQNIGLGMTTAIYISMEHKYSYPPSIKPYLSTYWLSAGFSALGAVLVFFLKIGTQGN STSREEKRPIVGDETRESVRAGKDGKA UREG_06133 MSFAVVLEGMTLITYIVILSGGKQMRETGWKVLTVFLVFITLVQ CGAMALVSYLFDNDDRFYPGWKLDTSWILTVVSMCLAGFNAVIIYMTIRSSRTTHHDF SKVPSSSQSDFLLVDCTKAFAALTRDFKEAAENAVSLPEDDPDTFERFLQWLYSGRYI LSGIGSDEEVDERYLQLAQLYTLADKLEVPPLKHEIIDELFMMKRNPDKPPQTEVIAY VYENTGERSPLRKLMVAWLVWHVDFRWYSTPNATNFLSQCPEFAADLAVALAQRLGAF SSLSPFYSSPDKYYEDSGTVSSVQSPTGDTWKSSRLVSTQKQGLNITPTISTGATPQS TSGPRPLSGTSTFGGGGSTSQPGSCLLRVSEPLRSPGLFSNASSDTTQTPTFGRLAFG STAANGFGT UREG_06134 MDINSLLSHDAAPANQARNAPTNPPRRRQSHNIRSNPARQNMTS SPLVHQVLTPSNLRDSSPPTRSPVVGPVRSSGATPPSADLPPTRQASTPGMDTLADLA SMQQHQPQRSNAPRLRNTESYESQLSPSTMYPNVPPVAHTTPTPRPYEKAMSDGSGDS PRRDYSNTCLNPDARKQATELCAEIQRNPHAYDCRVKFIKLLHQGFVDHVYPPSSPGS RGDPHRYDVLRDLRAAREELDNLFAIGEDLWVEWIQDESLLARTVEERISVMELCQRS VEEEFGSTKLWIIYGDWMLYLYDAASKPGETASQGQWTEEDKTVGREVFSWQSVMEVW RKGAEATRWHINDSHLVWNRYLELAMRELASSPTPDKASQVRGLFESRLQTPHLAWDQ TFQIFSNFVSTYYNASYEDTMVSTNAKAGDIKATCDAREPNELALQRAVESGDTAAEW SAYTQYLDFETSHKHKKPIFGFQLRTALYQRALLRFPTDANLWDDYVISVVSESMHHH VNEPVIPIIERAARHCPWSGSLWSQLLLSAERAGYSFQEISDLKHKATRTGLLEAAGV AEVLKVHTTWCSYLRRLPFQSNSTDEDLDVAEVGMRSAIESVQAIGDRGDKAVPNDPL FRLERIYIRYLSETGSWDSARETFKGLVSRHGHSYEFWLMFYNWELLCWSKFTQGDGP RKAPSPHYATAVLKQALQKEDLDWPEKIMDTYIAHCEQYEDAEELQLAIVEIRQVAKR VAKRREKEALEVATQQQATVAQAVQEAQHNVEEAAAHAGKRKRESADINGVASKKPKA EVSEAKSTEHDAVQSLPKRDRENSTVSVKNLPKDVPILKIRQFFRDCGKINSLKLIPA DGNSASAIVEFDTKEDAEAAQTRDQKVLEGRTISVQLETKATLFVTNFPPEADEAYIR RIFGPYGEIAEVRFPSLKFNTHRRFCYVQFASTVDAHAALELDQEPVGENLHLVVKIS DPSKRQARSGAFEEGREIHISNLDWKATEDDLVELFMAFGKVEVARIPTKADGGSKGF GFVAFSTPETANAALAMDQKEFRSRPLRVKLSSHTGAKRYSTAILSRIGRSKSPSMEP NGGASPASAAISQGDLPVGEKKLRTLGLMNIPDTVNDSRIRTLVEPYGPLVKIILRPD HQGAMVEFRDVGDAGKAALGLDGREIAPGRRIHVGPVSEMLKQPAEHKVDRLEVGKQK QNQKPTLMTQPAAPIMRPGQQGRAGKRGGLGVKRGAFKGKTTTADNTGGREGGHLNDG GTATHEGASTQAPKSKSNDDFRAMLGQTKAKPAGA UREG_06135 MAAACAAFASRQWARTGMFYATRLPQISRRGFLSSPFLNATETP TSSIDSSDAQPGAKVPFRPPPIKRGSLASGSIFADGEDVPKFTTEGRTPRRRAEAAQA APGGAAESDSPPLSERDTANMERTLNPFPNRRARWQRKMIIRTVHRRGRLTRREQIMQ SERELQSKSHWFKTSVKKLMHLARQIAGKNIDEAILQMRFSKKKAAKDIKAFLLQAKN EAIVSRGMGLGQAKVQATLSEAAEGTEQTQTTALAASTDTAKPVEMKLKDGKYHVVTD PTSIYIDQAWVNRGPFGKELSPRGRGNTHILRLPYTSISLVLKEEKTRIREWQEREEK NIRLRKKKVWRQLPDRHVTTRNQYYAW UREG_06136 MSSPSTPRSIASRQSHSEGEAGSPGVLTPGRKIKALLAEFDTDS ESEGEIIAAKTRGDSGLFTRANVQKRTTVNAVDNDGDDDNDDVPVAPRGRLAARLHAA SEPRENQVGNFFRELLGDDVIDQGDVSEDSQSSSGDVRLAVRNRTLRSNPSTLRDDMS HRASSPLFIPQDSPIRPTSTTTHDASDAESEVLAPEKRSRLQALVEQKRKEREEKERL ETEKRAERMQRLAEARMQLTSDAENADGDEQEDAEAARKLSQYSRPARKASKKALLEM NRETQRMSRNMQLAHQATTKRKFTLSGFIERFNQKCNVDHSIVCRDAATDTHSSAIAS SVHHSDDDNVEQDRESTPPTSPLPYASENDKEPTTEGGTFLNQLEKEMVAELAEINEE LGNIPSKEPATKQKDTISKRGSAAPAARPIRVQLSRQTVAESQKNDSDSDLEIVTSPA KARKLALFENFSAKSSASADTLRKLKLLARITSPKKNRTLTRAEHEQWLFQQARKQAA QEREEKIAALRARGVILQTAEEKAHIEDDVENLMERALEEAEELARKEKAAKKKAGER AAELDDSEDAEYDEGEEDEQEMEDGDDEEDEVSEEGESDDGNAIGNEELIMGEAADES DEDASEEESPNNDRPTGVSQRQRRPKFIVSDDEDDEKPAAVAQTPVKPFIPGLGPQTI PLMGLSQAFAATLAEDHDDSNEQDSLAALRQMPEFDVQVGDLLEPDSQHIVRDSQAVD AGTLDLLADFTQHDARILESPAAKTMSDYSQIPEPSQDVGFVMSPFDHGKRFLTQPNS TLDTVLLRKDASPIARREGRRLKRGVVHAQSDTEDGFLVKPSAFDVMRKAAKKPVTPF DKKNSKAKQVVDEAAEESEDEYAGLGGASDDDSGEDDELDLTMINDNSAEVVDEQELA ALNADHARQKDEGEVNKLLRDITTGALRRKRGMGDDFDLSDSDDERIAARRRAKQREF AKMRKALLADENITKLADDPKKQAFFKTIEDRDVDDGLDFLRDEYGESNSDTGALLGA QPESSQSASDEPGRDGRKRPLNTAGLDVLNRPPAKLRRTAGDGKKKPSTLAEIRAQLS SLIGEPDAHDAEEMVPDSQPDMPNDEDAPTGREESPGRQEPAQSTNPRRRGKVVDRLS LRRAASSNAAMSAIAGGAGSGSSSSKFAFHTSTTAPEFKSPPPLLLRRTTTNSSRSSS STSSSSSGVSTPAAIAVVKSDSTSAGGANLGKKGAVNYYAAAREKERELQLKRNLIRE NAASRKALEEHRASREKGLTGLLGGGNWE UREG_06137 MADLDAELLALAGGDDSSDEGTSTPAPQKNLSPLHSSSKEQHSV EDQVDEMARKGMARPVKRRKKVKDDEESEEGELSSSTPRSRKSLNSASMSESDSETSS HAEEKQGPIFPYEKLFYSAKDKDEIMAMPEIQREELLSERAQLVDRHNQDLALRRLLA MREREEAKVTEKRKRKASDTEGQRKSSRQKTTLGGRKVGEASGAIEAYKRQREQKGKR EEQRRRDASSRKKGERSASPDGSDVDAHGESEVEWDDDARRRSTSPAKDALPAEFRDF ERARVSRRNFAQYCFYPNFEKLIAGCYTRLNVGPHPDTGETVYRLCLIKSFVEGRPYA IEGLNGRKFVTKQYAVLEHGNSKKEFPFIFCSDGPFTESEFNRYRQTMVVEGFKMPTK SVLAKKINDIHSLINYQLSKEELEEKLKRQGTHDNKMAVFQRIQLQKRRQEALASGDE AAIAECDTELARLSGPKLAFGTSLVEPRSSEKTQQERLAELNRRNQKLNAENVRKAEI AERRAARLQQAAVARGEALPDRFARVKTRARTHYDASGNRLVPKKEQRSEISGSVTPL TAAGTPNKVTPPRGVTPVNIIKKDAPAQTGIPKLRYAPNADEILAASLDFEIDIEI UREG_06138 MIRTAVAADSAASAQGCSGPSTTQPIGERGAPARITSPETSHGL EKRFSGLCLLRIAAAEMDARSVLLGHARLWRAGAFSSSICSRCLRASQPPVRHSSVAS STRPRMVLTQAQRDFLDSALRVNQAGELAATHIYTAQSPPVVRSHPHLRPLMKHMYDQ EAGHFDTFNNLIAKHRVRPTVMYPIWQGVSSFLGWSTGIMGREAAMACTEAVETEIGT HYNDQIRVMLEWFADAEQRGEEIDGELRELVTTLKRIRDEELEHLDHAVENDAKEAKP YDPLVNVIRLGCRAAIKITEKI UREG_06139 MGSISEHSSSNDGLSVLFVCLGNICRSPMAEAVFRHHIANLPAS SPLKFSTVDSAGTGAYHIHSPPDPRTMSTLRQHNVIKYSHSARKVNKADFREFDYIFA MDDSNLYDLLELQEKVKRDDEKKGDTGHRATVRLWGDFARDGSVCDKVGGGESVPDPY YGGNDGFEEVYSQVVRHTEGFLKYLERKTAES UREG_06140 MAIYQCHRLIGYWINLPIRSKYRNSFGHNETFEQNHPYRPSPGP HRDYTISPEAHHDAYFNPPYQPTPSSVDDYDLTNYPQRYHDDQVPILLSDTPFGPNPQ PEDGAFSNTSAIATTPSPAPLRRWKTVKEVQLFNGNLVLDCPIPPRLLSQINHVAPPE RDEFTHMRYSAATCDPKDFYNERFTLRQRLFAKPRHTELFIVVTMYNEDDFLFARTMI GVFKNIDYMCGRSNSNTWGKEAWKKIVVCVVSDGRAKINPRTRAVLAGLGVYQDGIAK QQVNGKDVTAHIYEYTTQMGMELKGNQVLLKPRRGMPVQMLFCLKEKNQKKINSHRWF FQAFGRVLDPNICVLLDAGTKPGKDSIYQLWRAFDLEPMCGGACGEIKVMLDRGKKLI NPLVATQNFEYKMSNILDKPLESAFGFISVLPGAFSAYRYVALQNDKNDQGPLEKYFA GEKMHGANAGIFTANMYLAEDRILCFELVSKRNCRWILQYVKSASGETDVPDRMAEFI LQRRRWLNGSFFAAVYAITHFYQIWRSAHSGSRKFMLLIEFIYQTINMLFAWFAIGNF FLVFRILTTSLGTADLLGRAGSILGVVFEWLYLATLVTCFILALGNRPQGSNKLYLTM TGFWVIIMIYLTFAAIYVTVKSIQHEVADGQFSFSAIFKNLQFFSMIVSLLSTYVLWL LASILFFDPWHMFTSFLQYILLTPTYINVLNIYAFCNTHDITWGTKGDDKAEKLPSAN LKPGGKVDVEIPQDDGDLNAQYDAELTKFASKPPKEQRAVTEEEKQEDYYKGFRSSVV LVWIFCNFALGALVLNAVGLERIDLNNESEANRSAIYMAVVLWSVAALSLFRFIGAMW FLIVRMFGGRLLPMVLLVVVCYPEQTHMAAQREHGGSKLPPSKPSLSTTDPTATRLTT GGDALNVHQTAASGGSTSSTITDPNAPSTTATSTMGETTRAAGTHPGGGAAMAGSGLA GTGTGGIGTFQGGRTAGSSTNPAGAMPITGARGQGERTGPMPEKEGGVKGFMAGVHGA GEEARGKMGEIVDRVANDPQGVAKNQAIQREGREEIETGRFGQKTKEREAMKPATGRQ NP UREG_06141 MAGFRRRHSLPRGWSRLLSLAVLVFFLVDLARISRSGSPSILDP RPPSRTVQSGRRVFIASIHWNNEAILRSHWNDALLDLARTLGPENVFVSILESGSWDG SKDALRILDDELEKLGVERKVVLEETTHQDEIDRVPSPDEPGWIRTARGAKELRRIPY LSRLRNRAMEPLSVLASRSEHQRTFDKVLWLNDVVFTTTDVLNLLDTRGGDYAAACSL DFSKPPSYYDTFALRDSSGAKAVTPAWPYFLSSKSRHALMTSSPVPVKSCWNGIVAFE AAPFYHPSGPEFRGISDSLAKHHLEGSECCLIHVDNPLTPVKGVWLNPNVRVGYNEQA YLETHPRSSATWPSSRERIKGVWQNRAARWVNLPYRNLENLVVRWRVRRWKAENGLER EERGLYCLINEMQVLVRNGWAHV UREG_06142 MDPDTPPPEPSPSALNYILSFLLVGIAWGFTTPFIRRAAVEFRA RNKPNHQDQPEENSARRLPRSSWAKRKLTGFFWTVVNLLRTPQYAVPLLLNLSGSVWF FLLVGKHELSLTVPITNSMAFLFTVLGEWYVEGKVISKQTWLGMSLVLSGIAFYFKDI YIPGLDIAVYETLDFGALIFRRFFVAASTQDAGAIAFVPSGRVAGEFAGRNSRRLEAN IARLPNECMRHRHQSFGTRDREGGMRLMHLAELATLVPFQPPVFAPSKSTMPVVVPPV GENVVDKTPDPSDTSTQMLLHADAETTSGTMENFNMITSKKRLYLG UREG_06143 MPLTHSARNWATFRRQPLAEISGALGDLGTFLPILIALAVNGSI SLPATLVFSGLYNILTGVFFGIPLPVQPMKAIAAVAISRSFCAGEIAAAGSFVGAVIL LFSTTGALRWFAGVVPIPVVKGIQVGAGLSLVVAAGAKIKGELSWLGPRWVDNYLWTI AAFAGLVVTNIYRRAPYGLILFLLGLVFAFAVLATSDGRFPSWGLELPGVVRPSLDEW TRGIMEAGIGQIPLTTLNSIIAVVHLAGDLLPDVRTPSITSIGLSVSGMNLLGVWFGC MPVCHGSGGLAAQYRFGARSGASVIVLGVVKLIVGVFLGNTLIDLLKAFPTAFLSVMV IAAGLELASVGESLNTAGAWDLGKHDRSGVLPTMRPAELQLSEAERKQRWTVMIVTVG LLLAFKNDGIGFVAGFLGTANTRRLGAPRPKPKPQLPRMTPKHRGVPTLKARDSAGLQ YLSSHIVQRSPSNMSSHAGLASDQVAFFKDNGYLVIPDYLPQDTISALRATTTDLLNS FPLSSHPLTRFTTGDDEEENANNAKHVGDEYFLTSGDKVRFFFEPDAFSPTTNELTRP KHLAVNKIGHSLHSLSPPFSNITHNGTIGARNAAIARSLGFRDPRVLQSMVICKQPGI GAAVPPHKDSEFLFTDPPSAVGWWFALQDAGRGNGGLGFWKGSHKRGRVRRRFVRSAG ADISAGTEFIDWSGPGLPKELEGEGDDYVPVDEDFEMLDIPAGSLVLIHGNALHKSEK NTSEQSRFAYTFHVIEGAEGWNYDERNWLQPPENGEGFTRLYAGKE UREG_06144 MAAPVLPLPQVKLPSVPSTRLTSEQLYWRSFRTPLLISSPSNTP IHHISQPLASASASAASLQPPDIFTVTTGARVQLYSVRTRKLLKTITRFDDTARSSEV RPDGRILVAGDETGTIQVFDVNSRSILKTWREHKQPVWVTRFSPSDPTTLLSASDDRT VRLWDLPSETSAQTFVGHSDYVRSGCFMPGTQSANLMVSGSYDQTVRLWDSRVAGRQV MTFKMSAPVENVLAMPSGTTVLAAADNQVAVLDVVAGKPLHLIKNHQKTVTCLSLASQ GARVVTGALDGHIKMFETTGWNVVGGSKYPSPILSLGVITSGPQREDKHIAVGMQSGV LSIRTRLSGEQKIRERERQREMQAILEGKLEEHDRKVAKEQKKRGRGWEKRFRGMDFV GEGVDIVIDSQETKKRKKEKAWEHDLRKGRYAASLDQVLAAGNQITVVTLLTALRHRA ALRTALSGRDEVTLQPILKWVHKSISDPRLVDLCVEVSMNVLDLYSGHLGQSVQIDRL IDKLRRRVQEEVDRAQNAWQTKGMLDMLKVS UREG_06145 MLSKSAQLALGAHTAGIYADMSIDGPQIGTLVAIIDRAKNLPNR KTMGKQNPYCACRLAKEAKKTDTDMRGGQTPKWDQELRFTVHESPDYYQLKVSVFNDD KKTDLIGETWVDLKSVIIPGGGQSDSWHSLSCKGKYAGELRIELTYYDTRPKDEAVVE RRKEAKKTEARHQTSSSVSGPRQSKPPKRRPLPADPTGAIPEKSSSVETSAAPKRDTP APEPHRPQPPEQVRSTSPSKVSRAPETSDEQGRKRRAHETYPPVQSSGSSHHQSSYHP DRQNYGDIPDNPYPAMHDFPSGRQAHHHEAGFSEQPARVRQPYQNDPQSSHPHSHISN PLRQPQDYSNEPQSIASLSTIDNYHQHELSSHQSVPSSMPPGDNHLALTSHRHEYQEF HGDTDVYQEAPGHSHASNQYQPYTPDSYEPASRHVTRQIGAPERLQTSYASTSRGPPL ENLDLQRYSTLDHSSQLVVRPGGHRGHQHRYSTSTKPDVHRESPLRQTASQTNYQHRQ YMQPHVQDDDDNGPPPPPPAHRDGLGVPPASEQFTSQPQHVPMPEPLSIAPRTSPRPV ERQSEYIPYCPQYADSNFTPDSNQVYSTSPAPSYRSMTQEPNYLQERPATSGSDSVPP SLVAGYDSNLVKEPDWALPDRHGYRQGNTPTQPSTLTQVMRSFPAGLPERKSPKSVDP SQIPARKSVSPHPAPSVDPDSLPGIPFSPESYDAINPNASLASGVEQPAPPYESVEQA MEAARQHEVEKIRTLGPIIGNDGRTIDPSDHLPADTWAPEPVRKSKKPEVVVRFKHTP GSSAASSRSLTTDRERQPRPMSMIAPNHGYQSSSVVTAVQSQPSRSRLQKLTSRPQSY IQPSPSARDPSPRPNNTFPNRDSFVAHNLHSTSPTNVNTPRHYNSSAPPPYSDASPSP SPRYSPSTISHHYHASGPPIPAKVPIQPGSRHYPGMPSADGDINALSEEMKRIDIGIG KRGRKGHTSFMGGYGQ UREG_06146 MSFKIPSRKAAIRIVDPTTGAEVAAVKHTTPDGAATHRSPPPHA QKGNARRNQDEPKTTNGLPLMKQTPPNDSTYAKSTLPVTISFSHENQRPLQMASVNQS NSVFGFNSTDWKPDINAPSYIPSYLWAIQQSPAMDKISKQISSIDFGAYIRSFAGALY LDPVPQIHPEFREVPVTISRHPRNLTPDSYFDYFSECLTLEGFSHSATLSPLVLFNTT LEPKNQQQHLYTLKVPGLRDNTPQLSLGDMVVVRQFFPFPQITQQGLEWVSNNQNGLN GSVAPGFNGIQIRSYVWGISKPTETVIIRVDGFFGSSKSCNVSFTLPLDSYVASWRAI QKISGTETHAANSYDSTPSARDKHGWIRRMLFPEEKHCLTQKDLPKGSFDRNWSDSQL NYEQQKAVDSVVSRNFGDLPFLISGVPGSGKTKTVVECALQLLDSTSDTTPHLLICAP SSPAADTLALRLSSHLKPHELFRLNGWNRTFAEVPDKLLPYTYTDNEVFSLPNFQMMM KYKIVVTTCNDADMLVQARLTNGDLMKLAYETISAISPSAQVKPEMLLHWTALIVDEA AQATEPSVCIPLTVVSNPLALDPQPGNKTSLPLFIMAGDEHQLAPRVYNSDTALSISL FERLFGRPIYADHPLSRRNAGPYKKLTKSLLPMPRPAFVNLTRNYRSHPAILAMPSVL FYNDTLIPCATPSHAQGPVPTWPEWRGRCGWPVLFNCNTSQDQVEDVLHHGPGTGVYN DAEAMIALRYTRSLLAHSTTIKYKNFSGPHGSKPRPKRIHQKEIAIITPFLAQVSHLR KIFRSHNLYDVNIGPLEAFQGLETRFLIICTTRTRSDQRFLDTDQSRALGLVGEKQRF NMAITRAKEGVVIIGNPNVLVGTGKDETWRAFLSFCARNGCWTVEPDADPSTRTTSDY WRKTLGGRNENEAGSATYYDITNVGYVSRLERGLLHADLLEEQDGEDGISFGDTPLEN TRSNRRNFGTLREEEDYDAAAMWTAGLAAEEALRGSLEDEFFS UREG_06147 MKNLEGQQGPRCQFSGEACSTESPHYRKVISHIFGRNKRCTIGV PDFVWIYYCRKHYQRARYRTGEWPFRQCDLAIDTIKNMRAWGGVENFNLQLRRRETQR ATGNEDRYEEEDSAILAADQGPVTSSHHVNDGDSSMETAFKEERESSSAEPETAEFKK RSPKIIPRPVPHWLYDRVGDHKNFNEILQMLRDLRHHLQELIDSGHDAHFPDIEILPN LRQQSPVLRPIAGKSRRVSSRGNVEKIAKK UREG_06148 MAPLSRHRTLLLTLDAFNTIFHPRRPIPAIYAGVAQDLGVIPSD ISHDVVKTAFKAAFKHNSAHYPNYGRDTPGFGGPKVWWGNVIRECFANVKGRDSTVND IPDRLVETLIGVFEGDSGYKLYDDVIPFFKKLQAWKDAKRSGNTGKDWDRIVIGVVSN ADDRVPTILRSLGLHVGSAWANSGELLPTVDKAYGAMEGENDIDFIVTSYEAGKEKPH KHIFDVARKRAEEHLRTCGADIDCPFPAGNDLCVHVGDDYHDDYEGARNAGARAGAEN SPLDSRPR UREG_06149 MTRPSHNTTLLPRQLREELGLKDTSQTGARHSGARNELSRKERR KAERSRKGTGPQQGRSHNQKRKQRDEAFDDGHGGKRAKARQPDKPQKLKSILKRTNPV EPESEESDLDNLDIDLDEGEDEYEDSEVDPEPNHRKIPQAVQDKLTEDDAEIAALEKK LGLKNKKKLPKVFAEDGLGDLLGDLESGSDAEEKKRKREGEEWLQRKRQKAQMYTERA GEHATDSEEMDDEDEEDEGEDFSQSEDDEFEGFDDDNDELAAQKPKQRENPYRPPGAA SDVAATKYVPPSKRIPSSESESSSRLRRQIQGHLNKLSEANMISILGDIESLYREHPR QTMTSMLIELLFGLVCSGGTLNDTFIILHAGFIAALYKVVGMEFGAEFIQNIVERFDY LYEMEEKEEPNKKSMTNMLSLLSHLYNFHVIGCGLMFDYIRLFLKTINELNTELLLKV VRNSGPQLRQDDPSALKDIVLLIQPAVARVEEATLSVRTKFMIEMITDLKNNKLKNAP GASISSEHITKMRKILGSLNTRATRATEPLRIGRADIHNSDKRGKWWLVGASWKAGST SNADTDMANVNPHAVLPDTLDDDLGDGRAVDLAQLARTHRMNTQVRRSIFVAILSASD CQDAHVRLTKLRLKRNQETEIPQVLIHCASEEAIEE UREG_06150 MAESIQSLKRSYTVPSRPPHRMRHSLGSYDTPHISIPSDDLLFY HPSATIVKFELPQSSSSSPILPDLDYPVDAIETLPWKTRSETIAAIGVLRIENVAGSA AFLKSGNVVYALLKNCQCWCVDAKSKFVLRVRKLTYYRIEFPHETEEDAHKVEEWKQV LSKIIRYEITPCPFKRGFSVQLPEEAKTPKKKRAWRPKTTFNLPVRPLDFEGSVCSDD RESSDFGSAGDEIDTHSERSGSLPPVGRRYSYDHRSSPIPIPKRASFRVVSEPTPNFE SLLARFQPGPRSDKSSCDEVGSIASSASSFHSVIDNSPSPPLESYSTPPSPRLPASES PPHVATKPSHTRDTSVATVVPEPADDPSPLSPPPLSPPPTIHDQDTSTNSRTSAELGS SPQAPTHNDLEVPVENRNSTIRRRIRASRQRSFSPLPPSSTLFTPTPRSPINNLIDAV FEKTYTFVLGPPIHLLVMFLRLAAEVAAGDNPRGAAGPGPRRPSFRPETMDDTDDIWS EDDFGNPLSSAATKQSRDYPSGADSSDEVD UREG_06151 MPLLNLAALGYVAPTGCYTGWGKYPYARPAYMPLKRIVKIIKQG GTVVRVPSSRSSGRSKTRSAERFVPKAFEFAELLSSTVLEK UREG_06152 MAQTFPRSTAVITELARTNAQTPPPTNNDWTYVDYSLRILGRSL DNHSQEMDRRHRHQMNLVVDQLRELPNIKRLDKMEQKIDEKFEAVDKRFEKVEKKMDE RFEAVDKRFEGLEQELRDIKAQLANDKAMKANGLLRRLHQPINPIKVLKLIRSNEYEW RSHPKMPKHMKALFKLGQSAKEEAEILPPHRPQAALKTVRELAKFYEVVVYSDEQSES EATEVDVGVDPDAYMDMLLDKWGMDWSKVYIIKKPPFSYGNSSLQPRLDFGCIALA UREG_06153 MKSPISSWNVANRLKKCRLLIAINTIAALSIFFFGYDQGMMGGV NNAKDYIDLMKFGHTATVNGSPHTPVITNSLLQGGIVSVYYLGTLIGALFGGWIGDRI GRIKSIALGSIWGILGAGLQCSARNHVWMIFVIARLINGFGTGILNAIVPVWATETAE HTSRGQFIAIEFTLNIFGVVVAYWLEYGLSYIDNGASAFRWRFPIAFQIIPLLILFGA VWFFPESPRWLVKMGRDQEARFILARLRGDEGEDVSRAEAEFQDIKHVAELEKTLSYS TSYFSMFTGRGSGKLHIGRRVELVVWLQIMQEWVGIAGVTIYRMQVLTDHSPDAPTIF RIAGFGVMKSQWISGLNKIFYMVENMISTLICVFTLDRIGRRWTLYWGSVGQEIAMFL AGGFARIAINATNAGDASKASQFGAAAASMIFIFTFLTVPWLYPAEIFPLAVRGKGNA WGVVGWSVGNGWLVRIILETLPSCSIKNAKGIRNQTLLCPVMFDSIGEKTLYVFAISN VITIPMVWAFYPESNQRTLEDMDLLFAADSPWAWDAERTFAQLKHENPNFAQATAQLK NGELDEEAGKLQVAASKEMQKSSN UREG_06154 MAASKATATTRVNARRTAKSLQQRRSSTRPSSTKPDVVKASKSS SSITHYPFPVDQEAVARSLRRISSHPSLTPYRRLVYRTLLSVPAGRWTTYSTLSAHLS SSARAIGNAMKTNPFAPEVPCHRVLATDRTIGGYKGKWGNGDLTIPAPKHLEVVKSSA FLAVKTLGGGLGAACQAASEEIQHGMLHDS UREG_06155 MALLLPLLLLFNVPSGPWPHRSIPIEPAGFLRSNIPRQDVLFQN LGTTAEVVLKGTTLVGGVGEFQLEQFHFHTPSEHRILGQHFPLELHLVHSQIGNPSQI AVVVLLFQVTGRRGFSDIERVIFQSSRIGRPGQQVGLRGFDFSQLSRTISSVPMFRYT GSLTTPPCTEGVVFLIATQPLFINVDSFNLLKGVTRFNSRFLQQTIPAKQNILLSGMH NIGLTTQNCASLVNGIELIGQPGAGQPPVGEAGGQTEIVSTIVNGNVTQVVTQTLNDV QQTEAATLSVTETQQLGETTTTENVVATETSIRDGQQDQDRDDDQDRDQDQDRDQDRD QDRDQDQDRDQDQDRDDDRDRLQDLEGDQLIQTENITAATAVPTNSFNPLGRRVY UREG_06156 MAYQDYISPAMSQYPRSSISSYDRSPAPAMSYSVSSDSNCSRQT TSSTSSAYYHADSNASYTHSQMSYQNHGARSSQEADPSCPQVKRSSSRSSRQDSQPRS SPRYICVHPGCESSFSRPADLSRHQTSVHFRDGVMLDCPKPRCTRKGDQGFSRQDHLI EHLRQFHCMKLAKRSSKSQRRE UREG_06157 MASLRLGAPVGLASMPAELVLSVAENLTRECDINSLARTNRYFN DILTSRLYAHNVHHNQSTALFWGIKHLEKGTIEKALDAGADINAPVKSLGRNSNTTHP ILLAVDRPPYFRDLDERLPQDLRQKHLSMIQLLIDSGVDLEYKEQYLGRTALLIAASW GDDEIIRLLIDSGANINAVCDSGNTLLYYAAGACSAKTIHFLVDMGLDINMPGGSRTP LHIAANKLNEEATRALVERGAVVEPLNYDTTPFMLAANREGSTPILKFLLNHGANINW TDSLGCTPFHRATLAKDSSAILFLIDNGAKVDAKQHDGTTPLHNMLSQRDFKEKLQLT KLLLKHGADVQAEKSTGSTPLHTAAAVDDLKCLELLIEHGANVNKQDKSGYTALHIVA NAAGLRKDLAALLLENGADLRLKTSYRRLALTPASWRTSSDNNWIEEAWAKYGNKDAE AAKGTKDQAVTGCSDS UREG_06158 MTSRKTQQEIDRTFKKVGEGIQSFEGIYEKIKTTSNIAQRDKFE DNLKREIKKLQRFRDQIKTWAAGNEVKDKGPLLEQRRAIETCMEQFKAVEKEMKTKAY SKEGLSAAARLDPKEKERVETCEFLSAMVDILQQKIEAMEAEEEMIQASMKKGKKDVT KTTRLSDISRISERHKWHVAKLELLLRSLQNGNVETSQVLDVKEAIKYYAEDGHNSDF CGEDETIYDDIELGDDEAQFGMGLDNDRVSSQDTQSIQEDEPPEARRSKQDPGPPRRS STQMKSPLSALATLNLNGQSSTPAPTMKPAPPPTRLPGETLKYASAAAAAAASDKNGV GIAPLPPPPGASPAVSNAQPVSKPPSTASPQVAPVQPVQRLISNAANTDDLSSQSKSP TLSSVAASRPGTVPPTPAIAKAETASQKQPQPQPPAQQQQPQVQRPQQQQPKDQPITN GETKEEPSSEESVYHLPPGLQDLIHSFEVTKSRAAAPQSGSTQRLLMCCLSSTIHGSM RTERIETDTLFYLFYYRQATYQQFLAAKALKNQSWRFHKQYQTWFQRHEEPKTITEEF EQGTYRFFDYESTWMNRRKADFKFVYKFLEDDL UREG_06159 MESLGKSKMRRKARSETVMVAGSILQRVSSASVSVDKKLISSIG RGVLVLAAVGPHDTEKDAEALAAKVLKLKMWPDDSGANWKKSVQDIQGEVLCVSQFTL FAKVKKGNKPDFHGAADAVKAKELYEHFYSKVGESYDPDRVKNGVFQAMMEVGLVNDG PGLLLIRTR UREG_06160 MADSTAMLRSLKGLFRHLNWKPSRRPDCVRIVVPKTAENFRALC TGEKGIGKQGKLLSYKGSIFHRIIKQFMIQGGDFTEFNGTGGESIYGEKFDDENFELK HDRPFLLSMANSGPGTNGSQFFVTTVPTPHLDGKHVVFGEVINGRSIVRKIESQRTNP NDKPLVDVKVTDCGELTGDEYKNATQRFVDTTGDTYEDYPEDVNEELSLAQSYKIAVD LKEFGNKAFKSGDVEVGLEKYQKGLRYLNEAVEPSDSDPKELPPQMAALRFTLNSNSA LLANKLKRFTDGRAWAGYAINTAKDASAKDADKAKAYYRRAIASCGLKEEEEALKDLE EALKLAPNDAAILNEISRVKKHIADEDRKQRAAVKKFFS UREG_06161 MGREAIRTAMSVDRNKPASEHQGVHNRWHPDIPAVATIKNGETV KIECLDWTGGQIKNDDSADDIRDVDLTGVHYLTGPFNIETAEPGDVLVVEIADVQPFE DQPWGFTGVFAKDNGGGFLSDFYPQAAKAIWDFEGIFCSSRHIPGVRFAGLIHPGILG CAPSAEILAQWNKREAELVQAHGTDAVAKLPEPRNAYAGAATGDLLAKIRKEGARTIP GRPEHGGNCDIKNLSRGSKVYLPVHVSGAKFSVGDLHFSQGDGEISFCGAIEMAGVIT IKFNVIKNGMAQMDMKSPLFIPGPVEPQFGPGRYLTFEGFSVDHNGKQHFLDATVAYR ETCRRCIEYLRRYGYSDYQVYLLLSCAPVQGHIAGLVDIPNACTTLGLPMDIFDFDIR PEVPAQRRDMGSCAFTSDHRA UREG_06162 MSLANLPVQRREMGATLDTLPAELLMQVTSNVKARKDLINLSQG SRRLYDIITPQAYRSIHLQESPRLASGLLRAILLNSNLAHWIRDLDVCLSTPTSLNPW DEFIDPSKPKPVSIDSQVLERAVDNASNSEQEKAQWLSDLGQGKSDAYIGLLLVSLVS LEQLCIRTAGDEVYCQKVIERASMGEKSFGRTSPTFPRLVSARILDIGRSRYFTSNKF AAFLRLPSLRSINATAIFDDDSPWRGQENSTISHISLTGQVCVNGLHGFLTSCKNLKS FVYVHCYPGTEDSFGAAKDTLECLSFKSYQRRLNKYSFVGSFAGFKGLKQLEIRVHFL RSPEDEVTLYSLLPSSLQALYIDFVDYDSLDWVLRQIGIWAAIWRKCTPQLTRLTIAC SSVFSEDQETNIRLLCEEWAEMTDGQLVADFTIKRNSSLE UREG_06163 MHLTTLFVTVATALAVSIHAMPADNEDSAICTKPCFPEPTECED GLEPRLLVCPSDLNALGCILGIKFKED UREG_06164 MDIDEDPVPLPSAEVRELGTKVTLQPPLSRRGYGPGLVSHWIRA PRQKWAEEGYAVAEIKLCPERDGSFLARALKLALDGLVALEECDVKDAFGLICIEIPV CALAPPSEMSTLISSLEALLINSIVTYGSPIHFETSQLVHLPRKNEGESFVAHANTKI YEYPEANSPQFVIPQHQDFHASSAAVAHTRSLTFFKSKLGGPIFDLEAIWDEHTYFEF GDRSVAKTMGTMVQEPYVNHVPTMTGGVGRDRLSKFYRYHFIWNNPKDTKLELVSRTV GIDRVIDELTVWTTHWSGRLPQCARNPTNGPNRAASHDLRGECLLPEYLPFPYPLANG KKPAEGKRFEYRVPVAGNETAVKLIDENAVESNEMFTFEVREAEDR UREG_06165 MTEPRCLKCAKKGLVCSGMGIRYRFSPGIASRGKFRNRQIPVLD VSDKEGLDKLKRSSTPRKSASPIIPGYTEELDRSLPVADAESSLICATWGDTAYPVLD KDDRTEYLQQIVPEIQILDGKTQMLFSHFSAFVAPAMVPLDSEFNGYRRIFLPLAHQD PEIKRAVCLVAALHLSSKVPELREAANAARLASIFSLKRLVDEHDWGDVLSVSNWAVI ILLLAGEMIRGGSDFTYLLKMLMSLVNARGSYDEESEVHSFLMQQTKMIELFGRPFLG ESEGIQALSKGVSFYCDFVSHTLRYNPNVSRQLTVSLLIAAISHATDIYLRRALGLLD SSNPVDDGAPHYTSTTPASPSSSLQHLKDLVSEIHADTPGSHTLVWVYFMGAAESSTD EDRDFFTSKLQGLYGSIGFENIIIGLANLKALWDSGERQGNGAEATRWTERLPQIESL VM UREG_06166 MRGPLPSDLPKSRDGYHWTPHDGVSQGLPTLAAIRPETNVNSPR QVYDVIVVGAGYAGLTAARNAVREGLNVLLLEARDRIGGRTWSSNINGYAFELGGTWV HWTQPHTFREVYHYNMKDELEISQNYTTGVNHFQLITSDGVKTMSHLAEDKLLESAVK KFVDIDGNLGRTVMPFPHTPAYNPDVAKWDKLSMADRIEQIKDDLTPAEKAALEGFVL LCSGGTPENTGFYDILRWWALGFYTYQGVIDTCVTFKFHCGQSGFAKRFFDEAAGSKK FSYIFNCPITAIKDNGKTVQVTAQSGRQFTASRLVCTIPLNVLSTIAFDPPLPKAKQE AVKIGQINLCSKVHAEIRDRDLRSWSAIAPYDKLVYAFGDGTTPAGNTHIVAFGADLN PLHPEDDIETTKGAFNHLAPMDVQRLVFHNWARDEYSQGAWCMFAPSVGTTFLDALRA RHGNILFANSDWATGWRGFIDGAIEEGARAAQMVQQELVDPHPTPRTQIVGSKLMFPS IILYEHSLLAPGYSRSYLCYMKLRSKVQLQAPDPKP UREG_06167 MVSSASGDHASVAEKGIPAETVNHEGEALARLGYEEVAKRDIGL FTILCTGWNICNAWAAIAATIAIAIASGGTVTIIYGIILVLFLGGCSAASLAELASVY PTAGGQYHWTSILAPQRFTRGLSYACGAANIFSWISVCAGVSIIVPQLILAMVIFYNP GYEPQPWHYFLLYQAANFAIAAYNIFMLKRTSWIQDFGFTLTTSSFVVMVITCLARST NKQSSDFVWTVFINNSGWSSDGIVFLTGLVSPNYICAGIDGAIHLAEECSNASVAVPR ALMATIGVAFLTSFVFAVSMTYSMADFDKVLGTATGVPIYEIWHQATRSEAAATVFMV LLLIAVLLSLNACQQTASRLTWAFARDNALVCSNFINRMHPTLHVPVWAILANCFVIF IIGCIYLGSSTAFNALIGTGLVLQQITFSIPIALVLYRKRASRWLPSDRKFNLGLFGW AANVVTCAFGILVLVFYDFPFVMPATSGNMNYTSAVLGCMAIFTGINWIFYARKKYHG PRIE UREG_06168 MEPTSPVQQVAKNGCTMASSFPAFLPEDPALRLGPEEFEQYICK LLPTPAGSNPELHDMESSTDEYSSETTGNALADALHKLATLNENPQYLPQLAAINRTI TENGGFTFASTESALLDLFHSLDGEWAPEVLTKKLKAAWKENPLLCLKIIWNTRSIHL GKGSRNKFYIAMGWLKEHHPRTLLINLQWLFRPVIEKNAKPSQDKEIATVEKTGAALD DYEVIHGVSHGYWKDLLNLLALSANGKLNMTNPRKVLEKSCYTKLDQSEKKYGKLRRG EERRKRSSTKARSEQPARLAASRERRIKSSLERDQRESRDAKELRRIKEQKRHQKIID RLSNDPFHRALHLTVARLFAERLQKDMRLATGTKQQQSQVSLCGKWAPSVRKFHDNHT RIATTIAEILFPKEQICQPNDTREMYLKMAREQYRFGATSKLRNVLQCVECDISANTF SNIKYNRVPSLAMDQYKDLFLKKDTERFEQYLVDVANGKTSISGAVLMPGQLISQIKK DGLKSATEIVINLQWNALLQRIKDCGSLSSSIAICDVSGSMTDSSSMKRCNLMDIAMG LSLIISDITQPPFGGKIITFSEFPVILNIGGPHDSRTLREKVVALESSSFSLNTDFLK VFRLILELAVSNKVKPEDMVKRLFVFSDMEFDQANEPSSGWDTHHQIITKEFAAAGYE VPEVIYWNLSNDASRHTPVTQDMPGTALVGGNNQAMLKTFLMTGSVSANGEEEADTDS QMDEDWSLVDEKEKKKAKITPFSIMIKTIGHEAYDMLTVVD UREG_06169 MLFRSVLLLTGAVAVSHALEFGAASHQQNGMSGLMRRSTQCKDV AEPNCQNSCGPEYKSCVHAHMCFNPSKGETCCQNGTFCPPGTYCAEGGCCPNNMTLEE CRAIKTLTLARPTRSTEETTTSTTTTTSTSTTVVTTWVEPEPTEKPTEEPTEEPTEMP TREPTEEPTTRSPPLMTNPTSASGTGSYPSTTPGTNATITMPEPPPHQTDSGAGRIEG AATALGLGLVAYVMCQPSKQSKPPSLPRQRARERAQPKALEDAFEPQTAEELVHQATK PQAIELP UREG_06170 MSDSAATQKLDETKQQATEPQAQQKTDQKPDEKEQAEHDKKLAI RMADCIQQSLDKIQPILKMITEVRTNIQLD UREG_06171 MDSTAHELEPLTRPKSGRKNRNRKRNKQNKASQDIVAPDANDFK HDSVDNTHVPPPSTPSTAPPAYTSTPAPDPALVPSTTTFAPVPADRVPSPTARSSASF ESADSTGHRRRRPRGGRKRRSKAMIMDDEEWLEEMDRKDRVSQQPVKGSNMRRRMQQQ GDVEEVIQRDLSALEEQEQERDQVNGVMVSEPGMERAPEQEAEREHARQETGLPLRPK EQEEEAASPASSSTKPTLNRAFETGVKAFNIRRTSTSQNRKPITIKTVHGSPDEAAGS KKKEKKVKNKEKKKGKQQVAEAAEDEVSPRPHETGEAEDEAEEPTREEPSSSEREVRI KLDLNLEIEVLLKTKIKGEIMITFM UREG_06172 MVSMKVLSILFAALSAAEAAQLLSVQSKQDVIDDSYIVVMKDEV PMSEVNSHVSWVRATHNSGNARRNATITGVKATFDIGKFKGYTGAFDHETLDKILADD KVKYVEPNQRMTIQGVVTQRNAPSWGLGRISSQRPGSRDYHYDDSAGQGIVIYGVDTG IDIRHPDFGGRAIWGTNTIDRDNRDGNGHGTHTAGTFAGNTFGVAKKATIVAVKVLDN RGSGSNSAIIEGMNWAVQHARQNNVLGRAVMNLSLGGGYSQATNNAAENCVRAGIFLS VAAGNDNQNAGNYSPASAPNVCTVGSSDIRDNRSSFSNWGRVVDIFAPGSDILSTRTG GGTTTMSGTSMAAPHVAGLGAYIMAIERTHPSRVCDRIKQVSIRAVRNAGPGTTSQLA YNGSGR UREG_06173 MSGGLALQTTGVQKHKHRGAIFGSIVARTCISIIPAITATRGAT GETLDVEMPFLIRVGKWAAGRDKAIGPSTIVQGGDSVNVREI UREG_06174 MAGLLGGGGGQQGQGQQGQQGGLGDLLGGVTGAVGQTTQGLGQG LGQTLSGVGGAVGQTTQGVGDVVGGATKGVGGALGGGQQQPQQVPQQAGQQVPQQGQQ QIPQGQQPQQYSQQPQ UREG_06175 MVLGVITAVAACPAIVGTTEAIRSSQKSQRRQEHRGRKANLIVS CSDPSRKSKEVDGCFVVLKDHKLWVATRPPEDDHDDEPQDDAARFRQSLHYAHHFEGY FFPHPEHKWRKGDGFVSTITTDPPLLNWIYVDADTYELKYGNKKESEGQMLGPWDCTP VDRRVTFDGWEGFAVAEEKDGEGRLVGWGLYFDYDDDGLREKVPLDRRVMEVELVRRE MRIPPVAEEDDEESIKK UREG_06176 MSDSTDRKGDAYEVQSESESEPQQQQQPNGTNSMQRAPQQVQQQ QPQQQQQQPYPYPYAQEQQLAESGQRRGALRESRIKDRPQPKETRDSSLKIKIELDLE AEVDLYARVKGDVTIGLL UREG_06177 MANFEPNAIIRGAQLTLVGAHRALQNPELFTSEHYRQAALAVVA GIAIRMIVSIPIIMIKSIIWIVSFMVDLQAATWDDKLLSGLDFIANYVLQVPFLLMTL MRYLTPTLDKVFMESVRWVDSTYIQKHKSDNPEKLRAMYYPNLVLYSNKRDRQVGDTA AALRSFFTRYGRRTALSLAVYLLSLLPVVGRFVLPAASFYTFNKAVGPVPATFIFGSA LVFPRRYLVVFLQSYFASRSLMRELLEPYFARIRFTKQQRRKWFLDREGVLFGFAFGF YVLIKIPLVGVLLYGVAEASTAYLITKITDPPPPPADAGKFKESQIRWTNKHMFLKLP IGSLDQYNIPDDDEPEHSKGELPQKRFS UREG_06178 MFRPIVPRLAGRAIPKTSPQIAFQHSFPVSSVYILGRSKRGYAT ESEEHDLVIIGGGVAGYVAAIKAGQEGLKTACIEKRGALGGTCLNVGCIPSKSLLNNS HLYHQVLHDTKKRGIEVGDVKLNLKQMMKAKDTSVESLTKGIEFLFKKNKVEYVKGTG SFIDQHSIKVDLLEGGERTLRAKNIIVATGSEATPFPGLNIDEKRIITSTGALALQEV PKKMIVIGGGIIGLEMASVWSRLGADVTVVEFLNQIGGPGMDTEIAKQTQKILSRQGL KFMVGTKVTKGDDSGEKVKIEVEAAKGGKEQTLEADVVLVAIGRRPYTTGLGLEKVGL EVDEKGRVIIDQQYRTKESHIRVVGDCTFGPMLAHKAEEEAVAAIEYIKKGHGHVNYN AIPSVMYTHPEVAWVGQNEADLKAAGIKYRVGTFPFSANSRAKTNLDSEGQVKFLADE QTDRILGVHIIGPNAGEMIAEATLAVEYGASCEDIARTCHAHPTLAEAFKEAAMATYS KAIHF UREG_06179 MSMTLTSEMASQAAQAYLPAFNCPPGTKMHLLDLGTLECDEGWY VEHLDLSSHRYAHPYGYGRLLRAGNTSTVTNKSPENKRRELIVLSVLIEHPQEGLILF ETGCAENIDINWGAPLTDIFPRTVYNKEHRLPEAIKATGNDIKDVKAVLFGHLHLDHA GGLEHFVGTDVPIYVHEEEFKHACWAVATGADLGVYLSHYMSLDKLNWQTFNEPHLDL FQGITLHHSPGHTPGLCVMQVNLEKDGTFIFTTDQFHIAENYEMSHPHGWLARDHTAW FNSLQMIKRLQRMFKAELVLWP UREG_06180 MSSGKLIQPHPGSSRTFYQTPSPYEKRIGYYRGVRHGNHIFISG TTAVDPNSPPSAPQILHPGDAAAQMRVALGECLRVVGQLAGEAYNERRARESVVRVRM FGDVAGLGARAGKGVKSVRRRL UREG_06181 MKEKRSLRPDGSPDAKPAREAKRLKQSKDSNISPAIVSRNRDKK LSGQGQAPSPNKTPSKKSKPEPTPTLEVLSVIPTNAKEQELGQLKRSDHWNLSYRSGG RFLNLDPVFSIDEKYIILAQENAVQIYSMSTSTIVRILHNPDEFRRIAGYKLSPSNPA HLFVATHSGHVSEWNWTTGDLIQSQKSSEKVIAIDVIGDVSGDSPADASPILYSICKT KNKKYSVCKWRNTAGTKAQSWKQSVIYQTSTRIGNVRVAANGRLIIATAGPYVVIGQA SMPASQNQKNFEYTWRKVRLPIVVSCFDIREPAQPDKPTGPQKGRSPPIAIDLVVGSS DGAILIYHDFANTLLRYEGQGELDAGLVARKLHWHRDRVNTVKWSKDGNYLISGGLET VLVLWQLDTGRKQFLPHLTSPICTVVVSPSGTSYAVKLADNSIMVLSTSELRPTTSMS SLQLPSNDDMKNPETKGSSSKTIESIRERPIGVLPAVLHPVLSDYLLLAVPSSQTAPS TAKSPTASFLQTFDTRSNQHISRQALARTNVSVLHTGPDGTELTTPDVKFVEISSDGD WLVTVDEWEQYPGSLNILSPLDEPDARQRKREIFLKFWHWHETNREWELVTRVDGPHF SPSSGSMPIRGLVANPQDLSFATVGDDGVVRLWKPEYRSAHSRTQGEHRLVKSWRCSQ NIPLAGSLSAATSSGPMHSCLGFSEDGSALAVCWAGPSNSGPSLVYIIDPHRGRVAHI REGLYSGIPRGCGFLDRYLVVLSDHLVSLDTVTDQIVFALMLVDRDDTQRFDKYTPLL SLNHRNQTFAITYPTSQPESSKSGHKLRFQVAVLEPTTPNPLFATRVRNAPRALLSSV KSGDYTVVDSTARILQLSSSNQMARVPIETSAIDLPLGTGLENIFGSRRLLAEASQSK LDGNRTEVQHGQTRNLADIFDIGPSFALPDVDVLLKDVVEMYSTKSVEA UREG_06182 MTAPPHATNHTLAQSPDAWLDTANFTPMSRRIPDTQHSELEESL ASDKISTSDSESQPSSPVPQELTLQTTDIPLNVARGDEEDPKSVIHAPPGFGAYLSTS PVSPPPLTTKVEPWSDRATPRAQTRQEIDAFGRKPRPNSLEDIPENFDHDFPQDETEE ETEATDVPIATLPSYSHEINALKTALSECWTLCNTLANLSSIHRERLFHDSGKGSMQE QAWKSCWKLCQNLYENREDDHILHVRPTLDLCREFCQALFEIRIRDDEIADSILRVSF ELNNHLYNTHDRNLPEAFRERTLDFYITLCHRLMKQRTQLVTETDLLLRACWGLAEML FNLRQGKREGKSADVELLGSAVQACWDLCDLFREGWTQVRPDRRTPRPSQTTFTQAFY QAKGAAYSEPPETQPITPNPETPTTIFDDTATMLSPEQAQVPNILVLGAGNTQNLHPH WNSTTTSTLASYSRPSSRSSTTSSTHTVKSPADDPNLTCLKLLIVRAAMNNGFQREGA YTFPSFVKALSSDAFGTAPWQNSLLVNYKKLVTVDPAFSAAPLPARATAPDIARAVQV MIQHSAQYAWLLDLYRLVFGFRIDEAMQRSSIAIQT UREG_06183 MEEAPRFAGMTGQKLSLAVSTVATCGFLLFGYDQGIMSGIISAE PFNAAFPETKDDSTMQGLVTAIYEVGCLAGAMSIIWAGDALGRRKSIMLGAFVMVIGV IIQVTSMPGHEALAQFIIGRVVTGIGNGMNTSTIPTYQAECSRTSNRGLLICIEGGTI AFGTLISYWIDFGASYGPDDLTWRFPIAFQVVFGVFIIVGMWFMPESPRWLCMHDRTE EGEAVIAALQGKPIAHNDVQLQKTIVLDSIKASGQGGKPAPLKEVFTHGKTQHFRRML LGVLSQIMQQIGGCNAVIYYFPILFENSIGETHAMSMLLGGVNMIVYSIFATTSWFLV ERVGRRKLFLIGAAGQCLSMVITFACLIPDTPATARGAAVGLFTYIAFFGATWLPLPW LYPAEISPIKTRAKANALSTCSNWLFNFAIVMVTPVMLDGIGWGTYLFFAVANACFVP IIYFFFPETARRSLEEIDFIFAKGFSENISYVSAAKQLPHLNEQEIREMMLQYGLARN DSNNVTGNGPGSGFGSYGEFEKHDGAGLESFENSSGDSTPVNREGEGKMA UREG_06184 MPIIGMVFFGRRDRVKTLHCYLERNLVDNGGWLDEIEFIANTDI KQDLEFLDEIIKRSPRYKKVLLENPVSGPEQTKLWRNVKRGTMYIKLDDDIMWLADDA IPRIVTTKLRNPDALAVSANVINDPPLSFLHYHHDALHPYFPEIPSSPKGRRKKAPDI SDNGFMRRSLPPWRPSKHPFWQGPADFQWSLEDQPPNDGHRWLRVQDDKALNRTPVAK LTYDFWGPTYDSWAIAAQQHYSLLENIEKHTVDKYKFSPPWNMHGERIRINALAVLGD DVLDTDVHNWSSDRSDEDMLTLDLPKQLGRNVLIEGNALVSHFNFKHQDALSKTDLLD RYYSYASENICVQPFLSPVE UREG_06185 MSFDSIPVLDLSLARDAATKPAFLLDLRHALLEVGFLYIKNAGI SDKLVRDVIVEGKKFFDLPPEKKLEVEMKNAPSFLGYSKLGNEITRFKTDWREQIDLS TNHPLPSPSDPLYHNLLSPNQWPDSHLIPNFRPVYEEYMKKMGAISMEFISLVAEAIG LSPDAFDRFFDADQQHKLKIVKYPDLQELGVNGDVEQQGVGPHKDSMLTSYLLQASHH RGLQVQNHRGEWIDCPPIDGTLVVAIGQGLEAMTQGVCQRIKSHPDVGERWYPALLQK IREEQASNNQIAETPQGLLQGQPQSQTQPVPAH UREG_06186 MSRTSTELQHPALTHPASSTSPSTKLGIQLVPLPEHVTNDSDNV SRENLTGEPLSNAAGPSPVSALKAGVIIATAASMTLMNSLLTGILTVGLPVIAKDIGL AENLLLWPASVYGLACGCTLLLSGSVGDVIGSRPLYLAGCGLLSAFTLGCGLATTGIQ LIVFRAISGVALSFSLPSAVSIITTTFQPGKRRNIAFACLGAAQPVGFSLGIVLGGVL IAGIGWRYGYYIVAALNVCILLVAVWQIPKDPRMVEPVTWRRLYNEIDWMGTALISSS LGIFSYTLSTMTVSIHELTKPVNLALLILSLIIFAAFIFWILRQERLGRVAMVPPSLF KADATSAPRRARNFTAICISVFLTWAVFNAFQYFTSLYFQRIQNLSALQASVRFIPMV ISGALINIATGLLVPRIKANVLCLAAAIVSAIAPLLMAVARPEWSYWTAPFFSVGLIP VSADTLFTVSNLVITSTFPPRMHGLAGGVFNTISQIGMSVGLAVTAVVANAVTGAAGS SNGVGRDAGAVDAVLKGYKAAYWMSFAASAVIVALSWWGLRGIGRIGLKED UREG_06187 MPDDEVLPDAPPAVQEEQPPKHDAQDEHSEGSAAPQETSTAKLE DLFDDDDDDDYPFSSASEPGAQGSEAEPAEQPGPPPAKIDSETMYAFYQLVKPWGQTV RRLWESRIRVYTSKRRISTLPIISNSRRASVAKTRLSTTSALFGELIGLRDRLRNDIL RLNPSRFEIGPVYSTNPRDRKTLRKSSAFRPVSKELVFDIDLTDYDDIRTCCEKANIC SKCWAFVTMAVKVIDCALRDDFGFEHILWVYSGRRGAHAWVCDQRARNLADDRRRAIA GYLEVVRGGAQSGKRVNVKRPLHPHITRSLEVLRPYFGSTVLNDQDTFSSWDQASRLL QLIPDKSLKDSLQKKWESAPSRASSLKWSDIDKVAKTSGGRSLDTKALLEAKQDIVLE YTYPRLDAEVSKKMIHLLKSPFVVHPGTGRVCVPIDIRKVEQFNPLEVPTVTELLDEI NAWDLKHKGDHGPGSDRDTKRNTLQDYEKTSLKPYIDHFRAFVANLNRDERAGKRERD EDGHHDEMEF UREG_06188 MFLLRSLSRSLPRTVSRSVSRPLRTPLLKPAFFQPAFASRPAYA AFSTTRPRWESAGQVDVELAAKFQDELALETESGEVDKLPESLKYFLENGPFEVKDTP GEEEVVLTRKFGDEKIRVSFSIADLQNLGAENEYDNALPDELEEVEPQSRAINERGAK AENIKAVPEDRVAPSDREDDLGLEEETPEPAYPVHLNVTVEKPGNGCMYAECLVEDGV VQILELQYFEKADIANAQTADKQWARSSVYAGPPFGNLDEDLQILLERYLEERGIDAT LASFVPDYIDFKEQREYVRWLGNLKKFVEV UREG_06189 MGKHQPRKWRQTESPLSFARRASRRHPDDQYRTKMDSTQGEIDT RLPYRKGWPKPLPILPLSSKAVSPRELPDLLPNKEEIKGFLKLHGVEFQFWSRCRRFN DGQHPDHEDITLVIHCTGLENFSVAALEELRLQFHNRGWRYRVEFIDDDQANAPLHCI CPDDPIVQEWEEIHEQRVLRMIADLEWQTVNVFRCGTAVEEGECPITVIISAWDAASD VWWDHILPSIILNVSCKSDYFRHRRCKLLSPQSVVSDSDTNAWLSSIEGTIALLETLI DGDPERRGLGDTSKAGFRKILNLIDCQRNNDAAIRTSRREAGSIIATSGQRTLELDGR DWWVDWALVRLPERRGRDATILTHRKISEEVGIQWSSKPLREQNLVFKQGSATGYTQG RINGIKPIISMKVEQGQAQNSDSFLVQGSAWAIIGSSDEGAGTAFSRPGDSGSLILDS YNRIIGLLFASEKLISYFIPFATVVEDIKRATGGRVLTPTEDIASIDSADADHEDDD UREG_06190 MSTLEIEPLLEIDLVCSRAAGVAALEVSCKAVLQGEACNGPTGF HSHKPMTRLLVYIQSNLFIVSRLALAPGCPNSIREAQEDPRKKYALGVEDIRNDLTKG KHRPEWIFSAYGPGKDAPRQLFGGPEREQSFEEMRAIHYAAAASGSTEKAIQDANNLF AVCEAQIKTALADIDGAIKYIIDGENQHPNRIDITEGTTAAVPSQQSPFGVPTTSAPT SAFGQPTAFSQPTQGASFGRPSALGQQQQPQPAFGPPAFAQPAFGQTAFGQPSALGKQ QQLAFGAPAFGQPSALKPSPFAQPLSQAPVQPNPFNQTSNASPFTQLPNQGQPFGQAQ SQAQVESPFGKPSTGGFGQPQTANNPFGQPSPARAAPSGFGEQLQSQAPFSQPSGFGS TPIATQPVSQAAPIPTAATSALGNKKDPSKLDPLPKLVGETRRAPATKRLLAWKGQPV QYIENEPCFQHPDDPTTLVHIYFPDGRPALESFGRSVSMPEEYTPEG UREG_06191 MDHSRDPCPWVALSDFGGAFCMGAIGGAVWHGVKGFRNSPYGER RIGAITAIKARAPVLGGNFGVWGGLFSTFDCAVKGIRKKEDPYNAIIAGFFTGGALAI RGGYKAARNSAIMCGVFLAVIEGVGIGFQRMMADGTRLDLPPPPSEQAIA UREG_06192 MARQHLDFIQRYGNVWLAVNPCSMCLKRLVVIFNKYSPQSQSVS GISNPLLPIHKTYAVEVAQQTPMIFIIVFLLVQQLVLGIDLFGSSRSLHLHSIDSESP TTMIKRITTDSHPNQDDFGDSHQPVTPGGYHSETIQRRIERVTQDIDALETFYAIGFS PTTVGKLKNYYREQLDELDRVPFRSYNQQDRVDFLLLKNYLTRSLRRLELDEARDEKM KPLLGSFASIIIELFEARQNVTAIDPQAAAQRLHDVSTAISGLLKTIERGEIEIDNFS AFRAAKAVESLKSFLEEWFSFYDGYDPLFSWWNATPYPEVGSKLDALAAAIKEKILGL APGDEDAIVGQPIGSEGLLRDLEAEVISYSPEELIQIGEKEYTWCESEMKKASRELGF GDDWRKALEHVKTLYVPPGKQPQTVRDLAEEAIEYVTNNSLVTVPPLAAETWRTFMLS PEQQKVAPFFLGGQSIRIAFPTSSMDHAAKLTSLHANNVHFSRAVVFHELIPGHHLQF YMNSRFRPYRRIFTTPFWVEGGALYWEIIFWDKGFPKTPENRIGMLFWRMHRCARIIF SLKFHLGEMTAQESIDFLVEKVGHERGTAEGEVRRSLNGDYSPLYQAGYMLGALQLYS LRREVVDSGKLGEKEFHDRILKENQMPIELLRALIQRLPLTSDYKSSWKFYDQ UREG_06193 MEGTSTYTLPRPSAMIWPSVFSNTSLFYALHDKTVSDPSQTNGW RISRYRWFMYLMGAAFAYYWYAPSIFVLVLEVADKYQASGSSVAGPFGLLFYYLYISS YLQSPLLSPTHSHLNTLVGLVVFVIITSIGISFTGAMYADYLPINTSSTFDNTQNFYN VSRILGPNFSFDLEKYKSYSPLFLAPTFALNYGLSFAALTAVLVHIVLYHGKEVIYRA KAARNQEPDIYMKMMSKYQECPEWWYGVLLAIALGLGLATAEAYPSQLPSLNVLSPFI GGFMLPGKAIGVMVFKVYATNTLGRAQTYSRDLKLAHYMKISPKTTFTCQVGATIWAV FVQIAVLNWTLGNIDGVCTPNQEAHFTCPNGKTFFSSAIVWGVIGPQRMFGPGSIYSG IQWYWLVGAILPIIFYVLIRLFPRSPARFLNAPVMLGAMNWLPP UREG_06194 MAKNLQKVQKQISKKRGGLDALHANSRDSKRLQRASGREGKLAR LAAEHVRGRQIYYSIEGIATALSDEDLAQLVTRYLARYTPELTQLRQERRKGRPPSKR EETLTQREEAEAKEFSTGFWIPDLGSQDNLRRLKGWNGDWSALTNVDFVRLSSDGKKL KSTFPPRGLS UREG_06195 MGIAGMLPTTYCLAYLLTAIIGLHSFLKSIQKPCNIKKFKGQTL GVDAYGWLHRGSIAFALDLALDRQTTKYINFAMHRVRMLLYYGVTPYLVFDGGRLPSK DSTEEARAARREESRALGLEHYRTGRAAQAQQELQKAIDVTPYMARVLIEELKKLNIQ YIVAPYEADAQLVYLEKEGIINGIISEDSDMLVFGARILVSKLDKHGDCIEISRNNLS ACRDASFAGWTDENFRQMCILSGCDYLPNIPGLGLKTSYRNLRKYKTVERVVKMVQYG GQTRVPSNYLEEFRRAELTFLHQRVFCPRARKLVTLNPLPHHIQGELPFIGSDIGSEI AIGIACGELDPITKKPIELKPVYLERARTMYGRRQTFPAPDEKGRNKPISSFFTPKRV PLSELDPNSLTPSPSQQALLVQNSQRSWPARPVAMPGSVSRSASASQPSPRLRRETFL SRAGTLAQPLPVKRQRLCSDAADNQPGMAERSRFFQASADISRMEGSKKGQFKRARKA SFGIFSDDSVEKVMCEMHDAMEAAGRSAMGQKTVQAATGTGSVYSDRSERHVATDSRN AASATWDQGTAECSSGTADRNSDDPAIAQKGQGNEEGTKRTPLGLGARFAYVDEVGIT KQRVTDKGQQLSRALPQNVLTGSLTCSQRGKQSRRARLTPLQRLQQTALGRSKSMNAL NADVVSEGVSQSGYDSDDSKRSSAARQFYQASGSEDLIIPSTDDSEDGSVSKALDLKR FEFCPK UREG_06196 MPELRFLTGFRPLLLAGCSSSSPQIPTIFLISLFYEKYPPLRST AQPAPGVTTAIENIVGRARLEVRVGFFGICIQKDGGSFLCNANATALAGYTQPEDDPL NLIWVASTFKDAVVFPYLIIVALIFAFICFLILGTFPGWHEELNDDGSEREVLPFPSR PVSQVALALIFISAVFVLVSVLWQHTASVAASTVAQDLGNGSVKSGVGTSAMVLGWFG FCLLVVVTVGLLVMILSLTLISQLTDEA UREG_06197 MTTVQLDELCAAAARCRGGFNVVYELIFSDDVVWMARIPLPYNC FQAEEVTASYAATLRYLKRNSAIPVPAVFAHCLQSNPDNKVNASYIIMEKLPGCPLPV IERLSLDVDPNDLALAKKVHEQLTDVLLELASFKFSQIGSLREDPEGNFVVGPYIDPN STAYPQHRATAYKSLDCLHKGPFSSVQEWYSAMAQLNRKASLDDLDEEDGDEVVADYE ILASFTHKVLVKEYENGPFVLNHNDLTVQNILVRT UREG_06198 MFRIISCLRAPMSKWSLPLLCTGKRTFSEVVTCRSTSSRAGTNT SSSNIMCCRSPTCDDSDELSPLDQGQLAQLFSGRFPADFSGEAIYVRTSRNDFHKLVD AFDRTSDERKRTISLEFDKQFSTTTVRLKQTTLHGSVIDFINDQLRRHIHSKSIFYAR NYCLLEGLSEPDFQVRYRKQGSKLSDPLMAFEVGFSQPSEELEQKVKALLEKSSTKVG IMFDFKESPKYKNPFILVFSKNSTTGKAVAKTRKMLFYGRQETVDQQWKQRPQIQRAH SGKDLGECGDRASKAGENEGEVHNGEKGINDDDKQNYVADPELNVALADFLPFHDPMD RKALTFDWDWLRSILDDCKGDLAEARIANAIMFLRNKGEQV UREG_06199 MAPPLLNSSNSFDAKRSVTYSTTALKRWSCLNNNKDLPAVTDIK AIHVYDFDNTLFNSPLPNPQLWNGLTVGFLQAYESFANGGWWHDPNILAATGQGIEVE ESLAWKGWWNEQIVELVELSMQQKDVLTVLLTGRAESSFTDIIKRIVKSRALEFDLVC LKPEVGPNSQRFSSTIKFKQMFLEDMIFTYKHADEIRVYEDRIRHVKGFRDYFEEFNS QFLAPNSHPLRKAIAAEVIHVAEGTKYLSPIAEAAEVQRMINSHNAIVRAASGNMTKS PYGRLRISRLIFYTGYMLSNADSERLVKYLTLPILPVGLLESGDVRLMANTILITPRA APKLILNRVGGIGKVVRWRITDTAVFENKIWAARVAPISDSEEIYTDNPDPVIVLALR KGARPIDVGRIRNWNPVSQENALVFDATVGRKDGFTSGRRKFGTTERMDRMLAGRSSA GRNMTHVITKTGPFLPQRGTIGEHGPSTWGDTHDNTRLRHERKSR UREG_06200 MECDGDLRREIFQKTLTEVAGEAADDNAHPCVICLEQVRDVGVT IPCKHGSFDFLCLVSWLQQRRACPLCQAEVTGVKYNFQSPSGPEVFSLPCLSEPRRQT ARPPSERQTPRFRANRPNTRVSNRGPPSQPRDDPLARRRYIYQRQLYSQRVGSNRLSQ YRELTPQLFNKDENLVSRARKWIRRELQVFAFLDPNNQGLHGGREQQAETATGGDDAG RIRRARNAEFLLEYIIAILRTVDIKGSGGQAEELLQEFIGRDNAQLFLHELQAWLRSP FDSLQDWDRGVQYDEYSQPSLPTLHRREVESPPANRSSAQWRPDGAYRSEPRGVRKHR TRGHAPNFVQGRRIERAKRRYRPD UREG_06201 MTANIEKLRAELKEWEKAFAEANGGRKASREDIKNDPSIAAKYK AYARLRSQPSSSSLRANPDNGASPGHSQKKRINPFVDNDKHEHHVFATPRKVAKHTSS TPKHPSQLDSYETTSDIKKLLSPGGPYTSPAPLRTAIGPTPQRDGKVLGLFDLLSPSA SSTATPSKRKPFEPADGTHTPSRARGMVTPSRRDGSALRPRRHSLTPASSAKKFFLSK YCATPTTMRFAPITEADDENDDKNADSERIDTLLSEQSPVRQRPEPETPTFLRRRNVL FAQPANHARSKTGAASPVAVRMPQRIFGRGLSQLVRDLHDLGEEMSNAEMEDDMEALR EAEATERTNEDRGLVENSQIADSPSKVQRQWKKKGQKRTTRLVHLRPVRAKPQRVPEP VIRDEDSEDELDAVSEPQIPVSAGGDNGVGEDAVNSTRPKGKDSTQNKEKLGGNKLVQ KARKIKATAHANYRALKIRSKNGAGKGRFGRRR UREG_06202 MLGSLVARAKAVWATKGGDASDRYLFPTVDPTVDGPDCTRDCSD CTVKYPSRFTTENHRYLYGKVKPFAAHILVATGKSDWQPKVENIQGSLMQAFAKGSHQ SEQGRIMVSASNIATAQLETDDEVHSLEETTVLILPAFTFVDRVTVSDIPALKERFLT ATEEEEKRDEMHADQRLKSRPCQQDYIVLLCSHRSRDARCGISAPLIKRELERHLRPI GLHRDDSDDRPGGASIYFVSHVGGHKFSANVLIYRREAEQMIWLARVRPEHCEGIVKH TILKGKVVHPDFQLRGGFDRKRSLASW UREG_06203 MPHQPTIYCDSGFRTSTLCLDGHDNDSLTDFALVAVGGMADDQQ VSKQPDDEVAEPSRKKRRRRTLACFQCRARKVKCDFGYPACERCQKTPWPERCIYEHP PPSFPPRYPVQAAAQGPTYPGTPCGDWRKSAPPSPKKPLPATSTDEHTEPPRFGPSTV VPGSAFHGKENRTRFRGCSNVSNLFPDFPELKQYLHRLKREHPVLAGLVRELLKLKEG RVAKPTLPGELALDSIYLSGLLPEWPVAESCIEIYFDTVGSASRLFHKPSFNAQLQLV KDRPGSVPPYFLVQVLLILSTVWSAHPGGSITPSIVANWIRWGDTWLHHVGNKRPNLT TLQIRCLLIQAKEANFSQRNQAWSATGTLVKLAMSAGLHREPPPNARISVFNREMRRR IWITILELDFQASLDRGMPPTLQQSDYDCLPPLNINDEAIHESITEFPSQQPLDIPTD SSYQIIASRSLGLRLRICSLINNPGFSISPAQLSDLDNSILQHLSEIPNWKGSDSRAD QRLRLWRALLQIQLQRSQLSLHSCCTLGDLKDAALVHSSRTRLDTAMTMLCHQQLLLD QLGRRFWFGVGEVTMQAALNICHHLYTVGSGFGNSHLSPNSLFQGN UREG_06204 MSSIAQSRPLRRASTRKRLILQESSEDDSQSGRATPTLSAQEED DNDGEFTPVPSRTATRRSSRRQTVDSTGEVAQSTRPPRKSRRTEPRESQGASQPRVAA EESILNTGDPESPTRRLSAARSRRSTTNRSTRMSSATPTMGLSSIPTPAPSQSPEPSS RPSRRSSSRPLEPPLSSSRRSITPSPLQPASTDRRSSSRSITPIASQTPSRAATPPTV RRAERAPSSRRSATPVVDSTDQPTGETSLITKQSQTAQVEPINPATTALEKPMDILMK SRTAPQPPVEEPSGPKPRMVITHLVLTNFKSYSGRQVVGPFHASFSSVVGPNGSGKSN VIDSLLFVFGFRASKMRQGKISALIHNSANFPNLPFCEVEVHFQEVLDLPEGGHEVMP GSQLVVSRKAFKNNTSKYYMDRKETNFTTVTDFLRARGIDLDHKRFLILQGEVESIAQ MKPKAVNDHDDGLLEYLEDIIGTSKYKAPIEEAATEVETLNEVCSEKSNRVQHVEKEK SSLEDKKNKALAFIKDENELAEKQSALYQIYIDECNDNTRVTEEAILQMQELFNLELE KHQGSEEGIKQLQRQFKRSTREYEAMEKETQAIAKEMSKYDKESVKLEEKRKFLTNKR KKLEKSLQSSRLAASECASLAEKHGDDIETKTAEIASLEKEMKHEEKELTSIRESLKG KTQGLSDQIAAKQKSLEPWNEKINEKQSAMAVAQSELDIIYEKTNAGAVALEEAQAKV VSIQEGAAAKTHELEQRQSELTELENEVASLSAELRRFSEKEPEYRSRLSTARQKAEE ARSSLTSTQNQGNVLAGLMRLKESGRIEGFHGRLGNLGTIEEKFDVAISTACPSLDNL VVDSVEVGQQCIEYLRKNNLGRANFILLDRLPRRDMSTIFTPDSVPRLFDLVKPVDPK FSPAFYSVLQNTLVARDLEQANKIAYGARRWRVVTLDGQLIDLSGTMSGGGTRVARGA MSSKRVAEVSKDQVLKLDAERDHMEKRFHSFQEKQRQLETLLRDKQEEIPKLNTTMQK LRLEIESAGRNLADAKRRVKELAAELKPSNNDDTRAGPLEKRIAKLETEINQLRAEKA GIEEEIQALQDKIMEIGGVKLRGQKAKVDGLKEQISLLTEEVSSAEVSKSKNEKLRVK HEKSRVDSEGELEHLAEELDRLAQETADQANIVSTVKEKTEAAQDAVLAKKEELATLK AELDEKTAELNETRAVEIEMRNKLEENQKVLIENQKRGRYWEEKLAKLSLQNISDLGE EQGSDQLPVYTKDELAGMNKESLKALIAALEEKTQNAQVDLSVLGEYRRRVAEHESRS ADLAAALANRDSAKSRLDTLRSLRLTGFMEGFSTISLRLKEMYQMITMGGNAELELVD SLDPFSEGILFSVMPPKKSWKNISNLSGGEKTLSSLALVFALHHYKPDPHFASYIKER TKNAQFIVISLRNNMFELASRLVGVYKVNHMTKSVTVENKDYLTNRG UREG_06205 MFSWEDFDATFPFKGGYPYNPKVVDRIIANRKTFHHTLFIDRLL HALGIDSATRLYPPKSVETLRKLHQEVLASRSPTHHKQSVIYYILKDCQDATEGPSSR RFAKQCFLPQKYKLFIDGLWHLDKLDFQQSLCYLTEPSLIPTFPDEILYVLSTVPEQN DSFAFAYYTAVSPPLASREVLNAYFGVLCRKGVDTAFYFTRKLSDDTRRELFDQLIVS VLATKPGEERAERSMALVNLPFNKAEVEWFEDCLLYGKAKKLHGAKDTVMIRRVATSR LDDLGKLESLGGRKIDGLNWDVLRRNLKPSGS UREG_06206 MSFQKPEKDFGEGPKIHKIRITLTSRKVASLEKVCQELIERARS KSLEVKGPVRLPTKTLTISTRKTPCGEGSKTWDRFEMRIHKRLIDLNAPTETVKQIII NIEAGVEVEVTIAA UREG_06207 MLEPKPPPLAPVPPASIRDRIPSEDWAACLDAWIASVEFRLRLS ESDFESLAPKDGQAVSFLLSYHDSSTTFTGPKASTLRRLCFLLTQRLLLVPNQALEDS LNCRFLAKLCATYNSGSALKSLLRKVWQRHSKFVTADIEKGKSTLIRQLSQRNSEVER DVLATLQSFTQLAFSLPEIGYVLMTGSDYIDTLFEAYQTQKNKTLQDSIVANIYVSLS SLMLLSPPAISLLLDQLFNLKALAKVDVTTLQKGPNLLSDLICSTNLLKRMHRLFSAT PQKRAENLVSSLRSYITVCRGLHSAHRQRPPKKDKGKQRQTIEDDVNEFHVHKMSLVT QVQDLFPDLGTGYIVKLLDHYSDDVESAISNLVEDTLPPHLKSLDHSEQLHIAEVMPD IAPRSTPPALPPSFPRKNVFDNDEFDRLDISQSKLHFGRANADLTADDILADRSGHSV NKAAILSALAAFDSDDDERDDTYDIADVGGTVDSMPPGTSVDPDTESGRRTSGGLNED AEFALFRLYKSDPDAFKRDAATRRSQQRASLRKETGMTDEGIEGWAVMLTRDPKPMLS LPDSPRMARVICHPPPTGNQLRRMEKVETVTAMAPLEDHVVADGDKGEVEGEGVVGVE TVVVEAVHEAMLPALPVTTIRHLLGDGRMPTGPTSKKDG UREG_06208 MPTPSATKLKPAREDVVLSRREIEGLIASGRHIIIFNGKVLKVD AWLKFHPGGETAIKHMVGRDATDEINALHSSEARERMNSFQIGRIEGQWLNFLPPIQG GVFGTGSLGEPTSSEADEPQPSSQESSYPPSPLFEPIDRPTTLRRRRSSCTSVSSVAS TPPTSHSTSKPYVQPKPLFLDARTQEEIIFDIAKYPTLSPKTQNHIVEKYRELNQRIR DEGLYNCNYFAYLVEFSRYSLLFALFVFFLRCGWYGTSGFFLGCVWHQLAFTAHDAGH MGITHHFHVDSVIGIIVADYIGGLSLGWWKRNHNVHHIVTNSPEHDPDIEHMPFFAIS HRFFDSLRSTYYERVMKYDAFAKFLVRYQHYLYYPILLLGRFNLIRLSWEYLFLGQAP KKGPAWWHRWFEIVGQVFFWTWYGYGVVYLSIPGWRNRLLFIMISHMITAPLHVQITL SHFAMSTADLGVHESFPQKMLRTTMDVDCPPWLDFFHGGLQFQAIHHLYPRIPRHNLR RTQDLVKQFCKEVGIPYAIFTFYDGNKEVISRLGDVAKQARIFAECQNSLAKQGVFSD HH UREG_06209 MVSDSCLHATSCYKAISWPSDPHTREEIKSLYDANNISELERRL RHRIQFGTAGLRGRMQAGFAYMNSLTVIQASQGLCKFLKESHQGPEPLSVVIGRDARH NSERFAQLATNALEAEGIRVWSFDSPVPTPLVPYTVLLKKATAGIVITASHADIGHRI PHKTMTSTAEIGDSPGRFVYTPLHGVGHSIMSMLCKKLNIQDMTVVPEQRDPDPEFPT VRFPNPEEAGALDLAMKTADDMSINLIVANDPDADRFAIAQKVGKTWFKFTGDQVGVL LASHLLDIWKQQSPQKPMAMLSTAVSSNMLGKMAKMEGFHFQETLTGFKWLGNAAKRL EAEGYDVPFAFEEALGYMFCKVCYDKDGLTAAMVFLAAQAQWKKQGLNPFTKLQQLYE TYGYHETLNTYFVSSDPSISANLFQCIRGFLEDKRKSIGKFPVIRWRDVSNGLDTGAP NNTPELPIDSTSQMLTVWSDHGLRFTLRSSGTEPKVKIYIESCCPAREDAVAAVCEVF SAVLMDWIRPFAPNMTYAPTVTTSSGHIFTIP UREG_06210 MPKFGGREAFVRAARKREQKSFQMAYPSFDLSGVVYDDNIHPGI FDDTSRFIRGLKQQGFFLQLVDDLDANYDSDDGSDSDDEITHREMHRRRGRGESRRQQ NYHVHYHTHGADNNNAISPPLPLCQQSGYTDAPAMLLGLSLPRRNGGLALEAPTPVPN ASNLNWPSPHQSSHIIPRRIFPAGFNYQRMVDGRI UREG_06211 MSFHGNNRFSLLHTVEIVGYSARIISSTQAPLFKDTPYIIQSLF LLLAPALFAASIYMVLGRLIAALHADRFSIVRRTWMTKIFVTGDILSFIVQGIGGGVM YSGTPSNLSLGQKIIIVGLVIQLLFFGFFTFASIIFHRRMSANPTTTSLSIHFSWRKH LFGLYIASFLIMVRSVFRVIEYVQGSDGELLSREIYLYIFDAALMWILMLLLNIVHPR EISELLRGEKSLNSVDLERQPESVKLTRVRDIIPNTRPTSFTETAYARHAIATRGLA UREG_06212 MGITSKEGTVPTPDGDRGQAGSTDLRQLPVTLLSGFLGSGKTTL LEHILNSPDHGLRIAVIVNDMSKLNIDAALITHHKVSQTKEKLIQLQNGCICCTLRGD LLSELARLAKRKEVEYVVIESTGISEPMQVAETFTAEFSAAMLEAEDQITDTDEDSKK ILSEIAELGGLHTVASLDTTVTVIDAFNLLSNLDTAEFLSDRYGSQEIVPEDERTISD LMVDQIEFADVIIINKIETVNEDNCRRIKQLVNLLNPDARVLQSSYSKIDVREIIGTK RFDFLKAASGAGWLRSLHEMTIMNTGQGKRLAPKPETLEYGINNFVYCARKPFHPRRL FALLYDKFILLQQKEEDDEDDDNDENPDEGSGEEMELDENGLVSQNVDEEMETKPIQD FEQPEPAVILANKRAHAFGPVLRSKGFFWLATRPLQFGEWSQAGGMLTVSCGGPWFAE VPDEHWPEDQDVRKAIQNDFQGRWGDRRQELVFVGEGVDVEKITALLDECLLDDADMA RWERVMGNKRMSVEEKAEKLATMWEDGWEDWPPFEIEDEDEQVMLEKGKEEKHKHKHH ASDYFGPAKGNDKHAHHRHRSHITNGSKKSIIV UREG_06213 MPNIFKQTLGDTPREALNWRLGMAVLCFGLMGASRGIDEGLIGG TTQQKSFASKYGLNDPNLSKAEQANRLGNITAMVQIGSIGGALIAFLITDRIGRIWAT RQLCIVWAAGVAIYMTANGRLGQVHAGRLIAGIGVGQTTVVGPTYLAEVSPKAIRGLC TCAFSGSVYLAIVTAYFANWGSSLHISDQSQKEWLVPTSIHIMFAGLIFLFSFGVEES PRYLAKIGKNEEAHRIMAKIRNLSPSHPYVQTEMNDIHEQLEREREATLGFHWLGPLK ELFFIPSNRYRIMVGLMSQLLSQWSGATSITIYAPQFFAMLGTEGQSEKLFATAIFGV VKLVASIVCALFLVDLLGRKRALTYGIIMQFLSMLYVAIYLAVVPGVRNHETPEGNSK RAGTAAIVSIYISGVGWALGWNSIQYLINAEIFPLRVRALGTSMVMCFHFANQ UREG_06214 MPMTPVSRQSRDNQMSYNSNWSSSLRTPDNRTPNIDVLCDAVKN LSVYSKSTSGVPASGDRSNRSPVSRLPCRTSPPKFIPSSAVVFPTPSIASSFRSSRRH SRRTPLKVNRYLTRYSHVQGWDQDEKEETFEAMFGRFMHQIKDSTSHSTGLQEAVELY KSRIQELEQQKTELTEQNVNLRVDVDSFKLRLESTESKWKDAVRESEIALDDADRRHR MDTETMRYEVKNQIDMINQKHQEEMCSLQRRLESQLEEERESRLRELRQMNSDSAMER QRGQIDVENREREIRLLKEETQRLQNDLDRECMMNKELQQNLVLANANAVTLESSTRA LKARIEFLESGNKEQSDAFGRLDQQLSDALAETNAIREKLRKEETLRRKLHNQVQELR GNIRVMCRVRPLLDAEPTESAARIQFPDYDADGKEISLQGPEEKNSLGNITTKSYSFS FDHVFGPSSQNPDVFEEISQLVQSALDGYNVCIFCYGQTGSGKTHTMSSEDGMIPRAV TQIYETAADLEEKGWKYTMQGNFVEVYNENLNDLLGKAEEFDKKKHEIRHDMQKHETT ITNVTTVTLDSPATVESMLCQAAANRSVAATKANWRSSRSHSVFILKLIGENAITGER SEGTLNLVDLAGSERLSHSGATGDRLRETQNINRSLSCLGDVIGALGQGKEGGHIPYR NSKLTYLLQFSLGGNSKTLMFVMVSPRQEHLNETLTSLRFATKVHNTHIGTAKRQTKV RDA UREG_06215 MVSFQVLSDIHLEAPAAYDIFDIPAKATCLALLGDIGNIRDPGF FPFIETQLRKFRTVLFLLGNHEPFHSSWAEVRRQLNEFAREVAQRAERDPTLGLGQFV FLDQTRYDVSDEVTVLGCTLYSHVVPEQEERVSFGLNDFYYIDGWTIASHNDAHAADL AWLNDQVATISNSEPRRRIVIFTHHSPITQDPRAIDPVHSGSPISSGFASDLSEEECW RNANVCMWAFGHTHFNFDFMAEEGTGYTKRIVSNQRGYYFKQAGDFDVEKVVTV UREG_06216 MRLLNALSSICSFIFSSRTPHRYVLVSFLLLYLLLLQYCRLHYY RDPTSSFFNPSKGYEPIYSRFRISQATSFIRHIDATASPRNGTASAGNSSASLCVGIA SIARTDASYVEAAVGSLLVDLAPQERDDVHLILFIPHVDPTIHPLFASNWTAALADKI LLYDVDSDKLDHLRQLEKEGGLFREKALFDYVYLLKACQDVGTPYVVMVEDDVVAMDG WYHRTKRALEDAERQTRRLGASKYLYLRLFYTQGLLGWNKEEWFTYLVSSATAVAILA AILLITRRYIPCSVRILSNQVIIVLCLICAPLCIILFFASGRLSMLSTPAGVHQMPRF GCCSQALAFPRNRVADVISWYETKRIGFADSLLEEYANANDEIRWALTPSVFQHVGVK SSKQDGPGDDIVAKETWNVDFELNDPIALQIQHEVSAKAMR UREG_06217 MAGRFVRSSKYRHVFGRSTRKEQCYDNLRISTNAWDTNLVKVNP QYLSVNWETAGGGAFAVIPTNETGKLPERFPLFRGHTAVVFLWRVPEGFTLHTDAEQV TDIAPIGKLSGHPRKVGHVLFNPAAENVLASASGDFSVKIWDIEAGSSKLTLKLGDVV QSLSWSANGSLLVTTSRDKKLRIWDVRQEKPVHETQGHSGAKNSRAVWMGEHDRIATT GFSKMSDRQLALWDARAPREPINGFKVLDSISGVCVPYWDDGTQCLYLAGKGDGNIRY FEYENDKFEYLSEYKSSDPQRGIGFMPKRGVNMHENEVVRAFKTVNDTYIEPISFIVP RRAEVFQDDIYPPTTGIKPAMSSGEWFEGKEALPPKIDMASLYEGEGLKELPADAVPA PKKAPATPAAPAEHPKEQVQKPQEEQEAKPIRPAVVSPPRDNMKEQGASMAAMVSKFA DEEEKADDADDSSSFEEVSKPVERAPTISVSATDAQPLSSPPGLWKARKPEAAKPIPS IANPPPSVHVPNLPITTPGVVTPTVDDFSAISREPIFKEMEQIKTLLAEQTKAMAAQA KQMAILAAEIDSLKAKLG UREG_06218 MKYVLVSGGVISGIGKGVIASSTGLLLKTIGLKVTSIKVDPYMN VDAGTMAPTEHGEVFVLDDGGEVDLDLGNYERYLNITLTRENNITTGKVYKHVIEKER RGDYLGRTVQVVPHLTDAIQDWIQRVAKIPVDDTNETPDVCIIELGGTVGDIESAPFI EAMRQLRRRAGKDNFVNIHVSLVPVIHGEQKTKPTQQAIRDVRSAGLSPDLIACRCSE ALEKSAIDKIAMFCQVEPEQVFGVHDVTTTYHVPLLLERQGLISVLRDTLRIGDLSVQ QKLVSQGEATWKRWKTLTISQERLFETVTIALVGKYISLHDSYLSVIKSLEHSAMACG RKLNLVWVDSSHLEKATAQESPEQYHKAWHEVCTADGILVPGGFGTRGTEGMIAAAQW ARTKPKPYLGVCLGMQIAVIEYARNVCKIAGAGSIELQGESTQDPVVIFMPEIDKVNL GGTMRLGLRPTIFQKGTEWSKLRALYGVSNESIDERHRHRYEVNPEYIDRLSDAGLNF IGRDDKGERMEVVELKDHPWFVGVQFHPEYLSRVLSPSKPYLGFVAASAGVLDEVTKN LQNNEYLVNGVLTNGMKSLGV UREG_06219 MADPSAPTGDSGKNTQLLSVIGPIPEIKPAKLARENLLLYSSTL RYTMLGFIPASLLYLFAQQSNSGYMLLSIVAALIFMLYPPPVLGVLNPIRDGINQAMS LDYKLNEGGYIAIKSDEMGVIDIAFQQHHAAPKWILGNHTSPFQGNVSVIRCAYSHNL RIIRDVWRGTVFLQSISSTAR UREG_06220 MELDFSSTVQMRKQQPKKRSQLGRTSTQKEKSNSVPASPTNTKS SNVLPTTAQQETPGTFRQDKGKHILPLITPRQDDHERAKETPGTLRRDKGKHLQELSS KETVDDMKVIEARWLNEEVLLPRSRAAGYISVTEKTEEGLKIEFDIVQCAEEGDPVMR PALGEPAMSTVYLNEHCPLDGPEEVFRAFLAACIKFLPVV UREG_06221 MASATYDGILYKRVSPPPDGDDPLEWLLQNAISDCHDIDIQHSK KQLGNKEGLALLDMQKESMEKVLHELSEIRGQIETLQKQSVMLGQQNTVLVEQNTVLK KDTAALKDNLSTSTKVHIGIRHGVLEERRVLEERRVSNRAGIRKDRKTKRIRNEIAHG GDIIGDIKTIQYAEEQKLPHVAKYKQGFQQAYMISFDKALAQIPSYPPEAIRAFDILA SVSELDIWKGRGKRKCRPKIKKHATKIIDAALNTKEDQLQARLGNGGDLRVAFDEMVR LFTAGR UREG_06222 MAVELLAADFVAASEAGANLTVAAEVQSWITPAAISVAVVGPRK PAPGTPTD UREG_06223 MHLLFSVVLLATLASADVFDGPYYIANNRTGHEAAWDGTREGDA VQFKASHQPWEFEEVSHGLHPWYVITGKSSYTYPPYNPVWTQIGFPEYKDGAVARLQS SVVPIFEITSVGDELYKIISNDSPTRNPSEKLAWTVERLSAEDQTEVLKLRPYRGTLD QHFNVIKAIFPP UREG_06224 MRVDFTFALFIWAAFHSFGCFAFDGNYTISTFINGDFAVAGTDA NGFIELRRGEGQFWEFETWGYENYHFIRDATTKKYIRFPTIEDGATAILSDESATAIT ASHVTIETLTTMRVNDPKNPSQGFFVTAERYDDSAGPRVFRLRSWPVEKDGQNFQFLK QIPART UREG_06225 MATLEPSSGLMDIAQSLTQDEIPFKLRCAICNKLALNAFRLPCC DQAICENCKECPWLNGSSETNPDRSSLGQGSLPESCPVCAHAPLDASLCKPNKALRTT LKAFLRTEEKKRERDRPPAKPATEPTPSTIETPSETPTPATDLPQTKTIEQNISEQPE NHIQPPVDDGKPGPLTPKSPSANAASTENETTPAKQHEVTAPHDTNPDTAVDETPPSP KAPSQAPDDQNPTAERDASAAQNLVQAGQTLDNGIGMGSNNPTGFQPVGWQGPNFNQM MPPFMANGMQPPGMMSFANQMGPPGMSGMGIDPMVASQGMFTDYGMNMNGMNGDMSMG MNFNNGQGMYGSWDVQNNMWNGGPDKFNANAFANRLGADFGPYSGYPGYNMSQPQGNY PHMHHPSNEFHGSYGPYGRGSGRGRGFGGRGRGGYTMTGMHDNYHSANLGPFQHQIPP HLQSNGGPATMEQPTDTPENMQRKFNDELCPGGEDDLREHQPADEAAKSADDTPTVDP SSGEAKPATETEDDGPQTSAIPTTTDVESGDRNTADATEVKSGPQSVGNSIPVVGENY PPHYGPNYFPNGPAGYASSQPSVSSKYGFSMEPRGMGVAGAPAAPRAMREGLPNTSIR NPRGFAILGRANVQSTQSVDAQSASAAKSVDDNRSRSKPRSRSRSRSRSRSRSRSPSR RSRSHRRRSRSRYRSYSRSRSPESSRETDENRNKESRKSRKDSDRDRESSVRSRSYSV ETSYRSSHRSRRDRGRRGDEKSMTSRRHRSPTRRDTLDDSKSSKSQKTGEDSESRRLA RAREREDRYRRRERDRERDPDRSRRDRERDKDRDREKHRERDRDRERDKNRERDRDRD RDKEREKPRDRGRDKDRERKRRRERSESRAESEPWRRQARRARREEADDPVNGSREKK LADRVQASDASTKPATEEKDPHTLEREARNRERLLKEQQRREAMNADRDGRNGRQHDA KGDRSLLGGRRLSYKYEDEASDQARAARVEQEREAARWG UREG_06226 MTDQDNSYRPRSPDFSSFAGNLPQQPIPDPYNQSPYAFPPQLTP QASYDASPFFNPHVPRPSVSYHPQPPFASDFAGMPPQTRSRTLLQQQQQPLQQQPLPQ QPLQPPPQQYQHQPQAQQHRQPQLEHKQPDPPPEIKPSSVAKTGTGPSPGPSMGIDIK TKFPVARIKRIMQADEDVGKVAQVAPIAVAKALELFMISLVTKAANQAKERSSKRVTA THLKEAIAKDEVLDFLADIISKVPDLPASKKDEDGSDHDEHRKRKGGGRRKKEEPDD UREG_06227 MLIDAEVEGAVDNRVFSRALVDLARRAGCAGEECEGKLVELIFG VATNIRLRPNILPAWFFPKGSIEDEAETREAGEIFAGATRKEDFPLFYLLLDYVHFAG RIGDFARTGLLYIIETASRAKDLERWLIESDLATLMATGLGGLYSQLGRVLPQAHDVE IPPIVALSDDTRLEAYIRYDLGGNMDSFLSYLLFWQDAVDHCKSVELNDTLLDHFQVL FLEQLLYPSLLESSDVDGGSTPAVTSYLSRILESIDQPELIHRILHFLLASPSEKDKR KPVEQAKMSVSRRKSLDILSSFAEAAAVPSPALFNLVDLTSMSIKSHNNQTLVATLRL ITVILQRHHHFTSSLIKAAPKSEPLPKLRTLGALNAELQQLFNFASMIYENPSLDQSY GSYLASASTVLSARSSMMFWNKSPDPCLESSTMLQVGDSIFQGMLDLLSHFFTNNVIT NLALTSAVAAMATSNLISLDGWLLVSPDKYRFVDGSDKNGASDQLSDGPASGRFQDSG SQLNFAYAQPSWPDDDLPALSAVLYKLAKQVHSWRESIPDFDRLLAGRRDLLRFRDQE SETGTEVGPHLSDESGSRARVRNDRSTESSRGRKSSQQRALGENSPSRLAARPPSNRP FSRSRNSSSQRPASRSRSRAHLLPIDLHRRLASPLSAELGRAPNQGDPGGSIIDPVPH PAPVQPREASDGDTVSAEGATLGHVLTNVVILYEFVLELTALVQMRASMFQEVTLSPV EGSS UREG_06228 MIQRQGARSPSAAALIQERSTSPLFAPEPSYFYPYAHHEFSLPS PNGSDTKPALSHTSQDGSLYLHGAIRDLQKLRALYIPFISSEEDLTPSSTSTTQFVSA SPTVSLPSSRSRLPRDSSISVTSPPGSARHSFLSGKFTPPVRANARSGRLIKSYPSAS PVTPAPKRAETEIESVPDFLPDFSSRILNRFVELINSIDMQSLSGKEHELGELP UREG_06229 MALEPESPNGFLDNSDNLCHAGFLGVARQMIRPVVLRLRQLLQE NPARSAGSLVITGHSTGGAIASLLYAHMLAQTVKSDLVNLRNSFNRIHCISFGAPPVS TLPLNKPSRREYQNWLFFSIINEGDPVSLSETAYVRSLIDLYNSPPPSARPASFLSRK LSFFSSKQRRGFDKRKSTSAVWCRPASTLSLPGRLVVLRGNEGKDGKQRAEAYLTRNE ELAGIVFGDVMMHSMALYQRRVEILATEAACVKMDEGS UREG_06230 MLKLRPRGFMPSRQWFNSFSYRTFSARPSSQKSSSNTSKPQKPL NEVSSHITQPISQGASQAMLYATGLSTEDMSKAQVGISSVWYNGNPCNMHLLDLSNVV REGVQKAGLIGYQFNTIGVSDGISMGTKGMRYSLQSRDLIADSIETVMGGQWYDANIG IPGCDKNMPGVIMAMGRVNRPSLMVYGGTIRPGCAATQNNADIDIVSAFQAYGQFLTG EITEEQRFDIIRHACPGGGACGGMYTANTMASAIEVMGMTLPGSSSNPAESKAKKLEC LAAGEAVKKLLVEDIRPSDILTRKAFENAMILVNITGGSTNAVLHLIAMADSVGIKLT IDDFQAVSDRTPFLADLKPSGKYVMADLHSIGGTPSLIKFLLKEGILDGSGMTVTGQT LAKNVENVPDFPSDQQIIRPLSNPIKKTGHIQILRGSLAPEGSVGKITGKEGMRFKGK ARVYDDEDDFIASLEKNEIKKEDKTVVVIRYTGPKGGPGMPEMLKPSSALMGAGLGSS VALITDGRFSGGSHGFLIGHIVPEAAVGGPIALVEDGDVITIDAEQRVLDLEVGEDVL AQRRQKWQEKQERGLGRPTGLTMRGTLGKYARAVTDASHGCITDSV UREG_06231 MKTFSTLALLASAGVVSAANQKAMERLMDMKLRAQERMENEGYF DANKYTASKGVTKCVNGKAGEYSCDNVNLHGFLPHADIGSKTRRGNDVWGWTSPDGRE FGAVGHSDGTGFVEIMKDGSLEYRGRLPTQTTNTVWRDMKLLNVTNPGDFDIKTDLTA HFSGFGSSHNIVANEKTKMIYAVGTGRKTPCQGGLFMVDVSNPAKPTSPGCANEDGYI HDAQCVIYTGPDFRYWGREICFNYNEDTLTIMDVSNRRKPKIISKTPYVGAAYTHQGW LTDPVRMEYLLLDDELDEQDGTGEAANGHTTTYIFDIKDLKKPKHTGTYQSPAKSIDH NQYVVNGLSYQSNYGSGLRVVDISSVDRDPTGKKFKQTGFFDCHPEDDEQNGEVEFFG SWSVYPYFRSGYILLNSIERGIYSLKYTGPKRKYYGKWWP UREG_06232 MHGLLLAATLLSLPFNAVAHVPPTTGLVRRGVDLDSFRLNERSQ FKISEQVEKDSGVSALHSRNYVDVATRLVKRVAPKATFRLVDDHYIGDTGVGHVYFRQ TINGVDVDNGDFNVNIGRNGRVFSYGNSFHTGDVPESNLRVKEDPSNPVQALHGALKA LRIPIKAERAVAEATNEEDDEDFVLKGTSGALADPTAKLVYLMKNDGSLALTWRVETD IGDNWLLTYVDAEDTTKVHNVVDYVAHATYKVYRWGIADPTEGELEVISDPWNLRTSE FTWHGNGTTRFSTTIGNNGIAQSNPTGGSEYLNNYRPQPADLKFEYDYSPSMNPPSTY IDASITQLWYSANTYHDLLYMLGFNERSGNFETNNNNQGGKGNDYVILNAQDGSGTNN ANFATPPDGRPGRMRMYIWTRANPPRDVCFEEGTVVHEYTHGLSNRLTGGPANSRCLN ALESGGMGEGWSDFFATAVRLKPKDTRHTDYPKGAWVANNPRGVRQYVYSTNMTTNPL VYTTVNSLNQVHAIGTVWATILYEVLWNLIDKHGKNDGPTPKFRNGVPTDGKYLAMKL VLDGLALQPCNPNFVQARDAILDADKILTGGRNQCELWKGFAKRELGTGAKWDPRNRV GSTRVPVICRIFT UREG_06233 MTDGVILSRSGISHSHIQLSANARKSHCAQAIFGLTREGLGGCW CCVTSSSRPGSPTFKPLHAPSAIIRPLRREQPSGRFGLSGLQHFLAASLETTPPARRS AATPFSMVERNSSQTEPDPITCGSPTHGLPSPPSLLPATSPPEQPCTSSADAPEHPLP GCHSSSLVGQGPVMRNLNAAPNGDSARLLTTSLPKEPSTPVQRTPTLSVRTDFGSTQI MAPGSRPDHDVPLRPSRSSTSLPRRTSSLRAALAAPQSSTGSLSPASVFSSPQLAALT DITPLPSPIHGNASWRSGSSHSLPRSPSTASYRGSTFSLKSLDPNRPPRSSSGQRRTC DRPPSQEKVPIKKENVHMKVPKAGHARNRSLSDCVSPPPRRTVQNKLHREEYLAVQRG IALPHAHPPSPPSTRRGEDSSESVGPLLLGPNPSSTQVYNVKSIRTQQHRRYRFVRQL GQGTFSQVVLAVREELPEVEQDGSNDMSVQPRLVAVKVVEYGPAGGADEERVEVSLKR EVDILKSVNHPSMIQLKAFGNDDKRAFLVLDYCPGGDLFEFASQGPNSLSPQSIRRIF AELVAAVRYLHQNQIVHRDIKLENVLLNIPAMMMQDVLDWQKYPRAAVTLTDLGLSRR IPQPPQSPLLHTRCGSEDYAAPEILMGQAYDGRSTDGWALGVLLYAIVENRLPFDPLP GTRGDPAKLRARTPHRIARCEWSWYRYGDEDGEWDPAKGAAFDGAQLCVQGLLKRSSR RIGLDEIAKMKWVSEAIKVPGGLKRGDFEVP UREG_06234 MSIWDTLSGRKSSTPSSTSAAFDPNSAHDVSSFLSSTAIPDPSE LHPLAGLNRETLDYLTLEDSTLNELPGSQSALPSRGWSDDLSYGTGTTYLTALSAGGL WGLTEGLKKTPPSAPPKLRLNAVLNSVTRRGPFLGNSAGVLAMAYNGINSTLGYARGK HDAVNSIVAGALSGMLFKSYSTGLIRVKGELPLSSGCFSRFVSGSIGNSDVKEPKGLS PYRWPLVIG UREG_06235 MLELGKELGLVYDKEGLTNLSPSIAESSILVEAGAYRQFLVHAD ESIRISVLSLLITDLATAKPFPPATFEALMASLPYLHAETDSHTRSQLMSILRKLVIR LRGSSNTVQATDGANSEADIGRLDRRDSAPDAKLFIHWYIDFLESELQPSASYQKHIL ALKALMLLLQSGVDDRMDIVHYSKLGQEQQTWRFSIAVFKPSLFRAIADLLLDPYDDV RETAMMLLRLFPCEFLQASSDPSTPSPYSQLQSALIRTEKMAGHTSRADHADTVGRLY RLLFDLSGTVDRNSNHSSAAYNIVDTILTNLEQSIPGAPELFHATLHNTPLQGHISAL RYIVATPNFYLLVSSSDNSTPSWLFFLERILSICFRVWSGVRDILCVDSPEREHENPD EDLAGPKDVLSFSWRALRESSVLLNAILVNTTFAPGGSEIGIGYESLSKIGKLSLEQL AELRHRGAFSTVSQTFASCCQRCFQSKDVAIRDLPAVWYKNTVRIIYDQGSRLTRRSA GLPAIITGIASSQPKGDLFRQIMEELQDISRTSPMEASINSNLELPQVHALNCLKDVF TNTSLALSTEQYIMSALHISADCLGSKIIPFGKYHGLVPLLSGLLDAVPDAPGYGAKA SGTWDLSILTERVFPALELIGNKAPSRPSSDDAILRKLAESQFANPVWGIRDHAARTY VSLIKRADLLQTAQGFSTPELPVQPPNQIHGTLLCIKYLIERLLASPSGYWRGNIDGM MATIENIFENSYSIKLAPYIQACFFETLANILEASIECRLQDDILLRFDAIYENYHLK QLLDEVLISKPTSSVSRSSSLLVRYLAFSRILLELLKGSSLEDLARLIETISTSDIDA GPWILDRLHRIFPHCAATQYNVIQLYSNVILHTQSQRLKTAAMSNLAVSLEGIYQKQT KFPSGLGFLTLLAKSKRFFTEEIGGPFWDRARFNTAMHLEGCLFPLRVQSVRDLENPE IVKDLRQLVLVLSSAMSEETEFSTRYSAVSSLKCFILGLQKMDVTRPYSHDLIDIYFI LYDMLNDDDEEIRDTAAHIASECHLAEFTMPRLPLATSVMLAGNLSRLFPQSYKVFEG ALFHLLGNPRDRHPFPRVADELAEFQKESTVLFLEEKQNLYIDEVREIEIWSSVLIQL DYNGLPTNPLRSDFVSWVAGGLEALYDELRKDQAKGILGWTSRSDSFALSLRLVHGAK ILLLTDGLGGTEYNPKFDSSRIRVILEKLADLGDIAGMYGLWIAAVNSVLNPSDTSKM CFQTSFDAVSTELAVR UREG_06236 MPPCSLGQCSLKYPRSATDWNSWTSEQQGGVLAAAILLFIFLFG VVLWFISHKRKKEKRRRPSRHGSHGRQRSTHGRPRSGPRHRSETRRGRRGEIMIPNVD GADEKGKQSKEGHHRHSQKKKRKRNKKGPAQCARCASKSRSRNTSRARPESKGKGKMA VEDPGEGPSAKPCT UREG_06237 MPVVLSEEEMQILRTLQRYSLATLCLSMISCGFIIAVAFIVMGI IGINVYTTWKRKFPKPRHFNARIEEEEVSEAISATSSESNGPSSAAVTVSIPGEQLST RSSRVPQHKWQAGNSDTDGVAITKPLQPQKPRHTQRASLQSNRRGSHAINNNEWTGQM GEDMDGQQQEPCVRNPPRQGAPGERRRRRNTGAAKADEGWNEVTSQIIPVSFGEDNRE GYSNQCEIPVGTGLRPAYAAFAKCLSDDARREQERERAGQGMRMPLPGLIPPAEARNR ASSQQLGLGALRSLFSNRFRNSKKTMLLDSKRSSIFRLGRASREMDQRRQG UREG_06238 MWNDEDNNPYGAFDRHTASVNDPFQAPAMSPTFNQPPSPQSPSD GVPEYSARSHTPSDNGDEPEHEQATPEGYAGHTAPPTYSRKKGVYESRIQQILYENPE IPILITHAGKNHEGGGGFIVYTIRTGVCYKSEGSHSSLGHDSDILAIYQDLEVRRRYS EFSSLRATLVNLHPTLIVPPIPEKHSMADYAAKPTKAKEDASIIELRKRMLSVFLNRC RRMKEVREDGVWWRFLDPNASWSEVLHSHPASSVPKNNLKAPPLDPANPKPAHNWLPV PSSSAKLKAVSGGTTSETSLPGTVPGFLNRFPPSSRNLDEHELDPYFMNFEASTRELE LLLQGNIEKVNRRTLNHLSSLATDLMELGARFNGFSLSEPSPTVAAAIERVGQAADNS YIETEELSNALNATFAEPMRESAQFAGVVRSVLRYRVLKRVQEEMTRDELSKKKALLD SLERSELEAKRIEQYLNKTGPPANKPQRSLSDSSAASNVDQGGGGEGRGSMEDTASID SDFPPTGDNPRPSAAQGLPHRPADKPSPPTPGHKHSSSGSFMANKIFGRISHAVHGFV DVDPERTRRDQIGKTKESLVQLERALEVSEQDVKDASAGVLQDLKRFQKEKEDDLKRY MVAYARCHLDWARKNLETWTEAKDEVDKIIVR UREG_06239 MSSPNGGNGTPAIQDQQMQDVQNDHTIHRSSSPALKRPAAEMGG DEHRENRDHMDTDSPGGNNSTESSLDTSKLKKKDDRPELHTRAASVDMIGRGDEATSQ PDGGQTSSEDPTGSGSDSVYPTPSSMSAYTSSTYEDRSQAISSQSSHSSHDIPSFEQQ VAIVMRMNMAPPKDKQRGYVVSGTFLKKVLARKEGTDKNALEGEIGPVDNSDLVLVTD PSMLFKDEVGEPFIPLRPGLQIGNDFEIFPQEAWDLIMKWYGLAKNSPVIVRYAHNTS TAEYVEHVQYELNPPIFTVLKLQSSTTPSNRNSPSIKEPPVKFLASSHTPFQQWLKNA KKLAAIEPTTKVRVWRILEGLGSSGNVTPATSRNPSPAPGAFLIGNAGNSLVLDVTKF VALNEGSQRELLELKDQTGNEKYNGNITLHIAGLAMDSVIVLEEQIGGPGGGEWVSDT AKYGSSRLAALTTTAKGGPQNKIKTAAATASGRASPVSTVASRGRKRKDGKTRGVTGL SNLGNTCYMNSALQCVRSVEELTQYFLSNEYKKDLNPSNPLAHNGEVAKAYANLLHQM FDENAAASIAPRNFKHTVSKYGPSFSGYGQHDSQEFVLFLLDGLQEDLNRIHKKPYIE KPDSTDDMVSDKAALERFADRNWEIYKARNDSVITDLFAGMYKSTLVCPVCDKVSIIF DPFSNLTLQLPIENIWSRKIQYHPARGESIELEVDIDKNASVMALKEYVAKRVNTDPR RLVMAEVFSRKIYKLFTNTPAISECNIGTSDVIAMYELETVPTSYDPDKAKKQNHSML YTAFRDDDADVASFDSERADRILVPIFHREVKAFSTKSHRQRPLFGIPRFAVITREEA MDYDAILRKILAQVDNMTTQDILHESENDVTMRHQSAEDSDAVVTNDDDANSNDSKIK MGSVEGEDGLVDVSMRDATDTSSQADSTKSSKTCMPGVLQPGSFIPPSLRNMFEVKFV KTHDPAGIIYSTIDDSKEFTPMLGRVRSKKVAKRGASRTKNIPPLRSNNSPMSSEDEL AGPAISVQVPGSKVHDVGYSSSEETKGNSGSGSDSDRFPTVRPIRRKEKGRKQKNRCS KPNPPANLPLYSPR UREG_06240 MYDLIGVDNHFGTLGGGHYTASAKSFVDGNWYEFNDSHVSRKGL NSIVTNHAYLLFYRRRSDHPLGGPYLAKVTEAAYQKNAADSDSPEDSDGNSGEGKRLD GSSRNGSSSALAEAAAAHQAGDGGLQGEILNHSNADDDPPEYLDIARGGETVLERGDG IEGMEIDGYERYGAGPMSYMEARWSFNNVGKEPYYEPNEDMDDDSSVKAFGSGSDRDG QIRPLGSSEGPDPLFSGMDYDNGHLNILNVGDDEDDGNLPVVELPVPDEESSS UREG_06241 MATPTETLSQATTIQAISSTFPLLKPQPAIVVEKTPDCGRKLIS KWFKRPSEPSEYDFPEKLAEEGRVEDTSTKKSTRRFKLRRCELCRCELFGEDWQETWT INGLLLIFGGVGLYLLWRLFVQSEFI UREG_06242 MSAIYTPWISAAAETWLCATFCLIDNPHPVSRSKAVLLTRDLDI PNPFTKQHTGMEQIPIGFVRPRSRSSNRQTNRFPSNTPDFVPGVPYFRPSSSIYSRPL AYNDEHFSVGRNVETDRGQNGLFIPSAQSGADRISETTSFGGLMRRFDSTVVQERDDG LRERVERNVEAGQVAEQPIEENGPDEGNGFCYCEDCERRWRIFNIVFIVVSILVAIIL IIIVCMTLGVFNHRATNSGAPVSTNSSLPITPTMSQNIKTEYSSPSGIMSTTTSSTSS PSPSPSLSPSPSPSPTPTPQVTFTTSTTFIRSTFRTTIWVTTTKPLPDPIDRKSSEIP SPTSVAPSSTEDDKTTTIYSPVTTIYLTALATNPG UREG_06243 MPHSNKTPSMLRVPAFSTPPEEVTRLVKQEGGVVLEGFLTKEQV ARMNAEIDGPLEKISAGSTHSAEHIRKFHGAQTKRMTNVVNHSRVFREEVLDHDYIHS MLAQVFHIESGTYWMASHQVIEIGPGNTAQVLHRDQGQYQLPNRLGPDGPECVINFLI ALTDCTEQNGATRVIPGSHRWEDFYEKGTPEQTVPAELNAGDILMITGKVIHGGGANL TQNEHRRVISWSFIPSYFTPEEAYPFIVPMESVKKMSPRAQRILGFRSQYPKNSPGLW QSDYSELATVLGLEGEDKEVQELKALGRR UREG_06244 MAPSVNRPSVEYTDPQTLIKSPLYSRATSTTAAGRFIFTAGIVG SKDGKIVPELAPQVEQAFKNLETTLTAAGARPTDIVKLTFYVVNWKWTETEQLIESWM DLAPQRPASTLVPLQKLYEDGVFFEVEAVAAIGGTQKLLDQSTISGSVSDAVPPKTVD VVVVGAGFSGVQAANDYNPQDGVGIFQTSDGKVHRARQNDKANTSSSLSAEDIQSVTA LLEAIDWQTTSNKNLDINNTSVYPTADDVSCEEWVKRSKFGAYAEKAIGGICRAMVGR EPSEVGIHYMMDYIKSGGGFTSLTTDDEGGSQSLFIKEGTSAIAHGLAAELKPGSIFV NSPVDTINQHGSNVTVTTTNGTKFECKKLILAIPTNTYTKIHFTPPLPAPKRMLATKT MPGVYAKALLTYASPWWRDLGLLGKFRSHIGPICFSWELSSFETRAFTLAIFIAGERA RNWYRLSELARQDAIIEHLAELVGPENAHLARDILEFNVGNWSEEEWFGGAPTSAMPP GYLSKYGEDLRKPFMSVHFAGGETAREWKGYLEGALRAGTRAADEVIGELGSKSRI UREG_06245 MKEGSGRCSIISSLPTQRALEWNITPLSPNMPSQASIPDAPLLL QFFIQETSPRLTCKGNSSQFQNPFQDEVPLLAESDGVVMYAVLALAGMHMGYYTQDEA RQQAAVRHYILAMKELHSAIADWVAGSQGEVVRLLLITVLLVYYETISGNKSGAMAYH LRACRQFAFHITTNNELPLEGEVVGLLLETYAYLELCSCLRFSPLEEDIRTNLNSFVS QLDYLRQFKTFGVLFGSASALYETIPSISFLSLLRQQELREHQDLGSAALYRDLDKLI TSWSDHAISCVNLELGPDGVFEIPAIMAVRNALQVFLETSFYYGSVSVVDFSKKVEPL VEATLPLLQLLKDSPLFYTAYWPLVVLGANARTAAQRNIISSLLQDHTMPMTSRMKET LSWIWDHPDENVFGLPGLELVVKEQRTALCIA UREG_06246 MAMGRTSAPDCLSIFSILASRRYESRSASGCRGQRVVRDGPVIT AVSTDEQSRDDGQESSA UREG_06247 MAPFDADTSDEKGKMDLLESKPSSEARSEAQVAEPAPKVHFSVS SCLGVNFSISATPLAIGTYLALSIGTGGPPFFFYEFIFAGIGQIILCIALAELASSFP HSLGPAHWVAVLGPKRYANQLGYIMGWLTNACWFFICAASYLFLGQLTMAIIEATIPS YAPKIWHTYLLYIGFALNGLLVNLPGAFKMLSWSLKAAVFFINGSAVFVFVSLLARAS PKQSARTVFVDVVNETGWSSNVVVFFLCILPGVAGVGGLDSAPHLTDEVDNPKKQIPQ VMIGSALLSFFTGIPSILIYLFCNTNPEGLIAPVGGQPLIQLFLDAYNSKALTVLAAL SVIITFNVAAWSALTSWSRLYWAFSKDGGLPFSKFTARLSSSHSFPINALLVNTGLLI AIGAIQLGSTTALNALLGGASLCGKTSWALSIGLLLWRGRDTLDKDRWLKLGRFGYVI DVLAVLWAVWVCVWISFPLYVPVTAPSMNYAAVVFAGVSAIGAVYYFVAYRGRQI UREG_06248 MSSSNNLVSARRPKGVKARRKSHLKLTFPKLSGPNRSWSPNTLR TRLVLNYKHIPYTQSYLSYTHISPILRSFNLAPLSNGPVPYTLPAIIHAPSLPSETGH ALNDSWPIAQHLERTFPAPEYPSIFPTPASYPLAVAVQKILANVMVKGMSLHIPKVPN ILEPSCAEYFHRTRAVRFGKSLPDFAARGPDLERVWADIEAEFETLAAMLRGAQAMQA KTGPFFEGDKLGYADLVVVAWLGWYFRNDRADWERLVKVGKEADEDAAAAENGPILFK PM UREG_06249 MEPSLEMPLPQSLDTLYTSCPSPATSGYDSVRLTEEGCLAVLKL PEAQKLFGHEDGPGSSSLQDDIKHGRLSFSELIRRNMNSLVVRAESEGSGDAVRSRLV HVGYAALLTFLQANVTGPPLGFWPEEVVFPLELRGDPAVVRRMRDVMIRELSVDGEAA YRLTPNVELFCAAKTILTGEKLLGRGGVPLMAVTGRLRVNFLHQKMLSENTDTLQAVV YEDLDVLASQIFRESSNASVEQRVRFLLERAAIHTHHGFDAQARADLERAAGENRFEF ALTGRLGKRTKFQDRDISQLVVLAKSRDQDGSASSATQISKKESILPSGPKNLNLNDD TLLESISFKRDDEGSKPSEKVMTVQDSSALSESLADLDASDQPKLSPLDSIVLLALAS AITNTNPEDGLTREETLPYATRVLEGGSSNWQVYSQALLVRSRIEGYKSRTVERGVLQ LQALVDQVIADTASDSLPGEEEHQQQPSSTFLPRPEASESAPASERLEYIWLLSFVTR WDLEAELAARWVNLGGLRTALDIYERLQMWAEVALCYAATDREDKAKLIVRRQLYDPS GAHGEEDENEKFEGPERLPLPVDAPRLFCILGDVDKDHTMFERSWAVSNQRYARAQRA LARYYLGQKPPMFEKAEEAYKLSLKINRLNHGAWFSIGCIQLELEKWQDAVASFTRTV QLEESDAEAWSNLAAALMNIPPPEPEKPAPKAADEEGEPESESVIDEYKPKRDALAAL HRAARFKHTDYRIWANILAVGASIPPPQTPFRDVIHAQKRIIELRGSKDGEKCIDIPL LTSLINTLTANYDFEDAVPASTDTDSRKKPRPGTLPALIFSLVDDSVIPLITHSSALW LLVAKLEHWRGNPSRALAAHEKAWRATVASCTSAAFQMGDERKWMDVVKATETLVRKG YAVFGGMDREMEGGQGAGELVAKDWRFKARSAVRGILGKGKDIWEDSEGWKRLKGLAE EVSGS UREG_06250 MPAIDSINESAKSVTVLEELLKSLSVSKNEAETRAAANNIASLL NGPTDEQVLPVKAVESLKKQLANKKDAAAREKALDAIAAIANHSTVSPAVEPYLLSLL GPSLVAVSDKMTSVKNLAQAAALAIVKSINPNAVKAALPAIISSLQNAQKWAEKITAL QCIESLVESAPVQLAYRVPDLIPVVSESMWDTKPEVKKAAYATMEKVCGLIVNKDIER FIPELIKCISKPENVPETVHLLGATTFVTDVHEPTLAIMVPLLDRGLTERETAIKRKS AVIIDNMCKLVEDPQIVAAFLPKLMPALNKNFDTLADPEAREKTKQALDTLIRVGDVK DGKIPEVSTAGDISTVSGILKEILEPKHKASIPKFEATIEYIAAIAGQLIDEKVTDVA SWTENTLAYLGAIVGETDAKPLAETLRKRASPGAGEEAEVEEDEEEGEDLCNCTFNLA YGAKILLNQTHLRLKRGQRYGLLGPNGSGKSTLMRAINNEQVEGFPKKDEVKTVFVEH DLDAADTEQTVIGWTQKKLSDVGISTPREEIEAKLLEFGFLQEQFENAITSLSGGWKM KLALARAVFEEPDILLLDEPTNHLDVKNVAWLEQYLINSPCTSIIVSHDSSFLNNVIQ HVIHYERFKLRRYRGNLTEFVKKLPSARSYFDLDASEMEFKFPEPGFLEGVKTKAKAI VRVSNMSFQYPGTSKPQISDITFQCSLGSRIAVIGPNGAGKSTLHENIRIAYIKQHAF AHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKIVTEDDEKAMDKIYRIEGSQRRVIG IHSRRKFKNSYEYECSFTLGENVGQKNEKWTPMMTADNAWIPRTEILATHAKMVAEVD QKEALASGQFRPLVRKEIEAHCANFGLDAELVSHSRMRGLSGGQRVKVVLAACSWQRP HLIVLDEPTNYLDRDSLGALSKALKSFEGGVIIITHSKEFTENLTSEVWAVMDGKMTP SGHNWVQGQGSGPRLTEKGDEEEKFDAMGNKIEGGQKKKKLTSSELRKKKKDRMARRK RGEEVFSDEDDF UREG_06251 MSPEKYSVTFQQPASSSTTDKPPGDVELGLPRSSGALDELPAIS AYSSFTAVDESASLSEFSITARVKGYLQEQIDTGNSDLILIVCSFISGVIDSAAFNAW GSFASMQTGNVVFLALGVSGQPPEPQLRWAKSLIAIATFIAAVLFFTIASRLLGPLRR STLLASFTLQTAAILASSILVETGVVDSQIAASNKGIHWLQMVPITLLAFQAAGQIVT SRMVQVEEIPTVVLTTVLADLLIDPRLVTKVNPVRNRRIATALALFSGAMLSGGLTKL VGLSCSLWLAAGIKGVITICWAFWRPKGDVARPHACFWS UREG_06252 MSGYYGGPPQQPPYGGQPPPGQQGYYPPATGYGQEQYGQQPPYP GQYEQQPQGSPSGYYGQQPPQQPYGVSSPPPLQPQQSYDNRGQVYNAYPQQGGGGESN SYYNQQYPGGYQAPYGGQPGGPQGPPGALGGPDGEKGLGATLLGGAAGGFAGHKLGGG MLGTLGGAALGAAGANVMGHAAKKHKKKKKDKKDKKHKKYKKRSGSGSSSSDSSSDSD UREG_06253 MEVNGVAVMRRRSDSWLNATQILKVAGVVKARRTKTLEKEVASG EHEKVQGGYGKYQGTWVSYQRGVELCRRYHVEDLLRPLLEYDMGQDGVSQAGHGATDT PTKEQAMAAQRKRLYYGMDSQNSSSQGTFFQSISRTAASAVSAINKARFDSPASRGPD SRQPSAIRRSSQMSSQESHVPLGSQKSMYSVASDSGFASNLQPSQRSLVDHGEETQEP PRKRMRSSSSVQMRSFNALNEPTPTEPSESFYRPLEAQEDATDDYTHGLKPLAAATTP ERYQKMKLIMTLFLDKRAKDFSTHPAFLTLTSEDLEIPLDEYLNSALHWAAMLARLPL VHALVAKGVSIYRLNAAGETALQKAVGTRNNLDYRTFSKLLQVLAATIEIIDHHGRTV LHHIAMMAATGGDGHVAAKHYLECLLEFIVRHGGPSNSQQSSANGASSLRNPQRTEVI GLGRFMSDMINIQDDQGDTALNLAGRARTILVPQLLEVGASPHIPNHTGLRPADYGVG VDMVSSGGQGLQNGDADDSFSTQLARTKQDILSSALAQITSAIEQSFNMVDKDLSDDL SKKQEEFDQWHAKIRESAKLRQIEQNELDELKKTSRERIELQRQTRNLEQSADELAAT LKEMEDAEPSDNPVGDDPRQTPLFDLDGFNTLFPETFDASTGFSDEQRAYLSEQPSVE KLQAFLGAYEEHNQSIGEEIEILKSKNVVLGEQYRRMVMACTGWSAEQVDAAAEGLME CVKDLNQDPVPEEVALEILMQDRGQDW UREG_06254 MAVPAVLSTRSTPWRHLYRSLVRECTYLPDPVAMDYMRDYVRSS FRENISEARIRGITQIKEFHLHRRGRKLLSLLHRANEGYLKALEKVLLLAYGRIGKRR YFLMRPLLPPQTVIQTFDDNWQPPSALMTLLKSQSNQRTVTELGMRPVIKSFEPPIPK ENIWGRPIPYKRRVNIRRRWYRLLIDSCLPILPGQDWGVLHDLATGKGPWTLPKRRKT LAVSQPTTFLTPEFLVHGPAKGPTFEMYSRGRPHNITRRLMEKLWRKVCALTPTMVWD EPRDRWAIQWGMIDAPRRISAHKLDREKGIALFEGVDPRTGQTSHVNRAAG UREG_06255 MRGQMEIVTLTPETPSLMPVVSVMNPTTNIYQQGVDFRALALED PDFAKFLKINGQLNFNDPDAVRYAFVPFWPPTSPYLALNEMSELSDGRAIDEELIEKR FQAEPGVTGQSTMSACTRSPHATCFMRDELVYGESKFWLMNAVSVLAYILWLQELIDT TNGSYCDQNDPEREVVGLDILDIDDDNIKIAQENVKRNDLQSRIHVVRTTQADFLIPL GDKIPFETEYQAKIVRLQFTMCNPPFYESEEEMMASANLKHRPPNSACTGAPVEMVTT GGEERFVSRMIEESVKLRTNVQWYSSMLGKLSSVTTLVEKLQEAGNQNWAVTEFVPGS KTRRWAIAWSWQDLRPPMDAARNIPSIPKHLLPFPSEFSFQPKFESITFLIRRINNEM QALRMVWRWNQHSCKGLGFATENVWSRQARRKQQHFMSRIENAADSSPPIDESKAALG VLIHVRQTGIENVDVIVRWVKGFDHVLFESFCGMFKRKIE UREG_06256 MASSKLRRIACPYGVPGTRLMTGIMRGSLSNFPVLGFSNRHSPS QRVQARKLRYSDSSVVWLVKCGRLLLDHGLLIRL UREG_06257 MHQQGRVGWVGWDGEGPDGGSRLTFFQDRDISSTSLYSAGLFKI KERDRSIDRSSDLLGKPGWI UREG_06258 MRGVQIFSGSSHPALAEAICERLGTVPAKCELRKFSNGETCVNI GVSVRNQDVFIVQSGSSKINDSVMELLIMISACKGGSAKSITGNYSGPSVLPYFPYSR QSKKKSHRGAITARMLANLLSIAGVDHVITVDLHASQMQGFFGKPVDNLFAEALIARW IKINVPRWHEAVVVTKNAGGSKRVTSLADALKLNFGIVTTDRRRQRYTHNSMTDSAIF FDSVEHTLANVRNAEFPLRHVAHRASLPTRVRPQDINQHPSSLADPFIDAKPSALTPL AEEGKTPQAEVPEKSVNHDDDDAGNEYTDERAREVITGRLVQGHLVDDDYPSPNLSST SASVSVLNASMERADTYDGAILDPMAESVVSTLSSFQPEHALGGSFDAAASSDEEDEK VQNSNHERTITLVGNVKDKTVFIVDDMIDRAGSWIAAAETVVKKGGAKKVYCIATHGL FGENSLEQIEECDCIDYIVITNTFPIDPQRARSMRKLIVLDVSALLAESIRRHHYGER ATRNATIAKCAVPKPSADPEIRQVSSQFHMSCWCEHLDAPQLQMTRNGNLLQQLWTKS KPAS UREG_06259 MSQSFPPIQPGGSLMVAWQVRDKHVLVVGGGEVAAGRILHALNA DAKVTVVCPSACLNPEVKYRISENHVAYIDRKFEPVDLDDDVAMVFVAIDDPEASSQI WKLCRERRIPANIADVPSECDFYFGSVHRDGPLQVMVSTNGNGPKLASIVRKEIAAAL PANTGAAIQNVGILRRKLRETAPGPKDGPKRMKWISRLCESWSSEELVEMDEKDMETL LGFYTQDIIPAFEQVQLKEGNIAPFDGSMGWSC UREG_06260 MFAARRAFGLVQRRAFSATAQQNSKVTVLGAAGGIGQPLSLLMK LNPRVSQLALYDIRGGPAYCSHLGVAADLSHINTNSTVTGYDPTPSGLRDALTDAEIV LIPAGVPRKPGMTRDDLFTTNASIVRDLAKATAEAAPNANVLVISNPVNSTVPIVAEV FKSKNVYNPKRLFGVTTLDVVRSSRFISEIKKTDPVNEEVPVVGGHSGVTIVPLISQS NHPDISGDALKALVNRIQFGGDEVVKAKAGAGSATLSMAMAGARFAESLLKASQGVKD VIEPTFVESPLYKSEGIDFFASRVRLGPNGVEEIFPVGKISEYEQSLLDACMVDLKKN IAKGIDFVKSNP UREG_06261 MRRTGLFILAVISILYLLAPVTLALPTHTSSSPSKLVERDLINP SEIPQGHNDTIVCVYPISGQYGLLPRLLYYISLILGILGRYQRWLVMGALASALSYAG STAIHMLTLAKSRAPVFDLDIVAAWAVLTTGCVAFAALVHWSSALRRSEGRIVILLWG ALVGIGCLTGRALIVDVRTGVEPACRSPTGDLLTSTSELVSSQFNCTYKCFSAKTPMR QSSEIMALPTDHLLGTYANLGVILLVPILAATHKSLSINLSPHTPSYGCTSLVMVHVN SSLNMRLSQTTYNAACRSWYGGYILLFQYIRKVKFGISLKNRLIITALGALLFIDLLF DLAALPMFVTNIIFNELNLMKTELPVEESHGSVGQWSPVVSAVLILIASVINRSIGWW KRRRQLGQEPAEGEEAQLVEWPNHQQSPNGPVGYHVPQENGVVMRKLTQQETLRLDPE LGPKPSPQALIRERTPV UREG_06262 MSKAPAAPQPIQVEFNDTETTTSSLVDVDSPHINTVPSNYESQS VKTNTQAERLQREEGEKHRERQYKERSKAAAQRGKAKAKGTYAKLCENKSNPVLVTNA ILLTVASAGLGYGAYQKYLRGILTWETVALWSGGIGAAGLVDYFVSKWFFQNKYPPK UREG_06263 MASAAVMSRSSSFRLLLSRPAPALRESRTLYKLRDTSRLQGPLF ASLARYYASKSYPSHTIISMPALSPTMTAGNIGSWQKKVGDTLAPGDVLVEIETDKAQ MDFEFQEEGVLAKILKEAGEKDVAVGNPIAVMVEEGTDISQFESFSLEDAGGDKKPAA DKAPKEAAESSKGPETEAEAPSPARDESKPAAEEPEVTGERLQPSIDREPLISPAAKA LALERGVPIKSLKGTGAGGRITKEDIEKYQPTAAPGAAAGPSYEDVPASSMRKVIANR LTQSMRENPHYFVSSTLSVTKLLKLRQALNSSADGKYKLSVNDFLIKACAIALRKVPA VNSAWIEQNGQVVIRQHKNVDISVAVATPVGLITPIVKGVEGLGLESISRQVKDLGKR ARDNKLKPEEFNGGTFTISNMGMNSAVERFTAVINPPQAGILAVGTTKKVAVPLEGED GTEVQWDDQIVVTGSFDHKVIDGAVGAEFMQELKKVVENPLELML UREG_06264 MSDINEVSEVTQDMEPSITRPKDAPLPDDSLGSPGSTDSLEQGA EEAVEVPAAQEGDYDEQKSDVPIIDPDTTAEIQPETQPSTSPIPPIPTDGRERSDSRS TIATQATHRTAPLSSAVFVVTALDAIAASKEARRSKELDDAVKSALGNIKQSEQHPID PELIFRPLELASKTLSIPLQITALDCIGKLITYSYFAFPSAPNESKPESDDQPPLIER AIETICDCFENEATPAEIQQQIIKSLLAAVLNDKIVVHGAGLLKAVRQIYNIFIYSKS SQNQHIAQGSLTQMVGTVYDRVRFRLDLKEVRLREAENRHRAASDVSFGQHNHSTPTD QDELPEDEQSPVSDHPVAKDPKEKMTLQSFETAKDDAMVNDNAPTMVTRAKANRKASR SISGDDLDPAIDDEDEIYIKDAFLVFRALCKLSHKILSHEQQQDLKSQNMRSKLLSLH LMQHLLSNHIAVFTSPLATIKSSSTTGDTMTLLQAIRPHLCLSLKVFFKEIYLAILEK RSSPVFQKQYFMDILGRLATDPRALVELYLNYDCDRTALENMFQGIIEQLSRMSSMPV AVTAQQQQQYQEQHIKTPTSANGWHQPGTLPPSLSTAKIDNTAPVNSQSVPPEYAMKQ KALECLVETLRSLDTWSSQDANVPKSVSREPFSRHSLEMSRESLDTTAPTLATASPRL DAGEPLTGQSTPIPEDDPNEIEKVKQRKIALTNAIRQFNFKPKRGMKLFLSEGFVRSG SPSDIAAFLVRNDRLDKAALGEFLGEGDAENVAIMHAFVDLMDFSNRGFVDALREFLQ SFRLPGESQKIDRFMLKFAERYLTGNPKSFATADDPYVLAYSVIMLNTDLHSSKLKRK MTKEDFIRNNRDLQDVPHDYLGGIYDEIASNEIVLYSERENAANLGPAAPAPGLASRA GQVLATVGRDIQGEKYAQASEEIANKTEQLYRSLIRAQRKSAMKEALSRFIPATSVRH VGSMFNVTWMSFLSGLSAQVQDTQNLDTIRQCMEGIKLAIRISCAFELETPRVAFVTA LAKFTNLGNLREMMAKNLEALKVLLDVAISEGNHLRSSWREILTCISQLDRFQLLTDG VDEGALPDMSVARVVPPSDSARNRKSLQVPRKPRPRSINGSAQFRPDIAMESRSTEMV RGVDRIFTNTANLSQDAIVDFVWALSNVSWQEIQSSGQSESPRTYSLQKLVEISYYNM TRVRIEWSRIWEVLGEHFNQVGCHTNTAVVFFALDSLRQLSMRFMEIEELPGFKFQKD FLKPFEHVMANSTVVAVKDMVLRCLIQMIQARGNNIRSGWKSMFGVFSVAAKEPYEGI VNMAFEHVTQIYNTRFGVVITQGAFPDLIVCLTEFSKNLKFQKKSLQAIETLKSTVPK MLKTPECPLSHRRGSTSSVPGDGVIPLTPQTSRQSAEEQFWYPILISFQDVLMTGDDL EVRSRALTYLFEILVRYGGDFPTEFWDVLWRQLLYPIFVVLQSKSEMSKVPNHEELSV WLSTTMIQALRHMITLFTHYFDALEYMLDRFLGLLTLCICQENDTIARIGSNCLQQLI LQNVQKFQSAHWDKIVGAFVQLFEKTTAYDLFTAVVPVSTKGSETTKVADDSASMNEI STEHISTGDEASVNGDQRPATAVEQEEARNDQHSAQLEDHSTAAEQHQTPPTISASRR RFFNRIITNCVLQLLMIETVNELFSNDAVYEQIPSHELLRLMGLLKKSYQFAKKFNEA KELRVALWKQGFMKQPPNLLKQESGSAATYVNILFRMYHDEGDERKSSRKETEEALIP LCADIIRGYVKLDEETQQRNISAWRPVVVDVVEGYTGFPRETFDKYIETFYPLGVELL SRDLNSEIRLALQSLLRRVVLAFDSIRAFFFRFFGGPVTIAFDGFPSIVQLGKPL UREG_06265 MPDPQPASSPSNAPVHSSSDKPGPNKGSSTGDSRDSGPDPVLTP STASFTPSPQPDSHLNTPNMPDEKSGSSGNNADGLEKKQEAGTETMTPKTDATPASLS TTDNAPKKSICKKHAAVHGSKSEKSKKKKKAVESSTSDSDSDDDSSESSSSSSSSDSS DSDSEDSSDYDSHKKCKKKKKKRAKERAKKLKQKKKARKDETSTSEDDSDSDEDVSSE DEKAKKAKLRAKRKARKAKRLQEAETEDDGDVDAAETQIRGTQRARGGLRGARGRKLK QAGYIDNKLLAEQTAQQAALLQQQQRALQKAARAKKQKRASKVAFKRIDQLWDNTLHN YKLTETVKDPDANEWDQYIFTVRRRFDWDNKYLETLVDIKSKALKDALTHVMDGVKSV SLVQDTPAVDPNMLFLYLEECRAYVKELKGLAKTAEKKKVRKQVELKASHLKVLVKYL DKDYAETKKTLYPLLENNTITFDLLWALFKPNTIVYTPTYGAADEPRAFKLEYATKES SFMKGQWYSIEGRYLEYDGKSFGMGTMTSEVDFFKGPRKITSLACYPIQYHRDSEALK TKLVDRGKKFVALQGMNYRFHQGMAFFKKRRSIIKVNINGRVMVDPALHRRINPNYPI STVKPKDSDILDGSGDDTDGEGCCCGSSSETERAGRDDIAPKTKLKVIHDKEGKPHLV EVEIDENGNEIVKEDIDKIKDGAAGNNDIDFTEEELLIASPVVLGFAFSEKLWLEFTV SGIREIEWNSGAFDSLVLPGNQKSIVKALVESHTFHAAENIDDVIQGKGKGLVAVLHG PPGTGKTLTAEGIAELLKRPLYMVSAGELGTDSRTLEGELNKILDIAHSWGAVLLLDE ADVFLEKRTIQDIHRNALVSIFLRLLEYFQGILFLTTNRVETFDDAFQSRIHVALRYG DLTTKAKRTVWKMFLDKVRTKEGLEVVKFTDKDYDTLARHNLNGRQIKNSVRTAQALA LNEKAALSMEHINRVLDVAETFEHDLKGGTGYMDAMRSYT UREG_06266 MSLLSGQRARLQGIEACAHKTGSATVPSEQSYRLTHFLELYITE DISKGVNAKEVKVNDALYAEENYINGNFNEEPY UREG_06267 MSSFTAINHPGVVDPAAKGDDIEATTASDVPDIKAEENEEENMA QPEPKGKKAKETKVPTTPRKRGRKPAVKKEASAIKTENSDDDTKEHLDEDSPQKKAKS TPGKKGRPIPTSYDAACEEDKMLLRLKDQENKTWAEIASAWKEMTGEAAKGTTLSTRY MRIKANFIVLSKEDEAALLKVKKEIEDKFESEKWQRLAEALEQASGKKFPPLTLQKKF KELDKKGVSDEIF UREG_06268 MADIFLINPKKDSEFKAGKNGITFPNSFPIQTARKYIALQFPNL EERQLNLYATLLNECYFNYDHGRTAEPPYARWIIFIRWVQHAHGLTGDNRIINGVDQW NMKNFAKYYNKYVKFFFRIPKKTGELGSANIGDGEDDSPVPLNPAIDDGDVDDDGILD EHVDFLNDIDDDDFGDEDDTGGSGTWVGGNSGTAKGRLPPNTQSGFGGVDVSCAVFDP SAVRTNLFRFPIDDGTAWTDVGSGPSGDSTYTVPGYMTFSWASTSTSMTIAGETKTKF IQELSRTLNVGADFAGFGGEFSRTYDDSSKVETWKKYMATYHQTLIYRLGFKDIDQAK TYLTETATEAFKSWSAEKIIHTFGTHYMISATFGGIRITSSSLDASFSGPETELDANA KNSSKDQTVQQIMSKMELVRTRTIGGVADDSNVQAWRNSLYSNPVVVDYDIRPIWELI DDQNLAGQVKTQVNALLTAAQPYDPCEIMAMYVPIKKYADDRGSGANYDLTLAVPDVP EGWLELCQYSQTGKWNDTFNGANNKGIAIRINPNRTVDRDGDGKIDGNQPPAVMGATG LDVLWQHWRSDRNFAVYRLRGPSADYAAIGDIFENQCDPNAVQRRRYAVLHSRVLNKC SVNTGNANDGLRLMWSDQGSGAGGNVVLVAPPPGSCQLLDENGNVKDLPVFVNDPATG MGYPFYGCTVGDYDDAFGIIASMLDWSKVKWLESEWLGAP UREG_06269 MALVRRSRPSCDFRPSWTRVGAIPAHEIIYRYIPGILNFSRPRS SGRLEIDLESLFAQAPLLRAGTLEPGLYNRCLNELLSALYKLQFPIPQLRIMEPLMEK ARRLYPGDWENLLCTFACYGDLLDSDCLNASPELCDDLSDYILHKLTKIATQAPLSVS LSFVDLLEEDQFQNGMAILVDQVRQRHRDWAKLRASQGQILLRLSNQPSKRIMRYKSL UREG_06270 MRSSRTGSTGRQRGDVSSAGPASPEHTRPTDQPPRPSSSGSNPS DPPFLPPLNIPKRSLYNLSPQIPSPSGSRSASAGIASANSSNASLPNFLRSSTSLMPQ NEPIQRRPSPLALTNDPQRHRRQHSQGFFEPSLPTASMSEHTPANMAPMSASRIAAQT AMQHQVSSQQLRNRSSTIPPHQDAGAVTQKGSPAGVAQYQSGSSGGRAFAATTAANLV FPRAQHAPPASTPAQESTSGKQKGEKEKSKMKSFLKPKHIGISRDKDSDRREKPTPSP SKLGPSGLSRMVNASTTSLNDSLVSSNSSLYTFTNSSVATVVPTEKQSTNEKEKEKDK EKHRHHFLPRPKLKLKDKDDHFHLPLSSANSNSRPLDPSAPQSLYSFTPSSPSSTSFG KSVSGLDLRHGGRALREKRKMEEKASASHDAAGNDQPDFSGSLGTGVAAWSTFIGTGV PGASIQSKEAAMREALGSFGIANMAFEDAWDFLKAKLLVLFEGEEVRIAIEDLNKLVS VHILRSFQRRVPNVLVEDLRDLLQTGFASLNQTLSSIPDENLMHHLVQVWTYTYGNIV PFIQAVFFPLDLEFKGRGKIMEPHEAREFWGTMPGGGSAGEKLNVNDIILISFRDNII LNRYETLKTIFSRLSLERVNASIGLLGTSSNSGASRPGTAQALEGGSFNSQSSTALNA TGSHSSESHLTTSRARAESNTSSNPDHPVFQSSIFSRPTDASHVVTETVGRVLQCICV IAGVHTDDHAQRQIEDLRKAVTHNWLGRGRTGRDRRGFVGTKVRPAASSRQYTDGPTR RGSMDGGEEPMRPTSKDSTSLDEEDPPSERMKNLRM UREG_06271 MAPPPNTPANKPSVLKAVYSAPAASSPSDTSQTEPAIHHTIQHE LSASLSSHTADETTSKTAYLSELRRAVTSLQNEVNTYLTARMDEDSARATGTGGKDEI GQEENYGEEVANEEDED UREG_06272 MLSAFTARPLVELRQRDKSKIESLLAYGDRLLAGLNTGSLRIYR VNETSEETDTGGAQNAEESAGSPASATTKPTDLLREEEKFARYKIEQLAIIKEANVLV SLSGGYVSLHDWQTRKSLRISSDPGAYEDPAVKNTGRHCDVRYELYRDWQARPPKPLA TRLSEGQMLLAKDVNTPFYRYRWHFPGPEADPPGVRPQRAVGYSYPYILALQEPSKGT LEVRNPETLSLLQSISLPSASIMHIPQPNISLAHAGKGFLVASERVIWRMNALDYDSQ IDSLVEQGHLDEAISLLEMLEDALTTNKAGRLRQVKLQKAQSLFDSRKYRASLDLFTA ASAPPELVIRLYPKLIAGDLSTVVEDESQSEPEESGSSTPQPVNGTKTNGVEATTEDK IKGKGVGYTPSVRSFLRYRTDDGTSETNSVRDAMTEKDSGGTDKPLQGKDLKGAVYEL QGFLADIRRRLQRFLNPDGSAKVSNLFDNGESDEFTKSVISILDLSDDKDVDVGSRLR ETASLVDTTLFRAHMYATPSLAGSLFRIANFCEPDVVMEKLEETGRYNELIDFLFGKK LHRPALERLRKFGQADKEEESAPQLLGPRRTITYLQNLPPEMIDLILEFAEWPLRADP ELGMDIFLADTENAETLPREKVLDFLQGIDSKLAIKYLEHVIGELNDMTPDLHHRLLL LYLERLKKWKEDQEEGKEATEFGSENEWEDCKEKFLDMLKASAQYSPAKMLDRLPREE IYVFKLNDSQKAEDYCNQIYLAEDPDAIVPDKIHKISPTDHDERHPSIYHTLLSLYLS PPHGYEPQYGPAIEILVKHGSRLPASSTLDLIPEAFPVHELEFYFRGRIRAENSVVNE GRIVAALRKVQNINTEADLLLGDGLVGGNRGRNRFVTVTEERMCGVCHKRLGGSVISV FPE UREG_06273 MDSISEPFITKTFEYHCHPTEVHTANKLANLPITYTNGCTQDDD NKICVVMVGLPARGKSLIAGKALRYLSWIGIPAKIFNVGQYRRLDTPQPSASFFDVSN PDGERLRRAAAEAAVKDMLKWFATTDGQVAILDATNSTKERRQWIDTTCRVAGIAPLF VESKCDDHDLIMNNIREVKTTSPDYVGQDPEEAAKDFMNRIRNYEKVYETIGLDEDHF AYVKLINVSSQVIINRIRDYLSSRLVYYIQNLHIRPRSIWLSRHGESEFNLTGKIGGD ANLSPRGEQYALGLPALLRESGIPTGAKLTIWTSTLKRTNQTARHLVKEMGYQKLEWK ALDELDSGVCDGLTYEEIQSRYPEDFAARDEDKYNYRYRGGESYRDVVIRLEPIIMEL ERSENVIIVTHQAVLRCIYAYFLNMSQEQSPWMEVPLHTLIKLYRRAYGTEEHRIKAN IPAVSTWRGKGSEAKHQTPGEAEIQALLSSRREVPVGNTSSTSATVSVTVDSKASTAD IQPTDGQNSIPKQDAPAPTKGKDEVASGNGTNVDCPREPPALVKSDSKTVA UREG_06274 MASGRSGRRQSVYKIFGATSSSPVLLQVQKWSSRGTKTQFIAFA PQVNPGPAGVSESHQGLAKETTAHDGRRGERSCVMFMENFKQCRDCDVIAMGFIDGSE VDICRRAIRLENPQTTIVPVQLD UREG_06275 MAYTWALLDKTLSLIRKEAAVIEQERLSRDLLEGYLRYQLRVRR SSGLRVINEIDDDETGDFEPGEDERKAKKKPKAKRKAAGEISERPATRAKFEDGQALE SPEPNHARLRPSDVGVGSGENEMQKSERPLLLDNSDEVPHNRSGLADSSDLKNVHHLT ISKSSILNVAASNVGAGILNDLIQDPMFSMARPWGNITGLSLNSIISIPHESYQSYPS RLHFPFQRRAPIARPKRRLMIQSSEYSRRAEGKADSTCPELKSSHIVCTSHRLWLFPS AGRITAMSLVLRPSPTVFLGLEQLQIRVKERPDGQGYIELEDGHAIRGKDPSRMCGSC TKERVVAVECTSHAMRPIEGVDAQTFDLTKAFKTLFADLGEGRCVKRNPWCAICISPA FYQCVGRRGKAGTRGGCGLLLCDSCAWLVHSHDGDISGVIEAVQDAGGNLRADAEFLL PKGELYRRYITDNAEEESEQS UREG_06276 MFISQFLPTKEFSLLSILDFSTKFQFSQRQPPGIPSGAGVTDSA LTNHGILQIERLARHFRTRGVGFTQIFASPLQRARLTAEGLCAEASRSELRPVFSPLL IEKDFGSLEGKSWRSAAALSTVPSVECREPESAASMIARVNHFLNDFIFPNLHSDKEA DEVIAIVSHGIVLSVLWQTLTKLCRDSHLVVGGTVCAETRRPGWSNTGYMEFDMTKAP TLVADSVYLETTSLRRLETDAHLTSDAHAADHPSGIALKITVHAINSRGHLHTLKRTG SGIGSAKHDPKQKRISSYFSNPSVG UREG_06277 MDFYRSSDELNPSQGSTKAYPTISYEDHPRDRQPSFVTSNREPL SAAERARRNLNTKLANPLAGLSQAALRSRGERYARKHQIGDDEDIRAFALGAVLAQDP EQYENVEGLTQEELEVLRHEFTHKWSQPKLLYLVIVMCSVSAAVQGMDESVVNGAQIF YKPQFGIDNDDYRSTWLSGLTNSAPYLCCALIGCWLTVPFNHLFGRRGTIFITCLFSA LTCFWQGFVNSWWHMFVARFALGFGIGPKSATVPIYAAETTPPAIRGALVMQWQMWTA FGIMLGYASDIIFFQVPDPPGIVGLGWRLMMGSAMFPAILVCFTIFLCPESPRWYISK GAHHKAYRSMCRLRFNKIQAARDLFYMHTLLEAESTMKLGQPKIVELITVPRNRRAML ASEIVMFMQQFCGVNVIAYYSSEVFLEAGFSQISALAASLGFGMINWLFAIPAIYTID TFGRRNLLLVTFPLMGLFLLLTGFAFWIPADTAPGARVGCVALGIYLFGIVYSPGEGP VPFTYSAEAYPLYVRAYGMALATSTTWFFNWMLAITWPSLLRAFSAQGAFGWYAGWNI IGFVLILLFLPETKGKTLEELEQVFSVPTHLHAKWALKHIVSVIRRYILFQRHVKVEE FGKEKEGDEGRGMSMSTVETRLHSG UREG_06278 METEDTLGQQSDHTPIDTKQNWLPPFPKENVTSSTQKVLKTSRS LASNSLAPFALLRKSATAGNFTIANILNVEDESPIGDDPSNAEDFAKEEFPIKDPNDP VNRNLLSMPSAQGLFDNFFKYLNPFTCQFDPMLHTMRYVRSRSSFLFSILLAAAAKVF SPQLYVKLHEHVESLLREILGSGQKSTEIVQGICLITHWKEPSDSRAWMLVGYAIRAC MEMGWHKLNPTHLEPAEDGQEGHTKEMELRERRNRERTWLMLFVYDRSMSLQLGRPSM IHMDSLIRNAENWHHHMFAVPGIDEVMVAFVQLRILGFDLLDVFWLHPVATTPQAIDK DEFILKTFNCDLDRWEAKWYKTLDEANRSVCHRFLVRFYGHHLRLLIHSFRLQLSILS GNVSKQSLWICYSSALEMLRLVVNRLGTTSHLYYCQDSVHVMVAYAAVVIIKILLSMP GVLPIESENTILDLLCKASEDFGRQCSAINTSCFFQSRFLANVVAQYRKSKAKANAMA GNLIKKQHDGQHIEDLYMRSATHSQPPHLLQHPQKQQSQTQSPLHSLPSSHYPDSQAH MSNDMSPMSNAPSNLQATPLHNPLSQLNSHASQPDSSQLTASLLHAATTATTTPMQSI NNHNLNPSLAGILGSDATPSAVMAGNNGYQSFITMNEALSHDGGGAHTYPFASFTDNG AWENLFAHAGFNINSGAFLPNLEEN UREG_06279 MDSRRPGSAGIRRDDWPQSTASTDATLCSFNLLSSSTVAATKPE MEPEITCSLHPWMTPPEEYDVSSSH UREG_06280 MELSISFPLENFSIIGIAQPDSQIYEPEKMRTPGLTAVAAKTSH VNLMTDTVIANLNPETLRAVIRSMLAGDQEGQLASTFQKHVQKYLQRDNGVLPVTASL NLHGEAPFHKTMESINNLRMRILALLGCGLPFESLEIVAGIVRHSAPLLRRVDLADED TLLSTLAAIDADLVQASTAIQSYLMKNGNRSRLSECQVRALVELKQGLDECQRQSDAH GTEFAFERGMDMLDEILAMVKR UREG_06281 METFTIGEDKVPRLFVGLWQLSSPAWGSAPRSKIMAEFQKYVDA GFTAFDMADHYGDAELLFGRFKSSYTGSKTIFCSTKYCVFESTPQTEQALREAISRRL SNIKSDKIDLLQYHWHDYGDPQYIPALRIMQADPRVSNLGLCNFDTKRMKEAIDAGIN FATNQVQRPTSFSVAASCPRNGSVNPLLKLSAKGMTPSLRKYLEMITIWGGWPLFQTL LNTLSAIAAKHSVSITTVAVRWVLDFPYVGAVLVGARMGVSEHIEANLAVYGWSLDDE DQGKIEEILKMSRRDDVFTDMGDCGSEYR UREG_06282 MELPGQQRNAPSWHWPEDPAAHPAAASPSTEQQGNPTEPADPSG EPPRTSRTYPSKTCRICLETVPPTYHPMSENLPSFLQSGPRVTYESEDPSLGRLIRPC KCKGSSRYVHEGCLSKWRHADPRYGQRNFWQCPTCGFQYKLQRVAWGRLISGSSMQIL LTILVLLSVMFVLGFVADPIINLYVDPYETIASGTFWEEGDEPIPLVKKAATWPEHFV KGLASLGVLSFLKVIFAVSPWHWWNLRNSGLVGGGRRPGATGRNRVSSVSWIVIVIGV ATFLLGVYKGVRAWSCRILEKAGQRVMDVPLDDDEDADISDDPSKKED UREG_06283 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGVV LATEKKSSSPLIDSSSLSKISLITPDIGMVYSGMGPDYRVLVDKARKTSHTAYKRIYN EYPPTRILVQDVARVVQEATQSGGVRPYGVSLLIAGWDEGIQPETTEAQQGETEAEKK KASGKTGGILKGGPSLYQVDPSGSYFPWKATAIGKSATSAKTFLEKRYTEGLELEDAV HIALLTLKETIEGEMNGDTIEIGIVGPPADHLLGFEGVEGARGPRFRKLTKEEIEDYL TNL UREG_06284 MHREDMMQFVYMPRYYNIEYQNDFWNGLSKAEVLSFILDKLEIG NDPYVKVMRQKNDPRNQQKLFDAITKRKTPCLEQLTKCSNRMAVIHEELGPWAANSFL TRCMKQLRDKYSNSPETNWTDWDREDHLYITSVLSQVTPSNLDEYLDVEPNEVSTKVN KLIDFLENEHVNGSIGIIFARERSTVVMLTHLLSLHPRTKHIGTIAFLGSSSFASRKS DITELHNTKAQMTALDDLRNGKKNLIIATSVLEEGIDVPACDLVICFDFPQNLRSFIQ RRGRARKKGSKFALFVDIQDHAAISTLKAVEETMKGLYLENERTLEELQLLEEAEEDG YDGFRIKSTGALLTLSNARNHLSHFCGILACEYADTEPTFMVQGDELSGLSAKVILPN FFEPRLREFRGISTWRTERMAKRDAAFQAYVALYKAGLVNDYLMPINHRENSADDVGS MERPSFANVSDIFDPWATVANQWQKATELYQSVIEISTDSQVISPMLMVLPVGLPCDI SFRLFWDENTTFLVSATRTTQKFAAELVSLAADTTSILLSSLFSQKMMPGKLDFSWLF LPRMDLIESSIKEWCKSVRGKIFLNDIEKDSTALKALGLVRRIDNRSRPFTFEDLVWK YIENEATDGANVNEEDTASCEVLHVEGKVWPKRTDFLHPVANLDTSKMHHTAKCFHPA SECTIDKLPIQYTRFTLFIPCLIHNIEKTLIADELARTILRPVKFSDLSLVLTAISSS VAREASNYQRLEFLGDSLLKLYTSIELAAKNLQWPEGLLTSRKSHVVSNSHLAKAATE TGLDRFILTKPFSGAKWRPSYNTDHVHAGDEEGSPRVMSTKVLADVVEALIGAANIDG ADEKTLDCLKVFLPEINWSPLDESVGLLYRNATDSGDEYSMVLPEIEELLGYTFTKKS LVLAALTHPSSTTSRHSYQRLEFIGDSVLDIIVVQALFGSPREFAHYNMHLMRTALVN ADFLAFLCMNVYVEKDRGEAVENIKKKVKIEMTKRKSHLWGFMKHSQSWDIVHAQNSA IKQHEELQEEINERLNSSQSYPWTLLCRLDAAKFFSDIIESILGAIFIDSQGSMSACE AFLERIGLMRYVRRVLSENIDFMHPKQRLGHLANALSVRYETKQTLESEARRWECTVR VGDEEIVRVGDGISNIEAETRAAEAAVAVLRTRECRNESQMPDDGMSE UREG_06285 MNSLEVLEQLLEHRETVLKLPTPMPLYAVAVLPAKLSSATRGIE ASWGPKVNFQHCIYREDCTEPASSYIIKSQSAGTRTIVNYNELPEMSTEEFIQIADSM PTAPKWFHFEGRIPDVTLQCIRYVRKLGPEHKISVEVEKPNRVGLQELAAEADVVFYS KSWAQGNGFQSPQTFLQAQSSLTPQSSILCCTWGEQGAVGLDKRKMAYVHSPASVDAT LPIVEYVRTSSLSSSTEQSATY UREG_06286 MADMFRRYKEKISSCEPLETTNDHPDDYEEGTPKSGLENESTIS NDRPVSHAMKRASEPTIPYRRPSLRPQERVGSFGLNPARSSSILEPLQSTNTVSSFPT PIDPLGLTLVYSSENPELDLIFVHGLGGLSIRTWSWDRNPKNFWPLWLKNDPETKNSR IFTYGYDATISGKYVTNGVLDFAKDLTHRMKTYAGEGLASAAAIGEVPIIFVAHSMGG LIVKNAYIIGTADNQIAPIMERVMAMVFLATPHKGSSLAQTCNNILKTTPGYSLNSYA TELERGSSTLQHINEQFRNVCAGLELVSFCEGLKTSVMPGYKKIIVSKDSAFLGYPKE TSGTLQADHHGVAKFENPQDPNYQSVRGALRWLAKKALQRDTFGLQYSSWQISSYETV GERIKEVLGISESAEDDLESIKTRTMPGSCEWLLRRESFRVWVENETSSPNSLWLTGN PGSGKSTLAGFVISELRKRQIGSCHFHFFLAAHHAKRTLSYLLRSLALQLALSHEDFR AHLLNLQENTGINFGNQKATFLWEKLFEGIFFRLPASGPVFWIFDGLDEADGPSELLR LLSKLTSAAGVKILLVSRATRELTRDINDFLPAIVHDRISMDDTEADIRAYVNSSIHK IIPGDYAQNIMTDILDKASGSFLWVKLALEGIRDNWYTIGDIKKALSEIPEGMEPLYE RMIESIANQPPGPRKIASRVLNWAACAFRPLNISELETALKPDFQDFYNLEQTITDVC GNFVAVNRSKVAPIHQTARQFLLQKVSNRDLGIGGSEGHEHASMVCLSFLSDSAKWKR VFTLLQEQRERLPSDTGIFRQYPFLSYSIAFWAYHVSLAPVSSDEILEAVLTFLQNHC LVWIQAIALSHNLRVLIQAARYLKTYAKRRAAKTSQGPPASFTLARDEELRMWANDLI RIVGRFGANLIESPSSIHKYVVPFCPTGSIISSSFHRVGSSHFAVSGISSTTWDDCLA RLSTGEDQAAMKVLCKDNLFITLVGIDGTLIIWQAETCEEIRRITHGEYVMHATCSKT SNLIATAGYKTTKIWDITTGEMLHCLPKELHHHTTAMSFNEREDEIIVAYDDCLIQCI DMKTGQEKWSFLAHEPEVDKYYCARYMAFSPDNLQIAIVFRGRPVVVWRLPDSAKYVP IPPKRCSMLRADGTRLPDEGATWNCPEMALWHPTTNHLVILYEDTKVVDWDVAEDEQT QHSHLNAREMALSPDGNLLLTSDVHGTLSLWMVPEYRLTYRLQYEELVYSLAFSPDGT RLYDTRGTFCNVWEPDALFRPVDLDFDERSSTYETITSEPVISTDNNTRAGISALACD STGGFYCCGKEDGTVTLYSIPEGAKVRKITSHDSSVSVIKLVWSVSRKYIVSADDSSR VIAKRLQPPAKGTNKWAVFRLFDIRVDEAVEHLLFSSTEEFLLIACPNTARVMKLKSK ETLCRVEWPSTTGIVYLNHPKNPSVFLCMEATREREISWKSLSIVRREKKTLEEGREG RLTPESPVPTRTVSRAVQLGNRLLVQETVLATGNTFNGAESRHIELVDLRTLRKGGLP ATASKNSRIKGLEKHVRHLIGFCQDQLVFVDHQFWLCTWAVQPVYKNHRRHFFLPKDW VNPTALELLVVTNQGTLLCPKNGVIGIVQSGFKL UREG_06287 MNNYHGQEEQQRTSMKVRRRVISDFALFLNRNEGIATENSDPAR MTSSLVNTAWKIAAQFQFTDSDVRSCARQFIEEMRQVADWNIHQGLSLAVDLGGTNLR VCSVDLHGDGTFHVEQTKVAVSKQLMVAPEASQLFSYIAAQIKDFLELHHGDYLGCCK AGDLQPLSLGFTFSFPAYQTSIQSGVLLRWTKGYDIPCVVGQDVCQLLQQEISLLRLP VRVTALVNDAAGTIMSRAYTLPLPQTRTSIGAIFGTGTNCVYLEKLSNISKHLDGDYD RSTGEMFISIEWGSFNNHLSVLPNTPYDEELNASSANRGNQMFEKRVSGMFLGELLRI VLLQMHEDPQVGLFRRGDLIGVSGLGASSPLRQRWSVDSSILSTAEADTADDLGLLRE VIQGQLGVPSANISIEDASAVKTIACAIGRRAARLAGMAIGAVVLKVLSSRRAQASPV QRDIKNQTVKASNGICVASAISDPETEATPEQDMIDIGVDGSLIEHYPRFEKHMREAL RSIDGIGVAGEKKIRIGIAKDGSSVGAAIIALLATQSTF UREG_06288 MDIGKGGMPAYRGNFHLDDAVLGGWFLVPRWLTNYTLTRKILEM LTRGCCYCSQALPVDGTIVLSSHAYGTPVWAIQARIICKLPNGEIVTYFLKVTTGEND RVMIEGQYESDKAIHAICPTLCPKPFCWGKYRAAGAAKYFLLAEFRSIGMQPPEPIRF AKALAKLHKDSISPTGKFGFYTITCHGKATLGADCWENSWETMYRRLLTHALELDKKT HGSWPEYEHYAQLVLDKCIPHLLKNLETDGRNIKPCLVHGNIWDGNTATDMATGEPFV FDGSALYAHNEYEFGNWRTPRHRLSARTYIKRYKRLIPASEPVSTKI UREG_06289 MPPRKRLSSAAAASRQAKRARLALPEPDSGLPFSPAGPDSEFQD PMRQSLLEEIEAILKLEHGSDYTVPVEVWAALWLSDIENLRVFKTQHPPLLNASLRIQ NLAQELLKSWNQRKRSRSTTSSPARTPNPSTGRSARPSRIASSLHEHIPSVIERLKNL STSEPRLRRSDREKNLCKSRDNTRCVVTHMPEIVEVAHIYPYPMSSLPRNDSFWGSLQ VFWSEERIKQWKAAVFTERSTKACYNLITFDPLVHACWGRALFALKPLDVAEDQKSMQ VQFFWLRNYPRRPAQSITSRPELPASLDGNLADLCLFDCITDKVIVSGTVLTLHTDDP ETKPLPSIALLEMQWFLHRLTAMSGAANVFSKRRRLEM UREG_06290 MQALENVSPRDLVPLRDQWRTQPSFVNRSPATLCWAEGVWKEAQ LKPEFLGLSSWSNVEPTAAASRHNLSATSAMSQESFYSINAWARNRPGDLFDRLSSYS CSSTALEPSAGKNTPYIYASSSKQASLMVQRGDGADSNASAYNMSVPRTVPSLAELSA STRFSGSINTDLASEIDLRHILHTDDNDNLVAPTMGSPYTCYCMFRLLGCKKMFDNAE EWKTHVTSHFKLQPVPLSVACQICKEPFHSAENGEAWCRMLEHITTQHFQQGHTLMGT RPSYELMHYLYCKGIVSQEQLRLLQHADPDPEPYGSGRQSGVGSPTEPYFVSVNSRRE RRMRTRKI UREG_06291 MATLQEKLDKIKSPKLQNQHSTAIVFSAVEETFREQKADPTATA YFAALLGLLGQTISATQGTVDKEPVTSIVYLLDIVSPYVPPPLLRAKFSQILAGLVPA LTSPDAEAPLLKSSIGCLQSLLVAQDTAAWALPQSQIGPRRAMAGLLALAVDHRPKVR KRAQDGLAQVLKHPPPSPSLDHPAADMCAETALVTLRESVAAAGKHKKGKHAHLQQNH QHEPAVIHALNLVKTIATASGGWPSKKIEALCELLMNVSRSTNEFLTMGAFEVFEVIF EGMADEFSSSKLPRLLEAIRELKPSHNDSQLLPPWIAVLSRGYDVSAQVHPENTFEKL PELFDLVASFLTSPSHNIRISASECLISFLVNCIPANVIVEPSIYDEKTMEKLAKIAT GLLSVKYQTAWAEVFNVLIAMFEALRWRSDPILVEIVRTVGGIRSNESFHGKKEADKV LGAAIGAMGPEAVLKILPLNITQQKAGEPGRVWLLPILRDYVSNTRLGYFRSEFVPLS EALFQRVLEYGNAEKTVEVKIFETLVQQTWATLPGFCELPVDVVEAFDQTFAELLSNV LYKQTELRVDVCKGLQNLVDSNKEIATLEAEEDDLLLQRRITREAAKKNIAHLATFSS NLLAVLFNVYSQTLPQFRGYILQCINAFLSITPEQELIETFTRVTTMLEAALKENTQE QAKQKSTGDKMPSTSHTLMDLVVTMSIYLPRASFTTLFSLAAVVFNTEASDPQLIKKA YKLIPRLATTEIGGLALKERSSELQTLFISTADKTPSPARRDRLLAFQEIITHLPTSD LHFIPSILSEVVLGCKESNEKARLAAFTLLVHLANRVSDAERNPPGTLIRNSLVPHMA DDAPDAPATIEEFFTMVSAGLAGTSPHMVAASIIALSRLLFEFHTKLTPTILSDLVQT IDLFLTSNNREIVRAVLGFVKVAVVVTPDDLLRPRLDSLVPNLMVWSKEHKGRLRSKV KGILDRLMRRFGSQVVESLTPESDRKLVVAIRKQRERSRRKKEQSKEAAEDESDQEEG QTQARKTGNSFSNEFDKAVYGSDLSDSDLGSDSDDASEIEIDGEGNMHKHSTRSKDTK SQPTSRRQRGKSHQASDRGDGAQYIRELSPESNPLDLLAPNALANISSTKPSVRFLDS ARAKKRSARVDAEGRLLLGDVPDQDGDTRMGGAEGGENIGDAINAYIAAVSGPDAVRK GQKGRLKVSQSGVGKKSGDGMDVDSDGEGKGDGKVVSVGGAGKNSGRRGLGVPKRSGS GGGGAGRVEKRRNVKEGRSGSGFRRKSGGRK UREG_06292 MSLQAMTHIAHYHDDQQIVYPTLDQKSFHAPPSSNPDEYLTSYP SHPHSPRAESHPPRSLSSDAQSSGENSQSSRRWSNGPASLSSSTMASSLNAKMIKAQS VQPAQPTFPAAAIILATRSQPASMSDHTRALSWNEPREWAQAKNDMALGRLAMEPVSP QPRTHVSVVDTDGDDEYDEDDDDDYDEAAGASDHENAFYILQYTNPIFWHSYVFHSSS HRLPSSPPFTPSLLSYFFSLLLRYDYARPQPSSSHHIPSPPKSAKQLPLSIQSISVLY QPLHVLKDVEIAIHTANLSRNHLPTLAPDLAPPKALTMTPSNMTNTQPPPLAPATIPF PASFASTSSRH UREG_06293 MAEAKRKTPSARAGMPSGTKRQAHNANKTASEASSAHEKFGANS PRQRAHVHGTKQASEKYGSSAAGGVAVLLTLPRRTGAETPSPWQAALGFLDGRHRRPS SRWRAVSEREEGSVAEWEQSPGGPRTSKQRDPSCVVEITRLSAKWLVHSWKFDSLWPP ADWLPRLSVVAAIGRSRNAKPRVHRTCGDHCGSGKSHCAIPQDFRRRRARSLPYHTPR LPPKQSRLRCYDTSPLRALEAN UREG_06294 MSTHPQRSPTGGVHPHQAALPPHPQVNGHMPIQTQGQSGPPLTT WQKILQVNEAIWLQLGNLCEMMGNLEGAIDAYQHALRHNQYSVPAMSAISCIYRTKEE FPKAIEFLQNILKIDPQSGESWSSLGHCYLMIDNLQDAYSAYQNALYHLRDPKEPKLW YGIGILYDRYGSLDHAEEAFSQVMRMQPDFEKANEIYFRLGIIYKQQQKFHQSLECFR YIVKDPPRPLTEEDIWFQIGHVHEQQKDFENAKTAYNRVLERDPQHAKVLQQLGWLHH QQSNSYTSQEQAIEYLEKSVAADTNDAQSWYLLGRCYMSQAKYPKAYEAYQQAVYRDG RNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQIADAL DAYGRAAELDPTNVHIKARLQLLQSGQSTNQASAPVPQDVHPQAYQSANVAGPPGPQW GAQAPSGAPPAPPNGPSGRVTEWARGVNEVQGQQGPSGQYDQRESLRGIQPQQPSPRT DQIRQFPDQSRNNVGRKGHSPSPKFNLAAPNAYPGPQTLPQLGPPPQGNERAPNGAAF GSSVRPPPLAQNGPNGPVGPNGAAPPGQMAPYGRPFTPPTEIRPIRDDRPTSPRSTYP HPQYHQAPAPAQNGGGIAGGAPAPAAAIAAAEAAQRERDERPPSSMKRGRDWEVDQGP AKKIASDENRARLDEQPGRISSPRELRRRSSSETRREEQRRAHDNYHPSDAAHHPPSL PSIQHMQPQPPKIPSVPDTPASVQNAPIPSIPSAGLPSGSVASNEERDRKDQAHEPPA RKVEVDEDYDDDGDDDKKAAAPPKGSPGGTNANVGMANGASQSGQSKPESSS UREG_06295 MVEFQALLPSCHGSAVPDTVASFPKDDPKKPVHLTAAMGYKAGM TTTVRDLERPGAKMHKKEIVEAVTVVETPPMIAVGVVGYIETPRGLRSLTTVWAEHLS DEIKRRFYKNWYKSKKKAFTKYAKNYSENKGASVTRELERIKKYCTVVRLLAHTQIRK TPLKQKKAHLMEIQVNGGSIADKVEFAHGLFEKPIEIDSIFEQDEMIDVIAVTKGHGF QGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQDGYHHRTSVNHKIYR IGKGSDEGNASTDFDVSKKQITPMGGFVRYGEVKNDFLIIKGSCPGVKKRVLTLRKTL YPQVSRKALEKVELKWIDTSSKFGHGAYQTPAEKRAFMGTLKKDLAAAP UREG_06296 MSSDDAYASFLEQANAEPSAQVKQTSKPSPSFHATKTVDENQRI PTVLQDVEQYYISEADETFEPVVLAWDAAGQGRWPDNEQFKSLIFSSSDAPELEISTL SLSDFDPRNQYQTVFHAIRTAVSGSEAKETRDVELQVYRIQHDQTRAEYWVMGLDTSE RRLVGMKARAVES UREG_06297 MPTLDVSELNIVLSVLGAFILLYGFISYKIKNVWYLGEALPAVI VGIALGPIASKFIDAERWGVAAPEQQNAITLGITRVVIGVQLVIAGFQLPAKYQLTRW KEMLLCLIPIMTVMWLCTTGCILLTVPKLTLLTALVIGSCVTCTDPILSQAIAKGPFA DKFVARDLREIISSEAGANDGFGFPFLMLATYLIRYADVPGAGNAQGLHARSGEVGRL GGGVGKALQMWKWIDNESYLLWPAALGFFIVGTCGALGTDDLLACFVAGNALNWDGGY LEESEARHDEVNSCIDVLLNFGGFMYIGSILPWSEFHQPEITGITYPRLIALGFLVMA FRRLPAILMAYKLMPKVCKNWKEALFMGYYGPIGIGAVFYLEHSRHLFPELGEGDAEE TILARAIGPTVYWLVLFSIVFHGLSIPIHNLINKALGVAPIVDPSGPAEIRPLSANMQ LPKNSAVNTKRQSIMAYNRFSRSNFPSNPGWEIPTTTRNVDYESAYSQPRRAPAIRYD DDVKV UREG_06298 MSPSDGRCQGLPYGVDCATDQGTQSTIYLAQYDSLGGSSSSLGL LRYRGPTGGTIASRPARSQPSDSPLGSIALLPVFPTRRSPDGRFIGRDQSPERERGKI METKHERGSWAVVHRVYPECPFNFDERMNKEHSGRRFANAINNQANSVLDIGTSIRCD WAEEEMESAFPREVPRGLAAEREWRSGVRTSSRRLALVSARPSHRAFKLRTFRIQVSA PSIRQIAEPTHGNAAMRNTRQSCLDWMLLD UREG_06299 MPDPAYIADPAPPPIYTRARPFTVRSHIPPPIGSPLEPCTPWDD PRKERETISPLQRCLLHPPSGGLDGDHTVEFKVVHHLRAGRDHNSQVVVVSILNVSDN CPEVLVGVTTAVAKFYDPLHADPDDWGFDPFFYSHQCYISEAAAYSKLSDLQGTVIPT YYGSYTLQLPVHEPTSPTTTRTVRLILIEDIVGTSMRDLWPREYSQAERKQIIRAIID GESEIYRHNIRLRDMHPRNVVVVTDHRAEQRVRRVVLIDFERSWFSRAHFPKDEHKYL PGTYISPLLRWHHFVRSSVFDDWIDWDRQLWLESEYADTASSITRHMESIWGKRLEET ED UREG_06300 MDPGSGRSRNIPHLRLNSGYENPFGDQTNGTSESLSASRPLIAP PEPPYSPVGRSNPSSTEHLTIPRPRAYLESSRNAGDDSSRRTSWSSDAGSRSSRHYLE YPFGDSRVPSRTGSDEEPINTQTVAEKFAIFPDKDLIVFPHDVEKDDEMHNPDSEDKK RGCALCSKRGMLNVGALAVLILGLLVLFIGYPVMTFARKLFEPTSPQGCEGNPMCLDV GKVPLLKNIRTSLIDPDTPTSARSIKSADGKKWELVFSDEFNRDGRTFFQGDDPFFQA VDIWYGVTQDLEWYDPDAVTTKNGNLELRFDAFQNHGLNYRSGMVQSWNQLCFTGGRL EASISLPGRGDTSGLWPGFWSMGNLGRPGYAATTEGMWPYTYHDKCDAGITANQSSTD GISFLPGMKLPACTCDGEDHPTPGKSRSAPEIDVIEASVHPLDEAVPSTVGDVSQSAQ IAPFDVWYMPNYEFMELYDPKVTHMNAYRGGVFQQAVSGITNLNNDWYDGKAYQVYAF EYAPGAKGDITWYVGKDKTWKLDGRAIGPNGNIGQRVIPVEPMALIMNLGMSQSFAPL NMTGLASLLPATMRFDYVRIYQDPEKKSVTCDPPGMETTGYIKKHKEVYQNPNLTNWA DTDYDWPKNKFVHGCK UREG_06301 MSISSPSNTLPLLKSGRVSFEEALIDQYNVLHRLNYPKKQEEFW SYLVSRKSDIEAIVRFHLTVDRCQVADESQWLYGSYNVCIPVYINWPADERVLVRIPL PYKIGEAHNPGNVEEKLRCEVATYIWMAEHCPAVPIPSLHAFAFPNGRTLYRGWSTAL GHLGDKQRRNILFHDISRIMLSINRTALPRIGSLTLNDDGFIHLTNRPLTLRLQTMEN EGIPTIPRHSTYGSIEPYILDMLQCHDNRIRRQPNAIHSTNDGEQQLAALTMMRGLLP QFVSREHRDGQFVLTLTDLHPSNIFVDDDWHITSLIDLEWACSFPIEMQTAPYWLNRS TYRRH UREG_06302 MRQFSWTAALAALFSLGSVEGSMPAIPIPQETPIGLMAAVGMSP RPTDPPGVPAGFPRELVPRQRRSSLPFPPPGYYCGLVDGDTDNLLTCVNARANCVHQG TAIGCCISSEISECTNIPSSCINYGESCDDACKRNRRILKCTTTTEPYCGTYFFGGGS QLFGCRSYASVTSQVQQLRDYYASALGSDFTTLPAASNILPSANPNGPPSPNTSEDPM PTFPGFPESSTNPNSPGTTPSPPPPQQPPSGGDGDDGDDGGDGGNKKSKLSGGAIGGI VVGACAGVGALAAFLVWFFCVKKKRDENNGNAAPVAHPPVMHGPPPPGPHDAYGHQPN PAAGYYAPVPQDNKPLDGTQPPSYGYDKPPQPNMAEMPAPGGLQPQPPMQPQGQAADY YNQRTSMGPPSPLSAGGTSPGSPNGMHPTHTGPVPEQIYEMGSGR UREG_06303 MWKASERLMDTIGHYASFPATGVSLRQMVQFGHRPSTGMLDTGV YYCFCQPTGAQAVLNLVLWKITGTLFRASQFLSEELPIRLAHRVQELGDLPDGLNDVP SIKKVQDWYAQSFEEIISLPRPTLSQEVRSRLLRPSRQPGREPKVLEEATQNPSIKDG RYRSSTTSILPQTKDYCINGNGNGSGNGNGHSKHRVSGNRRYFVAADDGGEWPPELRD YNNRFASTLHHIKRRHDSVVTTVAQGILEWKRKRQRMQIDSDIQSFLDRFYMSRIGIR MLIGQHIALTQQTHEYHPNYVGIICTKTNVRELAQEAIENARFVCEDHYGLFDAPKVR LVCKDDLNFMYVPGHLSHMLFETLKNSLRAVVETHGPESDVFPEIKVIVAEGREDITI KISDEGGGIPRSSIPLVWTYMYTTVDQTPNLDPDFNKSDFKAPMAGFGYGLPISRLYA RYFGGDLKLISMEG UREG_06304 MNFDNDMTTAGGGEVEAEPMERTEKGSASGRPQTRKGPGRFSSS RISSYAHPDELRATSEMRERRHAAMSILNDPELLMFHALSSNESIPQTRKRFLHHLIG IPPPTTRPFAIRDISEHHPEDTQHVYLRRQTQSKRDGAASGSTKTSYTAYEHAPTMID IIEINGGWEDDPEDEAGPGRAKGVQASGSSGASPGPSGRMKGKGRV UREG_06305 MVAPRNAVHAEESAEVEVLYADLEKLNTLTKRIQGSLSRLEASG KVVKDAIGPIYNNTHGLQVTNSNIEKVNEAIERLRRPLDVKGKEEAIIRAGPESTGLP QYLGALNRINIALTDLHATKLRSNQKAISEFTVLLSTGSNRVQDLYRSILQENVGIVE PLHYLTKQIPFPSIPSDKAQDLAPLCAAISNASKNISTGGQNENPAVTIYAETRGPYL TSSLQNLATASVSTAKRRPADGPYKQGTNGIGVYASGIEEMFLAEYESVSRVFPPDWQ RKALQSTCRFALAEFSKTLRELNMYIKTNLMTDCFLAFDIIEIVTSLSYRLDSKTGEL KTLFFEALRPIRETAKSSLSELLEETRRKASNIAVLPDDGARSPSNSIFHPLLPPFLT VSWRRETWKPSSSNNSTPLDVNPDSSTILSHFILDMIDALLSALDIRARNLLRTKSTV GVFLANCVCVVDRSIQSSNELSKYLSTPENEARLEIWRKKAVSIYIDAWRDPSSQLLD VQYTSRGARPTSGGPVDSTAIVKSLSSKDKDIIKDKFKAFNSSFEDLIARHQSYNIER QEVQSLIEPLYARFWDRYHEIDKGKDILRSDDILFIEVKRIFRDDNNELSEP UREG_06306 MGAARVLSSRWKWLSGAGVLLSSGCSAAFVFTNNGLPVNCDSGR NIGSWTNQVGARSSSSNRNGDSTPEDDSHGGRPPTEKISEEELSAWKKLTHKLGIAKD SSGSAEWTEHLKSYIVPTWTALLPDKLQNLQRELSMAPGSLADEIWAEAQDASINPEI TSRAAVRISDGICREERYFQWRRRRMIAKKLAEYLDLKEDVHPDDVPVIAMCASGGGL RALVAGTGSYLAAKEAGLWDCAMYTAGVSGSCWLQAIYHSSLAKQDFGVMVKHLRSRL GVHVAFPPTALNLLTTTPTNKYLLRSLVEKMKGDPNADFGLVDIYGLLLASRLLVPSE GDIHLLDRDLKLSNQRLHIDSGAHPLPIYTAVRHEIPKISVSKGSGDASELEEIRKKA KQESWFQWFEFTPHELFCEEFGAGIPIWALGRHFKDGKDVPPQQGFPTPELRIPALMG VWGSAFCATLAHYYKEIRPALAGITEFTGIASLIEERNEDLARVHPIDPATVPNFVLR LEGRLPRSCPESIFKDSHLRLMDAGMSNNLPIYPLLRADRDVDLIIAFDVSADIREDN WLSEVDGYARQRGIKGWPMGTGWPKSGIQPEETASILQVAEESHEKAQQADADADRIP KFPTHFEPSTSDLTYCNIWVGSTEERTSTAEPPPSKRLTFMDTGERDSNFHLMRPDAG IAVAYFPLLPNPAAAPLVSDSEKRGSTTEDTKAGASAATVRGARETGNGTPIDPNSDD FLSTWNFVYTPEQVDAVVALAKANFAEGEDQVKRVVRGIYERKKKARLDRQREEDLQG LSQFS UREG_06307 MSLRSSKTSSFKHFTTAGSSLLSCSENGVDTEMINIDLTAEIHI VNDNVSGNNNFSGVGSEPTLQLSIFIKKSVVIAERLLKRFIIFIKKFIMSSVVQIKEF TVSSTDIKKSVANSVV UREG_06308 MPAKLLVDGLWLCFRPSFSPLIRTRATQSFPKKSRASFPIATFK RPVSSVTGSQDQPPEEPRLLWKDGAFGLEGEEGEASIPSWDADSNVPVFPKEFPEHNV TRPKKTVERVESEPEEVYEARMRMRPKRFAPAEELKKMDSAYLEYKLLKVVGERPNHQ AALRIIRELIGNRHVEPQSRHYQAMMLANADSRNGSALHAKHILEEMEALEIPLTSGV LHAALRVLAIHPDYLLRQEVLHSIRDRWLALSPAGWHNLVTGLLRERQYELALDKLER MEVQGIVVKPWLYSLVAYNLADAGEFDEVLHLMKPRVAMGLQFSANLWFHMLDQASAA MHAGLTSFIWRQQVLRGYMIPSYGVCNNVLAICARTGDVEMAVSVFDTLGQRRGAFTL NDYESLIETYLTAGDIDSALRVLCTMENTNVDVSENSTRSLLSSILLSDAETEHTWRT LKRFQTEENQSIPLAAADLAIEICAHRSDIDGAMGIYREIHNVCSSSPNSSTFSLLFD VCRQTQRPDMASFFLQEMQLLKILPTQSIYERLVLLCVESNYFEEARKYLLEMTQSGF ALTDVAKRQVRKICAKSDDDSALYLQYDAAVRKPISRGLKPMSAGATTRSN UREG_06309 MASMRNWLNGKHKGKRVVVVHCKAGKGRSGTAACSYLISEQGWK AEDALRQFTERRMRVGFGPGVSIPSQLRYVGYVDRWANQYHKKYIERPVEIVEIHIWG LRHGLKVQVEGFVNEGRKIKCFHRFHRSERTIMDTESQGKEDKSKLNGTALLTKDEKD ESISDPPVAAANTVPFQAITTPEPISKTAENVTFFSKTSPPSAAFSSVVLRPHKPLII PTSDINIDFERRATAAYTGWTMVTSVAHVWFNAYFEGGYEHDSSVFEQDWEGLDGIKG TYSKGTRAFDKLKVVWRYVPSAPGEERENIPGGAPLAGKIIEEPGPGEAVPEGQAANW RGEDAIEENKKEPGGQASSESEGDLASQNSSREEYPPAQRARSEQPQSLIKRDASLLS KRGPLSAAAKKLGLRRQSSATAGVSLVNARGNIGSGNSGNQKQKKATYHEERAEDM UREG_06310 MVWLAKTSTLVLLTLPAIVSSIALPRDPIPAANVVSRRDETHLP IAFRAVCNGCFDGADSEVVFNLDILSTKSVCGESSIRLNGRVLNLTWDGITAAGETSL TAKLSNGKEKADFLLNSRALCIASRENSTEDYAAQILAVTFYPAGRVNEDNDTSGFAF SFNSVGKPEVFRLVTSPVSYSAQDESFESWLDSSGSDQFQVQNGGMSEAGQIDDLEEE LQQLHKLKQEAQKLQNLIKDKDEKIRTHLLHNCMCLSSRLKSCKNLKCFVEASFKFVP GIFQLMKYRFGTLPSTLAGIPCRPLVREWANQTSTTNLDPPYTPSNSSRVTIGNKPGL TIQRLPNTPALSRPFKPRPMRHMIGDVAVMGLLIVIITVLLKKCNSLSCRRRRVDLAA RREERRTRHAYRAAACRYKMRLWWSGLRSSLLGIPNTRPSPTHVRYHDPNSDPNTFTG ARRPGQPSESTMRNEIIGFRRALEYVGQLVHVNNSNGQEDSGDIADINVRRGPAGPPT VISSVAPLPTIGSPRTSTVFSYDETDDSGTIESIDLETATMLSG UREG_06311 MTIYQTFSPNAPFVRDLFCWNGWTAFTIFEKVPATTATSSTVAE SLPTATSGATVTSLPSATATPPPSEPDADDDSNKAWIAGAVVGPIAACALIGALGFWI GRRRNRSPAHMPLEDSRPVIASGHGYYEAKGSSPQSSGHHELADAEVAAPIEMEASAP KPQPPYYQ UREG_06312 MAELPSRLRISQFLILKPHQLSGHGTQLYQTIHRAAREDPTVYE LTVEDPNEAFDALRDTNDYHLLEPIFGQHNITINPDPIPAKQGRPRHPRSVPTSLLIP TDTLRKLRIEYKIAPTQFAHLVEMYLLSLIPMSHRGSHNVNLARLRIQKSRASNEHDR RYYWWRMLVKQRLYKRHRDMLIQVEPAERVEKLEETLHNVEEGYENLLKAFEMKVPRD DTEGATPIVDQQQIQVEDRETRVGDSLVKERTKRKLVVMEDEDGDDDPSAAANSAEGG GEGDANAPFKKTKV UREG_06313 MYRIGVDVGGTNTDAAILDITASEKQSRGVLASSKTSTTPDVTS GIKSVIENVLQKSAVDTKDILSVAIGTTHFVNAVVEADARRLSKVAVIRLCGPFTRQI PPFTDFPPALTKIMRGPIFYLDGGLEIDGRQIKPLNPEQIKQAVASIREAGITMVAIV GVFSPLDHSGIHEETCKKMMLELDPSLSIVCSHSIGGVGLLERENATILNASILNLAK KTVRAFCKAMSDLHLSCELYLTQNDGTLTDAVTASEFPIKTFASGPTNSMTGAAFLAG LDRQETKTSNERQVLVIDVGGTTSDVCALLPSGFPRQASNFVEVGGVRTAFSMPEVLS VGLGGGSIIRHDKNTGHVSVGPDSVGHYLTSKALVFGGDVMTTTDIVVATGSHSIGDS SKAATIPKAIVDEARKGIKKILERAVDGMKVSALPVTVLLVGGGSIIYMDTLEGVEEC IIPPHHDSANAVGAAIAKVAGTVDLIEILADRSEKEVVKKAEQAAIDAAVARGADRSD VKIAEVEKLPLQYVSNKATRILVKAVGKLRIPDPTAVNKGNLNLFANEDIEVSEEQPK AAAAEDTAVSAVKHSIHIDIPSYKPDVRNGVWYVSPVDLEFIASGTGVLGTGGGGPSY LQYLVALETLKGSPPGKMRVISPKKLADSDVCVFASWYGAPSVSGERIPAGSELPKAV EMSVKVSGHTHFEAIIADEIGGGNGMTPFPTGVHYDIPVLDGDLMGRAYPTMEHGTPY VYGNSITPCILADAQGNVSVIMDAVSNAKVENMLRAVCIELGLNTAVAATPLPGPAIK KYAVPNTVSQAWYLGRAVHMARRSKSSVTKAIFDTTPGKLLYVGKIIDVRRDVSRGYT MGHCVIAPLSRDEIEQQPSNDAANSPSVADDREIILPFQNEFLYAAYVNDPENPEDLA KQEVICTVPDLISVLGQDGEAIGSQELRYGLKVSVIAMPAHPLWTSDERGLRVGGPAG FGLDMEWKTVGQYEEPRSVIDDYNVDV UREG_06314 MAAALLKKLEVDHEGGTLPNRWVNNDIKPIEAERRTWTTWTFTN YWILVNSNISTYMTGSSLVALGLSWWQAIIAIVVGNGLATAFVVLNSLPGAYYHIGFP VVNRYVWGFLVRSLPVLIQSFGFQAYVGGQCVYVCLTAIWPSLEDRIPNTMAASTGIT TAQFASYIIFMVLSLPVVYIRPHKLRLFFHLSSSVILVFEFVLLIWALATMGPDGFGS TITSGPNVEGGQMGWTVAFGIISTIGGIAAGILNQNDYARFACRPRHAIIGQTFSFPI CAIVCSVIGILVTAATQNRYGEPLWNLPDLFLAIIEHGGPRSRAAAFFSGLALIISQM GVNVPGNALSGVFLGPMTGLMISSWFVVNKRKIKIEDLFVGNSSSIYWCTLGVDWRAV IAWVCGTVPSLPGFIAGVNENITVPEGLVHLYQICFLAGFAISASVYCGLHFLFPVRA IQDYVANAAPADVLIREYREACDSGSQFKDDIVHVEALKVA UREG_06315 MGVLVGTSLIVIVPEGVETLYSSSGASHSHVHRRDAITHAIDVR WNHSPLPQLLPRAEVDGSHAVPGPPPAVKEPNDKPSDTGKQGSDGDKNEHEHERTTEQ APKEKKEESSPHAWIGVGLISGFLLMYLVDQLPQYAASSSKQQNRPYHISLDNLGSGL GRAASPSRGGGVGSGGGIFDFGNGARQSQSFATTTGLVIHAATDGIALGASSSNTSLS FIIFLAIMVHKAPASFGLTSVLLKQGLSVRAARGHLLVFSLAAPAGAIVTWLVAHTIL AGHESDEQATRWRTGMLLLFSAGTFLYVAMHTMQENITEGSRREGPVNGYNEGRESSH PKRSIRDLVASIIGMIIPLFLQIGHA UREG_06316 MRSSCRSKHHSTPTKSLPLLHNLLPSAPTSPPTPAPSPTPQQRA PSWETALEDEDISFREAISHFSLLGRSERQRFLTELLNLCDKQLLGFVHQLVGPKLRK DPFEMLPNELCLRILCDKHSYRRISEDYEAPFEQYSPSSSTFGFNSNFNRDLQELQSA YGSVHDPLSGNTSVVASSIDTYDRPRIRRLRTKTTSYRSHFKQRYMVEAAWKKGGHLK TKYITPDQGVVTSLHMTPKYIVVALDNAKIHIFDTEGEHQKTLNGHVMGVWAMVPWDD LLVSGGCDRDVRVWDMSTGASIHKLRGHTSTVRCLKMSDRDTAISGSRDTTLRIWDLA AGVCKNVLIGHQASVRCLEIHGDLVVSGSYDTTAKVWSISEARCLRTLSGHFSQIYAV AFDGQRVATGSLDTSVRIWDPHSGQCHAVLQGHTSLVGQLQMRGDTLVTGGSDGSIRI WSLQRMTAIHRLAAHDNSITSLQFDANRVVSGGSDGRVKIWDLASGQLVRELSQPAEA VWRVAFEEEKAVILATRDGRTLMEVWSFSPPEDDYAETYHTGSVSPTSTLGQSSFSAA HSTITTVDATSSNVGTVSSPISYELHDLEMQDISNESNR UREG_06317 MDISQTVASWLSLAATVIGLGSIVTQFGSFIDETDPFHSLRDER QLGRWMLRQSHIPWYRIIKPPPVGPIVAASFPQGFCGRKVVYVTRLPRRQPSGKAAWA VLLAVILPSPIIPGQQTKPLLSTPFTEDAEKRGQIVTIKSESPAAISNDPWNDLPLRP LIKHKLHTCVNISRRTLIAAFCITNARPVFRHSGAAGFRAAYASYCGQWHVDWPLGDA AVVHFAPHDSHGLSKDVYPPTFERRVDKCIQMLAGVVDAPAPTFFKCAFPGRKAAGIW ILQYHVRGYGGAHGSRHLYHIMGGEVHDIDFLFMKRLENEPEGSEDMVVLRLPSKESG NPDVILYVPETEAAALDKALDCLPWSPLSWSIHRGLRDILVAFAKERMDRNRDRLAES LRYTVATWPERLAARGWDDQFVRGPMADMAASAVRAGSGNAGDITRIVTDIATALWNG ATAALDETTFWRSQPGQDSPALSPMDVAALVKCFILEWSNELDYQIYHDLPLEMYLG UREG_06318 MEAPERDAPPQEPNNTSSALPPNPPSIEAAYKQKCIDLKKRLQE IEAHNDMLRIRNERGRRYVQKMRLESCILLERLGLLTGMIDENGPNPEMRARAMAAMD QAGSVLDDHDEGLLERPSGSKRVHDEDEYLDDESVGSEDDKPPTPEDRPVRSKKNRKT EDHAKTHGAEDNNADSVSSSLPTLMPAPVPHTPATAYHQPGLSSQNPFLTHITSNPVA STAMDVDRSAGLATSPLDSASDSRNHYIGSSSTPIASAQEQHRYVSAFEDFTNRNRSK IASVVYRMRGPQVTDEDIDRAVIEHWEDLNPEEKREYGDRLGKRTGL UREG_06319 MTSIPSETSPLLQHGHPGFQHTSENELRPSKTVTFGPTPRSSPS TSNSTRANILRPLHSATPSIQSSSGQPVLAALNSKLRRRHSQGPSSSLTLNPAPKIGP QRTTKTTQKLKLLPNPVTGEEDEGIDDAVPRDVYSQIKRIKEPTARRDAARLGKADRD RLPRVTAYCTANSYRLESVMKFLKSRASTRGTNPKLFDECVYSPFNYDHEAKMKYIQD QSSMQEPIQQLPLLSDPTQRRFSDSELEVEGHREEQREQLLSMEHNDTNGRLSPPRLS EEHHAQSSPQLLVATSSDHEPPDLNTTIRSPEVFLFDYGTVVIWGMTPSQETRFLNEV AKFAESVLSAEDTQVENFNFYYTRDYQARIYNDFISLRDSRSYMTKLAISHALSQSVK TSLFEDLVSETIAATSPLPAQIAQTGSVNLTRRQINMQIGELFILRINIHLQGSVLDS PELMWAEPQLDPIYQAVRSYLEMDPRVELLTERLDVIADLLAVLKDQLSHRHGEYLEW IVIVLIAAEIVIAGINIVVDLYAGID UREG_06320 MVTATRRSILSQPEDTPSRNGSPVANGKRKNSLAATEAVFEPTP KRRRGPSKEDSDSQASTPQPDGVPKKRYQVLKAVEIRTTSRSGTRESSIATPREPVNP EEISLASRPKPKTAHLRFDSEEPQPEPVEGQEPDLKQKDAEPQQQIDEDSDDDEAPEA VSNTKQLQELKEAERKREEATQRQEQLKKEKRREHEKRLKLQAKPKPTPLVHPSKPTT TPKQEDILSESSATLQGSEIRAPDYSSILPASLPKFLPDEILLAEPSIRPPTPPRETE KQPAALRPSGNKLRFLDTIEKKPKDVKLGSMSVRVLDGTGSAASKNSFHNALAPKASK NSRIVRENWMAGNRKGSAAVSGSLRRTTGGSSGFLRK UREG_06321 MADPFENNMNCRANIIYFVEHLCDMATKENHLEFVRMIQRDILR IVDAVAPADGSGAANVKHVRRVLNGLQSKEILATETVSEIDACLRERESYPAHFLDLE MAEGDSGLGDITKPEGISVDKRQIEQRIEEDRERNKRLRENMWAVPPSDMKEFEMMWE DVSDIGEDDYLQAAEEAEERRRAGEASGLVD UREG_06322 MSAASNIEGLGLPPRSGTTLTVLGCGTLGVAILSGVFASLAEAK RTDSRFFPGCGTATPPEEVSPHQIPSRFIACVRRPESALRIQKTLRQYSYPLTILQNE NIRGVQEADVIILGCKPFMLKDVLSVPGMREALKGKLLVSILAGVTAEQIEDTLYKDT DIPDVDKCRVVRAMPNTAAMVRESMTVIGESNPPLPPHWESLVNWIFSRIGRVVTLPA AKMDASTSVAGSGPAFAALVLEAIADGGVAMGLPRAEAQAMAAQVLRGTASMVQHGEH PALIREKVSTPGGCTIGGLMVLEEDGVRGKISKAVRQATVIASQLGQVKTQGVNGTK UREG_06323 MSINAPAFGDQPDLPDAQAADHAENEHASRHSRHSSNALAEPLS EPISFKRKQKAPVRFALYNPFSRGNTAVDQTPSRPESSQGASDEVLHDIPTASPPGSK HNGAERVKAGGPLDWYVEGPGRRVGYDNLTAIDWIFEYTKERQRIRHLRSSSKGILGH VRQLLDASHVWAVLIATGVVVGVLAACIDIASNWLGDIKVGYCKSGEEGGRFYLNKSF CCWGYEDLSQCKHWIPWDKALRLSSQGGGYVVEYIFFILYSILFAGVASFLVTSYAIH AKHSGIPEIKTVLGGFVIKRFMGVWTLMIKSLGLCLSVASGMWLGKEGPLVHVACCCA NIIMKPLDSLNLNEARKREILSAAAAAGISVAFGSPIGGVLFSLEQLSYYFPDKTMWQ SFVCAMVAAVTLHALDPFRTGKIVLYQVKHSQGFHRFEIFPFIFLGILGGLYGGLFIK LNMKVARWRKSRRVTFPVLEVLIVALITAIVNFPNIFMRMQLSELVYYLFVECKEISD NQLGLCKSGASSFGVVGLLLAAAALGFLLASITFGLDIPAGIILPSLAIGALYGRAIG IVFDVWQKSHPTFFLFSKCEPDVPCITPGMYAIIGAASALGGATRMTVSIVVIMFELT GALNYVIPIMIAVMLSKWCGDTFGKRGIYESWIHLNEYPFLDQKDDTPPPDVPVSQVM TNVNDLTLITAVGHTIESLTNLLKTTSYRGFPVVSDTIRPVLLGYISRNELSYALKTV TSRNSHSFTPETQAYFSHQPFADPLETLDLRPWMDQTPITMSSRATFQIVLDMFQRLG LRYVLFVNRGVLEGFLTKKDIWYILEGVRNRRNEGLGDGVLREGHSEEEQGLLGPSDD QGSDFGHFERRPSL UREG_06324 MEGETVQSTILSDPRILQQLPDELVQILRTTPGSDPLDELSHIS LNPTYTTKLFTIFEPIFVSVAAKWLYLDSHISSVQVIAAFSRILPLAQYLRPLVRFIF QRQDGRLPLISLSRHFAPPSMSDEMSITLLLSLFRLLSFDRETFSLVVSPIQLEALFS HPHKVVRYLSIRCFCLYMHAADAAMQEMLKRFCGMESLHGPWEGQTIDFKFLSLWEEE RWKGLYDQLKTQRKTSDQSIFDAWIQRLPGSNNIANIGGVLVPKTRQGGRTSRHVLVK TPTVMQNLRNVGLSLLSPEPLLLVGQPGVGKTSLVTEAADLMAQESTMITLHLNEQTD LKSLLGVYSTSTRTGGFAWQPGALTRAAKEGRWVLIEDLDRAPSEVLSVILPLIKNRE LVIPSRKERIRCAEDFRVIATMRSTINAKGAEVTPSGSMLGSRLWRRVQVEALPSAEV RQIIEDEFPLLTTAQYVDTFLSLYHRITGIFRASAVSRSLQGRYVGLRDLLKFCWRIE RRLGKIGILTGREAIPERMDDEIYLDAVDCFASYIPSESLKTKVTSGIAEELRISPQR MQFCLFERIPAYSEEQDGILIGRELCPSLKMITGKKSKQFAGKGSAFASTKASLRTME QVAGALQMSEPVLLVGETGIGKTAVIQRLATLLNQRLTVVNLSQQSETTDLLGGYKPV NIRSIAIPLVDEFRSLFDATFSAKKNQKFLLSVAKSVTAGNWTRLLNILNEAVKMASN LFQQPKETLAEGDATGSQQPAKKRKLDFSKYDTLKTRWQSFANDLGEFEMHVARGDAK VSFAFVQGKIVKALRDGEWVLLDEINLASPETLESISSLLNHGRDGKPSVLLSEAGEV DSVPGHPDFRLFGAMNPATDAGKRDLAPGLRSRFTELYVQSPDGDLDDLLTLIKTYLG PLLHRDQTAAAALASLYLDIKKLNAENKLTDGAGQKPHFSIRTLVRTLLYVVDQAHIY GVRRAIYEGFCMSFLTLLGKESERVVAPCIDKNLFGKHGNSRSMLSQTPKEPGDGSRY VQFKHYWMRRGNFEPELQQHYIITPFIERNLMNLVRAGSTRRFPVLLQGPTSSGKTSM VEYLAKISGNKFVRINNHEHTDLQEYLGSYVSGEDGSLRYQEGVLVEALRNGYWIVLD ELNLAPTDVLEALNRLLDDNRELFLPETQEVVRPHPNFMLFATQNPAGLYGGRKILSR AFRNRFLELHFDDIPEEELEFILKERSQIAPSFCTRIVSVYRQLSILRQSSRLFEQRN SFATLRDLFRWASRSADDREQLAINGFMLLAERVRNSHERHAVKKVIEKVMGVQIDEE SIYSIASLETRFRQLSANPPSGIVWTRAMRRLFLLVANAIQHNEPVLLVGETGCGKTQ LCQAIAEACGKELSILNAHVNLETGDLIGAQRPLRNRGLIREQLVTDMSSVLQSLSAM PNLIEPSLEDLNTALTSLSPVQLEKCDQNLLSRIRCNSTRINALFEWSDGSLVSAMKN GQHFLLDELSLADDSVLERLNSVLEPHRSLLLAEKGPVDSLVVAAPGFQFLATMNPGG DYGKRELSAALRNRLTEIWVPQLSEADDILPILKVKLRECFYGAAMPMMEFAKWFKEQ YQGSISASISVRDLLAWVNFVNDCKDLGPVSAMVHGACLVYIDSLGANPSAILAVASG DLDKDRRRCLEKLGELFSFDAVSVYFRKTELFIDEKQLKIGPFSLEISFCSQPDPSFA LNAPTTLSNTLRIARGLQSNKPILLEGSPGVGKTTLVAALAGVLGKPLTRINLSEQTD LTDLFGSDVPVEGAGIGNFAWSDAPFLQALQQGGWVLLDEMNLASQSVLEGLNSCLDH RQQVYVAELDQTFKRHSDFVLFATQNPHHQGGGRKGLPASFVNRFTVVYADSFTKSDL ELICKSLSPKVPPEQAGLMVEFVSALNIQLLSDRRLGVIGGPWEVNLRDLSRWLRLLE QSDLRIHPSQFLDILFNQRFRTLEDRRSVSKLYEKVFGLAPEPKSYFHNLSADTYCIG VGRLQRDMLLQRTYGEHMAIIPRDLPILESLMLCVENRWPSVLVGPSGCGKSAILQKL AALNGAKLVELALNADTDAMDLIGGFEQRDDHRQLSIFLDELANLLQYNILQAYSRSE SSGLTPELLMLYKLISGSSFRTEQVASSLYSISNSHQDSRFKQLYDRCKQLVSENNSD HIGFEWTEGLLIHAIQRGDWVVLDNANLCNATVLDRLNSLMEPDGYLIINEQRTGDGT IQVVKPHPQFRLFLTMDPRYGELSRAMRNRSVEIFFLQQDELTPAEPHLVNYSCDSAV YRLRNCHKLGSDVNDTVFKTALDHLSPADIMSVNNSPRAFMQLWTGQGTDSMEAIPSA LARYHSLIANNSLIGWPENILLKGLGDGNQFLKHQQVIEPLHPLINEPRRFHSPLSPE DYSLLLRLAKLQEIQLDTARLYQELCRVKNFAHSKKSSEMNRLERSMTTSAIASHRKD STSLLAVFLNDSCQALANLIRDTKVTLLDDDIVGAATSILEFCYDIFRLGSQTNFEDA VFLTYIKIGQSISDEYESASRYIIEYWSQLLSSFQANWRLNTGQSMQRIWEEWRPATA SDPGHLQKMIELEHLCSRFDEVVRLTKTGFAELSQLSDSLTQAQTSLLLGADGSQLVK DLSHAINELTDRNLNVGTRGSPYFSSEYEALCQYIDLAGWSTLRTKDPIHGTLRLLAG RPSKSDDISPFGNAVPRLLSDISRFSGFQTLNDALALKGTVSLSLIRKLKRIGDVSLG QMEFLQSELGILAKALSSSTVQIAQDQFTLLRSHLKELVKEYLICHRANFKDESFQAA IGALDNFAKMKATSNMEINTIELQIYGQAACKQAMRSEPNSILHLFIDSVMEDEGLNP YLTYGKALIRVAIALLRSFIPDRPFDPSLAVAVECERYQQRIQEKRQKIKGMKAFETR FSGQSTSLRIRAAEDEIRRLGQEPPQPPVSRPKPSQLSALQGEFMNIITSILSKHPDD IFASCQESIEPANPHLIQLNIRQLCARLTRNYRAYDDITILAVRFLQLLDLGISLSRY SQVSMTDQQKLIRDISRVTPLLGNSRITHSGLQAIGSYHNTQYNTQTDLFCLSLIGAS QNTDPETLEDSNYRDLLRTLLQKLYMQWKELLNKNQEKEAEQSALFRYRGSLEAQDEM EAEEMLHMFPTFDAEAENCRQSQPTFDNKGVASKLSNLFKSLFSQETKEMKLRELLMD ATELIASLLPEAIPAPFAEPQYQLPAVLLSLQTESHASPIGSYNFYMDPNLSEAKKLA DLVGKIRWRFFKIQDSWPEHATLADVITCCSEIYQFKHQEPVAKFLTKAEKLHGYVHE WQTVASREYSAADLYDELTALLISWRRLELSTWARLLDIESEKCEQDANAWWFVAYEV IVATPLQLLREGQDLGNHIRDLISTLEQFLCATPIGQYSSRLQLLERFNSLLRLYVVD FPSLSQLISSLANLLDHYRPFVPIFEVQLMNGRKTLENDLKQEVLLASWKDTNITALR ESARRSHSKLFKVVRKYRALLAQLSDGIIASGTPAIAYESQPGVKVALNKPKVAFSAA LNICRECTSIWNSRPTRFKDPDSTVENMRNMYQKSLSNFSISLELDSYMRDVVESIAD FKAKTPSVFTEENRDEVQHLKVQKRRFYADKLRELRHMGVRSNLGTDILERQTSTPAI LAATPNLPILEGFSQVESSNTYFHRFLDLVPKARQAARDYSEDLSNVEAGRSAGFVEG LLSRTLAQRAALHPAFDALESLTNIVQDMDSVWHLGKQGLNVNITVASDRKALQGALR WLSVLLETCVTILSIHAQYAEIDSSHVLKELTSKKSELERSQIELQSLLNLPEGLSSS IHDDQISRAQKLLTEVRNDVVRLSKDHPNMAFALDQILPWTEVSLSERTVVEDQNSAV PIEAFDSSILSAIDKVFVVLQRLHSCLQACPPSTENQGWLMKCDSTLSKALEELHMAE ITDTISSILHTVKLVRVERPSDLNTAAALVGSVLPIVHQYRFICMDMVHQYANLHREV CKMGYVLSSSFIRVASEGFCSPQEASNEQGPSGKLESGTGLGEGEGAEDISKDVQDDE DLSDLAQQKSEQRGENDEIEAADDAVNMDHEELEADTEDFEQKEKTDEDEDGSEMEDN DDVDEEVGSVNGWDTEAVDEKLWDGENDPNHKDTENEKGKGSSDTNEQAAAPETKDGD AAETEDQDASSDNEGSEAPDNEAEAIGREDMDVTESNMKEEEVLDLPDNMELDEQEKE GASDLDDGLDDDFPDDDMAMQEPEVDDNTSDSPDQAQEDEIMDDSPEEQQTLREDEQG EDTTDALGEDDEKDVKAAERADKDPGQTEVAPSEAVSAGLDNEQNDDKGQSGEAVTEQ ASKDQTEANQNEASNADGGEEGEGSGNQAGGRAEDAVEDPQLQAFKKLGDILEQWHRS QKKIKEASQDQNAENQDRDINMKEVDFEHLADEEDVPDAQALGQASEEQVRNVDQSKA IESNENPDDEDILLDAGDVDTVDQTPALEDLMQLDNITAQAHQQDQKGALPLATINTR DEPALNEQNGELEVEENIDDMDRHLSAIHLTSELPPLTPPDEARRLWSHYESITHDLS LSLTEQLRLILAPTMATKLRGDFRTGKRLNIKRIIPYIASQYKRDKIWMRRSVPSKRN YQIMLAVDDSKSMLESASGQLAFETLALVSRSLSMLEAGDLCIVSFGNEDHVRVAHEF GKPFSSEAGTQVFQQFSFKQTGTNMKRLVEESMALFRDARAKRSSSSGGDLWQLQLII SDGICEDHDDIRRLLRQAQEERIMIVFIIVDAVNEESGSIMNLTQATFEADESGAGGG KWRMKRYLEGFPFPYYLIVRNVQELPSILSLALKQWFAEVVDVSM UREG_06325 MALVSYSDSEASDSESHKPTVATPSTTTSKPFQPLVDRSNPRKI LVNLTDSKPINDEVEDSAPSEGPARKRARIGGGGSFAGFNAMLPAPKRSLPDKDGRKP STAAAPRKVFSLKTSATPGFAREPDISEDNDNEDYPDGDLPTKKVEPVVEKKGNPMMF KPLSVARNAKKKPKAPPQKLTNVELDGDASQKEARILEPPKPKPKVNLFGLSSSESAP QATETLSQQAQYEPLIYAPTAEATLTPSTNALETQQLGSTAPPEQANSLANIANDLNL SQSEIRQLMGRKGRAAAADAKILTFNTDEEYKSNSAYLASMTEEELVAQQRNPVRSIA PGKHSLQQLVNAASNQRDAFEDSFVAGKRNRQEAGSKYGW UREG_06326 MHSLDGEKLDGPGPNGGNQNAAHLHDDYSAARGSRIRLKSESSR KHKDSDERHSRHRTRRDRHRRHRSKRHKASRSEEETADPPLSPNTAFRESLFDAMADD EGAAYWEGVFSQPIHTYPRPEKADGRGKLERMSDEEYAAYVRARMWEKTHQAVFEERE RRRRAREAEKESGKRSREQRRPETDREAFEKLVDESLRRGRERRDKKKKTNMWVDIWG RYMESWKELDSMAQEAALLKNAEPTIAGPSPHLRNLIVWPVESGKRRDITPQAVEYFL RNAPFNSTSSQGAGSANPPYPDLLTALKTERVRWHPDKIKHRYGVLGMEEQLTKSATE VFQIVDKLWVEERSRSERT UREG_06327 MLSRLFATLFLWALGISVVVASDEHTADNGADRRVPPYLDSDIH HRWFDFGGDAIIRTDQYVRLTADRPSQRGWLWSRVPLTATNWQLAFSAQKLTLARLVQ IEFEFKIHGDGSLHGDGFALWLTKQRATSGPVFGSADKFEGLGIFFDTYKNGRTGVSF PQVMAMMGDGQTAYDAAYDGRANDIGSCSARGLRGASIPTKARLTYFQDKYISLDLQY KSDHTWTPCFKITPSNEVSIKIPSIAYLGFTAETGELSDNHDIIEINTYSMYSQPQAA PSSDGKKAKSKSTFGTGGQESGGSWFWTLFKLLMFLALIAGAYVGWTAYRTSRHGSRF UREG_06328 MPTFGGLLKKRRTKESRGSKDLQSTASSGTDSASDPSASSQVSS PQRVRPSTPLTTSTCPPAAAPPKRPIDAGTSNATQPDPAPFATPDVRAGATGESTLRP QMNSQHLQHPSSPLPGASPQPLSPQHHQNVASIKNIINPPQHEDGVPHDGHFVSQQPI RTAQRQLKGKYSLNDFTLRRTLGTGSFGRVHLVQSVHNQRYYAIKVLKKQQVVKMKQV EHTNDERRMLERVKHPFLITLWGTFQDAKNLYMVMDFVEGGELFSLLRKSQRFPNPVA KFYAAEVTLALEYLHSQNIVYRDLKPENLLLDRHGHLKITDFGFAKEVRDITWTLCGT PDYLAPEVVSSKGYNKSVDWWSLGILIFEMLCGFTPFWDSGSPMKIYENIVHGRVKYP PFLVPDARDLLSQLITPDLTKRLGNLRGGPEDVKNHAWFSEVTWDRLARKDIDAPYIP PVKAGQGDASQFDTYPEETEQYGLPANDEFGHLFQEF UREG_06329 MSLLQNEDFIIWQLRTSYLSAIKDGVGDRLITLNSSALNTPGFR AAGWTGSAAATYAESLKRTYSPPIPVATAVSSEYFQSKNNENDANHEREHRSGFGLSD NEEGGMITGVRDDTNTIAPLPHRSSPGKKGRRRRQQTQEGAQLPRHGEVEEDDSSDLS DDSEEDVGGSKAVHQIKFTKMPVRGRAGSSPIRGSAGLEGPKVLVTSPSIRSVVTRFR RNSLGAVEAVKARSRGDTITSSDMSSDNDVDPDVLKRRQIHFSGTEEIIEMRDETSSE KLEEEAELEAAIAGGIHDNDDDSIAESVGSALSSEFGVTAESTTLLDRVAIGGSLRST SRLPIEARDTSPRKPRALSPILQTIPRPRPISTIGATSLLSSMLQARKQTPANPLEKY AAFSGKSDTGTPLYIKIYVPSSSTPTKPIDIPAVRESKDKSQPGQITVAQAIGLSLWR YMEEGLKPELKERRLSVNSWHLRMVDDGEVDYDFPPLSRDRPLADFTSNNNRAAAVRG RSRSKPYDEFALVEATDAEFKVNEQRYPNYSVASILEDADESQQLPVQPTSIPVNKGV TNSRANPILGQPFPSALNDSTLTPADRPAVPVSHATPRMGVSKNLRVRYFDLESSTRT TTLQTSTDSYIAEILDSVCKKWGLDKGNFLLKVMGSNTIAPLDRTVEALGNISDLDLV RRRFGIGPLSLTGSPGSSSPNAPLLVETQNPNVTTKKGKKANRMLHPLAQQQDIIGGY YRRYQVIRKQSMSLTASSQRVLAFDHDYIHILPAETGRTLFESNAKSTSISFNDVVGS KVSRRHPKSFRIVVLRGNEANEQKRYDFEAKNILEAAEIVEEIKKNMMHYRI UREG_06330 MGRKCLSVRPGSWQKFKRFHFSFNVSERDKANLKNVKRFTRHLQ NSNIIRLDISVTSYSSWINPLLDVQGSYDTIRLYLDAFRQVGRAREARALLRPDPSVK LKEIEDLTESASVKTEAIAIASGWRRYYEDWVENLKRGRLMETPFTRESSPLPIPMEQ DEETEEEFQVYTILDSRIRRDCNNGTFYLEYKVDWSLDYPSWEPFGNVVPGCEELVEE FHARYPDKPNLATLTQLEEQMRQNVL UREG_06331 MKGPPLPELGRGIAGALCAAASTVAVYPLSLIVTRLQLKNRPAE VRTRGGNKVKLEQHDEDVQSRNVSGWVQIVHVAKGIHANGGLRAFYVGVVEAAGKAAA DVLLFFLVYKSLQRQLEVHRSKNAPGLSVAGKLTLGLASEAITKLVIAPIETIVTRKQ DSREPVGVKDLVTQVLREQGATGLWAGYSASLLMTVMPADCTLGWNAELSRSPERGSL LGQLPRSLPAILSRIVAMSFTYPLSVARVRMQAGYRRVQAADSPLAGSREPIFSLSLL HTLSHFAKTEGTSAIYAGITACIAQSLLSRGTATLTKGPIYTWTVRAYYIYLLLSSQY QALLDRAKKEIEGLAVAAGEQAKYAQELKERSIRDMYSNETADLVGDYVEDEATECKR FYHWFFEREKRGNGE UREG_06332 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRVVLKSFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G UREG_06333 MSMEPQPMLSSAEGATGRKELLSPRAELPLVVTDARRKPPSIDI LPLRSSSVDGTILRQLPEIEMHTPDQSDREDEESVVRPSMIRKKSGELVRPALRMKKR PSSMPGTPTFVKNVHFDVQLEQVRHFLQLDMPVAVSAGSSPADEYDGDTEFPFGADSV PQTKPFQWEARVTNFPKEVNERSPVRLERMFLSSDNKYLVGIVAVANLAFHKHVVARF TLDHWKTVSEVEAEYDNNPRKDNLLGANSNYDRFHFHIRLADLADLESKTMFVCIRYH VNGLEFWDNNDARNYHVNFSRKYKWTNGSRGHACGPSNPFARNIRINPRPHSMPPLPS NMPFLPARSFQSPRDDGFSRPQQQVPLSHADDDLLDTPTKRTKPPAQAFATRYDFGSS LSAAMQPGTLDLVQPGSDTNNRVTPLQDMGGMLRTKLVSSPSTFSREPIRPSDILSEK PYHESPGYKELVDKYCFFETASSPTKARSRPDDPQSTVDENQPNPHQELPPSESPLFS SVEASPTHEEPSMSLPFRSPRPQSPNDPSSSSSSPLRFHSLRQTIPTGLLSQSPTPTP TPTAIRG UREG_06334 MAKEDKGAAVVPPPIGRGVLGHESVHAGWISRASMVERETNASI SGVSYELYLDDCRRFRIVDGISPIEDCQGRYQSTEVISLVKSVILAALIAQGRGVRGL HAEIRSSGSSKRDREPGSETSFPMAHVRTEEQPVWPARHFEPSNVPLVRVLCVEPVSR AKRCGFNPAAHKDGMLSDNGL UREG_06335 MVILGLMLPAGLLLCLPEGVYTETALVTPIFISRGRANELSCRV ASLQSITEHTSRLAKASARI UREG_06336 MARSRKSTGRPRPGYFTMASRRRARAVPPQQQAARQFSPEDEPA IPEKSGADLFRPPSNFNFLFPRESPERNRDAKDKATPFVAQQERAQNTQLSSFSSALN WGGSRGIKGRTQSLKGLGTFSEPPPLPAPLPPLRNTPLAYDHFSESKPCFPEESTPFR KGNMNSVKAVKRAEISLSRFLAKNPDHTRAKEEFKEYERCKTKVDQNIPLTSAEKSRM NQIARNIERREYTLNKDAEEISSQQHTPNIAPVVSKAREVRPGPNVLKETVANLGTPG ISSPDRIHLDKAQGAAEASSEDDTSSDGDLEGEERPFWRYNVYLSDSVTGDDASLIAT YLNKSRAEARVGAEISRAFTSSSLADWTGVEVRCVFQDGAVLGQTLEFDTGRKVQVQI DRELIKNEVLRRKQRKKLECLPSKLYVVSENVVALDLPAVVAEGRMDGFAFSRHTDGQ EVFTIREDANEQASREMLEYMTNHLPPDQKFDLSVIGKLDTEARLYLHELEESEGLYD RTRVVIDQKRRSLQVSVKVKEMLVRGPIN UREG_06337 MSNTGLVDLERELTCSICTELLYQPLTLLDCLHTFCGYCLKEWF SWQGSHPNSRSRRPEFTCPACRASVRDTRHDAKVTTLLDLFLRSHPDKEKAAEEKKEI AEKYKPGDAVLAARADATRPSSDNDDEDRRLLEEVREMSLREAQGRGRHREIRVSASR AQRGAFTERSLEQQRIEDARRRRRLTRQMNSTTRSRSPLDPNAQRARQVEHQSSLRSL LSNPDFRETAIQEEILRQIAEEGLLDGIDLQSLDREQEEELTERIAEAFRRRQRHRAG SDVRLNDTSGAQPSSGSRSQSANQPGMEQSRRSETGRPQLLASRHHSVSPAHRRSASD RGIGRRRTSPIPQRAEERINPARRSVTDVSNSPQLRQSSQNQTTRREAAARASVDDQN TSIKLSLIHHQPARSFGRPHIETFSSCLRSVCS UREG_06338 MVDMKRYTVLSFSTKCNVCTYPIPPSITRYHCPTCNDGDYDICT NCYLRLCATGKVSRDNGRNGWRRCPQSHRMIIVGFEDYEGGQKRVVVQGLVGGLALKD DLDPASPNSRFDHSSPNSPLTRQDSDPWEWPEGSNPNNGPDTTGAAKGTRRKLNRARH NLGCISPDSTKAPLAPRFPPSGGIGLRLIAIWSYYPEPEETDEIMFPRGAEITEAENI NDDWLWGCYAGQKGLFPGYYANVVEEVT UREG_06339 MATAIPFTLDLPTILSLTAVLSLLPLSLILAILLVPSNHLKYRA LFFWHAYDALTHFFVEGSFLYHCFFTYTTPTYAVARAKPYFLNRGDRLYGAAYGTGPT ARLWQEYGKADARWLGADLGRDFARATSGAATATATAQKKAAMYKGRLWFVAIGLAVA ELYGGFMTFAPEWLSGNMALETGDPVYFWLYLVFFNMLWVFVPIWVLWVGWTEVRVAF VAAADGVTGKKRQ UREG_06340 MAQHQQSQSGAPPYDPNLAYAQPGQHPPPQQHPQQPYGAPPQGY YPPPQGYPPQGQYPPQGQYPPPGQYPPQGQYPPQGYPPQQPGYGYPPQPPPAGYGMPP GAPGYGAPPPGQYPGQFGQPGYGAPVAAAPPSPGYVPGQVATGRDATKDAEALRKAMK GFGTDETTLIDILARADPLYMASIREAYTKKIKRNLEQDVAKECSGKLEKVLVALVRG PLMQDVYAINQAIKGIGTKETLLDSVLINRSNADLNAIKQAYQKTFGKSMEADVRGDL SMATEQFYNNIMSATRAEEATPIDPNMVNQDVKSLHGAMAGNLGVPKSTVFQLFALRS NGQLRAIDQAYKAQYNLELEADIGKHFDGHMKNALTQILRSAKDPADNDARLLEESMA GFGTNDDLLIYAVVRVHWNREHMERVKTAYRNRYSRELRGRVAGETKGDYEKALLAIL Q UREG_06341 MSHRPTPASEPSRPQTESHHRSNPSYSSSKKPYASRMASLPAHL PGFHNSQDREKQPAGPDPMSDIKSPTRRFFSSRRARSKSPAPIITDTSGSTVLNQNNL VASPTEDETAFPRRGHLLDSPPPMSPPFSPSEPSYSSTMTGKKRHSYSSRKGSSDYKR LSGTVNHCGRHGNDWLLGGFSVRERVRGLFKDENEEEHEGNRNEWHH UREG_06342 MATEATPPKFPFARPRGAEPPAEFAKLRATNPVSRVELWDGSHP WLVVKYNDVCSVLTDERLSKQRQRDGFPEMSAGGKAAAKNRPTFVDMDPPDHMRQRGM VTSFFTPEYTESIKPQIQKTVDRYLEEMIKGGCEKPVDLVEKFALPIPSCIIYDILGI PFEDSDSLTQWNAIRTNGSSTAAAAATANTELLGYLDKLVDKKIANPQNDLISTLVTE QLQPGNIDKLDVVQISFLLLVAGNATMVNMINLGVVTLLEHPDQLEDLKRDPSLAKPF VEELCRYHTASALATRRVAKVDITLNGQLIKAGEGIIASNQAANRDEDIFPDPDKFDI HRKRGSESALGYGYGDHRCIAEGLSRAELEAVFSTLFQRLPNLRLGIPHSEIKYSEPT MDVGIAELPVVW UREG_06343 MNEKHFPGLTSFVDAKARLKKMRTALMDGTCCVLAIQDRAAQAD AEIAPDAHHSRKVEGPLTRNQRILVQRDQYVDDGKRPFSLMAPVYSHALRHMQVILPP RPTKGPPGGVRVVNSVFGVKPFSVIFAATFLIFVVAVSCWKFGAFFRSFSRHKVIGGG EKAGVRYAKTWYGWVPLERYTKQQRWRRELLKRFRRLIAWRTCHADYSWVWWDPEGTK VKKRVEDWRPLRWIPPSLADYAFSPLHSLRDKRTDNQQVRIIENDRATNSALTPAPSS NGGKAVPDEMPDLPVIKKRVKRQRTPKAPQANAPSINVNRVRASVQTAEIGHKSVSPV AKQATDFSKSHPLFYDANKRCISLPPIGVDRTLKSDSPNSLARRCASDEKPQRKSNSN HRSRSKKSAISHRFVQSNSRSGARRLVIKPEQPSSWRYKAWGARMQRTTFPNTPIDLR GLAGRPGTPLPETLKSLASTRTDSDYRKSAAEQLGKRAASDSSFGSIYRRPDYAILPI SHDSTLHPRGRGPRYLRSDYFRSSLQYSHGTQRTNSDQTLREPGPALGDATNLPKPRS SRPFPQRRISNPEVRLIDDLERKLEWLSSEMDPGRKSGHFSLVYNHWLNRATWVVYDP VSRVPCAERRLYGDPRHNYPYPSGKNISRKEKYPSLRRGKARAPRLDSWRLAVNAARK SSGVREFLKAVELFEGSADEPPDGAIDTATWILRKPPQGFEMSSKQREAYFEGCGGWF EKLEYWRDVPRGYRARKVVCEGRANRRRIAEIGRQVAGNCKRTASKIGSRLPQARTAN RDIRISGRVTKLTWHPSSNYQSGKKTVQNVHRSGLIGRGQPTLPYGIGNSTSITVADS VNLFLRNRAVHFLEGRRVLQPRD UREG_06344 MFKPTSPVMGGLLWKIPWRLSSMQKARQRKRLRAVDQVVDTVSA ALKRNGGVTTKAVERWYKEMPREEEMLPKDKYTIFDRKEKKYRKGIHKLPKWTRVSQR VNPPGF UREG_06345 MFQVAALCRGFLYALNTTEVHGQEEFLKLLEERRDHTLRTRGLI TVSNHISVMDDPLMWGTVPLHNHWGYQSFNRRWAFGSHDICFSNRALSAFFTLGQVLP THRLYHSSYGGLFQPTVTQAIRLLSRGPFPTNPHTAPGDMQQWSFQNVCVDPFSEVAT AYTTTSHDSYLAPSAYACNSYSWVHIFPEGKIHQSPPKTMRYFKWGVSRLILEASDCP DVVPIWIEGTDEVMHESRAFPRFLPRINKKISVTFGQRADAETVFGDLRRRWQKLKAE AEKDGEPAPLGVLNEELMYGEKAVALRKECTMRVRELVLQVRRSRGLPDEDPKASLAE TWAWEGPKREGKMDDDSWVRDI UREG_06346 MGFSEEKTAVDSDTSPTLPPFASKDEEVALDIDSAPDAPGFWTR MGCTPESFKKRSLSDKHNQLNQTLKSRHLHMIAIGGSIGAGFFVGSGGALSKGGPASV VIDFAIIGVMIFNVVFALGELSVMYPVSGGFYIFSTRFIDPSWGFAMGYNYLLQWLVV LPLELTVASLTINFWNVDVSVAVWITVFLVAIIIVNIFGVLGFGEEEFWASALKLSAV VIFMIVAVVLVCGGGPKDGIYSEYWGARLWYDPGAFRNGFRGFCSVFVTAAFAFFGTE LVGLAAAESATPLKSLPSAIKQVFWRIILFYILGLFFIGLLVRSDDSRLLGANPLIDT NASPFVIAAVDAGLKGYDSFMNVIILVSVFSIGNSAVYAGSRTLTAIAEQGYAPRIFS YVDRAGRPLVSTSTIIAFGALAYVNVTASGVEIFDWLLALSGLTALFTWGSICLAHIR FRAAWAYNGRTVDEIPFKAAFGVWGSWVGLILVFLVLIAQFFIAICPVSGGYNDVKGF FKSYLALPVVLFFWACGYAWKRKGWLRLDEIDIDTGRREIDWAAQNMVIEKRRNAPFL KRLYYKLF UREG_06347 MKRKLDANNVPTPEGAEQSRAVQQSFEALQLDPRLLQALTKQKF TKPTLVQAEAIPLALSGKDVLGSGKTAAYLLPVLQSILHKKIANPTQKSTSALILVPT RELAEQVHDTILSFSSYCGKDLQTANLTQKVSDAVQRAILADLPDIVVSTPARAVVNA NNSSLALDNLTHLVIDEADLVLSYGYEQDMQHLAKSIPRGVQTLLMSATLTSEVDTLK GLFCRSPVTLKLEEAEDEGAGISQFAVKCAEDEKFLLTYVIFKLQLVKGKCIIFVGDV DRSYRLKLFLEQFGIKSCVLNSELPVNSRIHVVQEFNKGVYDIIIAADDQEVVGEVPR KQPKDSNREAQNSADEAQKGLSEDEEVDRPPVKKRKKSSKEKDYGISRGIDFQDVACV LNFDLPTTAKSYTHRIGRTGRAGKTGMALSFIVPSELYGKHKPTSFPTAKHDETVLAK IVKRQAKLGREVKPYNFDTKQIDAFRYRMTDALRAVTRVAVQEARAKEIKQELLKSEK LKRHFEENPEELRQLRHDGELRPARVQAHLKHVPEYLMPAKGKAGLTSGDVGFVGLKK TNENRIRKARDRNRMRGKGGKRGGRGGGGRKVDPLKTFKSK UREG_06348 MSRRRDHTSIRRDEYHLYHNSPESMGYPSHYQSHHQSGDQDPRT TWNDRAFNPTPSYADDRLQNQSREYSSMSAWSSTRPNQNNPFSAGSGFPEPQSQYAGD EPNTYAHQSYQTTAYFGYGGYTAAATQLAPPANHLQSTIPRSDIIHNNENAPIHSELA PIAHGINQAASQGRNHLMNSRGSHWISSPGHNEVFLVVWHETAGSTASTASSSSTFTT LFHEKAYCTIRRMVVIKQNKDSCLCVPVHTYQKRGASKPGIRKDAHVLIHMRGTDPTE KQNKYGLMKRPLMVDPAALTERLDSSSVVHLDKIHTVEYNIKVKAVGFISPESMGDLK QYVKNILDW UREG_06349 MARARALSLDDSSLRYSDSLISDFQFSSVNSCRRSLSEPSLRSA PIDYDFKSSLSVQDAVSHTSESINLSQSFPETPSPVLKPLPVFERSLRTQPAKKDEGL RAFCERFTTKQVNVEGIRMRARERRMELRYKRDAVVDLEMEFMKKLNQLLIDVRNNTN TANPDPTLLNTFEQYQNARNDYSSLEDEYDRLEDQLDKEEFELGGVVNNLKQVVDGGI TMGDLVDEPTTGLGAAVPKVNHPLVAQYFIRTGDVALLNEELWNLRAEYGMVLEDQDF KHKYGMSVVDYNALDLLANFEQYVKEILDDLATAENDVQLLKSQCDEQGLLEDSRESS KPRASLLETIKDPPHMKWDEKSPSFFEENRARETFVRDKISMTDYIDKWLLHQLFHST LDRLRTTPELKEFPYLRPGDWDTSNRVNITPTGPSGVPSSHLYMATSTNDIGKVMSGL SPDNLDSCLQTPKLEPINPILTEPCGISSFQPSKQPSIDDSAKFDRWFRDNIDC UREG_06350 MPPTSLQAHVERRSTALRGKLLETLTQLAPKRHAQPLPNLDLAH EVAVTASSPMEISRVLTARSNEKTEFHPGQGAVDPHDINMQGLLALFAIIGAAFVLAA IWFFFWARNGGFVWRKGDWDDYKSTVLRRKGPDGRTLSNATKSTVLGGGSVVGRGYSD YDEQATTVDTATVATEKVSRGKRLKETAKQKLLRKRKEEAWEGGHDEDMRAYRHEKPA TVGGINREPDGTYHGTEYTGTLEQRSEWTQSEMGETHMETETRYDPPVTERLSGNRNV SGFSFTAGAEDTISNITEEHPLRDSAHRQESRHSRSRNSQRPRGPRPSANTRSRQDGS RRRDRSAIPGGYTTPLDMSSISASEYTYDQLEGSGNGTKTYHHPLPELKKGYRRAGGG GRGRRDSLSDSEGDDYDSRLS UREG_06351 MSPRPSYQTYCEEFDEVANVVLPETRKVANVSAKRSRPELVPSG PSADGASDSGYSSRTAITVGSGDSFASGTVSPTFPPLAASAPNRDTPRSRGRVDGKEK DRSGNKGREKKETKENTQPPPPPLAPIKTMPVASPRSSSKPPSLHKSSSKSHKRDSGG ARHHPGTCWDCDQWGIHQAALAGSVDSRTMDSAGYFQPHAPRGYEIPPSPQTSQYHPQ GRQEMHAASPIVPPPRPHRSNSYHSQGRPVSFHAGTMPDMNMMYMPMGPPSAYGHGPP LSASAYANNFYSSSPYMGEVTVHQSPSQSYEPTPRSSHERPRERPRTMSISKPTTEKP RRPSVYKRPVVEYNTAPSTPYEPEEYEPMPPPERRTRRQSRSYDPDEDYYRMPPPQNP TPKYKQPSQVIPIAKRPTARKSSTTSSAPTIHRPEAYDMSDMRAALPSRMGRKQSRDP MMEPEHEPERRPSMPAPQRERRRPTTYYDSGPRRIVVENSRRRRSSIYAMEQPREMEQ KQREAEEYQSRAQRTVPMAPEEYQPRPQRAPPMSQEEYQPRAQRAPPMNPEDYQPRHQ RAPPVNTEEYQPRHHRAPPVNTEEYQPRHQRAPPVEPEEYQPRPQRTAPLTAEALRKV RTQKVEIDSDSEETSSESSHESDIHTKNGSGVGSGVGSGVGSGVGSRADDDSITMIFR GVKIDLSGDTMDKRINFRSGDEGALEVNIEGRRGPRRYLMSRSDTTSLAGSRREIEDV RRIRDDMRSERESRHTSSRSGYSGRGLLE UREG_06352 MAADSVIPAVAELSVHDTTSEVSKYPNCYPTLNPVDKYRAHIAE LVGPIVGKEPEFVYTRLQWTNTLEKGDLLLAVPALQIKGKKPADLAGEIAANFPESDL VEPPVAMGTHLQFHFRAQPLIKTVIPSILKNKATYGTNANIGLRDPRDPSQGKKRIVV EFSSPNIAKPFHAGHLRSTIIGGFPGEFAHSLWDGNMAYWPNGYKMYGNEEELLKDPI NHLFEVYVKINKDVAEQEGPIKDLKERIKVIKDKGEDAAALEKELDTLVAASWDEKAR QYFRSMEDGDEDALALWRRFRDLSITKYRQTYARLNIDFDVYSGESQVKQESLSTVYN TMQEKGVSEDSEGAIIVDFTKHGAKKLGKAIVVRKDGTPLYLTRDIAAIFERETAFNF DKMLYVVAAQQDLHLAQLFKTVELMGRKDLADKCQHINFGMVRGMSTRKGTVKFLNDI LKDVGDKMHEVMRKNAVKYEQVENPVETADTLGITSVMVQDMSGKRINGYEFNLEDMT SFEGDTGPYLQYAHARLCSVTRKAEIDPAVLGSADLSLLTESHAVDLARLLSQWPDVV LNAMKTLEPTTIITYLFRMTHTLSSGYETLKVVGSEPELKKARMALYHCARQVLNNGM RLLGLNPVESFLSQNVIFLIFTHIWLRLPGVIDPSRKTNSVHYPVDYDGVPLLKKGIR YKQEYTVIFLTLNLHHP UREG_06353 MSSWTSKENVNGVYIPSALLIFGTTIIKKEWVAYATALAFVLSA WKIFSNRPRKVLTPTEFQNFVLKDKTIVSHNVCIYRFALPRPTDILGLPIGQHISLAA TIPGQSKEIVRSYTPISSDDDAGYFDLLIKSYPQGNISKHLTTLRIGDKMKVRGPKGA MVYTPNMVRHIGMIAGGTGITPMLQVIKAIIKGRPRNGGNDTTQIDLIFANVNPDDIL LKEELDQLAKEDDAFRIYYVLNNPPEKWNGGVGFVTPDMIKSKLPAPANDIKVLICGP PPMVSAMKKATESLGYKKANLVSKLEDQVFCF UREG_06354 MSTAPAISVALPSPFPLTDLDREILSQTDEESWSIAIKSLYGTI TNYICRERLRWPLDLDPATQCVNQTPFADPHDYKILRNDWPYGVTPDINHIVVWVKNP IPVDEQAGGDLTPESRALIEGFVQRTFVKRLEKEFPDAKERVLWFKNWTALQSVRTLE HIHVLLRNVPDDIVVEWTGESARKLSDPTVGLVTTAESTTDC UREG_06355 MSSSGAMDLGRVTSLQRFGLSSAMPTLTWGERSARRYCTADNQQ EGSGSAVMSAYVEIIFDNSDERFPTGKDELILRRTIGLKKDEYSLDRKNATKADVMNL LESAGFSRSNPYYIVPQGRVTTLTNMKDSERLVLLKEVAGTQVYEARRAESLKIMNET NNKIAKIDELLDYINERLAELEEEKDELKNFQEKDRERRCLEYTIYAREQAEIAGALE NIENQRQTGVDDTDANRNRFIQGENDIAQVDTEIAEHRQQIELLKVEKSQLEDDRRDA SRALAQAELQGKSLADGQSAAQQAKSRRESELNSVQTAIAEREAELNKLTPEFIALKE EEETSKSNLTEAETTRQRLYAKQGRISRFRNKSERDKWLQKEIQDTYTSLSTVKAVRM QTAEEITQLENEIALIEPETEKLRKQLDGRGDAMQSMDQEVQRAKDERDSLMDQRKEL WREEAKLDSILSNASQEVDRAERSLSHMMDHNTSRGIAAVRRIKRQYDLDGVFGTLAE LFDVSDRYRTAVEVTAGHSLFHYVVDTDDTATKVLEILQKEKAGRVTFMPLNRLKPRP ANVPRASDTIPMIEKLQYDSKYEKAFQQVFGRTIICPNLQVASQYARSHGVNAITPDG DRSDKRGALTGGFHDSRVSRLDAMKTVTKWRDELEAKRNRGVEIRRELDKMDQLITRA VGELQKLEQRKQQFQGSNAPLRQEIKSKRDLLHNKTDTLEAKRRALKNIEANITALTD QINAHEEELSTPFEKALSNEEEARLESLSSVVQDLRRQHSDVSSKRSELETRKSILEV ELRENLHPRLDQLLGHDIEAGEDTIQGNLKESQRQIKKQTKELEKLNQRLQKLEDSIE ERNAEMIQLEQRKADIRRELDELAKSIERHQRRMEKSMQKKAALTKQAAECSANIRDL GVLPDEAFTKFKNTDSNAVVKRLHKVNEALKKYSHVNKKAFEQYNNFTKQRETLMGRR EELDASHKSIDELITILDQRKDEAIERTFKQVSREFAKIFEKLVPAGRGRLIIQRKTD PTNRQDDDIDSDEEETRRSVENYVGVGISVSFNSKHDDQQRIQQLSGGQKSLCALALV FAIQACDPAPFYLFDEIDANLDAQYRTAVAQMLKSISEETNGQFICTTFRPEMLHVAE KCYGVSFRSKASTIDVVSREEALKFVEEQKT UREG_06356 MASEHATVRRDAAPPSHDTVAAQSDTPPNEIPPGDEKHMEELEE EEDDEYYDDIFADELADEDFLSSNPADYTKSYNRQRRLNEVVADPNAPKSSYPKINPQ KPTVNTHAKIDDQIATLSSHAAKLRLDDLQSGLGGRTSKGAEKSDRATSEQVLDPRTR MLLLQMINRNIISEVNGCLSTGKEANVYHAVSYPDGEDEPVQRAIKVYKTSILVFKDR DKFRQGYNKSNNRAMVKVWAEKEMRNLKRIYAAGIPCPEPLYLRLHVLAMGFLGNSKG LPAPRLKDVEIEEDPEARWRALYMELIGYMRTMYQDCRLVHADLSEYNILYHKHKLYI IDVSQSVEHDHPRSLEFLRMDIKNVSDFFSRKGVDTLSERTVFGFITSSDGPRMVDGS NEQMIAALEKLFATRADSQDTEDAELDTAVFRQQYIPQTLEQVYDIERDVERLQAGEG EDLVYRDLLANRGKARAADDVAPATVEDGSDESGGVSVSDGESEEDDAELDPFAKKAP RGKRFEDKEAKRDHKRQVKEEKREQRAKKMPKHIKKKLINASKRK UREG_06357 MRAIAIFISVIQLVGFILPVLSTHIPGHSLSHSAPSLHDSLRRD ALDHAARVSRWVRRAPSPQAEPPMSTPRLNEQEFNRTATAACLDALAPVRSAVNPSGM AACYNIPFFNLTTGTFAADVRLYQLSDPRLGFARVPSSQYTVEMNIPAATVASPDRLA SNESTGPNSPILLQDFRHIGQLNRMLQFDKLSTEDLRILLIPNITITARSTSNDSIST ALSSDTLSYVSGFLMNQDRSPNNITFPDAAAKLPDIIAAATIFVIPGTAIEIFPVGLI VTGIWTGLFFLVVGLGTLGRMQFREHFRGRIQADAARKRGGFLSRF UREG_06358 MPPSLGSFRSRGPPSYTTNAGPAGHQITMQPLPAQSPQPGSSHS HGPLGQMLPSQSDPLPAGSLHGSYIRVPSQANNNNNNNNLAGSARGSFVSRGPPSQVG NPAGSAYDSYIRVPSQLNNPPGSVRGSFVSRGPPSHVTNVAGNNPVPNLAAPTRAVSL HSNPGLASGIGRGRGSGVGGPLNNLSLAQSVSSTGAHPPPPPGLGRGFGRGFAFGGAA TGPGGTFHSSWAGHGGGRSDVDSLYARGRAQWEDSKRCMRLFLWCLLAVAVLTGIIVG AIKGSGKG UREG_06359 MASNPSSNSLPQPLKPDTRETFQESPRKRRRLSPEHWDNDLPQS SVFGPSPPDLSGQGLDDDGRADQTSLMATTHIDSAGRNIAPFLAKHIRDQHAPMNEFE PQAATNSSRNLNSRYCYRHRPDLKCRRQADEITMDQMQRELHSLSQTDQQSIAHFWAL FSAAPSKHRNLMLQGLLTQCCFPQLSFLSASVRDLIRIDFLTALPPEISLKIFCYLDT ASLCKAAQVSRRWRDLADDDVVWHRMCEQHIDRKCKKCGWGLPVLDRKRLRDTRRQMQ LRAAGKDQCAKSATPEATNIGDDYFKTQYRPWKDVYRDRFKVGINWKHGRCTTKIFRG HSNGVMCLQFEDNILATGSYDTTIKIWDTDTGEELRTLHGHQSGIRCLQFDDTKLISG SLDRTIKVWNWRTGECISTYTGHHGGVICLHFDATTLASGSMDNTVKIWNFQDKSTRV LRGHADWINSVKIDSLSRTVFTASDDLTVRLWDLDTGNCIHTYTGHVGQVQQVVLLPR EFEFEEHDSEDTQSLTSTGASTDSEHEHHNHHHDHNDPEETSLSAPSSLPMSPAFDAI FAHGRPAPPRYMVTAALDSTLRLWEVPTGRCLRTFFGHIEGVWALGADTLRIVSGAQD QMTKVWCPRTGRCERTFTGHRGPVTCIGLSDSRLATGSEDSEVRMYSFRC UREG_06360 MSPSGSMSSSASEGRSHFNAAAVDDGHQQPNLETLVGHLVAAKR SLSSINHVWRANEIVTAARSALEEGVILSARAGFLHRELEVQLRSLYQIKDEIEQLAQ YGREDFSSTLKELDKVDEKLQQALSLLRETSVEPSFLPPGEEPKTLHDFVDENAVQDL QSLLKDAIDNTNSAQADLDASNNAFDEELDAIQRKLSKYNVPIKPIPHSSTLPSSPPQ TQATPSPAVIPELLHSLELHAQEMADLLESLVHHFDLCATAVKHTEGGGAAALRITGD LPSGLNVGVRLGNDGEDIDNPNAPPEPLTELEYQGMIGVIVKDATEAEDVVLEIQDRI AEMETTLDRIVAQRDLLAESCAAMIENVHRLDKFFKSKLASYITQSHVFTRVWKEQHE RMQAGMADLSDLRTMYVGFLDAYDDLILEVARRKSVRVSVERILHDARVKLDKLYDDD VRAREAFRVEQGDYLPSDIWPGLNRAPMRVEFNRIFDNNPNTGVSASKAALAPIPGVD GENSPEGQEKPSAHMESSQPEEEPINPGGDSVPDLPKYVIERAVVRKKARIKASRLPP TAQHVK UREG_06361 MSQENGADTDWERYKAEITQLYLREGKKGKPLHVVKAHMERNNQ YYAQFKKWNIKKRRTGDDWKFVFGRVQKRKLEGLESDVYMDGSLVPEKKLRKEIARHV PLSYAYTCGSEPQTPEGVLVCNPGANDFSLDHGCEVLNEISVGSPVVVYSGASQGLTS LPCFQFQNLFHSWFDSKMRRVWGSPTSFFGLDSTLLPDRDPVGFISPSTIDSGARPVK VFDFMPEQPILEARQLLLNCLKEHSNSELTADDADTIALSLESVMIEQANGDLTRDAF GRRSKILNGLESGNPPLQEYAIRSNRPDVVKFLLDARADPNCFSPRETPTPLQMACML ETSELVEMLISAGAKVNAVSQDGTDEAGNFGDNEVIFDFEFDRIMLSQTPLHIAADHE NWEAVQVLLKEGADVDLTIPKSLLDSLLYKKGHFGNPPVFSPLQAAVRANNLTMVGLL LSVGAHIDARLLKDYGHTALQIAVLTENDGLVRVLLQNGADINASAGRLYGYTALQAA AEYHNNTELLTFLLQEKANVNAGNQEGHTALQVAAGRGNVEGVQILLAAGADVNAYPC PKDGKTALQAAITCGVQATALEIVKILLKKKADVNAAPSYGGFNALQLAARHGNLEIV ELLLNAGAVPDSTALSQAVFSGSIATVTALLLKGADPNNLAAVNSCGTYRTQWTPLQE AARIGNIDLIRLLLTCEADVNAPASWEGGRTALQAAAEHGSLQVVEELIEAGANINAS AASTDGVTALEAAVRKNDSKLTRFLLDHGATLAIADETPEMGILNIVTKTWSIDEYLA EMLIKAGADVNPSIGHGQKLEAEEVAVYDVASRGSFSLMQMLLMQGANVNIRLKSRWG PATALQAAVQRQHVDIIQALLDRSADVNEPANTNSGGTALQYAVLSGNIGIAQLLLKY GADVNAPGSPKNGRTALQAAAGKGHIGLVNLLLQHRANVNAPACEDGGVTALQGAATA GNIRIVLMLLAAGADVDGAPAMVNGRTAIEGAAEHGRLDALHILLNYHPDTEDLGLKK KRAARLAIQNGHFAIARLGDLTREILAFTQKSAVVLRVHVLEKQHIWISVSNGYAIAL QEARYMPTWAIMRRLSQGRRADVLVSPNQMDCDSKIRSQPEGKRKLAAELELGRVHTR HGTLCFKTHAVVYIAVARWYNGVDGKPGFSNDSARGSIAVKQ UREG_06362 MGGKTSRVQEIENISLEQNDEKTVESELETSYIHAGLTPEEASF LANFSPERRKKCIRKIDWRLCPMLMILYLCAYIDRTNVGNAKIEGLVEDLGLSSKQYN FAVSIFFLPYVICEVPSNVILSKFKRPSTYIGIITVGWGVAMTLTGVINNFGGLVATR MVLGIFEAGFFPGAVLLVSRWYISSETYLRIALFYCASALSGGFSGLLAFAIAKMRGL GGYNGWRWIFIVEGLASVLIGIGCFFLLVDSAPLSTRWLEPDEIKFLELRQRAERGRV VVDEDPGKFDRATLWQVVTDWQLYLQIINFWSSAVPNYGMKFTMPQIIKNMGYTSSKA QLLTVPPYMVGAISAYVSSLLSDRYRWRFPFLVFGQTCIVIAFAILFSKAADIKDNVG LCYFAVMFSCIGFYPITPGINAWTVNNLAGARKKAMGIAFMISIGNLAGIPGSFIYIE SEKPKYPTGFGSSFAFAGSGIIASIILELGYIRINKRKAQMTREEVHEKYTDEQLQKM GDRSPLFKYML UREG_06363 MPLETQKRRLPMDSYAESHRVRRARTRNPWICSGLIFCVTVSAV LVLLTIVYSYRSLQIDPQGCRTPSMRPTYIKLVGFDSEHTRFASNLGKWSDFLVQIKG VPVLFLPGNAGSYRQGRSLASEASLYFHEVLQYHQERLQTGVRSLDFFMADFNEDMAA FHGQTLLDQAEYVNDALAYILSLYHDPRRPGRDPDLPDPTSVILIGHSMGGIVARTVL TMSNYQTNSVNTIITMSTPHARPPVSFDSDLVHTYKQINNYWREAYSQKWANNNPLWH VTLISIAGGGGDAIVPSDYTSLSSLVPKTHGFTVFTTTIPNVWTGMDHLSIAWCDSFR KVIVRSLFDLVDVRRSSQTKQRADRMKPTTLLTLGDDTKVIQRQGERLTLRGFGRRKV PESHLMPIPPRGGVPGKKFTLLTDQKLDAAETNRKLEVLFCSDFPLRAGQSATLLSLN LDLSGDSSGSIRLVCKSAVEDIISLPASTPSSKFAFDNVPSFSYLQYDLEDLTDYQFV AVVDKAENRHRGWLHAEFSDSSDSVIPTRVGLGRLLSAGLNVMLPAGRPMVTEIKVPA LHSSLLAYKMHIESKDCGKELFKPMVRQYISNPHESKYFVNVKDAEINLHGIAPFMPP HLRDNAATAGLSFQLWSDGSCNAPLQLSLKVDVLGSMGKLTMRYRTVFAAFPLLVVAL VLRQQFKVYDQTGVFISFMQALDLSIRSSIPLLFLGLTFLASSLATSKNAVSRGASLP TRSNSTESIIDFSKNDLLLGSQDAFFWFLVPLFGIISIGTCVIVNYVAMILIHALGAI RAVLMSRKAYVKHDDRGNLSIFWTLSTKNRIINTAVLLLFVATIIPYQFAYVVACVVQ LATCVQASWHARETRSTSHSSFYNYAHSIFILMLWILPVNVLVLIVWAHNLAVHWLTP FSSNHNVFSILPFILLVETLTCGTMVPRITTHLRHITYLLFFFLAAYSAIYGVTYAYL LHHITNLVTVWLVGIHFFAGGFLFHSLSRVLYGTDSALNGTPRPRGHTKKLP UREG_06364 MSNSHATGTADITIASIRSLLSKGRIEKFDPARFKLVLVDEAHH IVAPTYLEVLEYFGLDKPNNEAPALVGVSATFSRFDGLQLGTAIDHIVYHKDYVDMIG ENWLAHAIFTTVQSHVDLSNVKNAPNGDFQTKQLSAAVNTEKTNEITVKAWLSRAQER KSTLVFCVDIEHVRCLTEKFRSYGIDARYITSQTSKEIRTQELDAFRSQKYPVLLNCG LFTEGTDIPNIDCVVLARPTRSRNLLVQMIGRGLRLHPGKQDCHIIDMVAALQAGVVT TPTLFGLHPNEGLDKTSVDDFEKLATKSGIGRPKGSAPDPKGDVVVDFTDYDSVHDLI QDISGERHIRSMSKNAWIKINDDRYILEAPQGRLVITRDDSDLYSVLQIMALPSSAKS KSPYGRSKEVASSLTLTEAVHAADTLASRIFGPIFVALWQPWRKRPASLGQVAFLKKS LGFDGELLSEHITKGQAADMITKLKHGAKGQFKDILLMKRRIDRDRVREEKLEELRNR EEVKVGQLNSLPG UREG_06365 MSDSSMSYEPEDEEEEHGQNANKQLRRLKDSEVPLTPNRNFQSN SGSVDDGIPNPAPKQGARRRVKPRHLALRAAARLPVDKYLELYKESLNNVLVGPDCDG APQLFRSQYGIIQWTSQEKAAFFMALSKKGKDAVPEIAASIGTKSQMEVRHYLDLLQR NFELRNVAEEQVHNIILSDIPAACEISNECCIALESVAKALCLRDEQTHNVAGKRKHG DFWLVDREIATFVEEDQESEAEAEPEEAEPDPILEPEPAPELGSLPDTEPPTGEDSSK NDSSVRTHGIFATAKLLKLSNWIHLSEHFFMNFGRERFEDNWNQLCFQDETPALTCDA FSDFYTLAISITRRVIQSSIFFALSRIRAVERSHVNPKRLVKKEDVSAALDVLGMAHD AKAFWAGVARRCALDVRHPKSGEKYKTVLLDYDEVERLLGAQLDENPRHIKLESPSAS TTTEPTEDSDFDSNTSTYSPTQDNMGNDEDLSDPEELYANVMDREASRKEESALWAQI NKPVPLSGMNIKLEPNEEEQNRPTTKRKSPQELVNWRDRLLYQSEWETLGQEINGGQR KRRKFGPAFSTDT UREG_06366 MTSGDMASQGNPTPAGEDGQTGNGSRSPSSQSRQLSPNKNDQNG AISDNPLDAPTSPKPQNEQADLEDEEMGGTEQDGGKDASGEPTEVTASQQVDGPSDMP SDETKATAEASARSNLISQTHAIILPSYTSWFDMNIVHDIEKKALPEFFNSRNRSKTE AVYKDYRDFMINTYRLNPVEYLTVTACRRNLAGDVCAIMRVHSFLESWGLINYQVEPN SRPSNIGPPFTGHFKIIADTPRGLQPFQPAPGAFVTPGKPHPSTEKAAVSTPLSKADL NLEIRRNVFDDKGKEVASDDKDKQPNGDKTVTNGTTTDPASRTKQTVNCHSCGVDCTR IRFHYSKSAPVSTSGNASDLKYDLCATCFLQGRLPASHQASDFVKMEDTSYTAIPDRD RPWSEPETLLLLEALENFDDDWRKVERHVRTRTAEECVMKFLQLEIEPKYVDEATEGD QFEQALMSGRDPISQLENPILSVIAHLAQLAEPSVTAAAAGRSIEEIRRSMQKQLEKG FGPPSAGGTEKDKEKEMDQGQETTQAEDSMDIDAANEDESTAMVPSSSKEKRPTPSIP SIAIATSAARAGALASHEEREMTRLVSAAVNITLQKFELKLAQFSELEQILEAERKEL ELARQQLFLDRMAFKNRVKEVQDAFQAISLEGPEAAAVKAAQIGTLPHGAEQFQFQTP GAQDGTVQPLSAAGGDYKTLEL UREG_06367 MVLFCAPSSLQHESPLETLIDYVKRELNESADANVVIRAKYLGL FIADDSDDEDSRQRSYWPEPLMQLFGGKGDDIIRVPRRKPSLPVSYEQLIASRSMTAP GRATKSYYGIEIHRLMEEARDTRSKDKRSHNVPKLHQSVESPSGYQAQAGRNTLWTEK YRARRFKDLIGDDRTHRAVLRWLKGWDPIVFPSLAKSKAQNKTADLEEPNTHRKILLL TGPPGLGKTTLAHVCAKQAGYEVLEINASDERSRDVVKGRIKDAVGTENVKSVSVIAD GRQIRKPGRPVCVVVDEVDGVVGGSGGGEGGFMKALIDLVTLDQKNSKRSPTDSTNNT KKKKGDKFRLMRPLILICNDVYHPSLRPLRTSSIAEIIHVRQVPLDQVVQRMKHVFEK EGISCDGDAVRRICEASWGLSATRDRQVKSRGISEGDIRGVLVAGEWIARKLRYAGSL SSNMRLTRAWVEQHILGESSKDGSIPGLGRGGTKEIVERVFLEGAGFSYDRVGNKSFK DPYGTNSDTITPVGVADLRKRHAINRLTEMVDATGEYDRCVADCFLTYPTKAYQDDTF LSKPNAAYEWLHFHDLATSRMYANQDWELNKYLSQTVIAFHHLFSSPNRANLAERKSE KSEEEHPFLSPRADYTAFEIEKQNRAILTEFKSTLSAPLLRIFLSPGTIATELVPNLM RMLAPEIKPVIVGGSGSERGIASVRKESERALVQSAVRVMNGLGVTFERTRVEVESGA HGGWVYRMEPALDSLASFFNTKGTSMASAGSSAPVRYAVRQVLDQEYRKDLLKRQAQS RQDRYDNPRSLASKRSNNTEQSKENQGSDAKSSRLTGPKRDFFGRVIVNSESLPSRST EEGKNQKLDGQKQRDEKKVWVSYHEGFSNAVRKRITMHELMSGF UREG_06368 MRLMISLPENYAPLLVENIKKGGFTHIIAPHSAFGKNILPRVAA LLDVQQISDITAIESEDTFVRPIYAGNAILTVQSTDPIKIITVRGTAFQAGEATGGSA EIVEGVDPNVSSATEWVSEDLTTSDRPDLGTAKRVVSGGRGLKSKEEFDRIMTPLADS LGAAIGASRAAVDSGFADNSLQVGQTGKNVAPELYLCAGISGAIQHLAGMKDSKVIAA INKDPDAPIFQVADVGLVGDLFEKVPELTEKLKK UREG_06369 MAVSSEQLTIKPTSGSPYQLNNEQVNRASTALLRHIKSEEKKRA EESTVKKLPLDGGSDSENEDEIGDDVPVWLVLTTKKHIVDKNRLKPGKITVPHPLNPV SSLNICLITADPQRAVKDAIADEAFPKSLSTQITKVIGFTKLKERYKSFESRRKFLSE HDVFLADNRIILRLIQVLGKTFFKSNKRPIPVVIEEVQKSNGKRLKKDERKRPPPGEK YASVASPEVIAKEIGKALNCVPVHLAPSTTAAIRVGSSRFTPQQIAENIEAVVQGMVD KYVTKGWRNIKAIHLKGATTMALPIWLASELWVEDGDVRENEEEGETKAIEGSKKSNK KRKTIKETDASDSKKRKVTEEGDDEAELIASKKQKLKAQKAKARAES UREG_06370 MSTEDIEPQADVSNMPSMRDKTTRVANSFIFHKASLKRNGHSKK GRDDRDISGRLDNTTIRAEDEENDVILEAIRDNVAAQKEQGNLEAPEKSDLTLKETVR ADAGEKVVIHKSSNEKIPAIGSQDILPELVPKVESNTKMLATEDLHELIPTRSTGGSI GKHYKEKKAFFEALPKLLNRQCELGNLSSFSSQTSRGSATVDDNVTGAWTMTDQGTKA VLNVYADVRAALEYFKVPEETKSILGLSVKVMVQPTLIATDPSVLTRVGGRWLPHIRN EILTPRDVFLLWSGANISRLQKAMWAMGLVRKAQRSDGFADLLLPRLLGLAQLCGIER LSFSDLMELAAFTPYLEKFARAYIAKVIGSIDKSQITNLAYGNYFNGQMLGSTPYIKH SFVDTAPSLNDRMVVIGVIHKQKLKLTNPDPCKQVEFKIKLISLTEGEPVYMLSGWAT AIAHRPPQFESTTSLRCLYQDIHGSPHYAEWRPDKVVIKIKRTSDGRLAAKPAMFGQI PAPEIPGQRVFGVPCNCTTRDPSKYLKLAGDTTMNGVQLRSVWTGSTDISYHDLRFIW TAGDLVMQRFRADAFTQSTYIQIPEECLYCAINRALGAGCGILVLGGFPKSKPSTQQR GTYA UREG_06371 MPSKRQRQPKTGESPDSPPNGPIYFWKPEQPHGFLGQWYESEFT VSQLNDAGEHVEIKYKNCEHYMMHRKGILFAPDDSTTQEILSAGKPVPSPRTLKSLGR QIPNFDEDIWKKERLKIVVEGNYLKFTQNEDLRAQLLATGDRELVEASPRDRIWGVGF GAKNAEAQRHRWGLNLLGKALMEVRDRIRNEERMGSEEPEDAESPRVKRRKS UREG_06372 MKFTPAILSLAAATSVAASHHHRHGHMKRNPVATKVVTVSGPTV IAYELDGKVISKEEACKGIKDGSLIWADGGSYNSCDAAPPTPVNAPLAGQEFYEQPKD EPTPIKVDKAPKPSLPSMPKPNIKTPDSSAPAGGEGLDSDFPDGEIDCSDFPSKYGPL NLEWLGHGGWSGIQYPTFTGDLITRIVTAISGEGCTEGAMCSYACPPGYQKSQWPSTQ GAKGESVGGLQCKGGKLRLTNPDLSKKLCTKGAGGISVKNTMSEVVSVCRTDYPGTES ETVPLLSKPGSTDPLTCPDSSLYYKWLGKHTSAQYYVNPKGIGIKEACQWGDGSKPIG NFAPINLGVGKRDGATWISIFQNKPTTNVKLDFKIEIKGDNLSGACRYENGLFYSLTG SNPDGCTVQVMSGEATYVFS UREG_06373 MPVLTDARLVELRVWWLASAAKRAAKHHPEESKEAQIAVRRFSR PVYPVFLPCKRRASKQQRVDAPANRQPESSLGLVPNISSAYSTPSRSRNPAPAARSGN KMESRRKVRNQSKVNHDTKLWSLLSAFRLLRFGVWDRLSFSSFPFVGFYTRHDVWTTL ITNADYLSGLLTLDYSLKRVGSKYPLLALYTDTFPAEGHAALDARRIPKRHIPYLLPS AHKDYSNDTRFYDCWSKLTPFSLVDYDRVVQLDSDMLVLRNMDELMDIELDDPALGGT GPRVFAASHACVCNPLHKPHYPKDWNSSNCAFTSQHSHPDKAQRQGAPPTAGLSIPNG GLQVVNPSMGVYDRILECLRNPRATSNYDFADQSLLADLFPGRWVAIPYTYNALKTLR WKGVHEAIWRDDEIKNIHYILSPKPWDETWRGDAANDEKAASRSVDETHAWWWKITEE RYAEERRLGIPKDRF UREG_06374 MESSPPRTHARLPSVAGVKRSASLLPPFEPSSSPPLPRPIKRLA RDDNNGISKYPTPVPTSSTAVPSSSPPRARRGLKRTHSMASERTPLSAVPCVMLNENG DTLTMGRSSISCNFQISANRLVSRVHVKAAYKPATHPFEQDRIEILCTGWNGIQLHCQ GKTYELTKGKTFTSDIRDADVMIDVHDSRVLLQWPRQTRKGSTSMHSDQTWEESSPIR DPQTRPQQSTTASPLRNRQRLGSPVSPSPAVQALGASMAPLTPSRSLPSEVVVYEDEA SPTGRRHSTDNSMSQKTQLATQPLGHSQQNSLTSSLSKSEELSEHDEENDPIIFSFGP FGANILPRLASFHTSDSPTQALPEKPKSPRQAAPHVLQKDSEYESVKEGIQNHIVNQL AFSRLSSTPFSTIVKNLPSELVQKLPTDRFRVPTSEIMSIIENTQCIGKVSREGKDAA GKALESEYYYTPDMDLDENRRETVVNGLRKPGLRNCRKQHKQYFWRRPKTP UREG_06375 MSTYTVVPGDTMWKIANDHGMTLDALITLNPQIPNASMIEVGQV VNISQQPPEPVAAKALEPVAAAAAVPNTSAALNIPAPSNPTGFKTVAYFTNWGIYGRN YQPNDIPASHVTHILYSFANIRGDSGEVYADLEKHYPSDSWDDKGNNAYGCVKQLFLL KKKNRHLKVLLSIGGWTYSTNFRAIATPEGRQTFARSAVKLLADCGFDGIDIDWEYPK DDSEAQHYVSLLYETRIALDQYASQCAPGQHLPLTIAAPCGPNHYSKLRIAEMDRYLD FWNLMCYDFAGSWDSTAGHMANVFPSPSSPDSTPFNADAAVSAYISAGVHPSKLIFGL PLYGRAFEHTQGPGSSFQGVGEGSWENGVWDYKALPQPESQEQNDTQLLASWSYSPSG QKMISYDTPFMAQRKAEYIRNRGLGGAMWWELSGDLPVSNERSLINVTVAALGGTAGL DGSQNVLDFPASVYDNVRNKFE UREG_06376 MCAFSLGTETDGSIIYPADRNAVVGIKSTVGSVSCDGLIPEASS LDAVGTIGRTVEDAPLALDAIKGNLQQGEDLRAVIEMIKSAGAEIHEATDFPSAKEII SPTGWNCTLREHAPEDNPDLSEFTVVKTEFYNDIRTYLSNLAANPNEIKSLEDIVAYN KKNADKEGGFPGKHPCWPMGQDNFEKSVKSKGAMDDTYHRALEYIRKKSREEGIDAAL SWKGSKLDGLLVPVQAERGVASQVAAKAGMEFPSPLVVNQVPETLR UREG_06377 MASNDPSRKHLFFLLAICPFLGIGAIMVLVLKCAAVHPRKEFMS QNQNQNQNQNRTDIYTGVFYKTDISVPTFPVHLDDGATGPTIATGALSLVLSLAAGLF ASVSVYKGPLWISPRTRLFVLFTLLAYYLLIAATIIHTFLIHHLSAHFDPSHSINPYD RGTFDPETWTCETYTYQYAMGQDINFLHRQCTFEMASRWTSLSLLLVSIPFGATVVWA MVAESAILRAEAIAKTEAIAKARRKASWPVDAVSPRFDTKSVFDVRSGYDCPSMYSR UREG_06378 MAPNNYSQTSVGNKEYTKAAIVVIGAGISDSLKGSAPPSTSSRI ISIISSSLRKVLGSAEHGEIINTRAVAAMNYLRMVAAKYNLYQYIRFSSEVQESRWDD VKNEWCTKVKVIGSKDVEFGEEYCITSDFLVSGVGQLNYPKYPSIPGIDSFKGKMMHS ARWDWTYDYKGKRIGIIGNGATAAQIIPEVAGDASHLTIFQRTANWVVPRMDLAVWKP FRTIFRYCPPVQWRLRASIMDFREAVHAVMRDPKSSTADFMRKASLHMMHKALPDNPN LWEKLTPDYPPGCKRLILSDDYFPTLARDNVSLETGHIDRISENGIIVDGVEQELDLI ILATGFRTVEFMHPIKIYGRNGKPLSEIWNGGARALYGVAVEDLPNFGMLYGPNTNLG HNSIILMIEAQSRYMLAMIKEVLRARDRGQTLAIAPKPGKVEEFNSNLQETLSSTAFA SPNCQSWYKTAEGLITNNWSGTVVDYQKLLSKLDWNDFDLEGSGAERIRSTRVANLGR VREESLLGLKGLTVVSALALAGTLAYKAHHFLPRWR UREG_06379 MAPQCGGPSVPGPVPPNLQPQYANGRHLANQATPIIRPIGENMT ASAAQQPVPMPAQVPEARMSQMPKSVQREERVGRDLTDALVDIMHRLERLEVDELEFR LGLRGPDPQLDLIWERLGILTSAVTSILRWSRDFCPAPVGPGVQQIWALGNGSENCCV EDYRGYIG UREG_06380 MSRHALVLGASGISGWACTNQLLHDYPRPGIWKRITGLTRRPMD EEERSYWPKHDRLKLVSGFDVHNDSEEVLEQKFKEKIPDVNTVTHVYYLVHDPPPTPG DKDPHAIGVGALRKTVTVLNNLAPNLEFIHVQYGSFIYGTCFPVDFHYPRPLSESLPS LPSPYGGFFSFSKLTDFMENFSSDKPWSWCFVPRINMYNAVYPIATYLSLYAYVNGKG AECPFPGSFGAWKALTNDGGADMIAKAAIYLSLLADPAIKGQGFNVASSDTPWNWEAK WPAICSWFGLVGMPPIDKYKDQTRTPGPEKYISAHKDQYNLMVAEYELKGWPVVSPTM DPSVENWALTKLNADANINLQKLRSVGFTEEEDPKISWYTALDRMRKAKVIP UREG_06381 MSTKPVTKPKEHKSSEIDASPSSEHAKNRDWKPPSYGRSQSWNE QDLRHQMQQRFTGMEKGREAGFTEMNGHGKKG UREG_06382 MDRGRKHILPVNKSLDSALKKNTAFIKRLRTGITASAQPTFLTE IRTLSLHKYLSEIISALYEGTCKLKSPGEIAAGVEIASALHQRFGPNEFTKQFGWLLG RGMSTPDKAQLKAWSADVREREEKERLVRQRVLLRVVTELWLVGVLRTLDDVERPEDL GAKGKDGAPTAGSKVSDGQLKGRQHQVPPKPGQEADPFPLEVIKDLLGYDREHQNLPL AVLFAKNYYWDIMGIKRVDGSRKSVDESGSKEVHETSGDESTTNIDSADDPPLISDEK LQTRFRNIMGKYLEDVKKHVIRDHKALAMLSRRNAEAYVKSGEILEDRQSNFEKQSKA QEKLVTNTQTLCDILGSDMPDLAEKEALDSLSSGTIGLVKTGEYLRGQGEGPGIWEDE EERRFYENLVDLKGKVPAVLLEDGKKKKGEGDDQARNKTDAELANGATSESKAEALAQ TADITTRETDDQSTAIVNKTVGAQVDALLAKLPELQNKDAVDQLALEFCFLNSKASRN RFIKAVQEVPKGRTDLLPLYGRLVATLGQYLPDIPQGLIAYLDEEFRSLQRRKQKEFL GQVRTSNIRYLAELTKFGVVPEHVIFHCFKVSLDDFSRMNIEIIGNLLENCGRYLLRN PETSPRMASFLETLGRKKAAQHLGQQERMVLENAMYYVDPPPRPAIQQKERTPMELFV RKLVYLDMNKRNYPKILKSIRKLHWEEPEVVHILESVFGKPAKVKYGNIHLLALLVSA LYRYHQDFVIDIIDNTLERITLGLEQNDFKFNQERIADVKYLGELYNYKMVDSPVIFD TLYRIVTFGHEGGTPVPGKISPLDLPDDFFRIRLVCTMLETCGVCFDRGNAKKKLDFF LTFFQYYIHTKDPMPMDIEFLVNDTYALTRPQWKFATDLEEAGHLFGEAVAQNYKVQD ANKTAEPEDEGESSSSEDELEDDGEGEEDQSSSEEADAAVDNTDQDAEAESDEEEQII VTRQEEERDPEAEAEFDRAFEKMMAESMESRKFERKTMFDVPLPMRRTTREPTAAEEG ESHPAAPNMMAFSLMTKRGNRQQTRTIDLPSDSSFAIAMRSQQQAEREEQQRIKSLVL NYDLGDDNDINDGSDKRNSSKPEKGSTSRGFRARKLQLSDVDW UREG_06383 MKQNGVESTELPNAIVGDLDSISLDTRKHYEGLNVTVIEDPDQY STDFTKCLRYLKANIQSVLSVSCSTSPRFTGTHQTHSHDGEPCLDVLVLGGLGGRVDQ AFSQIHHLYSASLSPASAADRPTGGLYLISEESITFLLHEGENTILTPGGSLLDAQPR RESAPGSKVHGCSDEETLVSSTVQQCYLSENVGIIPVGGPSVINTKGFEWDVHDWKTE FGGQVSTSNHIRADMVEVITTKPVLFTVELANWLKFAGKRV UREG_06384 MFRSASRAVLRQTQPFRIAHRQTGQRFISTAPPSQKSRSWKSTA VRWGLAVAAVYYYNTSTVFAEQPSQAVALEQPSQKENDDDSSLPTIESVAAAKKRKPA PRALSPSTSQTSDSDHELEESPHSQTPQLTGAIAETEESGPADAQPLQLSASELEEEA GQEGAFNPETGEINWDCPCLGGMAHGPCGEDFKEAFSCFVYSTEEPKGMDCIDKFKNM QDCFRLHPEIYGSELDEDEVDEQLKEHIAAEDAKSQSSSNPTTSDAPVSEHEERKSLE VEDVQQVPIEGKKSEGKPEE UREG_06385 MTAALPYLRALRKSDLLVLAEVSDLKDYDDYKKPELEAALDEHL SANASTLSSEQRLSDYYERLSRPSRSSPIKREPKPELLSGMEDMISPTKRVTRSKRST RSKQEIEATDDSDGASQTSRSPSVPDVAVRTPPRPNPSFLSSLPPSPAVVTEAIEEQT TRVRQSVSDAWVASGLKERAYALRSCLSSVSTIGTLILATELYGLGGEILPFQYLATI PAMPNLHIPAIPVKIPDVFALLTSAFWAPFSLWLATSVIFPSVLAYFFNINLKMTQPS VKPHSYGTRRASAAQAASATAKTDFDPLVFNVAKALVSYLVYGNKFTFWDVYNPISVD RVVRSVPGGLAGLLTGSAVCTLGSLYEAILRK UREG_06386 MAHNAVSSLNSADTVPEHTLCRWQSLFGYSAREAATHIERHRAD LNRPRVSDQHWALVREAKEAEGHDRESYEYFMSISPVKGTQKKAVIPANAQYLCLLEG PLKTNEKVRVAAGLSTLPKVHKGLDEDGKALGFVALDAQATIQLLSAFEGQAFQPSIT CMLPPAAKKLSQTTLYPFLGVDTTLPQYRPQGSGFVRPMQYQYPVVYFFYGTLGEPDR LVRLLGLKTAPKLEEATVAGGKIKTWVGGDKALVDGSFTSRVRGVAYEVVSKEHEDAL RRYETGKYEVVRCNIHTEERGVVRGLTFRFRCSDEAA UREG_06387 MSLVSRVTNLFGATATTTADSSAQTGSSSSTISSSGNALPKYDP SVVSGASRGQYAEAEFEDSRRAKRPRTKERLMDEDQEEREYRPPYLHSMLAGGIGGTS GDMLMHSLDTVKTRQQGDPHIPPKYTSMSSSYATIFRQEGVRRGLYSGVTPALLGSFP GTVIFFGTYEYSKRHMLDAGINPSISYLSGGLIADLAASFVYVPSEVLKTRLQLQGRY NNPFFQSGYNYRSTLDAFRTIIKEEGFFALYSGFKATLFRDLPFSALQFAFYEQEQRL AKDWVGSRDIGLPLEILTATSAGGMAGVITCPLDVVKTRIQTQVSDPLAQHSKPSISE IKAAFQESSKHVHPSSSSSSAAHSQRQSRLISTSSPSTSTVKPGALVLDTSSVVTGLK LIYKTEGILGWFRGVGPRFLWTSVQSGTMLVLYQFLLKRMEHYWGAQELSSASSI UREG_06388 MAPPPPSSLPLGERLKQLAQRLQFGWFIGHLTLVLAVFRYLLSA LTFNSTSGMAQASYRFAFIAAAATYGIVIYKSHIARGDLRGGAPAIIMKLAGDENMLY LLIALVWLYSRQITIAVLPFGIYSFFHVATFTRSHLIPTIQPPTEVNAEGSSQSRQGA KQSPLSESIGHFIKRYYDSSMALVASLELVLLIRLIGTALTFSSGSWVLLVLYFSFFR ARYAGSKYVQGIVAHASQRVEVAISHQNTPPAVRQGWQVFKDFVRRVYEVTDTSRYIG GQTGPGAKKAQ UREG_06389 MCRIISQERYQLCGHTAKCHLKHGLVYCQEPNGVPLDEQALENQ KEMYLTKFCPEDTWKDWTLNVDGYCPSCVRQREKISVAGWRRTYACRHTRVGMMGKAL QGCFSPNWKGLEAAWSDGKENKARGKTLSPFQFGTKRECSGVAGTGIQNPFVSPHEIA EGTAEGNPECTPADVGIQKFNNDRDNSKFSWWKFQQLSLWGEKTRVACPECQRVWVRR QLGIHTPMDIDEDPEKKNGPRYRAKKPQGLEVEIYNDDLTLPRVERVRDK UREG_06390 MRNVVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLG KSTLVNTLFNTSLYPPRERKGPSLDIIPKTVSIQSISADIEENGVRLRLTVVDTPGFG DFVNNDDSWRPIVENIEQRFDAYLDAENKVNRMNIVDNRVHACVYFIQPTGHSLKPLD IEVMRRLHTKVNLIPVIAKADTLTDEEVALFKQRILADIHHHNIQIFEGPRYELDDEE TLAENQEIMSKVPFAVVGANTEVTTSNGHKVRGRRYPWGIIEVDNEEHCDFVKLRQML IRTHMEELKEHTNNVLYENYRSDKLTAMGVTQDPSVFKEVNPAVKQEEERALHEQKLA KMEAEMKMVFQQKVQEKESKLKQSEDELYARHREMKEQLDRQRLELEDKKTRLESGRP LEDKIKRKGFSLRN UREG_06391 MGKQSVAVVGTGMAGLVTAYLLRQDKNNRFDVEIFEADCLALPM QLPKESRLVGGKARHCESLGRYFKRVWMPTYFVEHYLLPGLASISTCTHAEMLNFPAK DVIDYKRLSHNSPHLRVSGGSFDHVILAVPPNAVGNIFAPLASEMAHITTASVESIIH TDLSTIKQQTSNALYQMDLKPNPPNWIHFRSSPDLTEAVHEDPESAVVVTNFPTSPID PAKIITRTYFTRTLRTPRSRDIVNRIFSPGPARMPMPAADEKSLNEASLFRNGDGNLV LGRDGLA UREG_06392 MAATQRAHAAAAGAPAEIPVRAENCLAELSFVFTGVLDALGREE GQALVKRYGGKVTTAPSSKTSYVVLGGDAGPKKLETIRKHGLKTIDEHGLFELIRKMP ANGGDGKAAVQYEAKKKAEQEKIKEMAAEMDREEKKRLVAASAKPTTKSVPTSGNQTP AAANKPAVDDRLWTVKYAPTSLNMICGNKTVVEKLQSWLRNWRSNAKADFKKPGKDGS GIYRAVMIHGPPGIGKTTAAHLVAKLENYDVVETNASDTRSKKLLDEGLRGILDTTSL QGYFSGEGKKVDGGKKNLVLIMDEVDGMSAGDRGGVGALAAVAKKTRIPIIMICNERR LPKMRPFDHVTYELPFRRPTADQMRSRLSTICFREGLKIPPQVLDGLIEVKLDSQNLD FDKGKQMSKAWEKHVNLETMGYCWQDLDYLMLQENYLKTNPVRASAYNGRERKLKLLE LADDAATSISDGDLVDRMIHGSQQQWSLMPTHAIFSFVRPASCMSGNMIDRPGFTSWL GNNSKQGKMTRQIKEIQGHMRLRASGDRHEIRQQYLPALWEQLIHRLEVDGKEAVPEV IDLMDSYFLTREDWDSILELGLGPMCEKGVKIDTQTKATFTRLYNQQSHPLPFIKAST VVAPKRLPTIKPDLEDAIEESEAEEILGEAETKEEDDELDLKKDKYIRPPKKTAARKP ASAKGAAKPKPKKNKRKKDDSDLDISDSEEDVKPTRGRKTKKSRGKT UREG_06393 MPEKSKSRKGRPRMPTGGSTRGTTVVWGDYGLRMKDHDRRISAS QLKIGMETINRRLRGMDFKLYTRVSANIGVYTSGNEQRMGKGKGLYEFVKKGDPPVVG ITKLTDGITLDSLKRARREITPTVITEQPSVSSASVTP UREG_06394 MALLVDRQRPRTLDALTYHHDLSARLKSLAQRGDFPHLLVYGPS GAGKKTRIIATLKELYGPGVEKIKIDARVFQTSSNRKLEFNIVASVYHLEITPSDVGT YDRVVIQELLKEIAQTQQVDLSAKQRFKVVVINEADHLTRDAQAALRRTMEKYSPNMR LILLANSTANIIAPIRSRTLLVRVAAPTEDEICQVLKAAAKKEGWTEAPGLNKRIAKE SGRNLRRALLMLEAVYAQNEKVTNDTVVPPPDWEALISVVAEEIMAERSPARILQIRA RLYDLLTHCIPPTTIIKTLTFQLVSRVDDILKPEVIKWSAFFEHRIKLGSKVIFHLEA FVAKFMRILESYLMGIDL UREG_06395 MAFRMTPSWGRLLSTQRVLPSFRAVHNDFTRTMARKFSNQPPRQ QITPAMRNAFSAKQNTTTLYYTISLIVGTLALAYGSVPMYKMICQQTGWNGQPVSSHL VNGENTASRLIPVTDSRRLRITFNASVSDVLPWKFTPQQREVRVLPGETALAFYTATN KGDNDIIGVATYSVTPAQVSPYFSKIQCFCFEEQRLNAGETVDMPVFFFIDPDFVNDP AMRGIDTITLSYTFFKARYDDNGILTPVPIR UREG_06396 MDRMPRRRMKICDSRVLKPTLRAFALGYLTTTGPRIISFLRILR RKDLSTETKIRYLASILAGSLRWNRFPAFCALLAAGSTLLPLLLNKIVSTAFNLHGCT NQVFPAVKLSRFLRFVAIFTSAWACFRLINSRRRPESKPNSIRNGGFPARKEIEAKTS SLGGSDSLSRATDRSELAGRTLDLTLFAMVRAVDVLACISWSHWKRYRRARKRFTRIE SIMPQLSDTGLFAATAALVMWAWFYLPERLPFSYGRWISEAAQIDHRLIEALRSARRG EWTYGRPKSKLVLEPMCEDYGWPRVWGDTSQTVPIPCELVHMGCGPNCEVHAIWRFAK SFKFVLTTDLPIQLLLRSRSPSLQGYLGAVKASLRSSAFLGLFVSIFYYSVCLARTRL GPKIFARDKVTPMMWDSGLCVAAGCMMCGWSIFVESAKKRQEIALFVAPRAIATFLPR RYERKYLWREQLVFSLSTAVVLSCVQSDPKKIRGVLGRILGQVFGKT UREG_06397 MSEHVHGHGGRSAPGPFGCDHGAGNSGFHFEWPDLPPTFEGSTT SLPSQPLVPQRIHRQTTMPDKAGPPSSDVLAAASMLYQNGMNGNDYSSTFTSQIFTEN GLEDLSSHQAHIKQARYGLGEENRRLRFNSTRRNHTYTDTAFPPKAGPDTESEPAPHK EQIFGPLRWGSDAGFAEQGYQVPADQPTMEERTTEILHNLECFESQSSAPNTRPSSPN PRRRPGRNGSGLGALRSPDSFQQSSPIDRTDPDDETRPSKRRKNKGRLKDEEDDYLQP SLGRPSNSRRDRRWSSNSGAQSRRSKIHVIKPPRENLSEEQKRANHILSEQKRRNLIK QGFDELCGLVPDLRGGGFSKSTMLAQAGDWLQDLLEGNEILKHQLEDLKAQTGG UREG_06398 MEPPAGFPIRSEPSQPVASVSVSSQTRYDAPIQGKVDTTAEEHL NAPPREDFGPSAEEKFGAPAEIQTNMDGSNKGGGGEAQSGQPSEVSYPEGGLSAWLVV IGSCFGTAVALGMMNTVGTFHSYIGEHQLKEYDEGTVGWIFSVFIFLGFFGGIQVGPV FDARGPKMLMLAGSICMCTSMMLLGLCTEYWHFMLCFGVLGGIGASLIFTPALSAVSH FFLEKRGTATGIAAAGGSLGGVIFPLALQKLFPTVGFAWATRIIGFVNIFCCVVAVIL VRSRLPPKPGQTVRPSLRIFRDPSYLLFTVGLYFMEWALFVPITYLTSFALSTGAMTP EFSYQLIAIMNAGSCIGRWAPGYIADKLGRFNSMIAALALCTAVTVTLWLPASILFSN DSSSAAIIKALSIVYALIFGFASGSNISLTPVCVGQLCDTSEYGRYYATCYTIVSFST LTGIPIAGRLVQAAGGRYWGVVIWTGVCYIVSLGCFIWSRACCVGWKLGVKF UREG_06399 MAPKRKLRSSTSSIDSDQVENEPNKRVAMLVPRTRHISERTVKS KWAPLSEPIQDRIKELFQATELPVLTRQKDGKKRVEAQSALSAVRKKYGLLRILLDLL SSLLLSFFYLGLLVRVSGLIAPCSLGKRLPRMPFPPGTKEISFNYEAALNENRLLESQ LATMTSSATLLRREIKREELQLARDTAKLEELEKNAKAAEAQSKKQSKNLDADPDVLS LVKQLRNHLESMQTNAEQVTGIREALTRAQSALSRALLNALPETLDTCFRDAVQGVAQ GLLGRLCLEPVLYIGLGSYSARRIADSWLEERGGIQEILCRIPVQALILGIRRSLDGY ST UREG_06400 MTEAAVTTAGEGRTPSNGASDGMTRSTSTDDNPVATKDMVMKNS QTTSTPADGAAAPASASPKKRRKVNHGELLTVSHLLLRNALEGSAQWNVTYTP UREG_06401 MNRKLSASSLNDQLLGDASISLQSQSGQDIPTGNPAVNQQQCYN DWQFGLQNQFQDMHTFHPSYMFNAPEVTNEYNLLNDFLSTSLLDESAMYQGDDTPGLY SDMALMNSMTNIPGSGPFISQQQPLQQPSMGPPQYLTTSQAAQGNSIQRPNSTVGNDK AKETYYMTAADPSGTDPPEERMNKLLKAKYDAGLLKPFNYVNGYARLNKYMEEHLQPT SRQKILRQLDKFRPKFRERMQSLTDIELVLVEMWFERSLMEYDRVFASMAIPACCWRR TGQIFRGNKEMAQLIDVPIESLRDGKLAIHEIIVEDQLVSYWEKFGAIAFDSSQKAML TSCTLKSPDPKSPKRGIQCCFSFTIRRDPHNI UREG_06402 MDLHRKNRREQLKSIIDFAESLHVETIESNNCGDTAQSKPDALR HYINTALQITQVPDPAVRAELDAVGTRLWNSCTRSMRKEGLNEGIIKWLSRGS UREG_06403 MHSDSEVQKFYLDARAHISDFRNAASVLLDKDLSDDSEELIKKY RTLLAFDFEAAVRLKHWESLCEILYESRQVADDTLYGLFADCILCSDCPTEEIVKIFE IIIQAYHKTKPQNIDKVSRWIRCAFQLSIESSPEAAESVLDQAYILARDGPEYQNQRP DEEIVQGAGSSSTQLAYPNEELEWLATTAFNHAIDLYLASDDTASRRWYGKALDLARL LHDNGGLWQILQEKFGRLSWDD UREG_06404 MANHNSLGLRSKLSVPVASVKPAPTNSPALKAGSKPSPKLSSPY QCSLSLRTVIGTTTSNPHGFSCHEPSRTFALCAGSAAVLAELDEELNISQRFFRARPS ATGINAIPSYYNNTSTPPTTPDTRSKTLQIPSRAANGGSSTNSPNDWGEPSSPRTWSS RERIKAVTSVALSPNGRFLATGYNPRVLIFSTANDFPSDTPLSVLAEHSFGVRSLAFS PNSQYLATLGDINDGFLFIWALNLKTGAARLHSANKCTSFIRDICWLGNNLITVGVRH IKVWRLGDGAPNSPTKAKPNSDSSTLSANLGPIALPGRNCLLGNLRESVFTCISTISD NEAIVCTDTGAICLLDDTTGQQKLHFIKNLNIGVSSIAVDRETAVVWFGCRDGAFQQL STEDLKVSSSGSVPTPSTETPESPKSKNPPTVSMGIVSNHMVTVDSTHAIRVYPLVSP NIAQVTQGVDLLAHKDSILGISAITVPTPHSGAQFFTWSCTGAVSFWDLEGKCHATRR VEIEQLAGNDDEPNELKVLRTTEDMKMFVSGDKTITGEPWGCKHNVRAHAGEITDIAI HSNSELRLIATCGRDRMVQVFQDTEESFDLIQTMDEHVAAVGRLLFVKDGEKLLSCSA DRTVIIRERVVREGDQTTLAAFFLSKVITLKASPISMTIPPDYTDTLIISTMDRQIHR YDIPSCRLLHSFKAADLETNDTVVMSSLTVGSETAESSPKLLVGVSTTDKSIRVYDLS KDALLTREFGHTEGVSDVVLIETHQGDAIKKTLVSTGLDGIIMIWDLTVQLQSLLQDT TNSFVRPEYETPSKELTASKPPLRRILSRSELAGFQKLDSPSPCPRTRDPSPPLTRRR VSRFTLSHPSKHGNGVTTSTIPVPSTRRSPTFYSDSNGRKRGEGSLSPPSPKSLTSRT LNTKNSHTELRRPSMDSRRSKTSGNTSEFGSLNMSTEQVCRTLRAYRKKLHGSSDHLY SANELERELELTVRALSERSKRSQTSEDSTDSDSSKLIPPLPSRPVRLARRVPSTPNL GRSRKREENYEKVHRTNSLDADGEG UREG_06405 MPGITRWPAVLKNEASPIPLRPALILSRAPQNRFYHDRSELHDL HIVRNHGVKFGNVISGRLSDSNYCRYASTNSKPTSPESPDPIAEKKTTKKQPQQQWRV QKDALKKKFAEGWAPPKRLSPDAIEGVRELHRQNPQKFSTPVLAEHFKVSPEAIRRIL KSKWRPSEKEMEKRKIRWEKRKDRIWDHMSELGLRPRRETPDSPLETKTIDKLPGNQG KPF UREG_06406 MLSDIQVFVRWKDQPIFAGENVECTITFKHVIPEPTGDGRGSQK HYRGGSRPVNVIENGARYSPARPNPFSLNNGNRRPASAGQRSRNGVERGHRPSASLSS PHSLSHSFPPTASSNHGNGRPFGHGHKRSVSIISVEQPGFAPESKSFPHPFSPKAGKG HVRSASLQAPMMRNDIYGNGTQSAPRSPLPRLSSADSRSSLSLPFGRPEGDSPRGPGR SPTSVGRRARQSPQKRGGPFPTDFKFPQTPQSLDEHEMGVSALSSSPRIDEETPPSNS FPVSPISNVESKKPLELSGQLTPATKILSNSVVDGSTRSSGEFYSLSNNSTETLESEY ILPMGQSRPPLRHRRHYSNLDSFSGNQTSRSQRLLMGYAQISASFTIDGSLVNPSIFE EVKRSAVMGNQGRKDASSKSEKSRHSFWGNLGLSNIGDSINGLLSGGELNGLREMRGV SSSQSIPLLSTPQSLLFVDLRLDPDEEKSFSFSFTLPKGLPASYKGKSIVFSYNLIIG TQRAANPNDLHRINIPFRVFSGVDGQGVVLGHDLMRPYVLLRDEARVQRVESNSSRPS KEKSISAKQWTSAPEFLSYVDEILGQKSRRESFNSITSPMELQSIPAPLNRLMSCKDS IDLAILRSTQSCTSGQSTNRFEISRNGRRIAVVVFNRPAHRLGETIVATIDFSNSALP CYYLRGSLETYEKVSPTIALRSSASITRATRKTHATCFENTLYSTKVAFMPSIPVSAT PTLITSGVNLEWQLRFEFVTCGLHYEEDVGISGINLLETVERDDRGTVFAALENIFCQ SFEVSIPLTVYGGTMQEPENEELQGYPI UREG_06407 MEYNIESSPSGCDPPPAYSFSEAPQTSPPAYSTSCGQRLDSAGM TASGSLPHQAGADFLPRAEWNTEKGKRGGASYQGEEGAKRDEGRHREPRPPPRLFTRW WLQGRQGTADALREEVRNLQGQNQLLEREKAVLEAEKGALERERDRAEANAREDLEKF HQRLVELAREAGSCSWESSQLSKQLKEREEEIRVLRLRLRRRASF UREG_06408 MLSARVAQASLRASAQQFTRRAAVNGIRTYATPAQDSKPPVALF GIDGTYANALRDAKLTTILNAPTLSQGDKAQIVAELQKVAGGAGKGDVLKNFLNTLAE NNRLGLLQGVCEKFAMLMSAYRGEIELIITSAQKLDQKTLQRLENAVAKSEYSQGKKL KVVSKVNPDVVGGLVVEIGDRTIDLSLSSKIAKLNKALTDAV UREG_06409 MAQALAETLDSVFMLNSEVDTLSHQIHQKKQTITIQNWELEALQ ARIREAEERLKLQESMSPASKSDTRHTSNSPHADSSLFGSASSPTSESYRSEEGYTDA STAPSTTDNEDGLNDRAVDDIDCQTIRDVGRHKGKEPERDNKR UREG_06410 MGDAFAVVLHSLRILSALEELYADLVKGETGGEASAKQSPEQQP LVNGQQDGLGSPPNPKKRKVTPPGDGCSHSTIVSSLVSRLYARYRELVTKEIREEERR YTQLQNELIRVHEEQKAEPPTLPVAAQQAQPQQKPKPAPEDVPQQSTKPAESRVDLQA PREPGSGPGTPRGFTKTLQPKHEANISIPPTLDAGRHAAPQTAPQPATQYRFENKTPQ SMQAHHQPPQWIAANQQPIANIPPTPSTQAQNGGPPIQAHTLAPGFPGTPPGVHIAPT PPGVANARNQPRQQQIGPSVGIRPSPPKPAMQKGIMQPWSIHTPPQRQHVSPYANTPQ PPVPAPNRPTQTPQSSNQADKVPNTTSQLPFTVPTGQLPRQAGVNSGPGPLIETVKSA QNIPSSTPINVNIPSFSQRPARLLQSSRPRTPWKKLDALQIPKHPGSPVRPGPEDISP ISAVGDQVLFAKMNLKAAKSKAKYLVLLLGLMI UREG_06411 MGDVTYGACCIDDYTARALGCDLLVHYAHSCLIPVDVTTIKTLY IFVDISIDTQHLIATLERNFPAGKTIAVVGTIQFNATLHGLRSVLEKAGFRILVPQIM PLSKGEILGCTSPQLPKDEVDILLYLGDGRFHLESAMIHNPSIPAYRYDPYSRTLSRE RYDHEEMQTLRKDAIRSARSAKKWGIILGALGRQGNPNTMALIEKHLNDRGIPFVNML LSEIFPGKLATMPDVECWVQIACPRLSIDWGYAFPRPLLTPYEALVALGVRESWDTAN NGIYPMDFYAKDGLGRTKPDVTIPSAA UREG_06412 MLCSRAVRRLPRRVVSARQTVRCLATEAKLSPDFVRIVEVGPRD GLQNEKKAIPLETKIELIERLAGTGVQTLEAGSFVPAKWVPQMASTSDILKHLLKSPP KTSNAVAFNYLVPNIKGLESLVSTAESEGFSLSTDSSASASSSTSLAAPQHTTEISLF AAATEAFSKANTNCTIAESLSRIGPIVDLAKKKNIRVRGYVSVALGCPYEGPDVDPHK VAEITASLLSMGADEVSVADTTGMGTAPRTQRLLKVLTAAGIAHSDLALHFHDTYGQA LVNTIVGLEHGIRVFDSSVGGLGGCPYSKGATGNVSTEDLVHTLHSLGMQTGIDLEEM SRIGDWISKELGRQNDSRVGKATVSKLKA UREG_06413 MLFAAGVLILHAQWFTARPKGADMFTLSLRALTVKRLSTIPARA FSLTAHPLNQSNPFQTGPSPPRLPKEEQEIFEKLQRQSTGAFSTPRTPPKVNQPGEAA ASGTDQENKLRIDDGGELHPDLRQGVKPEFEGDVNPKTGEVGGPKNEPLRWGAGGDWS YSGRVTDF UREG_06414 MALNLAARRAGRVAFRQRAAVAVESFSQWRYASSASASNLPEDV KKQIYKDASLPNPDPGADSATAAFVNERAPYMVPTYVRPLPVMAKGEGCYLWDLENRR YLDLTAGIAVTSLGHSDPGVTKAINEQASTLLHASNLYHNNWTGGLSKLLVTQTLESG AMRGASQAFISNSGTEANEAAIKFARKVGHSLDPSGAKHEFVSFHNSFHGRTFGALSA TPNPKYQAPFAPMLPGFKYGKYNDIEQLPTLVTEKTCGVIVEPIQGEGGVNTATPEFL TALRARCDEVGAILIFDEIQCGLSRTGSLWAHAHPSLIPANGTEPAHPDILTTAKALG NGFPIGATIISDVVGKHIKTGDHGTTFGGNPLACRVAHHVLTRLTSQELQATVLERSE VFVAGLKALQKKFPGVISEIRGRGLILGVQLAQQYSSRVGDIVTAARQRGLLVITAGE GCLRFVPPLVINEEQIQDGLLILEKAMEEVLKI UREG_06415 MLPLPCAPDSTWAVFWARVRALFHGADPRVCAAFWLFGINGIIA HHRQNTPALFHQLDPQRGCGFLTEADIYRPGLINNVLYVIILSAALDLVGPDVPKGVV LLADVIPSFLTKLCAPYFIHLVPYPARILIFVALSASGMLIVALSPAYNPDDVTQDSI SAKMFGVILASLSSGGGELSFLGLTHFYGHFSLAAWSSGTGAAGLVGAGAYALATTSF GLSVRATLIASACLPGVMVVSFFMMLPLGPLRRFDKTGEEYQRVLRDRDSDSNIDYNT NLDVPGHDTQDAENERLLGSRPNSDAQPVVSDDVRLSWKQFKINLKRARALFFPFMLP LLLVYIAEYTINQGVAPTLLFPLDESPFTRFRSFYPTYNAIYQVGVFISRSSTPFFRV HELYFPSFLQIANLAILTLHALFNFIPNVYLVFIVIFWEGLLGGLVYVNTFAEITDTV PKEDREFSLGATTVSDSGGICIAGFLEFRAIYAARMIGFYRGNKHGPPGARLLSSRLF SRLTPWQTTVMTMLTLYISRNFAKLVGLECPEPLANLYSRSYFRATWITTGLDAGFWT AMNIKRKWLRDLASVVFSIYYLIAAEQADEKVRKVRAVLTVDHLRVSWNKATTPYLSF ISRLMRPRFCTYDPIAIRIPRPRESSYKEPTNAWLYFNGPLSGLRKQDTVVLDIPGGG FVAMSPRTSDDKLLAWAGKTGVPILSLDYKKAPEHPYPYALNECYDVYHTIVTTKGRC IGLSGKTCPNIILTGDSAGGNLAAGLTLMVLQSGSTDSRKWRGEDSLPVPSGLILIYP SLDMNIGSWMTDEQMSLIRDRDMRKTNQNILRRKSEDYYKLTTTPHPSNEGLEDHSVL RDYFSEQHGFRAGDTASTSISAVEKNDLTIDNPIPGNIASQIVAMTDKQPQQIRTRLA VSSMISYFNDRILTPEMMRAMIILYIGPYNRPDFSTDFLLSPLLAPEALLARFPKTYF LTGERDPLVDDTAIFAGRIRQAKLHRFRERQELGLEKSRVEFDEKAHVEVTLIPGISH GFLNFVSVFPEGRKQLFRCTKWIRDIRDNAILERTEGERHGSTSSLQVRKRATSEALK NPSGVQLANPGEVADIVAGEARQHHRGLTAESSADEDGPLEMSIRMTKVNSSSSSSSS SSSSSSSSSRKHDSSSPPTEALDETEAPGAGITRAIKEAKKTRKSNLKLKEGRVLPSK LARREMRISRQTSLTSLPSEEDLLHRRMNGLAGGLMGIGEEARTP UREG_06416 MASSESSTFSESHVPVPESVSFQFPTETVKHRVIAEYQLQRWQA MGILPQGFYPAHSKNLILLCGTCHYGYDSPYPIWVALPDLNPFIAFEKEDYETRTQAA LNGAHQPRALLEPQQNGTLCRPYIFRDYQSRFPSVVDWNSFPKRFMGCPQTMILKALH GCFLPYPPDAIQDAHGHTINGGLPSDVADNLNELIKLWNRPDPKVQKPRHKRKRDSGS EDGEQTDEEDDGKGRLGRRGKEGPTQTGLKQQKSGLRRSVRRTQGSGEPVASQSALVV DQWLQGIQDRKPACAEPTSVPASPPISNHSREQTLPNRDEKERASGPYEHWVLGPEKT AADTMADAARWQYIRELNERDRKARQKAQE UREG_06417 MPPPSPPPHFQGRAAPIQPPHQPTALSSNQTPFAPRNPPPGSSS HRSGSSMPIASMLGSDADRPSREPNTSSLFSRPQIPPMSPAPTNPSTSGKMSPPPSRP RQPSDFPQFGRSHTPDRSIFSRPTSRSYRSDSTSAAPPVTQPPIEDGRLSSLPRPPPA PYGDRQMPQSPRSVYMEPSYPSADRRMSLGTPIQRPSSQPQHLPQPEEPSTRTSLFTQ ISRSTPGFGDKGTDAQRTGTGYSVVESSHAPSRLGGLSSEPHNREPVRREHGQEPKHP QQPHIRYGPHHSDRDERQSRTAWESNLPRLSPEPSRYAPGEPGGNFGFGGLHTYNKPV GSQAGITRTIPGPQLQPRQEAPPSQESSPSTARRFPNPPRMYSPAPTPRPPSFSTPGM EDHHPSRTSVDEPLHHRTILNLNVDNKRGRVSPLPQAVQGAQAQLIGPAGEPGIQSEL GRVFSGIGSGVSVSGSGPPTPLGAAAFKKDITGRTLNPDHNESIGTGAKSSRSNSRRR RKIKDEEAKEEAEAIQRETFLARGGGAARRARHVHHHHHHSHHHHHHRHKPDDDTANL AAPPNGSLPPNAIDRPIPSLTPASHHHHHHHHHHHHVPRSGVPTPYQASGPTKEYSTV VKLDSILKSVEHLPRYHLGSTLYAPRISVPSPSAPPEAAKFGYSTTPVPIPRFDGKEN CTFTIRVPRFRIDGPRREEICARRALWGTGVYTDDSDPVAAAIHSGFIRGEWGEDVDI SMLDLEIKEQHQHAPRTANGDTDSTPKNVASDKTGSESEKQKAARRVPPVPPPDKDLH ITLVILPPLEQYESSVMFGLKSRAWGNNHDGMSFKVEKIEWVDEGASKGQERGGEARR KRLKGMMHSGRICTAGGLKGRGGVELLKKKTRPGSEKVAAVSPGNEESNTAPKVVELA S UREG_06418 MAPRRANSSVPAGYKEDLSKGRMLRFEDSLPQLPVPTLQETGQK YLKSLHPLLSAEEFKRSQKAVEDFVKPGGEGETLQKRLLAKAADPKVQNWLHDWWNHA AYLGYRDPVVPYVSYFYSYRDDRNRRDPAKRAAAITTSVLEFKKQVDDGSLEPEYLRK EPMAMSSYEYMFNCCRVPAEGADYPQKYPAQGNEHIVVMRKGQIFKVQTHLNGQQLNT SELQQQFEKIMKNAERVPAVGALTSENRDVWTAARKNLLAADPANADALKTIESASFL VCLDDSAPVTLEERAHAYWHGDGANRWYDKPLTFIINDNGTAGFLGEHSMMDGTPTHR LNDYVNNLIFNNRLDYSNPSIRSNLAEPQPVNFKLDSAVLEDIATAQKDFATVMSKHE LRVQAFQGYGKALIKKFKCSPDAYVQMLIQLAYFKMYGKNRPTYESASTRKFKQGRTE TTRTVSDESVAFCKAHSDPSVPREEVVRLFRAALSAHTKYIADASIGKGVDRHLFGLK QLIQNGEKVPALYQDPAYGYSSSWYLSTSQLSSEYFNGYGWSQVIDDGFGIAYMINEN SLQFNIVCKKLGAERMSFYLNEAACEMRDVLMPDLVAQPEKAKL UREG_06419 MPPDLNSLPPSRSSSSSPFQTRTMQASLEDVSSQSPPPRSSVSL QAAATINAADLLRQSSNRSPRENRAAERRRSLVAMNLNLNDPTMPGPGELLGDNRNSR RGSVLYSPSSLVGSPSTATGDPHHHHRTPSLGEIHQELEEEQEAQVNRLLLMIRNQQA QIQQMQHRQNSANGTAVEDSTPTSERSVFFPPLPPAASSRDRRPSLQFPPTYSRRRDS DSTAPIPIAPRLSSFQSDYNLSAPDTLGLGNDGSGRQCSRDESAYYQAEAANLTRENQ MLRQRIRELERQISELTASSPYVPSEPSNLTTSASTSTSAESLIVTPSITESEAPSTS QSRSREREKE UREG_06420 MEEVLEWETKYWPGGNWEEQAKEISSAPVCHMDPGDSEHRYGGV VRVEDPKHDVDHFDSEGHF UREG_06421 MDLQQQPPPTGFRTRPKLPPIQTTLNKRASMRPKPPPPEQVTGS TPTERVPLKEPRTLTSKSSLRSFINKTRAMRRNSSKNDNSPSPIAEWRPVTNDSPVSN HQITPTSADRAPKAVKSSSAPAVPSLANSPSLNTTPKLSKSVKERKRRTIPAWEPPPL FKAYPQAIKHATLLAPNIPAETILRIQALARQEDQEASSETDVKETKDQRQARKAADS IHHLEWTRKIYMLVTSGYLLQYNADGNFDRLPELILELGETSVAFASDAVPGKHWVLQ ISQTFDENGTATVDNKKSFLSRLRISDSPKLAKSLLLVLDSAEDLSAWLFTMRREIEG LSGKEYVPETPMIEETPLLHRYQSMASMQRPPQHSFTNRSSLSVSSTTLSPSSQTRLE GLAALQANRRSMARSVETPSPSITATSDSDRIKDNYRLSCVSIGTRTIPSSCGSSPPS SSAGTKHPIPKNSFLSSPESMASVASIESSTRPIPIIRGPAYFRREPILPDKATSNAV VSSQTPKPPLMPAPNFSVPAFSKRFSSTLNLRSAQLSSSAPTSKPTPAVALGCSVYYS SETSIDTIPEDPEPVEPKRERPVIKLNSWSTPQRPSNQPRATPIRARRYSSSGHKIDI HTPISELGPESPIINIKMVQPTQDVPQYRWPLLSNASSNPRPRLPREHSTHSPQRPLS LQVGTPRVSAPEYPPLSSSTQPITIPSEAAVLNLPTAPRHPPPLPPPSQNPRKPNLSP RRSLPQMTFGPPPAPPPDCPLPEIPPVVGPRTTPTWKTIPSVTAMPTQLQIRQARASG SRQISSHVHAKAYRASVPRINAGGLNDIRPSKKQGILQAC UREG_06422 MAVAQPSPQQIAAMQQQMAAEAAKRGMTPEEFANMQRQQLAQEA AKHGLTPEQYLSQLRAQAMQQHRMQQMQQQAEQGHEHHEGCDHDHDHDHSHDHGGHEH HEGCNHDHSHDQPNQRRVPVTSGVEPDPKALAVANFLRSQNLKTRTCILDGRRREMFK GILTRIKVKRAIRALESPAYAKAAAKPKSLLPPVTDRASAENTFKLLPMSLLALRVSK VEQQSAGKQKRVKGQWTVRIEQHQETAPMMHYAWLYEGPQWKQKAMAAGVLVLIMAVV MFPLWPIMLRQGVWYLSIGMMGLLGLFFAMAIFRLILFCVTFFAVPPGLWLYPNLFED VGFFDSFRPVWGWQETKKKKKSKKAADGTSATSEKPSKPQDSTATSTVVQPQANPGGV EKRNLTASVEDAEDE UREG_06423 MQSFAPNNASLQHAPWTTVQLSQRDVSLQIPIDFITQPAVSLSA ACFGKGTYDEEAAKRCISNLLSVGYRRLYVDLYWSVGREKWDLCPATIPADGSGLTGQ ADISTRRSSYGTLLYQLGPYACSANVDLAGLISVISGYFSSTEDTLSAHLTYMIFNLH AASSPGSPGSPAPAPTENQFPSALNSLGATVDRTLGRYIYTPSELDSERKNLNRSWYS VSPSLRPIAEYFSVEGVPDSRHRTTDGWPGEGYLEISKAQRLLLGWGKVDAQMSEYDF DADNQIIFSSSSISSFVTVTKNNDSDIISECLYNPDSTDINRVADWATAEIPIGNSSS QLAFFTNQMVACGISPLVNYTLLNATADQNIEPYRNVSLSSIWSWAVGQPESSVNSAM VEENRCSVMDLSLAGHWRATDCTDRLYAACRVADSPYKWVLSREPEAYVFAKDTCPEN SSFAVPRTTLENTYLYEYLLKQPKDLIDSTSDETEKRNVWLDFNSLDVPNCWVSGGPK AQCPYEVDESAIERRNILVPSIAAIIILIITALTLFVKCNANRRNSRRRRVIEGWEYE GVPS UREG_06424 MYEQLPVPFGLVRYGVAPDHPEVKNCQEKFTEVASSPRFNFIGN VELGAALPLKALKPHYDAILFSYGASKDKELGIRGEKDIRGIYSARAFVGWYNGLPEY RDLKPDLASGEEAVVIGQGNVAMDVARALLTDIDVLKKTDMTEYALEELSRSKIKRVR VVGRRGPMQGAFTIKELRELIQLPSVSFDPIPESLFPPESTIKSLPRAQKRITQLLVK GTATDPSTAKRSWSLDFLLSPHSFHAANDQSKDLSHIKFTRNQLDPSDPFSPSARATP LLGDDGKAIQLDIPASTCFRSIGYQSLPLPGFEDLQILFDHSRGTIPNDGQGRIINSN SSSDDRGSAHSSSPNDFPTHLPGLYCAGWVKRGPTGVIASTMTDAFATADAIAADWTH HVAASSSAPAFLNSNEGGSTGLGWEGVRGEAEKMGLRPTSWEDWEAIDRAEVERGKSR GKVREKFGRAEEMLNVLS UREG_06425 MGGSAPPFLYDPPPRHAPASPERPFNPKLYTQASQSPPKPKPKK NGPLINFNQHPDSFGLIANARGNIKSMSPQTKRRVNYARRFQLALRALTLIGALGLLF CVICIKGTTVTLAWMIRVPPCVSIVHTLYGIYHLFRSANARTPASSASYMLFASVLDA GLIPLYVFTAIVSHVEHKSKSYNWDTLFGTTEAKEIIVHATFLACSTIGGLHLISLAL DLYLAVIFRKISKLPPDMNPLEDNLTSRSHKRSKSEIAEKHLSHSTTGSAGDNRQSLA QEPLIPTRSVPFMHTRTDSASTLSGNDIRGQDSPRTSYYSAQSYRYSRSDLPSQQMLY YEQLNKSKADIARTPAQRRGTTPSRPQSVFVDAPQELNQSHLDVADTKTRDPSGVSSL SDENWCMHSSSPPSPETYPVDAAVPPLSVANRAATPMIPDIDDDKWVDSGMPDIIQHR SDTVVRRRGDYAALDDSDENENLYDKEYIENLYGFEHDLGDQPLGLKEEEYNDPRRNL PLHPLEMNPPTPRPTEGSVTPRTGSLRRIALADIPNPPSDSGRSTPVKNSKLRSYGEL EQTKASPAQRAGSLRGKPALPNSTEKKKTRWRRKTGNYEVVDINDNDSDTGNDNLADQ ENGDRKGRVVSNTGIDLGSGATGYGSYIAGLGVGRRREVSGKVAEEGRGGSLGPEDDS PGTKGKRLSKSGDYRAAGWARFKGL UREG_06426 MQPDVAPKEETKNGLFEDGLAKSSGSRISTGSIICAIRNNQSYD SIRHLLAANPRTEVAEILMANQSAYSTIFASIYANRPELIKLLVEYGANPDATDHNGV PLLAYAIFNSSISATEIVRALLTLGANPYVIPSRMWEITPNQLYEKLHNGSDSQISWC DQKHQALLMNSLNISMKYYLLQASENIGSHQGTEAPAPIIGQNYAIKSVKEYLVAQHV CRSDSLPILAFVGPPGHGKRALARSLGNFHELSPFNTSPSSVNRTAPEDRNHPEVSNS ETGGLDIFFIDGEKVDHAWLKALLNTVDNCAYRHQETKHLTRLNAVYILSVTQSEESL SRTYTNLYDINRYSDFKEVQFLDTKVKSQLKDELVQVYGTSIASRIQHIVPFVPITRL ESSVLAHQFLTQAIQGLYDDYTRRCTAPVKYARRIELRADDDAFEYLGRFCAPGGGVR YIKQEVNTKVVLPMVKQYQTIIRKDVAGFKGLVTLKMIRGQDRPDDFTLFSNSGRTMI SVEVE UREG_06427 MAFEKAAAVYTNNLNEPDDAANALTEAFKVYRKTDPEDAARVLQ SAISHYITKGNFRRAATHQQNLAEVYEVEIGDETRAMEAYEKAAEWFEGDNAEALANK HFLKVADLAALKADYYKAIENFEKVAKSSINNHLMKWSVKEYFLKAGMCHLASKDLVA TNRALQSYVDLDNTFLSTREYQLLNDLAQAVEQGDQEAFSDKLFQYDQLSKLDKWKTA IFLRIKGNIEDTGEDFS UREG_06428 MPSAIALRCARAKLFQHNCRTRQTLLRLEPQNFIPAATIPLRQS STSSSSDEQDISSLLATPTWSIKTLLPDITDPSTTQPISRAQLLHLLRLSALPPPSSA QEESSMLKTLSAQIHFVKQIQQVDTTDVTPLRSLRDETDRAYQERTINISTLKSSLER EEFVGRNRRIFRTRAEKEKRPDGESWEEDGALLKSGEVVMGKYFVVRSSEANVD UREG_06429 MQHHLYTLLYGGIYRAPISKDIKYALDVGCGTGIWAIEFADKFP NTEIIATDLSAIQPGFVPENLQFEVDDAEDDWQFSHLFDYIHIGTLAGSIADWPRLLR QAYDNLVPGGWLEVIDFDAWASTDDDSLPPDSAYAQFQALLVDASKQFGKEINIAPKL LELVEDAGFVNVVDERRRAPLSPWPSDPKQKNLGEIMRMVMADSLEPYCLALFTRILN WNDTMIQAQLAGVRQDLRNMNYHIHTTS UREG_06430 MATQPPQPSTAVAKGQGQAERLEDDLNKVLTAAQRRELIDLVNA TMDVMQETLCHPSECLISTTPGPPLHSNSQQQAPSSPQKRPSRQASRVNSSANMTQVK IELEAFDYFKAWKRSVLVRLREVIEVSGAPGLQRRNAQADSSSLDVNGSLAISTNPDA LPVTLNQLPMETRLLVTNSLLLLLLSLKSYSAHSRVLLLKISGHLGISPENVAENEIK VAQGLLQAAKEMSAREEAKSRADQSKASRRWKIGLASVAGAVLVGVTGGLAAPLVAGG LGMIMGTLGLGGTVVAGYLGAVAGSGVIIGSIFGAFGAKMTGRMMEQYAREVKDFAFL PLRARQVTSRDEAIPESADNRLRVTIGISGWLTEAYDLISPWRVLGDDSDVFALRWEL EALLMLGNATETLVRRFAFTIVARQLLGKTLLAPFSGPLMIPIIAGKLSHLIDNPFCV AKTRAVKAGEILADALINKAQGQRPVTLIGYSMGARVIYTCLLSLAKRRAFGLIESAI LIGSPAPAEAGQWRLIRTVVSGRLLNVYSEKDFMLKFLYRAQSMQMNVAGIQPIEGVP GVENFDASEIVSGHLRYSLLVGIILKKIGFDHLNQDELRVQADRFYALAEADARRFEQ GESQGQLEQEQLQPHQQNIIDSDGQDQIERGTVEETISDKDIQDLEEEIARRTEESLA EIHHTIQLEDREA UREG_06431 MWPPMLHTLGYAAWGCFLVGTAISVWKTLSQLPGRDAEPNCLIH GDPDMYGLGVRLGLYMQLLTTTTIDVFAKPEDAADLAPTNLWYLLAVFLAIQSRGFLL PGANPVNTFIIISLGNGITLTVLTGTLRLNPKGIKESCLAATSRFIIWALWKTSSVRF WWIALNHGHSSNCPEFGWFFSPVHLHGWFKVFHQALNTAEWVLWLVFFFPYLVGLFFI TITIAKLRNPPANRSRILWSLFFTPVEEVHRIIFGNVKVRCFLRIHLLITVTNKVAHP GQNKPRPRRPSRGTTICLETDTSILVVTVLTTELSVQINGVQAVNKVDSSGQLIAFTV GVGSFVNAVLKIWSPRKHFRP UREG_06432 MAYFPHNLHRGGYPYCHPERPYPQVDEIPWGFYFDIPLQLPSQP VPSFNADHPVFQIWSPPAMYKYPSSNEYQNAVVPHSEPDPYSFPQASSEARYGYSSQN AYSRNTAVSQPIERHPSRPSHFPNGISSPSPSPPPPPRHAPGWDNGYGFEFGIDESVS GYTGSYPQDCDGVIAPCFKVGSADCGWYRFELQYFRDGSQLRVTRVDDEDERENRKYK QFPPFVQKWLQGTTRFSFAEIKELAHDIIEKRKRYILGVSDCHNFAIDLVHKIFNSYK LVPAAMKLGTSAVRQLVRPVCLAITKALEWTGVIREPLEKAFRRLYPHDRVEDHNVNI QGQSHHGQDYNDQDYHDRDYHDRDYHDRDYHDRDYHDKHHDDQNYGDQYSRDWNSLCQ KSSARGYDNRNYRDEYHSDRNYHSQSHSTHSYDSQNYRGQYSGDHNYHSGSYPAHNCD NQDYHDQYSRDQYHYDRDRYDRNSCGHYS UREG_06433 MAPMAVATEHHHRSNTKHANKSFKSKHSTKGALKDLQKGKVELG EKGTRKTAHQQMMSKLERRNQARQKQRFKRQVKAEATSIFSGQNGAPRHVAVVPLSDG TATDEAILSLSRSVDIPDASVHSGLCRVRIDRFKQSVLYMPTKKDLINTLDVCRLADF VVFVLPACEALDEDAQLLFRSVESQGISNVIAVVQGLDNINSPKKRQQIVSSLKTTVN RFFPTIEKVHSLDSRQECSNVIRSICTATPKGIHWRDDRSWMLIEDIQWPETSDEIGQ VCVSGIVRGKGLKADRLVHIPNWGDYQVSSIAAAPLESRPKKDDSMNVDGDAEIRILD EPTADADDLAVVAPEDVFMYDENVSMADTERKGVLLDDHHYFSDEEDDEVSRRPKRLP RGTSSYQAAWYLDDMSDSGSDIVDNMYDDEEMELDDEIGPEGGVSMQDNQDAATEVGP SEYPQSEMFLDPSPEEEAQQIEEYRASRKMEETDDLEFPDEIELHPNTLARERLARYR GLKNLKTSAWETEEDRAHEPENWRRLLQIVDYKGSRNQCIREALAGGVTPGTRVKVLL RDVPLNLKQSCPEPLALFSLLRHEHKHGVVNLNMTLNSNVEEPLKSKEEIIIQFGPRR LVIKPLFSAAGTTPNNVHKFDRYLHPGRNAVATYIGPISWGSIPVLVFKTMSIADPEV LDGNDTSATFKKLQLIGTGTTMAPDHQRVVAKRVILTGHPFKIHRKVVTVRYMFFNAE DVQWFKALQLWTKRGRSGYIKESLGTHGYFKATFDAKINPQDAIGISLYKRVFPREAR EWTGENL UREG_06434 MEVETSRASNSTAHHRDPLKAEARDSKLQLAEAQKQYGRGKKVN IKSIKDKKLRSQLKGLENKYKDATLKAKDAEILLEHEGGFLEPEGELERTYKVRQDEI RENVGIETAKKGFDLKLEELGPYRADYTRNGKMLLVAGRKGHVATMNWRDGKLGCEMQ LGETVRDAKWLHNELFFAVAQKRYVYIYDHNGVELHCLDKHVEATHLEFLPYHFLLAS AATSGYLKYTDTSTGQLVAELPTRQGSPTSLCQNPYNAILHVGHQNGTVTLWSPNTTT PLVKALTHRGPVRSLAVDRQGRYMVSTGQDMRMAIWDIRMFKEVHNYSVLQPGSTVSI SDRGLTAVGWGTKVSVWKGLFDAAAASEQKVQSPYMAWGGDGQRIENVRWCPYEDILG VAHDKGFSSLIVPGAGEPNFDASEANPYENVKQRQETEVKALLNKLQPEMISINPDFV GALDLVSDKIKREERDLDKKNEDPIEKLKNRGRGRNSALRRYLRKRGSKNVIDEKRVK AETLRKEQNSRVKERMRRQREELGPALARFVKK UREG_06435 MASPQQPPHSHSHSHSHAVPHGHTHEILDGPGSYLNREMPIIEG RDWNDRAFTIGIGGPVGSGKTALMLQLCLALRETYNIAAVTNDIFTREDAEFLTRNKA LPPERIRAIETGGCPHAAVREDISANLLALQQLQRRFQTDLLLIESGGDNLAANYSRE LADYIIYVIDVAGGDKIPRKGGPGITGSDLLVVNKIDLAEAVGADLGVMERDAARMRE GGPTIFAEVKNGKGVEHIVNLIVSGWKSTGAYDVSIARWKNGAPRGSGPVEK UREG_06436 MQCARLSLRQAHKSRFQCRPCPKSIRAFQTSRSLRTSHGDPQGF PNPSAGDNVPKNDIEPNVGPQTKHDVAPQEAPKLGANPQIRSILKGDGSPYGSAVRRS RRHKKSIGRSTPLGVLPTWFRERNIVLSDKSTEVLQEPPHTVGIGQYDQDMGEPVEAV THGGDGGDGGEGKGPADSKPEYRYMISEEVWNEIRAAIQAGMTVPAPRYADDPFMRKV HLVLQYPGDGGISFLDAVVRKIASSFNTHVITLNAQDIAELYAEQEQEEDSPSSLMTS LAYDVYHPSRKVSQREVDEEIEEFEEDEAEDEDESGDRRRSATIPISRISDPTALGSS ILQGLFGGRGSIGVAKVVVPHGREGNEEVDPQSLRVVKKLLSIPKAKPENSSPGEEPP QEKETENSNISDKYEDLIIQVQDYKDLLGTPGGSAFLSSLHKAVQARRRKGQKIVVIG TVSAQEGDKSPAKTFPKLIPRDFDRYSTTIFVTPAMDSSTAERIFAEDAKQRTLGINI RHLQIMLKMRMAQPIASQSDLFNGRTWTLESSMVRACGLDAGYWPFDLIHRIATLALG CVGKNEVLELRHIQQAIQVFEKSDQVKCEWMGDKPGKVKPGKQNQDKPRLNKSKLKCN SHEEKLLNGVVDAEGIRTTFADVHVPKETVEALKTLTSLSLVRPDAFTYGVLSTDKIP GLLLYGPPGTGKTLLAKAVARESGATVLEVSGSEVYDMYVGEGEKNVKAIFTLAKKLS PCVVFIDEADAIFCSRTGASSRTSHRELINQFLREWDGMSETSAFIMVATNRPFDLDD AVLRRLPRRLLVDLPTEQDRHEILKIHLKGETLDETIDLAEISRRTPFYSGSDLKNMS VAAALACVREEYDAATKHEGDEPYQYPERRILRPHHFDRAMEEISASISEDMSSLTAI RKFDEKYGDRKGRRKKAAGWGFIPPGLPEPTSETGRVRH UREG_06437 MDRPRHIPEPSSHPHPHHPSLFASLHRRTRTADPTAAEASREAR EAAGREARRYLLQVVRNDWSYEPATVSSAPCSSSASSEVESTPPPSVPKDRDVLEWRL RDEDSSNSDRESAHRFKGNVNDPYRFESPDAVQSAMLERRRKRRKLIEEEMEWNHGLR LWMQRRDAWTGARVPAKKAAEAEPEQRASSDESAGGSYNDNGDLGAVEEPLSRLLSAD SLPGPAADAGSSNQASVPPISDHTTSKSTIAPQEGPSTVIDEPLVPIVPPILPISNPF RSYTVPANYLAIYNKLVVEGNTPAVPVNLLHMTRSLVVGWKREGQWPPKPTITKDVPV IKKRRPQPHVESSGRVAGTTSAPSAEGPTSRRRSIGSNVTGAVKKVLGFASIPGHRFH VRGQSQSSASSPMADPVGDKSKNAARKDS UREG_06438 MEQWRERGYVPDSDEEDEWETQEPNELVQTETEPKARDTYLTAS CEKPTSDDISENRGYDNQIESQVDALSRPPGGQSVLQHSTLEELDELDDDPLQGDADL FARPTKTVKDSHSSRPQGRVHQDPPSSPDELQFDEHLPAQIPTTPIVKAVASFNEDLG VASDNSSFLSSPPSTIVSPWSTKSAEVECSRTHLDRDGVTTREEDITLEEIPDNPPLN NFLQNEANACEDVIPLDELPEDVSNPFVQHGRSLRQRNAIQLHPYLLENAKYQRLVKA RGLQPVRVNIVDHAPRKEAAESQSQPYQDENVPPSSSPDESFQLPPSSPGLLEQPNSR PTPSPLTTCRQGKTVNKYNDEKWISKTVQNSTARPVKRRKISHDHENDKSTTQDVPQE SVVVLVNNTTTPAQSTSIFDIPLSPPPSGSLSSAGSIQEIQDVNEFRFPHGMMPIPMA TPATEARTNTNAHRALFAELLAESESESESDNVAKPTQRVDSDSNSDSSKASSTELRR MRRKIKGVLPASWLRLDLQEHERRAKDIAEKERLKSVHLQRDNGKGVARKISKTDRDR TRTRRQRPEAFLISDDSCSDIQTAEAASISHKLPSVSRETNPSEGFLMGLGEEDDIPE DNRIDYMYPSVPRRSISSGKRRPLGSTNIRKGNRGSTHLVPQGPKSDLKRQSRITDAV TRQSRTRMTRLRIPRLGILDAPDLGSISQKDQPQFLRIAARCARKRLDHARQSPTRKF FRLGNRDDTQDVNNTLREWKKGAITRRAPVARRENANSCPSNAGISDDCRRTPTLENN NDINTLSDTSMGNVSRDDTGSSIDRRPEGQTQRNIVDLTAPQNEAGLTSTRTPQKAQV KQSRLARHGFVVSSFRRNVPRPVGLDNSANLSFDTERPQSHFQKTLFALNEHYRRSER SDRLPLTRFLSHSARTTPKVIERIHPPITTTSDVQILPSKSRLPIKRPRKRKPCRLDA EAVEYRQPLEHDLNIIQAGSVKSVEITGSRPLKGLQTTVQIYTIDFDTFPLQTGTYFH ESTFVGSGEFHRSLNILSRDFDVYCGQSAIIDGDHVYRWGSWNDMLSSQLGGVLEKIV AVSWTPGASYRDVWVDSSSTLQLYRSVIQFISNRLSFGDPVDRKLFVERCLPILSGFL DWSRTYIPDAQNLEDLENYTRLEMLSLVLANQIQQLASHELVDSTKRTEANSLVRDFG IQIFQTILSPPGIACIRHFLEDNKRLHKREAGIRQEYPLVEAYLVARYILSSQNRSAR PTTDELISKALSSSIKIESLDHVVDMERLWHSLFSILPLDEFDDLGIFHPGLRFKDCE GHWPIVTQLISKVFGFYEADREAQKPAFNKYVRALLHRCFHLIKGWGWKHCKPLLEML FDFFARNLFHNLTNEDENGSPSFLDDLDKNPALDVESRDTCFHIFLKIVGTGLSCITS LQDYKKTRNIAWRLLPNHGRLYPKEQPLRQDDLDALRNHHDLLCTLYWAVPDGCRPQL KTLRYLVPPATSHKEACIINIHAWSRLVRFKLSTSEDSDLVDFAIWHSDFTTELLKQH SLARTELEHAAISASTFARQSVESAIGKNQRQIESLLNSALTGMKQALNTAKSMSRTM ILMERLPVEKLLGLFNPSIKRLNGVVCQTLELLYTYSTMEMVQTQKPQASIEPSEDSQ EYGDWVGFEEVYDQQMDITDPAILYIDSSVRPALFQFISRVFGEDLAPDDTVLVKAID TWIGVSATLVKHRLRQWTSYLSLYDGDSWASLRTTDQTRRFMPYFLAKLVSTDPGTLA DCRSRILNYWVESLAERGSMLKFQHELTTAILNNCREDPLFDNLPFSVDRMSGVYEIF LEDFCQRRVSLLSCLLSNMREHLARLEERNHSDMRITTEYCEMIKTLMATMRRNYEEL GSPNTPVHGSYVDFVHRAVGFLQQHSQNICPIDEFFMDPRSFPLPASDPTYVVAKMKS YGVRLSVAKIAKQLVMFVQNVSERAAVDGHQAYLVDQFYKAMDHTYCEKDYRQPYLRA FLLNCVLPAYVENAFSNPVGWILVRPLLQSTTRIFADLLLDVDTANSDCASLVMDSIL VYFDSVERALQLLTDHPGLLEEASVLLTLTSFLETILASLPLVDYLYQISDQAARFIK MILHKGSWSRRATSISTPVLLIAGTGCFTAYTITEKRP UREG_06439 MPSGRTLRSRAALTKSSSNGPSAGSMARPQRTAGGLPSSPAVTV SRSPSSPPEETKRSIRLTVKMPSSKLREVTSADLRPHNIFTEPVVITGPRSSRSKKKI VEVDSDDDEEEEEEEEEDEEEEEDEDEEEDEEAEDDDIESALEEEEGIDEMAASDDPD ADAEADEDVDAEGEPDPDIDADGDIDMDDAPPVSQHPRPRPTVTVTPAAAAKVRNVEA RNVNLDEEEDDDDEELSELESEAGAEKGDDTVLQEEVEEEEAEEEEEDIEEDDEDETA TPMEASRASSPGYTNRMTKRQRERLQFGDFLQLPMEPQVKKHLTAEEHAMRRAEMARR RKNLSEKRNEEEKMDTINKLLKKQAPKRRGKISAAETAGEASPHVQESEEIFRPEPTM MRYILNKDGCRLGIPEEWSGTPAGRIFESSTMNSNGHSHHRLVEEI UREG_06440 MANSKVPSIPSWQLAANRNAEETQASSSVEKQSSPSTDKTLLEQ ASQFLQDESIKDAPIDKKIAFLESKGLENDDIQKLLGTSRNTDATSSKEDESSSSSSN QEASSSPSEIHSKGASNALSSTQQQPSVPARDIPPIITYPEFLTNAPKPPPLVNLRTL LYTLYGAAGLASTMYGASEFLIKPMIASLTDARQELAQTAQRNLETLNEKLEKSVSTI PLAAMKSGKPASSQEKEEGDTDSITSDPTELFHRDIATQTSPELENPPNLPSTSAESI IISESQKALDSHVSRLKSISSQLNDILYEEKQADVSHVDARDRIADLQGYLDSLAYSS PSYLSSPLYGAYGDDSKDGKSGISNGEADAIAAFRAEVRSAKGVLLSARNFPAGVRGG VRMGTRSTTS UREG_06441 MVRLREIPRTATFTWSPGSAAPFIATGTRAGAVDADFSNETFLE LWNLDLDNDKLGAELEPAAKISTDSGDPLISSASKHSGSIKTLQFNPRHSNLLATGGG KGELFISDLNNVDQPFRLGSAAARVDDIECLDWNKKVPHILVTGSSAGFVTVWDVKTK KESLTLNNLGRKAVSAVAWDPEKPTKLITSIPLETDPLILVWDLRNSNAPERVLRGHE SGVLSLSWCTQDPDLLLSCGKDNRTICWNPQTGHSYGEFPVVTNWTFQTRWNPHNPNM FATASFDGKIAIQTIQNTRHDAPQAGKNQEQAVNDEDFFAKAQTQPQISTFSLPKAPK WLERPVTASFGFGGRVVSVEEEKADWKVIETLLSDNPRKQLINYLGFSNEVDEASDSL SKLGLGKTEINGDDQLSPKSESARKHKRITSIFDGTFEGESFLNEIASSKGAKTNNPF QIYTGSESEADRAITRALLLGQFEKALEVCLQEDRMSDAFMVAVCGGKNCIEKVQEAY FSKQSDGPSYTLLTGKKPWLRFARSRMTTNFLISVRH UREG_06442 MSDASRLKNTIYVGGLDQAVTAQTLAEAFIPFGEIADITLPKPE LPSSTDLHRGFGYVEFEMAQDAKEAIDNMDQSELYGRIIKVAAAKPQKDSNEGLGSKT AIWEQEGYLAKHAVSEEDRQDAGQAGSTANSRPDPMQGLEGLDVAGPNPA UREG_06443 MYEIPDADPEEPVETKPFKFVTGFDARFPNQNQTKHCWQNYVDY HKCIIAKGEEFKPCRQFFLAYRSLCPKSWTDRWDDQREAGNFPARLDR UREG_06444 MGSSDKSKAGQPGALPSTSLKIHYPFWFGGSASCFAAGVTHPLD LVKVFLRGFPSFPPATALTARHRFGCKPVDRLSASLLRQITYSTTRFGIYEELKSRAA KSSSSSPSLATLIGMASFSGFVGGLVGNPADVLNVRMQRDAALPLEKRRNYRHAFHGM SQMIRTEGVASLFRGVWPNSLRAVCMTAAQLATYDEFKQICMEHLGMDDNVGTHLTAS VMAGFVATTLCSPIDVIKTRIMGASHAETRGHTIIGLLREVFHKEGFSWMFRGWTPSF MRLGPHTIATFLFLEQHKKIYRALKQPDGDPKVSL UREG_06445 MHKNEKARPEEKGYTIVADVYRRDAIDRSHYPVFHQMECARLWK RPVTASDILKDLDKLPRHDVPVEDPNPAFHPERNPLQDKHHTPEVAEAIAAHLKRSLE LLRYSGKGNGSKFLAPGVVKQELLVSSDVPDHIGWAFGLGLERIAMLLFNIPDIRLFW SEDPRFLSQFEAGKISRFAPFSKHPACYKDVAFWLRSTSTPAGGAAAFHENDIMEIVR DVAGNLVEDVGLVDEFMHPKTGRKSLCYRINYRSLEKTLTNKQVNELHENVKEKLVGR FGVELR UREG_06446 MFRRALIRQPQAARSAFTFRTISTSPLSRSQPFLQTSAQPVKAS PFRLYQRFNSTESSANKAQEQAAASEGNNSEQKPAGSQVADAEALQKEIEKQEKEIVE LKDKYLRSVADFRNLQERTRRDVDSARSFAIQKFGADLIESIDNFERALEAVPSDKLR NGENKDLAELYDGLKMTEKVIMNTLKTHRLERFDPSELVDGKPQKFDPNRHEATFMAP APGKEDGEILHVQTKGFILNGRILRV UREG_06447 MIAVEPAPPEAEIFAGLNNIIARSTSPKKSYESLKKQESSAPKA QTQPAKQKYQLWPNIKKTVSSSGRSTPDGTKTMRNPFKDGGLSRCHKISVPDLGQLST IQERSLDSPTIPGQYPIHERSNSAPGACAGNSTARTPVLGPVGESCTKAAEQCGDNSS SPRRTDNKSKACTVQQNETHQPSNSSNLRQATFDEPPEVPPKSPRLALRIPNILGFSS SGEASSASTIGATLSSQSSKSLEESPWRSSPNLLGQTLPCRTSATPTNLTNGSTAPTS SIRRGPSCHKRTRTEEPNQSAPFEYGSDNPNNHRRGLSHDSVLQWGSHPPKKNAAVEV RVDDTEMAKKLPGVPTPNFPLGIPVHKASRVLPRAEIETLQRQAHNQAQKFEVLNRL UREG_06448 MAQLAFVGTYGGSITTIQFNNETGALTQLFTNNGSAPSPSWQEI SADEKFLYTVEETNQKEKEKGGITSYAIQPDGQLRKVSTALGMPLPVHLAISPNKTLI FTANYGSASVSAFTINASTGEVNWVKAWQYTLSQPGAVPKRQEAPHPHQALFDPTGKF VVVPDLGADLIRRYTVGPGNDLTQTSAVQIKPGTGPRHAVFYPADGTPKFFYVVGELS NTVTAFSVEQTDKELNFKEIQSISTLPEKYPNPQGPAAGEVIVHPNGKFLYVSNRLDT VFPNANSIASYEIDASSGRLKLLEIFNGGVVNIRHFSIHPDGEWMIIEGHNSNSIKSF QLDPKTGKVEKKESSALFLDRPVCLQWLKTMPKQKETCGQ UREG_06449 MSSSPSFAARRAARSGSTSTSHTPLSLDLSSLPPLSQPSPPSNT LLITDLQNLKLFQPDSLAQIKSHITTVAPLNSFSPLPSLRRIVCSFHTIDAAIQTRQS LENSAVLGHNVRPRIYFGEHTPVEDVEQVKKRKLLEAPHPDKLFFISPPPSPPHGWIL RNEEPPNKEVHAADLADALSRLGRSHSSPVMPETPGSMADVSMHDVAHESFRTSSKAM PFTLQQRSRSSTLIYHPEDHGSSPHLPAVMVEDTTIDADELDSEMEISPIEASRRILA HTSRPPVELMH UREG_06450 MVVAREKRYRKHTFDLKQRVHEAEYSQLQRPIDPRTKRPIEPAH KFEVNIESDSSSLTKLVIVLYSVFLDYQTKVHKDPEDRWKQSDYKRFLCSGIGRKTVR QGGKEQKLGSYHQCYRLDGQLIAVGVLDMLPHDTCPFSGSQVGEDQMTRPEDNFILPD EEDMSLFDIDMPGVLTLDELKAQVDLDHCRLLVHNSLVEMTDLVGWESSDIKNPHAIK GIIAELAATLGPKSSDAETPRQSKTVRDAKLNRCCPGRHSFLLEILLWLTNMSLDQSA SKPSPSVRDIEKDGETQPAVQRTPVQPPKEKDPPLLRFCQSFWHRLATWGVELRGIVP ISVDERTDKRAGNVFLLWFTVSCNLLPVITGMVGTLSFGLGLRDASLVIIFFNLLCTI PPAYLSILGPKTGLRQMIQARYTFGIYLVNILVLLNLATVSGFTIIDCVIGGQTLSAL NDGGGVSVNVGIAVVAVIALFISFFGYRVLHRYERYGWIPILVSIIIATGCGGKHLSK QADAPPASAPAVLSFGGLIAGFLIPWAALSSDFCTYISPDISSKRIFSYVYLGLFVPT VPLMILGAAIGGAVPNVPDWAKAYKSGSVGGIFAAMLSSAGGFGKFITVLLAFSTLGN IAATIYSITLNFQILLPILVRVPRALFALVFIAIIIPVSIRAAASFFLSLENFIGVIA YWSAAFFSIVTVEHLVFRKGRYGSYDPTIWNVGSALPSGVSALAAGLLSFALVIPCMS QTWYVGPIAKKTGDIGFEVALGLSALLYLPLRAVEVHMRKRL UREG_06451 MAQTRTMESRTGRTNQRYAPNGDRLVAGVVPISPDKSKVLLIQS ARPGGWVLPKGGWELDEPSAQHAACREAWEEAGVVCTVLRDLGVIADMRTPAQITAKA PKVQYQFFEVRVDREEAQWPEMHKRKRQWVTYAQAAAALTGRPELLEALNRSSVKR UREG_06452 MDFDAMDIEPQGPQVTVRDVETHRVDFRLMSVDLAFANSLRRVM LTEVPTVAIDVVEIESNTSVLPDEFIAHRLGLIPLHSKNCDVDMVYARDCDCEGGCSR CIITLQLHAKCTGEGVMVVYARDLVVIGDRANEWVGNPVINDPAGKGPIICKLRRDQE VKMTCTVKKGIAKEHAKWAPTAAIGFEYDPHNNLRHVDYWYEEDPVKEWPVSANAEWE HPAPPDMPFDYDAAPSTFYLDVESIGNLEPDAIVQQGISVLQRKLAEIISALSGTGDA AARGEGMNGMEVDGGRSPDAYEPPEGIDGSFSAYAPNGVGAGAGGQSVWGAAGGTTPY GATPYGQGYGF UREG_06453 MPFLPGREAYAPQHAASRYGVPSLAAKEDRLHLLYGHQQAPVSC SCNSDKVTDRIIAQMLQIDQTLHLARQSLEQRLIALSEQGHASVEELQDVASLERRID RITVQFQNCALKVLNLVRHQYLEEATKNLQKLGNHGSLCSTNGSPGTPEEVAYAITEH VVEIYQSLRSARQKLDEHRATLSEQSNTPGEQFRQLADMESQFERFGAQFDQCASKVL DILKQRHQLKETEKSELDTLERSVFYFSGLVSPVAIFAIRKGYLTGWATNLVGLQ UREG_06454 MSSSRHFSTIIITFHTPRADGSLSSATSSNLDLEVVFIDHHQHR LGQYPDVLVRTLNSCYHNLQHVDIEDEFESTNAALFGASPQTMLSVGSNPPLEPASWS QAPAGSPERPRFEVITQDWLRLPSTRQISRIRQAHCTVECANEAVMDLVAMYHGGLLD GTGENFVEGGPASEWCPCTLRQREVAWWIDPVDATLQLSGCSSDGHRFRVYARLRR UREG_06455 MASNWYIYFLAALHIFTAVLAQPITSRADDYHIAVVDQNSRTVR VFPRNAKRWNDDAIFWSFTPGIFNSKWNNLSGVKIRKVAKHGWIALVTASGGKAGILN ITKEKRKVNLFDLMWQATPGDNPHAIEIVPRNGAIVVASSNPGKLSLYVPTSKDIDDY SKIKHAEDYPLKGAHGVLWDPNGGKSVADGFLWVVGDDFLYKFKVTGSFQNTRLKQVG RYNLPKGGLGHDLQPDYTNKQMLLMTDSYAAYSFNTKSGKFKVLKTMMKLKSLVRHPN GEYMWVTGDKHELGQYVRWGAKVGSQTDARGWSDAKFYKARIYNPNYE UREG_06456 MATERLDELDNIFDDHPSLSASLEDFEEHSSPRTPLFGGLPSQH SGFRSHGASEEPEAHEDDTPGILDAASTISDPWSPPGFKRQITVPSTRENMPYHNPTS ISGSAWYRQQPYLRNQPDLRPPVVDSPALSREVSPQYEDAPENPLSPQPLRHDVDDLV LPASIPLPPGTDSPLKGRSPSPAPKPMVKKEDFDDITRTKSSTILSIIIALLSIAFLR ALFLPPLPLYIPDLVKLSAFARSFEPLIYYSENGVQQIGSLQETGVAVWDLGESVRGT NMTSAPIIVRELDELSESLKTLSLELTRFFANVDADIDSILIVMEWAKRELESLSQQQ SNSISSILFENFYAFLSRMGALEVTPLTDASNTTTPASTANTNGLPIPTRLGSIVTTL FGPTRSQRTQSTLSYAFTELVSVLEEAINTELTHSTALFALFESIDRQFLNLQRTVVR ESDAQERAEGEMLSSLWTRVLGPNAAALRKYEKNKRLLGSVRQRTVANKHLLMDHRGK LLALKVNLETLRRKLVSPLLRRNDSLNLPRAAGAGGGSGGGSVEAGPASLPPPMNGVD GVIEGQIKGLEGAYTYLRTVREKQKSKLMEMVYGAGSRRSGGSILDSLSGKTGEIEGR UREG_06457 MSIAELPLVVTAIELEERWKKEMAQEQEQLANKSVQPGDAAKGA NLFKTRCASCHTLEQGGANKVGPNLHGLFGRKTGQVEGFSYTDANKQKGITWDHNTLF TYLENPKKYIPGTKMAFGGLKKAKDRNDLITYMEQETK UREG_06458 MASAITIPSFLLPRAIPKSASSHILLRRTPAKPSASRRCASTSS PKPRVLEKPDKFRPPSHPARRVLSPQCRAAKLPRPAAVGQGA UREG_06459 MFPPEGTVMHKFLTSRGIHIWISLGVLFSLATFTFTTNFKATSP FAHLLPSWSHLITHPIDTVGQFLSVMKMHADHVTLETTEKRKRNVDDVQKRKEYRIAH GLEEREVEPVQKEDDNSPQRKEEGGSSEAGAVAVAASGAQEGGEIGEGDTYVDWEGKK RPVKKWLGIW UREG_06460 MAPALASSTSQISHFSPAELSYLHTSLAHPHHPIRPDGRAATQF RPLTAEADILPNTNGSARIGFADGTQAIVGVKAEVERTVKVGILGGRGLEGEEEDGGE EGDEDGDGGRRRGGGGGGAGGESSWVEMSIEVPGLRDDDALPVFLAEMMREALVGSGS GGGQDDGGMLGGLKGRLVINQGWHWRIYIDILLLSPPLSYPLPLLSLTTHLALLSTKL PRLVSKDEEDPMFNDDWAAAEYLYPRTETLSKAGMPPRQTFRPPVTLLVISVGDNIIF DPSREEIAVADAVFCVSIGRDEKTSKDEQDAGESSNLKLLAIRAVDPPSRLTNSGLSN SENATNIGISAGEEAGSQQLTTGNVTQGVWKPRQGGVKRGVISRIVKMILQKGGVGEE VMAGLEGVEVG UREG_06461 MTVSYEQSYRPSNTAPLEERFEVIKQIGDGSFGSVVVARVRTAG SHVARRGTMVAIKTMKKTFESFSSCLELREVIFLRTLPNHPHLVPALDIFRDPLTKKL HICMEYMDGNLYQLMKARDHKCLDTKSVKSILFQILSGLDHIHAHNFFHRDIKPENIL VSGSAHFESVLSRYATPPSTPPTYTVKIADFGLARETHSKSPYTTYVSTRWYRAPEVL LRAGEYSAPVDMWAIGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGNWYSKSGAK VGGGEWKDGVRLAQKLGFSFPKMAPHSLESILQPSQWPASLSRFVTWCLMWDPKNRPT STQALNHEYFTDAVDPLRPRSSTARLLGRKQSDKGFKAQGNGDSPGLSSKPSWFRRSL IGRSDSPAPLLEQIDTTKQMPYNGGVDSPSNKAKALASKRATWTNGAPMPILPSIRPV SPLSHAVHAQANSSLVNDNSPSKATGNAASKKIGRQLSVNSHGNHYADIHRQEAERAL NGGSNVTSPSAMKESFFSHLRKRARRLSGRNQASIPSDDVEATAGCVPWSNRSSMALD PVNISEAKPSNDLLDLDTALQNVRYSLDMASPPNVPVHACGTTSNTPKRQSVPHAQAI RVTENGTIASGGSLSSRARRVMQSSTRSVPRYETPEEEDELLQEVLYSTNSAAQRLGQ GSIVDEATRDIFKDLGNQPNLSVDASRHNPYPTPSPTARRDGPAFISDITPSKPLRMN KPNIESHRQWPTPPYDESEWTNTTTANMFATVNMHR UREG_06462 MGSPRNPSLFSFQPPLHSSSLLLQSSLHQQLIDIACYLRESVFQ RSEYFEQRQFSDGSSARTNLGKRVKCKICKKIRSANAFSKRQLEELRKAMLKHGATGL NGPGYAGCRACITHQVVELTCCVCDKTKALEFFSKNQRRDPDSARCTNCVQGQMDTEP VMDDAKLLLDDESAIDTNTYMSQSDAYTINSFRTLSIAGGDNLLSGSNSVVMSDHTVK LSYQAPSNAMSKGKGKEENASLGGGVWLEQGSRFPEEMMGPNATGGVPLTQGNAHLRI AAPPSEAAENSLANGARDAWKTASSVRSQQSVQPSGMVIAKRTSNFAKIPAAQLPKEQ KPNMYKDEPEGETIESDESSFEDDDVQTWI UREG_06463 MAYENFRASQPYDQLFNSVVQAPYHDNYDPTLDSRAPAVPPVPV PPYQPPSNQQSPPPPPPPIAAPPNKPIPFPDPSRFYQNSNNNPLAPEPPGTAFQQKLD VSPEIIAQITSSVIQQLQGLNFVGNIPRQAPEHPSQNSNLSPFTPSVSEISQSSPTTA NKIYTPPSPFRHVQDGPYQSPQFSNPQFAQKVQNFPQTLGEQERIPRPYLDGANDQRP RLSRPPTQDETPVEKIWGQLFDKDGMPTARLGQFLRGIAVHLIEAYPPGNTIVVTPEK MQKYYEDTKSPTDPYLWKGNDGETSCIVTVTNSGSLDIFDDRTSSISRLYRDVQAEHH LVQDRLDERPDIPGLTPRGFERWMRLMIVARPDQEYQRLQRTVLEMPINNPDDPKERF PKELPRRLFPKSPDQDVKEHLERSILTHCHVNVPKTVDRDQSQPNTHRRRPTPSIGTP PPNADPRLSSPFEDDDEDEPAPTRPIERERKPYSVQLGGKFYEDNLKANLNSHVEQTK YRDFGIRGGRQSPSTGNKHDSKDFANRDADFVKTPVSARFREDDEVRYRKDERVHDHL REREFERDRHSEHPSRGSWDTDEEDYYRATGTLGGRSGRRSPAYDENQWPFR UREG_06464 MAPDPLSNMESSGREKLIGQNIYFHKNLPIQYLCLAGIVVSRDE KVRRTILVLDDSTGHTIEVVCSKYTPPPDQPLPPSRNGNGPDPSITHLTSATRVPLDI SPLRPGVVAKLKGTITRFHGTLQLHLERYVLLHDTNAEVQFWQERTRYFVQVLSVPWV LSAEEVERLRREDVREEEEKRRKKQEREMSRHRRERKVVEREERDRMRIERRYEREEV LRRKYAERCREENRVFKEERGRR UREG_06465 MADSTPETVVPIRDSEKQAATEQEINPWDVQAAHDEQGNVLAFD YVAISQKWATKLIDNDLLERFERLTGHKPHRWLRRGLFFSHRDFEKILDRYEAGEPFF MYTGRGPSSEALHLGHTIPFSFTKWLHDVFDVPLGKDDEKALFKDNLTFEDTYKFGLQ NAKDIIACGFDPKKTFIFSDLEYVKGHMLMNVWEFSKLITFNQVRGAFGFNESTNIGR IMFPAVQCVAAFATSYPEIWSDTPPADRTKAIAKIPCLIPMAIDQDPYFRLVRDNAHR MRYPSPKPALIHSKFLTALQGAGGKMSASDPNSAIFMTDTPNQIKKKINRHAFSGGRD TIEEHRELGGNPDVDVSFQYLSYFEEDDEKLNQIEESYRKGELLTGELKAMAITLLQE YVKDFQERRKQVTDEVLKQFMTPRKLEWKGNPNPKPKPEGKGPK UREG_06466 MAVTHGSNAPKKDTPTKNKSGHKAKGKAPTDFPIVFCQCCVSEF MFPKNMNKTCNNLQGSENACQNCARNKKSCSDFPDAMLEQVVEMMSAYDKWASTTNMT VKAAHEKSIITIAAAVSEELKVVLASMPKETVSREASSAMSAENIDLLIQMLETLKQI QKIQEATFTSVEAIRKSIEIKTSSTNGIGNF UREG_06467 MDALFFDEFKINVGEAAELDPNSTVENQEQENNNEESNETWKQK RKQQVQHPDVKQYEKKNQPKKVILKQFTHVQNVKKLDCKNNPNIDMHCCGDANKLFTY FVKNFNPDKFVEIFYWAEPVLDLNQSKALEQWYIKCKLAARNQLGIVKSTD UREG_06468 MGLKMNLKLKKKKKKKKKKKKKIIRRHSVLNQQCKCLRMNQSKP FHTYMPERIIKWTIFKWLWHYDEAATEMRTNMYFQNSIALVSTLVKSPSFQKNLHIGM AEFVDNSRELWQSQAWGSSIKYSSGEFAYYLNGESIFPSDFVVYQCNTESCPCNTDLE HNDHMGRVQFVGQDKRLNAVVPNAIALQIQPVYQFSPTFYGGKLSKLNPAHSLNEVLA HENPGAIILADKVVSQVEVHLDYEFGIEN UREG_06469 MGKDNSNIRKEQRPERVQARKDKRLADNSNHTAQATEGSLPQSD QPVLECPGRVHTMSAILDKLVQHKDKVEQFSNIVGIISHAAEGFNAIGLKPEIDKAKR IKELEAALKESHRVIAEDVEINRKERQRLEEEHKDLNSQKQGFAQEKEQNRKSLESER EALRRERESMEKKMKEETKQRIEAQVSRHRKDTDDKIRELERNICRLEAENTRLEKCN RELESELDRQKRIGKMALDQNAQLEDRLQKCNAKLPIHSMDIMDMVNESHAVFQKVPV SPTPISVFLRTCAAQALISRRMCDILWRPFCSKALEDTVSSSTATLQTLSNTAWQLDP ELEPSWRVLTYKFVDAMAGTETNLIVQKATQDISKCLEIQVEPQYHQELRESLLAIFQ NGARLWRLLRVDCMQVSVSTPADGPRTGWVAHDLPGKAELNRPDCIAENQGFKTLCLF PRFEATSAGAVCKELLFPGHALFSDSSALAEGAKELASQKMRLDQLYQQIASPISPTI SDFSEDLAE UREG_06470 MAGPPVSPLNRECIQAEDEERGFEILNSYLQEKSPVFKALRVIQ KEVWQSPAADEHFLKRREAADSATQALKKYFFKMMRHIGDQLQADKHIIPRPKDARKL EVLDICMAPGGFSATTLKYNKYSRIYGLSLPEEEGGHQIFLQNWRNDPRVQILQMDIT MLSTEFGSPDLLPPDNALASRFSDCRPFDGLEADLVFCDGQVLRTHERAIGSKFEATR LMTAQLILALQRIKNGGTIVVLLHRANSPRIVELLYIFSQFAEIDLFKPTSSHATRSS FYLIAKNVDPTNSGFCRMLENLKSSWKRKTLQAFNDEPQDSERTVSGDTMEDILQSFG EKLISLGEPIWEIQREALKKKFLKESPILATTS UREG_06471 MDPDPITTAAADSSPPEPENPYLKGWRLKNLMGALLMGMLLIGL DINIVATVNEIHPTRT UREG_06472 MSAAAHPDIRPMVIACGVSMVSIGGILGPIIGGALTEHAGWRWL LHVPEHDAKPPVTSILSKLPQKLDLMAFALFAPSCIMFLIAISWGGTIYPWGSAKVIG LLCGGLAVFTLFPVWCAYRGEEALIPFSLVRRNTVLVASLVSGLLGGASMMVGYYLPL WFQAIKGASPGSSGVMMLPMMLSQIVGSLLSAVLLRKLHYMPPWAIFGCLLGSIGAGL MLTFDVNTGSAQWIGYQVIAGFGRGIALNMPFIAVQEELSGAAMAIASSMITLTQYLA ASLCISAAQAVFVNELIPAVERHAPNIDPRSIIRAGATGFVKMVRPEQLLAVRTAYND ALVKIFFIPTATAAGASFLSLGFSWKDVGAESKKKSDTDKA UREG_06473 MTTELSTHLHYLSQCLALAACSPPKPTNFRVGAILLLRRYPTAS DPDPDQQTTLDDRVLSTGYTLELRGNTHAEQCCLSKYASHHGVLEEDVGIPLQQERGD DPHAKIVMYVTMEPCGERLSGNKACATRIVETRRNGRGGIDRVYFGVKEPGTFVGESQ GCKMLDAARVGWELVEGMEDEILKIAKAGHGLGEGGTNVDDISAEERQRQEEMPRNPK KRMMEI UREG_06474 MPLEEDDLLTEEPPCSINPYSVLGVGEKATADQIKTAYRKQALK HHPDKVAPASKDEAHKRFQEIAFAYAILSDERRRKRYDATGDTSESLDLEDDDFDWVD FYREQFSTMVDGKAIEKIKAEYQGSEEEKRDILVAYQGCEGDMDGVYEEVMLSNVLDD DERFREIIQQAIRDGDVDDWPAFSKETKQKRARRVKEAKKEAKEARALAKELGVEDKL FGDGKSSGKKKAGDDDSALRALIQQRQKSRGADFLANLEAKYTSRDAKGKKRRTGESE PPDEAFQRNRSRTKKQKAG UREG_06475 MSLEAALDEERREILDILEGRRHAHQPAPRPGRQASPAPPVRSM LDIAPDPGAQRHGSIAGIGVGVTQPPNRTTAKPGAGVRSMLEPLAPSPLRLTQSATSS PTTDSAPSHEPADNHRRSSDSSAKGLPEIKKRQGVDPQQDYQFEMLPSIPSHALPKRV TQGGKLGHHNNAMQTNSMAAVMSGGDIHAPYPALPRGRQTGRHPSLPGKSKSPPSRLG RSQSPGGKLLNNNSLNLMTTPGKFVTDSGKVINMDHAYRRLSAAALSRSGGSLASYPG KPTGKHDDVDGAADGDARLQKDYYFDTPEGGFSEDYTSEDDVDDTSSGEDAWKSEIHR GRRRIRKKSDIETGESGSKGGEPRKVQSLLAAVKSLLEPVAPRTAKAAAEKPLNRKTG VHPNTSFDVTASAGNTPVGSEDENEFSDIKQAQNLGIYMSVVDQTVPNRVIRTIIRGD FTKMQEEGDHGHRRLRKYLVATDLSEESTYALEWTIGTILRDGDTMYAVYAIEEESGS GKSSGDGDSISSQHINDGAKAMLDITATVGSQTEKTLGDSNRASDRASAYPSPRGSAI QLMSDSKTGSIDSRSTSKTEADRLRAIELLSQTVMRLLRKTRLQVRVAIEIIHCKSPK HLITEAIDALEPTLVVLGSRGRSALKGVLLGSFSNYIVTKSSVPVMVARKKLRKHAKY QNTHVRLSNNLTTPKKLAFAKVD UREG_06476 MKPRWTAAARFLAALCSVHLGPAEATPTPGGLAVRYSTINPKIT LSYKKVPPGICATVSPRQKQYTGYVSLPPATLHPVGQNYSINTFFWFVEARENPRSAP LTIYLNGGPGISSMQGLFQETGPCVAVERSKHEIGTVPREWGWDRASNMLYIDQPVQS GFSYDALKEASLDFLTGAFTSPPTDPPPGRSPETFRRGVFSSNEQTTTRTTGMAAKAI WHMLQVFLTEFPKYKRHGDSAEINVFAESYGGKYGPAFAAYFHKQNERRKKGEIPRSK TVEIKVKTLGIMQGCIDDLVQNPYYPLFASNNTYGLHIMTPKEVKAYHDRFNLPGGCK NQINACRDAVRSSDPDDVGNVSSVNAACYQATAVCNGELSQVFSKVGRSPFDIAQNVS NPLPPLTYLEYLNAPNVQRAIGSRVNFTESSGAVNDAFLQTGDFSRGDYISDLGSLLD SGVRIALMYGDRDYICNWFGGQAASFAIAAASKSSSPSYAANFNSAGYAPLIVSRNRT GGVVRQFGNLSFARIYDAGHFIPHYQPETAFKLFSRIIQGKNPSTGAPIRDLSRFRTD GEANSTATHPLPAPARPTCYIRKAPGTCSSDQIEKMGAGKGKIFNGVWYEK UREG_06477 MPGPYNTDTTTDDLVSDYTSLIKGKVVLTTGVSAKSLGGFFVQS IAAANPECLILAARNAEKLAQMAAEITAAYPTVKVRTLQVDLASLQCVRAAATELNGW DDLPVIDVLVNNAGIMAVEYQLSPEGFESHLATNHLGPFLFTNLIMNKLAAAKEPRIV VVSSDGHRLSPVRFDDYNFDQGKTYNKWYAYGQSKTANMLFTISLARRLGIKYKLQAF SLHPGVIWTNLGSHLDWDTEFDGLRNADKILGNAEGWREFKIKPLERGAATHIYAAFD PSLKANNGAYLIDCHVADPLVDTVKPWATSSFEAERLWRLSEKLVGQEFSY UREG_06478 MVQFKALVFALAIFMATASAAPAENDVPSDRCMPGCHPKKAWCN PPYLLAGIIVRNPVQAAN UREG_06479 MLNRLLARLALLPIALQLVVLTAAQRTGNPVPTATHSPRPTRTA TGTRTNTRTRTSSRTASATHTVKVGHKVDPHQYVPRTVNAKVGDVIVFEFFPRNHSVV QADYLAPCVPASGDFFYSGIFNSFHESDGVLVGGPKFFYCTALGSCIDNGMVGVINPN RTMTWAEQYAKALKYPYMLVPGESPPAEGTPGTPSSTPSPAPSSSGLGGGAIAGIVIG SVAGIAVLGLLFFLLGRNRVYRKWLTSEEGSNDRTRRWAMSGGDWSTSGRTEKDGAAP TPQPGHASMLSPDMSRFSGPYNPTLQSRSPPPGHLSWDGLGVYQPPGMMQEERPPELS SGAGVQLVELEASPRRHQSKEYIPPADH UREG_06480 MAPGLEDPRMMSVQPRIRYNTVGGINGPLVFLDNVKFPRYNEIV SLTLPDGSERSGQVLEARGNRAVVQVFEGTSGIDVKKTKVEFSGHSLKLGVSEDMLGR VFDGSGRAIDKGPKVLAEDYLDINGSPINPYSRVYPEEMISTGISAIDTMNSIARGQK IPIFSAAGLPHNEIAAQICRQAGLVRPTKDVHDGHDDNFSIVFAAMGVNMETSRFFTR DFEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLSAYC DALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVQGRNGSITQIPILTMPNDDIT HPIPDLTGYITEGQIFIDRQLYNKGVYPPINVLPSLSRLMKSAIGAKRTRSDHGDVSN QLYAKYAIGRDAAAMKAVVGEEALSAEDKLSLEFLDKFERTFISQSPYESRTIFESLD IAWNLLRIYPKHLLNRIPKKILDEYYQRSAKTRSSKPTKDTRDTSGGDTTAEGNLIDA UREG_06481 MKRKEKREEKREEKREEKREEKREEKREEKREEKREEKREEKRE EKREEKSE UREG_06482 MLASDSTYGHIIRSSYAAVAISEHGHKVEKNNNQGALTAGLSPL VMYDPRCGQGASGCSSSMWAAQYHSRPAQTGIGLFSFYRRFISFFARIIAPLQKVKHL DFPETQSQDAPGRSGPLKQLQELLMSQENATELLAKVPGACLKVTRL UREG_06483 MDGIKQTFAKCKQEGRSALVAYVTAGYPHPDETVDIVLGMEEGG ADVIELGVPFTDPIADGPTIQRANTRALEHGVTMTSVLKMVRTARDRGLRAPVLLMGY YNPLLRYGEERLLKDAKAAGVNGFIMVDLPPEEAVRFRDLCKKEGLSYVPLIAPATSD SRMKLLCKIADSFIYVVSRMGVTGATGRLSSGLPELLSRVHSYSGNIPAAVGFGVSTR EHFLSVQEIAEGVVIGSQMITVLGNAPAGQGAQKVKEYCTSITGRTVDRSKLSEPLTR EVGLTEAITEAEKPTGVHVDRVIKEASTSGPGLADQLEALNVTGDPAAIPARFGEFGG QYVPESLMDCLAELEAGFNEANNDPKFWEEYRSYYPYMGRPSNLHFAQRLTEHAGGAN IYIKREDLNHTGSHKINNALGQVLLARRIGKTRIIAETGAGQHGVATATVCAKFGMEC VVYMGAEDVRRQALNVFRMKLLGASVVAVEAGSRTLRDAVNEALRAWVVDLPTTHYVI GSAIGPHPFPTIVRTFQSVIGEETKKQMKELTGRLPDAVVACVGGGSNAVGMFYPFAN DPSVKLLGVEAGGDGVESGKHSATLSGGTKGVLHGVRTYVLQDEHGQISETHSISAGL DYPGVGPELSSWKDSSRAEFIAATDAQALIGFRTIAQLEGIIPALESSHAVYGVIELA KKMEKGKNIVLNLSGRGDKDVQSVADALPAIGPKIGWDLRF UREG_06484 MPAYAHAPYSGQIFTPILESHPVSDDESIHYVANSGYAGSPFDF PRSPSLEMSSRPAPEVIGFDPATGSDGTKLSVQIQSTSDLLSPIPWTFTLILGSSHCE CSITSLSVESTLFRYALTANAPSFSSTESTSLTIPVQLLMEDPDGPTTHMAQVGTFRY EQSLDTISPGSRKRRMSCGSDGTSASNNSVSVKHARIKDSPRSSVYAESLSASPYSPY LPTPASMTAYPASNQRVSSPFPQLDVYNSISQSRVKAPSPLASSWSPSFPDSAVADFN PRYNMTSVSQTPDLPLSVRAGQNPTLIRTSTIQQSLAGSGCTTSNQSFNPYAMYPSKA VLKLNGDLDSMTENWTKDEQDVQRRLVQFTRHQTGSTIHADFKPVAPADRVPNSICIS CIYWAGKKECFVTSVDTIYLLESLVGVRFTVEEKNRIRRNLEGFRPMTVSKAKPESED FFKVIMGFPNPKPRNIEKDVKVFPWRILAHALKKIIGKYSASYSSTAGALQTPLGSNY PVNSNANGNVEYHTRTSPSIVDVTSCAPGVPSHFRAAYGHHHIPGTGPIRVVVPSTDG QHASYHFPRGQPRQAPSPSMVPRHASFDFDAFVNNSSVASTPTMPQDLTYSFPGNISV GRDTVPSPYSLGLQTSGV UREG_06485 MDPRESGRIQQTSSSFEWSFLVPLWEPKSGQSHGQDNGIGTHPP ACFTLYDPPRSASWVRGMLE UREG_06486 MHAITVSSPDGKPGKAGKVYYPLALRDIPKPTSQGSELLIKLTA AALNHRDLFIRQHLYPGVSFDTPLLADGAGIVVSAGPQVPSPESWIQKRVIINPGTGW KDAEDGPESPTGYAILGGTKNNPKGTLQEYVTVDVSEVELAPEHLNDAEAAALPLTGL TAWRALVTKAGDKNSKSGAAVLITGIGGGVALMALRFAAARGADVYVTSSSEQKLRQA IELGARGGVNYKEEGWDKKLLGMLPTGKRNFDAIIDGAGGDIVEKASRLLKAGGVLSI YGMTVSPKMPFLMQAVLKNIDVKGSTMGSRKEFKDMVDFVKQQSIKPIVWRVVQGIDN IPAIDSLFDDMKKGSQFGKLVIQIANSSGSESKL UREG_06487 MAAIATFTRITIRTRAPWYRALPRRELHRCIQVDPGTLRPQYTS KIANRDAQSYDSDVETKLTYHQHGFARCQ UREG_06488 MPSPLPSTFASAAAGNTDSSRRDALNSGEWSRTRVNGATQTFRR PSLATNTSHSREGTQATLNASITPTSQAYIPPHLNSNYQSGSFRGGSAQDGRYSKDQL LSLYKSQKESKDWGKHVTDYFMADWNPLEESPAANGGWGKRDDFKDSHGGPEVCWDHD GKIEPLTLMVMTQEEKELFSTSVNSPLKPPPQNVSKDGNAPSGSMGRKSSFSHSQGHM NSFNASSPGTGRPGPRRRGTADSLANTMSPTGNSRFFRDEPNTSTPPPSLLRRKTDFR ESTSKLEEKEEDGASRDTPLDITSPFGSLKRSATNPLGVSTSSAWPTSSHSGSAFSPM GSFGNFSLGAIASPSAEKKSSFGSLRGESRFKNLLSKSSMEDIGIGSKEKPSILDRLP ETENDNPPLNHADVLQNRPGRSDTNPFEEAGTGSAALGGQDLNPPSTGIDQFGLSSFG LPSSGSALRDLMPGQQPPTHTPSHLHGHEPMSPTHTNPYQSPHGERADADEIATNGSD IQHAPLPNLGELRDPPSAFGSFARGGTTGDIASGDRSQTSSVGGNRSFSGLGGLGGLP PLGTSSGWPMSAYADTPTREKSAFMGAFGDPIFGPMSELQSPSLSSLGAGGFFGSHTN LSGAANTRPSKLGSLFPPAMQDQMRDQSRPDLLMNEGPSRPQDPFMSSDKPNVNATVS SSQTPVSAIGQSSVPGTQQSTEGAPQANQASGQSSGTSSANQLPAAQQRQMVMPDRMR WIYRDHKGNTQGPWSGLEMHDWFKAGFFTAELQVKKLEDAEYEPLGQLVRRIGNSREP FLVPQIGVPHGPPSAQAPWSATSQTGVVQPPFANSFPSFGTTLTAEQQNALERRKQEE QYMMARQKEHLAQQQALMKQMQFPNPAHTIHPQLQHHSSAHSLQSQPSYGSIASPATY QPPTMQAPIQPPQALPGYFEPQMRPMPNAPSQVPIQEPIGTQDQLPTLLDRLNINRTT QFPFGSGPSFGGRQPEGATHPEAVAAMLQDRSQLQQEQEQFNNAQTDSIFDQQAREER LRQFHALRGADEELPIRKAEGLPTHPPADMAIEGLGHQEETLHQNQTGLENESTLSLT QQVQNAATAQRLQQQAQQTQPAVVQQESPWQKVDNGMPQPFPPPPSQSPLPAPAAQRK QNIADTLAAGSRSQSQTPAAEGQTTSVAPWATQATEMPKGPSLKEIQEAEARKAAKLE EAAAAARRAIAEQERANQPPPPVPGLPSTANWASAGSPATPTPTTSVWTKPLPGKPST PGATAPKKTLAQIQKEEELRKQRLAAASAQANALTTAPTSAGKRYADLASKVAPVNPP VAGGAWTTVGAGGKAKAPVAPPATTRAVVSTTPVAPVAKPRPATVTRSTTVATTANPN KAAEELSKWVKLALGKGLNSAINVDDFVQQLLLLPPETEIISDSVYANSQTLDGRRFA EEH UREG_06489 MGSLPIRERETSQELPFGHSMLKLFPLDPNFKNLNHGSFGTCPN VVVEERQRFQAELDSTPDTFIRYNIPKYLDSSRAAAAEYLNVPVDEVVYVKNATTGVN LVLRNLVYKPGDIIVYFSCVYGACEKTIAYLAETTPLKARKVMLDLPCAHEDILQRFK DVVRTAKQDGLNVKVALFDTIVSQPGVRFPFEKMVEICREESILSCVDGAHGVGHIPL DLGKLDADFFVSNCHKWLFTPRGCAVFHVPVRNQHLIRSTIPTSWGFQPIPNILSDCT RFPQVLPGDNAKPPFAALFEMVATNDDCPYLCTPAALRFRRDVCGGEARIMEYCEALA FDAGNLVARILGTQVLCEPGPEAQTIRGASQLRRCALVNVRLPIGVDHGAAALGGMQR SYPVVRAGEVSVLARWIEKQLTYEHNTIVPVFPLGGVVVGFG UREG_06490 MPFQPPQNAPAGDALLPLFANFNAFVQGLAAAEGPPQNPHANAP PEAANNSQRQSGREENPPPVASVNDSIIRILQQDFMGRNARPRDPSGRIGAPPLVAPN PLEIVARIMGLGHLGDAVYSQEELDRVISELIDQTSNTNAPGPATEAAIRALPKKPVD KTMLGHDGKAECSICMDNVEIAEKVTELPCKHWFHGTCISAWLIEHDTCPHCRRGIME SYRQQHPSPNSSPSGSSSSLPSLISVTSPFPMPNGSSSPHNSNGTPTRSESDQQRPSS SGGNGRFTGWMRNHFGGGSSGT UREG_06491 MDTDSAFVDAADAERHYPVKLTGSSPLKPAELQVLRAQYEKEGE YVGVQTKFNYAWGLIKSNIRVEQQEGVRLLSEIFRTAPERRRECLYYLALGNYKLGNY GEARRYNDLLLEHEPQNLQAASLRSLVDDKVAKEGLVGVAILGGVALAAGLVGGLIMK GTKRR UREG_06492 MKRFCTKKLFCRQLWRCRLIHHTVTNFNIQPDKQTVTRINDSLS SLQQSRDLRIRDAEISLRKLSRSLNTLTAQHNETVAAHDPARHAASIVELDTKKFRIA KAASELEIESERLEAELEALKARLAELDAQGLEGDEQVRREREADDATILRLKIYRSL GIDVEADEAGNYSKAIIRNSRKGDVHVVNIDPKFSRFFYANYFWQTMQG UREG_06493 MFVTRIFFLCVLQFVAVVLAVDPEAVYNGGFSSKTSPIKLKIGN GGAGQSGLVEALANAFIKHKVEGKSDPFKVAWYKSDTTVSINYLEDGIIDVGITYSPV AENVSIEQGISESPSYYAFRDHFMLIGPPSNPANLKKSSGISSMFAQMHAAAEAAKSE LPVRFLSRYDKSATNIKEAALWISIGQVPWATAYSTWYHQYINFPIQALTAAILLKEY TITDFGTYLSIPRALRDQMVIYKKSTDDADDPLLNPAHLLIGKRAENGDMAKEFAKWL VSKDGGQEVIVNFKKEDIGHCIRLSVGSIVERKDIFPCRKSQVKVDYQTVSTHQFKDR RDFLQSHHLRVRLSRGHRDAVHALIIGRFRRLPSVQNIRVRQRINFDPQRSCSSMHFT ASIPSPIGQQIDIGITAGAQAIVHSATELVHSITRQRITRDREEGPHKGQAGPEAVMH RDVALMDLPGSSGPEPLAGVMQVPDIEIAHLRSRRSGDSADLSGADRPGMPGAWTDGG RVFEDPSAWFIGRFSYAISARIELGIFWRKKTKDDGDEGERQLSLLLRRTGRYMMEDQ ANKPHRKSKDKGKKAKDKSGTNVKAFAVSRPGRSQRQAARSHDVKEKRLHVPLVDRLP EEAPPIIVAVVGPPGVGKTTLIKSLIKRYSKHTLSSPIGPLTVVTSKRRRLTFLECPS DSLASMIDVAKIADIVLLMIDGNFGFEMETMEFLNALSASGMPGNVFGILTHLDLFKK QSTLQQTKKRLKHRFWSELYQGAKLFYLSGVINGRYPDREIHNLSRFLSVMKNPRPLI WRNSHPYCLADRFLDITAPTAIEENSKCDRTIALYGYLRGTNFPAIGARVHVPGVGDL SVSSIEALPDPCPTPHMDQAIAKATGKSGRKRLGEQQKLLFAPMSDVGGVLVDKDAVY IDVKTATFNKEEDDEDEERGLGEQLVIGLQGERKLLGETVAGVRLFRDADALIDGGDE KGRKERRKESVYEWDYDDDDDGALQWKENLAAHAKDPIQKTGHIALLNLPRMLYDDSV PPEDVARRWRGDDSPSADEQTEEDADDFFKKTNTEKQDVDDRTIPEFDYEQLEEKWQA EDNLKSLRQRFASVKISKHASDGDESYDEDEEGGSDSEGDGAFEDLETGEVVTAKQTS DDEADEEEGDEAEDLEKEREKNARRKEELKLRFEEEDREGFANAKSGTRAAGEEDQFG EDEWYDAQKAALQKQQDINRAEFDNLDALSRARAEGYKAGTYARIVLENVPCEFSTRF NPRFPVIVGGLAPTEDRYGFVQVRIKRHRWHKKILKTNDPLIFSLGWRRFQTMPIYSI SDSRTRNRMLKYTPEHMHCFGTFYGPLVAPNTGFCCVQSFSNKNPGFRIAATGVVLSV DEGSEIVKKLKLTGHPYKIFRNTAFIKDMFNSALEIAKFEGAAIRTVSGIRGQIKRAL SKPEGHFRATFEDKILMSDIVFLRTWYPIKPTRFYNPVTNLLDIEDEQGQGGWKAMRL TGEVRRDQGIPTPLQKDSAYRPVDRPTRHFNPLRVPRQLAADLPFKSQIVQMRPRKKE TYMQKRAVVLGGEEKKARDLLQKLTTLRNEKVAKRQAAQEERRKVYRARMADNLEKKA AREKREKDEYWSREGKKRKNDGGDGGGGKKRR UREG_06494 MALRDFDAKKKALREMIEYMQERISSNLYGCVYDKSSPREWLVA LQHTCSQSLLEQARDVDNEYANLRKSPTRANVTDFIHRWYIVSDEMRKPDMVNNMMVW RFLDSIRKLNPEFSDCTLMRIIDRELEEKNR UREG_06495 MDGVPMKELNVGGTSKAAIVFLPLQTSKQGEDLAHDEPYILRNG KHREVVIHTAEHVREFLRNDSKDHFRPTDLNFGDYFYQVLGQCVGALSGEPWRAVRRY FDPAYTHNAGLGLIPSFQAEVVKWLTALKNDSLRSGVGRMVVHAPTSCKLLPLRVIPL SFYGEAFDDEAYSHLLRISKLQGQALKYAVTGRWQKYRWYNLLPTSSSQVLEQYHRDW RKFNMGILETARNYLQTIDEMIFTNIDITGNVIAFMFTQLAKHPEFQQKLYEEIIAQK CESELDLKQYITQQSTLLHYLCLESVRLHPAIWFSVPEYTAIDKVIGRYKIPAQTPVI IDVRRLNTNALTWGPDGGEFRPERFASLSPNEYRYGFMRFGVVSGRCLGKHMADVLMK IAIITILKQYRIEEVEKNIGVKEGDLAFIQRKNITLNIRPRKSARMSPHGARSALSRQ LSGRQHREQALTMNALPPPHILAAFGLRGTPQRLPGGQGQSYQVGDAVLKPVDGEEEE AEYISRLQEVLLRQKKTPGYRLAEPIPATTASEKGYGYVVDGWGATRLIPDCADDPDG RGRRWNGILHAGREFHADLVAAVHKRPAFIAARTHRWAKGDRIAWSEEPKDNIQIAPR FRGSFDRLVQLQRPVDADSLHCQLVHGDLAGNVLFSKSDPRATPAIIDLSLYWRPVEY SEAIVIADGLIWHGEGEDLVHLLGTEEFQLQMLVRALIFRIVASSEAIREADCALDGL LDEPKLFERAVGIVDRFLHSLET UREG_06496 MGFTRWVFELPDVTFDMVPKWSYLFYFIFFFVITKSATSSISRS LAERRKGYGSVPRYPQLIPIMGFDIAFSMAKSLRNHTFLLWLRMLHDTIPGKAKTFSI DFLGRHMIHTIEPENMKALSATVWKDFGVEPLRRSTGASMPFADKGVNTTDGHDWAFS RFLIKPYFLREAFSNTDRLKVHTDNLLSLIPQDGSTFDMQTLMQRWFLDTSTNFLFGE SMGCLLYPERAEIAWAMTDILRGLRLRLQMSKWLWLFRWKVWFSAIDVVHDFIDRQVD RAYSERADAAKGQKQSSFGVELKPERTDLLWSMVGNVPEDRERLRSEMLLLFVPNNDT TSIFISNVFWNLARYPEVYAKVREEVLSIGENAPLTYEALRAMKYLDAVLNETHRLYP NGIMQVRYCIKDTTLPLGGGPDGQSPIYVRKGDVVQVNKNVMHRDKDVWGEDADEFRP ERWFGLRPYWNFVPFGGGPRRCPAQLLVTTEASYVVARFCRRFKAIENRDSKGYVPVM RAGPVNTNGVKIAVTPV UREG_06497 MSRTFTLRDVARHKTKDDVWLALHGKVYDVTKFLDEHPGGEDVI LDKAGQDASAEFDDVGHSDEAREALEPLLVGTLEQQDGDTTLAPKPAPSRPLTAETTA RRASGISNSPLVYLAGFLGSLFAIGVKSGDSLILLLRSAFTSCLVSKSRRFEDFGDRN KSTASRWAGMDI UREG_06498 MSEVQTSGNWPWLMLSSPTIFLHTNSPGYGWFSAHLFMLDVECW LNILNISSSANKRLSLFPSTRTQAAPMEFPTCPRSHDIVSLPRTQRALKVLGPWKIEL AESCPLPQLAEDEILVQVRCVAVNPVDVKTLDLAPNVGTTAGCEFAGDIVHVGPSVKN MRLKKGVAVFGVIQGNHFDRPDNGAWADFVAVASDLVYLLPPHFSYQEGASMGAALPT VGMALYYSWGLPLPYRQRQGFDAEIKDREIYEKGGITAAAGMDVGLAAQTGQKPSGSR PYVLVYGGSTTCGAMALQVLRLSGLAPICTCSPKNFGLVKRLGAEAAFDYRSPSCGDD IRRYTEDSLGYVLDCITDLSSMKICYAAMGRRGGKYMGLNPVPLRGHTRRDIQPDYIL VYTMFGQAVTAPKPFGRPIRPKDRAFGERWYQGSQQLVDVPGTIVAHPRDEGPEGLKG VVDGLERMRSGGVSAVKLVYQLRLMSAHLQDFPSTSANAVFINISLLAIRISAARVPT SNTPNPAGSPNRQRLCRREMRAQGGQGGQSRKRRRQAVVCTECRRRKIACNRSIPCAQ CIQSNSICTYYNSYYSYAGKGNAAVAQDGGSKDHPLPPSITPQPIYPLGSGLQLPPLP YSYQNDLHGAAEIGSLPATTVSAGAGVQSSCTISNPAPLSLPYDLDTTTDMVLPDVSA EEILSLNAVVGLTDRVATNAMQLAAVCSQEPSRIVFQKSRLYPQSHWMTFFQGYEPHN LFESINNTVFRGEGHPGLQKCKQLAKALKAKSGPDPQLLLRPLREFIPPKEISDRLVK LYLRTFESVLRILHIPTFEREYAQYWEAPRAADESIVLQILLVIAIGTCFCQDALSSD DVDGLPTLHDQATQWIHAAHLKLTAPYRKKHLNLRGVQTQCLLVLALLTNTNAIGGDL AWVTTSSLIQCGLAIGLHIAPSRISTTPLEAEVRRRLWSTMLELAVQAALDSGLPPVI SAETLDSYEQPGANEKPADSAANGDIHTELNAMRAVEVITDPSENRRTLGRYRSDLSY DAARSMGAELTAALRKTSELIDSCNRHLVRRVSTATPRRLVTSRIILVEAGASPSTIR ASRRRSVSTRKQQADQVVADAARQALDGCYNVLKARLQRLTLEKSRGPSSDLPAKHMA EANDLPSGDAEKDDGIQGLVAVPEREQASDTGQLLDDCSDVWSVSAWENEMTF UREG_06499 MSKPIAIIGSACRFPGGADSPSKLWELLRNPKDVLSEFPPERLK LKNFYHKNGEHHGSTDVQNKGYLLSEDIRQFDASFFRINPAEADGMDPQQRILLETVY EALESAGCPLEQMRESLTSVYAGLMNGDFADIQARDLETIQTHHGTGTHRSILSNRIS YFLDIKGASMTIDTACSSSLVALHQAMMSLRLGESDMAIVSGANLILDPTMYVAESKL HMLSPDSRSRMWDASANGYARGEGFAAIVLKPLDIAVRDGDDIECVVRGSAVNSDGRT AGITVPSATAQTKLIRQAYQDAGLDPLVDRCQFFEAHGTGTRAGDPVEARAIRDAFFP DELKGIAPDDKLLVGSIKTVVGHLEGCAGLAGVLKVSLAMRNRTIPPNLHFSTLNPAI VPFYDRLHIPTKASDWPRVPAGSPLRASVNSFGFGGTNAHVVLETFQKEPSIDERNGS HSDDERFVGPLILSAHSKDSLVQAVKAHADCIRSNDDLDLDSMTWTLQFRRTHFSNNR AFFSGATRQKLVAYMDAFVEENSAASAAPGDSHPLGPLNDGSIGILGIFTGQGAQWPT MGRNLILQSPLFRKSITQLQASLAALGDDAPSWSLEKELLAEGDRSRVAEAEISQPLC TAVQIGLVDLLRASNVALRSVVGHSSGEIAAVYAAGIISAHDAIRIAYYRGFHAGLAQ GPNGKRGAMMAVALSFESASDLCEKPEFAGRVNVAAANSPNSVTLSGDIDAIEALKVY FDTEKIFARKLNVDTAYHSHHMNAAAAAYLASLEACSIQVHPPNEDCIWVSSVRGDAE LVLDYADDETTLDVLKDQYWVDNLLNPVLFEPAVECALWRAGPFDIVTEVGPHPALKG PSTQIFKALLGSSLPYFSVMRRGDDEVEAFSGGLGYLWQHFGPAGLIDFNGYRNAFAD PRSQKRTPRLLKDLPSYRWDHNRVYWKESRISSTFRLGDRPVHELLGRRAIDDTPELL RWRNILRPAEFPWVRDHVVQGQTVLPGASYVAAVIEASKTLVGEQPIKLVELLDLKIP RAIVLQENKSTELITSLRLVNKTESFLLAEFAFSTAPADDASATIEKTCSGLVKIQLG QVDPKQSLLAIRDQLPPNLNQLDLDLFYTNLKGLGIDYRGSFRATKSAHRTVGYASSL ASWDATELGESYSLHPAILDVGFHALLAAFAAPSTGQMWAMYLPVRIRRLTIDLASLR CNAPTIRTKTSAWVTNSSAKGMEGDLQIVFDSCVGVQVEGIELQATGDAMANGDRLLF SNVRWDTDISSGMDNVILEKEPDLIADAELVEAMGRTALYYYRQLLDEISPREMKTLA WHQQMFWQSAQHWVEEVSAGRHPTVKKEWMNDTREIVWKQAEDCQNSIDIVIMRALGE VLPSIARGETQPLEVMMENNMLSRFYAEAHGLPPMNDYIARAVGQIVHRYPKANILEI GAGVGGTTRKVLPAIGNAFGHYTFTDISSGFFEKGAEKFAAHRRKMTFKVCDIEKDPI EQGFVEEGYDIIVAANVLHATRSLADTMRNVRRLLKPGGYLVMMEITGDLMRLGFIMG PLPGWWLGPQIGDEGRQWSPGISLVQWDDLLQRTGFSGVNQVVSDDAVPHKHYVSTII SQAVDKEFDVLRNPLSDLSLVPPLPHDLLILGGESLPVAQLARNLKKTFTLWNTTVKI VASLDMLSVEANERMSVISLTELDKPLFTDELTAGRLERLQTLLRSSDSILWATAGAR CSNPTSNMFVGLARALRTERPDINVQLLDFTRLADAAPDLLAEYFLRLALVKQSTYRD KTMVWTTEAELAFDGEKLLISRLLPDNSRNERYNASRRSFNKVVTLQESEVEVNTEGG LVSLLGVDSNLARRLARPRIEAEEILNVDLSVAFSNSTQKYFLSYGSIKSKSKKAFAI SQHNRSVLLVRSQNTLLLDSIPPREVEIVEAVAGQLLARNLASSIPRRGDVLVYEPPS ESLVRAIESSSWWKGRRVHVATSRTDIPIPASWIFIDPHALASSIKQLIPNSVTAVVD LSTPGCRDVADFAPATAVIRRSESAFFGADKDDLASAYNDALVTLANMRESETKTLPI RTLSECRSSTIIYPSVVDWRQQPRETLSVQVKPLDPVGIFSSSKTHLMVGLNGELGQS ICGFMVRNGARHIAISSRRGEVNPGWLETIRREYDADIRLYKMDVTNRQSIISTLDQI EKEMPRIGGVANGALVLQDRDVLNMDIDSLNNTLKPKVDGSKYLDELFPHQNLDYFVC FSSVAAVGNNRSQGNYHAANLFMASLVANRRARGLAGSVIHVGVVIDAGYVARQGRKL AEDLRKQGFMPVSETDAHFLFAEGVIASPADSELHADICMGLEPFMDSADVPLRPPWY GDARLSHFVVQPEQDLADSKQGTGDMLYLRQRLDGATSVQETNSLLQEALLSKLGAMM QLDAGSINVHVPLLDLGFDSLLAVELRTWFLKEVHVDVPVLRLLGGDTTSEICEETAA QYLAFKSEQAHRTEGVLAKSSQPDNDNITSKEDSFLREPSRPTSESSEPPSNTLTSSS LDGHNTSGLPSPPTTTLPTPNNERLAVSDTESAQSKGEPILGTQAQKRMERSTSRVEI MSYAQSRLWFLGQYLDDPITCNIAVRYIVNGPLDVSRFREALNTAIAHHPSLRTCFYA DDETGEPTQGLLRTPLPASGLKYLHASHEKDIEYEFDLLRSREWNIAYGDTFGATLLS TRRKDEHIVIFGYHHIVIDGVSWYTILRDLERAYMAQPLSRQRKLYMDFSLDQRQAVE SGAMDKAIAFWETVHANLPGALPLLPIASVRRRRPLRRYESHTVTTDIGSDLVARIKE ASKALRVTPFNFYLAAMQVFFSKNLNIEDLCIGITDASRSYDELTDVVGFFLNMLPLR FKVGQRDSFADLVQRTSRHVLEGQANGHVPIDVILNRLNVGRDVSSHPLFQVTFNYRV GAMAESSLGKDCHLSVQDIRDAQSPFDIGFGIYESVEGSCNLQVVAQSYLYTRDAAEL IKNAYVHLLDVLSSNPLRTLHEYSSFEPSAVENGLLVGKGPRQTWDWPDTLWRRVDDM VTKHTQDTAVIEPRGKLSYGELRSRIHLAASIIQKEVPREGLRIAVLCEPSADLIVSM LAILRLNHVYVPLDTNLPKERHAAILADCEPAILLCHQDTLESALSLGTNIPILNISA ASTQKLGTEANPPEHSSDPFRPAFLFYTSGSTGKPKGIQLNQSGFLNHLALKASELSL ERETILQQSSFGFDMSLTQSFCALANGGTLVIAAKTLRGDPVALSKLMLEHDVTFTIA TPSEYAMLLRYGKSWLRQCTKWKHACMGGEKVTQLLLREFFELGNPNLQLRNCYGPTE TSLAVTFDEIYSSTVGEIMDHSSVGRVLPNYSVYILDETSADPVPLGYPGEICIGGVG VAMGYLNLPNLSGAKFTHDPFADAEDVARGWTRMFKTGDRGRLQTDGSLIFMGRMEND SMVKMRGLRVDLDDVANTIVQTAADIVSEAAVALRGEDEGQILVAHVVPYLGQAETLT VAELQKLAQSLPLPAYMRPSLIVPVGHLPRTLNELYQASTLGRMAAHLHRVKEQEPVD KIDWEKETEYQTSSLDLHSTPSACFRECNREILLTGAHTFLGAEILQSLAGDSSVKRV HCVALPKISSNILPRSSKIASYPGSLQSESLGLSSDDISFLQSRIDLIIHAGSVGHCL NNYSSVRAPNLGSLRFLVDFALPRRIPIHFISSNRVVLLSGQYTLPPVSLHQHQPPLD GAEGYTASKWAGERMLEKVAQTTGLAVTIHRPCAVFGPNAPSEDALSALLRFIVSLNA VPRFKNLVGFLDFAPVADVAVSIAQLALNRDHSGGTGKRVVNAALVGKPPVNIVHHSS GVKTPIAGLRSRIETLYGSDFDELDITAWIAQAVKGGMDPLISTYLEAIVEKDKTLRF AYLGEEF UREG_06500 MSRGKTCRFGQSAGQNGRSDRTAAVADRNALPSQQQQQSPLAIP AAVTIPPLASPEATSVQAHLLEELQSLKKLASDLERRVFQTTGLQDDCHGDSVGLSRP PGASQLDAGIARPSSLDQVKEVVAHLERVSMVQSAREPIDADGLVFKIERIRNISRTP SYTVQSGKTVRCIWLPRHEEARALLDKFITDVSYILQVVHHPSLSAIIDDIYRQVENE GTVKPGHLVLLLSVIAITTEVWTQSDDVRGDGPLFPSSAHANSQTSMWIKETIDVLNG GQNGPALALETIQGIIILSFVLSNLEGVSLRYRSLISTGLLLSRELGLHRIDHESNAA TANTTQAEIGRRVWWMLAARYGGPGEGVYQVNPRHMIVKKPRNINDVDLVDNGPQLEL PISQPTDMSYFLQRIRLAEISRSIVDHNPMAVISFGGLSYYAHVIAMDFELSEMINNI PSFFHLDRYEANTSSMSSGIFIQAYLLNSVIHTQRCKLHLRYLTSGPNNNPNYASSRA TCLTSARQLIRAEAQLERAQHPFVLIRLRLSGMLYGVFLASIALLMDAYINGAGSLQD EIRRGDVAEALRIVEGARSHSWAAANLHESLMQVLAKYRAQQPQQRQQSQQQMQQHMQ MEPTPRPMTGVSTASSAPAIDAATGQSAQLSDQPAISSALRGLDSGNPMPDQMSAATT SQAPYYNQLAESLEELMYTDGFQWDDLFSGIDSVSFF UREG_06501 MTNDEKSKVPDKASLARLTTDIERDSGADRSPDGSEKPKDPNVV DFEGPDDVENPMNWSRSKRLTSITIVSLMTLLSPIASTISSAGASEILAHFHSTNLSL AAFVTTVFLLGYTFGPIVIAPLSEIYGRAILYKICMVLFIVFNVACAVANSLGSLIVF RLLAGIMGSCPVTLGTGSIADMVPAEKRAGAMAAYVIGVILGPSIGPICGGYLTPAAG WRWNFWLMAIASGVMTVPVMFIPESYPYVILKRKTERLRKETGNQDLRSALDTGRTPR QLFAFTILRPLKMLISPIIFLLSLYSAVVYSYLYLCFTTFPGVFGTKYGFGSGASGLA TLGLGIGSVIGLFFCGGTSDRLSKHLTQKYGGDTKPEYRLPVLILGGFFTPIGLFWYG WTAQHDTHWIVPIIGTGFIGGGMIVTYMGSTMYLVDAYTVYAASVTAANTIFRCLFGA LLPLAGPAMYDSLGYGWGNSVLGFIAVAFIPLPFIFYLYGQRIRESNLFKIEY UREG_06502 MAPDKVKLLVKSLGFFIPYLGQATISRVAAYCHSWTWRNTPDAK NVVVLGGSFAGIELVKRLGETLPTGYKAVWIEKNSHLNYSFNFPRFSVLTGHEHTAFI PYDGIAKGAPEGIFCRIQDTAVALTDHQVLLASGDKIDYEYLAIATGSTQPLPVQVAS TERSDACHELQSVQQTIKASQKIAVVGGGAVGVELASDIKDFYPDKEVTLIHSRGQLM SHFGSRLQAYALSVLRDELEIRVLLNERPNMPSAGNFARSASLTFSDGREEQFDLIIG CTGQRPNSSILKSLYPSTVSNETSRILVRPTLQVLNANAPNQDLPIFSFGDVADHGGP RMARAGWMQSQVVLDNILAKIHGQTPTRKYTPNVFLEGAIKLTLGKTHTVIYAMEDDG SEMLIPSRDGKLDLGIESAWKEYGVDFKRASEGHADRVEVGAGAAGSQC UREG_06503 MVTLSELAQDIQSHVAVIDKYLKEHNLPQPTFDADSPRELPLDA NVQRARMLLIEQASALANLATGSADHLRWHCMNSKYDDTALHFLAAYNVFDAVPREGS ISYAELSTKVGMPEHRLRRILAQAYTQHYFYPPKPGHVAHTSNSAMAVGDTLARAWIL HNTEEVQPWYANKLVDATRKWQDSYNPLHTGPNVNAKPGEEKSFYQIMDGDDDGEWNG VKGKGFRLRRLYDTDQFFGTGGAMKGTNIIRAYDWAKLGRATVVNVDGITGYLASTVA LAFPELTFIIQQRSSPWYEKQFYESLPVKLKGRVSYMAHDQYNEQPVKGADLYFMSTV LHKENDERAIKIIRRCVEAMDPKKSRILTRDIVMDGGDPPPEDWAVKGGGAYDAGLGP TGVITRLNIGVDLQMLSVLNSYERTREEWVALFKKADPRFALKQCIQTVGNCASLMEW VLE UREG_06504 MTTNILVTGANKGIGKGFVRHFLQRPNTTVIAGVRAPSSAEAIT LTHFDAAPGSKVVLVQINAQSETDASDAMRALASQYGIDRLDIVIANAGIFDAQAHQK VVDMKLSDLQEHIDVNAFGVIRLFQATWPLLEKSSKPIFLLNSAGAATMGGMKPFAHF PLSSYAASKMLANFFILRLHVEHPGLIAFAVHPGSVVTENRTAAAARLGVRVEGLSVD ESVTSLLALLDSATLETHSGTFLNVDGMPIPW UREG_06505 MVMFNVTLKPNASPEELEKAKEEAKKTGGTIRHEYKLIKGFTVE YPDDHVHVLQSTSSVNVEQDGPARTQK UREG_06506 MATKRRRQAEELEVPDSSENAAERKRVLNVLAQRRYRTRKRERL AALEKELEKKSSRSSSERAVDGSSSASPVNLSPASAQSTRAGGCVDIFPPTPPEDSWH TRDTLLDTFPTAALSDSDSSLLSQSTFMDSFPEALLDLEPPTSPLNLDLLSAVSQPLP ESPALTWQFLSNPANLVDGCNPDLSSSLQSHQTSTFTFPDDRIIDVPTLTLLKAVMVI ATRLDVHQCLWDLSGVSPFFTGPQLNPHSISGPNLPCLANLPAHYQPTATQRLVPHHP VLDLLPWPTVRDKLIQVFSLPPHLRPAPAADPMGLVTLIYDIEDPTEGLRVSGSDPFM ADMWEVGQVVFGRWWWAFEGKIVETSNSLRRRRGQQGLVLGTVE UREG_06507 MLGFRTAVRAHTRSWPVSKSFVGLGRWNRSINHVCGRSVTSIAS SPRSFPSFGFDEVDHWQPIEEERLPNYQAEKYYPVRIGEIFNSRYQVITKLGFGTAST IWLCRDLRERRYLALKVHVLTRDLPAELVLAKYMNGINGKHEGAKHVRRVLDWFEISG PHGRHHCILYEPTGVDITTFIHRLKGAALQENLTRITARVMLMGLDYLHKINIVHTGD TTTVDSI UREG_06508 MPPFRQAYCARLFRPGLPLQSTRSLTTNPWSYPSSATDVVTDEV TQLAASPKRPLTLNDLLRHGCPPLSREALLESANFTLSLLPARLAYRIQTLGNLPFIV VSNPHISQIYNNYVHSLSTLLPFQKKRITTVEDEIQFTETMAELVQTHTNTIPILARG FLECRKYISPQEVTTFLDEHLRARIGTRLIAQQHLALHMASQPTEEGRPPIPSNYIGV IDTALQPARLIRSCEEFVAEICELKYGIRPRLIINGEVDATFAHIPVHLEYIITELLK NSFRAVVESENEREPVEVTIASAPDQKRAIWIHRLANAEHYNPFP UREG_06509 MTKDERNTSGSQASQKATERLNQLSSHLESGANQAKKRKSTKSA LPADHSDVLGQIATLRTLAANPDPKNRGYIRQKQAGKLWVRERIHELLDPNTFQEIGS VSGTVTWKQTGALTEEPVAFTPSNNVQGMGKLNGREILLTADDFSIRGGHADGAIAAK TEYMEQMAIALRLPMIKLVDGSSGGGSVTTIRTEGWSYIPQVKLFKHVVEQLNMGIPN LGAVVGPAIGLGAARVVSCHFSVMAADIGSLFNAGPKVVEGATFEEGLGFQELGGPMM HCTNGTIDNLAANEAECFEQIRTVLAYLPNSGAEAPPVIACSDPENRQDISLRSIIPR RQQRMYNPWAIIKSVVDKDSWFEIGPLWGRTAITGLARLGGRPVGIISLNCEVNSGAL DAGGSQKLTRLLKLCDVMNIPVLQFIDVRK UREG_06510 MVPPARPIRKLLVANRGEIAVRILQAARELPNHVETYALYTPGD QSHCDIGAPHHTLALPSPASYMDVSLLVKLAKEHSIDTVHPGYGFLSESPEFAQRMWE EAGAKVIGPGWDVLAQTGNKLQAKQLADACGVPVLKAITQPTSDLNVIKAFAAEVGYP VMVKAVDGGGGRGIRLVRSESMLQTSVDRATGESPSRKVFVEKAAVEGYHHVEVQVIG DGTGQVRHLWERDCSIQRRFQKIVECAPALIKDRGLIRRVIEAALLIASRIKYLSLGT FEFLVNEQLSEFYFLEINPRLQVEHTITECITSVDLVRSQFLLAQGASLQDIGLGEKR NPEVPPGPHSIQLRLCAEDPAANFALSIGKITEFHVPGGNGVRVDTNISAMSPVVVGS DFDNMVAKIIITAASWDAVISKARRVLEDTRISGIKTNIDVLRAVVDSNDFREWRADT QWLEANLSDIVAHGQRLSQSIQSSRPSLPSSSVSQALSAAASSVMFRKGDTWSIDLQP LGEKSDSPQPQLPHHLLLKRVLRNEFPSSLSAEIEYTTPAAGSTAAKTTPYKMTLSTT STSASSLSSTHRLGDPNNQAHVTLPMSGKLIEMLVSEGDEVEENAVIAFVKQMKMEIE VRSPRAGRVSWALELESEDGDDVPEGVLLAELEAMGVAATSAVAVRGRL UREG_06511 MSRVTVSHFLEEKAKALGSNLDYCRTSQDSLHRACSEKLLSHKE YSEEMLKVDQVSKPVVSELRVLKRQRRTLEHDVQEGPYLEKSTLLSLGRNISGFELPP PIYEGNTFDGDKPDSENEDLALSALLRDAMIAMAKEAEKKSGSEYDESSESDEEE UREG_06512 MGGPDVYQRWIGNYFNGYELHTPDGNVWKFGKKLSERTNFCPAI YSKRGIAMSEAQAVYCCHQIEGDAPGMEIIIKVHLQAPPKHPISRNPEVRRRLAEEEP FTWVTQEASSLRRLNDLGCRVTPELFHVAYTQQSDDMPVPDGYLVFIMMEKVPGISLA AFWDFDFAKREKIRAAFRESLSELYRCHASSHDSHLGNLVYDEERNKCWIIDYEHIFL NERTPPPGFYDEEYLLWGLAYERGGKELPNGHYPAHSLSIIPHFNRNAHQTRASRQKL DSHPAMTAEQPPVDPSPSPDPTAEARTAFLASLKSVGSNLDTDLRARASTLHENAAII QKQEAELKRTTDQLAKQGNELEKLADQGQQGLKEVGDLQNWAEMMERDLLIVEESLRL ADEEDLKNGKMKPQQEGRQRKKRKNRPKYIQNLLLEITILLQESSPNSKSMLVGTLAF TFVVDLQFRPLVPLIPPAQAHQVPHRRPSVRAIPWLIDRSHVSNDLLHLFDRKSLADH DARSTGTHGKHFAYFTRAGNMAIRRL UREG_06513 MGRGLSGLVLRSGDLLCFVSSMWEISEQFCSMATRIAYALILLV NSILSWIMLTRWALNKLEHLTFDFLPISCDGEKCHGWVAVHRINFALGLFHIILALLL LGVRSSKDHRAGLQNGYWGPKIIIWLALIVLSFFIPEPFFFVWGSYFAFIGAILFLLL GLILLVDLAHTWAELCIEKIEDNGSRMWQTLLIGSTLGMYIASFAMTVLMYVFFAHSG CSMNQAAITVNLILFLIISAVSIQPAVQAANSRAGLAQAAMVTVYCTYLTMSAVSMEP DDKQCNPLLRARGTRTASIVLGAIVTMLTIAYTTTRAATQGIALGSTAAHADYSRLGQ DEMDHGLVTQQPGRNRREMRAEALRAAVESGSLPASALDDSDDESDDDADSKDDERGS TQYNYSLFHIIFLLATMWVATLLTQNLDTEAKDDLAPVGRTYWASWVKIISAWVCYGI YLWTLVAPVLMPERFDG UREG_06514 MASSVTATQRLLTVVAATVIALASGTNYVYSAWAPQFADRMNLS STESNFIGTAGNIGTYASGVPIGLLIDSKGPRPGTLIGTVALFLGYFPIHRAYASGPG SMSVPVLCFFSFLTGLGSCAAFSASIKTAASNYPHHRGSATAFPLAAFGLSAFFFSTI ATFAFHDDTSLFLLALAVGTSSLIFVSSFFVKLLPHPSPSSYATISDHESGTVSQSSE LHRTRSQGSSHGSIETTHNSPSSQNDLASSAPQAGPAIPNTDAADETASLITRSSATS DDSFHDEDVKSRANTDSLHADLRGFAMLPTMEFWQLFSLLGLLTGIGLMTINNVGNDV KALWKYYDGDVSPGFLQKQQAIHVSTLSVLSFVGRLISGIGSDFLVKKLKVSRQWCVF VASLFFTAGQFAGTQISNPHHLIIVSGLTGFAYGMLFGVFPSLVAHTFGIGGISQNWG IMTLAAVVGGNAFNLIYGSVYDRNSVILPDVEGDCREGLACYRSAYWVTSYAGIVGAL ITLWGIWHEKRVVARLTGKKSNVHD UREG_06515 MADHTAQYQSVCQNCGTSKTPLWRRDGMGAVLCNACGLFLKLHG RPRPLNLKTDVIKSRNRVKTSGQGSKRKSTADMNGFPTPKPDTLVASGPYGFGQARKT SPRSDRSHSPLSRTDTPNFGHNSNIAPPNVFDSVTLSEHGISPSTAGLSALQLQHPSP GSSTSSHDRHLDMAQTYEGLVAANTSLKTRVSELEVINGLFRGRVTQLEQSEEASRRS ELNLHGSELQLRRSLEEAHQREEDLKRKIKELEQALSKYKQQENSDNPRDDPLEPQTK KARLSEEVDLSADTHVDTPEQP UREG_06516 MPSAKHRPATSGYARLAQADDDREFEYLSDDDPYAAPPTLSSSV PRYAPIRPPAQMHASRQSSPSYRSRKPRYGMSRRGRTDSSGVDIKAINMRLEKWAEEI ASKFKIHRVKGKTNEEERLEIHHTVFQPPEGVRPVTAASLEAEPPETGRMTEAEFNDI VESVRVAIELGVHPKMISQGSSGSYFARNSEGKVMGVFKPKDEEPYASRNPKWTKWIH RNLFPCFFGRACLIPNLSYVSEAAAYVLDTRLKTHLVPYTDIVWLSSKSFYYDFWDRR RAWRGKKPLPAKQGSFQVFLKGYKDANIFLREHPWPDQTNTGFRAEDAPRRKKRPWNE ACRPSGIHSDDEDEYQDSRMLSPSPQEDTPRKFHWTENLKQAFREELEKLVILDYIMR NTDRGLDNWMIKVDWRTEEVSIVSEPPKINGVNHLDDEDHMPPPRPVSANSERIGSPM YPYKRHEAMVATSRTGTPSNAPEFLNASISIGAIDNSLSWPWKHPDAQWRSFPFGWLF LPVSLIGQPFSQKTRDHFLPLLTSTAWWSGTQTALRRVFSQDCDFKESMFARQIAVMK GQAWNVVETLKQVDHGPLELTRRTRVCVWDDLVDIPVAIPLRVPSTDAQRLRARDRQE SQEEMDISAAMASEPGPHRDLLSFGSPTHELPNPNRFELSRDTTSFESGRSRNRTTSP ISFNQIDYGNLDSLGDGGRELASSWATLPPRPTERHGKKYGKRPSHRKRGSLSRRDST PVFGGDDLEGDLGYAAAEEMDGHERKVIVERLEAVKSKNPVFTWC UREG_06517 MNGPSAALLLDPKSFKKQAATKPSPLTPPKDDVKRDELPPRLGH SEIRSQSNDRLESKRGHDQLHDGSQSRMIENMYGVERRENQPLKKIRVDVDRGSRSTP NIKDKFSGVSGTSLGAYMKEDSAQSGPTAPPAAAAIDLTSDNEDDEVIFVANRDLGAE QVCFGKLEGATVLAHQVPTPPRTVFKDMMHDWPSIRCELVRHRSQDTRIEVKDPADKT FGLLDPKTSAALAPLLDNGVAKFRAQARLDVRKRQSDEWPGQPCSGNFRISINLYGPR RLAESTGKFLGQKNLWLGVPNAVEAGISVFNPHAERRSALAAKVPTNRFSIQSETRTA EEINSAVTRMFDQLRSAENLPEMQPSALIKTPLLPHQKQALWYMTEKEKPRQLGPKEE DNNSLWRIHYQSNGRKLYREIISGVTSVEEPPQALGGLLADMMGLGKTLSILSLVCSS LPQSLDWAREQPPHGSLMGQPPIRNAKTTLLVSPLSAVGNWTTQIKEHLHEGSLSYYV FHGPSRTEDPAQLAEYDLVITTYSTVLSDLSLKSSKRKASPLAQLNFFRIVLDEAHAI REQSGAQSQAIFSLNAQRRWSVTGTPIQNRLEDLGSVARFLRLFPFNEKGRFAAHIIA PFKCENPNAITTLRVFIDSFTLRRVKDRIDLPPRNDQTVLLTFSENEKALHEFFRKES NVMMNVIAGQSREKMSGNMYHLVLKAMMILRQISAHGKELLDQEDRERFKGLTANDAI DLEEFENNATDAAEKKAYEMLSLMKESSADICVKCGNTILLQSGDETPGDKPATVASM LPCYDLLCGDCFARFRPVFDDNAGKPVQLKCFFCQSLIAPAYTIISAAGYEKYQTTQL ASRQSRKQVKVMGQYEGPHTKTKALLSLLLSTAEESKRSPDQPPIKSVVFSAWTSHLD LIEIALQDSGITGFTRLDGTMSLKQRNAALDAFRDDDNITVLLATLGAGGVGLNLTSA SRVYVMEPQYNPAAVAQAIDRVHRLGQTREVTTVQFIMKESIEEKIAELAKKKQQLAN MSLNRGKSDKREPMEERMKEYRSLFR UREG_06518 MADILTQLQTCLDQLATQFYATIAYLDTYHDHSPAIPPPNVPNA VPQLNKIPKNPLPAVAAAGTTANTPGAPLPPDAAPQQQQQQHPDGPPPDSPGTFLMRQ RELARDLIIKEQQIEYLVSVLPGIKSSEAEQLERIKQLAGELRAVEEERSSKRRELRK LGEKVDGLLGATCFSSSWILPVTNRRRAESQP UREG_06519 MRPSRQLQPIYSRLSHYIRRAPCTPILRWISSNKPSSLPTPPPA PTSQHNDLPSFLAYATRTSLSPSSTTYVGTHYEYTVLHALRSHSLNLTRIGGRADSGI DLVGTWHLPSHAHPLRVIVQCKAFRNKLGPNLIRELEGTFAGAPSAGGDQGSERCVGE KQVSADVDPSGRGRWCD UREG_06520 MAGRAFRAPIRILWLCFSSILLYYCLRSFFTSKGQHTAAPDSAS RQHLVVASLRSDNTTWLVEHLPEWHTNIYVADDPEARLTVPLNKGREAMVYLTYIIDN YRNLPDYVIFIHGLRYQWHNDDPIYGTFTEPDQKMACQSSAVFAFRYAPLRCTWVPGC PAEMQPLSPTERGLPVRVASERAYASAFKALLPKVPVPSEVGATCSAQFAATRERITR HRKSDYERMRRWLIETELDDEVSGRIMEYSWHNMSFRHTPKFRMVGLKGVEERTDGQF PAGTSESIPHGAGIVSDSFAHTPGSTRIGWFARSANQQRGDAFGIWRFFPVHGIGGIA PDSI UREG_06521 MGGGWDSRRPAPSGPPFPPNPQAGFRGMPPAPPPGFPPFDPNDP LAAMLALQSMGFPGMPMPPLAQNQAGAPPAKVAQRCNNYDTQGFCVLGSTCPYQHGSE HVVAPSKDDEYDPSKSNIVTDRPSSTNGTNGHGASGTSRGGDRGRGRGRGRGDRGGFG QKRGRAEFSQNGPNEDQSITTIVVEQIPEDKFDEQKIREFFSEFGNIVEITLKPYRHL ALVKYDDYASAKRAWGSPKVIFDNRFVKVYWYKPGRDDANGDVKAEGKPFNQEEFEKQ QAEAQRAYEEKIKKRKETEEAMQALEKQKEELLKRQQEEKTKLMERLGMKDTAGPKPD QMEVQKDDENGTIPQMARIVNKPRQLREQLAALEAEAQSLGLDPNAPTESARGRGRGW GGFRGRGGLPPRGRGYDPSFTRGGFRGRGGFRGRGGVLRLDNRPKRVAVSGVEFNTDR DEALRQYLLTIGEYESIEPNPERSDSQVVAFKDRYLAEQLMFGSSDIPGVGKVEMTWV ANAPTTSSAPTTAPKKPDGDTVMGGDDSELLAMKKDTGHDVDYDVAEEDDSWGIA UREG_06522 MQYQTLLLLAVSAATASATYAGNLNYRSPSLNHPDLGIAVSKVV KRSDPAAAFDPAKLNFTHGVASGDPYANSVILWTRVKDKDPGHWRSPLYSPVPIYNSS DEANDYIYEYADGFYGSGKDIGRVPLPDRTIYTLYDYRKRIATHRTDIDLVANHQRFA WIPVWDDHVLSNGAALLEVGDNTYRDGSSLLRNVEASFLISGGVSVDQRKMNAVRAYF EWMPIRQVDMDDNLRIWRNFQIGSLVDVLMLDTRHYDRSITDLYLNHFYVKKLKDDAS RSLMGSQQENWFYRNLIKSSERGAKWRIVGSQIVFSHIDQSAAFGKLQDFNLDAWDGY QANRNRTFKTLYDNKINNTILIAGDSHANWVSDVAWLDHAKYDEKTGAGAIGVEFAGS SITSPSPAGSAKIDATVKKSKSLVDNNSILQWSELYYRGYFELHITPAEVQAKFFGTP KIRERDFSEVSLANFTVKEGANCLDRGSTGVPGGGIVENGWLKGGKVKRTDLANNTET GQWMKVN UREG_06523 MELQDTYSLSAGLKRYHDSGQFTDLTIRVAGEEFKVHRVIVCSQ SEYFSRLYDGDWKETARNEVDIEEAEPGVVEAMICFMYNRNYDSSGGPQCRVSPLFLN AQVYSLAERLGIPCLMEKAKEIFKDIASTCWDMDDFPPVILEVYTTTPETDRGLRDLI VRTCIANLESLLKKGEFLSVLESCAPFSADIARTLAKISTVQRNIYQCPDCRNQFEAS ISGGQISYCVHCGSCDSNWDTHKVK UREG_06524 MAAMGRLLNKVAIVTGASSGIGRAIALRYAAEGAYVVCADLDPA SKDPEDTRPTHEAVNQTYPVPQGNGASQENGIPSQRSIFLKTNVTSASEMESLVQESV KTFGRLDIMVNNAGVANDPNYGPARLHETPESAWDKIVGVNAKGTWLGCKYAVTQMLK QDLHPNGDRGWIVNMASILGFVGTTGTSPYCSSKGAVVQMTKAIALEYGHEGM UREG_06525 MAAKHAPLPISRLSHRIRSRSSVATAPSEGLRVRLLRAIVCSTL RILSYAASKFERDWEFNPAEGKYVFSRRHTISTSPTATRQTPRQTTRSPKVEKSISPR QRHVNGERLREILDNGRPRIAPGTYSINGATHEKDLYTPNASPRFIDSKSRHAPLDVA HIPRLAPPADIVSGALNNPRRRPNPNHEASNGPLYSTSSPNKRPILTHQSSTSSSLDD LASSASLLPGTPLQPQFPQLSSTPLNNPHPLAKQRTPSQNALMEQDAIETLLFMSSPE NSGYFPGSQQHPRPPIPRSANITPLLSAFGSESASTGSVMETDHDAGRRAAIQRTTLV NNGTNGGAYGCYAHSRIPSGAGLEHEAGDEIDRMLDEMVDSDEELGFKWLPASTNQAN TSSLQPNVAGSGTLS UREG_06526 MSVIAHVDHGKSTLTDSLVQRAGIISAAKAGETRFTDTRQDEQD RCITIKSTAISLYAHLSDEEDIKDIPQKVDGNEFLINLIDSPGHVDFSSEVTAALRVT DGALVVVDCVSGVCVQTETVLRQALSERIKPVCIINKVDRALLELQVSKEDLYQSFSR TIESVNVIIATYFDPALGDVQVYPYKGTVAFGSGLHGWAFTVRQFAAKYAKKFGVDRN KMMERLWGDNYFNPKTKKWTKNGEHEGKSLERAFNQFILDPIFKIFNAITHSKKDEIT NVLEKLEIKLKSEEKELEGKPLLKVVMKKFLPAADALLEMMVLHLPSPVTAQKYRADT LYEGPADDEACIGIRDCDSKAPLMLYVSKMVPTSDKGRFYAFGRVFAGTVRSGLKVRI QGPNYTPGKKEDLHIKAIQRTILMMGRFIEPIEDVPAGNIVGLVGVDQFLLKSGTLTT SETAHNLKVMKFSVSPVVRRSVEVKNANDLPKLVEGLKRLSKSDPCVLTQISESGEHI IAGAGELHLEICLKDLEEDHAGVPLRVSDPVVSYRETVGAESSITALSKSPNKHNRLY VKAEPLGEEVANAIEAGKISPRDDFKARARILADEFGWDVTDARKIWCFGPDTTGANL VVDQTKAVQYLNEIKDSVVSGFQWASREGPVAEEPMRSVRFNILDVTLHADAIHRGGG QIIPTARRVIYAATLLAEPGLLEPVFLVEIQVPEQAMGGIYGVLTRRRGHVFAEEQRP GTPLFTVKAYLPVNESFGFSADLRSATSGQAFPQSVFDHWQILPGGSPLDPSTKPGQV VQEMRKRKGIKEIVPGVENYYDKL UREG_06527 MYTEKPSPLYNVRLTLAGINIHSSAPSLQVENSFADMDLGVGVI QINLDNSDDLGLPQLDVSFSRILFDLRRRESGQIQSFGTLDLSAQLSGTSRKTDKDGV VRYYHLSSRCFHIALSAETASMMVDIAAHLQERFKTLDMSQDIKQLRKLRRIRSRGKD PASQIPTINVDEPSARKDLFDAIYSVDLSSIQLSWLVSTFAKPPQGKELEDLVFSVSR IELATGRQSAARLRIEEMQLQMVPQCDDKQNRSQNSALLPEAVFDVGYLASGSERRLS FQAAGKMLDIRVTSDFILPASILQRSLASASESLREAKLRWRKEMPSEQEKKAIGPNI NQLTSLLVDADFAGAVVSLQGRHGPREEGAGTTASFNLESSGAHGAHYFPQDTISIAT LRAPGVAIKVQFEDKDGKDPMLNAEVKVAASTNVLHPTVVPLITEITSSVKEVGLRIC KQEFTLSCQPIARVAATARFEDSYITVNTVQSAEQRRFFAILVAFNKLQASIKHVYSS ESTANFDIDSIVVSLMNSKHVSSSNGISAILRINPARVHINAKQVQDFLLFHEIWMPP DDPSNAQKAKPPSLDSQAYLVQQYQQMASAEAFPWNSTIAIEQLDVQIDLGQTLGKSQ FATKNLWLSSKKNSDWEQNLCVGFETMSIQNTGRLGGLVELFDFKIRTSIEWMDGHHS EYHTPLIQAAIGFGRLQSKVSFEYQPFLVANVSSFEFLMYNVRDASKTHSDRLVSFLE GGKLHVYCTTLTASQGLALFQTLQRLVQDKQAAYEASLKEIEGFIRRRSILGFPEAEE ASAARSDPDEIKMPISLQTKVVVSLQEVKIGAFPSTFQDNQIFKLEALGAEAQFSVAI QSGKIHGGLGLTLGQLRIALSSVNRPTEVIPGEFSVDETVSRAVGSRGGTILKVPRLV ATMETWQIPTSNHIDYIFKSSFEGKVDVGWNYSRIAFIRGMWDTHSRALANRLGKPLP QAAVQITGAPNGEDGEYSGQEKITAVVNVPQSRYTYTALETPVIETPQLRDMGEATPP LEWIGLHRDKLPNITHQIIIVTLMEVVKDVEDAYFKILGS UREG_06528 MSSASRLPNIAEGKLTAQPLDAVPQFNWVFLIELIVCGILAYTW HYYRIYIDIHALQISLLGGRIFFKGVRYHGENETILIHSGYITWRYWLRLVRDSELVQ GQTPLPHAASPPKSDTTQEDQVKSPEGKESANGNTKEQNQLPCRIEITLHGVEWFVYN RSAAYDTILAGFQPSGRTNPSKVKQEAGADKDATRTAAKEAKGSGIEVSSKPGVNSSQ NESLAKHDTLASEPDSVDDASASSKLPTYLSLLPIWINCYKGALVVGNEHVKTLLTTT FEKGHGRIDAGDSGALDVYKQIFEFELVHPTVQFKPNPDFKSSQLAAAKTHSNADIDN VTQSRRRHFRWAFQLRKRKLWYSLRDLVPYFQKSVESFQVHHKGATASRSMNLPETLP AESHWTGLTRYLDEESRNEHEGWNAVEYGRFSTLLDCPSLMLRYHWDIPGKVSARRID PASSVRRMTNNINGAEPPEWGMHLAVRGGMINYGPWADRERANLQAIIFPNSYRDSQP SAPLEIGEWRQSTLFDFTITFEEETNLRIPTREPSKDWIWKNRTDATKPKNRKQNEHP RGKEADKGNHGPDIRPFGWLALGIEAGSKFEYRMGMFATPTGYGNQLVLDLQGSRVTS SVNHAVLWHSGPQRISCDLSNPLEWNTLHTWSFTIHSNNLELFFLRDHIFLLTDLVND WTSGPFSEFYTFVPFQYNAHLSFTNVKLFLNVNDSNIINNPTDIEDNSFLIIESETLT SNVCIPTTNYKPKRNAIPFDLRLAGTTMKFSVPSWNTHRTFLEQSSLASLDNFEMSGN YSYNTLTSPTLTDILTLDLLGNSLEIYIYGFLVDTFLKVKENYFGENIHFKTLEEFQE IASSDKTSVSNSVTNKSNDLDVILHIHAEDCTVLLPSNIYDRLHCVAIEAASLDADAR FTNYYMDLQTSFSPLAASLRAFDKSTSRPELFIDGLSVYGHRLFGLPPTEPTYVCNWD FDVGRVIGECSTDFIKYFKSALRSFAYSIDDEENSLPPLKPIELHDVVLLRAKVDSIQ LWVILDTTALLFSSDAMDFNFNDWAGVKFSERLDLSLPDITLAIVDGSSPRWLDSAHP VRTYACFHTSVKLKMVERKANFLANRELQQQHVQKHDSRTQRTPWLLLKDEKGWTEKY VNRDQPNRAAMPVPFMPPPLQGPRDLTSDIMTLTSDFCGQLPSATKRENLTCSTHGSL GQNRPDIYPSAADQLPRTASRNTVMLPDKDSDTNRSRRPTSQFSLEGASRPFPTKDYA PKTATSRKGLSSAWMVPNFRFHRLVLDRSDLPALPFLGNYHEQGTQGKDELELILDDQ DANATHTYWLLDLISGISGFCHVETVSAIAGLLESLQPVHPIEVIDDIQSTVVSDILG HAKHLANPKRVMNLNLRLPACRVRFINSTAIEESEISAVRDQFDLEVVRARIMLSKKS EIRNDAVKPKQTGLTTHLTATVISLSALTEGLENPGAHKLCHLRTQDLVLWSVTEKAA RSRVQTQETLNF UREG_06529 MTHGRPPVQHTWPLNKCLPKVDISDPYSFLAIKRGILQYVWLKP ILTLIAIIMKATGTYQEGYLGVSSGYLWTGIVYNISVTVSLYSLALFWVCMHNDLKPF RPVPKFLCVKLVIFASYWQGFFLSILQWLGALSNGPPGYTPDNLAAAIQDSLICFEMP IFAVFHWYAFAWHDYADPTVSAARMQIKYAIRDAFGIKDLIQDSKETFRGENYQYRKF DSGDNVIAHEESHSRVARVMDGMRYERGGKAKYWIPKPGEVNSRTPLLDPPTSSRRTS QNWSRTNSRNDVRQSYTDIEEITLDDDDERLFTNARALEFGDWNYPVITANEVPRDQI LPSRTRSAASSTFRRTSEGDQVKKSSRHRSRRVQSQNDVPGSSVAEGGSKKSKTSKSS RQLSDSSSSSKSHPTNDQLVDIVEDVDGAVKERERAHAVGQEPNHLLESRLISRSSSS TTDPQSTQYTETRPEGSPPADDDTDSQDERQRLLRHMGDGVFNEEQNPWG UREG_06530 MAGVERVVSIYNNNQQPQTIPPMKLVIYDDLPSPNDAYPPSLSM SKWITEGRDLASKSNTRKSLLSRTMTRNRRSKIRSISAPTNFRRVTQPTNRRLSFRPL ELSIYAPGNHLPDLPEFSDFDLDGPQVPPRAIWSPTFKSHHRRYSDTPSTFSVPRKPV GSPRTRLSSIGDQDDFLGHRSTLSDSGLSQRMASSSISRINSRRGALHSRTQSSPVTS PLSPTKPNFNETTPSLGHANSLYSPAPSSPFTSKRRTYDKRSARSAAPPFFSYHVTAA SRRSHSLASSMTSASTLYQPSPFTQLNDKEYEFAIDQVLKSRTQAPRCDEVYPTIYES EQYHFSPSSPNSPTRIFIFDTQYPRRACYVLFGFDSGGMKMDILLYGG UREG_06531 MAGYVAGQVISLPDGRRAIIRFVGATHFAPGEWIGVELEEPTGK NNGAVQGERYFDCEQNYGMFIRPTAVTAVLEQPPRKEDVRPGPKAAQQELRGRASSTT GGSTIGARRQSVLSTAAAKRQSSNTSSPSPASKAALQSRSLRSPTKSPVKQLSERSAT LSRAVTSTSKPPLTTPRGKPSLSNRASMPPPSSASAGLKGSRQSALATPNRLSKLGLQ ARSTAASPARRVSLRPTGAKSSTPESSRSSPSERNEGSVKIDSSYLNTQHEPSQSPGA SPLSLSPSAHRRSISNSSSVAKELEDLKAKLRVMEKKRTEDREKMKALETIQAEKEKY EGIIQKLQAKYQPQQQEIAHLRRQLKEAEARVEDVERLQAEHDSILEMAALDREMAEE VAEAIKAEYEALKLKAEELELEVEVLREENQELGQITSPEEKSSQGWLQMERTNERLR EALIRLRDVTQQQESDLKSQIKELEEDLEGFNALKAKYESTREQLMVTESNMEELKQQ IEALGAEEMIEELSEKNMQYQEQISELKAAIDDLENLKELSDELEITHVETEKQLQDE LDYRDSIHHEQNRKIAHQDEVIEDLEYTLSKFRELVTNLQSDLEDMRVSQQISETEAN DLSVRSRAMLDLNMKLQASAAKTQVKTIDLELGRMEAEESAQHLAIMKEYLPDYFESE RSPVLALLRFKRVGFKASLMSNIVRERLADLSSASSLELDSFVPYDLLEKLTWISLLC DRFVNFAQSCSAENFAKLEGALYELDPVERIMNASIESLKKSELNEKRCAEELQRSVA LLSHLAESLISSHTAACADELYMRCVLTQTYMETAASSMSHLKLALQTKLTVNEGDKE GPFLFQKIDAIAGQARGSKVVAGKITRSLDELRLSSLSLPEASAELFEKTEEAAKEIS EIARLLGENILPIITEEGRAEPFTYAEIANSMTQTVATVCQPSTPQSDINDALSFLAN KIRSLGTYLEEAGSASSDLSQTIEFERHAAPWISRAKELKSSQTVSPDTEEEVRRLRN ELNEASKVLGLKDKGFEEQTLKIELLESRMREAGKKAGLVKELESKLEAAHSKEAELL DIMDRQAREIQNAEKERDEYRSKLEKLKRVSGSDGSSAGPDGMVPANAAASLFVMREN DALRAEVASLQAAVRFLRDDNRRARLLDPYSVQRANHLQSWLDTPLVRSKVHIKMSLL SV UREG_06532 MPEPWADTPLSLIADTGFQSRSDIPPNHGAIHFARNMACLHNLL LRSFNASYNQCLRVKKGTKEAADFLIFNQIMYESITHHHHIEEEYMFPRLEQITGVKG IMDQNIQEHKDFEGGLHKLSEYVFNTDASSYDGETLKGILEILGPVLEKHLHAEIPTL LDLGQYDTKALMGAWEFVSKKAEAGLVQSRTGMMALSCIDKTFVLDGEHITFPKFPAF VPYLAKYIFKWPHAGSWRFAPCDGFGNPRPLPFSASSSE UREG_06533 MASVQYARTYTIVNVKEEDRPRIIATPPTLVVHYACTGQMRAAR LGSPRGQPPMIASELGRKPENGHGGSLPASKEIFEYEKILNIRDQIFSGSHPRLKVPQ HVIRNFTPRSLQSPSIPTTPSGPPDSATTAQQKESQMSSSTQKSPSGSEPAMAMAVTT VTGTSAPSTGSLVAASTATTSTKPASEIDPIFLTKSDDLIRAEIQLQRQRIERALRDQ VEQRRTEARTKPLPQELNPDFDIAEVFGKALELSKPISEIDTEGANGNIAASDSFDEN SFYSSKAPDSPQNEPVVASPIAGRQPQPMVIDDAVADTYVARDNGGPRYMEKGIRSMV NLQSQPASFEAGDKDMPTRSTDNTPVQTVISSSQKNREEPETFEEPEYSPPGPNVVET RRDRPHIPAPERANVGQPFRRPSRSEQEHQYPMQDVRVVRNHITSPAAPQPSRVSPLA VSKAPGFPRQNRRQRKIEKKLAAQASARTSPEPPVQPLVPRKRRRDQEFKDNARFADE RRHELSPEMPHIKPEPMSPPPFIEVPSPAYVRTRIPQGGSTYIELDSPHYTPVSDRRE GGGRGGHFDERPTRTYELDSATEVNVPRSSSRLAARRALREDRDLRRVATMQHARQSE YVHDYPEPIPDAPPRYVRAASYAIADRPVEVEKPRYYDEIAPYPKPYAANVRPASPRI RQEYIETNPEPRSMAPPPQRRIVIDADGNRYYESLAPSSRMAPPSTRLAHAEPYDERS PIRAAPVRAVSVIENPYPERRYVQDMPPPPVTYRRVPEYARVAPSDHGIYEREIDDRP RIPRGASVQVIDYPHQHQAYVEETAYPREELVRMSSVRPPPSRYEAPGEPPMRMQSVR PVRREVSVYIDDEPHQSREYAPMNQVNYPASRQVRAERYYDDEDAAKMELEGSQGVVR RVSRRY UREG_06534 MVRDLRVLAAGGLGKEGSWKELYWDIPGIDIPAGPSLMLSRVSP IENMDNVITIQSRIKCKQNHNTTVDSRLSRTIENGGTEEMINARATPFPLPTTCPPPA SSAVVEVEPNAAAKSLSPAQTLLQCSLRTTPSSTCTATGANCFDSPSPHALSFPPPSR LFPACSYLLVPVGVVTGPALVLPRPPAKNHPPVPIASSTASPVPLRSGTTHISNFTGQ ARHGWERMTPAFGMSRPQHDMAGSHPFRRPPVPPTIPTPPTVDSIINLSFNVPFSSNL QGPDPDDVLHSTPGALQRWTYPDGTPEGTPTHKLPVHANHVDSLRKLCRQISEASGGR IEATVTSTEPKAVASLQRRPHGLVTNVCISGENDLVQKMRAKILKETPFALRCATVDI DVNLVMDPSSNAMRQSVLNHLNTLATYTGVDIFLLSPKIVDADSAIVSSYGYSTENGL DHRFRIAMYGDVESSEHAKTRVLIMIDQILKRQVDVMKLELTMHTLVCGRTRRNIKLI EAATNTAIYFPPPFPRVYGYTPPGAYRRSEDEVYITGTSQDQIAKAKQKLHELVRGVK VYVKDVSVTPTKIDSILLDRLDKVRKVMEANGCYVLFPQLGSQRNTIRVQGTEILHVE RTIRELMALAGQFYGATWWIILPENGTQMRSPSPSDIRTMLSDICANSGADVSFDKLT FTIHGSDDAVKAAMMVIHQIPFVKRSPYQMRVKLELANEHKEFVSGKKNGKINKIMGQ SNVQIIFDGFNEYNFYIDVCATQYEAARNGLDLVEQEMPASISFHVPDQYHKRIIGIG GQHIQRIMKKYSVFVKFSNAMDRGGVSKDDDDIRVENVICRTPARNAQNLELVKQEIM DMVEKVDAEFVSETVLVNRLYHRELISRMKDIDELEKKWNCKIDFPSTETASDFVTIS GPEYQVPQAVDAFLGMVPETHEISFSSSEQLREFFKTPEFTVEVMQKLKDQYEVAVAV DTAGEPALGTDSPAQEDRLVLGYTRNNAGGLKDAIDFLVSRLVSHGLDATTVKGSIPR PKSDSFEESLPFFDSKLLQHAPAPLVTDSPTRPNFPDDASDRGSIFERLRKPGSISSF SSFIGRKNHSGSPGSLFKHASSNASKASLVSMESRDSGYRNPWNDSGVNLPEEDIFNS SNGWPSRFDSAFPFGTGTAPGDMTPRHDLRASFDSGRPSTSNSTSGYPAPIGPPR UREG_06535 MAANPLGAAAPSHAQSSLPSLPQHLQTDTQLTAHLASRGPDGLK EGSAMGEAEDLAKRAWTRLGSRGENQAVVFLGESGSGKTTIRSHLLSSLLSYSSTPLS TKLSLAAFVFDTLTTTKTVTTPTASKAGLFFELQYDGSSTVNPTLIGGKLLDHRLERS RIASVPTGERSFHVLYYLLAGTSPAERTHLGLDSSGEVLSSGPNKRSSGQAAHKRWRY LGHPTQLKVGINDAEGFQHFKTALRKLEFPRSEIAEICQILASILHIGQLEFTTGQAT RTGADESGGYSHEGGETVTVVKNRDVLSILSAFLGLSVDELETSLGYKTKTIHKERVT VMLDPKGARANADGFARTLYALLVAYVIENINQRVCATEDAIANTISIVDFPGFAQAS TTNSTLDQLLNNAATESLYHYCLQNFFERKADMLETEEVNVPATSYFDNSDAVKGLLK HGNGLLSILDDQTKRARTDMQFLESIRKRFENKNSAIAVGSSTAILPGSNFATQNAAA VFTVKHFAGEVDYPVKGLIEENGDLVSGDLLNLLNSTKSGFVRELFGQEALQMIRHPK EKNAIMQAQPNDRRIANQFDSKCVRTQVQTLGIAEISQRLRNADFSVFLPFAEFLGLA EAESVIVGSDKEKSQLIVDEKRWPTNEACIGSTGVFLSERCWADLARIGERVHPSYSG GGTDDGRDNLLHVGGNLNGDSKVRLLPSGDSTPGAFIYGDDKQGYFGSRELDARSDAG ASAFNSGDMFKNLETREQMAERSNEKQMVEVEDVIVSGSRKRWLALVYLLTFYVPDFM IRLVGRMKRKDVRIAWREKFAINLLIWLSCGFVVFVIVLFPRLICPTQHVYSAAELSS HDGKDNHAAYTAIRGEVFDLGSFIPAHYPGIVPEQSLLKYAGIDATHLFPVQVSALCK GKGGDKKRIDPSVLFDFTPTNISGSASVVRGNDQNFQYHDFRAFTNDTRPTWYAQKMR YLRANYKKGELGYTMQHLKTLSEKSQYIAVLKGTVYDLTNYMAGGRYTKGPDGKPKPG VDVDFLDSGVVQLFQQKSGHDITEFFNRLPLSTTQRYDMEVCLNNLFKVGQVDTRNST QCLFSQYFVLAISALLASIIGFKFLAALQFGRKNIPENLDKFIICQVPAYTEDEESLR RAMDSMARMKYDDKRKLLVVICDGMIIGQGNDRPTPRIVLDILGVPDTVDPEPLSFES LGEGMRQHNMGKVYSGLYEVQGHIVPFLVVVKVGKPSEVSRPGNRGKRDSQMLLMRFL NRVHYNAPMSPLELEMHHQIRNIIGVNPTFYEFILQVDADTVVASDSATRMVASFLAD TRVIGLCGETALNNAKSSMITMIQVYEYWISHNLTKAFESLFGSVTCLPGCFTMFRIR AADTGKPLFVSKEVVDSYGEIRVDTLHMKNLLHLGEDRYLTTLLLKHHPKYKTKYIFN AHAWTIAPDSWAVFLSQRRRWINSTVHNLIELIPLQQLCGFCCFSMRFVVFVDLLSTV IQPVTVAYVVYLIVLVSLNPGLVPVTAFILLGAIYGLQGLIFILRRLPLYAFWHMDDF TWGNTRIVTGEKGRKIVITDEGKFDPASIPKKKWEEYQAELWEAQTHRDDQSEVSGYS YRTKSYHPAMSEYGYPAARPASQLDYNRHSRMSLPASELLGRNSDLELTDFSGLPTDD ALLAEIRDILRTADLMTVTKKSVKQELERRFNINLDAKRAYINSVKRFFPILTQFKNY VSAAVASRDLTAVNHWMQIMVPLGHPEWK UREG_06536 MANRMSVYSTASSALGPRPAAQQAQQVSTTTLLNALHSFFLSGQ PYQLDAATSIVVNTALTATTPGPNGELGGTIDADLARRAWEHARRRAEDGCVVLCSPH QNTPSLLPSFLASLPLSTPDIAYTALAAIRPFVSQVTPFNPARFQYSSFAATYTFSLT GRVTNLTLALSTSGVDISNGLTRIPAEAGYRAFDVFYYLLSSASTPTEKEFLSLKAPE AYALLNRSKTYIPPSYLPTADDGAAAEDFRANLKAIGIKGAAHRSLLSVLAALLKLGE TSEFLVDQDELEDLCEDIGGLLNLDPIVLLKHCSTEDRQSLVAGLYEALLDWVIGKAN DAIRVELHGSHDNGSSDGMPSTRTPLTDEENGDTVSISVVEIPGEKLGKAVALKGVFD DSSGINAEMKDDGINMVNPGHSVIKEMENAVAEVEADLGMSAGAAGRDRERERDRIQG VLEKVGVELEPGAFLRQVLYPTDGGDLNFGQKGRFDLNATLGSSRVWYHLSLHPVDDG PAHISSLPSITSAWSAGTVSRQLRSWRLAEWANRRNKNLDFTADFDVEEFVGRYSPLG CQDGKDGVENWIIERGWSNGDVVVARERIWMREAAWWEAESMLDLKPQMAPVNPFSTP VVTPFEGTYGGPSQAAGSGFFPGDNMSTIGSRENLVNRQSMGPRAPSALGGARSIAPT TMSQPVQVMTGDYGLGPKGDDRQHENVYYDQETGRWTAIDPEFGDPKKIEQKKIPASR RIWAGFTWALTFWIPSFVLRYVGRMRRPDVRMAWREKVTLMFLIFLLNATVVFYIVFF GNILCPNKDKVWTDNQVAYHQGENDLYVSIHGKVYDISKFAKAPHTSRRYPTNKEDML RLGGLNMDAYFPVPLTLACRGFDIGVGVSLMNNITVEHQDAIHRSGPRFDPDPTSKKN KIDWYATTFLPRMKEYYKGDLVHSKKSLPKEAEERSRKWAIIRGKIYDLTDYFYTIEF QDGSKAYDFLPSAVTELFKQNAGTDITEHWQDTVDFANSLNCLNNQFYVGKLDFREDA QCQVNSYILLAFTIILCSVILIKFLAALQLGTKRRPSPQDKFVICLVPAYTEGEDQLR KGLDSLTALQYDNKRKLICVVCDGMIVGGGNDRPTPKIVLDILGVDPKIDPPALPFKS VGVGSEQLNYGKVYSGLYEYEGNVVPYIVIVKVGKQSEQTKSKPGNRGKRDSQVMLLN FLNRVHHRSMMSPLELEMFHQVNNVIGVDPELYEYVLMVDADTSVREDSLNRLVASCA NDAKIAGICGETSLQNEERSWWTMIQVYEYYISHHLAKSFESLFGSVTCLPGCFCMYR LRTADKGRPLIISDKVISEYADGDVDTLHKKNLLSLGEDRYLTTLMTRHFPNMSYKFI PDAYASTAAPETWSVLLSQRRRWINSTIHNLAELMFLKDLCGFCCFSMRFVVFIDLFG TIILPATTVYLGYLIYLVASKTGQFPVISIAILAAVYGLQAIIFIIKRQWQHIGWMII YIMAYPIYSFILPIYSFWNQDNFSWGNTRIVIGEKGDKRVFAVDDEGFDPRSIPLQRW DDYALMNNLPGRRGNAAQEKPHQQYYDDGLEMDDMHSMYSSVKPASTILTGFPNQGPH QTPYIVPQSTTSLAVPGNRNSHMTQFTQYTDNPQARNSRHMSIGNLSHYQDNPNRLSA AGMIGPDGHLGVSQRQSMRSPLSRPASTMVDFRNPPNQGPDETAITAAIRSCLAEVDL DSVTKKQVRALVEQRLQTTLSGDKKIFLDRQIDHELANM UREG_06537 MAYLLLIDLFLRSVSRACVNAFLTLSITFFCVILALLLYQPTSA NQTPCKMIPGKLHALCQSESSVSSELAKDPDTAPYEIIAKLYKNLKGDLNEGSIQEKQ LEYTPEELQAAYECGTWGSQRPSDLFLKIYHDALCTLGRDPMAGLISPSLMGSCGVVP LTIIGPIPDICRHMSNCIVRAEKEIILVTSYWIYSNSSRLLTNAMKELSRRAGLRGTR VVIKMMYDRGNLKQFIDNRQPVGVKEARSSKVQLPEPEEIPNIDLQVLNYHRPVAGTL HSKFMIVDRKVALLESNNIVVKCQDSDGLQDNDNMEMMVRFEGPIVDSFYDMALISWN VALNPSLPLIDTPARSKIPPSYSFTFAKHRSKDSVWSSQGDIMAKIHSEEPEDKLLPG HTPDEPHYDADIVAETARITASLTPRPGETMRDSVTRHLNIVTKSGITGDAPEWDEKN HMTPYILHQPHEPFPMAMVNREPWGALNHSSVYTPQNAAFLAAIGNAKHSIFIQTPDL NAEHLLEPLLDAVRRGVIVTCYLCLGYNDAGQLLPFQNGINEMTCNRLFNSLSTDEEK SRLRIYNYVGKDQTKPIHNTFKKRSCHIKLMIIDGSVAIQGSLLAAPAIVLIVFPLNL TEI UREG_06538 MAGCPRLLLAPMSIPTPAPQMVALSMADGYARLSNKPQCVIIHT DVGTQALAAAVHNASCGRAPVLIFAGISPITMEGEMRGSRTEYIHWIQDVPDQKQIVA QYCRYAAEIKTGKNIKQMVNRALQFATSDPTGPAYLVACREVLEEEIEPYNIEQKHWN GVRPAALPQEAIELIATELVSAKEPLIIVGYTGRYEKAVTELVKLADTIKGVRVLETG GSDMCFPANHPSALGMRHGVHDAIKTADVILVADCDVPWIPTQCKPSPTAKIFHIDVD PLKQQMPLFYIPALATYRANSSTAFTQLNKYLSGNKQLTEKLLANEYIQRRNVIEASH RKRLESLAEQAVTPQGINAPLNPAFLFSQARQACPPDTIWAMEAVTLAGLASDHIQAS LPKSWINCGGGGLGWSGGGALGIKLATDDHHGGKGKFVCHIVGDGTFLFTVPGSVYWI ARRYKIPVLTIVLNNNGWNAPRHSLLLVHPNDYGSRVTNEELNISFTPSPDYAGIAKA AAGGELWTGRAGTVEELAKLLPEAVESVLNGKGAVLEAQLYGKDGKYTGN UREG_06539 MEIDDIDRSTPFPWELGVFDAHCHPTDTMASINDIPSMKARTLA IMATRAQDQELVAQVASKLLDPDLTDPSNREESQPDRKVIPCFGWHPWFSHQLFDDLN STQNKDIDKIEHYKSVLTPSIQDEHLLDDLPNPRPLSTFIRETRQHLLTYPHALVGEI GLDRAFRIPNAWFPHEISNRDSSRTPGSREGRTLSPYRVQLSHQKAILKAQLQLAGEL QRPVSIHSVQAHGAVLQVLQDLFKGYEKPVVSKRQRKRRGSVEGAHDGESEDALDSSR REAGDSPLPFPPRICMHSYSGPVEPLRQFLHPSSPADVYFSFSNVINFSNGPASKVVE VLKGIPDDRILVESDLHCAGPKMDDMLEVITRSLCEIRGWGLEEGVNKLGKNWKTFIN G UREG_06540 MPQPGYPPIAALKPLYLIITYAAALPVIFLAFTTTACTVLYLAT HAFLGGILDLFYYAESEVVAKLSSLLSSEESTAGEEPTKFITPFQRLSPPPHPSSVGI PPPHGPLGTAITFSDSQNQPLARVPGSPSDSQTSPDEDEYFPNYHITFDSPSPADNGD WEDVNEDREPRNGDRSEVSGNMGRRRQPKRVSSTSRTEHSFVGQYEGDVDGVLPIGPR LHFNTRTHPRRRSNSPGRQAGSSDYMEY UREG_06541 MASTTASPSDDVRNRQQQSPLASSRPEARPPAPLSRLFPLGYKE GFSQWWASIPAAAAEHKVLSFIPYLQRPPTHTQTGKEPATPTEQPDPLTAADHSQPGQ VSENSVNDPHGPRKWRSTMVELSGKNRELNEFSVERVGEKVENNLVVLHGYGAGLGFF YKNYEALSRAKGWQLYALDLLGMGRSTRPPFKIAAKKREEAITEAEDWFVDALEEWRV KRKIDRFTLLGHSLGGYLAVAYALKYPGRLNKLILASPVGIPEDPYAVNADVPDPSTS TMANEFTQDQSGGVQVGDNNNFLNARDKAAAASANNNGKPPARTIPKWLVYLWDANVS PFSLVRWSGPLGPRLVSGWTSRRFSHLPQDEASALHDYAYSLFRLRGSGEYALSYILA PGAYARSPMIQRIHGVGRQVIPPQYNAGLFKSNTQSNCTLSSGDPPPPSSAPSPSDSS SSFVSVPQPPRRENGIPVVLMYGEHDWMDVKGGYVAKERIEQEKRRILKDASIEEQEA DKGSAKVVIIKKAGHHLYLDGWEEFNDVMLEEMRDVSENHSSPQFPAS UREG_06542 MSRLDQIVKGAPAPTAPTPDSLSRTPSPHLPFSADEPDSKPAVA SATPDPLSTLPSSPPQIYLNLLILESSLRSQYLALRVRRRQNTFFILLLALWVTYFAY ALFLRPREDGGVGGSVYWIVEMGEKIALMGGVVTGILVWGTGQWERGVRWPRRWLGVA NRGLRGFNAKIVVIRGPWWKEFLSYISFVFPFSDLFAPGPSFHYVERRHAEGHERQRR PTLTGRHTYEDDSDTGIEEDISPGGDYIKLLLLPKSFSPAFRENWDEYRTEYWERENE RRARLREKIKHRERQLAKQEAGWFRWGPWQSWRRKRNTAKPGRELERPRHLHHSHRHA HSRHSIDRDLKPRRPNDSHSRTSSRSTTPNPLSDADDKLANSDRERTRRRRSSSSTAT SGTERSRKSKSPLSGGTGGRALSPLTQIESQEGDENAASSGL UREG_06543 MENFTMRDEAVRDRVRAATEFLDPSDQRARRLALLFTPSRTLTS ILKLLMLNRGLRRLTVSLDEIRAHNRELANGLLTQPFEYSQAFDHALRDVIQTLDVES TRRTKEEIEDTAWYCAYVGAFGEYACNPRTLSSSHLNRMVALEGIVTKCSLVRPKVVK SVHYNPKKDNFLVREYRDQTMTATGATSLNVYPQEDDQGNPLVTEYGYCKYRDHQMIS IQEMPERAPAGQLPRGVDVILDDDLVDKVKPGDRIQLVGIYRSLGNRNANSGSSTFRT VILANNIIHLASKSGGGVAQATITDTDIRNINKLSKKKKVFELLSQSLAPSIYGHDYI KKAILLMLLGGMEKNLDNGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGR GSSGVGLTAAVTTDKETGERRLEAGAMVLGDRGVVCIDEFDKMSDIDRVAIHEVMEQQ TVTIAKAGIHTTLNARCSVIAAANPIYGQYDTHKDPHRNIALPDSLLSRFDLLFIVTD DIEDTRDRQVSEHVLRMHQYRKPGTEEGAPVREQVDNNLGVGLEANENANAPAEVYEK YNAMLHAGMTITSGRGAGRKVEVVSLQFVKKYIQYAKSRIKPVLTKGAADHIVTTYSA LRNDELTGNQRKTSPMTARTLETLIRLSTAHAKSRLSNRVDEKDAKVAESILRFALFK EVVEDGRRKRRKTANMPDSDSDSNDSDDDGDDTQRTARGSTATGPRSSRRRGQPGRSR STPGAIDDDDEYAGSLGNSQMGSSSRQTRSQITTQGESQMSMASSQPASELLQTQTES IESQERIIQNAVEAASTAPALSAERLNAFRSALGPLMRSDLFQGESATVQHVLDAVNS SIRNSNSQAAVFELTEAVQALNVMNDRNEVMYLGEETVYRI UREG_06544 MLERAARCIETVGQQIFLCSQSPLRSQRILRSNFWHHSAVDIDI PFWCFGFLSSQHSPGETDRVGGGHRISSDHFSYLEFLYPPRTRSFARSYLRTRNLHKT TNVRRRKRFSYRGFASSTDAVEGSITVSEAPSRNVDNKAVLAELRRLLKNEYQPDYSK AWKLFQAAGSPAELSSQMLAYLSASDKRSDASRTKVLFDGIPVSKRKAKDYLCAIKTA LIWNFEDFDVKDIYNEAASRNEEELCWGFTIALLVNRRNWHDALEIWNNRPNSAPDSE KQVESAELSELPGLPNRVISLLKDIQNAQLHKDAPGLMDLIRFMVNHVVSSQKFMTEM STKSMLSLFELLDSLQLHETRFYFQGISTLQSLCLRPAHSRSMLLYRNFRWRLPEERV PQPMLNRFLKNLSALKISKGVDYLLDEYRLLYGKPSPEAYRYGLTTFARLGKESEVNR LFRSYVEDFGVPSDLKLLSPLLYVHARLGQVKETQEQLDRLSKDFDVSPNVICWNILL TAHAKSEDLTGAITTFKTMIQNEITPDTHTFGILMGLLANKGDVDAVINLFNFAKQNK VRISCALIDGIVEALCNNRRYSDAEKVANEALHLDFSGSLTRMWNVLLWNYAFIPDID SVSRIQGQMQQEGIEFDGMTYAALMLSLVRIGKLESARQILAKLHRSRRTHITELHYA ILLHGYLKEKNRDMILVLYKEMAARFETLGLSGNLSMLRANISRDLQRFREKGGIYSD DSVDLSRAERLLDVIIENFDVSMLATKQPQPGAKRRSVRSAFPSAYYEPLVLAYGSQG EFQKANNLVDKYHLNMKRLALETQSSSLQFLHAEMTNYMRQGKHDKVDVCWKKAVEDT KRVARPTDLKTLMDRSHNTPEISDLSRSHHQETSSHDADSGILPSYRFALSRCISVVM QSLSYRNLHSKIAQVIAEVEKIGFALTTFNWSLYIKLLCTSRRPVDQFLAFTLFEEKF ISNFISWAHIKRGYTKRPVKAPAGLDYLERRIAPLQRHQVLGKAGRQAWAQIQPDSMQ PTYLTMLYLASALIDFRSRSIASGEGEMDQLLSKAPQTVNAVAKLPFLREKFQGLILR GRRDIEEELGPEPVKTTDHVVWTGGVLGVDGETRIDTSPMVMEPEDVTPSDHAKPHRR REYVSMPGEDFSEPDADMLETMLAEDAEWKATHFKPGAPFEIEPAERVLEAQDELDLE SESRLEVQQGEE UREG_06545 MDITNFVVSHRADALLVGDYNVYRAQLSRRLHTLRKRLGRTTPK GKKYTAKPLVVAEEVGQNPEFAHLHLILAERAWASAMQMKSAHSADPTTKGIVGSTRR HIISRLNKATVYAKQLVQLLEDQSTSKASNVDLLEARAYLASLCATFWMEKQRWGECL RENSLAYIIYTAVQRKTDREVFRDLLSSTIDPGIRYAAYQLKLPRSIPSRTISIQHFS ADDKVRAEIESVDPESLSETRGENTVTVEGSTQELPQTITWRSRTVKLEDASISQALG TAAAAESRLSSWISSPDGQHASPREKSASYDNVIIASQDAVDATKTAIDELSNEGVDQ GDQRMQALQMTRTAVNYALVGWRVGRNRVLCGAEDGLQFETERYRGKKRAKVQQGSKG AKEESTGKRLARLRERVVLYDAILQSLDSVKELPGVAGDAEFMEELDVTRHYFLALRC LSIGRSHAFLSNTKNALALFVRASNLASNVRASAHIDNSRPLRLEVTQLQAKGLAEHL QHLIWQYRGIVEIEQLSSSSKGESSILPPLLERLGEYPSAGPDLSNLVTYPPKLQPVP VKPLFLDLAWNYIEYPIEAKRAVDTREATTTPTETQPETKKKGWFGFGR UREG_06546 MVNAHANMASPSADASLASMSSDPSSLLMSIYNSFTGWSALLAL FMLLVAYDQFKYIWLKGSIVGPRFKIPFMGPFLESVNPKFTEYKAKWASGDLSCVSVF HKFVVIASTRDMARKVFNSPAYVKPCVVDSAHKLLGKDNWVFLDGKAHVEYRKGLNGL FTRSALTTYMPQLDEVYDKYFKQFLEESKQNDFKPLPWMPYLRELMCALSCRTFVGHY MTDEAVKKIADDYYLITAALELVNFPIILPFTKSWYGKKAADMVLEEFSKCAAKSKVR MAAGGEANCIMDGWVKQMLDSAEYREKIAKGIEVDDSEKPKHLLRDFTDFEIAQTVFT FLFASQDATSSASTWLFQLMADRPDILDKVREENLAVRNGDRNGKISMDILDKMQYTR AVVRETLRYRPPVIMVPYMTKKDFPITPNYTLPKGCMIVPSVWPAAHDPEAYPNPETF DPDRWITGDAEKAAKNFLIFGTGPHYCLGQTYAQLNLMAMIGKASLLMDWEHHATPIS EDIKVFATIFPQDDCPLVFRPRA UREG_06547 MASKRAILPFFSSRSIASTPRTGSRTPSLRAISSTTTARPRLQA ISPARLQPAHPFQTRLLSSTTARSNAPPSSNTADHPPFKQWTFDDINTRLAHPSSTPT ILIDVREPPELLSTGTIPTAICLPLRSQPDALFLSPDEFLTRYGFSKPGVVSHKEVPD GDYTVVRQQQQTVDAELVFYCHAGVRARAAAELAVQAGYDGAKVGVYDGSWLDWAKRK GKVERWEGGSED UREG_06548 MAPKKKGNKKQQDDWEAELGESITPTNDQPDAAAHDNAAPNEDD EMGGGLLAALRKNRTRKAKKGKAVDNDFVEGEDPSADTAADLASKQPEEATFDGDDVF AGIEKQPKGGAKKEPQQQKPQGTETGGAMKSKKEKEKEKKEREKQRKKEQAAKKKSQA PAEAPAAKAEPAKAAAPEPKAEPTPAPEPAGKKRKVPAHLAAIQKQQELLRKKQEEQE RLEAEAKARDEEFRQKIEEEERKKEQARQRKKEKEPGEERNNYAEKERSKGTQQAAGG KVAGLEQEADRAEPKKPLYEKKRRGPAKREEVDLEAAAARAEAQRKAEEEEKKKREEE EQARAAAEAAAKAEESDDGIKDSWDAPSSDEEEEEEEAGETGELPTRTQKPDEGSPEE SESEESDEEGTATQRAIAQRKAEAAERRKRQHEEALAARSTDNLRSPICCILGHVDTG KTKLLDKIRQTNVQEGEAGGITQQIGATYFPEDALKQKTAVVNKDGKFEFKVPGLLVI DTPGHESFSNLRSRGSSLCNIAILVVDIMHGLEPQTLESMRLLRDRKTPFIVALNKID RLYGWKKIDNNGFQDSLALQNKGVQNEFRDRVNRTKLAFAEQGFNSELYWENKSMARN VSLVPTSAHTGEGVPDLLKLLVTLAQERMTNKLMYLSEVECTVLEVKVIEGLGTTIDV VLSNGILREGDRIVLCGLNGAITTNIRALLTPAPLKELRIKSQYVHNKEVKAALGVKI AANDLEHAIAGSRLMVVGPDDDEEDLEDEVMSDLENLLSKVSKDNRGVSVQASTLGSL EALLEFLRVSKIPVANISIGPVYKRDVMRAGTMLEKAKQFAVMLCFDVKVDKEAQAYA DEVGIKIFTADIIYHLFDDFTKHMEEISARKREESKLLAVFPCVLQPVAVFNKKDPIV IGVDVTEGNLRLLTPIAAVKTNPVTGLKEVFNLGRVQSIEREHKQIPMCKKGQPSVAI KIEGPNQPLYGRQLEEKDTLYSLISRQSIDTLKEFYRSEVSMEEWALVKKMKPLFDIP UREG_06549 MSLQTTYLVNGEWATRRVGIGEILARNREASIPVTTRVTVPTTG LLSRTVVQGPAIQWVLPARIRHQRQNDVVFVGDNFIQIKELVSSGHLEDVITKSDFDA KIVGAKVINTRPELGLEDQLGLGGQTMHDPMLDVVNLAPQILVLVLASKEMIFLYARE LRRGLHEFVHARRPLPADVSSLEEYGKNITVDPRSRAMAISATHKFFGIFSLKPPLIL QREMKCGPVNPIQEERFFRTDGDILKMEFLYPKASDPDIAILLLLVSRSTGVHAVLYH WNTAQNLRSVRPKIAGGRRLPPEWGAPPILVPLIQSTSFLLITPTIVHIFTDVVEQNN PARKYSLPPRADLESSDLIWTQWTRPMRHGIRNKEFDDIYLCREDGEILYLEIKDGAV ARNSVLGFLDCNLDTGFAILDGGFEAGDLFVATGSMSTGGLFIAEPRKPLRCVQRIAN WAPALDSVIVRGSMQRNSTASPVEFRTPRPSYDRIFTCSGVGSHRGTIIELRYGLEAR IGLVIDQEDAASIVDLWAIPNTSTGATFCLISTPLSSSLISIPVDAAEELYALDEESS GLNLSAPTLAASSTANRLLIQITNVSINLAVLGDESLRFSMKLQGLSERIIAATINSH LSLLATIVRSDDTIQVIVRTLDVNANGIRCDIFAQPLTLTYEPICLTIEEINSRFFLF IGTSEGKLLVVGLDYAQGLVPLIEQNITDMKDQDSTACEHLKLVSALKGEVQTSTLFC GLRGGILVPFNIEREPKDALLGLTQGTPYKLGDTPVRIRGYESDRSMAIVTCGSGIWR LSFIEDDDCMEYKLEKILITDQNNPSRIQGAVDFFSCVDRQRISPPGGLGGSLLCFSE NQLFACTLERLPKPVPREIYVPGSPRRMTYSKYLQRLVVAYNSMSHQKDGEYTRCYSR PRLCFVDPDSQPSTPSFVDLNQNRSQPLQPIQSGMPTGASGEKITALFDWNFSSGEHV YHFIIVGTSQLRSTYPGRLIYISARPNPHNPAQITAIVKNIHTFEHPVRAIAAYDPTG LIIAVGDGVRYQSLNPVTKRWQRSATYQLESTPLSISVKEPYVYVLTARHSLCILKIV DSHFELLAQDGTDREGLDLVNLQSETKIVLTSNRGGSIIGFSEIALLPDEKLIKPLFV AHVPHSVIRLTSSHRPYHPGRPETIYGTALDGTVYRFTTLGENEWRLLRFIQNICLGD PVICPYRKRRRMVSEDLTPLITKPESMHVDGDILSRVVEHGIRFLETVMRKNTTSSYT NRSTADSSGRMERFMEFVTPVVGDTENPFAAAISWMEDLLRIEL UREG_06550 MVHERAADETFNNSTLNTSETGNGTVTSHSTKKDDILRSCREKD VDALISLATSDGGLLHDDLRKLAWPILLGCDQTSPAQDSSALDDLPRHGDEDQVRLDV NRAFIYYPNCEAVDRSLKHINMLICYNPGQTDNHLQAKKEDLFTLIISILRRRPRLCY FQGYHDIAQVLLLVLGPKASVPAVERISLFRIRDYMLPSLSPAVKHLQLLPALLHCAD LKLYQHLSGTQPFFALAATLTLYAHDIQEYSDIARLYDFILSHEPVVSIYLFGSIILS RKQELLEIPVDEPEMIHFTLSKLPQPLDLEILISSAMDLYKSYPPESLPFRVWRNISP NSVLKTSRNLALEASPGDAEDLFQKQTSELRRDEKRQKVLVFLRSHSRMFGSVGLAIF VGVLSYWIARNNDDVSRTWRSFTGRIVSAIW UREG_06551 MANRGYDVVVDVDAEGDLGHTDLQEDLEFHNSNFDDNSRNPNKS HPDSTPFLGGSSRRGTSPGGTPSKHAFWTISYYAQYFDVDTSEVFRRCTATLYPRSNF LDVLDGNADLYGPFWIATTVVVILFLTGTISQYLAREKKGHFEYDFRLLSGAAGLVYG YTGVIPIALWGALRWFGSTSADLIECWALYGYANLIWIAVALVSWSPLTALNWVLVGV GFGWTVFFLLRNLYPVLSTADTRTSKILLILVIVLHAGLAIAIKVLFFAHGSPAKKHK DKGKGDDKDDKMVF UREG_06552 MAYGFADKGRQVIADDDDFGTQGRTIRQKKVTTERLSKKYSGSE AYQLFLEVYQLIIWKQCHTLIHQKGLPAELELIVKDLWTLRLQKLFERKDDNYQSDDT ASQLFSSQTERTELEDEEYTYHRRKLKDSPKCRWIARDEVPFLRLSRLVPQDMKERLP AVYHNVLDPKNAPTGDQFHRAIANSADLYFREFGVTFPSINSPLLLFSYIKQLALPQI YPAVNRLNEMAGFKFSFPEKFGRFNRTCLPEVQLMSLVIMATKLFYPFDDLKRYPHSL KDPAAQVMDWDAWTEAQKEFDLRGKSGVRIGKGHEIEVNETDVFQMTPVQLDDYMDWY SKMWIDTKKGAF UREG_06553 MADDAQHEHTFDSADAGASATYPMQCSALRKNGFVVIKGRPCKI VDMSTSKTGKHGHAKVHLVALDIFTGKKLEDLSPSTHNMEVPNVTRKEYQLIDISEDN YLSLMADDGSTKDDVKLPEGEVGEKIEKLFRVEEKDTNVVVLTAMGEEVAMDAKEAPK TA UREG_06554 MWLDRFSGHSTASAATPPFHRQASPTPRPSPLRANNQPSRPPFN ARSSSLSLASVVNASSTSLPGTLRQTNGSTLRVSAARESNASDPLEVLQDIIGKQREL DGSKSAEADENPLERPGDLVDNIDFGGLSLEDFVVQRNDRARFSNDDQTYQSAEQYEK ERERFQELHHSITGCEDVLKSVETYLLKFQTELGAVSAEIETLQSRSSKLNSQLANRK NVEQLLGPAVEGIAISPRTVRVISEGPINADWIKALKEVDSRSTGIINSSFNNTKAVE DVKPLLTDLKDKAVERIRDYLVAQIRAIRSPNINAQIIQQQSLAKYKELYGFLSFHQP SLAEEITQAYVNTMRWYYLSNFTRYNQALDKLKVHSMDRNDLLGGEPVLQKGSTPFPS GRNPHSSHDPFTLGRRIDVLKSNNPMALTSYLAEEDKSQHGIEVPFRNFNLALIDNIS AEFSFMAETFAAKSIHLASRKIMSIFEPVFALGHNLTKQLIDNTTDCLGILLCVRLNQ QFAFELQRRKVPVADAYINGINMLLWPRFQIIMDMHHESLKRASSSSTRGSVSALSLT GGDKQSLAPHFLTQRFGQFLHSILQMSIEAGANEPISSSLGRLANEFDTLLAKLSKAS GDAKRRERFLFNNYSLILTIISDTKGDLAQEQQTHFESMINGLGGKS UREG_06555 MRSRAAATLANGLPRRGRQFSTSRRLNADFTHAVIGAGVVGLAV ARQLAGRQGASTILLERHGAVGTETSSRNSEVIHAGLYYGTDTLKTTLCLKGRELLYS LCQKHNIPHRRTGKWILAQNEQQWDECLKLHSHAKNIGVPTRFVSSEEAARREPDVQA LGGIVESPTTGIVDSHALMTYLHGDFEDRGGDCIVQTAVTDIQPVNGGKGGYRIYTGS GGASEASITTETVINCAGLEACRKTPENLLCEGDIFLLLSIGPETEYTPISSAKPRAG RTGNAFNVRHGGTCTLWAGCGMG UREG_06556 MGFESFQAGGADSTLAGAYVTLPTTCMVYGRGFKNKLPQLLSDL GVSKAFIITGKSLREKTPVIADLENVLGRAHAGTYSGVRQHAPIADIQAALSQVQETG ADVLISVGGGSPIDSAKVVAYHIHRQTGNWIPSIAIPTTLSVAETTGAAGYTSEDGRK IAVADQELAPKAILYDGDLALHTPMRLWLSSGIAKILPYVGKQTTGDWKRDAHLVGDA IGELVEDVGLKSTLTEYNVGPEEEEAIATRALGGDKSHPDLQAVRAMVRGYY UREG_06557 MSADTATNPPVDTVPGAAPESATNGTDANTTTDATAGDGQAPSS TTATAQPHSASLYVGELDPSVTEAMLFELFSSIGQVASIRVCRDAVTRRSLGYAYVNY NNTADGERALEDLNYTLIKGRPCRIMWSQRDPALRKTGQGNVFIKNLDTAIDNKALHD TFAAFGNILSCKVAQDEFGNSKGYGFVHYETAEAAQNAIKHVNGMLLNDKKVFVGHHI AKKDRQSKFEEMKANFTNVYVKNIDQDTTDEEFRELFEKFGEITSATLARDSESGKSR GFGFVNFTSHESAAAAVDNLNEKDFKSQKLYVGRAQKKHEREEELRKQYEAARIEKAS KYQGVNLYIKNLSDDIDDEKLRDLFSGYGTITSAKVMRDNLAAESSSDSEKEAKSEGK ENEPPEESKDEAAEKAETKDTKEAKTESKKADKKLLGKSKGFGFVCFSSPDEASKAVT EMNQRMVNGKPLYVALAQRKDVRRSQLEASIQARNTIRQQQAAAAAGMPQPFMQPPVF YGPGQQNFLPNQRGGMPFQQPGMVIPQMPGGRHGQFSGFPGQQGGRGMNPNQQIPPNA YGLGAQGLPISMQGGMPNGLNYPQMAQVQAPFGRGRGQVPAVQGMPPNVQGMGPNAQF GRGMPMQQGMGRPGQAGRGQGPAQAAGQRDETSSPSGLTLQMLNAAPPAQQKQMLGEA IYPKIHAHQPELAGKITGMLLEMDNAELLALVDDDTALKAKVDEALTVYDEYVKNKGS ESGDAAPDVSKSKDAGQEATEETKS UREG_06558 MFQSFTGSTRRPRQVNLSSRSSTNPFGAHPPSNRHPPSARGPQA TLAIAQRERLRRQQERDRLNAGRTIQRVWRGHRSRKATRDTWRTWWDENERARNPGYQ SLGGTAGTWSTAPVPTYASAPDCIKQLRLLLAFLDSGNQEDAARLGYFAQAFQKTVEE TPSLPGEEEWTVLLSRLGTTTLRVIMAARLTSPAFAVADLLRLLIFLTKLVPRLMAHK AREYFQAMAILTVNLRTRPEDVNVTRELVIEAVLAILQPISPDTLEAYEWFARTYLTI PSLFDYLGSLTDLAAGVNYKLLASILDSSILHSEEFLSPHNVSSRLWLLAYFIYFHRH VLGSRITVQAPEGLFVKGVSSLLSSVAAEIRQRIDIGEEEEEEEEAGDDDETRTASSA LPSFVRNELLTLVNQGSITQLLSRAGVDKVRGMNAEAEDEAKSLATYALTLLRIFPRR SDEIRMWLYLGSASAAERGLATDSRVPAIKYFWSATRSTKVYRIAIESPPDILGMLKA GSGNNAQLDSTWRGHEQEWTIILLFLELYTFLLKVLDDEEFFSGGSPNDFAVKTGISW TKESTLPLTDVKDLTIFLKNLAFTLYWNAAELASNDADSERVGIRDYFNINSFSAVQT PKTSNSKPKNKELGGVTGIPLEYFKGLVTGLLRMVHERDSRRKFLPDGHWLMTDRFDM AGFISAVVAEEENRHQLQDDGQSDLEDEALEDGDLGHSLGLIGTGRAQQLRRTELLRQ RQHRAARKKELEAVAPRLEILRNMPFFIPFETRVQIFREFIYRDQIRRRKGNVDPDSW RLSVAHSSMGLNEDGRTMAHDILAKHHANIRRENLVEDAFEQFYPLGEGLKEPIQITF IDKFDTVEAGIDGGGVTKEFLTSVISDAFDPSGTLSLFSENDQHLLFPNPTAVEQRKA LLRQAGVPERSVEWNQQIRELLKRFEFLGRIIGKCLYEGILVDVNFAGFFLLKWALTG GSSSASKESAYRANLNDVRDLDESLYQGLLQLKNYPGDVEDFSLNFTVTDTVTVPGTG IDDPEKTQSITRDLKPNGSNIAVTNQNRLVYISYIARHRLQAQPYLQTNAFLQGVGQI IQPSWLSMFNQGELQRLIGGDAGEVDVADLRRNTLYSGVYSLGEDMEEHLTVKLFWQV MESMSNADRQKVLKFVTSTPRAPLLGFSHLNPRFSIRDSSSDEERLPSTSTCANLLKL PRYTSAKTLEQKLMYAINSGAGFDLS UREG_06559 MVGPGYYKGNRTGSMGFFGRKKGRYVIDWTKVRTYVVPEGLSEF KVGALAPLTPFVTRRMEPTRTIFTKTVQSADGRDVVVGRAYEGKDFLQEWVAENDEEV AELDRREKELNEQSETKKDV UREG_06560 MSGRRSNVLNNLKNDPAKSKSGGGKRKLGRGLDALAIAEREVPQ KLGVKKHRLGDEDDYDSRRKRGYDEEVDDDDGPSKRRRTEDSGQSDAGSDSEGNEWTV GKVDSENDSEIDSDNAFGSSDEERFEGYSFRASSTRKNSQAKPKGGKRDLPQSESNDE DGASDAEMDDDLGVDAVDLAAAWDMNAEESEEEKRKTASKTRKQATHEDTDSDDASST GSESEEEADDESDLSISEDEATDNAWPKTGGIPGKLDVPLSKREQDRLDRTAAYQKSK ETLDRWIDTVKANRRAEHLSFPLPEVAPLQDSKIVDSKPRTDLESTIQNILIESGLAT SNGKDTEKRIQEFEELEANKLSIHDLQERRNELRKARELLFREEVRAKRIKKIKSKAY RRVHRKEREKVEQREREALAAAGVDMEDGDRERADRLRAEMRMGAKHRESKWAKSVKQ TGRANWDEDTRSGIAEQARRKEELQRRIEGKRVENEDYLGSSSSESEEDDVDPFDNEI GSEDEAQMLRRKLGKLAPRSTEEEELAGPHAKLLSMKFMQNAEASRKAANEAEIKKLD RELAGEESASEIGDEEAGRQRFGKDDTKPSDKHRPIAPRQEFEEPDSENENSNLIEAD EIDIRVNGTTDKKSKQPRQPSSRRNGIAVPSEPTNKEDDVNPWLTEGTKQSRKKKNVV DRTMDITLIDNTAQAPAAETDNRQKKSVSGRKGASQPRQNFRESGDHSSDDDDSHVPV LLQNEELVKRAFAGDEVLEAFTKEKHETIADEDDKVVEDTLPGWGSWTGSGLTKKEKK EARAQRSFKTVEGIKPSKRKDAKLDRVIINEKRVRKNTKYLASQLPHPFESRQQYERS LRLPIGPEWTTKEVFQKSTQPRIMVKQGVIKPIQRPQL UREG_06561 MVRRVKIWLTEAVLISSGILTSVQSRPFPLSSDNPEAPSRGIFR AQAAGNWANYIPPSDLGGPEFSDSKRSQSKLPSIVPPQTTAVQSLGEPAPVQLDQLAK NVGVSLSSTKTEPASRYRYPPTPVAQPAAFTAQAPFNLKSSSIRSATAPIASSGTFAP PTKSEIIPTSSAMASAMASQDAFLPVSRDQIPSNIPKRGGHPVPKNHIVNVPGPMSTN KFYANFFLNGQQNYSYAHPYSVGWSKGIDPTKSFGLAVSHVDAHQRVLGERSNNIPGN PARYYINPVGIQSIILSATEFGNSTSLSVSDAQAFSTDVILRPQQNANASITFPLVQG MGFVTGVYDNLRPLIQSQVAFRQVNLAASPKNGVFKYTTTLENSTNWLVYVTPTNGVD PKLELVSNSTLRGQPGFKGAIQVARNPGNAESIYDRAAGAYPTKVNIAGSVSGQSGTY RFAWTKAGKFANSTQLLMFALPHHVASFDSASKQPTACVGDSWTMVEPNLPINMGFEP WQPGMSGKPSLSSAAKQAIKAVAPSELSQDMNAQTNLDSMYFSGKALGKFAGVVYTVH EMLQDPGMASTALKTLKECFARFVENKQKHPLVYDTVWKGVVSSGAYTTGDIYLDFGN TLYNDHHFHYGYFILAAAIIGKLDQSWLAANKAWVNMLVRDAANPVADDLFPFSRGFD WYNGHSWAKGLFASIDGKDQESTSEDTMFAYALKMWGKTSGDASMEARGNLMLGILSR SLDNYFLMRNNNTNQPKEFIRNKVTGILFENKCDHATYFGTNLEYIQGIHMLPLLPAS AYARRKDFVREEWDAMFASNATKPASTVDGGWRGVLYANLAIIDPGASWNFFAQNNFN MSWIDGGASRTWYLAYAACLGGGPK UREG_06562 MKFFSSSIIFSVVFFISQGLAVPLAEAQPVPDPGPGPVPEPGND LAERDPFLKGLFPPKNCPTQQVNSCSTGEPYCCSTDSGKHNCVKSTVNCQQKVICCNN NFGGPPSKVNFYLPLIARVVTLGNRRTKPQGAVEPKAGGEVGTNRPVAITPGKNVSFP NHRRMPNRFSIAALKMKCKTKLSAPRFWKASGSSRSFSSPLPRFWIS UREG_06563 MCAGMEFESLRKGAERSYIFKSLDVFTLRSEEEIYPMSMLGAIP GIHTYVLDSTATTRLSNNSPKMRRN UREG_06564 MWYRSDRRVWVRVPSEAFYLSYATLVSNYVNILLIFVPLGIVSG TVGWNPTTTFVLNFLAIIPLASLLSFATEELAATLGETLGGLLNATFGNAVELIVSII ALQKNEIRIVQASMLGSILSNILLVLGCCFFIGGLKHHEQTFNSTVASTMSSLMAVAS ASLIIPATLYAALATSKSDPQRNILVLSHGTSIILLIVYIMYLYFQLKSHSDLFESQS GAALEGQNHNVEGSHEDESRILNPWAAGVALLVVTLAVAVCADLLVGTIDSIVESAHI SRTFIGLILIPIVGNAAEHVTAIVVAYKNKMDLAIGVAIGSSLQIALFVTPFLVILGW ILNRDMTLHFQMFETVAFFVSGLVVTLLIQDGKSNYLEGGLCLGMYVIIALAFYVYPD DAQDLPSLIRMLTSSSSSL UREG_06565 MPPRINLLAAIRRVQISSISKTGAVRAYDGAFQGCTTPRVGLVS RIGARPCQIRMNSTSSGSGEGKDGKDVADEARGPNQDQLSSVSEEAAETARILEKRCG EVGGPELEQGTPVGEILKRDKDALKNMPKVLRDQIASQNNGGSRSFSTSARLRQEEVQ NMRAAQELTVEPSVAAVAEMIAAAESNVDPEIKQGYKFEPPTTKLPKTEHVKKRYEDI VEQFTKMLMKDGKLGLAQKNMNSILHHLRTSPPPAVNPMRPLLPGPPAPQLPLNPILY LTLIVDSVAPIIKIRQFKGMAGGGMTLPIPRPLKQRQRRRAAIKWILDASEKRKNSQF AVRVANELVAVAEGRSSVWERRSQIHKSGATARANIRYAVRK UREG_06566 MVKGAVRRTRGGAGELIISGLEASGPLGTSVDLAGLDDLIDGEA YHHRFEPKHALDFQQDYLRGLSNNDPISKSMSYTYSPASLGPSNSRQQTRAQRPLSNL QPIQPTPAHVSSDTVVPPKSGSPSSSMTQSPVEYATRSSSLRRKRPQSDSSDQVDAAA DKRRRNTLAARRFRQRQQDRIAQLERALEEVGRERDDLKVRVAKCEGEVTALRGMLGK KSRM UREG_06567 MVFKIGACLLLWAATIQARPPVVPIGEYGLFTESSSDYGLAKRD LTDLYPEQTISIPLDHFQNEDRYEPHSNATFKLRYWYDASHYKKGGPVIILHGGETSG QGRLPFLQKGMLAQLAKATNGVGVVLEHRYYGTSIPTKDFSTKNLRFLTTEQAMADSA YFSKNVVFKGLEDKDLTAPKTPHILYGGSYAGAQVALLRVEYPEIFWGAISSSGVTKA IWHYWQYYEPTRQHAPQHCVKQTQTFVDLVDNIALRGRNRETTQKLKEFFSLGDLTHT DDFANVLSFGISGWQSTNWDPAISGKNFFRYCDTITTDRLLYPVSDEQQKLATELIKA GKYGRHGRQLKNRLLNYAAYVNATYVAPCRRRGSTLDTCFGTHDPAFYKRDDTRQEWR LWNYQVCTEWGFLQTGSGVPKFIKPLVSRLIDLKYTTTTCREAYNLHGEADVRRINKY GGFNIEYPRLAIIDGEADPWKEASPHATFARPRRSTLDKPFILIPDAVHHYFPPDRIK ERSQAQEIEFVKKWLKDWDREHGRD UREG_06568 MADTQPTLSDLAAKITELSETFTRHLRENDVQPPTFAANSPISY SKLTPEMFYIRQMLADALMDMWYLTQGPSESIFNYVHTCMPDAAALNIMNYFDFWSAV PLDGSASYADIAKHTSLPQDVVERVLAHATTLRLFSETEPGKSTSRIQHTSRSAALAR SPGLKALVSTILDDAGPPMMVMNQALEKYSCGKPALTKDMSETSFALLHSGGAFGNFA NSWDFIENDGEGEKKGWRQRNFVEFMRYLKEIFHLEKAVLDSYDWKAAGKITVVDLGG SGGHDDIALAQAFPDLTITVQDLPEVKPTFEATVPADLKSRVSFMEHDFFKPQPVQAD IYMLKLILHDWPDHESVQILQALRPALKPGSRVLFIDYVGKQGETEGSPLPRSIQQMG TATDLRMMALFNAKERPVEAWRDIFRAADERFEIVRLEANPLTFFVVIEAVWRE UREG_06569 MKFSHSIQFNAVPDWSENYIAYSNLKKLIYSLEKQVNHPELQED GEVERAPLLDRPLDTDSIFKRMLDSELEKVCSFYHAKEQDLFHEVEDVIGQAESYMAD SVGYNMDYLADSMVKSRTLSFGRMPSTVRGAGSSEHRASAATDSVGDGNTYRDDDDSE DDDDTTELPSRDIRRRSMSLRDPEGREALDGHAISDMSDSRFLGVASDHGHPQDHDPH LLALYNAAVSLKKHIISLYVSLCGLKSFIQLNRTGFSKALKKYDKILDRNLRRGYMNT SVSTAYPFTNSTINHLDDKIARIEQLYANTVTKGDISLARRELRLHLREHVVWERNTV WREMIGIERKAQAANMGIRRTLLGGAQDAEAARRQGDEQEPSTKEIVTPVGRCPVPRW MLTSNFVTLVAILIVFIVLLSVPIMAEPEQQNCLAMLIFVIPLFVTSILIPFLAVILQ VMRSEEDNSRLLPKDAAKSVFASMWTSVIMLLLGGFTIAAALSKHDIARRMATFVLSK AGTKPRTVLVTNMFVSMFLSMWISNVAAPVLCYSIIQPMLRNLPPDSRFSKALVLGIA LASNVGGAASPIASPQNIIALQNMYPPISWGTWFFISIPVCVICIMLIWILLVVTFHP GRGTTIVPIRAVKDKFTGVQWFITIVTLATIVLWCVSHQMESTFGDMGVIAIIPLVLF FGTGVLNKEDFNNFLWTIIILASGGLCLGKAVTSSGLLHTIARGITSQVQGFSLYGVM LTFASLILVIATFISHTVAALILLPLVQEVGAAMEHPHPNLLVMGAALMCSIAMGLPT SGFPNMTAIMMEVPETGQRYLRVKHFISRGVPASLISFAVVVTLGYALMLVAGL UREG_06570 MRLAVAALLLTSSFHAIATSVDSQIPLRDAGSSSKQCPADVELS CHNTRPVKDACCFNTPGGLLLLTQFWDAHPATGPEDAWTLHGLWPDNCDGSFEQYCDR SRQYNNITDILQAHGKTELLEYMSTYWKDWKGNDADLWEHEWNKHGTCISTLETSCYS DYRPQAEVVDYLEKATEVFKGLDTYKVLAAAGIKPDPSKTYTLKELQDTLTKLHGVEV TLRCRNSQLNEVWYFFNVKGSLQTGKYVSAKPGGSPSNCPARGIKYLPKRRKSRPTSS RRPGPTSTRSSPSPTGTGNPFSGRGHLEVITGGRKTGCLISYGTWYSTGTCATFTATP SGDGFTLSSSKGDCGILESVFECDTEMKPSVFTAIDGMLAIGGKTTFSADKVPRGTAQ VPIFTNGHSIELTIGWKQV UREG_06571 MGISRDSRHKRSATGAKRAYYRKKRAFEKGRQPANTRIGTKRIH LVRTRGGNQKFRALRLESGNFSWGSEGIARKVRVIVVAYHPSNNELVRTNTLTKSAVV QVDAAPFRQWYEAHYGQALGRRRQTKAAEVEEAKKSKSVEKKQAARFAAHGKVESALE RQFEAGRLYAVISSRPGQSGRVDGYILEGEELAFYQRAIRK UREG_06572 MATNMEEELVKTRICMISDTHTCAPLPPVEMSTPYRRPLPSADV LLHAGDLTFIGLQKEHQCMVDMLKEADAELKIVIAGNHDITLDQEYYNTYGEARHRGS IEDVARIRDLYCGEEARRHGIVYMDEGLRTFKLKNGAQFTVYASPYQPAFCNWAFGYE HHVDRFNTSAADAPFQAPNPVPSFPNVHIMLTHGPPEGILDLVSGNMNMWTFRWRQRR SLTNKLKELGDYSRKVIKPLFTFIYVTGGISRILFSLDILNGITDGNLNHHNIRTDQC PSTMEGTLLEAVEEAWDTYLNRCTENVSMLTPNMKINERAFSLVPCFEHVERYPGDPL RNPESFLLAIYDQTTAANPPTGDIEISAESGEPGVIFNYLAIQRKVYRLRRTLSLEHK RVFDDLTMLRTRYFAAFLKLIICLPQYYDTRAERIMLEEEQRMKLRGDWKSTGMG UREG_06573 MASSSSSGPPPDMLLEALIPGYSLFSRMVSLYTGIDISLYSVYI LLFATLLAFALFVVPVLLDHLRQFLLFFAASLEVKYHDPLYRQILQWVSDHDSLSRTR HSIAGIRTSYKYDDEEMEKKAEEEKVTAQDQVDSNSPRFWQQLSYMNRVKPIRCTPTQ EKLHVFTYKGSLVALHRDPQPSRYNSDLAHAESLRFYAAPWNQRRLRELLYEIQELSL QRECNHVTVHRGRSVGDEMFWESGPSMLPRDLSTVILDEKIKTAVVNDIKIFLSPKSR NWYRSRCYPYRRGFLFHGPPGTGKSSMCFAIASLLRLDIYTVSFNSKNLDEDTLASLL QELPKRCVLLIEDIDSAGIKKRSYDEDEESSVDGRDRGSGRRGISLSALLNAIDGVGA QEGRILIMTTNHKNVLDAALLRPGRVDMEVSFGYAEEPIIQKLFLAFYGIPDDGQRTE SSLSVKSSRSDNDDADFVTEHDESKIRSLAVQFAKQVPAGEFTPAEIQNYFFIHRETP DAAVAGVSQWVKSKQEPGNRAEEESESDAL UREG_06574 MRFFHLTALAYLLVERGHAVQVNPLPAPREIEWGTSGPRYLDWH VRFNGPGDHTIQAAWRRAWNTITRLRWTPAVTEAPIPTFAPFPSDNEKRDALHSRGTV RNVNVKVDDTDTELQHGVDESYTLQISEDSDKIEITAKTTWGALHAFTTLQQIVIAHH GQLLVEQPVKITDSPLYSVRGVMVDTARNFISVRKIFEQIDGMALSKLNMLHWHLSDT QSWPLEVRSYPQMTKDAYSHRETLSPHDVRQVIEYGRARGVRVVPEISMPSHSASGWK QVDPDIVACEDSWWSNDVWEKHTSVEPNPGQLDIANDKTYHVAETVYRDVSRLFTDHW FHIGGDELRTNCYKTSKHVRAWLQEDSSRTFDSLLQHWVDKIVPRLKKVNKNHRLIMW EDILLSQVMHADELPKDVIMQAWNGGLSHIKNLTSRGHDVIVSSADFMYLDCGYGGWV GNDPRYNEMENPDPSKPTFNYLGPGGSWCAPYKTWQRIYDYDFTDGLTDDEKKRVLGA VAPLWSEQVDDVVISYKMWPRAAALAELVWSGNVDKNGQKRTTLMTQRILNFREYLVA NGIPAAPLQPKYCLQHPHHCDLFYNQTAVV UREG_06575 MPWRPLPRIAFAVAVYPFQPSSPADLPLELGDELYIIEQGGKDG AWYRGYLVAPPSLLAGLTSVKGQALEARVFSGIFPKNCVEVREVLGETNRNKENGSAE LALSNGNLKPIDGKAADGSKTNSFIGLADLKSSRKTSQITVIKLEDDVKKRRSGSPLQ SPSMALTPRSTGSRDPNAPKPPAPVPMLKIGDETPTSLSEPLVDEIASCLREWHSTNI HELLLTRQYTALENMSNIVLELDLARRQFLHNVLTAQERASLREATVWNLVRGNKTLS GDVIVRDPKQRGRLLTGDDSAIEMAKLQSEMSMLDGETKPHIDNVSLHHLLFEVNAVT GTKAGSAPLSISLWLQSAKGEIKQLTESYALDIPSAETFVAMSQNGSLKTLFTDLNAA DIGDSSASDSKLYLVVRVLGPESARPSFVAKSRTSSRDGPSGNKSTPALNNVGKGSLK SRRSMIWGQKTRGLPHLDQNEISRLPQSSGSSTSVSTHPERPVKEISVLRTAGIGVLE IGSILKSDKDVDQVVSIWSPLGPTDEEDNYTEGFDDIVRSLLPSPTGRYGRCYRASRL HAHLSPFASEDTETLIRNNPTLLHNVTQTRRIGFPAAPTKPRSDIYVNLSKVNISQDA LLSHPINGQVAVPQVTGLRNLQLTLEARNASGARIEHCIYPSSNASGVTAWRTTVAER GSAWNQIIRLNIPSDEVQGSHLIMSVADAPEFPFALSWLPLWDQQAFIRDGRHSLLLH AYDKSTSSVENGRGSYLSLPWSALGKNESTKDEALTGPLATLTLETELCSTQYSQDQA LLGLVNWKEKPATQLLELLRTIVFVPEIEIVKHLRDVFDALFGIMVEQAGNEEFEDLI FRDLVTVLGIVHDRRFNLGPLVDRYAEQEFNFPFATPCLIRSFLRLLQATADSQQARN LRAALKVGRHLLKFIINARGQQKAKEECIGITNIQSTFNRDLHSIFKSVEALMQNPAP TLVGSKTLAVQHFHTWLPELLNAVDKEEVVNIAFSFMDACKDVKKMLVLYKILLILNY TRLPLFGESAERSRLISKSIEWLSPYWGETQDITDQYRDQVRLCSSVVAEQLKTPESG LFGYMPMAVASYCAIVADGVEETEWLSLLFSKSFPFQLKQSKTKQRFDEALVELSAII AAMSKLQMPKDLPLSHDELAIFLSHIFNAHKSVLDNEAYPATWLSLHIYHHQSIMKSL EDLSSILIQSFLPAPDEADTFDMELWKLFFVTLLKLVSSDALALETFPEQRRRAVWKI AGDVREQGAELLQKTWRSIGWDTTVEERARYGLVKLGGYQVQYVPGLVPPIIELCLSV HEGPRRVAVEILQTMIVSEWQLNEDLSVVEAEIISSLDELFKTKNLTESITQKLFINE LLELFETSSTSPDAELLVTLKELIATVDELLDLLVASHNGNITESLNTIKLMEFMKDM ERGDIFIRYVHELAHGQAAARNYTEAGLALQFHADLYEWDISKTVEALSNPTYPEQTA FERKETLYFEIIQHFEDGKAWAHALSCYRELADHYEHTIIDFSKLSRTQASMARIYEA IVKEDILIPRYFRVTFKGLGFPPTLRDKQYIFEGSQSDRMTTFTDRMQKQYPTAQLVH YGEIEDLEGQFLQIAPVSVHKDVSHPVYQRPKVPQSVREHLLTAVASNFSITSKKHLS SNQVKEQYITKSVFTTAEPFPNVLRRSEIVATEEVVLTPLQTAIERTSRKTQELLMLE KRIASGDDTNLNSLTDVLGQMLDVESSVPTCVAQYRAFLTGDELNDHSALGEDLDIIP VDPLNNALAVALVDHAISLKRCIALYSRPAYQATQAELAQRLETVFAPELATLAISPS QHLPSEPSIQFGSRQEQFTNGSMQGASSTSQPRLASLKSDPGRPSRDSIARPLMDKPP ASNRLSLNPFKRSNHVASGSNATITAVNTAVSAQKDQSQQSIVKPNGVPTDQVTRAPQ PAGVKLEQDREPSDTVSRARSQSRGGKSDTSRKRRSFFGGSEKFAVGTSDPQRGAHSV PPASPPTEDMRTTQQRIMERANKSRAQQSHKEPRTPNSIPNSAGQRQTPPSNAIDHRL VASDKNPPRPKTGHSSVSDHTGSGVRDSMMKRFSLLKVGRKGSKANFHEKPLGGVLRE E UREG_06576 MVEAQCLEHRAYREQKSSRMKSNGSFRAVSPRRSQMVPVGHSLR ATFARDSYIFIVHFIYIFLTVVESYITHIRVTEDGAYPSNPPPPTSPPENKKPRVIIV AVRKSGRVRMHKARENGDGSFSIGKTWMLDDLVRIQTFENLVPSNPVEQQQKQWASNI GFVVTITKPYYWQSDTPKERDFFIGSLVKIYKKYTGGKLPALVGFDSRDREILTGSSP GGPLPLKPPKIPESGPGRPDPRVPRSRSPSTQRTIPQSPHSNRAPSRDGPRETRRQPS REQFMRPQPSQEQMQRMRPPFSPSQPLPTPPSRDGLSAKAGETPTLAEYSPPTSFSAE STSRKRAGQLPPDGKSNQMVDLPVKVPPPNETGSSSRPVPPAESEQAAARDRLQLNLD FDGVSPLESLKQRQSLQNKTSDGSLAMVNPSPGGSKGSIDQRSLRADTDDNFVTPLST PGPPRIEVRPQSSESVNSNKGFHAEPLATLPKSREVDTAPTLPSISVPALDIEPTPSV ADSDTSRRRESAEPAPLQIGLKKSPVSPPAEEEEGHRPGLGPMVKKKPGKDLANTFRK AATAYGAFKPRAGGAAERLMAAKDKSGNEPDGITSVVPAPSLRAQPNEAGRGSPANEA AGSQEIPRLQVKKSFSEKRRSIESMPRRKQAVSSTRHPEPREDNSVQYCDALGIDSSF LQGRGIDFDHLLTDLGWDGKLKDDKTADDFEADIRREIGRVQASSWLGHLEFQEDQVN ELARLFDRTIEECEELDGLLTLYSHELSTLTDDVAYIEGQAEGLQVKVSNQKLLQAEL QSLLQAPERAK UREG_06577 MAPSKASKASSKAKDVSSKNSKDKGGKDAAKGDSAPKRTISPVI LAMIGSFLSAYGLYLTKSAFDSEVASKGVAEKSKSKQVKDHPSMDELIENWERSNQSA KSAKAKSKEPKKSSAMEDDMGDGSSSSESDSSESDQESDSDVSMQDAASVSSSSSSSD SSSSEDETDSTGSSSKGKRNERISGPSNQPLKRKYESSPSPSSSSSTSDSPDTKRPKL DTGKAKKPGELTSSSESEASSDSDASSSSQSSPSGDSESESESESESESESDSSSASS SSSSCSSSSSSASSSSSSSSSSSSSSSSSSSDGSSNSSSSDARKSTKAKKASAKEKKI ARKAAKIPLPESDSDSDSGDSSSAESSGSDSEDKSPFLAATSRELSASSGTTVRATSS DSSSAKVENGAGTGRKHTGAKSTPLAIASELPHNHPSNAYVPYAYAERAHQDLSVTRG KGFTKEKNKKKRGSYRGGPIDIGPGKSFKFED UREG_06578 MDHRAGAHLAELPVEIIYDIVSYLPSLHSLTNLAQTCRYLHAVI FNDNCRIFRAFVQNQFPAVDTPPFWIDAARALTTRSRAFDRRAIIARFVLPPDNARRI GHPRTIRTDHPTLGYRPVIDSYEVWYGFSWSARKEVLVWGAGADLVIRVKDFGRKYLR DANDPERPVDASRRRSSGTPQDCVAWATFNDLHGVDSWDDISGVHLLGSDYSHWTAEE DIIFGRRNGKLVRMYVSVDTGSSKLLKTYVTGGKDLESTDISTGPGPVLAASMGRKSI SFFKADAEGDEVHPFATLKTVTHGVASHRCSRLLCNERFAVGSDGETNKITVFGFCPD GVTKLRDLRIDVDDDSRKAKATTIEPLTVTRFSSTNPGNLFLSGWEDSVTSYLDANLP PTNAYPHPDNNHTPHPPSDMGLFPSKDISVFLSNRIQSVAGRAMNRFREPHRYRGPIY TISHPSPSSSTLYIGVEDSVLRLDMVSTDDLAGKNSAWCQRNLDLGLDPHGGPDCRPL ELSCYERPFPKDQGRGVRLMMQDSFWTALEEQAGNQSEVVTFRPGW UREG_06579 MAPELSTLSREELLRALEEQRRLRQQAEADKEKAERDKEKAEED KKSLEKQLQPSTLPEHLDACHVHLSVGISSRVNYKTGTQGNPENAGSKLRPNHIREWT TFPQEQSAVWKDLFDVDFASERHFTSLSTVKDWGNEMQLRLIGSELDLGYYQRHAVED RVSLVIRRLYSNRRLRDIFNLKGEVMFENHGNTITENERSAGPQVSPVQKRQKKADVH GDSLPVTPTVRSRSSRPRADQFCVYNTGREETIPAFIIEYKAPHKLTLGTVEAGLMEI EVDDVVVCSENDDAVKLARRRVAAVITQLFSYMIYAELEFGYVCTGQAFIFLRVDPKD PTTVYYYLSVPNDDVGMNTGWVDGSDSDNKLHLTAVGQVLAFTLRALKTRPFGQIWRN NAESQLKRWEIEHDDILFGSDIEESGSKKLSDYKQNWRSRNEYIRVSPIKTRSKRLVA ASSCRDTEATRRSENDDSSGSEFDSGSPSAGLPRHSSNITAPRPPSTGGRGSTDYPGQ PPQWCTQKCLLGLRDRGPLDPDCPNVASHGIVRHAIDDREFCRLARAQILAKAGPSGC ESMHRHGTSGALFWLTLFPYRYSLVAKALPVETVARAKYEEYLYQHLQPIQGVYIPVC LGGIDISPRPLWYDGIFEVVHLLFLSHAGRLVKFHANRDNFQTVVASAVESLQAIHKH AVLHRDAHGGNMFWNAENQRVMVVDLERARILDGQASVTKKRKRQDNVSLTGRTNHVE QFKWELRQAQKEMLPRHFYTEMGISPSTRPE UREG_06580 MALVSPTVLAISAVCFLVVAVYKFIIFPAFLSPLSKVPNAHFTS SIAPFWILWKRFQMTGNRTIHEAHQKHGPIVRLAPTELSINCVDGGIRTVYAGGFEKH AWYPRVFGAFGTISMFSMVASRPHSIRKRMMSNIYSKSYLQTSTQLSAVSRKILFQRL FRILEHHVKAVDQVEVHELNNAITMDFVTAYIFGLSAATNFLEDTTTRKQWLDAYQSR KPFEFYHQEVPNLVAWAEKLKLPLIPSWCKKANDDMENWGLELCDKAEEHLSTADIES QPTVYKQLKMSMIKQLGLKNEKAVPEHMSNQLRMDIACELYDQLTAGHETSAVALTYL YWELSRNPELQRELRKELRGLSPSINFPIHSETVPNLPSPKDIDALPLLNAVITETLR LHAPIPGLQPRVTPSPMSSLGGYHQIPPNTRVNAQAYSLHRNLDVFPEPELWLPKRWL KPGDSPEMENMKRWFWAFGSGGRMCVGSNFALQEMKLVVAAIYSNYTTTIVDDDGIEA IDAYTVRPTSNRLVLEFHRADI UREG_06581 MRPKGMSTRIKPIRLQTVDTLRIRRPGKIEPDPCQTALSSVLNC WASSGYGIGGCHALEQQLRHCADTTKYQKPPKSLVNFHISRMFKKMISPRKKK UREG_06582 MRCQSRLDLVYRCALSARPKPSVHELHHSIRASSTRAGSERQQK NNSKEDGLRNDESPKEEEEGAMTRRMKELSEDAMLGGKSAMKNMQQAGFSDELKKQLE ERIAATSFKNEHAQAFSVANMPRSAGRGTQDLAAAAPWTGDETAHDAALRMLSDSKKP LRVPFKPPNPSPVNLQPRVRASQSSGTRLAQARDRKEVYELSQDAHISEEEREAYRRE LRDRFTPGARAFPMSLQGLSSLANERIEDAIAKGQFRNIPRGKGKNVERDHAAGSPYL DTTEYFMNRIMQKQEVTPEWIQKQQDLQREIRRFRAQLRSDWKSHAARLISRQGGTLQ TQVRRARAYAAAEARHNRFAQTPAEKEEKNATGVKPMTQIDHEGRLSRIPVSLSNNSP VSQTESVTSTSTESIEHEPLPDVSCLRDPQYLAIEKEYHELKVKKLNELLRSYNLQAP RIAQKPYLNLQRELDLCYAEVAPSLAEEVYNRATQKAHDSSYVPTKGDAGALQQVLGL GQNARVHDEDTSKGYGLKQFWRDLWSRGATT UREG_06583 MSRPANPRALRERDIGFLRTDIWYFSAFLAEADATHSLNILDLS IIFPVMGNKISAVNGVRSPNFFEPGNAVNEVWIGKLEIISRLPFGDSDMAQAGWPKGD YPKIPWRPFIIPLRASFGKMARSITSPASEASGKCGWPALVDVISKVDWNRWFWVVER MTSIPFFILPILLHFGPNDLTQGIVAIPCLEAGEDTEVAFQPRFRRHGIYLRAIFSNL FTVMGFCLLVLEPGETKNSLGRFGPVGVEETLYFLLATLCAYCNLRLTWALFRIESVS NRC UREG_06584 MLCDITDNSQVQEMAQKVLALGEVHTLVNNAGAAWAGSLQDMSP ELWKREITLNLDAGFFCFHAFEESLKRTRGSVVNIASVNGLSIFGNPAYSSAKAGLIH LTRSIAVEYGKFGIRANAVAPGTVRTRAWETRVEANPQVFEQAMRWYPLKHVIEPEDV ANAVGFLSSQTCLARSFSQSEHY UREG_06585 MSSPRLTLISLLTSSMLTLLPILFAVPTAGRPSFEIFNGPAPPP DEGPPLSASAVRDLSLLWREIVAIIGAYLFIVFVFLGCLLTVGRRLRRSAQQSNRTLE MEMLKPLAPAANNISLQVPQSPQNTWPSPMSGTESHEWPSPQKSKARSFSLPWSKGQV PISRTDSVSTVDENVVRADRMRAQEEMERLYAAVMEHDEAKSNGGTSPAKSPRQTRSP FEAPPDFRQLRQRQQSLQSLSPVSPMSPLSRELPSPVYPEPQSARMQERHQQLQLQLQ PQSPQFSYQETPEWSPTSPRSDKPSRLSALSFLSSKSSKSRGPKKLRRESVRNLPISP PILSPEAVSPGFSETQPLSPRIYHPGPPPLNPLQQQQKQQLKVMSRTPQLRNPSVDTN FSGPPHLHLRSAGSSTSTLPFRVAFDNGPMSAPLTKTTLVERRESILGPLGPRTGIPR TPYSPYMPFTPITPLTPSRMITREERKQMKKKNGVSVQTENDLVMSDEEMWS UREG_06586 MPLTVLSNRDVRSLLLSLTGDEVRELRANLAEALREYSSGNQNS GCFSEYQPKSTTISKRNGLTTMFLPASTESSLGFKIMTVADAPSKPGPQRRLSASEAS IALPATLDSKSTLDSMASKLSRTALAPAATRLSQSRAASPRPAPAADQETNVTSPSGS LTLLDPTGSPFGIVNAQELTAFRTALTSMMLLYRREKISTITVFGAGRQAYWHIRLAL LLRCKDIKRVNIINRSFERATGLLRKFYAADHVEWRQNVKFSAFSRDFVEYDRLLREC VVKADVIFCCTPSPDPLFPADILTDSDGRRKGRFISAIGSYRPNMTELDPDLIKHAIK SHTHHKHPPYGGVIVVDTLEASLHNAGEVVMAGLKPRQLVEVGELLMVKDATEKQGDP PDKSLVDWLQRGNVIYKCAGLGLMDLVVGGDIIKLAKERHIGTTISEF UREG_06587 MEYRQGKGYCLRLWRIRKDKEFFDAKQLALRPGTAIECWVVSRN LFQTIQTLQGRVILEHSLVTAVRTNPDPQPRGYKSSKEKAECSKIHPVGAVHGYQNTG KRQSRLFAA UREG_06588 MMLWATLWWYFHLPEPNRHLYTPESALTPEAGRPKGDWRVYIKQ EGVLKGRNLMQKLERMGLVTSEDSSVGLDPADPETQNTWGEVFVSRRTFWQLDPRIFL FTLQPVSIPNSLDSPRMSRPTSPSRDGPTSSPSSATVALYPEGPAFSRGPFDSSSHLP TYFPPAPPTYTVTNGVRHPKRPRPPRQGETFYVRYIRSVGQTLSFRVPVLRAKESVML RDLNIGRRKSASTTSLFADLGSSGCRTPKTENESDLDILHRWMNDPRINAAWGVAGPR STQEGFLRKQLTSCFSFPALGCWDGKPFGYFEIYWIKEANIAKLVPSPVGNWDRGFHC LIGEEEYRSSHRVQVWLTALVHFCWLADNRTENVILEPRVDNEKLISSLRAAGFYKEG EVSFPHKQAAVMKINRDNWEAPVI UREG_06589 MGALKYVEELQRKKQSDVMRFLLRVRCWELRQLNVIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGATYGKPTNHGVNQLKYQRSLKSTA EERVGKRCGNLRVLNSYWINQDSTYKYYEVILIDPQHKAIRRDPRINWIVKPVHKHRE CRGLTATGKKSRGLNKGHRYNKTTAGRRKTWKRHNTLSLWRYR UREG_06590 MAASSRATKPPHPMYSPASHNNNNASILLEKNRQGARRSTPDSE ALASSEDENDHHGRNQSQPTPVPKPARRTSWLNEIPSSLPRKASLTASSSFSPVNSNP TTPAADQVTWASATSPGLTSSINWGSSGNGSFPWGTGIWNTENRKEPPSRLSEVLQSP TSMHPPTSSFGPADDVISPTARSATEATIPFAIPLHPTPKTYRSQSYSVGQLDPETTN VMPVKAAAPVSGARSRNSAQYSVQRRSSRPSMLGDLGRVREDEDDISPNDSEGNSNWS SNQARTIEQLTLENTLLRQAASNQPESARLRDRTLSSTSANSGYSIAQGHKLHPIHGS VPEESDLAVEDTDELGEFPGYNTTRSNARRRFSLHSANLEKQFPNFASLDNIKRAQWQ SSLGFGGVNDVPQSRRHSFADVPTRQASSFSGAAESQPLVGAAFQKQDDGTGTYAEAG MNAPSGDNREYLKSRNNQSLAERAKELEGLRARQFAASYFSGHDISTRATEPATSGIS TALHQAYVMPNAYGRQHAALAQPHQNQQLFVVTFKCCRADVFYIQEDTGLQVKPGDLV IVEADRGTDLGTVAQANVSWQKARELKDRFAEEHYKWLMLFSRQNQSGTPHAVNPNGP SGLSGSAVGGMGPQSPHTGQEAQGADIKPKLIKRLAQNHEIQTLRDKEGNEAKAKRVC QQKVVEHRLNMEILDAEFQMDWKKLTFYYFADTYINFNSLVTDLFKIYKTRIWMSAIN PASFVTPTAGLQPPGALGPSSSLSLSQDPHSDRRRQHDYKQYGTGINQGLSPGIFAEP LNRDMSTQAGVRSPYLDPYQSLGGARQPEAGYSSYTPGLQHQADPFGSYQTNYGTSDP NATGFGATGVRSGYPGQEEWMNRFQGLSLGS UREG_06591 MVMRSDAAKDVLAPVIDGLPTNANARILSQQFCGSLEMLDNLKL NPKYATPARYPRGFPDVVGYWAETHIFGGVVVFDRGREEGARRDFLQCHGAYIPPPHP DLLFQLSESQLQRSCRLGSQDEQHEEQITVPFVPEPEAREIDHYHAFRDLNICRDRYE RRIDPAPRWGPCVEIYMANAMSSKLSFDYSIHSEKGGKDFWLIGRYKPPYATPSSLSS TSFAENP UREG_06592 MATRTASRSFCRAVTRPHPRWMVSSSRSLFTPAARVPAITSSRS RYRPTLLRQHQPTATQVPTNIPASSRTIFIQTETTPNADALKFIPNFTVLPKDFPTPF LEYLSPRSTLAPPHPSPLAAKLFNVDGVSSVFYGPDFITITKAGDASWAHIKPEVFSL ITEAVTSGEQLVNTVAAKDGAEPGQEGSAEEAPEYAEEDEEIVGMIQELLETRIRPAI QEDGGDIEFRGFKDGNVMLKLRGACRTCDSSTVTLKNGIESMLMHYIEEVTSVTQVLD QEEEVAMKEFERFEEKLRQQKGPDAAPSTIGKGSLDTVDA UREG_06593 MLNVLAQWVAEYPGDFASAMPRKQLTEFISHLEKNIVFAFAAKE MSSSLEKFVEDDDASWAVKEDKAESENVETFLDTSAQSSPATSIIQSTDDNVPAMTAL DLNEEIVDHESQYSNISTASTLNRSGSISSQSFKTLLNIETAQREAQRLEIIPRHNLG KTQWRIFMEIPDEDFARELTRIDWVMYTAFRPRELIRHKLRQLFNYNSLGAIIAGLNG TPIFRLAQTRELVSHNVQKQFMSLVILMGTQKSHFAYRLAWENSFGERIPFLPLHLRD LVSAEEGNKTFVGDRINWRKFEIMGDVILGIQQSQRTPFPSFHINEIVRRLVLGARFE GDDESKLAG UREG_06594 MPSQHRLPQVNMAVVGAHGVGKSTLVKYALDLKQTPIARSSVKK MSLDGTIYLVRLLEIGIHKITVDKHGRITWPRSLGEQALPPIDGVLALFDSADPHTLT QLAQLLSALQTTGNIPFLVVACKCDTPRRSSQHDPAVLEQANRILAGTEALQTSVRVS DSYKKCISIVLRAIIVQTSGKDIFTYHRVLDNNFCRALVST UREG_06595 MSARTPLQARSIYRSLLREFPQRPLSSPSPLQKRIRTYLSNPDG PPSHTAQTRLEEAEQFAQYLRAQRQYTTLLERYNPGADMDEQERVRLTARRVGMNLPV EMKDGKGS UREG_06596 MPAAMTRSASSYDREAMNEFIMSPVSNDMIRYLAQKATQVLRCE DEVMLSTYSQGQPLTPPDSPNPDLAPLPSVETFIRNLVRRSHVEVPTLMTSLVFLARL RAKLPPMSKGMRCTPHRIFLASLILAAKNLNDSSPKNKHWARYTIVDNYEGFGFALTE VNLMERQLLALLNWETRVTESDILEHFEPFLAPIRDRLKLRDEIETVRGNEWQRQLAL LQKSQSRNLSPPSCMPTGGVYDSPRSVSSRAGSHHKRRQSSYSSGRSLSPPSLKDVPG LSYEASIRGSSSRSSSIAPSSRGTPASFSTHSTADFVVDEGKQSPSMLSSYVTIQHQP KIKPHVFPTHGPQQHLRPTKGHGMSANGNLFSRFFNSAASSYVEKRMARAARV UREG_06597 MSSRRYIEITPHTRSSSIESERSGGNGPGSRRQSLSRQYVEITP HSRSNSSSRLRGRESPASDDDQALSLNIWGRGDTGPQRPGAHWGLTTSSRGSTQGDLF HVRKAANNSDFQYERKRFPLGSKSSYGRSELTRLSRSGRQRAAKVLDEYGENVDNLPV DGANCRDWVLGSVGALEDANIVPRGTREYWMDNRELPSREVAARLKKDGRSWIPRRGA DAQYTGKIDAKFESRRPTMRTPGKLDMSKFSHLFK UREG_06598 MQSSGQTSAKMKQPENLENSISQYLNQHSLSVPFNDLLSLLPSL LKEARYSEIWGIDLANGRRDHVHAILAKFLIKASVMPSLLVERTQWALLKTLKLRALV KPAKFAFSNAPQLAEFVYITRYQNLHVMWILLDEKKLKRYRHLVPRLNSQNQLMNIAQ GFVETLVDILFKAASTFSELQAAVVIDCYPHQYEKGRKIEHGYRTVLRNGINDLIPRL VSGYPELLSRLFIINPCPNVFCTLPLPDHVLQCTWILGEPKEVAQHLGDEVPPRYGGR GKSLSENDCLADERSKGIIALGSNVSLQEPIPVGQAIAHSSEQWSSDLDTPEKEIKIP FSFSERIGFPTFILDPKDLETFPELYPGKGGARIVPIADGMLVKYGPGVRLAEAEALH LASTSTTIVTPQLIAAYILEDVCYIIMSYEEGAVLSDLWERTTQEQQDKIVSQLRDAV NQMRAIKGDYIGGIGHVACQDPIFDAGWAPQGCVYGPYESEEAFNEGIVKAMEDRFAP KPGEQASTSSIPVSEYLTRQTLRSLKGHEIVFAHGDLSPDNIIVRPDYTIVIVDWGLA GFWPEYWEYFRATVTIPITEDWDLVVEKFVPPYYIESTIMSNTAAVIWN UREG_06599 MDPSKVILFLVPRNLYTRASLIPPKNADRLVKQTFDFGKSRAIC LSFLVAPNDAAKGFVFGSHSQSCDVVLSSEKISETHFSIDFSAAGVLMLKNLSEHGTV VGDYMLLKPGDTRIIEQESLIECGNFSFWAIVPQRNDCDRMAYGCNLKEYLENPIKKS TGRQATFHPTAIESRKRIRQYVEVGLLDPVHLLVTKLVDTKTGHIYAAKRGMLIADDQ AALLKDLSHPNIVKFVEEFQAEDGLHYIVMEHLPHGPVDDYDVPLVGIRDILRHTLSA LEYLHDRGITHGNITPSHIVINSICPSQVKLVGFSRATKKTLTRSNYGNVPFYAPELF HGRPYTPAADIWALALSMLVLRKGSPYGATEIFDHFDNIEAAKSYIRGIYKLLKRFDS SFRPLLAGMLEQNPDKRWTAQKCLEELKKISFVGE UREG_06600 MSMVAVTPFVEGGVHPLQLTRALEKVAAMPQHRPDEKEDDTALL SEGYTESQEEKGKEKDSGYVPPWSTETPKVPVIFPGDDSEDMTLEYRQFFRNLAMKES IRMLLPKYGPNDGRYEGKPEQPKPSGPLKLSKELSDQLGESMKRMGILDHPELLASDS SDESTASKKRTPSSMLPHGKSSRKKDRQRNANDANVNIDIRLSLDHAFSALVQGAKQG ADDSSKPVKSKAVFNVHEYIGPPKMGGLVATVGVAAAAFGFSLGAIFCRFA UREG_06601 MSRGFSQWFGAGVNPFALKGRLKNVQRKAQQKQQERKQRKQQQK DQLAPEAVPQKDIDVVLAVVCEDEGEDAANEKHAEDAHANEAALQKIGVSLDLNKPVS CEHFSETPERMTENSDAGCASQPGSSLCLAPLEPNTEPSAAPDEDKEVFEGFDGQITP KFGSDDHRRREHFQEPDKPTCDADVSEALEKKTEEDDPGCNAPPGTAPRSPSPEPILE PPKESDDTETKPPEVPLEEQPHEPKKSPGDIQTGTPMKEAIPCPPSPRERVESSDSES DIKRIFAQRRRSKRTARQTRDTQVKVNVRLTLDDALCAAVQGRMGETNTAKTDPDPES SRRNEVRASGEFTEDIDAGDGLHPVEQRRWFETPVDLFSPLGIGSLVAVGVVAAAFGF TARLVYGRFD UREG_06602 MPPRDPESNGDAEKQGETLIKERSTAESVFKGLGILDRFLALWI FLAMAVGIILGNFVPNTGPALQKGTFVGVSIPIAVGLLVMMYPILCKVQYESLHRVFK TREIWIQMWFSIIVNWIIAPFFMLGLAWAFLPDEPALREGLILVGLARCIAMVLIWTG LAGGDNDYCAILVAINSLLQMVLFAPLAILFIRVISHSEDQVSVSYSVVAKSVAVFLG IPLGAAIVTRFSLRTLAGPKWFDNVFLRWAGPWSLIGLLFTILILFASQGEQVVHQIV SVVRVAAPLIVYFVVIFFCTLLVAYRLGFGYRLSATQSFTAASNNFELAIAVAVATFG AQSDQALASTVGPLIEVPVLLGLVYVVKFIARRVGWQA UREG_06603 MPMRRKSFCTHTTRNLIISVPECIYSPEAFRGSARTLIKDLEKI RPSSILDIVKSGEDMAELVPPEISGSASCASKNATSANATEDYSTGGCGSQNGRTGGN EMAEMEKRLAENEIAESRETEITLAPITKTKKQQLARTSHRNGSGSQKAVKVQTMGQC ERCGYLSSQKICKACTLLEGLNKSRPQMSVEIGVEEEEGSSTLMRQMERIQISSG UREG_06604 MPWTSYTGVSTPGSASETASTPSPKSSNRTHGSFLNPNDNIPHA HTHRGSSPSMSRRTHSRSFSNPFPSLFGGKKKLDQHASRNPLLDVIDHEPSLQQGLSR SLSPGRHRGSPAPVPPDHHVIRRCMTCDHSNSFPYGKKGFRCGKCTMMNDLELSGSSQ LRVPSCDGMGTPDLLAQGRVSVERTRKIISNCIRSYLTAQLESSEKLTPPPNPGEPLI PGREVSEGGLNCPREPFLAEKTLPKVDEHPAELAEPAVKPDDFIGDGARVDGTSSPGR GHTAPSNKAHDLIDRRSVIMKRTIGLLSNLPGECHRSLVSWFSRLPESQFRRLVDLVG SFVTHRLNRAQKRRPSKSARLEDNLGEFVPTFSGPNTTTPAQLHSALQRDNISKSPNP EYKNLSYSDDWQIKAAARVMALLFRANYASHGRRMEHPMLHGPHDIHDRLHMIPINAF YSTLLDYSDLITDFEAWESRSGKFTFCQYSFFLSIWAKIRILEHDARRQMEAKAREAF FDSILGRRGTSQYLVLKVRRECLVEDSLRGVSEVVGSGQEEIKKGLRIEFVGEEGVDA GGLRKEWFLLLVREVFDPLNGSDMIARIAGLFLYDEDSGYCYFNPYCFESSEQFFLVG LVFGLAIYNSTILDVALPPFAFRKLLASARPNNIPTLSTPCQPFRCTLDDLAEYRPTL ARGLRQLLEFDGDVETTFCQDFVINVERYGETMEVALCPGGEKRPVTNSNRREFVDLY VKYMLDGAVSRQFEPFKRGFFTVCGGNALHLFRPEEIELLVRGSDEALDIPSLRAVAV YEHWPAANPEREPVVNWFWDFFARVSPRDQRKILSFITGSDRIPAMGATNLVIRLLCL GQDSERFPIARTCFNMLSLYRYQTREKFESKLWRAVVESEGFGLK UREG_06605 MVPANLEFSGKLACEASFTTLAPLQKASVQICPDDLIPANQEAL KYHSAARCGIDPVFESTSLPVIELKGKRLSDLDDDDRCERGRRGHSLPPVLSALPHAL HYATMRNCPMARTRFVPISIIHRVLVKSDHRNLRGSEQHRSSGRAFKSTTTTTKLPIQ ASNSDQFLLISPPAPPGPSIQVTNFGAPGGEKGGDDHIINSYLAVRCRRTEDARLSAE IPAPSLNHNSLIGLLDHQSSRAVPASSRVGTPGKLRTQTLVVKVWNPQTLALGSRTSR PDSAVQARSWGIA UREG_06606 MTSALPKTMKALQYSKPEEYALVNIPLPQLRENDVLIKVKACGV CGTDLHIHEGEFLAKFPLVPGHETVGVVAATGPKVKGFTVGDRVVADNSELCGECFYC RRGDELLCEHFEAHGVTMNGGFAEYCAYPAGRVFKIQNLSDVDATLLEPASCAAHGLD KISPKMGSTVLMFGAGPTGLVLAQMLRLNGGCRVVIAAPEGLKMDLAKSLDAADEYIP LSRKDPSAQFEALKAANPYGFDIVVEATGSVKILEDAINYVRRGGKLVVYGVYANKDR VTWPPSKIFGDEITILGSFSETYKFPAAIDYLDSGKVRVNGIVNKTFKLEQWAECLES MRNKSAIKAAITFD UREG_06607 MIVLPSTPPTCIACDVNGKVFASDIENTVDGDPRTAEPHDVRQV WVATKVAGSEGVNFKGHHGRYLSCDKYGILRATSPAVSGFESFVPVPSPESPGMLSFQ ICGGDMEAFLSIKEDPSSTVEIRGDAEKASSSTAFRVRMQARFKPKLKASRASKALEK VTRKELEAAVGRRLEDHEVKRLKKARREGNYHEEILDVRVKGKHDKFAS UREG_06608 MLFYRPGNSRARPPPADAPEWDLGDNDQWKSEHKFPAYAIPGAF GTSLMEQLSLYSGNLSDAPQGPYLTMQYENATARAYGLIALENEGSSFPGLWVFLLII LAALVLIVASSSIIMKLVQRGRRVSLRERIIAGEVDLEVLGIKRLRVPQHVLDKMPLY TYDKDGQPAAPTPTHAQVESKPTSSPTAPSTSADSEDIPSSSPQTYERLKSIFSQTTC PICLDDFLPGQSVIRELPCQHFFHPECIDAFLLQNSSLCPVCKKTVFPSGYCPDLVTD AMVRQERYARRSGQRRPMRGATMIIGDSPMAPRQSRFDRLAGRLGFSRSPVGTTQQSY AMAQTPSPPPPSPPPPPTNGATDLPGRREEMRRRAVAMLGNRRMAEDEERERDAARPA CKKDLDSRSNIPIL UREG_06609 MAIRSEPILDATATSQCYSNRPSYASNEILEIEQAATLEKDSQL SILVRLLSSIQRYLWLNAGVD UREG_06610 MPPLSSAKSKDSKALESLVEQLKALSDVAEARGDACNAGMRELS QRKKELFEYQREREQIGHSSEKLKTKREADVDDDESRASKGAKLKKRRDKGTSKDERP LAVGAHGVARQDAFELRTDAHLKRSSKDAAGGSSSPSKKVKNPPSAASSLSPPSMTSP KPSEQTSRPGSAGSAGSNDSHQPEPAPAIPHYQVFGPDPLRFDDPTIYHIREVTPGMT DDAKKEIYCVAGFPQSDLSHMMAGTIPDKDFSNAKPTNQVSANTFATYIEPYVRPLTE EDIAFLKEKGDRVTPFLIPRRGKKHYSEIWADEDGSITIEASHQEREKLPLNQGRGSI EQISDDTAESDQVSAPPLISRLYSLLRFEHRTLPEENTATQPANHDETAPNGNPSNDM VMDIDQPNGEPENKPLNSATAFPDASPNGFKVPAAKLDHSQLDERLKAELRYIGFLGP DENPDYDAHYDDDVAERLRFLQSELKKQMIVNGARKTRLLEIARERLAYQEYTTIHDD LDSQVQQAYLKRTRTLGKSKKGSQAKHRPGGAGGGSHPVNGPGVGRPGIGDLARTLMD RRKRWADCIGPVFKDCKTTVPGKDESVWDPMIMAEFEKAEIEGWDEEQE UREG_06611 MIKAIFYSKFDTQSDVATGRKVVHQVPDGSIVPSPTAPSGSSPL FNFSDISFFVIPRQELCGNLIQVCTNGYRILGSPVCIKSPNYDRNEFIFNFCIVLAEE EEWGSFKSVVQKLADLMCELEEQSGFLSTDQSQGEEGKVHSLCETLMEDLNNYCECMI PIAYYAFSDALNTLNVKLFPLYPSPPPVKSWQVPLFTVRYEAFMDENWDLTMQRIVPF INGINSVRAISVLADADYSLTCRAIRHLVYYGCVFLLDIFSFSAIYAQTAQFGYTIAS DEEMQRECARYVNTRFSPTLARPKTNESRRFGSKAGSRSDIPRREMQTPIEQDDIWPK MGKDDKRTYGRSNHQAQIVDGVGIVELYASLKHGQSVKQWCLEHMRELANIDVRRFIT FGVIKGFLYRVHKYPFATGQPAQAATTIATTVTSTTNNGTQQISFLPGSPSYTRNRLG STASGIETISSSHSRRRKSAPLIGPVEPYPHSAYHSGDEDEDEDGDEDGAGIEDKILT KFLDGMHCFDEICTELEISEKELTARLKRYPREVLIIQR UREG_06612 MVLTESEGPELSTALNPTAVPAPTSHQCSICFKSYKRREHLQRH ISSHSSERPHRCPACGCAFQRADVLKRHWRSCDGRGSSRSAVRRRACDRCVRQKKACS SAQPCQNCAKRGIPCHYSSGVNAPKPSSDPSLQAIDTDNDSLVATDAFIPTTEVTPPP NLEATQLENMTMNLFGSFFEPDLFGYSSSTWQEFLQFTSDNQAVRDVVTPNDDEHHSF RFLDKFTSKTGFVHSFDCGTLRQRQEIMSNIQSGLFEPQQLLLTNFESTNTSEGVSSG WLNDPLALKSHQIILSIKEVVTIKPRNSSVAFSWSSTLEQMCLQFFAPTNLRKYLELY WAIWSPNVNFVHRPTFDPVSSKSILVAAMALIDPVDNENARTWLNCVEEMVFADDDVD IPPVFPFDPAVNRRKIQALQACYMVCLYQNWEGTDMTKKRIRRHRYSTVVSMVRDIGV MTARHNKYSCQAKHEFQWNDFVAREELIRTFLWIFLLDTAFVIFNNLPPRMVIKEMKN HVAAPEACFQAVTAEECFEQIQLWMPDTSPFWKVSFRSAFETLCRGDLTVHMRQVLAA LGPLNLFAIASAIHYLIFHYQNSFGGNELLQRMRNALGNWKDTWHLQASESPGSSPHT IVDNNHTRPEYMWKRVGFFRYSPDYWLLASLKVDLLEAANASHSTPQEWTDQTEGELI GEDDDGLSDPILNKYDETSMGQVNELISDFQKVQIR UREG_06613 MPKRDAHLQFAKWAKEYGPIYSLMLGTQCMIVLSSDEAVKALLD KKSGIYSDRQEMYIGQRVCSGGLRLLMMFRKMVHGLLNVTTSKSYVVYQVLENKQMLY EFLTEPDRFLYHIRRYSNALTTTMVFGWRTPTYEDQKMKQLFDGFSEFAELNQAGAAA LIDFFPWLRKLPDFLLPVHKLAKELHKHERALYLGHWLKAKEDIRKGTIKPCFCVGMA EAQKYDGFDDAQAAYISGTLLEAGSDTTSSTLYAFVQAMLLYPDIQRRAQQEIDKAVG PDRLPDMNDQESLQYIRAIMKETLRWMPTTILGAVPHAVTQDDHYMGYLIPKGAGVMN NVWAIHHDEKRHPNPRVFDPDRYINDRQSLGESAANPDGTKRDQFTFGAGRRICPGIH VAERSLFLGMSRILWAFDIAPAPDAQGRPIMPDPDRLTQGFVCMPEEFPAKITPRSKE RADIVTREWKAAEKTCLDPETKQWLLHPIDS UREG_06614 MRKPAVSQLVYNVLFPKPRSNDPTNFASHIMRNLVPEVRVEIAT FYGSSDCIEAQYPGLDYSFPPHRMRLSRFHHHRKLFKAFDELRLTAEEIAVLCRWEGT KFARDRYEREARVHVRDTTVDGILVQGVHVPASVTWYGSDIDSDSQLAPSDVEPHGPD TASSDTTAGEESSEDELEESVGFALNQHLLEATAARERGANVSLDDVWEQWLKDAIER GNYEDILHTIRDGSGDFSRAIPSPSAIYANNVATRQVMQRAPSTALTPGLHIPDPSAG PQPNSR UREG_06615 MKDQSADGIGSGAADSTSRNSKDSRAHGYFDLVTTVPIDCSAPC RPHWLQRRAWLRQSIPGRGWLLAVSRSSPRTDAGPSLRLTKTFFLGRGPLCLQETTTF ASHIRPASVGSLQVE UREG_06616 MNVSSGLSNLAEPWWVQSLIRNPVLIPYTLGNRRLNRIYLDTTF ATKSDIYATFPSKAEGIRELLQKVKAYPEDEAPYLCGFTLGNSRVSGCLTDQTNTPSV KVHSCEPGVVCSTIESGPSVYITPIITRTKEGCDVLELGAGGGMGDLRQSHDLQLPDK FAVERFADLCSEYIEDPSTRKAIIDAVSKAYETQSKSLSLDSYGFKEQDEMTLKSLVA MLSQGSKSDILPTLTPNKISSLPNTIVRFPYSRHSSYEELCGLVEAFRPADVYPCTVD ADTWTETVSMENLFGHLCSGAVFSHDNEMKSMIEQDDFRPRKRPRLDSNASSTAGSTQ RSNIETGTSQELVVPERIEYPVIHISSDSEQQQSQQENERPLGTRQITTVDTELSFSF PSQVTSNLSNSQPDESSSSPDIKIQAIKQALKAKALNNELDFYFESSFADSQSQPRSS SQLDNLNTQPSVSSIAERGDSQSALDIDANIQLEAELENAEYEYASSSPLSLSPSAFG SQESIQIPLDDDDDDVTLSPQRCEGRVVSALKRTHSRMAAYRAAKDDTWALFHSLVSA GNNHTVEDEEL UREG_06617 MQLIPREIDKLTISNLGFLAQRRLARGVRLNHAEATALIASNLQ ELIRDGNHSVADLMSIGKEMLGRRHVLPGVVATLSQIQVEGTFPTGTNLVTVVNPVSS DDGNLEKALYGSFLPVPPMDAFPDPNPDDYQPEKMPGALIPVKTNKKIELNAGRNRIM LKVTSRGDRPVQVGSHYHFIEVNPQLDFDRAKAYGYRLDIPAGTSIRFEPGATKSVPL VEIGGIRFIRGGNAMASGLLDYRRVDEILMKLQKAGFAYTPEPKAGPQLIEPCSMTRE AYARMFGPTTGDLVRLGSTNLWVKVEKDLTRYGDESSFGGGKSIRDGMGQATGRHSAD TLDAVVTNALVIDWTGIYKADIGIKDGLICGVGQAGNPDVMDGVTPNMIIGSTTDVIS GEAKIVTAGGIDTHVHFICPQQVEEALASGVTTMLGGGTGPTEGSNATTCTPAPNHFK RMMQACDRLPMNIALTGKGNDSGLPSLRDQCRAGAAGLKVHEDWGATPAAIDTCLKVC DEYDIQCLIHTDTLNESGFVEQTIKAINGRVLHTYHTEGAGGGHAPDIISVVEHPNVL PSSTNPTRPFTTNTLDEHLDMVMVCHHLSKDIPEDVAFAESRIRSETIAAEDVLHDMG AISMLSSDSQAMGRCGEVVTRTWTTAHKNKLQRGPLKEDEGTGADNFRVKRYISKYTI NPAIAQGMAHTIGSVEVGKTADLVLWKFANFGTKPSMILKSGMVAASHMGDPNGSIPT IEPIIMRPSYASLTPQASIMFVSEASIKLGIISSYNLQKRIESVKNCRNVSKRDMKYN DTMPKMRVDPESYVVEADGEVCTADPVSELPLTQECYVY UREG_06618 MAPPKPEQPLSVPPPQQSQPPAQQPKDSTAIVTVNIDDFTRTRD SWRYPRLAPALNTSWLSPHDYFTSQLQIDRPQTANFLSQVIFALASLQSAVSDLSKAY INHANTVLNRNPSSLDLGGITTNLLENGLLPVPRPHSPAGDGDRKKKRKRAPPDPNAP KRALTPYFLYMHHNRQKIASELGENARPKEVADEGTKRWARMSSQEREVSFLQVSAVE HYISTNRRRLLYLKTWQSLYKQNLDVYKAKMRAYKAGLSVPEDEAVNEAVAAQQQLHE GVRQATEETSDESTSSSSDEGDETPEPIREPTPPRSSKRRRTIDLKSVLAPAPSPPKS SPAKKASPEKKKKAAKTPTTEEKPKRAPVAVAETSKPEKRTRKKRKSEAAE UREG_06619 MFARFMFRTCRPAGQAVRKYASEASPKPSSNAPLYGGLALAAGG AYYYWQRQQSDAPKPLEVVRKERPNVFVGGDQGWVDLRLVDIQMLSHNVKKFRFEFPD PEAVSGLHIASALLTKYKGPKDEKPTIRPYTPVSEEGQPGYLELVIKRYPNGPMSNHL HNMAVGQQLSFKGPIPKYPWERNKHDHICMIAGGTGITPMYQIIRKIFNDPEDKTKVT LVFGNVTEEDILLKNEFELLENTYPRRFRAFYLLDNPPADWTQGTGYVSKELLKTVLP EPKTENIKIFVCGPPGMYKTVSGPKTSPKDQGELTGLLKELGYSKDQVYKF UREG_06620 MYRMRIFAPNTVVAKSRFWYFLMKFRKVKKATGEIISVNQIHEK RPQKVKNFGIWIRYDSRSGTHNMYKEFREMSRTDAVEALYQDMAARHRARFSSIHIIK VVEIDNKDLIRRPYIKQLLTKNLKFPLPHRIAKPQGKRVFAASRPSTFA UREG_06621 MTALFAKFKDAQFTGSGSALADVITPANTPAEPDRLDSFYHFTD SMNVASDVRYALLDDRSTGSRLPKLEGNAWADIFVTFWKVAGELVKFEENPTKASWNR VFVCWKEFSNLMIKGYSSCGFQAWTLPCLYVTGKYLRAFAIRADAETEGSPDASRGNF QEDVVSDVHKNKNLEDASRVINRMFTLCLHDRAPIEESRKWGVYSTVNLSFKTYFKLG TVSSCKSLLHAMEASQADMPPLTAFPKSHIVTFKYYLGVILFLEESYKEAEEHLTYAW NLCHKDAMKNKEFILMYLVPCHLVTTRTLPSKRLLAPFPRLEQLFRPLCECIRKGDLA GFDAAISAGGAAFTKQMIYLPLERGRDIALRNLFRKVFIAGGFDPAPPGATPIRRTRV PVQEFLAAIRLKSNSTPPLPRKDGKHNGEENSDKLTPVKLRAEIDQVECYLSNLIYKN LMKGYIARDRGIVVLSKGGTAFPGTGV UREG_06622 MFGRRPSQSHSRSESFSTKYRAGKAASRSRKSFRGGEPPVILKR SERGQKPESPVPKYAPPINPLSSIHDPVANVSRSVASLTSAIVTLCVGPEQRLFAAHE EILSVSPFFSSCCRGQFLESGNKQINLPSEQPEILSSILEYLYKGDYFPRLVHNKRRN TWELEAAVDENGAPVLAESTVIDPIDGSVVLKDTAIYCAADRYGLSELKRLALRKQGL QSAIQCSTILSSARYAYAHTPDTDSKLRAHYLALIIRSRSTFKRSGTMQMEMETGGKL FFDLFVAMCNHMDDITGPSKSPFSR UREG_06623 MFIVSITPPPVRVLTYGTLIKIAIIGKPHRTSRGELTLLANELP TLLSPCLHDVPVDTKEHEVSPYERHVQFLADQGVVDSLKARSSIIQHIRNFFLERNFT EVSTPIIASAAGGATARPFHTTASESPDRLLALRIAPELWLKRLIVGGFDRIFEIGPS FRNEGLDKTHNPEFTTCEFYQAFADLERLMQMTRSILAGISHDIKQLNEKLGTLNPTN ADFNSPFQQLDFIPALESAIGRPLPDLKSDDATANVVNLFNTLALPLPDHISLPRLLD KLCSAYLEPRCENPTFIINHPECMSPLAKSHIHPTCNQVVSARAELFVEGKELVNMYE EENSPFEQRRKFEEQLSYRDPENPGELDEGYLQALEWGLPPTGGWGCGIDRLRSADWR CVELWELEKRDEASYVAASSRKYSPITQALKQQYYNYTSGRWLWDEANQFRKRYQPFD IQKLKGKAAECVNAASCTRMIKLTEGAYNKAFLLTIDNGVQVVARIPNPFIHPRVATA SEVATLDFLRNELDIPVPRVLAWSSDKNQDVEAEYIIMEKAPGTELAKCWPSMDIADK FRIATQLAELQKRFSSVDFGHYGSLYYRGESQRHDIPGFSNKFCIGPAAAPQFWDAER KQTGEYKGPSYRLSRLGSSPVSFMKDIARREMSWLSQFARPRQMSDPLRQSATQESPE CHLQLLQKYLKIIPQVIPLDNNLRRATIWHPDLHSGNIFIENNTIVCIIDWQGCMSLP LFLTCKIPKFLRFNGPLLINIPPTAGLSTQEKADILLRYQLTQLQRFYIARFDDLDRS VFRVLADPYATTRQQLVEFAGSTWEDDGLLFLRERMRQVWHAWADFTNKPGEECPITF SADELATHNAEMKAWDGFNQFFDFLGIPMDGWVHPEDFKLKAETMQDIAGKMVSTADD QEEARCAIRAWNLSDPEATHPLA UREG_06624 MSFLFGGRPQISSEEKIQRAELEFEMITDMVNRLNAACMKKCIP PDYREGDLNKGESVCLDRCVSKFFEVHTKVSEKMGQLQGQPGAGQP UREG_06625 MPQNSSSGPPSQRHTNLGQSASAPPSENSQRPVAFRSPGEHQTF VSEEGYETLVFTDPVVFRCLEEDASTILVERRSILVGYVTYIVEQWACSRVHPTFVIN TYTGDPTHTVVAGVLKVPKDEHLWSPRLRVYFNTIAQSHTRRRETPLGILMVTNLSNF PSTLTVIDVPEGDVRKYREDFVVNENLKRLGCSGRAGLNLKQPTPATEAKFYQLYRTS ERVPFFAAVIGLVKLCQMALSVFDKLAAEYIDGLLCDVTERAINDWWSDIGTELFNNE PNDGILGPTTVSALLGCLMGARNRLHAWGAPVAKDAFDIESLKRGIGSFQKAQKLERS RRLDRQTLDKLHRVTAKAASGEGWAVPRAVKSTVAELGGRGGEMVIGMVGGRDKAGIS DIETLDMDRFVQLVSGERSKWLWHGKPRKYHTDPFRHDAGDDEVAFPKDTWAARRRET GSAFTSARPSLDTEQSWKHMETPTNVDSRDQQLKHAFKKSVSGKVSDARAGLGRFRDA VGLPGLRSHQHHHNHPKPFKDAVDADANLSYQRAADEGPVYPGTKSEIPGSSVVSKEK FEPLSNPLEHIPTSEPIAHTIDIRRQSSQESDQSQKELDPNSASTEPHESTGVIDEIL QKPDCVDEPIRVTRILRRPLSLSLFPVENAPSMFRGRSCRHLSFSAVEESILPWKSSV NFSPADFKSDAILATRILYEDMQTEDERMLASKIADLDMNTSCWVERQVVAVESLEAT ANSRLKELDSAYIEKQAEYQALEENSTAFISKENRDLVERSKKLENLGAKLDYELHSL QSRVEEVENGLVDYEHHIRGLESRLKELLKVEKKSGISWMEWGQRFLTRGT UREG_06626 MELLQKEHQRLFKRAQSSKSINDVQATIDLLKEARDSIERDPSS ASITLAKLQNPVKSSFETTNDSLKETYTALNKYGRALDKVRSEIAIVMHLLREGQFSV ASTFLSELGRNLTSSQTSNNVQIPDQFGTLPQQCDESQFDEIRSQFTVMYRILHQLTE ERNLVPAIDWARENRAQLERRGSNLEFELCRLQFVWLFHGGKEGNVSIMSGRAAALNY ARKEFHHFHARHLREVEQLMGAMAFCPNLEESPYKSIFVNPWAWFDVAAAFTREFCAL LGLSADSPLYIAATAGAIALPTLLKLQAIMKEKRTEWTTQNELPVEIPLPPSYLFHSI FVCPVSKEQTTDENPPMRMPCGHVVAQESLMRLSKGGKFKCPYCPNESHPKDAMKIIL UREG_06627 MDKVISISESDSHDSPFMEMEESPPSPSPAPKRVQDDGDEQHAA KRPKVSVSSPIKCTCAISSNCVLAAQNGVRDCRTTSTPAPRRQKPKTPIINFPTALST KQQAKFKRTLDEMTEILSLYIRSIDTPSSDLPNIFNQMRHRVQQLPFSEAHPSCQEGL RSRFLNPESGFPAIIRDHSIPWDIRLDVDVLRMRWEKGDLDISLDRGLITTVTRTVSR KFDTAFPFKVPCNQIGEGTLRNGQWFSWQICAVRDGAHGEIEAGVSSHGEIAISIVLG SGRGYADSDQGETIYYSGTHGKTGVISAGTKALRDSFTKRSPIRVLRSSRLPAINPYR PAQGLRYDGLYEIVDEEMMDEEKQLYRFKLERIEGQTPIRYQGPEKRPNPKELEEYDR LRKFMTASRPKKPSP UREG_06628 MHCLIDFRLDTSPNAQQLSRTCQSADGGWNGTEPLGLFLFPICL LNSPDETYLRHYIRPFTKGPLRPPQKGALTYIIALIES UREG_06629 MSTNSRGSPNNAVLEETQNPDYDPKKFYPARVGETINNQYRLIS KLGFGTGSTVWLAKDVTRWRWQSDRYMAIKITNSKAEDRTSAQKEIRMFERLSQIRTK HEGKEFVRRLEESFEIQDLKADNFLLGFEDPAVLEEYVRRQESDPALCTEIDGRPVYE SSLDFGPLKRGVGKLRMSDFGAAVSGDVPTPYNHDIQPQEFCAPEVLLKATWTYSADI WNLGMMLWELLQDSPPLNGIGPGCNTYSLEAHFGQLIRLLGPPPTRTIG UREG_06630 MATSVQQHHDEAVTVSLQQLIDGSVSFETLANAFGPSSLGIIIV KDLPEKFKDLRAQVLSNSSYLASLPADELESLSSAEAKYLVGWSCGKETLRSGHFDTL KGSYYVNCAFYQNPELQNAPAENFPNFPQYTAPNIWPPSDRLPTFRQSLVDLCTLIID TAVLVAKACDCYAQANINGYKPGYLEHVVKTSMTTKARLLHYFPGPVENPATETLNAT DGENDDSDDWCATHLDHGCLTGLTSAMFVDEAANPPSADASRSSPLPELASSPDPKAG LYIRSRTGKVVKVNIPKDCLAFQTGEALELITRGKFKAVPHFVRGAKTTGQARVARNT LAVFTQPNLGEEVEQGKDIC UREG_06631 MNGGTSPCLCDLCTLKEKQGQKEKTVDAPGMPRLIRGRKRGKGK QVASSTRQATTAKHGKRGHKNRAKYMAEDEEGNRDVFKELVYRLKIKKTLDEPVKEVD SMDWRAEREQLDEHLTRIRLQHSFIPRVGELVLWCPELQGEVQFDFDKDIFREVCPVT NKFLGVPKWRAGTVAQVPEEPVVLGDIYFDAEKSMAINMSGFRIETFPDPNSPNKDFS SQYKYVPLCHIRPFNMWDIILQNIPSEEFHPSISYALTIMPSFSMLDRYHFAGTWPNA TIYSKGVYIGAELLVRGDAVRIFPEEWSSEDAFGSVTDVLVIEKIALELFDCDADLSS PGLCRAFAPRVQGRAFTISKDRAYRDPSSPNEEPKPMTRDEIIDAFETVSMGKYGPWY RLHLPEAIMELSINQIIGRCFESDYMDLMYGTLDFDLDLEGVISGREYGQKTDERIPP HREWFAGDYRLETLALETFNGIEVGKFDEARDLKMWRANLKIIDGTATAADIKEAKLT REKGRRRLEDIIGGKADSSKREWTE UREG_06632 MLTIFTGWMSSVALYATIAISLPFIITWLSSSFRARWPRNGEPP MVPHWIPWLGHAYSYLFDINQFGQRVKKRYPNAGAVTCLVGGRHYYTILDAKLAGQIF RRPKPFAMEPFIVASHEAFGTPKADTEVMKMGIPGLEHECGYRDDGRRIWHTLGKMIQ EHLGVEGSIHMAQTFLGQLSDDLQKVFPKQLKSTEWVTLDLRSFIMKHYLHASAASLF GTHLLDLWPTISEDFWAYDEYTKIHLAQIPEILAPKSYDCRRKILKILLQWEKDARDN RNLDKLIAEDAAWDEYWGARLMHHRTKYTAESGLSPEARASMGLVFLWGQNANAIPIG VWMMIHCLVDPNVKRRVLSAIESCRKPEGDIDMHRIVTHTYLKSVFLEALRYGVAAPT VRDVLETTQIGEYTFHKGSVIHLPSRILHMDDEVWCSKGATVSASSFWSERFLDPEQN ENIENAGAGFSKAAADPIALPVGTRSKDIRDRMPAFRAFGGGNHLCPGRHFALYEIVA GMATMFTMFDIEVDEDALRVNGMPAVDQRGIGGLPPDRKFMVRMRRK UREG_06633 MAAQSTLRKPEDPLLVLFNHYKKLLKTRVRGSSRRTRLLATAAL VLAIVGSGYGGYNWWREGSKERAQGRRLLRKNSGILRKDGARIIYVPSKSSLSSSESS RVTIHPTKSTTFDAHRRLFLNTHRSAGASLIPPPSTKPGLNLAFLHQLFSLLSIMIPR WNSKETGLLVSHGVFLMLRTYLSLVVARLDGEIVRDLVAGKGRAFIWGITKWCGIGTF ASYTNAMIKFLQSKVSIAFRTRLTRYIHDLYLNDNLNYYKLSNLDGGVGQGADQFITQ DLTLFCSAVSSLYSSLGKPFVDLVVFTYQLYRSLGPIAFAVIISGYSGTASLLRRLSP PFGKLKAVEGKKEGDFRSLHSRILANAEEIAFYGGADIERVFLSKSFRELQKWMEGIY GLKIRYNMFEDIILKYSWSALGYLITSLPVFLPAWGGVGGMLEMANTGASSNREQGRM KDFITNKRLMLSLADAGGRMMYSIKDLAELAGYTSRVYTLISTLHRVHANAYYQGRDS QPDIYSLSDVQGTIHSGFDGVRLEHVPIVAPAIFPQGGDELIESLSFIVHSGEHLLIS GPNGVGKSAIARIVAGLWPVYRGLVSRPRTFGTDGIMFLPQRPYLSIGTLRDQVIYPH REMDMREHGRSDAELLQILEAVHLGYLPEREGGWDCRKEWKDVLSGGEKQRMAVARLF YHEPRYAFVDEGTSAVSSDVEGLLYERSKERGITLITISTRASLKRYHTFNLTLGLGS DGAGWEFDRIGTEKEKMGVEKELQELRQRLNNVDALKRRREEIEKELQNVWMDDGGRL DPPSYAEATAAENSDGDGVD UREG_06634 MADSKDPLPFDEKATLKANPEPRSSRLDPELKVAAGEPTERDAD KLAPKLSASPNTPYTSPQSTTPPQDEPAQSAPADEPERTVVREMPPPNEEQLPSPKSP RGEEKSEFESCSTSPTHGPEDETATAAPIQAVERQPDFPPPPPPPADRTTHAPDTQAP PVPPVPLEKAQFLLPPIEPHLKDRKCLVLDLDETLVHSSFKVGYINHILDKADFTIPV EIEGQYHNIYVIKRPGVDQFMKRVGELYEVVVFTASVSKYGDPLLDQLDIHRVVHHRL FRDSCYNHQGNYVKDLSQVGRNLKDTIIIDNSPTSYIFHPQHAIPISSWFSDAHDNEL LDLIPVLEDLAGCQVHDVSLVLDVAL UREG_06635 MKDSKGWDGKLRVERHAVLTNPEALEDPEYSDEDAPPAEEIEAD EDLLEGVEDNIDVRKLPFFLVGAVLASTFCSSYYIDGTTLLTTEYKRKNLNPTLKELD LYDNLISHIKGLDQLTNLTSLDLSFNNIKHIKNISTLVNLTDLYFVQNRIQRIEGLEG LAKLRNLELGANRIRNISHLSNLKILSLPSNRLTSLSGLSGLTNLEELYVSHNAITHI SGLESLANLHVLDISNNQISKLENISHLTHIEEVWASNNKLSSFEEVERELRDKEELK TVYFEGNPLQLKAPALYRNKVRLALPHIQQIDATSFEGFPGFYDCQLTPGIVLSQLVE RIISRAPEFMTKLAEYGVSSSFNASPATSASYDDSCSAKSCAKPNGAQSLATYDYRPK AFNTGRSALAIFETTHAATTAVNASPITVSIPESIESPDNPLPIIQARDSEESTTAPS TPHITCTINHTQYDHTFIVSQNPYNRQFHLSKTWFEVQDLTQSKGPESVPMAQYADCF ARRKNHVPARIQNIWLRESEKNGAMSLHDLWKNGLQNAGEEEAGGGGWKMKGRETKEA ASAKGTRNTEAGDVEQVAGDFSESHEPKPKSWGQFTSKKQEEAAERLNSQGKKMGD UREG_06636 MIPGARPSLTWRSKTGYRCFDGACDYGNEVEAGQGVARAIKDGL VKREDLFLVSKLWNTFHDPEHVEPICKKQLADWGIEYFDLYLIHFPIALKYVDPSVRY PPGFTYEGNKLVLSNASTQQTWSAMETLVDKKLVRSIGISNFNVQHIMDLLRYARIPP ATLQIEHHPYLTQPDLVRYAQKSGIAVTAYSSFGPQGFIELDLEAAKGVPVLMEHPDI KSIAEKHEKTPAQVLLRWATQRGIAVIPKSNNPMRLALNLDVNRFDLTEDEIKAISGL NRGLRFNNPTSVSAFCSHLASCKSIVADIFHFGRFLQYGFDLPIFA UREG_06637 MPDIYKAPEVILHMKWDYKVDVWSFGMMVWHMVTGSPLFNGRSP DNTFQDDRVHLAEMTAIMGPPPAELIKRSGMSRAVWNDDGSWKGVVPLPEITLEGLGE GITGEDRDEFFGGLGVYCAGSQRREQLLRNFYLTHG UREG_06638 MANPVYYAYEAQIKSADMSEDMQQEAIEVATEAMEKFQIEKFDS RKGATWHCIVGRNFGSFVTHDGVDNDDDFPSLSISKRSLIQSSSSRVKQLKIPARPPR RQLRVVSLMGGVEWVGFLLSIPVLLRFLYHFETF UREG_06639 MESTRVFVSGLPPTLNNDGLRKHFAGRFEVTDAHIIPNRRIGFV GFKDHNSAQNAVNYFNKTFIKMSKIAVEMARPVDAAPEVKLKQKPGKASANGADPNPQ SDSLKRKRDTGEDDPKLEEYLNAMQASRKSKTWADTGTDLTIGLAARNNKSQDVDSSI NVPNKRTKGPLEVELPKEAPRNLVPSTTEPNPAELNHKAQVDAEDAEDAEDSEAAPQS DADWLRSRTSRLLGLLDDEEDIDHTKAPADSPTSPPADAQPEPISRQPDPPVQAEDQN SPSVQEVDDPNLHLIRETGRLFLRNLPYNTTEEDLRSLFTRFGKIEELHVAFDTRHST SKGFAYAQFVDPDSAIEAYKELDGRDFQGRLMHILPASSKKTYKINEYELSKLPLKKQ QQIKRKAEAASSTFSWNSLYMNADAVMTSVAERLGVPKSAILDPTSSDAAVKQAHAET HVIQETKAYFSANGVNLDSFKQRERGNTAILVKNFSFGVKAEDLKKLFDPYGQIIRLL MPPTGTIAIVEFSMPDECQKAFRGLAYRKLGDSILFLEKAPKDLFEGKPMAPVTVQPQ RVVGPTFSTSETFKAGEQEAGVESSTLYVRNLNFSTTTVGLTDLFKPLDGFLSAQVKT KPDPKKPGERLSMGFGFVEFKTKAQAQAALAAMNGYKLDQHELVIKPSHKGMDAAEQQ RREDTAKKNAARRTKIIIKNLPFQATKKDIQSLFGAYGQLRSLRVPKKFDRTARGFAF ADFVSAREAENAMDALRNTHLLGRRLVLGVCC UREG_06640 MAKGRKSNIKPILSKPQASSSPLASGAESGNEAAKSANPTGVSS GSAASLNRPSSPTPPGGPRTALRRRAAADHKETMRNARPASTRAAGAGGSSGTMLKLY TDESPGLKVDPVVVLVLSLGFIFSVVGLHVIAKITRKFSS UREG_06641 MYVPNSKWTWSFVAVTTIQAAIILAFESYVFARFQTQLRGNADT QTQSKTIPTFLTLYIFGFIYELVLVYDALRLKNTIQVIGLCVCNVGLLIYGAVQTDQI KDAVTSLSMQGNINGEVWGEMKPFLIAIPCIIGFGTVIMAFLAWKLYDEFAWTIYKHI SADLRMKRRYLTYQIYIALLKFDFFFFLGFTVQFVVIVTEKATIEFALTLAAIPVTIL ILVMAAFWTRRENTPGMIIIILLYFGGLAYFLFKLVRMYSAAREKAYLPARRSLTFFA VITIILIIMTIINACVCTHNFNKGLKPHISGKRPSRDEEKSHGTEMHGPMSSGPVPTR MTID UREG_06642 MADAWHGYPPMQQQLVTSSSQLLSHFKDGSSETEEFKQNKKQPQ QEEALNQMANSVFGEGNLLRFGSQNRIRPLYDTFEASGPNGKHTCLVHPPMHITLLGF MQRLPNRRLDKHILRLTVKYLLNALDFLHTEAKVVHTGQFAIHGYMFETNRANKTQDL KADNIMLSVADKSVLDDYVNTEVNDPSPRKIVDDVRTIYTSRPLRTPADSNWGPPFLC DFGEARIGETHRMKALGDTQPHVYRAPEVTFRMTWGPSIDIWNFANLVWLPGLRYFGL YPTNSPQIWDLLEPDRLFPNIHRGKVYCPYKHMAKIVSVLGPPPKVFISRSEDTHNCF DSEGNWTPKEAEEIPSYRLEDSETALEGRERDLFLQFIRSMLTWLPEHRKTAKELLDD PWLNNKID UREG_06643 MLKRVVDAVKELVQDCNFDCSDTGISLQAMDNSHVALVSLNLKA EGFSPYRCDRNIALGINLTSLQKVLRAAQDNDILTLKAEDSPDVVNLVFENAQSDRLS EYDIKLTDIDQEHMAIPETEYAAVVDMPATEFRRICMDLGNLSESVLIEASKDGVKFS CQGDIGNGAVTLRGHTSVDKPEQDVTISLTEPVALTFSVKYLINFCKATSLTSKVRLS LSQDVPLLVEYRLEASGSLRYFLAPKIGDEE UREG_06644 MPPVTRLEEQTQARRCLKLRRLLLNCMSEMLEKYTEAKRKLRVY ADSTNLGKYYDIYDSSPEELDETESTLTDTGLEDKTSLKSLRTLFTRLYAVRTSMLCC LLALPADGTQLDAKRWGIAVDEMQQLGTSSGACIQRLTDILQEQDQTSPRPKLTPATR ERHRAQLRRLNSLSQGIRSLHAKMHLIREESDACLEKAGEESELNSTLVFQYESIGAD LRGLLQEWEAGKSTLMANIERPERLSRPPSILRSPISPTFSLSGTTAVDGSPAAALRA LNGEDLTLPSPDHNMEDEEIFEAIALPRKRSSMTREERIARVKEDRAKQAAARERTDA NTRMLRELETVIKLRPRAKDGTRVTSI UREG_06645 MADQNEIDLDSIIDRLLEVRGSRPGKQVQLLESEIRHLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFVLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKYVYGGMSTGRPITPPRKQKKK UREG_06646 MWIINWFYDILASLGLLNKHAKLLFLGLDNAGKSTLLQMLKNGR VALLPPTAHPNSEELSIGNNTFTTFDLGGHVQARRLWKDYFPEVNGIVFMIDAVDYER FPEAKLELDALLAMEELAKVPFLVLGNKIDNPAAVSEDQLRSALGLYQTTGKGKVPLE GTRPIEVFMCSIIARSVAISIRLLDLLGISSF UREG_06647 MPTVPVDMLRISNVANTPTIDGDEVPAEPDEPPDHRGSLSRPCL AGNRSLTVPSPIYAKTKTIGVGGHKKESLLTRALLSSPELSPVDPLAANLPSIGDLTG LTTSSALSNRSVPSTAELTSDSETTSPFRSNTPSPPPQYNRLPHIGSHIRKPVDTREL QLEANLGRKRCITFACGRRLTEDDNKVSSEPIKEVAKAEPFKRKSALTFVCPARPADS DGNDQRECVSTRKVVPGARVSRSPAPSLLRKATQASVHPLQQAKLQSQTAPEKSPSII QVKTTSPLELSDAVRYHEFGSESDDDDWINQTTEYGQKLTMNDCMRKEIAIRKLGEEA EEEARQDEEDAENDEEDEDDADEDEDDDDGDGDDGDDGSTVQDDESDGNESDNEAGFA SSDDESDDSGEHGFWTPKVASGETSEPHALRRTAGRRDSTTSVESYTQTLTPVAARSR KPSTKPLKFPKFRPGTPTLPDSTDFVCGTLDEDRPMEAAYISCMEERRRSKHIPIPQD IDPSFPTSDLEDEDDDGDDEEQGTGNSEARSVTSVGAQAKASGLFKGFDDELLRGRPK GPARHTTPNHSPKRLQSPPPRRLFARSPKRLRSPPPSGRLRSPPPTRRTSVTQGAPTG AQRVHFTGLAQRPHRTRTSSLPRTPNPFFARFSHRQTSRMSSTSPVRAPRRRNKHTRG PIDIVAGLEKKREKRKEKFWRQHCRKAAKEQLERKRPLYGKGAERMRELGLEVAERFK AYNVVNGPPLVLSV UREG_06648 MVPIVLPVRHDAQPRPGQGMRSTKTGRSASEPAARGSKKKPSVR ADKRVGYSIVKEAPKGTGASKDEQQEDVAR UREG_06649 MPTMFMSLFSPLKTDLIQENEMDSFEARIAKKRSQAKKPATTPQ RAPLHQPAHIAQETAIKYDVAGSNTGKENVPPGILAGTDIKQKTETCPAALPDLKPVP VKDIRESPVSIGEEGTKPQHRAAAQGKSLVLRESCPNSPRTRVRNGAFNKAGLRLSTG VTQEPNAFKNVKKTTRGQAKLPGKSPLAQPTKRMAPLKLAAPRISDLDISKKYPILDE DIPNPFMYEENWLAHQEIVITQLVNSLFNSAHQVASAGNPQDLRLEFLDQYQDAYFSL LYKRVKASMLYGALRVPKDILNRGNRLRDDVGMRRKFLNLWLNNYDLTALTAAAETVI GRRMSKPDDSQVPSRPLHGKALRRSLEEYLDMFLIKNEDSSSATRNVKYNGEDGAEGS PYCQTLLRSIMIILLLDKTRIAPGSSLPRCLFIPSSEYKSSAAVLRALGHLLLPTIGD IMRPLSHLDCQVVYEQNRLHEHEYRIKNLAVDLRDGIILTRLVELLLLQKESQDLEST VSLEMPADQTLPLSQGMNEWPLSQHLKIPCPSRATKLFNVQIALGALSEVRGIGTIVK DIRPEDIVDGYREKTIALLWGLVGTWGLPGLLDWGDLKREITRLRSKNKGPTQCEHTD QSATGNQEDSVDEYEGGVFLLREWASCLARLKGVHLENMTTSFANGKIFESIVDEYEP FILLGNRKELNTAQSQTRKRSLAQRLKNLGCSSQFASLVAPSGSSAAHIFDQDFTVGA LAFLCSRLLSASKRARAAVAIQSAWRRVLEKRRN UREG_06650 MEKLNTEDGQLFIKNLARFVRTHEKALANALQMRRQTSKSLDSS TSPPVVSRHGSSNRSATSSSLAAVFSLGSLNFTSHNIKPAKLTLTPHHVFYLLSRFEE LSVPVGPMNIRLENINTEASSAYVSFLNKPRRPNGDRDSIHSVSSVRSVLSGMSGFWT SFGLGSKDSLSKTEKARAAVENDLKYLYSAFTKIPCLRLAPDHRARLIRGYEEFPFDT AVPLHAFKNLGSLEIIDVDFRSFYGWDRLSEQLRTLTLKRANIEDIGDLLTGIVLDDI DKRRRRSARNPQSPLSGLTASPVPPEPVRASSAPGSPVSGHNFGSRSGPQGGSAMLRT GSAEGLQHAQMGTSPPRPPSSRRRHARGSSIKIKRSGSGSSNSSEGSTVYRNRSMSNL LAAGILPSTKWRFLRHLGLPNNSMTNITVTGLAPVANTLHSLDLSWNLFTEVPDSLST LIALRSLNLSHCMIDSLHSLSRSPLPAITALNLRANRLRSIVGVERLLSLERLDLREN SIPDPTEMARLTSIPYLREIWVAGNPFTKSHPDYRVTIFNLFRRTPGYSEDILIDGTG PTYSERKRLVERIAEPESAPVIRQSEQTNVHDLDALETKPRPTPTKAPEEMPAIPGSS RKHEHANGSTRRKKGHRRRIVDLSQDEGRDTDNTDIVEPSTPKSEPRLQERLDTLTRP TLPRLDTGSASGSPVSTKVPGGANTAHFLPVIQNADWDSGGDIYRQRLEALKQEVGTN WLTVLDDEAWGHKQKDLGFQPGGTDLHPVGPIQPPPLTRTSSQAITSGGRALG UREG_06651 MDLCMQLEIIGVWYSTLNGAVNPHPTRGNRRFHAILNHPWTAQY QQKRVLRKIQNKRRDAWIDGWFDGHPVWRGTLSEYPQSDSKHRVNFSVIINDSKSQEI FELSPHRSDWRSSAAENAMTDGQLFPAAPQCTKAQAKHC UREG_06652 MACSMWPVEAEDVDLLLRLKVGASSLRQAKITADAARRKLTMKT SAADDDDEGEDYGLPDFHTRFINDEDLCQFGKALNAPEALSLVALNDWRPIHQRQWKK AAKSLDEFLGNDKWKEADEYAYYNSTTVSTVLRDQVERGGTDDLVQAFSDEAHASLKL VLESQELSDERKRSLFRHLDLNFGRAVLCLSGGATFAYYHFGVIKALLDNGVLPDIIS GTSGGALVAGLVATRTDEELKKLLVPELAHKITACQDGMSTWLARWWRTGARFDVMEW AEQCSWFCRGSTTFREAYERTGRILNVSCVPSDPHSPTILANYLTSPNCVIWSAVLAS AAVPGILNPVVLMMKKPDGTLSPYSFGHKWKDGSLRTDIPLKALDVNPHISLFFFSSR GSVGRPVTHRRGRGWRGGFLGSALEQYIKLDLNKWLKVLRHLELLPRPLGQDWSEIWL QRFSGTVTIWPKSVIWDLYYILSDPSPQRLARMLHEGQQSTFPKIKFIANRMKIEKVI AEGLARDPDWAGGVGPKAVPARSRPDQLFSDEEDAQQRTVDLLTDSANQPSDTDSRKQ DSSFKGLRRPPVPKRRSSVMEELRRQSAVFFDDTDDTFPSEDERCRYQGQSSGTKVG UREG_06653 MDADFASDQSDSEESSGNDDYDEFGRLKPTRRFNSNDVDSDDTN FQPSPEESSDSEPYEATEFIDDEAVLSSINRMDRRHRRRYDSDGFGIRGARGVKRGPR KPLEPSAEFKELHSAATSAFIDSDYDRAIILVKQAIQINPEMFAAHSLLSEIFLAQGQ KDKAMAALFSGAHTRPKDQTVWLKVAKMISDHAGDDKSAALQDVVYCYSRVIDIDPKR YDIRFDRAAIYRELGHSGKAVLEYERLLRELPHNTIALRQLAELYIDLNDVEKAKARY DEAIAYYSSLDTLEEAVDFDWSDVNIYVELLGYQNKHWEGVRALRALSRWLLGRKNDI EWDEVWDDDREWDAEDFPRRIAAPWFSQSKYPVESYGIGLPIDLRVKLGIYRLKMGVE FKDEAMSHFMWLSPEETAPGARLYDYGDLFREAADALKEARLYLEALLFYAPLQYTQE YADTNLFMAMADCYLACQNDSDAEGCLLTVVEYDKTNIEARVTLAKFYERLGMMDQAL KYVTEAVELGRQESIPMRKRRANFGARIEQLVKEFRSIESSDGAPRDMELELPRLSDQ MEAAPISFTGAAQLPTLKESRPEDRIASALQTAEHVRYLYSKSLEMRPAMRAGDEEPT EDWLDIVEALLRTFRSNRVFFPLQKRTMFAGYSKEALRKAGSAQRVDVLDEVDELASR IQAAMGTAEFDPDLIPTDYHGIPFNDWLDLFLEYALVLAGQGHAQEAYDSLTAAADAN VWYHSKSSSRQIYVCWFACGIRLQDEEILANIARWFMKEYQFVTDAYRLFATLSRLCG DPRKSLFHSSPSMKFILRQVKAIDYSLVEGPSADTSHPRPTFFAERPSLTTKDEAGNP IPAEDMDVALLVLYGHILYAGNSFTNALNYFLRAYALDPKNPAVLLSVGLSYIHHSLK RQADNRHYLVMQGLSFMQEYRRVREVSSVPQERQEVEFNSARVWHMLGLAHLAVKDYE KCLELDAEIQAERQRKKEITGSEDGVDVEDYTHEAAYALQCLYAFGGDMVSAKRVTER WLVI UREG_06654 MASHIIGNRNSTPEASKSTLRPPTSRTLGGSHQLRASADMSGFS SPLAARNIRPSSEVYFNQQSHGQNNAEDALDRAAQQWIADIDQYETTLEEMAAATLDQ DFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMSQSHPMSSVLS PANFGEKDPMSNRLSDAMAKLNVEQSRNSLGRPPPSPGNKRNSGLDSSTINAMFPDAA AAIAKKKAEFTQQTGNLPGSNRNSAVFGERTSLVTPTISAPDCKDSLTQQPASPWAQR APEPQAPIARPKSSSGQQQPMGQFSQPLPSLRSPLPMNTGASSNIQNTTITAPEITQE PPLLSPYNLGNASWASMSNTPLVPSFNQQNSQSQADMVANATAMKLAALSTVNNRIAL DDARKYRRARSNEGQKNLGQGPLSPGLPNPNIPGTNVIMVNDAGQILNAQQIAALQAQ QAAAMTGRHSRPNSPGLAMQGGSMGHMNFTSPQNNGFLAAYDANSLLGNGFGGLGMGL TGSGSHEGYLSDHSEINRGRSPRGRRGSSKPPEDPTDTKLLQDIPSWLRSLRLHKYTD NLKDLKWSELIELDDKSLEARGVNALGARNKMLKVFEQVKEARSAGKLDGLL UREG_06655 MPPTNQELSLLINPLVPDSVTHNTRTLSNIHSLSSFLLGLAAGI LGLRSSGGFIFYLLGTLFVSLLFHALLIGFDNGDKTGGLGVGAYFPGNGEIVGGGKGK GLRRRGAWRDVWLGGGVFGEALSGFVLGWAGVGGILR UREG_06656 MPRFYYRSTDIGSDSKLRLRKKHSSGPPIISAIILNIPTADNLT SLSWTTIEKKHTILYGRRYWKAKSSRPTEISEHISLKPYPGLQAFVSSLEDVKDDIEC LRAAEAAVASVYTRISQLSLGCGFVHLPTNH UREG_06657 MGWRIDWICPRGQVLSKNFTPEKAITKMRKMLFHRRDIDHHNTL QPKQKEDCEDSSDTSIKTLQQGPKSKCSGPMMVPSEFHLGYFDLTPGLLDMKPVAQVV VQSNASLVRRVPKGMGHSSNALPPNEGHLLLLQLDLCWPKEGHQYPIQAAPHVSHLKD QCVVSLDSTLFLRAEKQPVRIHLFADGAMSVSNFDIVAMSMRGISPRAEAVLLPQQHT DPEVQADIDLLLGEDRGAAWQFIVQWRAAAIEQLKLQYKLWRQMEEGRYGTKVFHLKS TDTHETEDIEIGEWDGFDD UREG_06658 MFTYLYKKIKQPKASEPARKQPCRHQLSLQASRLSNEEKRYDDA LVEGSLPQPVKPTTEQTVENQGPCSECKKEKHDKRVYRWKLIFGLLLPYFLASVDLTI VAAALPFIASHFNKLDELNWIVTSFTLTSTAFIPAFGQLADVFGRHFVLQLAMFLMLI GSVLCAAAQTWPMLLLGRALQGTSSAGIMNLIQIILADKVSLEDNAKNSELEICFCVK YTSETLLCLGMPTLKANRFKVAFLAHISIFVLLRKELVGGTYFKKGSSRSLFLEGLST VDLGGTFLFVCGVGLIIIGTSWGGATYPWTSPAVLAPLIIGSILFILFFAYEYLLGPG RLLARSFPMQTAMVPSELFHKRDMIVLAIVEFATGAAMYSAFYFVGIYFTLVEAYSAG KSGLQLLYYIPGIGVGVYVAMFNCNVWPAQTFYPLSLGTLIETIGISLITWAVTTRNV ALVNGMMAVAGAGTGMRFMPGSLHAAGVWPDMIAPAMSVMRFSIPFGGTLALAIMGSV FNNKMAPVFRATNAGPNQHIGSNSGQSLDSINELPGAVQELVRNTAKDAVMWAFISIA PIMAIGLVAVIFLGNVWIKSDKAKEAVERAKRENTHDDGIVSSEVIDKPFMWARTVEE NKYISTPLSKAEKARQAQAILEEKARLRARRSKNQHLGLDAERAAV UREG_06659 MNTSKPTSRSKDQITLKSADTQTESSSRKQDDNPAQTKHLVEFE KLFTFSHDELMEYSKQQKIELSPDVESIPYDDGEDIAYHEHLAFCNREK UREG_06660 MSSSELSMSTKVGHGLAKVLGIKLEKPDYPGPDPVTRGESTFST ASGDTFVEQEPRSVEFLSECVPSGRQLLRFVVNLFPFLRWITRYNIQWLLGDLVAGIT VGAVVVPQGMAYAKLAGLPVQYGLYSSFMGVLVYWFFATSKDITIGPVAVVSTLVGHI VVRVREQNPELEAHAVASAFGVICGAVVTFIGLIKCGWIVDFIPLTAISAFMTGSALS IAWGQVPAMMGITEFNNRDSTYKLIINTLKYLGHTRIDAAMGLSALFVLYLARWGCNY CARKYPARAKVWFFLATLRTVIVILLYTGISAGVNLSRRDNPRFAILGTVPRGFQSAA IPKVNMTILQTFVGDIPAGVIVLLLEHIAISKSFGRINNYTIDPSQELIGIGVTNLLG PFLGGYPATGSFSRTAIQSKAGVRTPFAGVITAAVVLLAIYALPPLFFYIPSSSLSAV IIHAVGDLITHPNTVYQFWRVSPLEVIIFFAGVFVMVFTNIENGIYTTVCMSLAILLF RLVKAQGQFLGRVKVHTVIGDKFGNEKQAWGADAGPKSSAFRNIFLPLDHADGSNPDV DVAQPYPGIFIYRFTEGFNYPSANHYLDHLVATIYKQTRRTNPNSYDKPGDRPWNMPG PRRGQSEEDRSHLPTLKAVILDFSAVNHVDVTSTQNLIDVRNQLDVYAAPQTVHWHFA HVNNRWAKRALASAGFGYPSPPADAGASRLKPIFSVANLENTTPGSAYADFLDQERGT ASRTDIEAPAVDSASSTIRRADGVNGGDTSSSVSVVEKEMVTTTEYVKSRMTVVQGLN RPLFHVDLTSALQSAIANAGN UREG_06661 MAEAKKQPKEEWRTTSVRTQSNLLDGFVGWHLERCGRTQGAEDY NSRQPPMHGELAISGEEI UREG_06662 MVKETKYYEILGVSVNATEAELKTAYKKGALKHHPDKNAHNPEA AEKFKDLSHAYEILSDPQKRELYDQYGEEGLEQGGAAGGMKAEDLFAQFFGGGGGFGG MFGGGMRDTGPKKARTIHHVHKVSLEDIYRGKVSKLALQKSVICPGCEGRGGKEGAVK QCHGCNGTGMKIMMRQMGPMIQRFQSVCSDCNGEGEMIRDKDRCKRCSGKKTIVERKV LHVHVDRGVKNGHRIDFRGEGDQVPGALPGDVVFEIEQKPHPRFQRKDDDLFYQADID LLTALAGGTINVEHLDDRWLSINIAPGEPITPGAIKVVKGQGMPSYRHHDFGNLYIQF NVKFPKSEDLRNLDLLEQVLPPRLEQQQTPSDSMVEDFELEDVDESSQARAHGAAGYD DEDDDGVPPGAERMQCASQ UREG_06663 MSNQFEPLKNDLLLRAAKGERVERPPIWIMRQAGRYLPEYHEAK GSRDFFECCRDPEVASTLTLQPIERYAGLIDAAIIFSDILVIPQAMGMTVEMIDKKGP SFPEPLQSPDDGQYEKVMAKEVDVKAELDYVYKAITLTRQKLKGRVPLIGFCGAPWTL LCYMVEGGGSKMFIQSKTWLYKYPEASKALLQKIAEICVEYLALQVAAGAQLVQVFDS WAGELSPASFSEFALPYLRYIATNLPKRTSELGYEPAPMTVFAKGAWYALDDLCQSGY NVVGLDWLHDPAQAARIANGRVTLQGNADPGCLYGSKEAITAVVENMVAGFWGGKQRW IVNLGHGVTPFVDPEKLKFFFQEVHRLTASS UREG_06664 MREEPVLVAVEGPTIKVFQAQTGLQVSSWPIPADADPKLSPDVK DRSSPPEKRRRLSPPAEETEEAKSGKSTAGRPETGSAGRAWSTIPILTVSPSGDHVIV VTGEDKCLRVLELKPDGTLTQLSERFMPKRPCALAVTPDNTTILCGDKFGDVYSLPIL PREEVTLPLRKGAEASKPFQPSASKLTVHTQKNLRALEQQLRNPRAAQEKSEPSFEHT LLLGHVSMLSDMILTPPSTDATAGPRQYLITSDRDEHIRVSRSLPQTHVIHGYCLGHT SFVSKLCIPSWIPKILISGGGDDFLFCWDWLEGRLLHKVPLGLGSNNPNSEENSPQPS DTGRITVSGICAVPFAGGLSAHTPGAILVALEGVSKLLTFSVSMDGILTPSNAIELSG NALDVTAADDSGKVYVSVDNIHKQGSTKELRDSAMGSKLVQCFTATNHGALAWEEADD PITDAINNRDTLGSHCQPKY UREG_06665 MGGRSASKTAYFEKLKTLLDDHKSIFIVTVDNVSSQQMHEIRLS LRGEAVVLMGKNTMVRRALKGFIADNPEYERLLPHVKGNVGFIFTNSDLKEIRTKILA NRIAAPARAGAVAPDDVWVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITNDLKLVE AGSKVGASEATLLNLLNISPFTYGMTVAQIYDDGQTFSPAVLDIEEEQLLATIQSAIR TITTISLATNFPTLPSVMHSLVNGYKKALAIAVETDYSWSEIEELKDRIANPDAYATT VSAPTETKKEDKPADKEEEEEEESDEDGGFGGLFD UREG_06666 MAELFKKIDDVRERALKTEQAITEMTADIKQLDNTKKNLTLSMT TLKRLQMLTTAYEQLKALSKSRQYRDCAQLLQAVIQLMSHFKSYRSIDQIATLSRNVA DIQRELLEQVCEDFELIFAKGEIAQRKNVLSEGCLVMEALGDAAKTRLTNWYCNTQLR EYRQETLDFEQSLDKHFTTASRASIDTFTSSESPAFSQSISEAFTPYLGVWVEAQDKQ LATFITKYRQQPVKPDDEDFSPQLVIHSSTDLFTFYRHSLAQCAKLSTGNSLAELSKV FAKYLDQYAQQVLLYHISERASGQTPSNTPSLEELIMVLNTADYCYSTCSQLEEKIKG RVDENFKQTIDLQSQADSFMGIASAVVRTLVRKVEFELEPAWKEMRNTAWNKLDSVGD QSSYLEILLAKCKAKSEEILSMLHKQQYARTFADHLVEHISSSFISNIYQCRPVSETG AEQMLLDSYSLKNGLSNLLDPAPAGFTKRLNATFQKIDTLLKTLQVRASPAEALVQAY LIHIADKNDNNFRKLLDIKGIRGKIEQNRLLELFQIHKASDRYASNLLASNPIIAQLQ PQSSSVAPQPGTAAQGLGLSSLANAPSSSLGASTLQTRFDATTFGSALISAARDGVDR LGTPALGNLVVNQTSPGTSNRMETLSPAEAAAGGDASESGLAAAGLHATNLNENLKNI GKFFRRDLGGLGGRFGRGGDEGSR UREG_06667 MNSSRPPRGPRGRTGTPDRGGIRKRGAGPRVDKDGDLDMSVGRG RTRGRGDWSRRATPSQKHGAGAKDRVLDSLQKAIFNTTTAQANIKRGRTSGMAIDGEL SQLRVRGWKGSRAASNSDGGIESLVAFLEKKATPTHPSSGRFRILKSRVEGDALVISV RPEQVDWALRINGFSFAGAPITIEKCNESQFGGASRGPSQAAADTKARMSAFLAKRYT ESSKLLNLSQLGTDPDLIEMGMFNSTSTESKFFPALMKICEVNFDSSEKRRTAVESVS LANNQLNNVAPVTSLSQTFPDIKNLDLSNNQLKTTENLSSWRWKFRNLEFLDLTGNEA SAQPDFKETMLKWYPKLQVLNNTPVRTPQEIAAQKKTPIPIKPPVFRDESAIAENFLK AFFFTFDNNKDEVLNQIYDEKSVFSLNVNTAAPRALQGESTSSWDGYIRKSRNLLKIN YVSAQMSRAYVGVENIRVAWNSLPRTKHPDILSNPKDWLIECHPIPGLPDITGQSSTG VGGLLITVHGKFDECDNKTGNKIQTRSFDRTFVLGPGRGPGGIRVSNDMLCLRSYGGS EAFVPEIQQIAPPTIPTQPITQPAAAPAVLPTQKAHPQAKDGYGMPGPGKTDEQVKQE QLVLQISFSTKMTLEFSQMALSGNNWNLEAALKNFEELKV UREG_06668 MAAKSRFTRLDAFAKTVEDARIRTRSGGVVTIVALIAVILLVWG EWKDYRRVVVLSELIVDKGRGERMEIHLNITFPHLPCELLTLDVMDVSGEQQSGLIHG IKKVRLGPASEGGHVLDAQTLDLHKKDEVAVHLDPEYCGSCYDGVPPPNAQKQGCCNT CDEVREAYASRGWAFGRGEGVAQCEREGYGARIDAQRHEGCRLEGILRVNKVIGNFHI APGRSFTNGYMHAHDLKIYHETPVKHTMAHIIHQLRFGPQLPDELSQKWKWTDHHHTN PLDSTSQTTEDPKYNFMYFVKVVSTSYLPLGWDASLSSEVHSRLASDAPLGKQGIQLG RHGSIETHQYSVTSHKRSVEGGDDSAEGHKERIHTAGGIPGVFFNYDISPMKVINREA RTKSFSGFLTGVCAVIGGTLTVAAAIDRMLYEGAVRVKKLHKS UREG_06669 MGRFRVLSFISSRRGSKNHPSAPPTPPSDASDSPVKASSNAFQT PLPQGIPAPVPDTTPPSTRPGRANSRAFSALFQPSQTPDSEGESVPELDPIFSYLNNQ SGKLYYEGYFFKLNDLDNEGRQCPDRRWTEYFAQLVGTVLSLWDPAALEAAGPDGDVR PTFINVADASIRMQSRLTIYENTLLQESYTGALIAGKGKSINNMKTILAKTVFKHEDW VRVRFGPGTPWRRCWCVVSQADEKEVQKQKKMQKKKSAYDRSLRPPKGNIKFYTSKKK KAKPIATITDAFSAFAIYPQSRTLVNQSTLVKVEGHITIHTQPETSAEGFVFVLPELH AAVSGFETMLQWLFPVFDAFQLYGRPTRLLADTVSTRSLMFAMPSNRRNGYLDTLDVA ALIHTEGSRNWSEREWRQQLKDATARRMTSSNNSRVSSISGRRGPTRASLPTHNGPSL RFEGANGQSSARRLLNNHSSDALGLPSQQYNQGSAGPQDHLKSHARTASENAATGNRN GYMQNSQQRNFDMRLPEETVDEVSPQPPPHGIPQLDGAGDGYMSAGRSSSGSETRADH VAERQEIGDGLKPSPLPVDLTVPPEFSHDPQDKPHIQPKMSPELRRAGSRISHGTYFQ MMSASHSQEFEASIATGQNEKRQSMSFDPSKINEGYGDVSPEKTAFDGNPQFPKPLAA DASSSHYSSPTSPVNSALDGSQFSAPLDSTHANFSRNPPRQSPQKRLQVDTNLSIPRK PVPPVQDGSQSPGARTISSLGSLRQAIDIEALNRVMTRVRTPSPPPPPQRRCIVDVES VHEPSPATTPDYASTISNRSTQSATKPRMGRMKVVGTPEPTLNDVIIGDTYYKAGSVA EPNPDIPQVDFGPTLTYSPTTRRPSTGDTLARLTGHKKSPEPMGKTDKRATWFGMENK PAMSPNTDEKRRSLLWQPGMVDSGYNNTGSGLTPEQFVEQRASNQAISPIYPHHQNTS RPSLQSRPVSGDWANQSRPQSIYQLPPRPNSRGSTIMLNQSQQSVYQLPPRPNSRGST TMLNQLPPRPNSRGSGIMLNQPDISPHLSAREQEHVARMTGSSFFNMDKESSKRSSVI GLGSGLVSAIDAREREKQAIKEGVSGQMVQQAIAQRQYSGQYQPQPQMLQSSASQYQL PINQYPVETTSTSVYNFPTRPNLGSRYHRYSKSQDHLQYM UREG_06670 MCKTPCPIRAAPNPATEESDVERRIAFPGLFAANTHYPEVAHIV ASPSTFLLKPHRQTTDIHHPFTMPSAVKLYAAIDDNDGGVFNHWSFFIDGPQNEGKLV FQAMGSAGRFRFESKNADSRLYPNLIELFYVAEIDAARIKDVQVIAENLPIPDSTPGW NCQDYVLDLFKALERDGLVDKDDDGYRARYSELWRKQDGLA UREG_06671 MPQKRKSTAEPQRQSKRLQKSAPSDDASYTDHASDSGSVSSYCL SENSNEYNKQSKTPITPFSPASSLKYPSDLKTHLCPYDNCGKAFNRPARLVEHLRSHT NERIFSCEYDGCDKSFLRASHLNHHVKSAHTMVRDYVCDREGCGKTFVTGSRLRRHLA AHEGRDKYRCMEYPPCNETFRKHSTLQKHVMMVHLNQKPFPCPHSDPVTGDKCRQGFD TAGHLKAHESRIHGGARFSCMECINSVDRTGPTLAEDSSLHREATFPTYALLQAHLRA AHPPTCPECTITCSSARELRRHLEIAHGNIFVGRNDGTHARASGAGQAARKNSQLDDD FLMQDAHSYQERFSIMGMETTGEGTMTDYDDMNGLEAIDPLLTYAIAE UREG_06672 MSDYGGDHEDRDETGFDYEPPEYDYIDNEPEDYAHPEQDGEDGT YAVSTVMGNP UREG_06673 MEEPEKPPGLLSSSAPSPGSSSCEEAIETAEKKAPGFNDDDLSF DHGCKAWLQVLASFLFFFDTWGIVTAFGVFQTYYEQALLAHESPSTISWIGSVQSFLL LFVGAVTGPLFDAGYARQLLIAGSFLVPFGLMMTSIATEFWQFLLAQGFCVGLGCGCL FVPCVAIIPQYFKRKKAFANGVAATGSGVGGVIYPIMFRQLQLRIGFAWATRVLGFVA FVTLVLACFLLRVRFQPTEKRALIQLSAFKEPVYALFCIAQLIGFSGLYNVMVYIQPY AIDNRIVSEHLAFYLLAMLNTASACGRILPNYIADFTGPLNVIAPMALASGILALNWI AIHSSGGVIALAVLYGFFSGGYVSIPPIVIMNITPDLRDFGTRLGMSFVFVAIGALIG TPIGGALINHMGGDYLGVKIFAGSCLVCSGTIMCAARFIRTGPRLLARM UREG_06674 MSSIRRMTAQDLFSLNLTNLDPLTENYDLNFYLTYLMKWPSLFN VVEDRDGKIVGYIMGKLEAQHPSMRHSEHYTPWHGHITVLTVAPASRRQGHARRLTEA LERASDINDAWFVDLYVRAGNKVAVGMYRGMGYSVFRRVVNYYSDDPTGQSGGEDAFD MRKPLSRDKDLIHQRQNGEEFLVSPEDVY UREG_06675 MEVASTEHRQSGRVLGRPYRSHSHPACLPCRKRKSRCQTRNAAD KCMLCQAHGTECVFPGTQSKSSRSAAASRSSQRQLARVNRPGLPSTPQLPSHLQSASP RGTGLINAAHNPAEDYLNPSRSEIVPFPSVGILADASNNSSHVVSPAVADDSDMLESY LTTTTTASGRRLIGSFPGADVAARPARPVLFSVVPKRPYSVTSHTSRASEKCELIEKF IEPHARDLVDLFFAKANICFPILDELSFKNTYFNHREKISPALLCNLYASALVYHRDS PQLSLSRSLDIGFIWVQAYEALSSEVFLSPGTSTVISIILNVCGRPTTSMFCNGGMMG LAVAFANALGLNRDPSDWNISPLEKRIRIRLWWLVVVHDRWCSLAYGTPLLIHRSQHD VPVPTTQTLCSTDASPSEAVAASVFISLVTLTEALGHYLEFVYQVAEHSHSGCETSTV DLEMLLGEWEETLSDDVRRAVIWGTNMDAPGAANLRLSYLAVKLLLRRIQLDLDKGQR LPQQPYYLRAERAAEDIVHFVEELEDCHLRGFWIPSNGFALTSATTFLLRSALKSKHS VGNTPLKLARNMIDTLKSHRQNSMWDLADHCLANCGNMLAKMEAAQLHESPTLPNLEE YPDIDASVLDDLLNGLGGTFEL UREG_06676 MSPDASAFWSKANRYLMKTGLTFSPAVITKAQGCHIYDLEGRKI IDFTSGQMSSLLGHSHPEIVQVVQKYAAELDHLLSNMISPPVVDLAERLARLLPPSLE KSFFLNTGGESIEAAIKIAKCYTRKFEIVAFSASYHGLTQGAVSATYSVGRKYGGPVA PGQLSFPAPYAYRSPFRKPDGSYDWETEMDYGWSMIDRQTVGSLAAFVMEPILSTGGI LELPKGYLSRMSHECKKRGMLVIMDEAQTGVGRTGQMFAFQHEDGFVPDILCLSKTLG CGLPLSSISTSADIEKGANDAGFLWLTTHYNDPLPAAVGNKVLDIVERDHICQRAAER GEQLFQGLLALQRKYWCIGDVRGRGLLQGIEIISDPATKASGAALGQTVSDRALELGL SCNIITYPGMGDVLRLAPPVTITAEEIDDALRIFDEAFAYALNEHGLCN UREG_06677 MPSSPNSPGRTRRNPSRLDLSSASPSALTRNEFGQSPPYSPLTP RTSVPQSPMSHRRRISSLGTSERLDRFSGDFTSDMNADAHDGDAGGLGSLADELANAW DDDGYGYGYGEDDASGLQDGEVMVSLDGVDSSAPGNGTYIESIHDMGIGMGSGMSHGA SDSEHDQLMPPKQRLRGQLRHRRHESLYDGSDYGNDSDLEDAGDIPPGLEARMSGIDD LVRWSKGDGNEVIDQFISLLRELGGQSGIENSTTRLITAHNSLVSHLSHQTRSLQTLT HPLLISSFPTLSPDAIDDLVPLIDSILPNLPSPNNHQRTDSNTPSTPDPPTSHHSRSH SNATPLLSLQTLLSQTSDLTHTLRALNDTLHESRQLTSTASRRLRAVRELVVDMRRED EAREEGIRWIEKGGWDARLARREAGTVCHSVVSGFEAVCGEWRDRLFGTAAAAATTEV TVT UREG_06678 MPPIPHVRPENVLRRAEELIAVGQIPAALSVLHEHVTSKRSRSS PIASLEPVMLLFVELCVDLRKGKSAKDGLYQYKNIAQNTNVGTIEMVLKKFIELAEQK VTEAQAKADEIQSSLESAGPTSNIEDLDAIETPETILLATVSGEQSRDRTDRAVVTPW LKFLWETYRTVLEILKNNARLEVMYQATALQAFQFCLKYARKTEFRRLCELLRNHVQN AAKYSAQMHAINLSDPDTLQRHLDTRFQQLNVAVELELWQEGFRSVEDIHTLLSLSKR QPKNIMMANYYEKLTRIFMVSDNYLFHAAAWNRYYNLLRQSAIALAAGQGSKKDNPSI SEVDMTKAASFVLLSALSIPVISTSRSRGALVDVDEARKNKNTRLTNLLGMPQPPTRA ALFKDALNKGLLSRCRPEIRDLYNILEVDFHPLSICKKISPILTQIGSDPEMEKYVLP LQQVILTRLFQQLSQVYESVELKFVHNLAHFPDPFQVTPSMIEKFIMNGCKKGDLAIR VNHVSGVLTFESDIFSSAKALHPGSSAGSAESEVGSVQRLQSTPAEIARSQLARLAKT LHVTCMYVDPSYNEARIKAKEAAHATARAGAAKEHEETLARRAVIEKKKEALSDALQK KQREEETLKRMRTQKLQEAEKQRLLDEHRERERKRMKDEQDRIRQQELKKQLEELKTG VKGIDVDQLDLDELDSNRLRAMKLAQLEKEKNDLNEKIRITSKRIDHLARAFRREELK HLPADYEAQKEMDLKVYEENKAEALHAAQQKHKEDVALKHRLSRLVPHFNDFKKNVTE KRHEEFERRRKAAEREFEQKKKQRIKEVHERIRRERMELEAEEQRKRDEEERIAREEE ERIAKEEERRRALAEEKAAREEQRRKLDETAALQRQREEEAEKRRAARKTGVPEPRAP AREAAPREASPERTAPRLNLAGRTGPSWRDKREAGAATERPSSTQDVPPAQPSKGSYL APHLRAREASSDRRDFGRARGGDAPPPPRPMTRSPVPPSSSPAPQEGREEPRPGVWRP RFRQQQ UREG_06679 MPAAYEQLRPRGDARNNRQNMAELKLRRLNELNARLREDLERPR VKVTEASMSLINGRTLTLPSSLAAVV UREG_06680 MASPDQMVAQKDHRAQEQATAAPLAPSAAVQVAVPRVTIKYCTQ CRWMLRAAYFAQELLSTFSTTLGEVSLVPSTGGIFTVTILHASNTDSSPQETVLWDRK VQGGFPGKLQSKNARYFTKKESKKKSNKSFHPPLFTDLHMKPPRQGNMTRLRSWKRVR IVGDIPFVSR UREG_06681 MDREQCCAVYIDERVANDCWVAKENLNDKTSLPLLEDCDDLANN IEQILDICKQVYLCNSGKTFSSKLAELHENSSETCAPILAFIDIGIKGGNELVHRRSI AQSSVQLPVSPAVLKRSMTFSTESEELYGFHLLSRFSADIHAQDEPSIIVPVAILRYA KPSKNDKLECGPHENGLSGNRGGSSRFHATELDVKQIRRCLDAGATDVLTCPFEHSRV TGLVLHAYRAQKATQKHRARFLTSRKLRRQSWVGADGQESYGYLREAMVSKLMKRICN PEESIEDFQVGDVAVAENRKPLVESEVARWNFLSHDFSDDELIHAACIMVEHALSLPE LEHWRISTEEIRSFLLSVRAAYNSFVLYHNFRHAIDVLQSLFHFLVKVGTLPPYPPGS EEVSTGSGMASILTPFDALTLLITAIGHDVGHPGVNNVFLVKLNAPLAQLYNDKSVLE AFHCAAYSQILRRHWPSVFKDTRLRKLMIDSILATDMGIHNVFMESLGALQQRYRSNN RAIWGWEPKDIDNHRALLCAMLIKCADISNVARPFYIAEKWTDILQLEFANQGRMEAE IGMETALFGGPPELGNFVKKANGQIGFMNIFALPLFDGVADVLPDMAFAANEIRRNKA IWQKLIDNHKFPELAESNKDINSPLETRRAPENPTKLDCNPPAVYYTIPCESSPEGSG QASPGRCGSDDDADEGTVTNIEQNSQESSTPKSHLPPPLFPINMNGASNGGGHISSQA NAHATSAPYPKPYNGLNHPRYPQIIAASPVPAGFSQPSQTTNGVRNESTSTYTNNTIT TPNSSTSQASSLVTAESNSYDEKDYSNRTYGQPLRSGVPSSYDSSLGDGACDEHIAYR RPSTAALPIDSNDHNRQSEQNNRPTLGRTASFMSSLIDKSFTTNHHNGFALKNPSSPT SAGHPKPPSHHLPSNYQPLPDHHRPTTNGTSGQTGRTLPRRRSRLFGFWRRNKQPPSP ILNEHR UREG_06682 MHTGDNLVQKQRKGSGGRTVVVTGERSSSSNVRRGESSAGSNGL TTETRANEEVPICQKQAEMEPVPNI UREG_06683 MSPGNNLSTLCEEQSSDVTVINAFDRGAFEEGSSRAPRFDIEQQ QARDMGNLDLSLTKLKKAPFRVELELHDTFGPRGVVDCYISRFLLEISLTMALEMGAL STCAFQIWIAPFGFASARKPAGARVVADDHALP UREG_06684 MSSDPTKGFQTIGFQTSIEIAQNQVVPATSLSGIKRPRSENEAA TIFTSARSLLQTQQGNGTLKKTGAYHPVNPAHPGRRRFVASEIRTLPDASLTADDDFV TYTQRNAVSSTPGPSQNALLSLRHSQYGLPESLVANFEKMGVNAMYPWQMSCLLGRGH LTAEKNLVYSAPTGGGKSLVADVLMLKRIIDDPRKKAILVLPYVALVQEKMKWLRRLV EGVEKRVNVPSQVDGAYPSRAKSVQNSVRITGFFGGSKSRATWSDTDIAANMLVNTAI EECKVDELGIVVLDELHMLDDEHRGYLIEIMISKLMLLQQDTQIVGMSATLSNTELLA KWLHANYYISKYQPIPIQEFLVYKNLIYTSGSSKEFLRTVVSLEKSTEPQCESIAVKA IDISRYKELGNPITNAMVSLALETVTSGYGALVFCGSRQSCHSNALLISDAMPDETML DSDVLEKRGDLIAGLRSLSCGLDPVFEKTIIKGVAFHHAGLTAEERDLIAEGYDKGIL KVLVATCSLAAGINLPARRVILCGARMGRELVGPAMLYSTNARKSGAQGAILEAVATR LVSGKEAINDYVRCSLLYHTMEEGELFAMVESTLTELVNDNLLNPRIDGSYEPTQLGQ AIVASAFSPEEGMFIHDELKRALQAFVMDGEMHVFYMFAPLQSAANVDINWSKFLDEL DRLDESGLRVLQFIGVDPGFVNSMAQGRSAIKDPTLALIYQRAYTAFQLRDLSNEIPV SIVSHKYSTPRGNVQNLSQTCHGFAAGMVKFCKRMGWDMLAVVLDHMRDRLQAGARAD LLELAQVTFVKSRTARLFWENGFKTLRALAEADAKDLVPVLMMVSNIIPSKQFGYSD UREG_06685 MPPPNNNTVKFRDTNQKQARSSTTAYHAFLHVLSKNMEVAAINI GPLDSLAHFQAKAGLSLVGIIPHWWFPQLETFAED UREG_06686 MAAAEDASLSTESEAPAQSATPSPAATPSSENGRMDSASEKGSP TVNGAQSQPMTTTNSFSSAADAPKTSAITPSSTSASAPYGTRSRNRVGVPRPNYAEDR DLDIDLEPISNGKPGSSKKSSSTSHTASRASPVANTDRFSGVSTRRTQNSTNNYTSTT KEAIPGTSTFSANPNATNGSKKRKQPGSSTTVQGSSTPTNTTQASRKFVVAASRSPEL ECPTNMMTFNNSRACLRNGKLKADDGTILAPNDHVYLICEPPGEPYYLARIMEFVQSK DDPSGSIEMVRVNWYYRPRDIQRRSADPRMVFASMHSDTCPLTSLRGKCQILHSSEIK NLEDYRKGKDCFWFEKMYDRYIQRYYDVIPTSQVINVPQHVKKVLDERWKFILVEVGR GKELTGAVKTCKRCSQYAANVDSVDCAVCHRTYHMYCVRPVLQKKPARGFAWACAACS RAQDKKMEARNTPIIGEAHHDPELEALEEDEEDPAIAAELTRRSSPSMNEGVTHSATP EQTAQAKLWPFRYLGIHCRVEEALEYDDRIYPRASSRLGSRHQANVLPWPGRPIRYVK APEPKKKFLKSKKDAKLSKEAMAAVEADKAERANRPKWVLDEPPGYIPRGEDKPVPIN GKQVKTAELQFKIPDASQLPARGEDDTPGSNFSFEDREKFMDEYMAEAKKIAPIKGIE EYSTNFLDKALKYLYEENFNKAAALARLSKVNKYTDLKEPHLKPEEVKLFEDGVHKYG SELRLVTKHVGTVPHSQIVRFYYLWKKTPKGRQIWGNFEGRRGKKAVKKSDNAMTKLV DDIADDHDDSAFDKDKASSKKRGFTCKFCSTRSSRRWRRAPFVAPGTTAPAETSSKKD RGITYNVALCQRCALLWRKYAVQWESPDEVAKRIAQGGNKSWRRKFEEELLIQLLTVT ETDIKINSATATTASTIGISVVSDAPREATIEPPKKRTKMDKDSTPGKEYSVEQFPRK KAVDKPAEPPPLAPEPPKPKTLPCAICDQMEPGGDQHLSCRDCRLTVHRDCYGVPADR NAAKWFCDTCSNDHNPAISTRYECVLCPVNWTEHELMEPPKISHKKKSEREREKERLE KEMVAEAIKLYRQRQMSAGKPIGPREALKRTAGNNWVHVTCAVWNPEIKFGNAKEFEP AEGMGLIPPERFLDTCKICKTQNGACVTCHLASCNARFHVGCAHQAGYKFGFDITPVK VSRRDSINTMKLGEESGAATPAIWCPHHTISTIVHEMSEPSGVDDLNALQLYARTCKQ ADLTLTGTVRKAAHFQQTVGASMNGGQGAATRRAAVVNGTSSGHARKESLNASGQTTS GPSTEASSKLDRAHNASEPNGNEAANSVLEGKSCYRCQTSVSPRWWRRSMSQEESNGF VDRQTPNGASYDGASGEWDRNTSAKKAIERLLYECHKCHFKKSESPVAPESRPSPFVG KRDSSLPASRGPDYASPLAPSPRTQTVQPSPNLHIPPIVPLHSHGPEWRPDFEQRPSE YGTPLLRNGIPPGPPGPPGPPSHPAANGMPLPPPNFHSGPPPPPPQTSHMNGYATPLP PPPPPHYSSSVPPPPPPHAYTAHQNPYPPIPSPSTSGPTQIASNGHPYPSTSPPTVHY SPQNSISLPHSAPPVRVYPVERAIAPNLPSPSMSRRSVDPQLRPGTPVAPEQQPQKHQ QQQQQHNTMASEPSPANGPRPPSSGRNSGGSQAVTTVSGASASPSLKNLLL UREG_06687 MPPSLLLDRGQVITYESAAKKEANIINQLAYVPAVNSLYERLWN ERHDIAELTQLHLGLDKKVICNVLPQETWIRGSFNICVLVEVKEGLICRRVVFRCPMP HKLAEARYPGAVDEKLGCEVGAYVWIQKNCSDIRIPHLYGFGFSDNRHFTHQKYQPFH AHLIHLFRSYMHRLLRHPDTLSQYVISKTNLKLTTAYIVLEFIGPETGRTLSETWEEH RGSQEHRKKIFQGMARVLVSLARLPQPRIGSFKFRNDGTISLTNRPLLCDMVILENDG APQIMRKDETYTCTEAFTSDLLTFHDGHFRIDPNAVYNDADCRGNMGIKILLRAISHH FIRKENRNGPFLLQFTDFHASNVLVDKEWNLTCLLDLEWAPYWLTGCALDEIDGDHIE EYDQVRREFISIVEEEERKTATKHDISIARIMQQKWETKGVWFWYCLRSMTDSFWLVK GHTSPKFSTRWSSSVEQIFSLFWSENSEQVVEQKVADHKKYDEELKLVFKQAAVNG UREG_06688 MFLTSILPLVLSAIPLYSAAPAPAAAPTPPNIPSASAARTALAG LTVAPQGPQDGYSRSLFPHWITIEGACNTRETVLNRDGTDLTIDDNCYPVRGNWYSPF DGARWTQASDVDIDHVVPLSNAWKSGARDWTTAKRRGFANDLVNPQLIAVTDNVNQEK GDKGPEDWRPPLLCGSRGMPFADAYTPGSYHCTYSRMWIKPHVVCILDQPRSPPFPKQ TPSINISVLVELDASSFSRCKEPPQFFDYAFSSIQVATQSTMPGAGPHLYSFDTTEDL ALRLRKYLLQSQNAAIKRHNVFRVAVSGGSLPAILAKAVTSTTDQDAGDENTFHLSAW DIFFADERVVPLDHPDSNYNLLKTEFIDKFSPSLGTPKVHPIDTSHLDDEDPQETADL YQEDLMRSFAAKDSVRLPVFDLILLGCGPDGHTCSLFPGHELLSETAAWVAPIADSPK PPPKRITLTLPVVTHGLRIAFVATGEGKRDVMRQIFDTDEGKKLPCGLVNEMGGEKVT WFTDTKATEGVVFPRRGSL UREG_06689 MLFLSFILLAWLLPAGLLAQSRCNGRPEFCDRRYADMRFVGAHN SPFVGILPQHNQEISVTKQLDLGIRYLQGQTRVHKEKKTLNMCHTSCFLEDAGPVEKF LGTIKTWLDGHPEEVVTLLLTNGDFVDISRFDEAFTKSGIKKYVYVPPAAPDVIPMGS WPTLGEIIKSGKRLIVFLDYKADMSKYPYILDEFAYYFETPFSTTDPKFPQCKIDRPP NAKADGRLYLVNHTLNVDILGVIVPDRINAPRTNAATGEGSIGAHVDLCNSIYKRKPN VVLLDFINQGEVFKAQNRMNGF UREG_06690 MTERGSDVVRDDAIDLQDEEEQHQAAVNAREDAQTDVIEDEDGF DEFIAPDDPVSIAISRADADAGDRTEIASSPVSFPQCAGSIDDAASIPDDTPSIQGSI QSSPSSALGRRPPSSLGHSPHRPFDLRFQTRLSVSFPNTSRPISPSLLHAHSRNSSIT SHFRTDTPDLPEEPLPAPWEVVRWTKLRKIAGQILSEVGKRTFGRPTCMAVSTSIVLG TTKGTILVFDYQQNLKTIIGPGTKAIASGSVTSLAISADHSTIAGGHADGSIFTWEIA RPSRHFLHIPPITAAHKDSKRTDGHISGVAVIHVGFLGTRRTALVSADDHGMAFSHLA TRGMGAVARIIRTTRVLGRYPESALPTGRARKPSTVLAFSPLPLGNVEQATDSLGLVA MLTPYLLVIVSTTPVAQTQHKSARPKEVAAHSTMTAALAWFPAIRLKAKDAGTSNTKL VYCWSNVLTILEVSETRPTDPSDRDRPPSFAFRPFARWRATEPIVAVQWISRSVLAVL TITQQLLILEDKSLRVTDSFDLLHRHIYHVDLFSNQLQSLVEQLDEEDQSMHGVTADA FYMSFRAYKGRLFLLGFNDLSVGTLSNWADRLLALMESGDFIGSIRLATSFYVGSSEK LTVGLPEEDDLRHDVVQEKLLEMMEASLRYAFGKNQEANTERLQPVELGELAEACIAA CDAMNDQAFLFDEVYQWYEDNGSENTFLEVLEPYIIQGAIRALPPGAVKALITHFSTN HAASRLEEIICLLDISTIDIDQVTSLCKRYNLYDAFIYVWNRAIGDYISPLRELLDLA NRAPMIANGDGDVENRDYVNAAKMFPYLSYILTGRIYPTGDELEEPSASKAKTDLYGF LCSGKDTGSQAVDTYRPFKHLRAMLEFDTPKFMSMLNEAFEDSYLNDGFENMEGDGVG FTGRPQTGLSINRQYLISILLEVMEPSSFTTADTIYLDIFIARNLPKYPQYILLSGSI LHQVLIRLCEYPSPEMLDDCQLSAEYLLSTYHPPDILSLIPLFKKAKFFRILKSTYRA ERQYPNLLLTYLEDREEREHVFTCIRDCLRLGSSLTGKQRRDVLSVVKDHAAELAHIN VVEAAHAMQSVAADLHDVFVEALHSEPSLEYQYLNALFVSESSNGAEVGSAAKLSNRL VERYIQLMCQYEPSRVAEFADSLKVGDLQLEAVLPSIESSGIIDAVVILVAKQGEVGA AMERLIKHLGTLEAGLSGILEKSSESPDHQSAVDAAVDLAQSLEKYTRVGIWLCQEET KTAKRSHREVKLNKRGSVFEQPLSFDENLWLMLIEAIVNIAQNLSPLLEDNAPAIKAD EAMPSYSSAGLSISLRRLVQQVFTALLTTTTKAGRLPNDKPDLSFLRILRAFLTRAAS ASPSLSELRAVIASILSAYSYEESLLSLANSMLDKDLFVHVDEITKLRQRGWRARGQV CEVCRQRIWGPGSGGHVWEAWEAKQAAETKRKQEKASEESRDRDLVTRGKGKALASPQ VVDSSSGDGDGTGEGLDGHGGDGKGAIVVFGCRHLFHRACLMNEAERRRLHDPSSSVY EHGYLHEGGHAELSCVICIRH UREG_06691 MRAVQFTVLAALAQLSVATYDLGRDPSNGYVDYQSKSAAFQANL VKKLGNSVRIGSDSKGAVTNLGRKSVRVETKANFKHGLIVADIKHMPGSICGVWPAFW TVGSRWPEDGEFDIIEGINRQSVNKMALHTTKGCKIKRQGDFSGVVETPDCDVNSPTQ AANQGCLITARHGGSYGTDFNKNNGGVYALEWTSSEITVWFFPRGSIPSDVKSSHPNP KGWGKPMARFSGDCDLDKFVQKQRILYGMKVYKKGLQVSSSSAQSTRTTQTTTTTPTQ SATETTKGSQTATNSASTNSASASQTQSSSASESSDNSTANPTGTATEPSDSATNSGV TPTGTATASTTDCEEPTETPQPCDGEKCPPGNPSGTDGTKPSDTGAPCDGTNCPGSPT ATTGGTSPTDTPCNGPSCPNEPPCDGEDCPGAPSNTDGGASPTDTPCNGPGCPNEPPC DGEDCPGSPTKTDGGASPTGGNGNPGEPGTTDGNTLPTNTPEVPPGCTPRTTCVTYTS IETITIINTHPSASQTGIQLPPTYNDDDVIIPLN UREG_06692 MAMSVALSVFFLSASLLFLSTENSFALSQPAASATFTLFFSGVS LLISSFIASTFPAIKNGRMYPEYIPLSESSSDTPPPLSPPLPSGALEKLRKITSAQIR KTCLVMVLAVVMLCVRVEVHRQVVKHSQCALINGLIFLPLIVAAYEHFGKRPSGNLRL PDTSKWHFNYLFAATLLTAAGILTTMLKNGSKTTFICPLRSHDFGMTLLLQFLGAALD VGLTVVLGTLANCSIGRLDGTVWQASTLWGVVLLHTLKQGILFAAVCVSASKADTNYH PISILISNAKREHERWVTRAGNSTTLKEAVAEYRRRYHQAPPPGFDAWYEYATNRSSL IIDDYDQIYEDLLPFRALAPKQLRDLVLLMTGDGWNDMAAVLIRNGKAEPQAEIKPTH RWMLEGISHMIEPYARYLPDMDIAFNINDECRVAIPWERLQLMKRAVKTRIPLPDEKL VKSWSENRAESWERNSTADRSLRRPFVDHAFESIYNPVGSSLCPPNSKARTAFVWDKQ TLCTTCIQPHSLDQFLQRWKLSGDICHQPDLAFLHGFFLSPASFKLSRDLLPVFSQSK VPGFNDILYPSSWNYVDKVKYEPSGDHPDPPYSEKEPVVFWRGTTSEGKSIHGAWKGM VRQRFIHLANNHSSNHVSVLLPTAKTGKFAYKTFKGSQILPSLGFNTSVFIAESVARC GGNDCAAQTREFATVPRSDFQDHWKYRFLFDMDGAGFSGRFLPFLTSRSLIFRTALFR QWLDSRLTPWLHFVPQDLRLHDFYSTLAYFAGAKEIDEAGKVKKVIMKPHDGEGEWIA EEGRRWAEKAIRKEDMEIYMFRLLLEWGRLTDDRRDQLGFYVRSREHETTSHYLLLAA PLNLSESAANALHLLGVVAVVIHDLAIQVFTTFHSEGGPNHPDKAPWPGGTFIPDLRH PSYMCWHQYPRGPAELVGYWAEAEIFGGVVVFEHGSNDELRGVHIHPPFQPLFKLSES QLDEFINLSQSSQVQPSPGQRSESKIFGQFAPEQHTCTIIDDIGLYPHIYRDKYERVP KIRLLEGEHRCVQRGMVTEEMREFVKALPR UREG_06693 MPRKALDSRIPALIRNGVQEKKRSFFVVVGDRAKDVIVHLHYIM SSVDVKQNKSVLWAYKKDLLGFTSHRKKREAKIKKDIKRGIRDPNTEDPFELFVTLNQ IRYVYYKETDKILGNTYGMCILQDFEALTPNLLARTIETVEGGGLVVLLLKGMKSLKQ LYTLSMDIHSRYRTEAHDDVVARFNERFILSLGSCSTCLVVDDELNVLPISGGKDVKP LPPADSTDDSNSPASKELLSIKDKLADTQPVGSLITLAKTVDQAKALLTFVDAIAEKT LRSTVTLTAARGRGKSAALGVAIAAAVAHGYSNIFITSPSPENLKTLFDFIFKGFDAL GYLDHVDYTILQSTNPDFNKAIVRVNIHRQHRQTIQYIQPQDAFALGQAELLVIDEAA AIPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIQQLREQSRGGIKSGKDDTDLA DRSTGKSVKKSEGQYMGGRSLREITLSEPIRYAQGDAVEKWLNKVLCLDATLPRSKLN TQGCPHPSQCELLYVNRDTLFSFHPVSEKFLQQMMALYVASHYKNTPNDLQLMSDAPA HQLFVLVPPVDENSSKLPEPLCVIQVALEGQISKQSVLNGLSRGQRAGGDLIPWLVSQ QFQDEEFAGLSGARVVRIATNPDYLNMGYGSRALELLVDFYEGKFASLSEDDKLVSDE MVRVTDAELENANLLEDNVHVRDIRSMPPLFGKLSERKPDSLDYVGVSYGLTAPLHKF WKRASFAPVYLRQTPNDLTGEHSCVMLRTLLTGANETSWLSAYVSDFHKRFLALLSYQ FRDFPSVLSLSIGESANAISKAEPSVKPVPLSKPDLDASFSPFDLKRLDSYANNLLDY HVILDMVPTIASFFFSGRLSPSVNLSGVQQSILLAIGLQRKTFDDVEKELNVAASQLM AMFIKIIRKVSTHFRSLVEGAVAETLPPADLGVTTQDATGVHEDEIIDQRFKPLEVGL EQELKEGGQEIDKELKEKQRALIDALPLDHFISTRNVLTFPPPFTDMKSTMVPPLGTM QRSKFGLR UREG_06694 MSPFYAPWCGHCQNLKPAYEKAARSLEGLAKVAAMNCDDEANKH LCSVMRIQGFPTLRIVVPSDKPGKPKHEDYQGARTAKGIVDAVVEKIPNHVKRLTDKD IDGWLANSNETAKALLFTEKGTTSALLRALAIDYLGKIHIGQIRNKETSAVDTFGITK FPTLVLLPGSDKPSMVYDGELKKKPIVEFLKQVAEPNQDPPESAAPKAKTAKLKKPEK STTAGTTSTSTTKAAASSDTPKASDGTENLKEPKIYKGSKARSKPQQTGPDLRMLSTS TDLKTTCLSSKTGTCVLAIVHVPSDPNGLPSTHTLEALGSLAEIEHKHTLRQGRLFPF YVLPDFIDEVPTIQTALGLETGGVEIIAINGKRGWWRRYDPSDGKNYGVVDIESWIDQ VRMGEGERRKLPDGIIPSDGQKTSKQKPKEKPKEEPATPPQNEPDPEPEQEPEATPSA TPEMESQKESKTVVHEEL UREG_06695 MFSRAARPALKAGNVVLARSAPTNAANFATLREIEGRLKSIKNI EKITNTMKIVASTRLNKAQRAMSVSRAYGQTSETVFENAETKPLENKKTLFVVASSDK GLCGGIHSGLSKATRRMMEGQPDADIVVLGEKSKAQLSRTNEKNIVMSISGVGKDIPT FADAQAIADQISLLPADYASIRIIYNKFLNAQSYEPVTIEAFSEEAIIQSPNVVAFEV DNEVLANLREYALANSLYWALAEGHACEISARRNAMDNASKNAGDMINRFQILYNRQR QAAITGELVEIITGATASEEM UREG_06696 MSSSDDDVPLVGKRQANGVSRAAYPSEELVSKTTDPSSTNSPTP NETTKPGVSIRYGPIDDEDVEMPDAEVNGAAQTKRKSRASAANISYAEAESSEEDDKP LSKRRRTSTKRQVPDSDSDDAPLLSRPRRAQKLPAPTAAEGEELAAEKAEIEHRAESE AKAIRKHEREDAAKKKSIARVAKAEQTSQATKQKPTSKRAQANGVKKEEPSDDDVPLR RKTVTKKAPQAARSKSTAAIKGGTKPKKEESEEAEAEAEEEEEYRWWEDPAKGDGTIK WTTLEHNGVVFPPPYEPLPKNVKMKYDGVPITLSLEAEEVAGFFGSMLNSTHNVENPT FQKNFFEDFTAIIKKTGGAKNPQGNAVPIKDFKKCDFQPIFEYYEMKRLEKKALPAAE RKRLKAEKDAAEAPYMYCMWDGRKQKVGNFRVEPPALFRGRGEHPKTGRVKTRVQPEQ ITINIGKGAAVPPPPPGHKWKEVKHDQEGTWLAMWQENINGNYKYVMLAANSDIKGQS DYKKFEKARELKKHIDRIRKDYRKGLKDELTANRQRATAVYLIDQFALRAGNEKGEDE ADTVGCCSLKYEHVTLKPPNKVIFDFLGKDSIRFYDEVEVDHQVFKNLKFFKKAPKGE GDEIFDRLTVFRTYNASYTMATLLKQMQATGTVHEKVKQYNDANRKVAILCNHKRTVA AGHANQMEKLSERIKGLSYQKWRIKQMMIDLDPKIKKKKGPEFFQLDSDLDEEWVKQH QAFLVEEQRQKIQKKFEKENEKLRAEGEKEMKAKELEQRLEAAKELEAKFKKENKTKK VEVEGKGMTIEKLENNLAKLDQRIETMSLQAQDKEENKEVALGTSKINYIDPRLTVVF SKKFDVPIEKFFSKTLREKFDWAIKSVDEDWEF UREG_06697 MESRGPLKVLEHHFGSNLNDYLLHRFPPVFRTDLKGTTIFRLAR CYQKAWLDAPEDARAGRPCDLTAELNDVLAAIKKSYPDVTGFFNQGAHTPAIVEPHSS KFISHDCVYNFVRTFHLPLPPVKQGKPVVVIALPTGPILALACLAVAAHYTAAPLASV VGSEQFVNEVLQLQATAILIRKEDVGKLNLDATWITENGISVMAVNEDENLTFRTSIL NPEVSEESMEVVPNAADDIALVLFTSGTSGKKKVVPLTLHTILTGVAFVIESWGLSSD DCCLNMMPLNHVGGLIRNLFAPVMAGGSTICCSGFDANFFWDLVENQGPTWYYASPTM HAMILAESEYRAVPSSKCKIRLVCNAAGGLLPSLATNLRNTFQCTVLPSYGMTECMPI ATPPLTYGLDRPGTSGKSVGPEIAIMNISGNAKVATRETGRICVRGFPVFPGYLRSGL DKSSLAEDGWFDTGDLGYLDEDAYLYITGRGKEVINRGGEIISPFEIEDAILKASQSS DSPIFSRILDVMVFSIPHDVLQEVVGVVLVTRPGKPRPDLRQLHQAIKHSLHQPKWPV GIVYMDALPKARGKILRIRMAERLGLWQFTDEMLASEAYVEADCPPLDTPISQNIPSR ACVMDIGIVRSTVDRELKGDLTAYVCQHEKTGHPRVILFQHDAATSRDKQTVMQSLEA KLPTLLHGYLVPRNVEFMDGPIPRTPQGSVDQDSILATLDKATMPHNVPFVERKVREV FSLVLGCSPEELSKRSDFFEMGGDSMKAGRLFSILRKEFRVQFPSNILFANSTIGSIC DIVEETLPAGEIDEHTDFEGYSLPGCDATYSSTNPLLLMIQLIPISLIYPMRLAFQWL MFIWILSVTVLRWPFYHLIAMRLIHLVVAILAARWASHLFSPIVAIAIKWLVIGRIKE GIYPMWGPYHTRWWFVHKVLLIGGKGAFNHFNWTRKLYFRLLGARIGKGTVIHKHAIL SEYDLLDIGDNVTVDNCICRPFAVERNTSMLLQRIRIGCNSSIGLKTVIAPGTVLAPG TCIGPNSSSWETEDATEANRDLSSSKITQPHWLVRLLFVEPLVLLIHGISALPWLAGL VGMVIRRPKTSGDVFREIAYWFTTPIRFGYHYLARVLGVVVGPMVFFLLLLIVKRLID LLCGPEKHRKRSQLKRAQFSLMERLIPNGDPKILSSLFGSHYELTSVVIRLLGGRVGS RVYWPGVGPTIQDFSLVSIGNDVVFGSRSHIVTSDGLGSEPIVIGDGAMIADRTIILP GTEVGRGAILGTGTLTRRNRSYPSDSVWVGSKEGEALCLTAGVQKPSMASQATLVESP YSASASVSDDTVIEEGSPPVKARMEEKASQEHDIGDKEISSTMTPYGRAFYEKRAPYY VLGTFGIFLYSTFITIFTSIYWNTASIVGVKIVTEFLKIPSPLFRPTWFRPFIIYAIM VMNIAIIMTLQAVLALAIIIAAKWIVIGRRHPGRYDWDQSSYCQRWQLFLSIERLRSE CYAKNGIIKLISGTHFLVLYFRFLGAKIGKDCALFAGGQPSVLFTEPDLLTLGDRVAV DDASLVCHLNTRGRFSLHQMSVGDRSVLRTGSRLLAGAQMMDDACLLEHTLIMSGDIV EQAETYQGWPASPFKGKRV UREG_06698 MGLRTWAIIANSSSDDRKLRNPYANGIGHLTPSMADSRQTQRID EDTLVQPSWRLERSCPRLFHRVPGTALSTSLHPLATFPRVDLLPVNSVTLKRDYSASN RLSTPRIGHDETRSSEPRRVTATTPRNVENLPSKRIFVILCSSNSNDNSPVDFSLNPV MISIRFLPKTN UREG_06699 MSTVKPADPTSERCHEAYAREVSPTTAKSRCDTQDSRSPSRTQP PAALSIYQLARLHCRERLIVYPLLWTDRHVGLLQCSFEHPSIAPPRSWPHTIQKMPGA YSIKSLFKDDDDDWGQRDVIIEDVLAGFECPLTIIHGNDLYFFFDRRRITLPCLLFFP QGSDYDDMLSGRVPAVVACTDCARIQDLRYQKVSSCGGRRYSKSTSALIDLKLKKLTP SNPLQDPYILALLIALAQQQRSALQQTCPKEQDIRPTFSSQVLLIDPSDKANIHLFTA DISSSFLDKFKFPSIPPSTSPSLLVSVRHMMVPYKPSETFRSRIFPLLLPGRLKHG UREG_06700 MAGSQKPSVLIIGGLGFVGRHLALYIHDNKLASEVRIVDKLLPQ LAWLAPEFSEACSQDKFVQADASREQSFPRIFDRPNGGQFDYVIDCGGETRFSESDDV YRIRTYAPSLAIGKECARRGIRVLIQCSTATVYKPDSKPHKETDKAKPSFKISKWKLT LEEDLKKIPGLNLCILRFPRIYGEYDTGFLTPAICLGRVHKELEKPMTFLYSKDQVMN TVYVKDAVRALWTAAEWRATKGPVANNEDPPFPITFNIVDHNNTKKGDLANALTKTFG IECEFLGTLMTQFAKLNQDEIVDEMNEESLETWSDLLNAKGIARPGPISPFLDRELLR DVDLSVDGSLFEQTTGFTYQTPTLPENWLETIIRSYDRMNWWP UREG_06701 MSEIHDWPDGQIGADDDSVTDDTAGQAYVEQFGLETFHRADNAV RANKASLQTADTFQAAATFLELGQIWGQIDPETAAKIKFAKFHALRIAKAVKAGEDPN LSNPAAEGRENEDTVPMESNTPSIEVPDNSGMPAPRRLRQPSVEEVPDDFDSVQRRLA AQSSADESIHPSRSSSRAPPHPENQRQPPSAPTSNIPSPSIPGMMDLDGDLRQRPSHL SQATIPDLPAAPSDFPPGSPTSGMGTPTHLGAPPNAFPSLNTFQSFPPPAVASQEPPR AASPKDFERIAHARKPSITPSTVPPPPKPVQPMPSYGATTGNSVVDEDAMAQAQKHAR WAVSALNFDDVNTAVKELRNALRLLNAK UREG_06702 MSQLQEAKSKALQAGKDVLTATTHSEALDAAIKAAEHYMHALKL ATDSKEKASLDANCKKYIAFAEQIKFSGGGSRGQANAPQKREPVSTRTLSNREQIILL ENSKLNGCVFPPWSAQPDPEEFELDEEGEPFTDCTELNLSKSQREIFNGWKRPFELGL QSLEGDRNLTMVSEKPMDLVQDVTTDCSVVASLCAGDSRDARGYSQLVSTVKMYPRNL DTYLPQISPSGKYVFRMHFNGCYRKVVIDDRLPSSKSSRSLYVIDRNSPTLIWPALVE KAYLKVRGGYNFPGSNSGTDMWILTGWIPEQIFLHHDGITSNQLWDRLYNSFHAADVL LTIGTGKLTMREERELGLIGLHDYAILDMKDRDGKRQLLIKNPWAGGAVWTGISGTSA ISALQEMESEFGPPQRSPLSPGTFWMDCDEMLQNFENLYLNWNPCLFKYRQDIHFGWN LSTVSSVAGCFTENPQFAVTSKSGGKVWLLLSKHFKTGESSQAVNQLLDSEVDEPGFI SMYLFDKHGQRVYLSDGAIQRSPYVDSPNTLLRLEMPANTTYTAVVAEQSLHRSNHSF SLSGFSISPLSIAPATEKYAHVRRFQAAWTLSTAGGNAESERYPSNPQFKLKIVEDCD VAVLLETENTELATHVKIFWSNGERVSEVRSRDIVCDSGDYRRGFALAEGDAMIKGTY TLVCSTFAPDQLGKFTLRVSSTQPCEVKPLPREGAGRLVMSTGLGTFSPGTDRILTPI TALRLSRLKLIARRKGSWIGNRVVAPSPILMTLELGQGPYKEILAASGGGDFSDTNTG ARIERVDLHPDMGDNGGIWLVVERVGGPGGQVTDHIEVEILSEERVEVGAWGVGDG UREG_06703 MCDSVKTRLQVQVKSTKLTNGNANHDDHQHYDSTIDAIKKIVAH EGIEGLYSGIHGSLVGVASTNFAYFYWYSIVRTLYMKSSLPHPPNTAIELSLGAIAGA IAQVFTIPVSVITTRQQTQKRGEKKAFMETGKEVINSEDGWSGLWRGLKASLVLVVNP AITYGAYQRLRDVIFPGKANLRPWEAFVLGALSKSLATIATQPLIVAKVGLQSRPPPS REGKPFKTFGEVMAYIIEHEGLLALFKGIGPQIVKGVLVQGLLMMTKER UREG_06704 MRWLFWASPPQNDSHDASPNAHPRPIKPQRREAHQDHTPAPGQA APEPTISNAQSSRDWNSSLNATDWKQFTEPKTIIPTALLTGGILLCVHIHRKYLRRIP EAGYISPSYFRRRSLLGKVTSVGDGDNFRLYHTPGGRLGGWEWLRKVPTGKNELRNRT IHIRLAGIDAPELPHFGRPAQPYSHEAHTWLTNYLLNRRVRAFLYRPDQYGRVVATVY VRRWLLFKQDVGLQMLKQGWATVYEAKTGVEFGGAELERKYRDAEAWAKRRGLGLWEG LKGKKKEKWESPREFKTRMAAEEAQRK UREG_06705 MTVLTRKLKKAARIILVGAPGVGKGTQTERLIKRFPQLASISSG DLLRDHVRNKTPLGVQAQSAIEAGKLVPDAMILDLISSELVSKGWLSKPTPSASPSTK AAGLNPSASFILDGFPRTATQASSLESLVPINLVVHLLTPPAVIISRIASRWVHPASG RVYNTSFNAPKVPGKDDITGEPLVQRQDDSVDVWKQRLKKFEETSRSLLNHYEKQGCL WRVEGDTSDEISPKLFAEIERRFG UREG_06706 MFQYDKSRIDTKRRAGLDYKRKQFATPTYKQQEYVHRLNFYEIP PTAEITLEQFEQWAIDRLRILSELEACSYRNKTPAETAAHITPLLQKFLPLHSNTASS SGVDQRLKDERQKDHYSHFILRLAFSSTEDLRRRFVRLEAMLFKFRFQQDDSKERRAF VESLNFDWDILADEEKQELGESLLNATPGLKRTDDEGWFKVDWERVPELVERKGILVK KGKAYVPLREQLSMILAEFNARLEKALEFTSRALPRLDEDDRLIPILDHLSKNFGTSD TSYTDGEGAVPGAAINAASVDALSRHFPLCMRNLHMQLRKNAHLKHFGRLQYTLFLKG IGLSLEDCLIFWRQSFRNINDDEFNSKYRYNVRHAYGDVGGDANRRGRGYPPYSCQKI LTDNAPGAGQTHGCPYRHFSVDNLISLLQATGVNDRETLQGVREDVAKTRYHIACNRV FEYVHKDDLKKAKDEGIMNQADLDTIVHPNTYFKRSYQLKNLGKLSKADVEMTT UREG_06707 MDYEALKDQWSEIEDRDGIRLSWNTFPSTRMEASRLVVPIGAIY TPLKERTDSPLLQYEPVTCKQPCRAVLNPYANVDIRARIWICPFCLQRNPLPPHYKDI TENAIPPELHPQSTTIEYQLARPAPAPPIFLFVVDTCQEDDALKAVKDSLVMSLSLLP PNALVGLITFGTMAQVHELGYTECAKSYVFRGSKDYTAKQIQEMLGLLAPGLRGPVPP QQPGKIAPPVSPAARFLLPVQQADFQITNVLEQLQQDPWPVANDRRPLRCTGVALSVA IGLMETSFQNAGGRIMLFTSGPATEGPGLVVGPQLREPMRSHHDIDRDNVKYYKKAVK FYDSLAKRVSHNGHVVDIFIGSLDQVGLLEMKGLVNSTGGHMVLTDAFTSSQFKQSFV RVFDRDQNDNLVMGFNAALEVLTTKELKITGLIGHAVSLNKKSSSVGETECGIGNTCS WKMCGIDPAASYGIYFEIANQGGPAPMQQAPHKAMMQFLTYYQHSSGQYHLRVTTVAR PLSSPAGDSALAQSFDQEAAAVLMARIAVFKADVDDGPDVLRWVDRMLIRLCSRFADY RKDDPTSFRLEKNFTLYPQFMFHLRRTALVIALSAAHMLLLDTFFHNFIFHDETMAAW RKAGYQDQEGYENFRSVLEQPKEDARELIQDRFPLPRFIICDAGGSQARFLLSKLNPS TTHSSGGYGGTQSGQTIFTDDVSLQTFMEHLMNLLQVRLRSSFNEDRRRRLFSFPQPT STNLKHQGRLTTFRTRHAHLRTTGGEVGAQSALAPKIGPLGLSPKKIGEDIAKATGDW NRQAAISVVPSASSLVIKALKEPPRDRKKEKNIKHSKSIPLDEIIEIARIMKSRSFAK ELRGTVLEILGTAFSVGCQVDGRSPKEVSDEVKAGEIDIPSE UREG_06708 MQPDQVQPRSSGHKMKLDGRWKGHGTRWWAAKEREIQVRAMSNN GRRSKHIPILLITNEMRAAKTSQDGAAGLDATASFAQDVQE UREG_06709 MLPSVAQPPSHHFLPHNHIDHTVSFDPPQTLYDYTSQRHGHMEQ PSLQSAPTSRVQYLRPIQRLNPRPTPGPRQLRDVNPLESTLRRKTPSGTLAAGYDATD SSVQPPASKHILVSSLETDQLMMPRVALSMDSLQYKPLEPPNLLQRQNFTPGYKPEAN RLNPLQNTVPQGLHSTNWIRSLNHPQSIDSVLQHGSALTPSQRVYWQNIPTIPTVLAS SFQPGGRGSADSSGFGQYGPYWPDGGYIPYRPAAFRDSRYQSIPLLGQNVPPENANSF SGFQPSFNGQSLPPSELMTPDQQHFPPRHVPPKVPEAFWPQNNVPYAPAQPNSQTSHQ IHPVNNNGWHTQSLGLRPSVQGPNHQPRNAEFKEKILAWAHSVYVDLLASLHSARRKN VAQGIADGRTRDLNKPCIFPKPPRQPGSDFTSRSTQIEANSASPFPTHQQHAAATSNF VDARRHSAHFAPSHSSFLHNGHDSRGHFTQPLDGFRTIRRSSTASVPRMLNPAFKGVS AAENAATALEILSSLCIESNWDWIDGILVGGCLAYGLGDYPKAMRWYNRIINKDPTHV EAISNLAATLLALERRDEALEHWFQAIKLRPSYFEAVEHLVGLLCSSQRGKEAVNVIQ FVEQALRLPPTGDCFKGKGADEESESESEGRESIVSGAESMDRAAFDYSDDLQSPLAF NARPAKNAPDGFGSSGYAIPGSENGRMVALIHAKGNMLYALGDNAGASAAFEEAILLG AGRPRSIESLIRRILAAYNDEGQHGAVPSTNRHRPSKTVLLFPDKALQTAKLVFPNGN LPGLNYVPEGMSRKAAISTTSNSLLSLAKIYQDGMSNTSVSGAPKATPGVRDILALYY LSLSLQPSPSTANNVGILLASIQNTGPSKTTRTAGESQLPDIPGVVPGSGIALALAYY NYGLNLDLRHAHLYTNLGSLLKDIGQLPAAIKMYEQAVQCDGNFDIALANLANAVKDS GRINDAIGYYRRAVTANPDFAEAVCGLANALNSVCNWGGRGGIAPSRGIRDRWHVDDK GMLREAKEMGVDSGWIKRVVDIVDKQLKDAPEWINAAKCTHSASVSYLYVPSVCQANS PYFSAQWSPDIVFTLRSTWLPPTVYRPPAPPNPHLNIGYVSSDFNNHPLAHLMQSVFG LHNPRRVKAFCYATTPSDNSIHRQQIEREAPVFRDVSSWPVDRIVEQIVNDGIHILVN LNGYTRGARNEVFAARPAPIHMSFMGFAGSLGAEWCDYILSDQLSIPPETLSPRRRNL RLEDRLYEPDHAEDADDWVYGEKIVFTRHTFFCCDHRQSAPDAQSPRLGWEEEQSNRW KMRKELFPNLPDNTIILGNFNQLYKIEPTTFRSWLRILARIPNAILWLLRFPDLGEQN LRQSAVAWAGEATASRIIFTDVAPKHAHISRARVCDLFLDTPECNAHTTSADILWSGT PLITFPRYKYKMCSRMASSILTSALPQNAAGREAASELITSSEEEYENNAIRLGLDMQ YEPGGSGRARGRLFELRKMLFLNRWESKLFDTKRWVNDVESAYEAVWSRWVNGEEGDI WL UREG_06710 MTGVSGRGQEGLASELGGAVKERIPNGKLCWMMPYGGTALHQRG ILMPGHQPLVLRLSILGDCSEERTQRHLTAAPPAEARTVEGRLSNGRILAGARHEQTE KAKRCAASRSTVTHGKGSPVEDGSMRDAEHASRAVVGLVFGHPRHSRRRVSFTILIIE SAQLISPPPRSFSIPALAVRLDQGGEQVRATGQRVNLSGWRHVRILISSTYHPSPLSL GGEKLGSPRS UREG_06711 MCLSVCCQSRWAWRPLSERSLAAIDHVGIRNGFCGNSGPCTTLK PCFLSGATVLPFSSSLLLFLPSGWIRTPAFWFLETISGSSRCALYVPAAIASTGILLR LLCLRRKDTDVEPFSNCDETKMPVVMSPRFDAAAAAASTARTWHPGASDIGLCLSRES UREG_06712 MQALPSLEISLEFSQSGMDHKRSPGGGRPNWDTTGLPVRGTAPT KLGHCGAATPMDSLHRVRLFFFLFLGAAGANGLLRVGFDGA UREG_06713 MFNDADNGTTRSQPAIFRLHQTATNYGVHSTALIMASTSVAPAQ LWLVLQGEQCDHDLIRQDADAPMEEATTCRYIYLLMNQR UREG_06714 MAPAQRRGPWVPEEDQELLQLVRTQGPNNWVRISQHMKYRSPKQ CRERFHQNLKPSLNHDPITPEEGVMIERMVNEMGKRWAEIARRLGNRSDNAVKNWWNG SMNRKKRRLVPSRESDHASRAFDGRIESLYSQSAVGSQFGHRQHKEGQSSWLLASCSR RESSSSYVRDDWSRERSSPPSHHRHLPPLFTADSASHVDHTLTSPCYSEISSRTSAGP PSMISDHTSVASASPRTLTSPSIPPIPMELHPGYDERRRGSAPTVGHSPMKTHTHAYS SSTGAKSMEILAESEPGSRDWQTGSASAGSPHFRHHNSAQAQHRQLPAISLAHARSAV QDRDARMGVNNLLN UREG_06715 MEATAVETPFQLQPVNHVSNTMDTSMDIDMDLDFGPEPEPIELE VESTTANNGSFEDSNLLISNEEPQFEKVHIRGVDELATDDIKRFASEHFQIEEPARIE WIDDTSANIVYNSPEVGLQALAAFTQQSMEESGDSALPSLRLRTAKALSTHPDSVLQV RSAVKTDRKKTSEHRRRKGLDDKFDETMYDDSRDASRGSSRDGMRGRRKGVDDLFPEH SEKSTGRLRDRSASPIRNAFENGSRTGDGGRESSRRFRDRSPRQSRDRNGYSRLNAGK ELFGSTNASSDESSGRRELFPNKTAASYLKKELFPNKTAISNHRRSDAFDAADETADL FAKRISIPLVDGSHDNGDGEKDNSTVELFPDTKPNKGLKIRGTSDDPGLSIRGNAANA NSGIAIKGTASVRELFPSKYSGNAGKELFSDKLEGRGGKRRKAEDMFL UREG_06716 MAKSKNSSQHNQNKKAHRNGIKKPKTHRYPSLKGVDPKFRRNHR HALHGTMKALKEVKEGKRESA UREG_06717 MFSVRFARSALRARPAAFRVPIQQRTYAQAVAEKLRLSLVLPHE TIYKSADVVQVNIAAESGDMGILSSHVPSIEQLRPGPIEIIEENGQTKKFFLAGGFAV VQPDSNLSINAVEGFPLEDFSADAVKSQIAEAQKVVASTGSEQDIAEAKVELERYLRF GLIIAPTISLQFS UREG_06718 MLHQWLGRATALLGLAQIPLGLTLYGSPLSLFILYALAMFALLV AYFALSYLHERRVGLDYDRGSYLSGPEVIEDRHGHSNVGRLAGAGAIGAGAAMLGSRF RRRSRSRSPTDATSERTPYVDEKSESEHQSGWKKRLFQVGGLAGGAWLAKRFFDKRRD RESDAESGRYRPAHTATESFDDDYSVSRVEEGRPPPPNHRHRYDGPPSLPQSQYTESE FTHRTDGGHGARNALFGVGMLGAIKGLFRKRGARDEERRLEEIRRADLESERMMRERR YTGDGRPHRRGERRYASASEVTGLSDADGLQSTPSDVPPVPPHLHELTGSETVTSLEQ GPAPKTAAAGAVAEGVAGPSNPHRFSGTQNDSVESPPVSIKVKLHNNGRRVTLRQLTR EEAEANREARRKDRTRSGRRRRETSLSGGEGDDHWRRVEERERQQAQEMQNANSSIAS AGPSAPPPAPPISQPGANPPAGDDLSAPIPPPPIPAARPITSPLASTDLSSSFASRSG RRRAERRTQARQGSRVEFT UREG_06719 MASQGEVFARAISRLIGWIYMFCWSASFYPQPILNWRRRSTHGL AIDFPTTNVLGFICYAIYTTAFLYSPTIREQYAARHPISPEPSVRINDFAFAVHAVIL SATVYSQFFPWIWRFKVSKSQRIYTISYVKLVVTVIKYIPQAWVNYKRKSTIGWSIGQ ILFDFSGGVLSILQLVIDSALEDDWSGITGNPIKLALGNVSIFFDLIFMAQHYIIYPD KRVALKDDDDDGLSRPLLVDNEREIVP UREG_06720 MYDEVYDSDDLRPTTPPLEQVKPDLRPHEDTPPPFLPEKSESPK EKESPSGRVRKGSHRRWKGRETSIGIQLIIQNLDSNRPDLAYQLKEAPLHSDSPSDTS EADDGVEQVQSEKHRDTSTNDVPPCANSACELKSMPPDEETKVQQRKPQDLLNLLNNQ DDWEEPNESTQIHQEQASSEHDRIPYRSRMHSQEPKFGYQLPRINSNPEDRTLSGRLP RQEELAHPSHKDPRLPQSYHASLPALSPPQSIGSPDSGRNLPSIKTLVGGSFEEPARF PTLTTLEPQYIPPSFRRAATFGHLSPASSKDLPSLSLKPITGGPQNTIWAPQLNAECS QSQSPGDTSSHFTGSPAAGYPTPVEPGKDEPEEPLPFNKRVKTSTPQNTGGFKCDYPG CTAEPFQTQYLLNSHANVHSDNRPYYCPVKDCSRSKGRRGFKRKNEMIRHGLVHDSPG YICPFCSDQQRRYPRPDNLQRHVRAQHPDKDKEDPLLREVLSIRVRRCSPRSAPAGMN FLNFVAFSPSHFDGVNFGISLLGYPGNARVWLSE UREG_06721 MSNRDAFRSETSDPRSLSEQQSNDIVMCAKAPQRAGQAEQRAAG ALQFFAQEKKAWIKGGVATSHDEASGRQTDAEVAEIDAQEHKDHQDVDGRSSVEKSLA LCQKRLKQPASPMHLQSLNQLTGPSVQAGFGPSSPLVLCIIPALGLC UREG_06722 MAGVVRQPIDIPAFERYLEQNVPSIKTPLDVKQFGFGQSNPTYL LTASDGKKYVMRKKPPGKLLSKTAHRVDREYQIIHALEKTDVPVPKAICLCEDDSVIG TAFYIMEFLDGRIFTDPAIPGVSQKERKAIWKDAVETLAKFHRVNPKAIGMEGFGRPS GFYDRQIATFKTISKAQADTVDIETKIPVGNLPHFDEMVEFFSNKDQQPKDRGTFVHG DYKIDNMVFHKTEPRVIGVLDWEMATIGHPLSDFCNLTGPYMHTGGTPNTTLEFSPEA VPGLPTREECVEWYRKIAGWNPTSELMWGDAFHGFRGSVIMQGIAARYAVRQASSARA KDYGSQMGPFALRSYERVSKLKENARRKAKL UREG_06723 MASVTESSTPAVTSLSQNTLPPLLVKKLVPQAQAPTRGSAFAAG YDLYAAKETVIPTKGKGLVDTGLAIAVPDGTYGRIAPRSGLAAKNFIDTGAGVIDADY RGEVKVLLFNFSDVDFTVKQGDRVAQLVLERIYTPDVQVVEQLEESVRGAGGFGSTG UREG_06724 MATFVMQAMGCEVAALNTVHFSNHTGYRQFKGTKSSAQEIASLY DGLRQSYLTDFDVLLTGYAPSATAVEAVGAIGMDLRKKSLQQPGSFFWVLDPVMGDQG RIYVNEDVVPAYKDLIPHADLILPNQFEAELLSGIKILSLANLADAIAAIHRIYNVPH VIVTSVQLPSATSSSSSSSASLATADGSVSTQDHRTNALMIVGSTMRSDGSARLFKID VPFLDCFFSGTGDMFAALTVARLREAVFAAEPTSPPLRETRAWVSPDHVLATDLPLAK ATEIVLASMNAVLERTMLARSAELAKYVKQDEQDPELAGMPDVERKAAVEKRAYLRRT KAAEIRLVRNVDLLKSPVIKYFAQEWSQ UREG_06725 MASLEQRLQSQKFDYLETRAALRGERLPTALGHAITRLCVIRGI RYHESFATNDASILFGCPGHPEFTRALNARAIMSDRIPDLDPASRPEEVPYCIWHPEV ASEETYRQLARRYPSMKYQVARACAVAGYTDLYLELDILPEVSVAEEARDARSDAIFA HIMAQPVRYAVMDDYYRVIKTSEASKPAYLNGDTAVCSMLQKRQRFRKPTGVEDDEDD LFGGLIDDKGYDEMIFNITEDQSLDESEVEISTDPMLELLYSPLPLDLPAGNKDLLIL MAAYYGDIDRYVRLRRPTMIPKENFCVVRGIYHNSLFAKRWSTQQNPPAEDQPFYMHN WDHIQAAITARHIMNNDLSRIANNRAIPSSQLPYLIWFPNVARPETYRTLANLRPEMR EACIRAAIYANYEKLFDELLPDTSPNAFLVAEARQSPNPKYLTALEARAKELDVDITP SATLSGRGHWAWKMFSVKQTGPSMRSENGVPTAVDEETIGGEWEQDAVYNGMRCSANM LELFLMLPEEWRPKDMYVELDYEDWPPQEFLGGKA UREG_06726 MFAFINIVFLLVSYLASVAQGYSIPGGYERCMFYYAYLLDCKIN DGKPTTIGTGCAKVLGTGGTPCSMNQFLEFIMDPSKPANVFSGKYPEIPPLEDTAKKV VDGDFAGPVTPGRVHTDGGKSKDYDKLLAKVTDFIAQKLPKADEDLRKEAKNSVLRIF TSRVEATSRTFFENNSDIEPKYQKKSRGGIEYQTIDPQETLRANPNLTRAQLKERFDE NAKGGHSNNVRALDASLQVIEYCD UREG_06727 MWLPPLLSLVLYFPISFVSAKSTPSFSPSEFERRVAGWQSQFQD SPPGIPKKCPVFCEEVGLDPVGWSGYSSPDALKGCNETMLLDFPVHRSLDDPNLGIGV RACVAEYSSTSLKTSPSNDNSCISAFASSKVERKDEVHITQSGKPSKNAASDTVAAAS QLRNYLASDASSCTSNSTITFAFSGDAVVGLYAGAMLKQQGVMASLLNQFIDEAEHGK LITADTVVVELCGSKDRGSDFAFGIAVSTSANIGLVQDAVKEWSSGRCAKTPGDNTSR RWKNVTFKTPPAADAPDSLSKFQAGIAGRSHILVPRGDCRTISVDSGDSCASLASKCG VSGSDFTKYNPGSKFCSTLAVGQRVCCSAGDLPDIRPKPKPDGHCADYTTQAGDFCAK IAASNGLTVDDLEEFNKDTWAWNGCKKLQSQFRMCLSKGKPPMPAPMANAICGPQVPG TEPPIDGVELKDLNPCPIKACCNVWGQCGVTEDFCNEEKEDNPNYCVASCGMDIVKSD PPAEYIKIGYFEAFNWNRPCLNAHIDWIDWKGDGYTHIHYGFASITRNFEIDVSEYQL EFDRFKALKGVKRIVSFGGWGFSTEPATYDILRSALAEDNRLKFKENVVKFINEHDLD GVDFDWEYPGAPDIPGIPPGKPEDAVNYLLFLFVLWGDLPSEKSLSIAAPASYWYLKQ FPIDLMSQVVDYIVYMTYDLHGQWDYKIPFVNPGCPNSMGNCLRSHVNLTETTNALAM VTKAGAPSNKIIVGVSSYGRSFKMTQAGCHGETCTFVGPESGAKKGECTDTAGYVSNA EIEKIIKENDNILRLDDHEKDLHNILVYNDTEWVAYMDSKNKAARRKMYQGLNMGGTV DWAVDLEKSLDDEDHLGEDLDVIYPPCNDDLDTVDEIVKAADKLPGRCVEQYLARALS IELKSALEKYDQILKDGYDRKFGYYSKAVKKSWEAAMDTIYQHHIPEYFNCLQQVPTG DDKYKNETGVCPPEFEGHAYSVFLIPKDEDKFFKWVAEKFQVLEDWIFFDKFFFAICL GDPEVECKDYGWIHGIPKIRSNAEVPNPKKSIADALKELRDTQSYLADVMEELRFEVF DGFAADVVDGAAVPVYMVKEAIANMEEVAEIGEEIEEEERKRFILLFLTAFLFVLPGL GQGLAAVTGLAVIARITALVAEIGGAALSLHEIAENPTDPANVFLNIFGAVLGAAALR NAGKLSDAAKIKRGLSGAKLGELGDNVKKAVEKISPLVKACRR UREG_06728 MAGPTRLTVLISGSGTNLQAVIDSIAAHQLPATVIRVISNKKNA FGLERAQRAGIPTHYHNLLKYKNAHPPTDEGVKKAREEYDAELARLVLADGPEIVACL GFLHILSNTFLDPLEKAKVDIINLHPALPGQFNGAHAIERAQAAWLEGKIDKTGVMIH RVIAEVDMGKPLLVREIPFIKGVDEDLAALQKRIHEIEWKVVVEGIEIAINERHAKGQ AISAS UREG_06729 MDHGRIMGTPLRRASLRLSLQLFVAALILTLFTPVSTHSHAPEA ILPIPAITSCPRASTIDVDPLNPPLRKRTESDDDDATKSSKPTSTTVVPSSSSSQLTI VRPSMSDPVLSSDIIRATPSVPEAPLPTAFDTNIANDSFTTESCATFFKEFTTNSAFI QCYPVSILIGSSKSWFNAAKSFVSITRVLDASCSVDVARCTNLLAQLGKEISTKEICG QELANNNPLVLSARNGFVAYRYMYDAACLKNPDMGSYCLADALSSEETTGNTFVYHLA LGTSLPGGSRPVCNKCLQATMEVYSRGAGFKEQPLSQTYKQAAQQINIGCGPGFVSES VPNAASVSASLPLRALSYLTVPLLAYLILHMS UREG_06730 MSAILANRPSMNGSLHEKSRSVSSFPASAPSSSPNFDSPRKLRS QTSLGRKSPVSSQDSRPRSVLSYSSFQKGKRRCKPQYPANSAELHVEYILVASFHVDR GPIMEHQYPAAISGDENMLAELMLPDQTHVRSQDWTMFFLHRDTGSEDGIEDGGDPQD QEEKAGDEPEENGQDGARQTDDEDSADDDGYEDEDCGDGPPLMYVLNLVNTKQDNSVK RGAVVKAMAICTRHSFLHIYKPLLLLALEDYFKSPYPETLARLYDSLNAMDLSLMPRL SYLERHILQATDVKDMFIEKFERMIKQRLADERAASELDGADAKSFVPLKYTLPRDTH EFESKIIYNDIAIPVKIPTAVSPETVGDFSLIKLIQTFSGPHASSPQAFPLHPHLTTS GAFTHPIIVLVNALLSQKRVIFLGHNRPSGEVAEAVLAACALASGGLLRGFTRHAFPY TDLTKIDELLKVPGFVAGVTNPAFANHHEWWDLLCDLPSGRMKISSRIEAAPATEGTQ FFQYHAPLTASSILGGSSSAASDPTGDNNFMDDILRSIASRHGEGAIRAKWRAYITKF TRISAAFEEVVYGATALHVLGPGEDASENQSPLQTKHPDPSILRGHGYVWPDENTKHR ELAAWVNRIEGWRNTRSYYYFIQDTAALYPVSKPVQNLDLHHHHDKLRYLKLSSGESG AIYLAFANAIQDYNSICQLISVTPESQAGLFYISLGLFHPDAVVRTATLGLLERIAGH EAGRHLWAQLSRFTKVAYFRMKRDRDGELNGIEPQSALIPHKAFSRQPRIQGSQKLGP CGRFSAVPIAMSWCCVG UREG_06731 MALLCSLRNTLSISFLLLSFVYISLAQDASSTRSPVSTADAPSA TSSTSPMIGWTIPLVGLEDDPEKPWGANASFGSSDAGYQLFSITIGSNTSANTRGDSS ERIAAFPFAVSANPDGSTPDGRRVFMSPGQDNTIPLGPRSALGFTRKSRQGDEDIPTV PFCINLGSKGRWNGSLTLGENFYDRNRILRQLSFDAVPDANHEHNGTFLASGRQRINS VDMARLDWAGVNRNEKDIDPTSGDVILDFNSESITLPHGSWCGQNVSFTFFGVALNVR IPDYLTKSPDRCKGAENQNLSENPLILGKPFFQMANVLVQPNGDMFIATANTWDLPPF PETISEQGFIGFPEDPKPTSSATPRPTFEPSEGGGKNIGAIVGGTIGGVAGLALIGAV AFFLRRRQRANQTPSPYSTKGDIPLN UREG_06732 MAIARFILLLLGFSAACQAHPAKSGLHKALTAAVTSRSLPKHGP APQVTTAPVLALNRAYAGKNDFLSTAKGGWKDVRCNNPGLTDAFDKGKKRWKAARAAG EHSCLNRTYWFRRAHNSSTELWKEVMDAYKDFLKNDKRLPMGAKSFDAYFANALHLED FPQCWRYQGERCTGLEQCEETVAPAVWLIKNSIVTFGESINGGFEQLSGGLVGVEGNM EGFASAFAKNKKKSISDKVFKWILDSLAFAIGIGSAFSWNVYDDYRGAFKDVFNAAVA YSIILPKDGVVPETAKDIVPFLKAVAAGTFNGITKSHAKFLDDTIRAHNKGNQTVLTN LLANGAMLDLALNEKDSWETMQAEVSRMFYGRMLPVTWELSPVKQRPFVLRVDREQYK CGSPVKPYTITKDFNLGNYMTADTAKKTQYCDKYGNTWFLLNANHPSSCRKNPQVRFC SEPPYYKFTALQGGTTDELDGKKWGGITIKDIVESSYGFFNFTVCLDAKEAGDRIWDR EEQVCPEKPSDAPVNPNPNRNGYTEGMCRIHVTQWAREKESKGTNPLKDHFLVATAII DAAGKLIAQATKQPISPAYIIKSPLSRTLAVRVGSKEDHTKLCFWYSDQRWCSDDCSL GGWDGGKREMDCGFRCPNPKEKIPDTALVDETKNAPIAFMGTGEDPDEIGTSRNFKPG KCRVHIRHYQKNHDGNSQNPSGFYALEVNLYDAGGSLIDYLDKTYAPEGEHLHAQGPL PHKVVLWTGAVDSNPIYLAYTSQRWDTKNKGRCSEGGYDSGMRQIDCDFDC UREG_06733 MNSTKPQSQFQFPPLPDVPPPQPTRTLRRFQSHQNLSTKTQSVS RLQFNRSSGSKPDSHGNQPHTQAGPATPAHGRHRSNSDAASPTAVRNLVNLTQKPRSS TRKSGSMGFPGKRSGLEILLRDGPSENNLAAGLDELRYLILSTRVDADGDGMSPHRIY VWLALLNIPPLPTDEYLSLVHRGRSPVYTKIRNDTFRTLATDPLFKRRVTEASLIRLL NAVAWKIHDSSGGKSSKAKPKSLTLSNTTRHSSKLSAETLPSPISEDAPHQPSSQTNS ADPAIYVQGMNVLCAPFLYASRSEVEAFALFHHFVTQECPGYIRGAMDGVHKGLKLVD KCLEIVEPKLAYHLFSKGMFAELYAFPSVLTLCACTPPLPEVLHLWDFLFAYGPHLNI LCIVAQLIRMRDTLLASSSPNKLLRSFPSLDAKEITALTVLIVKKIPDELYEQLVQHA K UREG_06734 MAFENAGCNSELLLRRQEATSLLLNDTHNPLRHLQRGKIHFQLG FPDLAAADAYRALTLFEYVLDPESEYVAKRRIVGAAIADGPLELKGPRGDADGPDEGF GIEFEPLPWSDYQENIGAVYILLVDSLMRCGCMEDAYEFCVQGLKLAHGTERGARNET LRKLMRMIKESYADSQRQKNQGLQSGRGETFDPAGLQVQGCARRVVYPWNSHEPDRNS PQIVELLNERLKKVAPKCEVRAVELPLLHGLEAAARTGSDSERKEGISIQLGLFAKED IAPGEVILHESSMLTATNRLHDDLCDACNSPLPQLDAAEPAVACENCDDIIFCSQQCY ELASETYHGAICGEEGLESIGKDIPDPKDKADYLYLLLLARALAMAETQHIHPLDLPE VRYIWGDFHRMEDDPITPLVSISAQEPAALPALPPSATLPFSFQLNILQPMRILEEMG LNPFDAVERYDTWVLNTLYAKFRGTASGRLSTWDGGPEVCAVHPLWCLANHSCDPNVR WEWGGEITFVARDEGERVDWGSMGGKKQRARDRSGGVAIKKDEEILNHYCDLGLKVKE RREWAMGALGGPCQCPRCVWEESLP UREG_06735 MTELMSRRCSILTDSCPNIVERFLILPDHLFLSHCPNKALEYRF REHQERENGNFFGTLIRVKDYEDPALIAQWIQQIISALEYVEKMGFCHNDIQTTNCLL DGSLNLKLTDFGRATLIGQLLEYTFAPWARQLTAGPLRGTYGLCSARTEQFAVGTLLY YMVYGHEPYEDISLDGPELGRRFENMEFPELNRHEIFDGLISACWHDVYPIMALVAYD FRRKTKDIASVAEYEAIDRTKEKKACEALVRRGLLKPDLALDFQPVWRRYLHAARRTL IWWILAGLSKRLRLWF UREG_06736 MKSSLYDRLLQRELGNRRPYAGISGIYGDKVWVDDMDIRNCLPN SWSTSGQFLASGSDDQHVNIYSYQPEHTTSPVFLNTTIFTGHSANIFSVKFMPHSNDR TLISCAGDSEVRVFDIEHSGRSANTAISSSRSRRFNNFFNGMWYLTDGNTNCRVYRSH ADRVKRIVTESSPYLFLTCSEDGEVRQWDLRQPSSAYPSPRGGQGFMAYRPGLHHDDS NVPPPLISYKKYHIDLNTISCAATQPHYIALGGAHLHCFLHDRRMLGRDLMDERGQMG SPSNTFHDDEAMGNATRCVRRFAPNGQKKMRSRDNGHITACKISNANPNEMIVSWSGD HIYSFDLVHSPDARDETGNKDSSQQEGSRSGRAKKDKNRKRKRGKATSATSIASSIRH QSRRRSEERHEEGDVSFRVRYNNGESEDIPFDSITGPATADTPERLLEAARDSVLNEA QKLSLRIAKGLVEIRKLLFSVELSAREEAAEISSTSEPTPYTASFTSVIGYAATYLPE MDEVIRTWGYPLSPSHDDVLFQQALRRNRESSRRFVQASGTLARVLGGRLQTATGGES PQLALFRQIVPAPTEDGMIDPSSQFGYDFLKAILLWLEGGRHAVVDSFKRSAGRRRDA GRFPLLDCDGDEAIEDTLIPYFRELASADPIVNVDASRFEHDESRILFQSQTDAVEAF AKAIKIPLEDLGDAAATREPESQNASQTEGIRALDKKAAVRFWGLKVGRGLLMEVGEG VNFEFVNRCFGGLRTVIEDDGEDDGRERVQEDIDPEAEEAPISEVRLVPTRHPYRHSV LGGWSDADDASDGEFENDSEDSEGSEEDDDDDEDDEDDEEEEDTNDDSDEEEGRLFIR HASGGRRRGVESNVPCSSHLNVYRGHCNVKTVKDVNYFGLNDEYVVSGSDCGNFFIWD RKTSDLVNILSGDSDTVNVVQGHPYEPTIAVSGIDNTIKIFSPDARAQHDAKIGINIA DPDAPANIVSGNNPGVNRTERNTLGLRSRKQMQNSYQIMSQNDVDRQGGMNEAFITRS MLARLAASLRDRRALGVNNPIEGEGGTIVLDENCTVM UREG_06737 MYQQHYSPKKPVPKSSTSSLSIAAGGGNGAASLPQNICLLQTEL LQLHLLHSQALDAKRKWEASADTKCRKLHESVATSYRSVLSSERSIQQNRNAAAIEQF AADIKASNSRYDLPSQIQMLSKVIQEVSDMTDPGEGRYSLVIQEFEEWSTRVQQIRQN RSQPTSNGNATTVRIDSEFVDPLSDAWKTQVAALSTKLELCSRELDCLEVSSAVTEQA VSDYDASALVKAVRGHRVLIQSMIQELDVMAKIEFEVVKLEKCWVKRNVEPLWADINQ DIEIRIPAWKM UREG_06738 MAPANVTKSTCLFLAFKTTARVLSIRVRARKKPQLGSKRVHVAF SLTDGTFGYPELARHNMHGRIVELGSAGTTDSLWDRDNVVTCASAPIWRNVSVHAPLV FQIKTSIEGWAELCANASRTSSPVGESRHYRAQLQNSCMTPAAYPEKRS UREG_06739 MAFLQDPVSSCSDGGGGKDGKIKEEMYVVFWRFPTALPPLSFAT DDDALKPGFLASPSKALTLDTILENAHLLRIPRDALYGRSPPTRLDRLCWRRASPFGQ RLSSPSPLFSMPALPQPRQVDTVRHQLLLLRPLCFDGGLQAMISWTTQASTSCEARRK QEFNTLVNPTTNHRLTEIWQREIAPNISAYSGALLHQLRQMTCSPLRAG UREG_06740 MATHRYPSSGPVPHFPQSNRSSISTSSNYSASSTFESTVPVSRH LFVQNLSPGTTTQQLKEYLTPAGTASRCDIVDQRSFGRTKVCATLSLQNEEEAKLAIS MFDNSTFMGSRIRVRFNRERSGSGSSQPKQAPLDASKVGEGLKATAADTASSHDKPET ADECLGGSRGEETGPGKKRGEPLVVNGSCVGMKAGRGNEEKRDYEGELSKRAQEIHL UREG_06741 MKAQAASLPFTPIYAAMAAIVNTKLPQVGQLLLSRLIIQFRKAF KRNDKAVCISSTTFIAHLCNHQVAHEIIAAEILFRLLHKPTDDSVEVAVGLTREVGQH LEEMNQAIALAVFDQFRHILHEADIDKRVQYMIEVLFQVRKDRFKDNPAVKEELDLVE EEDQVTHYISLDDALEVQDGLNVFKFDPEWEEHETAYKKLKAEILGEGSDEEDEDGSD ESSDEDEENEEERQLDIKDQTNTDLVNLRRTIYLTIMSSIDFEECCHKLMKINLPPGQ ESELPSMIIECCSQERTYSKFYGLIGERFAKLNRLWCDLFEAAFAKYYDTIHRYETNR LRNIARFFGHMLSSDAIGWHVLSIVHLNEEETTSSSRIFIKILFQDLAEVLGMPKLQE RLKDNILRPSFEGIFPTDNPRNTRFSINYFTSIGMGALTEEMRELLKSLPKPTIPALP APQGDSESESVSSYSSYSSYTGSSRSRSYSRSPSPRPARDESRGRRRSRTPGSRSISR SRSHSLSHSRSPQRRRARPTSYSRSPSPVKRRGRSISSSVSRRYTPSRSPLPSRPHQR QISKRSVSRSLTPPRRREPGPVRAGRSTARGYNSSRSRSPYRRRSPIRRYDYSRSPSP DRGPRRRGRPSSRSITPPRHNQSSRRRDTRRATPSPSRSPSPYRRPRRLRTASPPSSR SLSRSETPPSRPYRLSSRSRRRDRSQSRSALPTRPSGRARASDFL UREG_06742 MPPTSSPARQQASKSTVKRVSSPQSVTGEVAHSKKKKNAARRDI KTAKAEKQTLLEDLEASQPIEQLFEPASTLLTPQNMVSDPDSPVLDALSTLSPSEDHD ITFRTDTWARSIPFGKSPPQEASGGGNVGCSPNFSLPADRGGFQQAAMSVSPPTSKPR PISMETDFSSSLRHRFEDRQKRNSMSTQQQQYPPLPHLPQAHFYSAPDVNIPGVASPI MATTQEQGCSFSALDILPSHKPRLPGKKVVLIGRDGMLEVLALENEQARVLGAVKGLN GRVLNAKILTWTSGTDPFFSSRPLVGVTIFGPVSQDDNGRSSSTASDNTDSAAPSVSH RLDAHSNHTDLPRMQTRVQVYSLKTQCLVATLFATRPEPCYTAFPGASPSVPPAGGDL KLYSSGDYIVLASGTSGEVFIFGTGGNRTSDSYRCLGKTWTNIRNRDSRRHSSSSNST NADDIQSEHGRETFSTDMPILTMSGRWLAVVPPPSTRTSLRGTVGCQVSQKRLYEIDA HNPPSRPSVTCTVDCNQEGLFNKITKGVTQELIRGAAWTGGQVAQTWNSYFNKDAQPS QTATSRRAPPFEPPNVNLLPPTHAQEPQQPSNSEPDLVSIIDLKRLEESEGTKTSTIS SVATFQPPNGCSFISLSPSGLMLLTASKNGDIQQVWDLMQMRHCRARSLVLEDISSAV PHAQVREIAKFDRLTKTSILDVRWTSPTGERLAVVTKNGTIHLYDIPRSAFQWPPLRR VPRQSSPQQGHAEYLSREDLNEMNSGNLNPFSTAVKAIGGTTQPFMAALRGRAPSVGA AFGAGAGFGLSSRGGKVIASGLSKSVGAATETMNALRHFGENRLHLSRLTKDGSVSRI EWLGNEKEPFLGIIDGESFKVYQVRRGSAGKKKIDQSVFGAKIRELRLPASLRLPVGP SQALLTMTSATTSGFWSLPSFTHPPPAGNITSPPLSQAEIETNAPYQPFHTDRRVNLM IFSGVYDDSSATDPWVFGDAIPSVKLQIRGSSYRDDTPADTHNTGDGEMENLISLGSG GENVEYAVITTRRKKRTVSENAAGTGGVDDDGFFEDDCDILDFASDRV UREG_06743 MVHVNFSVLSSATIAAILATASVHANPISGTALALRSAKPLSDA AFIKIPDDPTPIKACGGEEILKRDTNGTVPPSQAAFIRCMQQKNPNWPDEDTDDPTAI KACGGEEILKRDTNGTVPPSKAAFIKCMQRKNPNWPDENPDDPMAFKACGGEGLHKRD VDTDSIAPPNELALRAIPPTKLAESAFMKCMRKKHPKFPKVKVTNSADILACTGQGQT RRAVDVDLASRGLFGTLTNVLGKVLQYDSKCDKNDKNQFPIPKYFQEAGPFRGDAKEW CDKMKKDVLKHGATTVRETIPDAVTKNASWLRHNQKAILTMALAITPQGRAILKAAKE ADKAYDKWCHLAIQTFGTKDKGCTAELGYFKKKFLFFPINGATTTAITDGYMEIEEKA NLKLREYSTASTADHPQGCTAPPKVKTRVSNATLISRMETFVTKQMQHYDPSHNPAHV ARVVRLAHRLLAAERARGSRITYNETIITLAALLHDIGDRKYLVPGSTQDPATMVRDA LLNSGCDPTLAERAQTVVSNVAYSKEVKNPNIVARLIAEDGFPELAIVQDADRLDAIG AVGIGRCFTYLGAKGPQLAADGLLRWELDDAVGIFRTKLVNLELMMKTDSGRDMARKR SLKLREFIRWWEEEKDEIKDQGA UREG_06744 MKLHLGSILTLLLLNSPFLSGLPLDDKPDDSSTVVERSPTALQR RVVNGHVKLRWEHKDRPNAFWGHFGKPNFDDTPYLLPDNQRHDRSAIIAQSNHFAKQA YDYIRNSFPDFKPPSFLVAVVYVPDIGFYFGTKPPMGNFRGEDMISPIAATIAPHWKA ASYPRTEIRHLHSEDSALYTLEEFVGKHPSVLARWGARGNQPYRGSSYMAVYGYFNDG PVGIVRPCSLQTPNNPITCYTMLSALGVGSLVTEWT UREG_06745 MPIENTHDTGEQRSSPPAVGTTLMTIPNEILEKIFIKIALDAAA VWSHIFLPFNTNPKGILTLMLVCQKWHRIAEPILYRRQQYIAKPPITSEHAGSLLHLL DRKPYLAGYIRELSIDARPDNFDEAVLKILHHCKRLTLLHLDGQLSAPPSAVPAAIQS LPLKSLSITTVESSLLRDGFFNFLLGLRYLENLKIGDWASPYPTTDLKRLPADFRRQS NIKTLQLKEPNTYPTLTEDCLALSAALEEVILTGFEFSRSSWYYSSDQIQALLLPHCD SLRRVELSSILKGDSTPCPLPDFSVFPRLEQLSLCPYHVFYHEKPTDLYRKLAAPNLQ LLILHFHPESTHYVGADVFGEAQIDWLEEFASVHSQTCLAANFRELHIIFFPSVEDSE DLSWPWDRLDRAAEIVSRHGIKLIYSEPPTSRAEWETEAEAMAKVLKEGAARRKLREQ QRE UREG_06746 MKFSGVIALSLIAVASAQSEAPSTTVELSPVASCAAQCPDTDLC CQAACAGVPCPDHSGAIKTNECSAKCEQGDGSPGQTEAFARCQAACVKSFFLTYSAAP AATQPGSPSNSAVPTGDSTDAPTRSGSEPTGTSTPTGDASSTTTPNAAAPLSGSSAAT FLGLVLAAFAL UREG_06747 MSDSYGLSDFAYDDELFNHVDASALETQRPAKRRRVSALDTETQ QKNIENDPESDTDSSFFNPSLPPYPKANGNGISGKATARKSKTYTPQYSVDQEPLFVS QTQPASSPSKLRGPRWKAPERAKPCSFSSARPAATLQTTAGKDTDAIEGGDVKAAIAA SLRSFEEEQTARGATSLAEEPLGTPDINHGLAVSDPVSTAKTESVYDFDDIPDDAFDF EPEYAKPTGNGSILISSQPRPSQNLSRSQNTSFRQTTLLGGFANSSNKSSSQPAVRSW PLANRSEPPTHHLLNNHALRRWIFPGNLGSKREYQFNIAHRALFHNLLVALPTGLGKT FIAATVMLNWFNWTKDAQIVFVAPTKPLVSQQVDACFHIVGIPRSKTTLLTGNTAPGI RAEEWQSKRVFFMTPQTIMNDLKTGIADPKRIVLLVVDEAHRATGAYAYVEIVKFLQR FNNSFRVLALTATPGATVEAVQEVIDGLSISRIEIRTENSLDIREFIHKRNVDTITFD NSREMETAMELFAKALQPAVDKLRNQNAYWGRDPIALTPFGLTKARQEWNASAGGRAA SWPVKGMINSIFTVLASLAHAIDLLKYHGIGPFFRSLVSFEDSVLKEKKGGKCASQIV SDGNFKTLMRKLRVWTSSEDFIGHPKMEYLKQAILNHFLDVGSKINESAESDTRVMIF SHFRDSAEEIVRVLKKHQPMIRPHVFVGQANAKGSEGMDQKTQLDVVSKFKTGTYNTI VATSIGEEGLDIGEVDLIICYDGHSSPIRMLQRMGRTGRKRAGNIILLLSKGKEEESY CKAKDSYEKMQQLIASGTRFTFHDDKSPRIIPRDVHQEVEEKVIDIPLENSQSGLPEP TKRARAPKRPPKKFHMPDGVETGFTKASRLGRTKTKSSGRSKTKPAVRTPSPELEEFP DLNELCANQSQELANDLDFQEIVGKHTPSLRMGAYPEYQRSLRPTKNIQHSKYTRQVV KTFENFGHLGSDCEDQYRAVIGDPDEEDPNLDYLSTDREDDVEPIPKPQSNRASQSEH YDSDHSLDLDTLFPFLAGASNQVSGPGGADNTSPKPSQRRKRYAVSDDSDVEE UREG_06748 MEVAANEAFDVYRELYYEGGVGSVYFWDLDDDGFAGVVLLKKGI TPGSKNSGGWDSIHVFEATDRGRTCHYKLTSTVILHLSTGSEVLGDMDLSGNMTRQIE ADMPIEGDASHVANVGRLVEDMELKMRNLLQEVYFGKAKDVVSELRSIQPLSETNRDR SAHRNMISSMMK UREG_06749 MESKELDHAFSPAERIEQLNEIDQDVIKLLRAAGLAIQALTNTP LPTNDNKDPQSLQSPSGKGALAAHQEAFKAAASQYFSLLSSIDVRLRRQVYALEEASI IRPESTVKTGEGVGTTAFNPLETSWLNTRKDTVGKDKEAELWAQARDYVNALISTGGE DSSETEAGDAPLKQMEID UREG_06750 MGSSSRKSQRAKPSFSEQINKTFEGHLEINRPSVPSYTKTNNAT GDVIDYLAKKGYNRTEMMLRMESANQEIDGRPLPPVGDDSRARFRPAFDMIKAWVENN LDIYKPELRRILWPLFVYSYLSLVSTLASTEARQFFDENKDFFLPDHSEDIRALGPVT LPEHLKTNSVAKIYRENKYRLTLSNPAFSNLMQYLESKGKEGGSLISALLSSYCTIVT KERSSDERFSFAAMLARANDMDGGPPLEDEGIPGHHPGSAYTGDNPAMAGTLPRLKLG KLPLEPELESDVRAELEEVDNKEPAPPGRPSLVQHFEQMIKKEDDLESPSRSDIPYPA SLARDVAMEVQKVKENRDRFHIEGRTGGIGPGISVCMFTFHNTYDGNSINCLDFSGDS MLVAAGMQDSYIRVWSVDGAPIQPTYPELEDKDFKPSNSRRLYGHSGPVYAVAFAPAI ANSDDAEVKTNTRWLLSSSGDKTIRLWSLDLWQCMVVYKGHGQPVWDLAWGPYGHYFV SGGHDKTARLWVTDRIRQQRIFAGHDQDVDCVCFHPNSAYIFTGSSDRTVRMWAVTTG NAVRMFTGHTGNITSLACSKDGKLLASADDHGSIFLWDLAPGRLLKRMRGHGRGGIWS LSWSAESTVLVSGGADGTVRVWDVAGPATDPNTSQGKIIGEGGTGAKIDAANTTASSA AQAAAGVGTASGKKKGKDVVVTPDQISAFPTKKSPVYKVHFTGMNLVIAGGAYLP UREG_06751 MSQLRKSVVKSRLARLPPDHPMLDEVQEHVLQGAGGHGEEDDSS SASSASSTGTVRPSPSQNLFARPSGTQAGRGDLSSLPWTGFFERELFIEEDIGDLNLI HHAYISPPTDSGPLFVTHHGAGSSGLSFAACAQEIRKILPTAGVLSLDARNHGCTISK IGTRVDDSNEDSKVELDLSLETLSRDLVYVINQTKVKMNWDVIPPLVLVGHSLGGAVV TDVARNGELGSNVLAYAVLDVVEGSAMDALQSMETYLSTRPSSFPSLLAGITWHLRSR TIRNTTSARVSVPGLLREDPTDSTRPWKWSTDLEATKPFWENWFIGLSKKFLEAQGGK LLLLAGTDRLDKELMIGQMQGKYQLQVFPEAGHFVHEDQPSKTAQILVDFYKRNDRSA LVFPPKVGDIMASKAMANGLGMP UREG_06752 MSPIWGSPRNDEAERAEEAPRDEREGSQRGPKTRDNRDQEREPD ERTRLLPQGGAYLSPDDPAVSPYNLWGVRALRSLTILFLLITLVWWVLLLVSIFVSPP MMNSRGSGFTDFSYTTLTLSNLLIALIFFSVPSLPMTIWGASMSVFLAVNLFIILGVP RLRVEEGWIGIASVAWATLISLYLVAQTRSVAWGKREEEERLTGREETRRPLREWVAV LVQTIIMAITVLIAILLMATLILRARDASLPPPGKKYYVDNDKYQVHLACVGETHSDR SSSRNRTTPTVLVEGGGDPVEYSFLKWVDAAYDHGSISRYCYWDRPGIAWSENSPSPH SAGMSADALSEALALADEEGPWVLVSTGVGGIYSRIFASRHTRDIDGIMLVEAMHEDF LGELGKPGRGFKLWLRGILSPLGLDRLAGAIFKGRTREDRICGSRSYQGGKFIKAKLQ ESLVAQSMTKSEISSARNIQSPSTPLVVVSSGREMRRSREWEEKQEDLTKITRKLIAW DIVKRAPHEVWETVEGQKTLEKRLKELVRGEDED UREG_06753 MGYHETDNVDDSRMAPLAGTAKDAEDMRRMNRRQELNRVYTTIT LAGYAVLLGLTWPFSLFTAALSLTNGGPAGAIWVYAGVCTGMFTVVLSMAEMTSLEPT AGGQYHWVAVFAPPKWRRIASYIVGWMCALGWQTIVPAPANVAASTIQAFAVVASDSY DPKPWHVVALTVAICTLAVVFNTFCARKTPGLEGVVFALYIIEFFALFVILLVMGDRS SAKEVFTAFQDNAGWGSIGTACFVGSSGPIITVIGADSAVHLAEEMKDASRHLPRSMM ITASVNYTVGFLMLLATMFVVGNVQEVLDTPTGAPWVQIVLNATESRKLTLALIAFII FFLVFCSINANTTSSRQLYAFARDGGLPFSQWISRVALSKHIPANAVWLTWLIGCCIA LIPLGSTEAFLNIQSIAISALLASYIVSIVCRLHNRNYGSVYGNLTKPPPFFLGKFGG NMINILALLFLICFLVAAVFPIEPHPTTQSMNWSSLTLGCIVILALISWVVRGDQYEG PNITVPIDDSERVEMESKS UREG_06754 MPYPPRPTAGEPRLRHFGSQPRLKPRNDDQTSSATVTAPMEYDK FPSRKLVRDVGESSPEESGMENKDLSQSLGFEKEIAKDVKYPPSIASSITSAETAVES TGTAFPFTPSKSLFINSHGIRLVRLPVPSSELEINIHRSDGSVAYTSKRDKRCSGDAT LSTPETGDMLKTIYFFGPNRDPVIRPISKTVELDDEPESSNEATGLEIKVTSRWKSRT QYFTTLYGDSFQWRYVRCDDPQLGCKKLLVLEKLDNNNPNSVGRRIAQLVRNEQTRPP GTTKTTAGNGGELVLDADAMGVEIDEALVVATCLLMLKKEVDRRRMVQMMVLGGMLSA G UREG_06755 MGRHNEFFVINKLGFDWYARVYNFCNFHRDVNSKGASSLIYNRL KPIQRNEGSLGSMIKFQTGFDAEIRPYESI UREG_06756 MGPTKNLLLRFESRNGQFRLTVEPSELFPTLLPKILSNLPQNVD PGSITLSNQPIGTGGEERKISSLNGVAIQRVGLKLRVESNRGGKPRHGDKLYVGYSEQ SSLANGDAKSTSGASQNISRRLNGAAVPQEDRPVARAPSSPTAVIKNPWEVVQQSALD DRLDKKDGKIPRGRDLKMCRHGSKGMCDYCMPLEPYAPEYLMDKKIKHLSFHSYLRKV NSSKNKPELKSSYMPPLTEPYYRVRKDCPSGHPAWPEGICTKCQPSAITLQPQEFRMV DHVEFASPDLIDSLLDFWRKSGAQRLGFLYGTYEEYSEVPLGIKAVVQAIYEPPQVDE VDGITLREWENEQDVDQVAKLCGLEKVGVIFTDLLDSGHGDGTVICRRHIDSYYLSSL EVIFAAQLQARYPKPSKWSETGRFGSNFVTCILSGDENGAISISAYQASNSAVEMVRA DIVEPSADPSVMLVQLESELENADTGTVRYIPEVFYRKVNEYGANVQENAKPAFPVEY LFVTLTHGFPTGSSPLFTDNTFPIENREVIGESQDIRRVANKLLSRTDPDSAIRAVSN FHLLCFMHGLGILTKDEETLLCTVARTHDPADGVQLINTSGWATLVTILQESGEQPPK RSQPFWQAESSTHYHHHSHHEPFNPNPPCPDDGQLAKRFKRASLE UREG_06757 MALGKALSCIGAVTVCCVLLKVSSIMLEYVRPSRLSKYLREDTV AYALITGATDGIGLGFARELCRQGFGVILHGRNQQKLQRTQDALKDEFPDAQIRTFIC DAALPTPPEVFDELVRDLVDVKLTILINNVGGSAGAAENPFKSYEECTSDQLDRVLNV NARFMTQLTRALLPQLRQNGPSMILNICSFSAPGIPFVTAYSATKGYIKGFSAALSME LKMQKKNVDVVTLIVGEVHTASYRMPPSFFVPTGREFARSALKNVGYGSGTITGYWPH AIQHAFVSAIPEWMRQRFVMQAVGAKMAAYTKHVKDS UREG_06758 MAPKIAIVFYSMYGHIQKLAEAEKRGIEAAGGTAQLYQIQETLS DEVLAKMHAPAKSQYPIATPEALLEYDALLFGIPTRYGNFPAQWKAFWDRTGAIWAKG GYWGKYVGTFVSTGTPGGGQESTVISAMSTFVHHGMIFVPLGYKTAFPLLSNLSEARG GSPWGAGTFAAGDGSRQPSAMEIELAEIQGAAFYETVAKVNFA UREG_06759 MSDQHTVEPEQSGGFPLSPEELCEAIKKTMTKDCKIDACIDKFF SQLLDLPGIELYCPWRDYCQRDVDQDQLAMIKDTLLADLDNLVPEYDEEELPKPVTTE DKFKFLSDKLASRWEQWYTLVTDDDEIYQKLRSAFVWEIKPEDLAEIAANSDSEEKMD SSLFDGLKDIIQENQSKAVFTCGGSIAIARSQNKRNPLRQSPPITFYWSSEDATLHRT LMPEGHSSNSFQQLVKDCSPATFGLGQKDVLDTSYRHAGKLDADRFCSTFHPADFGIL DSIEQTLLPNINSSQENTLEFRRVRAELYKLNIYSGPSGLFRAHVDTPRSPDQFGSLV VCLPSSHEGGTLIVRHQGKSVEFVWDNASSSHIQWAAFYSDCEHEIERVTKGYRMTLT YNLYVTEPVGGCLLPNPLVEPRSFPVYGEMKSLLQNPEFMNEG UREG_06760 MAEVHSVVNRIVSADNPRDPNFTRFAFSPFLRKTFGFGLASDVP VCKAYREGHCPLGPMCPDRHPTPSRISTATSPAIAPSSTHGSLVCKHYLKGLCKKGIK CEYLHEYNLRRMPECQSFSRSGYCPNGDDCLYQHVPEEAKLPSCEHYEKGFCPLGPLC AKKHVRRKICPFYLAGFCPDGRACAHGAHPRWPENLPKPTVRVEKSAEEIEREKARIR EEQEKEEEREREWRRENRGRGGRFMGGRFRGKR UREG_06761 MFCFLSVQAEGGQMDDVNFLYSRVNPDGTVRVGDQWADEQMPVD GTHGCIRAFAQLKDQHAGLRVLLSIGGGGMGSQHFATVAGNPIALGNFVRSAKDLVDK FGLDGLDIDWEHPSDLEQGENYVNLLRVLREALPWSCYTLTTALPAGEWALRHINLSD AQCYVDLINLMTYDFSGPWAPNSGHQSQLFSPAVPHHEAAEISCHSGVSYVLAQGVPP SKILLGIPAYGRAFPGTTDIGQPHCIRDGTNEDEIVFDYRDLPLPGCLEQQDDCLCAA YCVDRNMGFVSYDSTKTVGHKAKFVKDMCLGGLFYWHIAADAVGKRSLVATGYSALHD LYSKP UREG_06762 MSDNLDKSHHDAVETAAPPTKKRGCAAHCKRFWWAYVIGFIVVL LVVILPVIYVGYPNIAQRDVNRSTLEITEMEITDPSPDSFHIRLTQVIGSKSKYHPNL DAFNADVSLPNSDEPFLKLNVPAVKAVDGAVAKIDQDVDLPNGDAFAAYSLAVMKQEE VSMIVFGETGLKEGSLPKTTVTYNKTVTMKGLNGLKGFNVTEFEITPRDDDGNNMRGN VFIPNPSVMTLTMGNLTLSLSVDGKDIGKSFMNNVVIRPGDNNIEMKSKVDQAQVLGL IAGRNARYRNGIVPIDIVGDSAVYNGEDLPYYSKALASNKLHVELNVGAALGS UREG_06763 MGPPVSCSFSSPTQLSTALQFSRLRINNNKMICWVSVGSGSTGV WILFAGDPAVGETQKG UREG_06764 MASNDPSESQASPGSMSPGDRLTPPRVEHYDGEVPPALSPLDAF AAQGRLLAKQFDQSRKAGRRMSRIPPASVARSLSRPRPGYFRSASDERRRDNAKAPVN PATREHNNPSVEEPKVRPQSQHPRLSGVPTSYEGRDPRSGERNAAYSRSNSKEHFAEF PDEYSELGSSMDTTSPGREKKPLIGIGVTVSKKKSLNGSPSRSKSNTLAPPIYLPRSA SSPGFSHLESSDDDYYPSSNAGSTFSKPRKLSSSSGVSMPHSPMSNFTRPHPRSPSPA SERSTPYNLQRPSFNFSRPLSRSSTSVSLPSPLTPEMKPPIEPPPRNKARENRPAPIK ITEPSPLAPGSTDEPPTSATYTYAKYSLPRGRELSRDSIVFSGLQTPHFEWQEPLFES PPTSAIRENFERTPSPPPTRAQPPTSSPKSQKASPAKSVHHMGQSLPAPVRRSFDDKT QEVLTLRTPSIGAKQMPNSADQDDAKSTSARSTSTLRPHTSQKESKPNESDDAPTELT ADDYVAKGIACHENGSFKESTYYLRLAALQNHPTGMLMYALACRHGWGMRQNQQEGVE WLRKAVNSVADITQEVDAANLQLKGKALQEQKARQAQFALIIYELGVSHLNGWGVDQD KILARRCFEIAGQWGDVDALAEAGYCFAEGIGCKKDLKKAAKYYRMAEAKGMSGVGIS WIYKDKYMSDDEKPVPRNASVKSTAPSEKKPLRNKSRTRSIFGRKKSSAHDG UREG_06765 MARSFNTLMGADHSNDSLFFFETSWLFTPFVFAALRGLVAFYTF FTIFFIFGWRGTHGDNISNKQSFSYFTNLTYWGIAFYFLVASLHTFVYAARGRSVSQH AMPPLFSLFEIIFSTAPNSPVLHLPFLLLILLLYLALAYIVHATQGYYTYSFLDPGNN GEDSKSVAGYSFAIAAATIGIFFFVNLISWIRLRYTREKTKMAKPMPRNGLTEAEMGM MEIPK UREG_06766 MTELTKELQTLRDESETRAEQVRIAQESAFRNMDTGKWAPLEDT AIRAELKKIEAGIRHWAKTYAFEDCSIVQNLPCDRIAWIVNELREIGLSEQDFAAIVN GWKNKAPLLLIQAIVSKNLIYSNFHRPFHFLRGVSQTGTEYTNGVRSESPFAIDMQNL YDDLRLVDERQAHFWRSGTLRQLSASGPIADDVVAMRDDASNRLAWRIIDGPLSLMLR GVGKEESRKRYESLASLFSTAIKISARLWTQRTHMRVGHENRFKVDSSITVAHRLQKL DEGDTRLDGKNILAVIQPAVVAYGTSTGEDYENRRVWLKSIVLVEE UREG_06767 MPRKHWKPGPSGALGAVQNHCDVHKIIVGVDYGTTYTGVGFVTT AKNHIDDIVVITSWPGTGRHSETVFKVPSRLAYPSENYRIQDVKWGFQVESGMTSYSW TKLLLDNNASRAEYDDETLTIQEAAGMPILRLPAGKSATDVVADFLTTIYKHTMGILE KQISEETLSITPLEFWFTMPAIWSDEAQDAIREAAKRAGFASRPGDQLFMIAEPEAAA IAALKRSTSDGSLVCPTEERSVGSTTIDREFYKLMSERFGDAFKNLPLKRRGPGSDFM RAFEQIKEDLASSDYREEVYELPLDMSLAEPDPRYFDDDERLVKISKHDLCALFDPVV EKIKALVKQQILAANHHAGKSVIKVYWCLKGVSRNSQAAIVKGAVLRGLEGVRPKTRR CRRHYGIAFCLPFREGIDSEENAFVCDLDGIKYTRNRVKWMIHKVLNPSRFLKRFSST FATPTMRIILTNTHIGVVFVGRIVADISQVDPALVHSKINHAGIVVYWLSSTVVMRFG AKEGVLILKSVVDGSPDGAS UREG_06768 MTSDDEFFFDYVSFPETRAPASTSGDKPLTHSEVVLDSERYYID RHIDHAASNVRDALSRQFWLPTYLRPPSRSSQRIPYSSPPETPTNCIYDWALDHRAWT AALLAFIGTGGLLIYGARKFKIKKRKARKASNGARKEIVVISGSAHDAITRSIACDLE RRGFIVFITVTSSEEEHVVENEAREDIRSLWLDLANSLITNPQAPMPGVPPHTCQLTG LIVVPSLKYPTGPVATIPASSWADTINTRLLYPILTAQLFLPLLTIKHNTSSIVLVTP SIQSSLSSPFASPEVAVTRALSGFAASLRQELHLLENGHNAIDVIELKLGNLDFGRQF RNTSGQNKGTEVLTWQPHQRALYGSPYLSSIDYRLGRPAGTGSSHRTPAKELHFAVFD ALAPRQRNIFGQRKRKQEVVYVGRGSRAYAMAGSIMPNVLIGWMLGLRTGYSAFSSDA GFDDGNGYWSEPAWEKVS UREG_06769 MAKRFVFGLRNPHDEKQFIRLEQVVDTMLHELCHIVHGPHNQQF HALWNQLRDEHEQLLRKGYTGEGFLSEGHRLGGKRVPLDEARRRARAAAEKRRVLTAG SGQRLGGMPVRQGVDMRRVIADAADRRKKVTEGCASGTKEGEKLANEASQNAFRTKAE EEDANERAIMQAYIEMIQEEEREQYGNSYLPPSAANPAGPRSIQKPPIPESTKPKPKS IATPEPALDDCGDSWSCLVCTLVNPAMFLCCDVCGSERPQAFTPPPHSSPASNLSQKH SRTENSRLIPTNNSTQSRRSAAESLRAIDERMAKRPLGWLCHKCGTFMESEWWTCASC GTMKQSS UREG_06770 MVKSYLKFEASKTFGLVASATSNIVWIKDEGLLTSSHRTGAGRA IVGAGEEVACWDVKKGELLAKWRDSDCNAQVTCIAQSKADEDIFAVGYEDGSIRLWDS RLGTVMISFNGHKTAVTQLAFDKGGARLASGSKDTNIIIWDLIAEVGVVKLRGHTDQI TSLNFLSGAADEDDIAENDQDRFLLSTGKDSLIKFWDLSSQHCIETHIAQSNGECWSL GLSPDQSGCITAGNEGELRVWSIDPNAMKEIAKEKEGANTQKILVDRGNLYRHGKDRT IGVHFHPHSDYIAVHGSEKSLEILRIRGEKEIQKTLARKRKRRKEKDGAENDQTAPIG DSPDSLATVPITEIFVSHAIVRTGGKIRSVDWIRGKGLQLLAATTNNQLEVYNVVSAE KKKKDQEEIDYTRTLSVEIPGHRTDVRSLALSADDRMLSSASNGNLKVWNVRTQTCLR TLDCGYALCSTFLPGDKIVVVGNKNGELEVFDIASSTLIDTIQAHEGPVWALHVHPDG KSMVTGSADKTAKFWKFEVVQEEILGTKRTMPKFKLVHIRTLKVNDDILSLKFSPDAR LLAVSLLDNTVKVFFVDTLKLFLNLYGHKLPVLNMDISYDSKLIVTCSADKNIRLWGL DFGDCHKAFFAHQDSIMAVAFMPHNKEGDGHNFFSASKDRLVKYWDGDKFEQIQKLEG HHGEIWALIISHSGDFIVTASHDKSIRVWQQTDEQIFLEEEREKELEELYEQNLAESL DKEEEAIEGEDKPEAVAAGKQTMETLTAGERIVEGLELGLEDLEQIREYNERKTSNPK AAPPSRNPLYLAYGNISAEKYLLNTIQKIPAASLQDALLVLPFSKLPALFTFLNIWAG KEWDIPLTCRILFFILKTHHRQIVASKMMRPMLDGIRGNLRRVLSKQKDEMGYNLAAL QFIGGQVRDKSRSDYVDEELWEQEEQQQRGVKKRQFVTVA UREG_06771 MLLLDYQNVLLQSLLTERFSGAPPASIDQVASDFDGVTFHVSTP ESKTKLLISIAVKCFRELVQSGAQEVLEREYGPYIVSPEPGYDFSIVVDLENLPSEPE ARVELIDRISLLKRNAMAAPFERAFNEYSKLEGEAVKYTTESIPQRIKDGGDVMTIHY REEEAIFIKAYHDSVAVIFSTVFRDETDRIFGKVFLQELVDVRKRAITNAPQVLFRHD PPLELHGVPGLKNSQNGEIGYITFILYPRHLLPQKRAETISHIQTFRDYFHYHIKASK AYIHTRMRKRTADFLQVLNRARPENEERERKTASGRTFRVQG UREG_06772 MSSASSMGSNDSYILPSTTYDGPRSVRVFWPEKYGAIEHTTESG PQPLEEPAPAAQGNPVSTHGYLGAEKRNEVVSTYQEPSPSFEERKSRRRQTIVEACSK VYGIAKVVLEIQMAVGAVIDSTPTGIKFYIDRVENIMAHKSCSKLLGAVEDLYYATYA TLNIEIKNVELCEGFHFDIRSLAPNNKFNAKECLPEPSHLRLIFQACKDYLASDNIRH PLHREIIRRKQAEYVYQTVKMSRQAGHVPENEEAFRQYIQNMVTNPESPYCRQWTGLC PIYDAAPAMVLGTLSEKYLSVLAKEEKREQIKFKKQTAERHSTDSAHEEWRHITSNRE AAYAMLHGMPVGEYRRQEGKMALSAYVKENLCICFGYCECSRRCTLKGGRKCPCSSRL SVICDAHNVDEKECFIEKCADIAAAVFDRLSAVRRGASVFQMATELDMRLDRFHEAVV EYRQQSEKASSDDGSKRRSDF UREG_06773 MSILVPHSIVAGKFSPANAHLPRLFSLLQHAWNLTASSLSKCPF VFDTGYALCPKRPSRPFPPPFVSPPSSSFSDPLTTHHRSQDARPSVNGELVRGLTNGD DAVLVSQHFLGVNDGVGAWATKPHGHAALILHFWALEVERNVNSIDPDPVEFLQRAYE QTVLATSSPNEWLGTTTSATALLHYHNDGCSVKPLLYVTNIGDCQILVLRPKEGKVVF KTQGQWHWFDCPMQLGTNSVDKPRNDAALSVVELQEDDIVVALSDGVTDNLWEQDVLD VILRSLCKWETGKVEDSVGDRTAGRGGGMVYIAQQLLQTAKTIAQDPSAQTPYMEKAI GAGLAISGGKMDDISVVVGLCEKKAT UREG_06774 MRAKRSKKYRKLMHQYETTFGFREPYQVLVDSHFLQSVYAFKMD LLPALERTVQGKVKPFITKCSLAAVMAASTSSSHSSSSTPQSRPPPQRRPAQLPPPTV LPLRYCSHNEDDTPIDETACLLSLLSPSQDSKKNKEHYILASADPVPPREDGKRKSTK PPPRYNLRRDARLIPGVPIIYVKRSVMILEPMSGSSEGVRDGVERGKFKTGLVSTVAK RKREDDGDTETKPKKAKKVKGPNPLSVKKPKKREDPASQEKMEKESPEKAVAPVKGVD NDHSDNDHAAPTKTKRKRKHKHKPLQEGEIKPGVLIAAET UREG_06775 MTSMITATAWVRRGVAAQFPEKYELDEDEINRISELARVQLEDA KIDLKAAREEKEDDDMDMDEGNAKAETSSKAEGKGKGKETTKSTGKTDDDDELKEYDM EHYDSDPVDEDGEEVTMFGNVKSLAYHQPHEEDPYLVVPEGEDEDNDREELQILPSDN LIVAGKVEDEVAHLEVYVYEDAEDNLYVHHDIMLPAIPLCVEWLDMPVGRGSENRSHG NYVAVGTMEPDIEIWDLDVVDCMYPNAILGQGGESNDASSKKKKKKSKKANDHYHVDS VLALAANKQHRNLLASGSADQTVKLWDLNTLKCAKSYSNHKDKICSLDWHPKESTILL SGSYDKTIVAADMRTPEAKAARFNVDSDVENVRWDPHDPNFFYVTTDSGMVYCHDIRN ASAHPESVKPLWTLQAHDSSVSAFDVNPCIPGFIATGSTDKQVKLWNIQDNKPSMVVS RKLEVGKVFSTTFAPDNEVSFRLAVAGSKGVVQVWDTSTNAAVRRAFADRPGASAHID ETKEERLVGIAKDVSDDSDDDDEEMNAGDGPAGGDGWESMEED UREG_06776 MSNSPSIMRAFTKRQKRPKVSAPMPFREGQVRFAPGTIDRSQIS PAVELLSSTNILAYTAPDLPINKRPNALSSSSSSSRSADDLDIPNYTPITSPATSSRD ESPISPEPGIPSYFDSMKRPKPSARSSASSSQSKDGTPPIPQRALLHTKSQPNLGREA PPKITLPPISLHSSGVSRVNQEPYNTFSESSHPFMRELEQVNEVAEELTRGIRDEDEE LLLHKGLKKFAVEDYIFEIQDLYLTYFGDDLSRQQPASAAWI UREG_06777 MPTFASSCPSGTPVSSAPSMSMPAKSILKVPAAMGQKRKKIEID LSSDISNGSDSIETLLPSKKRARVTFEMDIPDKKAYGAGGVQAIHENNGAAVREKSTA LVREEVSRAIQRHLVGDSDAYDRLKEIFSVDPKALELDGSPVYDLPTHTSLKNHLLGL LSNVSALDSTCSGLVHAVLRSEWLGRDESYVKLFIRFLGTLAAARGGYLTAVLKMLVN NLCEVPSGAGRLPGYSTVRNFEIYDRVHLAIQYIIQLIPTGSVTLSPILSSGFPHDTD TAKSHIVFTRNLIKMIDYAPELRSDILALITEKLVKIDVQIQVDLDDLEDDDEEKLHR EITAEAFAVEDHASDDEESVISDDDSTSPEMQRFKALKDNVRKVDYMLDLLFEYYSGP FSHGSSDDKENSLDLLLAHFQNIILPAYRSRHSQFLLFHYSQSSPILIDRFATVCIQF IYSKSHPSVLRQYAAAYLASFVARGAHVTSEVVRDVFDLLCAHLESLISEYGPNCRGP DLRRYATFYATVQAVLYMFCFRWRDLTTAAQEGDINLQSELDLDQVRFPPDISNVLHR AIHSKLNPLKICSPTIVREFARIAHHVGFMYVFPLLETNKRIRIFTFRSSISMDSRFG QIERETRADNSLGSQMDAYFPFDPYNLPRSRRWLVGDYVEWRGVPGLRDDDKEDDDTD GGYDEEDELGHATGTDEDDH UREG_06778 MAIHCLDLQLRISHERSYRMLRVKSIKLHFYVPEDYVQAYATGQ KYPVVVNFHGGGFCIGRATDDARWARIVTDITNAVFVSVEYRLAPEHPFPAAVDDGVD ALLYLQEHAAELHLDISRVTITGFSAGGNLAFSVPLRLRSLFSELDPPSAPNGLPPPS INNDSRPDFLRHDSSHKLLTTSTATTTTTKLDLKIVSIVSWYPVLDFVLPRDVRRNRS AFPDKSLPECLTNLFDDSYLPNHADRSSPFASPIHAPGSLLAEALPTDLFIYMCEWDM LLHEGQEFVQHLEKQGKNVRSMMIEQSKHAWDKSVNPFRDQGRIDVFYLAAAEHMRRI FTESLDS UREG_06779 MPVPLPQVQPPMTVKWIRIMLPDTIDLFMFGDSPESFILEQTST AAVLPLPLISIYVHTPHVKDADASTSQSRCNTIRHEAWRCSRWVELMPKAELTYTEKR AEYGDNKEDERTEVMVNVGDVDDDAARWWAAVLSPGEGWQAYLTWEGTKFLSPWSTAL ETRVKFTLSCRKPLWHTSTTAPSFATALRFLAEYCNLHNIAGQTLAALSSTLFLPLLN TKKNPTSLPKPDTHKRQRLKPPVSEPTEQRPLDFILEQIVPELDKLLTLSCNARGLRS LLSSVFYEPGIPCNVVSPWLQSTFAVLDSVEDDHLLAQILMNRVPQVSFLLAWRYNSR HPTINTPIRYEALNLEEESASENATRIIFGWLRIDGYPPRERGISNHEWMNVDESDNE SPPPNESPRSHAATTSKAVEDWIQQSILVPDSVDSSQI UREG_06780 MAKDRGLSVPYVKFIEWAYKGEYSDCINLHKEKYDATAITATTG DDTDDNHQLLCHLRLYVFAHVYGIPGLSSLCSDKLIRELKSIQRPDNMDAQLAVIQML DLAFTKLPVNDGLTQWFGMYTAWCLEELRVQPQFHDIVSNLASPMVRHVQQSSKEPWD SQGFPRGLPRYEPAATSPYDDRCYGDEDKASDT UREG_06781 MDSEAPGRARRLLQQLSESDRPIAVHFHKSRVRGNFTDLTLICE GKRLAVHRLVESFSGEFVMKEDSLLLVEKLIDYLYTTDYEDVVKEIDDHLNESPSILQ VNARMFALGDKYDVGGLCDLSAAKYARRLNQPVDGVEYLESVPDVYNLTATVVKPLKH LGPANCVRGFLPRPPNS UREG_06782 MGLSDSIIKDHRELEDYYNQIVNATDDDTKIRYQNQFTWELARH SIGEELLVYLAFEEHLGQEGKRMADRDRQEHQVVKEQLAEFQGMKPTDANFIPTIQSL MGNLSKHMKEEENEDLPSLEKALSPEDSDKPEKSFRRTKMFLHFPARNPHGTG UREG_06783 MGKIRETDNSIVGTLNLRHDPQKARNVNPTPSLQSLATSSAIEM TNLVRVRNQHGRDVTRGNPQDTMLMTSLLLTTPRDTEDTEITVVAKSRELSGRATEGI TTSYDL UREG_06784 MSIKHALSKIKNVSLSDTELVPPRKSISQFVHGREFVYSSDDTS DDSENMSRKELRREARRKAKSQSRSRLSEDSSVDLVKKKERDEQAAKEETEEMRARYG DLPLMQSRSRTRQNLTKFESIRSDMDGQEITFRARLHVVRRMGQKLVFLVFRQQVITL QGVLTEAKGEISTLMVQWAEHIRVGSILRVKGILRKPEVPVIGTTIHDIELHIRELHV IVRREEPVPFSVYEAELPAADEEKIEGRRTRVPDRTRLTNRILDLRTDTSQSIFRIQS AISSYFRSTLDANGFIEIHTPKLQGSATESGASVFNVNYFSRPAFLAQSPQLAKQMAI ASDFERVYEIGAVFRAENSNTHRHLTEYTGLDMEMVIEEHYHESLDVLDQVIKAIFTA IYDRHRREVQIIKHQFPSEDLLWLDQTPIITFSEGIKMLNESGWRTEDGKEFSDQEDI GTRDEIRLGELIKEKYHTDYYIMDKFPAAARPFYAMPDPDDSRFTNSYDIFVRGQEIV SGGQRIHDPVMLEERMKKMGIDPASMEEYMEGFRWGAPPHAGAGIGLERMLMLILKLG NIRLASLFHRDPKSFPAQDQPVLLRHPDASTLDPPWEDDSKSEKVKETSDRKLQDLAD LIANYGDATSTSWFDDRFQVWRDAATGAAVSYVPAHGYAIIAGNPLCDSSQYPRIVTQ FLRWLKKETKLKPIWILCSVEVENILGERLGWRSLSCVAEERVDPARNQAASDGEIAR KIRQAENNGVKVTTLRFGQTVPENVQRKIDARIQDWLSNRKGTQIHLSQITPWRDQRH RQYFYATDRDGKICSFVALTQLSPRNGMQVKYSLDFPGSPSGSIEYIVTHAIQTAAKS GVKSLTFGGGATAHLTPGHNLSSAKAKVLSTTYDAIVKQFKLNRKTEFRAKLGAHEEP VYIAYPKRGLGTRGIRAILSFFED UREG_06785 MLRENKKYKAIASQYGPNGDSRRKHVAAQETHSTWITWFATGEG RSEQVPRPKSMIAAELNNESATLAMGQHAFPETLLDDRRMKMSKLNEENEMRCVETPP LPLREWRLPRRFCAITRRSNAVLARSIKRAHV UREG_06786 MPLNSFLPAILAVAGLIEAAPPPKFPGISWRTTFVPIPLNEDGS PVPGWKRAVPLNDTSKYVVKSLPNAPAIPPSWAGRIGVPGVAEGNEQFFWLFETENKK YDDRLIIWLNGGPGCSSMIGAFAENGPLTFVGDTSQLERNPYSWTKLGHVLYIDQPVG TGFSTATYPTPAIDILKITELFYSWLKQFYTVFPHLRHKRTHLIGESYGGIYVPYFAE KILKHNKEFPVNLTSIAIGNGAIGNNIAMSDVTAGAYIKEKAKQLGVAPDIVDAFAKA DHICGLDSVREKAAHYPPKGHFGIPTSLNNATGFTGDTSCSVKPNNPKAILSSILDSK CYGRCATYATARDHIETIREKRCYSMYNINYDCKTPNPLSSLTKYLNRADVQSALNIR PSNPGITTPHRFETCNQTILDSLFSPSIQPVPPTQSILPSILTTHRIPVHIFQGELDM VINHVAVELVLQNMTWNGKQGFKARPTLPFGTNVNLDRKGDIKGGWNGSEAGVWTWER GLTYHRFKEAGHAVPRDQSKEMWHYLKNIILTGFYWDGTPDSRGQGTDSG UREG_06787 MSRTDDTARASRPARSDNFEEVKDGGGSVSNNGPPARDDTAPAR INRPHPSKLLTWEETLHLAKCAVENGLQETKRSLGDSEAVGDVVRPKLTIDLGHKNIG YVPDEVVDIIKDEVARLSLSNNYIDRIPCRFQECIHLRYLNIRANNFTEFPKGVFNLS FLEILDLSRNKITKIPEEIRNLTSLRVLSVMQNLLEDLPSELSDMNKLQVLKVSGNSL KYPLKRILDMKEADITVTEMTDNEKGSALTAELKRYLKSRQLTLLDVDNLTDISAMET PKPPKRGNRFPVIPRTNGTDASQDSKSPSYSRPPPIPIRSHHRIASGQSGFGHNGVSR FRFPSASERNRSNSEGVIQGPSSLHNKRLGMVSRMNDLGTLDEIRPYRNSHLRGLSHG SLLRNQPSANSNGSNSSSPGSPKERRRLRDGFVRRMSSLPEYKIEKKLKIPIIEAART LLYSLYLVHPHISSLINVIRAEEFRRTSLEIVFYNASTHIEQLNEALEIAEGANLRDP DTVKRLSDAVRHECDTCINAYIHVATQFRHNISKIISLVDPKYVRSLLLMIYGSVAEL RNVHVNLGVDQKRKKQPSAVKQDILETARKVPPINTSEYAITPPTRERPPPMRRLRSE TTIHQQNPIIQSNQPTSHVTNGFPGPPNQPWLSSLSIGTRSRSSSRSNTLLTSSGPSS LANTPRSGESFGTLSASTVSRINPMTGLDEYEEERIFEKIFVQLTAAYRAVLQAVPVA SHQFSQCLELAEATRAPQPIHSLLSKLISRCRTCIDVAEALQIRLSTMKLKEPGGGMR NQREFWQLCKTFLQSFVELVIDMREAKNLRLLPPDIVAILRPVQKASREAGRLIDTSP WSYLAELNTSNPSTIFPPSIQPHHVQSYSNSTTGSGTTGSLSLTTGSSPQSIAMPATP LSAALGPAAQATVPSAPVSACSDRFFAGNLYERADSLLSMSGPAPLFIRR UREG_06788 MGRINLNALRVRQNVLAQKACGKISQTPCWVDVLSDIPPATALV RNLPQQHPVIQQRVKTLPGKSTPQTVIETRETPRHKKKKASRMFLPVEIRYEEDQLRK EFFRDHPWELARPRVVLESTGNDHARYDWSKMQQPGKRLDGESVVQRQLYLLNTVPDI TKGQAYDIARREFYQLRLQEDVERRVAQEEARATGAYFGKDVTQVSMELENKEYDRWR VWAQKEAEQLSQRAAAYSGASLELKDDSDAGLLEGAEPELDAPAAEMAQPVTAGLRR UREG_06789 MAGYCKKHSPCARRLFSNSSDSFPTDSPPPQLPIDSTNVQQTSQ PPSPPTISEPPGILGLRRSEALIYHALRSELPPEVPINPRAYLAARRNQHPNYRDLFT FFHNVFGYVEGTKGLMVILSNPNADMQIFGGGGLRDSIEDNLEAPGVRRLISLLQDDS IPTKVVFRAYRCLPSPRVSYLSFWNRKKLLHRFANARRRHPNDSLRYLSVIEDMCSAG LPLKMSLWTAAVTLAAKSSDKVSRKSFQSALGLWRRMEYEGKAESNSVAFNILFDLAI KAGQFNVAEKIVLEMHNRGVDFSRFGKVARIFYWGLRGDANGVRQAYHDFVNAGQIVD TTVLNCVMSALIRTGHIGLAEKMYDNMKNAHLKLIESPDDSHAALPPLPSDNYLAYRQ ANKYRSRIYSMASYLHDKLPKHHRAIQAAVPLIPDSKTFHIFLSYHAFVSGDLEKFLT FLQDLENTFKLPPQGMVYLFLFEGFARHGATKDSHWNYRRLTCAWEAFLRDLKVSEEE DFPRVRERISKLEWKAPLSPDQLESLNTLDESDQEDSTHLDYDLDEDDPLGVKEVLTL RAEDFDIIGDEDPEEGEDHFNARGVFLGRKLVIAILQAHFACGGPKAIVPPSAQYYGD GMKHQRVDVDFTLRRVFGKKAFRPLQREVIQAVIEGHDVFLQAATSFGKSICYQLPAV ISHGITIVVSPLLSLMVDQVSTLEANGIPVATINSTTPQSKRKAITADILSGHPIIRL LYVTPEYCQTEAFRKHVKQVHSQGELNRIAIDEAHCVSEWGHDFRPAYKALSWFRREL QNPTVPITALTATATKRVRDDIISLLGLDPTTLRKFCTSSARPNIHYEVRYIPEHSYD SEEPVIDRTHDLLSWLKAIHDRRIARFAAQTQGPNDQVKPQPPPMSGIIYVPVRAMSS DLAERLSASISPKIKAVAYHAGLPASERASIQTVWTAPFKTRSKDAGKSEHPAFYIVV ATNAFGMGIDNPQVRFVVHWTPPRSFEGFVQESGRAGRDGHAAVSLVYYSPEERERVI ERILRDCNAGMLDATMISAGLPMVDDTAADHKRAYDNLSEEMKNKFRNRHTVLESFEK VVRYCEDTARCKHEIIREFSGDLELETSEPSGQRFPGEVSGTTGPGCDYACDFCKEGP KALDRKKQSIAYGLHRYPAQIGNESGIHARTYEDGNRLSWLRVLANMYGI UREG_06790 MADSERRTKRSRFDQTESESRRSRFDRRSRSPSTRQSESARERS PISRQPQSPANDDKRKPSTPSDPAAAAAMAAAKIKAQLEARGVQQSSTPPVRSTASPS VKSPSSATQQFPNLNGEIYIADGDYIKDIEVNDLRNRYTLTKGATQRMIKDETGADVT TRGSYLPDKSMATPSNPPLYLHVTSTSKEGLEKAIAKIEELMKQELPDLVDQRRFQRK EREPVERDEFGRRKWPEERIPIGLESLPGFNLRAQVIKGRGSGFKEHGTNQESDEPMY LHVLGPDPVEVQKAKELCEDLLANVKEQFERFKEQPPPQRGYGGGYGQRDRQHYGVGY GAGGGGYNNQSPQTPSAMSPPAANAPGTAQAPGAASTADYSAQYSQYYGREQILYQQQ VAAQQQAQGTSASPPPPPPASEAPPPPPPPSSNTPPPPPPSGGYNAVCST UREG_06791 MASRLDFALRATRTSIARFSHGAQGNSVASITCRLAKPYQPRCQ TRLSSSSTSALAYKALHRRSPLPLPVAENSPTWSAPAAVSSILYETPVPSTKPPKRHV LNCLVQNEPGVLSRISGILAARGFNIDSLVVCNTEVEDLSRMTIVLRGLDGVVEQARR QLDDLVPVWAVLDYTEAALVQRELLLAKVSILGPEVFEELLQHHSEMTSPEGSISMSS ENASNQEASADKGAQKREMDRVNGNLSRAADYHPNRLAASEALRHKHEHLEAITHLTH QFGGKVLDISTNNCIVELSAKPSRINS UREG_06792 MVLLQIEAPWLRCGEALGRRLAKARQFNDLLCGHPERHREFSLL HWRTSISSCSRIKALGMFKESCVDSALK UREG_06793 MDGDESAPLLGQSASPSKSHRESHTKRSGQQDHDRQASETTPLL AREPQRTSQEDVRSIASSHDAFRPATSEQEADGKGNRVRWPVIISLVTLCLAAIAILV FGFAAPSALDEYATQAAVIEPIAVSIAAFTSSGVRARVQASFTLDASRVEKPIVRNLG RLATWVGREVESGESDIQVYLPEYGNILLGTATVPPIKVVIRNGHQNSVDFFADLKAG DVDGIRGVANDWLEGRLEHLRIKGQASVPLRSGLLSLGSHDVSETLVLQGQSLYMPFL FPFAFFLDLILTRTLPNCAPGDNYILIGNATSDIIEIEPKQPVVASVTGFVYEVPDAL TTICPGKTSSPLDLLIQSYIKGLETVVYVRGGSSPTLPDWLESFFHSITVPVSIPSKG LSNLIKRFSMSNVHFSLPDPFEDPNSPEAQPRVSALVKTVVGLPEEMNVPLNISRVRT IADIYYSDAKLGYIDLQKWQNATVHRIEGGQHSPALLVEFAIKKAPLQIVDEDVFADV VKKVIMDRETIYLDVKANVSAELDTGLGKFVLRDIPASGNITVKAPLGGGGFSDFHPK IESIRISQTTKSSISLETLVNFTNPTSYSANVPYVDMEVIYNGSRVAHVLGRRLSVSP GLNSLVEIVGLWNPFHGGGSEGVIAGRELISSYISGLNTSVRLSPHSGSIPSFPALGK ALSMLQIEVPLPKLHLPDDGDENGTPDDRPHFIKEATVWSTLITFMRGHI UREG_06794 MAKFNLSTPSSLLFQLSRSRHTPRLSPIPSQARNYQHTRSPYQV NPPSMQAATRSTANACRNMAKNQLRPYTNGSHAPSTPRHLLSIADLTPTEFATLVRNA YAHKQAIKSDAMPERLLGSLSGKTVAMLFSKRSTRTRVSTEGAVVKMGGHPMFLGKDD IQLGVNESLYDTSVVISSMVSCIVARVGPHSDIANLAKDSSVPVINALCDTFHPLQAI ADFLTIHETFASPSIANRTHSSSLGLEGLKIAWVGDANNVLYDLAIAATKMGVNISVA TPKGYEMPSQILELVQKAREGVQSPGNLTQTTVPEEAVKNADVIVTDTWISMGQESEK VKRLEAFKGFQVTSDLAKRGGAKEHWKFMHCLPRHPEEVSDEVFYGERSLVFPEAENR LWAAISALEGFVVNKGKIA UREG_06795 MESLSHSRSSSSGFRSRRSYTNLQHISLAPLTSRFPLGDDETDG PVSGKEDDYGYNPHFQHTSYYSAHSVPTTPPVLSDSRNVSLTNLTKKKTSSKTIHMSD TGLEFLGTQRPAHHHRTRSHNPRERLPRARSQHEDNEWLLRAGLALATSAREEKGQSW LVQRESSTSLVSEIKQEHERRPHLPRSQTARRYRSGAATPTALSRRGSKSQIPSPRSS RASFMTAADGVFSSRSGEHTPFRDQTEVIPDFVDKTVRDEMQLIMSQRRNDNNSSRIG NGNEDTVYDHNSIRSSIFNRSFPPSESDYPSESDEESEFGEVEMQRLTRQQGFGLGPW IDRLVEWTLFNVEEESSPTAHAHWTDEHDAMVGSSTAKKEITISHCESESDPEAEAEP EADCQSTGTTDENLSIGSSEELKDREVWADLRWLLRAARDAL UREG_06796 MSMAEGQQEKESHLIRRFFSSTSTPFRAAGRPFRRRFSRSRNVD NPTIHPTPEMHPGLHAHFESVAIAGMSEASQRHDDSNFDIFDWHPRYQSCQRYFLDHA QHSVPVQALSSFLNILLPFQRQPNPVFNSLADAAQCRPHPSGTSDPAVSVPPSVSLVP YLRRLVATGMDYPGVLHGFFGDDWATGIGPLHEQERRNYLFAAKSGGWAAVKRDYDIP PLETVPFLRPLQGPVDSEIESAERGWSEWLAMEDWMVGPRAPDALNESSPSSRSRSA UREG_06797 MWDPLIPLYTDVLQKLGYVGVPEVLKLLLSYSTIYDESHPISKA GSDGRAVKRKRTISTLMTDNKIIQNAMAAITMGRGPKATRGAIDTFNAVADWISALLA WSPSGEGPDSEHFGGILGHKDAACIFGSLGLLLVALAATEKGVNALSSLSKNDRKRLG QALSSYTTVCAGYSIPLKSRLDSIQKDFNLYSGDENKGLEDSMMGDVNVAVLQFESNV VDSPAISSRAGLYIYINALLVGRPLVDDNMLLNYLNNRYGGHHMVMVEELITATFDVL SNGMYRNESSKTMFLFRAFLVNKLPPFLTYMSASSMASIPMELCITRSLSRVDPNTFP SFSETFSMQGNSVLSDVRQEFLFSCALHKLIPESSIERLLGENPMQTLPVGGQFTKDK LIMQMNNNFERAEQLLNGIESMDGNAGAIVDAITELMHNLCSRKETMTLKNICNSLSR RPQALDIMLLFKSPASILQPLCSLLDAWKWDEEQGENQLVYDEFGSILLIVIAFKYKY DLTPLDLGIDSPDSFVLRLLETGAASQRLDDLTEKQKQNLGAWIAALFVAEGISDESM SSCSPQEFYLLVATLFSQSLLACEAGKIEFETLKGGFEYHIWESETDLTTSLKILHGL VRPASISGEAQEIHRTVLCITSRTLESTLKDIRTRYPSRTDIKPILDVLEPYQSFRRT GATNNTELDTWRANPAGGGLVTSIRNTFSSLVLWSTDPEISMTPPSYTHRQLLAGVGH VGSEKVLQGLIDELKLQTETGSGDLAFDIAATLICAPIAESFSVDQALYRQAGDAKYS IPRCRFLTLRDALSLQRDSLSKLIETDPHRAELVVRLQRRLETLCSIPQMPPGEVDVD NIIENIHLGVGGASEPQQQSQQLQTVADQSNQGAVTTIGDTPGNLEAMLDAAASAAVA DSNGGAGAASGLLGNGVGGAGVEVGTGMDDVFNMMDMDNPEFIDLDMEGMF UREG_06798 MAEIKVSDEVLASVKDKVIVLTGGANGIGAATVTSLFRAGAHVF YCDWDAKNGNALGELLRSTAQPGNGSLSFMRVNVTDYEAQLDLFDAAFKKHGRIDMAI YCAGITDVRGWLDAEDLNLESVRKVPKPLANVIDVNLTGCLYFCRIALAYLREDSTTH NSPKSLTFISSVAGFKETPGVIAYSASKHGVIGIMRSLRMGSIPDFNVRVNAVCPWAT DTQIFKGIADVWRNDDLPFNTPEDVARIIQQVAADPQVHGKAVFVGGGRGFDIEEGID RLEPEWLGEDQARELNRGQRTLGRGTGWGTVDLTKA UREG_06799 MATAEAPSRPHDRHGRRHPFANWMKRLANLKSSSSSGLHSNSSN HKHQSSTTTAKGKKSARNNPYPLSGNVYSPGVERTNGHLSFSEPANSLHRSDFSHSDS NIGENEQISPMTGRKSAAPTLSTNGDTILSDAAYSKAGTSATAGGGRSCRGGGEGSTF SSPAPSVRSLTTTLTTVQSGAPNHYITGGVHSTTQGGSQQTVQFSHQFPTTPVSAIPP HITQQPTTYVTATANNLLTDNASVLTLASSSKRRRRNSLDTNASIRALAPSSIFSGSR ESLPLSVLSGNMGSVNTAAGGAEFPNTASSTLTRGGLVGGERASVYSASGAGVADRGS IRSGLQSHHVRNDSATGSIGGITANSYLPNAQGRVSRRGSGWGEIPDSEPNEVDEDST TTGNGEMVDSKGQEKSNN UREG_06800 MAPHTGSIQPLPSKVAAQIESSTSITSLNGAILGLVKNSLDADA QTITITVDFQKGGCTVEDDGTGIPAFEFGEKGNLGKLHRKQYQTNSSSQDVSINALHA DTSKFDCPQEVYGHKGIFLSSLISLALVTITSRIGSYSSTNSVTYHHSTAISRLCPAP AQHEFTSEHGTKVTVTDLFGNLPVRVKHRALTLQKDEGIDREWDELRRLLTGLLIASQ RMVKLVLEEASKPRKLIVRGRKEKPGQPIQQPGSSRDEGIPDTSRIRSILSQVGYISP AESKSWVTASAQAPGISVRSAISLTASPTKQVQFISFGINPLNQQSNANIIYNEINRL FAASNFGLKETNTPGIAEELQNLNLKDIQQAKGPSAEITYETKTKGINRWPMFYVRID LEGEDSIINEKSSSLSSEKSLEGILNVVVAMIHQFLEQYHFSRTRYQRREKRPREISK STDKEANPGKRAKSCTAVISHSSEAESSLFVKEEFLNPKIRLPSCFGNRSTAPSCPRG DFTHWTRIKAGSSTDIEEQICSGLPRQKAISTTPLDQTPAESVMDEGSRPTSSLSAPM FMQPRVPSESPSCHAANAPLEEQTPCPTDMGVDTIQQSSKDNVIQWTNPVTMEILYIN ERTGLTLSSKGDKPIPFRSLNPTLRSPAATSPDESRVSAPTPWLDSVLETWKNTVFGL SERPIPSVKPVILSHLDHNDTRFFCKFPRSLNFENMMSSSFSSRLTKSGLARAQMIAQ IDNKFLLLKMVEYPNDNTNPQEILVLVDQHAADERVHTERLFGDLCGSSPLCPVDTTS LPTPIRFRVSTEEARLFKSQYDYFASWGCCYSVSKADSSQHATVEVTALPALISERCR VEPKLAIDMLRSEIWGHKDGELICRPKHLSSATLEKPENPGDDSPHWPQAISHCPRGI VDMLNSRACRSAIMFNDVLSKTTPLKGLEGTREKKLHLRKRSKSGGRV UREG_06801 MSHLPIEPEFEQAYKELAFSLDNSTLFEKHPEYRKALLVASIPE RTIQFRVTWENDKGELEVNRGYRVQFNSALGPYKGGLRFHPTVNMSILKFLWLSNKSS RTHSLAYAALKVIEFGGTVISLSDSKGSLIVRNEGDSITPKEIYEIQELKDQRKQLSV LADAPNFKDRCVYIEGARPWLHAGKVDVALPCATQNEVSGPEAIGLIDNGCKYIAEGS NMGCTLSAIEHFEAHRQLHKKGGAAWYAPGKAANAGGVAVSGLEMAQNSQRLTWTAEE VDQKLKDIMKTCFETGVKTSENYTSLSEDQLPSLVIGSNIAGFIKVAEAMKDHGDWF UREG_06802 MAPQFLKALTAAAGLYASLAVAAPLQKRAIVWETVTDIVIETVE VTLTVTGVPGGPKTIPPPAITPTSTVVTTTSAAAPPPPPPPPPPPPPAPTTTLIEKPS LPPPPPPPAPTTTSQAPPPPPPPPPAPTTTSAAAPPPPPPPPPPPPPSPPKKKPEMNP PPSAPPSGSKGFSGDMTFYDGGVGACGSNVDTHGEDAVAISVDLMGDGNNNSPFCGKS ITIEYGGVKAKAVVKDKCMGCVGGSIDMTRHLFYQFAPEAAGRLGGVKWSFDDPSGLV L UREG_06803 MFVYKRDGRKERVQFDKITARVSRLCYGLDPEHVDAAAITQKVI SGVYQGVTTIELDNLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQFSSVIDDLY HYVNPKNNRPAPMISQKTYEIVMKHSEELNSAIVYDRDFNYQYFGFKTLERSYLLRIN GKVAERPQHMIMRVAVGIHGDDIEKAIETYNLMSQKYFTHASPTLFNAGTPQPQMASC FLIDMKEDSIEGIYDTLKTCALISKTAGGIGLNVHRIRATGSYIAGTNGSSNGIIPML RVFNNTARYVDQGGNKRPGAFAIYIEPWHSDVFEFLDLRKNHGKEEVRARDLFLALWT PDLFMKRVEKNGDWTLFCPNEAPGLADVYGDEFEALYEQYEKEGRGRKTIKAQKLWYA ILEAQTETGNPFMLYKDACNRKSNQKNLGTIRSSNLCTEIIEYTAPDEVAVCNLASLA LPTYVDPVRGEYDFGKLHEVTQVLIRNLNKIIDGNHYPVPEARKSNFRHRPIAVGVQG LADAFLALRLPFDSPEARQLNTQIFETIYHASLTASCDLAKIDGPYETYEGSPVSQGI LQYDMWNVTPSDLWDWDSLKKEIAKHGVRNSLLVAPMPTASTSQILGFNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIAEGGSIQNIPNIPADIKALYK TVWEISQRTIVQMAADRGAFIDQSQSLNIHLKEPTMGKITSMHFAGWKLGLKTGMYYL RTMAASAPIQFTVDQEQLKVADTNVARTNGIAKKRGGVSSSYSSAYSAVPRPMYDQKQ PSGPVAEAPRPASPKGVPAFERSQVTAPPDEELGKKKTAALAEEKNQEAEGAPATGEQ GASEQDIYSQKVLQCSIENKEACMMCSG UREG_06804 MEVLWGSASKKVQNEILKVCDDSDVKKALNAYSKSCSESGNKVA LIEIPSTLTTMSATGSPTGSSIPVETTASGTASGGAPTETGNAGSSLKSKSFAVAAIA AMVGAAAM UREG_06805 MDDQIQRLVDKVWTKLLSTPPSSRYMVAISGIPGSGKTSLAKVM TQKTNAHYAKEHPDKPPVATWIAMDGYHLTRAQLAAMPDPVHAMARRGAAFTFDPVKF TQLVRLLRADIGAESATIYAPSFDHAVKDPVENDVPIPATARVVFFEGNYLSLNKEPW TEAARLMDELWFVEVDFEVARKRLVKRHVEAGIAKDEAEADKKAVESDLVNGREIVEN RLDVAEVIVSREDAGWL UREG_06806 MNCPSRTEHDPIHPDWNQNPPFLSPDLTAREDLNGRVNTTQIRE ASSHFSALGLAVGGNEGLEKGLDVPPALKATRPLADAKFRRGYYEVILSTFEKRPKPT KRPMVKRAVACETGSAKPNYSVSLHVGAIAIILFVSFTACAFPMLVVRFPRLRIPPAF LFFVRHFGTGVLVATAFVHLLPTAFLSLGNPCLSQFWTEDYPAMPGAIALGAVFLVAS VEMIFSPARHICGGSREVTDLICNQGSRPAGQDKLELDASVVEALGSANRSNSVSNLT AHDHIQTEPRPDQSRNGARDLPKRSPTGDRPEIVTSDGAIRRNIDEIRASEDFAPIHL TPEQRVQKAFMQCVLLEVGILFHSVFIGMALSVSVGNEFVILLVAITFHQSFEGLALG ARIASLSWTSDALQPWLMALAYGCTTPLGQAIGLATHSLYDPDSEIGLIMVGTMNAIS SGLLVYASLVELLSEDFLSDESWRTLRGKQRVYACLLVFFGAFGMSLVGAWA UREG_06807 MSFPSILLPGDTVPNPLQSSNSNPPKLGSNLHILSEATSPKPIT STQTGLLLPDHKRNSLAILPFPHRRYIPQANDLVIAQVQRSSADYFYCTLSPHTPHVS LAQLAFEGATRKTRPQLKNGELVYARVLSVGVGPGAEIELTCVNPATGKAEPGGLGPL TGGMVFDVSVGLTGRLMSSGGSGGVVVLEELGKKLESQGGFEIAVGKNGRVWVDSSAG GAEGIKVVAAVGRCLKEVDERCMEGAEQKKVVSRVLREMGLSILGMPW UREG_06808 MSGVDAARSSTPSVPPPSYSESTSRPTSRESLRPISSQISNFTS DGPRSRPPSIAPPSYSNLTPSAALHEPAHSISSQISNLTIDRTFINPVTGSSPSEVYP SYQLSHELDAGYGTIEVSRITPFTESSAERRNKHIYSFTQYVFSTTVEIVGKRRSTLR GTIYLRLTHSLLKQSWEISHHNPTTDKTTVLFRTRPVRNLHKEEKLQWEDGRRELVAV ESQSSPESPGCKPGLHVVKELGDQMVDVLVTGWCAKIWVGWQMVIAETREKELDSFRR KLAYGGNIFSVRDLNPGSNLWSQ UREG_06809 MAKVNLHDTKKSEKAKFTELQESVQALKKAEVFIKATGRAIKKA MDIGKWFDEKDEYSINVKTGTILVVDDIVEDEDLKNRELRKQAKVKQSEDQAQDCVMM DVDALAAKTDVATAPGGPKQEAKERNSRKQKRDETDELPESRTRWCTIMSPIGLGTCQ DPSPIRPSSIVCPPSYGFGPTTNQLANTSLNKGGPKLLRINISPTLLFSAHQHTPHHT VPLASAQGASRAMAYVYPDGSSYRYEHHLGCGSEAFVYQRGTLALKVPKILNLTLLDD EKERRRAEYYNEDNREALERDKEVYKRMFWPARVCKIFLPRFHGFPAFAYIRVPRCWR HRIHEGQIYEGL UREG_06810 MSDQPNGVAPEATEKYAIGISFGNSNSSIAHISQEGKAQVIANE EGDRHIPSVLSYVEGEEYHGTQAKAQLVRNPKNTVAYFRDYLGKDFKSIDPTPAHASA HPQLHNSSVAFTICDTAAEEPNTITVSEIAARHLRRLKQSASDFLGSDVNAAVITVPT DFSEAQRSALKSAAKDAGIDVLQFIHEPVAALLAYDARPETPVKDKLVVVADFGGTRS DIAVIGSRGGMYTVLATVHDPELGGAQLDQILIDHFAKEFIKKHKTDPREDDRSLAKM KLEAEVTKKALSLGSNAALSIESLANGIDFSSTINRTRYELLSGKVFGSFTQLIEQAV KKAELDVLDIDEVILCGGTSHTPKIARLVQSLFSPSTTVLAPSTSPTAINPSDLAARG AAIQASLIEEFEKDDIEQSTHPMVTVAPHLEKAIGVQLVSADESAESTAIFKPLLSAE TALPARRSAQYAVPKDGGDVILRVCEGTREIKVIKAEPKAKPAQPKDEEDSDVDSDED SEEEEEDIP UREG_06811 MAAGPHTFVLVDVFASERYLGNQLAIVSVRSNALTYQQKHQIAK EFNHPTTAFLHDGPHPYQPRKLELFSPKGERDFAADAVLGTAQYIFQCLMTSDDAVAL PSPNIAAGNKPGAKLSKSALQTKAGVIQAYFDPARQVAAIEVPFDLHVHGKETPKDEI LAVQRKLGTSPHVDKMKASYSVVSIHKGVTFTLVDFTTSPALISLLRPGEAPEPNLDA GWRAAKSTGNGATTPTTTTPPSLSSPPSFCGAVYFIQLQTDFTEEPYITRLEVRVIAD GVEEAASASGCCALAAHLAMQKGGKGSRHAYAIEQGIEMGRRSQLCIEVRLNEQGTGV SRITLSGRATMVMEGRLL UREG_06812 MEGHSGHGRQASASSNPNEGAALPWILEHLLAYPGNYEIPLRTM YTLNSTSIAQPPALSQPLVPPTSAFARDAQGNAFPATKSIYEKQNVQNVSDTAALFKA QLMSQISQLPSQPCSLPPTFISSFVRRCFPPVVEEVDFPQALTALDYLRDLENRRKKG VMEALSRLGISGAEGEKQELAKRYPGVLTWIDTMESKERVAVALYTQVYVRLRHWTLI NEMLLEPFNKANCIAMLNTLFPPVASSPPTPHLNPKILQEQRMQFFNLILEVGKKGKQ VLEPVIQKDMRAGDTTGWPVAQDYIEKYLRAAMAIIDECSEVSGRQSFEEPPPFEGKH KGRKVDSGISFLSIDRPSTSSSITSHNNKHLNKPLPQSPTSKPKHGGTTLERIAKEIR KMKSRGDIGDAAKEEKRMSKGLKKMKSGLLKEKEKRHSGMFGK UREG_06813 MPFTYPSSSWPLGLFLGTLLLPSVRAGPSVNVALSASFSSPPYL LELLETAAAENSTSYFPLLDRIANGVFVDSRTDKDLYDQFLRILQDDGHISDPSALSS FKLAMSLRSTAPRIQAHYQYYNTSVEPSLMGAQDAVCQVWAHYAGEQYCSPSLEHAQQ GVSGEQVRYRPSSSGHANQLFVSGYGVELALKRTDYIVIDDRAAEQSPNSENAKATPP AAKDLKEESPADLKPLSASEVSTLGLNAASFAVNSENPFETLLKLSEDFPRHSSVIAS INATTEFLEEFGQNQEHMFPPGYNVVWINGVQIDSRRVNAFSLLDHLRSERKLINSFR ELGFSASEAVELLSHPIIANSQAAEVGPRYDYRDDTEGGGVIIWLNDIEKDKRYQGWP SSLNALLQRMYPGQFPQVRRDIHNVVVLLNLADGPDVQMLVSQIQTFITRKIPVRFGL VPTVVDQASMQQIRIASYLHQTYGLKTLLTYLETVLEKSKSQTISPDKNSFNAAIHDR EHREGENILTFEEILSSDEFEPIITKTKAYLRRLASEEPAPLMFANGAVIPRDDNWIQ PLTARLSQDLVNIQQSVFAGLYEDDFWMPNHYLEGAVLSRNSLIIPEDPSMIQILDLN AAYRRYQSELETLPRIPASGDSDMGKWGNLMLIADFDSDDGQKQLSTILEFREKNPEI EVLLLHNSSTNTHGRVSAELFDVVKSTRDVDESTLKSILQPDSERLVKQESEMEPVRN YFGSLSSLAKDLGPLRDRTNIVFNGRVVGPIPSTSLFEVQELEQLLAYERERRLEPVV KAVSSLALKDKIRDPFAFARLTSLVARSTASDMPEDIYDSGRVTRTSTYKKWNNMHSG FSLSNTDDPLIQVVATIDPASETAQRFIPILKVLSELNGVSLRVFLSPTTSLKELPIK RFYRQVLESEPSFNGDGSLRRPGASFTGIPEDALLTLGMDVPPSWLVAPKESIYDLDN IKLSSLKEGANVDAIYELEHILIEGHSRDTTHGSPPRGVQLLLGTERNPHFADTIIMA NLGYFQFKAQPGYWQITLKPGPSERIFHLDSVSGTAFGAGPSDGNNEVALLSFQGTTL FPRLSRKAGHEEDDVLEAGSKPNSAKNYFAKGLNFASDMISGMTGTRQDKQADINIFS VASGHLYERMLNIMMVSVMRHTKHSVKFWFIEQFLSPSFKSFLPHLAKEYGFSYEMVT YKWPHWLRAQREKQREIWGYKILFLDVLFPLSLDKVIFVDADQIVRTDMYELIKTDLE GAPYGFTPMCDSREEMEGFRFWKQGYWKKFLKGLPYHISALYVVDLNRFRQIASGDRL RGQYQSLSADPNSLSNLDQDLPNNMQHSIPIKSLPQDWLWCETWCSDGALKTAKTIDL CNNPLTKEPKLERARRQVPEWTEYDDEIAELGKRVAREQQRIRGKKGQEEDVDGEEDG ATIMEKDEL UREG_06814 MSSRAEREAEDLYEAQNDPSPVEGNAGDDSYAQMGTGRNEPIAV QSDSAKVEDPMKPFSANTDAQLAQDEREAIDKSNIMKGSRTRRAKPQGQGYSEGPDED DLPDQPMT UREG_06815 MFARTARATKHLTQTRFAHPSIINQSTRLFAASAISMTKISETI KTDHRDLEGWYQKIINPTSEDEQVRAQNQFVWELARHSLGEELVVYPALEKHVQGGKA LADKDREEHQVVKELLKKFQNMKPADTEYMPTIKSLMDNLSQHIKEEESEDLVRLESA LDQADSESLTKSFHRTKMFVPTRSHPSAPAKPPFETAVGLMTAPIDHLKDLFRKFPDQ KISPNPSMK UREG_06816 MAAENPDTIVLCSSRAVISGRLTPATLTISRNTGKITAIHSSIL SRSLFSPNTPYTDYSPYILLPGLVDAHVHLNEPGRTEWEGFYTGTQAAAFGGVTTVID MPLNSIPPTTSVEGLAEKIMAAEGQVWVDVGFYGGVVPGNVGKGELKRLVERGVRGFK GFLIDSGVDEFPAVGATDIKTAMVELADEPTTLMFHAEMVPPAVSEERVSPSRGLPAA YSTFLSSRPPEYETCAIELITSLAQFAPDLPLHIVHLSAAQAIPLLREARRRGVKITA ETCFHYLSIAAEDIPDGDTRHKCCPPIRGKANQDELWLELFHHGSHDGVIKTIVSDHS PCTPNLKLLPDYIPGSKNHEHESDKNETKVGDFLSAWGGISSVGLGLPILWTELSRRY PHDADSPPGGRPLLEHIVQLCCINTAAQVGLRGQKGDLAVGYDADICVFDDEADWTLE PNTMLFRNKCSPYQGKSFKGVVRETWLRGQRVFSQDVGFGERNPQGRLLLAPRSC UREG_06817 MRGFQSGSMTSSPLCHDESATRDFLMGLDWTLLGMFALRQGHQR QATQNPAWNSNEFTFFHCFSPCFRMVLLVVTPLILAALESIPQHVRDELSLPAPKLDE AISHCQLIALSRKLSSVSYKHRTSISEAGKFEHGHVRDFSLNALLRGTKLYLPPQPPT PAPSPEYLALKARLEAEAQAKEYQSYLHRPSVSQSTRQPLPIFASSVINTPSSHAEDT FDDPSYPLVRS UREG_06818 MAPFFQSELDDARNEWFDKFPNFASGLNIYKVEAYKSGSKIEEE QFLALRTCWVRIHLRNFNPLDWGIRNVDHAYDYLKSQQAWKDYIRATGPLDFNLVLPV PSLGAFGFVWYYQQLVYKVDSDDMEASAKVDFSPIARRTRSKIKEHELREQQLQTPSK PSRGSPPPLPELKDIEMLSSSFDADQTPESAEIPDDPTDSNYTENVSASTLEDEQIVN TALISLASVVTFSHPKSKGHWSLRRKAFKFGADKETDKDKLYEARIDGHLFNAAEPSV SQGDCGGQKAEAQITSRYQNARNRTDGSLDIRGTRFSPTNWKPFKYLKDPSYSAPCPS LMTMFECGPWKVSDKNHMRNFSTILLAMTYQLAH UREG_06819 MATATKFDVTDPRVQRKKVMIKQLRAMYADLKQFKPSSLNESYL ERFNIKLLPLDLVQPAKSGPCFYKPMSEDTLSTNEKDYISSPDPEDGFDSRALHVGIN LGRACLDGSTDWKTGPIGACYEGNPGSAIIGNVASGAHYDWGVDEQVVAWSNAIPHCK FQIHHDTAAEERLRPSEVLPILAVMRWRMGLWRYLPHEIFPVGSVAMMTMLVICITD UREG_06820 MADKPDKALSSTAAAASPATTNPRGIPTAPFVDNVTDYVSTRAD VEPTLRSFQEMISKYQFMELNTQRRAQGLRDKIPDIKKTLETVKFLSARKKVGFPAPA YPPPPTPTKRLKYNGGLNEG UREG_06821 MLGKTKTLCLLAICLLADSAQSLATPPNLNNLEPRQEPRYKAVA AIAQKRRNDALNAFMPMPAVNQTELGNDVRPYLLTSGLLSKDEIKIIKLDAKALVRKM RNQALTSVQVTTAFCKATVIAQNLTNCVTEVLFKEALERAKFLDEHLNRTGEPLGPLH GLPISLKDTFVTPSHPSSIGFAAFANEPTANKSVIVDMLENLGAVLYVKTNVPTAMLM GETINNVWGETVNPIHKKLTPGGSSGGEGAIGAMRASPLGVGTDVGGSIRIPSAYTHL YGLKPSLGRFPTWGAKPAIAGQDIIYSVSGPMSHSLEAVKLFAEAVLSEEAAPWTLDP KMNPMPWRRRVLPDGAKLKFGILPCNDGIVTCHPPVERALKITAKALKDAGHEVVDWA PVNPQGVEALTTQVFTLTGSDAVLPLLKAYDEPLLGVLKLLFPGGETVPQLTPAKLRE LIINRNQLQKDNLDQWRATGVDGVIAPANVPAAARLQMDPQKVYGGFTGFGNVMDLTG CTFPVTYADKNLDPKRGSDWVPNGLRDEFVQSDYDPVFWDGAPVGLQVIGQRLEEEKV LEMTEIIANAVQFKDRVYG UREG_06822 MLKTFWRVCELLAQQETDHGDDSGPEAYEHDDDETFPPEAAAAK LLDSGLDHGLETSQPSDPSAQEPAPFNFDDPYASIVNFKPSESLKITCLTIGSRGDVQ PYIALCKGLLADGHRPKIATHREFESWVRQHGIDFAPVDGDPAELMRICVENGMFTYS FLREATQKFRGWIDDLLSSAWTSCQGSDILIESPSAMAGIHIAEALNIPYFRAFTMPW SRTRAYPHAFAAPDHYMGGAYNYMTYVMFDNIFWKAIAGQVNRWRKRQLSLRSTSLEK MQPNKVPFLYNFSPSVVPPPRDYGEWIRVTGYWFLDEGSDWTPPEELTAFIQKARTDR KKLVYIGFGSIVVSDPATLTMTVIESVLKADVRCILSKGWSDRLGDPASTKAEFPLPP EIYQITAAPHDWLFSQVDAVAHHGGAGTTGASLRAGVPTVIKPFFGDQFFFGSRVESL GVGITLKTLTVSLFSRALWEATNSERMIIKAKLLGEKIRQENGVAAAIQALYRDLEYA KTLVRRRVDAVVSNSAPLGSHEAIKNWEDDLVGIEDTWTLIGHPDTDMELSQSSKDLG SDFDLRASAAAATGTRPSALVEEGDEDGEDAGR UREG_06823 MDTLFGAQVSPKTMAQAPTSNEPFVLNGTKADAAGDTANCNADA INPSKAEQIRQKYAEEREKRLRPDGLEQYIGLSNTDDQSLKRLLHDQWDDGTTPSLPL EEGARYKIVIVGAGIGGLLFAVRLQDMGFKPEDMVIVDSAAGFGGTWYWNRYPGLMCD TESYIFMPLLEETGYMPKHKYAYGQELREHANRIAERWSLTDRAMFRTRFEQCAWNDE TLEWTVDLTQRPPGGHSISAKIHADFVILCPGPLNRPKILRLPGVGTFQGQSFHASRW DYSVTGGTQEKPDLTNLQDKRVGIIGTGATSVQAIPHLAKWSKQLYVFQRTPASVDVR NQRPTNLQDWAEVTRPGAGWQLARKPQFQHLHLPPSHRAPRSTWSLTDGPTSQSMSVL SGGPTHVTMDNIAEYTAAIHARDLVRSERIRARVDQIIKDANAASKLKAWYSAWCKRP AFHDDYLPSFNLPNVTLVDTNGKGVQRITDSGLVANDTHFPLDVLIFASGFELKPGQS PGASTNARVFGRGGMCLDEKWVDRLSTLHGLMTSDFPNLFFTGFVQSGFSPNYTTCLE TSARHTAFLIAECAKRAGPEGRKVVMEPTPEAERAWEKRIAAGALIRAPVVGCTPSYW NAEGKLDQTTPEEKEKRRGAGPCPRGMNDFMDVLQEWRDAGDFGDLRIDVV UREG_06824 MSTQPAISICLRTLFVYLLLASNAPLVLSQWQNPLQKEPPVGQP KKIAIIGAGAGGASTAYHLRKYADFFSIPLNITVFEKANYVGGRSTTVDLFDDPTQPV ELGASIFVNANKNLVRAAKKFGLTVREANHGAPRESTHSLGVWDGHKFVFLQRSTNFR WWNIFRLLWQYGWAPMRTQSVMKSTIEKFLKLYKWPYFPWKSLSAVAQSTGLAAATWS TGAEFLRDHHISEDFSREVIQASTRVNYGQNLPLIHGLETMVCMATDGAKFIEGGNWQ IFQGMIDVSKANLSLQTEVKEVHRNDDDTYTLAYKTEGSNVTRHSDFDQVVIASPFQF TGIKISPSLDYLPDKAPYVELHVTLLASPHKVSPRFFRFPEKGFSVPEVILTTLPNGL DLGARRDGVGPAGFWSISTIKKARPPTASYSSGEEHYIYKIFSPKPLNTQFVSDLFGI PEMDLYADHNTTLRVSGSELSGFSASEISWVHEKKWQSYPYLYPRATFEDIKLAPNLW YTSAIENFISTMETSALSGMNVAALILSEWISEFEVKLKKYEENS UREG_06825 MMEWLAETWIIRILFFLIAYYAANSQIDRATRKADSAEHRARQA ERALENSLSDIRINANECVELRKRVSYLEEKMDEYGRVLEALSVPIPTMSMEDVSERV VSHNMQNGPVDVKTAVGMVGAAAIPLAGAPPRIENLSILQQHPQHYIIRSFESTKERG ERSASSSEESTSSAGEKINNSLRSRFESSKESVMSVLSNFPGRKNNRGSKAGGLWAGT IYSPTPGGTFIQRVKRSQSKATTESSL UREG_06826 MAHKVDWSAALRPASPDGATIIGEERARSNISVDSLANYLLTPE FLKQQDRVLKEVLKEPVFKKTNQANLSRPDRYKLGLARGKKLRQLQDKLGWSEDDYHM AVYLCDDVLPYHLHTAMFVTTVSQQGNDAQRAYWMPKIEAWEIIGAYAQTELGHGSNV RGIELEAKWDPKAKEFVLHSPHLTASKWWNGTMGRTATHAIAVAQLMLPVSGHGAETK YQSYGPHPFIVQIRDSKTHQPPGSIIIGDIGPKYGYASMDNGYMLFNNHRVPHDAMLS RYSRVDPGTGKYTKPENPEVVYGTLTHVRAAIVMEARLSLARAVTVAVRYLSIRRQFK DKDSTNPSDPEMSVLDYPTVQIRILPLLATAFALHYSGKAMGELYTRTRKNIEEGDFE TLAELHSTSSGLKSLATDLTASGIETCRRAMGGHGFGASGLVQLNANYLSKPTVEGDN WMITQQVARYLIKKAKALSGSVKSRATTRTEENLERYMYARQKTFNLGIFQDDASILQ AYEWRVAYLVFKAYEAREIQKKPWNSLLIDFHKLSRAYSQSILVFNFHQAIQPTNPDF AHLDSTTRTVLRELFHLFAFTTMDAEAREFSSSGAVSNEDLDALPARVLELMGRIRPH AVRLVDAWKIPDFVLDSALGRYDGKVYEDLFDRAHRQNPLNNETFNPDYRTDEIVLGS GDAGQILSKL UREG_06827 MTSRNISTQPSETPLSIFLWGGKDKFEARKQLLKALTTNPAFTK RAVTVPSLARKDAWLRAVNQARELIALKQTEKWSHEQFRQAVQMLDYFLPVQPQFRIF ISNLERQMSDEQKAIWIPKAERLEIFGSYAQTELGHGSNVRGIETTATFDRGTDEFII NSPTLSSTKYWIGATGIWATHSLVVARLIIDSKDYGNHLFLVQLRDLDTQELMPGVEI YEMGPKAFHGMVGVDNGAMQFHQVRVPRSQMLSRNAQVLRDGTYVPPKNTKHSYGSMV TVRAIMAEATGYELLKAVSVAYHYTTFRKQFWKKGHKEEATVFDYASVRYRLLPLLAQ GTALVLVGQNIKQAFDEYSKVVIKTGDFSQLEDLHLQTVGAKVYSTDLTARGVETCRI ACGGHGYSALSGFGRMYAHTVNAVTYEGDNYVISQQVPRAILKHYNAKTEDTVPSLSY LGFLRNPNATNKLAVSSESDWFKPENQQWVLEQRLATLVRAHLDATESGKDTSFSVHE LTMAHCDYIYWRGFWDVVRKAAGFPFYTSLDALAQVVSSPNGRLQCVVYGTVFLTYF UREG_06828 MDYDSDSSGLDDDVQGDYTTTSVLLGFAEDEATDDTTSHLGGWP TWLDPSTPPPGNFAKCKSCNDPMPLLLQLNADLPEKFPHDERWLYIFACTRRTCNRKQ GSIRALRGVKKHKMTSNTQKDEAKAKDKKEPKESPVPQQNIGATLFGVAPGNPSATTN ANPFSTTSGSTGSLANPFAQLSSPSTLAAIPPQKPVDEAQKEDRSLPESFADKVRLSP SSPSSSPPPTKTPELTGPVEPWPAQSSFPPPYRHYFLDAEYETISRPSTPRVPSNVQI GTLDDDPSSAGAAEGKDTFESSIDKSFLKFSTRLGHSPEQVLRYEFRGTPLLYSTTDA VGKLLSPSTGSAHVKVSAAARMPRCGVCSRERVFEVQLVPYAITVLEEGREGIGIGKD EGGMEWGTIIVGVCAGNCGLEREGEVGWREEWVGVQWEERVGK UREG_06829 MAAFDKCKTRPAHIDGILNGLDRYNPETTAVFQDYVVQQCEDRT FDCYANLALLKLYQFNPPLLNTETATNILAKALTVFPSPAFSLSLALLPAYTQPYATS SQTTPANLPMQITDFVEAVQKLTRLSTLLESAQYATFWSTLNSDDLYADLTADVAGFE ELIRIRIAVEVGKAFREIGADVLMGWLDMRGMEALEKFVVDVCGWEVDRSKAQGENGV VVRVPRNKENEARGEVKGEKVGIEMFGRVLRRGFEQPA UREG_06830 MDLHFHGPTSILCSQVAPTSCSQCDPDSRDLSPSETPLSSHPGT SASSAPRDSGQDVKPARPSIAASSMGYTSTEDLEPLASPSASAPVLAARIENHPWFLN GQYTKVDTEKFNCFGGAEGDTCASCRLSIPEDISKQLPPGAPGTLKENGQGRNGSPVL RSREMVYSCHGTQFAFDDVSHESHAHHSNGTSVRSSYSSESSCHTHVLTYLSMRGPPN PTNYALLRRASIRTLSCEFLPRGLSSGPLSFGDPATGYTIAYVFRLPDPMARGKRRSY ALVALAGKDAGRAFRASPVIWRVFSRIANNLVSSAEKSQEQEKRCDTTTPNGANAAAT RNYTPISSFLTGRTFDPDGQPRRAGQIRARNLSEIVGNEYIFAELHAQFVALLKQLGG MFGGSRIPENRLNPESAIEETEEYYPRHAAAPSIKVPLRPKDARGTSQSGARCDSPSS PIQMSPRPIPISHRRHYAA UREG_06831 MAKLSVIPRCCGLVGCPTLEKKNQKSGEAASVTSFGGAGQYIRE DETKSNSKAKRRTQNETIESPSARHFPRSRAIGTFPRHRGAGDVFPGKNPLREFGIDS RKGVLLLPRPRKQQEANGQSRDAGLAASLLPSHRESCLHLMRFDWGKHTRILSTLPER SWGLILRWKRYPVFVWFYGIRQIEFEKTAVELGQTERTTWERCLWTRWIQSRCGGTVT HGSASRFPPLILGSGTMLLDVFAPDSKWDASSDGTHEVCTGAKGSKPGGEKITEACIV EFLRTTNPAAFMEPSWDEDSPSGMVPLKSNDPDLPHGMSSDDVFAVLVVNLFLNPISR AVQKGGQGPDQGSLFSSSSNTVAGRDFRTSLSDLLDSHQIDERHVSESNATRDGFGAE PISAGINELSSSVSVRKPRNSRSPGSVSKAFCAARATLRFVDRQSLLGLTPNSSTDRH MDDPGLQYSNPLPLNGQQRDLSFDGREREHRASALDGSSYAAVLPQLHIYRTGCGGRY QPYIQRATRGQRKMREYRFHNSLSGSRHGFIVVAGRCTVFGNGVGLPSRKSGVKRRVE KRRRQRGEEYCSSYPMLSSMKLAEGAAPRNMRIMILAFTTLALGRWPVTSHRTSS UREG_06832 MAVGELKIRNDKTTGLDRQTNYRILIKRRGYESRSLSAIAARKK AHQNAAASAQSSLPETQAIQEAEPPAKRSRPSPADEPVKKTPVQSAIAVVIRNPKKTS QAAPRAGTPVDHQDRSNEEGCQPVVEDNGDITSESDPGDDIEITPKERFENFLLSKGI LTKKDILYKTKDSICIRLKEKTTISILGQYDLWVKRGVVSIFGAKVPPSARLYRVYAP STHSLPVIKAIAGVDGYAEIEIKSCRSGLPRLQRVSDLYRRIWSGKQTLLRKHLATSS EMSFAILHSSSDDPLKRHLRPLHLNKYWSIAIKALSGRGANLRVMTCGPKGSGKSTFN KYLLNHLLSPIPSHGGSSSSNDGVAYLDLDPGQPEFSPPGQVYLAHLQTPVLGPSFSH PALISANEGSIIKSHYIGATSPKDDPDHYILCVMDLLNRYRILLQSYPRCPLIINYSG WIFGQGLEIATWFAKSLDLSDVVYTSIQGPEEVIIPLQAAATEVGVPVTTIPSQPTEY ATRSSSQLRSMHMLSYFHRSQRHADIPVWSALPIHYHHSMSVKYAGETQGILGVMVTG FRHDPAHLHDLLDGSIVGIVAVEDPDTLPKPTDTTTLPATNHQERAANHSDPDQDVPM DDANPVASPGDHPFITRAPHTNLPYLFIGNGTCTPLDPASSYSLGLALIRSIDTDSQT IQLTTPIDPRALRRALDLGHRIVLVRGNLDNPNWALSEEYFAARSAQRRQRRLRAALK GDSEGDAEVRARYAEKSRRLAERVRRATKGVPWMGLVRHEGEKGRHGGAGLWKLKKFA QAGGSDGESGHSSS UREG_06833 MSTYALSQSHKEQLEKSLVETDPEVAEIMKKEIQRQRESIVLIA SENVTSRAVFDALGSPMSNKYSEGYPGARYYGGNQHIDEIELLCQKRALKAFNLDPEK WGVNVQCLSGSPANLQVYQALMRPHDRLMGLDLPHGGHLSHGYQTPQKKISAVSTYFE TFPYRVNLETGIIDYDTLESNAQLYRPKCLVAGTSAYCRLIDYARMRKIADSVGAYLI VDMAHISGLIAAGVIPSPFEHADVVTTTTHKSLRGPRGAMIFFRKGVRSVDPKTGKEI MYDLEAPINFSVFPGHQGGPHNHTITALTVALKQAATPEFKQYQEQVVKNAKAVETEL KRLGHKLVADGTDSHMVLLDLRPKGLDGARVEAVLEAINIACNKNSIPGDRSALTPCG IRIGTPAMTSRGMGDEDFKRISGYIDRVINICKDIQGSLPKEANKLKDFKAKVASGSV AEINDLKKEISQWASSFPLPV UREG_06834 MSANPSPVVPSPAHKTPLTHSTRANTRANTRANTRANTPAAPQD PTSPDPTTAPFPPPQTFDILPPLHTLFIRLLSTPPHAQQAAAANPDIISARTDPASLS TPGGDTTGMHALDPRALVTGASAVKIRIQKARSAVEELPDIHRSVEEQEAEIKQLEQR IVRLKGIIQDFGRRSGEVVNGT UREG_06835 MTKVDQKVALIVIDGWGVPGPNSPSNGDAIAAAETPVMSSFADP KAGTAQGYTELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTLKKGELN QISNIVDSFKRAKDGNGRLHLLGLVSDGGVHSHINHLFGLLQVAKELQVPKVYIHFFG DGRDTDPKSGAGHMQALLDKMKELGVGELATVVGRYFVMDRDKRWDRVEVGMKGIVLG EGEASSDPVKTIKERYANGETDEFLKPIIVGGDERRVKDNDTLFFFNYRSDRVREVTQ LLGDYDRSPKPDFPYPQNISITTMTQYKTDYTFPVAFPPQHMGNVLAEWLSVKGLKQC HIAETEKYAHVTFFFNGGIEKQFDGEVRDLIPSPKVATYDLDPKMSAAPVGEKMAERI AEKKYDLVMNNFAPPDMVGHTGVYEAAIQGVAATDKAIGRIFEACKENGYILFITADH GNAEEMKNSDGSPKTSHTTNKVPFVMANAPEGWSLKERDGILGDVAPTILDVMGIEQP KEMSGSSLLIRK UREG_06836 MADAQKQLQTLSDDYQSLQLGRCSNQPFAAIIPKLITTRPELQG VVEGREKLEAQQQENKSVQKEFSTLDEDSTVYKIIGPVLLKQDKSDAVMAVEGRLDFI EKEIGIRADQNRKRIEGQIADLQEKSEKKKAEVIQFQTQMQQQASAASS UREG_06837 MSNTDFLGRAIDTVRKAIEHDNAGEYEKAYQTYYSALELFMLAL KWEKNPKSKEMIRAKTGEYMERAEKLKNHLAGSDNRKKPSAVGANGKVAHGSGKGGKD DEDDDADTKKLRGALAGAILSEKPNVKWDDVAGLDAAKEALKEAVILPIKFPNLFTGR RQPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFNM ARENKPAIIFIDEIDALCGPRGEGESEASRRIKTELLVQMDGVGNDTKGVLILGATNI PWQLDMAIRRRFQRRVHISLPDIAARMKMFMLNVGSTPCELTQADYRALGEMTEGYSG SDISIAVQDALMQPVRKIQSATHYKKG UREG_06838 MDGDDATPVPQVASSSPQSTPLPLLPARNGSGGFVRDENMMTLR EQENVINKLDKENFNLKLKIHFLEDKLHKSGPDLNRTALQENIELKVSGKSMERELAR CKKSLMRAERKAEACQSELEEFQERWKRKQADGTLQKELSWLKEEAESKDIEIDELRE ELKAAQNKDSDELQELRDQISDLEYTVREKDRLLDEKESEIEELKDKDSHEQSSLAEL EGQLERARNQIDELKDSIEKLKNETQYSEAACQKATEEKDRALEDLKELQDEMANKSF YTKGLSRQLEEKASALENDLSTLQRQFNASQEELENKRKVERQFEQHVHELQQDLILE KARLEDRIELAEHERDRAKRERDSLSSRLQEAEDELRARGDAKGLLQTRHDALTDESQ ALQRDLERAQNTIADLERQIADEKQRSFESIEDVRLLHKDKIDQLHDDIESLQRRLED NEAQYNVDRDKWDGIKRTLELQKHQAEQQASGYKRTIDRLHHTETSLSDKEKKLQDAM DSEKKQYLQEKDLLSRQIKELHDDVASRRQLTERQRLELLSLKEDLRSARREVETLRE RIQNLEDEAIVLKATLEEERGFENQRKNGATEVEKQLQASIRDRQSLRDQLTGAETEL SQLRATIPDLKADRDELRSQLNRIHGQVDETYRLDQEKLELRKAKLRLESELKRLKEE KGLLVEAKTALRNELDNEVERAASEENRLSAEIDQLQDKLFITSEKRDRELASAKHKA DRLEKRVKDLEAILDQQRPTELDIAPITSDSSIIRHHLDESRKKERAALQREVDLKSS IRQLKARIGDLETENHELQTQKFDPITSGAGTSPASKFLEENRKLRNQLLEAHKKMKE LRVKSHDLQRHAMMGEERKDLHELLKSATLEAESLSVKLSERDARVNELRNHLHRVRE ERTLSRKQADAANSQLQSLQDKYEIVLDDMSSQVARKGQHEKELKGLSKEILWLRARL SREEKFRKDLAWSKGIMELGERVRIACNEMDLKMIAEMGVEPGLAGTEFDARKKFRSV AFAIMATSRMQKMASEWRKSRKIREGLRKAKSELVRRRELARNASVPGLLG UREG_06839 MAPMSQEDIEWFKSTFHPIPKPELPDDCIEYSIYCVAQDTPPDQ EDELVASRAELSKVQKVASELVKKYLKDYIWQRDSFKLELVKEDGVNLLRGRTEYGDS IEDEWVIVYILRELTRQFDNLWVKVTDSDGEFLLVEAAATLPAWLEPEVANNRVWIHK GELIIIKPGTTRTSKKKVTETMTFRDARKAILSEPSRLMRSPSIEEEAFYRLRNYPDQ INKNMHSSLVTIPRTIAHLLRLKPAYVSPAVEAFYVRDPISLRALRSKEQSQLILDPE DLVTVSVKFTKVGFAQLKSQDFDPPKQWKGKLLFDPGSKEYARAEIGMKLACGFEMLL FDPQNQDKAIVREIKLILEDIETGDEKLPNDEDIDKNWGKQEDDESWLDINFEDLERE LKGRKGADGDTKMGDFGDKGTQENLQRICRFRGSRLFNESDDGEIDDDDEEEEELSSD GEDKEASFDEEEFSKMMQEMMGLPSGASKFATGSRENARIEELESIEEEDENDSNRPL REELYQKRQGLKGKELRFSDEEADAEEVEPQEDEHELEEIEELSRQMEAELKKAGVLD PNRALREESKQRSKALKGKSRLRDIDDDQEENDEDYDDGSDVNINLARNLLESLRSQG GSAGPGSNLLGLMGMQIPKDDRR UREG_06840 MKFTLALISLLAAVTIAVPVSRKRGDTLPVMTNGNGEIVPFDSE AVVVT UREG_06841 MASPAHCFYCFEILHASFKGTEAPDLSSIECLWEEHEAFKQLSL KSTLENASGEIDEDEEWEGFSDQPTGQTRDEVPAVSSDSGTQDDEAEDSRSGQTSTVR PTPLKLPSISRLQGRQSPGSSNLSTPSSLSTTSSHSALTPATSISSQTTEFAPQASQN KSFSAITQRTANTNYPLFVTWNVIGRAGHKELRGCIGTFEPQDLPSGLKSYALSSAFE DTRFSPISFSLLPSLSCSLTLLSSFETCSHAMDWVLGTHGIRISFTHRGRRHGATYLP DVPVEQGWTKEETIESLMRKAGWDSVPFHGSVARRFMRNSSEHDTRASKPALRMHNGR SGEHGSYRLGKAKRCYDQAFEGRRLHSLRMFFR UREG_06842 MASQTPNGQRPQVQPCRYKTGKTLGAGSYSVVKECVHIDTGRYY AAKVINKRLMAGREHMVRNEIAVLKKVSMGHQNILTLVDYFETMNNLYLVTDLALGGE LFDRICRKGSYYESDAADLIRATLSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLI ADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKSGHGKPVDIWAIGVITYFLLCGYT PFDRESNLEEMQAILDADYSFTPLEYWRNVSQTAREFIKRCLTIDPHARITAHEALQH PWISPPADPLNPNVPVRRGTGEDLLPVVKKNFNARRTLHKAIDTVRAINKLREGGGLM MEGAMSLDPKPEQVIGDRVVQEGATDDRMEIDSRGNARGQTEEQIREQERKVKEVVTG LWSQTAARRR UREG_06843 MHSTTVEDSKGGRAGVEFYFLGDDGDNFKATVEYDPYFLIAIKR GYEAEVEEWCRRKFEGLVKDAKQVEKEDLQMPNHLLGHKRRFLQLSFANVNDLLAVRS TLLPIAEKNKKNVNAMDTYAEMASASAGFDIIDEEDGANRSRNAYLEASEYIVDIREY DVPYHVRVAIDKDIRIGRWYTVDATHGAISLTCIEERLQRADPVVLAFDIETTKLPLK FPDPVIDQIMMISYMIDGRGYLITNREIVSEDISDFEYTPKPEYEGPFTIFNESDEKG VIERFFQHVKDAKPTVIATYNGDFFDWSFVEARASVLGIDMYREIGFRKNSEDIYQSD YCVHMDCFAWVNRDSYLPQGSRGLKAVTVAKLGYDPDELDPEVMTRYASERPQTLAEY SVSDAVATYYLYMKYVHPFIFSLCTIIPLSPDDVLRKGTGTLCEMLLMVQAYKNNIVL PNKHKDPVEAFWDGHLLDSETYVGGHVESIEAGVFRSDIPVNFSIDTTAVDELLRDLD AALTFSITVEEKKSLEDVTNYEDVKAQIAERLLNLRDTPNRLERPSIYHLDVASMYPN IMTTNRLQPDSMIQESDCAVCDFNRPGKTCDRRLPWAWRGEFLPAKRDEYNMIRRAVA NEKFPGRTRDAPLRAFDDLGEAEQAKIIRSRLQEYSKKIYHKIHDSKTIEREAIICQR ENPFYVDTVRNFRDRRYDFKGQQKVWKGKVDALKGSGATPAEIDEAKKMVILFDSLQL AHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGAHIIQMARELVERIGRPLELDTDGI WCMLPATFPENFAFTLKNGKKMAISYPCVMLNHLVHGRFTNHQYQTLVDPHTFRYETH SDNSIFFEVDGPYKAMILPTSKEEDKNLKKRYAVFNHDGSLAELKGFEVKRRGELKLI KIFQTQIFKFFLEGTTLQETYAAVAEVANRWLDVLHLHGSTLADEELIDLICENRSMT KTLEEYGSQKSTSITTARRLAEFLGDQMVKDKGLNCKYIISAAPKNSSTTERAIPVAI FSAEPSVKRFFLRKWLKEDPGDMDPRSIIDWGYYLERLGSVIQKLITIPAALQKVRNP VPRVAHPDWLQRRINIKDDKFKQKKMTDLFGKAPLSNASTNLLDHRLPIAGDIEDAIS TQKLRMSTQISQKRKAPESSQSADPFASLPAKMPSINEDYEGWLKYQKQKWKIQKHAR LRRRKLFGERTVAATDSLSNFFRNQAELTYVSTWQILQFRETDIRGEVRAFVLIDRKI HAIIIKVPRQLFINFKNDNLPNVDIEGCTVQKVNHTLPNGHPSVHLFQLTMPEHTYVK DSKTISALLDHSSVEGVYESKVPLHIRTVLRLGTLCTFDESQRGVLGKGLEHGFELST LLRAPPNQTYLADSQFEYVYLYHVVSGQRQIFSLFSTRRDEAHIIMFSKQRDVQGFPN VDKIYTDSLQRRVEQGNLETWQQVLEYQATLHFRTTQVTTKRKAHLEIGDLLRKFRNQ SSYPTLLVLQSPQQQELLHAIPAFKDVPVVPLKSDPSDNELPPLGWQPFLAKQIVMHY LALDSWLNHLIEFARYGDVPLCNLERDDPKFLIDIAYARRLEKNNVVLWWSPDPLPDH AGYEKDSLDRLMQKVDMPSINNPGAYSSVCIDLDVRNLAINTILTSSLINELEGSESV LLNPSAPNGNAISDGTGVLYSDTAFSSTGIIVLRDMVKAWWSEACQGKVMADVMVQHL IRWVESPDCHLYDHALHQYVQMMSKKALQQLIGDFRRVGSSVIFASPNRLLLQTTKTE VGNAYAYSQYILKSIKAKPLFNFLDLEIKEYWEYLLWYDEFNFGGKACHEVVESNNQE LETIMHWQMGGFLPTPLKPIFNDWVVEYIEIMHGLKKSSKSVDPDEARPTQIQFKSLT ETEGFEITNVLSESYSKALKRQITGLIRRQRDEMLHPELASDYEFPTVPGAYWHDTER QRNPTLELVKYLMHVLGLSRYTSLEVRVLRRELLALFDIKEFSNAGKFENPSASLKLP QVICNHCTMTRDLDLCRDGDLLPEEGGSVKPWCCLFCGTEYNRLALEEKMVGEVQGLV VEWQTQDLKCAKCAGIMINPLMEHCSCGGSWTTMLDRRSTERKLRIFQSVAKFYGLKM LTIVTNDILNGL UREG_06844 MSVAEVLLPDEATTERGSPQVAVTGQLQSLDIHEGATTAVSPTD DRHPTLDDNQAQSPQTARATPNRKSQFGKRDSTAEPLPKAAKKRQAKVSASQNDLSTS PSKSPSTPQRGTKSRRKSPPLTTQPDENPLTWHDSEITCYDPTDPNDDGYGLNGVGFK PTTAIAWDRSQRRKKQIADWKAREARDDRDRRRARRDGIVLEMDIKTDSGSRKRVKFD T UREG_06845 MADFLLFEGPMGYSIFKVAHQADTVGNRLKEVQDGMQDLAKFGK MVDLVSFLPFENNKQALGEINDVSEGVASETLISFLDLNLPKPNKKKSVVLGVSDKAL AGSIKAAFPFVECETGDTSEIVQDMLRGIRMHAAKLLKQLREGDLSTAQLGLGHAYSR AKVKFSVQRDDNHIIQAIAILDQLDKAINTFSMRAREWYSWHFPELIKIVSDNQRYAR LALLIRNKKELTEDKLHDIAAIVEDDEGIARSIIDAAKHSMGQDISEADMENVISFAE RVVSLATYRKSLHAYLVSKMSVVAPNLAALIGEVVGARLISHAGSLTNLSKYPASTVQ ILGAEKALFRALKTKGNTPKYGLLYHSSFIGRAGPKNKGRISRFLANKCSIASRIDNF SETPSTKFGDVLRKQVEERLEFYTTGAPPTKNEAAMKSAMDSLLADLEVDDDAEMKDV ADTAEKKDKKAKKEKRDKKDKKEKKEKKEKKSKSEEADVDTPKKKRKRDSEVGVTKKK HKS UREG_06846 MSATQLLNPKAESRRRGEALRVNISAGEGLQDVLKSNLGPSGTI KMLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDITGDGTTSVVLLVGEL LKQADRFISEGLHPRVITDGYEIAKTEALKFLDSFKLNRDIDRELLLSVARTSLSTKL NKSLAEHLTPSIVDAVLAIHRAPQKPDLHMIEIMKMQHRTASDTQLIRGLALDHGARH PDMPKRVENAFILTLNVSLEYEKSEINSGFYYSTAEQRDKLVESERRFVDAKLKKIVE LKKQVCGNDPKKGFVVINQKGIDPLSLDVLVKNGIFALRRAKRRNMERLQLICGGTAQ NSVEDLTPDVLGWAGLVYEHQLGEEKYTFVEEVKDPKSVTLLIKGPNQHTIAQITDAV RDGLRSVYNTIVDKSVVPGAGAFQVACAAHLLSKYSSFKGKTKWGISAFSEALLVIPK TLAANSGHDVQESLAALQAEHAGGNAAGLDLATGEPMDPVQEGVFDSYRVLLNCIASS TGIASNLLLCDELLKARQMTRQGGPGGMDEQ UREG_06847 MPPTSSRHAQNSPPAGTKVNQVILGNLLFKTWYDALYPEELVNK ETDTLYVCGWCFRYTCDKSAYVGHRRVCQLRSTPPGTQIYNDAGYSVWEVDGERQKLF AQNLSLFAKLFLDQKSVCFDVSGFLFYLLVYIDPENPGVHHILGYFSKEKMSWDANNL ACILIFPPYQHNQLGKLLMGISYRLSMWESERGIGTVGGPERPLSEMGERSYIRFWEE RVARYFLRDLQQVTNGNGGPVKPPKTKKRRKAKSLSG UREG_06848 MLKPFRIKDLLDPALDEQPGPSCLGVKDSEGNSRTDLHAAGQED DKPSECHTYDGIVEISRTEYDATISQIPDSKLRYTDEDDGETVTVGSSFELAQRLDET PAESTSPLGLSPFGQLETTPMHLFDIGKSSTSIKTWKAFETRTSLQKCQPSVSCLSDI PEKLPSVATSSSSIFTPKLNPTNIIDPRQHWFEACNPPKPPTKDKTEMPEGKHSPQEL NRDNVKTNSMRSCGSLTDEGKKQAQAAGAKFRKSRNMWQGSRPPSLTPVGAQNFWSSY QVSPPVKDDKDLTEREPRSQESPIEEQPQSFLAAFEAELSKLVEQNPDSENSVETETA QTTIEPAITPAPKIEPPTSHQIPQTQPIPMATEIVGKHVQALLGSIGHLTSELRVRLP EVERRILSAQQHMPLQIQTTAQETLNTMRSHVQTFAKAALNAAQATQEAAAQSQHAQM LAAAQTEGLRNLASELAETGRTMFTALEVGISADCHNVNEASSLDSQSASGLNITPPS MEGLTPKSNDNLCATLPNQATDSPAKPYSRSHTTPETVTSPSSTLFIGGLGPSTAEET IRKTLVDYGFLGDVKLPTDAVTGKHAGFGYIYFPCHYAATGALHALRGGSIDGHTINL EYSQETPSDNTHNITPTAESLSNSDISNLPSSSTRNIQLLQSWALDQPANKTRQKHCP PVTSDVTSDENPHAAKIRRAKSLGSWSSSQRGNDARRAVIPPLHPVRVGYRGVRRQSA HDNSTSRRSDLYRLDSPNPEMTTLPIVEDIDRDFWARYPPLSTLHRHPTPSTASVSRI EPERALDPRHFTKIRVPDLLQPESELTKQASIRDAPTYKTRGKGPLPPAVPDKVPGAW PKERNAPGRSSTAGPPRPMKEARIQDNRKVGSPAPLNNELSNESDSPHSFISPVEPHT FPGPPMEYPYNAPGSFPSETLRTKYSTPHCDSSPQGLARTKLQDVNCCIRNLTSLGYA TGRNRDRSRLRVYAEAAGGNLNEAIEIIEEERKAYEQRYSHE UREG_06849 MSSYDISLTTGAAVEISGIWKPSPGRGQSHELQTRTVKVVGEAD PQVQPPLITSSDCEGAGQVFKVSPREIAAQEEQIEYFRSPKYLTVSSQLHLEAYAAEL GNVWTLSPTFRAEKSDTPRHLSEFYMLEAELNFVDDLDKLTSFVEFFIRKLTQRLHES TVAHELLNPKRTGQTGHAEDVDLVQRWKSLMSPSSWPQIHYTEAMSWLQDAPSKRGKL KAKFNSSPTWDTGIQLEHEKYLVNAVGNGLPIFITDYPKHLKPFYMPPSTGSSADDPR KETVACFDLILPEVCEVVGGSLREHRLEQLLRNMRERGMLQGRADETPASPEMTYPYL EPNEDLGTLQWYADLRRWGSAPHGGFGLGFDRLLSYLAGVPSVRDVVPFPRYFGRADC UREG_06850 MEKHNESVSAIASRVKQFYQDSRPFRIYHGSTSSTRQSQHWNDN TIDVSALSNVLCIDKERRLAVVEPNVPMDKLVESTLPHGFIPPVVMEFPGITVGGGFS GTSGESSSFRHGLFEDTVVDWFMHSRVMYHALHKSGLAMQSLVQDVAVPYQQAPELLD YLDRTLSCYPLWFCPISADNHRSLWSEKTMDKIKDANGCKMLLNFGVWCPASTNRKKF VQLNRDIEHKVHQLNGFKCLYAHAYYTEDEFWSIYDQGPYDALRSKYHAGHLPSVYDK VVVDFAAEQRARDESWIVWLKSVFWSIWPLMGLYGVAHVMLRHGYMLRRGDEKKKV UREG_06851 MQLLDASSRHWGLLATVLTSVLLVDPAGAATNRPRNDRDGNNDP FAVLDPQNWVNPDNMTWDDWRTPPGTNWADPSRKGSIRNFNIALVAVDYSDKHFAVTM APHSDVFGNPQPSAANIKREDVTTFYRDFLNKPGKLNLGHTLHEYWMGDSDGRYGVDL TAFGVYRLPLKSYQYGISDRMNLGACPEEGACNVEIRDDALAAWRKEVGDEKADSFEL VFILSAGQDESATWQEFGEMKFLTPEDVPDEFGPPDVGNLSLPNAARTRYVEWTSWAS ASTIWPNAGGGSSTQAESSGMGTFAHELTHLLHIGDNYNNPYGVPVRRALTGPWSMMS RGSFNGPGGPHSRWMIPPVQGSSMGSLHTVRDKVKLGLVDKDSVLQVSREALAGSGLV VARVKARGISPKHELIGVRVAMDADKSPHCDVNTDPFCDGNNYDNYEIEVVDRVGADS FTPDSGVMISKTKDESFSNYQWTIDANPQDLDLLDFHRPDGTPVKITIGDYRQLADAL FHAGTRSGSEYEYVDKANNLHFYIVDVHRDRQGVLSYTTAVRSLDRGKDPHKHGAAIS SGRVRTGRRNSPTRGGVTCSFNLRNSGRYSDAAGAGHPQDLSSYLKSDVYRLEASVKG RGWKVELPNALAVAEFGKSVDVNVAVAAESSASLLAVVKLKATSESDPSASATGHCFV NRFLN UREG_06852 MILALIKGDSRTGGELRLAQAFWDLPRAAKELVVAPCVCLGTLN LSNKYCKEEKYQHGDGIGAFVLQWFWLLSAELANIKAS UREG_06853 MSRLIAWRRLTEYADVRYVEPGLCFGTREKIVPEVNLSFGSDGP VNDGLVAPYEPRPPHLASSFKSNNVKLEWDLLVDSQLLMTSNEAKTEATDPRRNNSKE LFTVMADTLYYVARISGPRLHRPNPGQATLRSTVVYYTEGRRLINQRLRERRIVLGRE Y UREG_06854 METYHGHVRTPADAIILFEACRLGLLPRVQRRLSEKERQSIKSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGGHASSRAGKTPESNRG SDEDQGEGGEEGPDGYRYKPDGLMKQSFSITTSTGQHLHLISYYARSHPNAPGLNQPS TDPQLRQIRPQKGLYPESSVNDQQNLPVVTRGPMGGAALAVPPPLAYGRAPPHHPYAS SPYAWSPPLLGTPHVSVIPYVPNYLPPLGANGHPPFPYGHHPAYPAPPHGYPSPYDRY IHPAEHGGIPTPLHTNGAPMAAHGIPYYAQGHASILPHHDMINAHRNSHPATPPAETD PRFGGSRAEHSKNLRNGSQSSQPPLEPAGSPVNGSRKTSEQTSAANIVPSINALVNSV QAPLPPTTAAPSNSDHPKTIGQHQNEFSTPTKAANGAVDGPKDIPSDKIGFGEDMRAL RQLDRVFSA UREG_06855 MTIIQMRELLQFPENGNNATDTVINGIHFNRTALGYFNYTLYSN GTLSNGSNCWLAFEKYRPSMFSNGTFINGTSCYSPIDGVGTRGSVGIAFATLFALTIL FSLINLNKHGRRYLPLDKRWSLVGRRWQWYWMLFVGACGTISCFMSIDVDRDYLQGMA IILQSFFYYLIFPAVLAVVWEGVRHWGSWQERQIQDRDNFAFAAETSREKQEFYLPLL FYLFAFMNFFLTIPRSWTNVQKQRSFSQQEDLAKPTATDGRFKSASIIAVACLFIICY SLGHSLYRYKHRPQGRRFIPFYITVTPFKFVLVILLAAARIGFGIASAFEWTVSPLKY NGNAGWLYGLGYTPVLLILVILNLYGYIDPNEDRDLILQRAERGRVADEELGIDRKAL KPHWFKRSRPDYVPNISGVDADSRLRTLVAEVGGGAATKQNIQKSVEMGVLNPKKYRD DDPPQEAPVEAPAEFEWPRKDRFAVLDAEENKKPEPASGSSGLSTDPEATPVLSRANS QITAFSGETLTSQARAQKVRSMLDI UREG_06856 MLFTQRASTFSTHQLPLNPGRTILSHNVTNPPESDRDPADSKRL DLTASPSPVLESPPSSCTSERFSRLAITTSGDDGPGKVDSRLSEPAPNLVRTEEHRRE LRKSFSTHSYLGTPTSPTLTFGFQMISSSDTDDVVPKVEEIDDEEAFAIESGVGQSST QVHVDTVDAKDTWSPTLVNGPKKRGRPRKHPLPVPGQAKVTKGRSKTGCITCRRRKKK CDETKPSCLNCQKNSVVCEGYPAKEIWKSGKQKLEEAARRNSMAFISRGLPILIDGIE TEVDRRFLDHFVYDFSRVLTLVNDDSNPFKEILLPMATQHTGLMHSLMCLAGSHLSSR NPEPRFKERKHYHFDRAITDLRNTIAARSSGNGEESEFLIEDPMIASTIALCLNTICE GETKGEYRSHMDAARFLLVTQRPKNEKFRQFIVEFFQYHDVSSALTTLDRRPICLTGD LRLPDFVPHAQAGALLGIFDGLFLYISEITVIRDKIRQRINEGLDPAVDYQTLSEAVA IDSRIRAWEPSYPPEHQNWYAAQLYRQSTWVYLYRTIRPSHPNEKISQVVDDGLLFLD QLPLDAGAYSILLMPLFLLGCSAFETHQRERIRRGFESLQAYSSLRNIEPALRIVERV WDVMDTAPNKSWDWEKIIDDMEMDFLIT UREG_06857 MHITAVEGHRTGDLRSPIRELHTLPEAGFEDGRRSRRVGSWKGV KTSTARLKTILPSRHQTGFSRALEPSSSNGLRSNSSRPLYTVDPPIFSGRNGAASGIN DAGQKAFHDIHARTPLDKARCPSSHTEQNAGEAACKDTSRIRYSVALSTETRFMGKDS NGWEDRHDDQASRHVHFPFCCAETSRLTMEHFTMPGVSIFPFKSKDAPVLLKFKETGS QAERQVPH UREG_06858 MDLTDKPIRLVELLSLNKTRTNFTPGRIRFVNRRGWTVNEYGHN VRIWRMTVEAEKTSCIAVDEEAAIGVESPVRAENLENPTHLSLRRVQPVEISVKDLVV RVDTIPPIWQSSPSLLWDRVRGNSKGDPLKTILDGVTATMPSGSLTAIIGGSGSGKTS LLNVLAGRMNTGRVKVSGSATFNGHQNVNHVRSAYVMQQDILISTLTVRETLLYSADL RLPSPTTPSERRNVVENVILELGLKECADTRIGTTTHKGCSGGEKRRTSIGVQMLSNP SVLFCDEPTTGLDATSAFQVIRTLKQLARDGRTVIASIHAPRSEIWGLFDQVILLSRG SVLYSGPVDMALGHFEECGHSIPPFVNPAEFLIDLAAYDNRSEESERLSWTRVEKLKI AWQEHSMNSVQADKAESRHMDAAPPEGYQPDGLRHCASFKQQFRVLTARTIKMTIRDP MGMTASLFEAIGMAVMNGWVYLRLDQSLAGIRSRQGSLYTASSLNGYLILLYEVFRLT TDIQLFDRERNEGIVGVPAFLLSRRAARLFLEDLPVPCIFSVIFYFMVGYRLAAPEFF IFLALNVLTQYLAVTFASVCVGLSRNFPGASLIGNLSFTLQTMACGFFVQSNQIPVYV RWLKWITYTFYIFGALCANEFIGPNGPPEGQFYDCPSSNDPKDPYCKEYTGRFIMESL GLPSNWIWRPMLVLVAFIIVFFVMAYLILRFRKVDMDIAQSRSPQGDLSAGKEKFVAR PPEDASKVSIRLDEYALEIHKRTIGKRGIKSQRLNILKPISAEFPPGQLSVIMGPSGS GKTSLLCSIARRLQSSMGTRYRLSGRMLYNGSVPTENVVRAVSSFVTQDDDALMPSLT IRRFCCLDEPTSGLDAFTARSIIDVLNGLAAEGRTLILTVHQSRSDLFQSFSNILLLA RGGHPVYAGKGSEMIPYFDRLGHPCSKDTNPADFVLDLITVDLQEKEREAASRTRVKK LISNWEQTPVEFNRRSSVISTPAELGSLKRKMVPFSSHVPAGTSTPHLLVARTMQVIG IAIILSLFFAPLKTNYESVQSRMGFIQQLAAVYFVGMLQCIAIYPSEREVFYREESDN CYSVEAFILQYTSLEVPFEIVSSLLFGIMAAFAVGLESSVKMFFLLAFNCFCIVSCGE SLGIMFCTLFSHVGFSINITSVMLSISTVLGGVLSLNVPAVLQAFNHLSPIKYSVSNL APYAMRGQTFTCTSDQRLPSGHCPIETGEQVLMLYNQDGKNPAINVMALALVAIGYRI VAYLLLKATRSHGMWENVRARLSKSSKEAKA UREG_06859 MKKLSSGDKYSEEYRKNDNGGGISIKLSLDKDQKEVSQFEYTLD DPKVFYDLSNIDGYPFKDGGVTIVPSDDSCPKVTCEAGDGKCSEAYNKPDDDHATHGC PQETDLHVVLCAGKKGAKLRQKRHIPRHPHARPAE UREG_06860 MADWSMYHALGQGEEDPNNKNVRTDPAAPQFAPPVAQQPHAYPQ GVAPPPGPYGGVHMPPPPSGPQTPQQLQGPAQTNLQSGGIEGLTGQMGGLGLSGDTMG SIRGHKKKHRHAHHDILPPAGSSQPFGGMPQGQIQNPSQYLDTGVNQPLQPLSPMTSP TQRIPGVQPPPGTGDGSVPTHGKVDPTHIPSVPASRDIPAQYYANHIYPTMERHRPPP ATIPFVARDQGNSSPKFARLTLNNIPSTADALSSTSLPLGMILQPLAPLDPGEQTIPV LDFGDVGPPRCRRCRTYINPFMVFKAGGNKVVCNMCTFPNDVSSEYFAPLDPSGIRVD RLQRPELMLGTVEFTVPKEYWNKEPVGLRLLFVLDVSQEAVNGGFLEACCEGIMGALY GGEENNAEGEEAETPGKRLPANAKVGIITFDKEMHFYNLTASLQQAQMIVMPDLEDPF VPLSEGLFVDPHESKHVICSLLAQIPTLFTNIKNPEPALLPTINAAFSALEATGGKII CSIASLPTWGPGRLIMREDGKGQGTDAEKRLFTTEHPGWKKTATKLAEGGVGVDFFVA AGGGKYMDIATIGHAAAVSGGETFLYPNFHAPRDVLKLSNELSHAINRETGYHALLKM RCSNGLQVSAYHGNFLQHTFGGDLLIGTVDADKAFGITFSHDGKLDPKIDAHFQAALL YTTSSGQRRVRCVNLVAAVSEGGTDIMKSLDQDAIINIMTKEAASKMPEKSLKDIRAS LTEKSIDILAGYRKHHSTSQPPGQLVLPEHLKEFPMYILGLIKSRPFKGGHEPSDRRV HDLRMLRSFGCRELSLYLYPRMIPIHNMKPEDGFPDKDGQLQVPPSIRASFSQIEEGG AYIVDNGQICLLWIHAHVSPNLLEDLFGPDKATLQSLDPSTSSLPVLESHLNAQVRNL LQYLSGVRGSKANTIQLARQGIDGAEYEFARLLVEDRNNEAQNYVDWLVHLHRAIQLE VGGNRKKEESGTAGVGGMESTLTGLAGLRPPYW UREG_06861 MSLTLASQGSSNGREAEGNEKGGAKQGVQTTDPTMATIQDDDER LLARIGYKQEMKREFSKWSTVSYAISILGVLGSVPATFGPPLAAGGPATAVWCWLIGS VMAMCIASSVAELVSAYPTAGGMYFVTKHVVPENQVAIFSWIQGWCNLLGQTAGVSSV AYTPALGDFISHSNPGSSLPSTHSIVFSMSEETHDAAIRGPVAIQTAVLVSGVFGWML TVTMCFCLSDLDAILNTPSGLPAAQIFLNAGGRTGGTIMFSFAILVQFFTGCSAMLAD TRMAYAFARDDALPFSKFFARVNQYTLTPVNAVWFVVFFSICLNLIAIGSTETATAIF NITAPALDLSYIGVILAHQIYKNKVRFIEGPFTLGKWGTPINIVAIIWVLFISVVLFF PPHKPITAKNMKYTGPRTKDLLEEVSTEDIDPVAYYDNFEA UREG_06862 MADMRYFSMNGEVPFGGMPPVYIMAASQQGLPPGTPAPGPPQLI PIFPRPDLGIIPGWQPWQEPPGVIPPPYSAPPPPPPPARPVASASSSAAPPLPPGGNI PGTKQPLVTASGQGYIFPKNHTTLHIIESNFPPWDKPGGTFFWRSVLAPPTLTLKELI EQVAPETGPKGEKATSRGITECLESGDGVWLKASEFWIGEKGDNDAMKKKVGQALAKV GWDEGRGTTSRPVWLAVAVVY UREG_06863 MWHIQHGMESIVYAVDWNQARENVIAGAAWFGSSGANWTDVIEQ LRKPTALVCSAKGGDKFALAGGRKKRDDLLLDMIRSCVAKNGTVLLPTDTSARVLELA YVLEHAWREAADSADGENSLKNASLYLAGKKVHGTMRLARSMLEWMDESIVREFEGGD ANEAPGQPTGTTGGLHSKGMPGGATDRKGAGTHKGLGPFTFRHLKIIERKQKLENVLQ SQGPKVILASDTSLDWGLSKEALRHIANGSDNLVILTESFADLPHSSEPVGTARDGLG RTIWGWYKDRQDGVALEKAADGELLEQVHSGGRELTFSDVQKTPLDSDELQLYQQYLA TQRQLQNTAQGRGKTDLENAPDVLDDASSSTSSEDTESEQQGKVLNFSTTLAHSNRSK LALTDADLGVNILLRKRNVYDYDVRGKKGRERMFPYVAPRRKGDQYGDFIRPEEYLRA EEREEAQMQVQRGPDGRIHAAPGQKRRWDEIGAKDKLGPSKKQHLQSGGNQEADIPVS GSLDLNGAEDSEVSEEEAEGQDASGPTKALLIRSTVSMNARIAYVDFTGLHDKRSLEM LIPLIQPRKLILVGGMKDETLALATECRSLLAANAGSDGTTSKPGVDIFTPQLGDTVD ASVDTNAWMVKLSRALVRRLKWQNVRSLGVVALTAHLRGPETAIEAEKTEESSNKGAT VQKSVENQPSGVVESRANESLVKKEIYPLLDVLPPNLAAATRSLSKPLHVGDLRLADL RKLMQTSGHSAEFRGEGTLLIDGFVVVKKSGAGRIEIEGSARAPPVNPRAPGRDEGTF LAVKRKIYDCLAVVARG UREG_06864 MASAGYMRMHLVPYSTDQETPLCDGSFSENATAKCTSLKRGSES VEDSDEDYYSSQEYIEGSNYPKRRRQYFDLDMPPTKPWRGPPLHDPELYETIQRLGKM SGAYMGAGANVVLKFEPFGSPSPSPMEDEANVKRNSVHENSRDMASRREQGMSGRAES LQPPPFSPLTPRSEQTEETSVEELARRGVQALYASIRAAQGKDAQGERIHEDGVQEME VEQPHDQVTEGQTGGKKLPELSALQEEMERDVSAHARSVSGQSTIPEETEERSSPPVA PGPRRGKKDLGQPPITEAASEKPDTSSSKPTASQGNASKTSGYDSDFLTGRERTPSRP PPSVPPSPTEGMKRIGRVRLYAAFPRVMNFRRIRTWAGRRVKAPSIRKVHTSANLLPR PCLTRPGRRDVKFFREPFPVSESPSVASLQPVPPDPYAHLFPDRDIPIPSIEDPTPVP EAQAPVSAPRGGAPRRARIRIGPPRGRSNRQRIPPASEAHQPSGLANEASQPTTAAAA PDAPTLALGTAAAAPSGHLAGAPAARGVPRRRARTRPGPPPRGRIRQPDIAPRAEALS ESATVGPSVTGETRSLPRVQAPGEAEEQIQPATESTAQPPATVPGTGDARTTIRANTA ARRAPPQNQPSHEGRLEEPNQNQNEGQERTAAPVPRQGRGAAKATATAPLPRPEGRVT RAAARAAAAAAQAAEAAANAPTEDIQEEEEEEETQPGPARAPVKKGRGGKAASAVAAK GKATAKPAGRKRPAAKSLKAVKVEEVDDEDESEVEESDEEQVEVAPVPKGKKTAKGVS KASGTSTAKKSGTGKRAAPKKGGRKK UREG_06865 MTTFLPVNFNNTGAEIKMDFQKSSTAPFMPQTERSVKREAREQG HPLYIPANHGENKRQAVDGAATDHPKPNYSHPNPGVSHRSGSREGSSTQSSAPEDVAA HDSEGEHYASENEAEAGDAAPPSKKKKGQRFYCKDFPPCNLSFTRSEHLARHIRKHTG ERPFQCHCSRRFSRLDNLRQHAQTVHVNEDIPGDSLAATGTRFQRQIRTDRVRPPGRA RAGTGGSQTGGPNRGHSRNLSTSSIASTVSSFSQTQELRRRPPPIIMAGDGAARARLS LETASSPPKTPPQQIHPYSVQSPSTALFTPSSATYDTTSPFYASPASATGFWGDSIHA RRLSVPSGSRPFDASHASSYPPAHLRQLAPASGPYAGNESPLGGPTGPHTPQSAQGMS PSDSDWRRRTWHPSSGFARPVSSGLWIQQSADQPSSFPPNLQSVPDQPPPRLPGIESF DQIRQNPSAPPRREPTPMQIDHPALSDQSSTQQTPTAPVFPTSFNPHPPLSRPPPPIS GPGHRRGYLSLDMSLHRNLTKLDIREKPSQNDASQWGKQTTTVQQEPLSAESVVSGVT TQALPTITSSESHTVLGPTNLDGQNKRHAWYSVTGSNPPQRNPSITNAPPDANAQAPS ASSVDYLATVAQNESEIERRHSLGVADGSGTSSQPPKYTFDPNSEPRSGVYSGPAGNE NGLDRLEALVAVATSQRR UREG_06866 MDRRANYPGKIQPPLGSRAVLAVENNEQEFPFALEAQGVSGGTS ENVSLCRGRSICAQSRDGAGTGT UREG_06867 MSYSDALTLALDDPLPVQNQILNIIYNYLPPNSSTSLEDTARKL DQLHPDKRPDEPRVPKESSEDFVYSFWEPFHMLARLIPQDHPAMDMLVQLIIKLRDMP SRQVHLQGWGDFALWADLPLFGETFSTAYDVE UREG_06868 MAAINTRLVSTMDVFANCQSARQEPRSRNIRASHTQEYHCREST DKKKENNKGALVANISRDKARKSARKAGLKPGKSGYPQRFYNRGGLKFAKPCNKKKHD IYEYPIVADQRSDYPKEQKGANPGRVRVYYDQYFNICGVGLKANKDNSGNPHLCT UREG_06869 MAESEPSYIDYEAFLDPSFSPTSFANTLITSTNNPSDSPLDLST PLSRVLFDIQEIDTHIHTLTTKSALPLLAHTRDQNDAGLRVLHAVEAQVSVLKEGYER LEKDVLRKWEGAEEVRGAAERSWATVRLARAVGRCLMLGRQLEGQLLELSSRAADTGG GGSANLVREDHRALIRASHTLLMLRRMFTTTADGEEGYGLDRVKVIRTLRSDLVTPAE NSIKARAQQIVQKFSLTSFALGAENSTLSASSRGPSPGPTTYAQQEEARGRAISAITA LYLLSPTPKTNIPAFTFTPDLLLATLKDYIHTALSTSLAALSRGLAMLPSLDRALLEV STRCQHIVALESLLEGIKAPSHPFLTYPSSQVHETERHAIDGDTTRMKANLLQPLFQS LDTPSLPSYFWRSLASSLSPRVREIVSRGGVSARTLRSNRDRLREDIRACVLRGSQLP GTGLTRASSRAADAPIVVGNWEREAAVMVSSVVSALGR UREG_06870 MQPRPRKPRRAGALKDLPPLSIVKKILLLQVAYYVCATILILFA ALVAGTAFSPDLILSWRSLRGDTTVGWTLSFVWLLNSFFGVIFILILVSRSKLVPDFA LTIHLIHLIITSFYTHAIPSNILWWGLQSASAALMIFVGMWACQYRELRPIAFGGSST NQRRDNRTAADVGAEEENVDSAGFSRGRDGGGEYEMVSVKERPEGPV UREG_06871 MSKLAKADDTGHLMEQYAGIYTLLAEKNEDVADSNGQDHRTGSF TASNQAGAQDAKNELNITAFQNPLPVSQDSSTVTAVSLQEPPASSTTRAFNHAAPSDS SQVEPGPCSSTPRINDMTHPAPLREDQNPVNNDQIMRHDSENGINYQTLLDNLSQPAS TIPPINDATITVPNSAADSNTRLLDTEPSSFAPSNLPARPPPQDNRAINPTYSPADGI RSFHNSHTQNTADSSHSSQSNTHGSASLNASDTSAPSSTYTGLPSVLASLHQPNSPNF QYNTKTGAEAHNSRSDEAPGSREPKPHDDRNNEAPWGPEIQRKYDEFLHNERIYVTEG VWDRFAPGSRLFVGNLPSERVTKRDLFHLFHKYGKLAQISIKPAYGFVQFMDATSCRN ALEAEQNGTIRGRKIHLEISKPQRSGRNANEPSKQGRSKRSRSPDIGKSREVGRGARS GSERHDRNLNGKRSPIVDRRDHPDRHRDTYRPPVRGGRDDYRSRDQSPERYDVRSRRR SRSPYGPNDRHRNTPPMGHGYDSDSELPIPRRAPRHVPDVQILVLEDLDNAFVHHIET AFKDRGLQTDVLILSPRIGLQAVIRRQILEGVLAVVKLSKSNQYSCKIPLQVFDRGRG ADNVRFNGRAQLNNPQPPKLTSVEYSGLEPKVAVEVVLHARNVPISASSQTLPTSGLG VQVPVPPQNLLGPQPNVANLISNLDAPALQSLLGALQQNTAGIAQPQYRPSGQPPGNL ANLSHITHHNNPGPSMLPPSQYPAHAQPFGQPAANTPLEAETNLAALLAKGQVPPTDP SQARFLMEQLAKWKQ UREG_06872 MAATSDKARFYLEQSIPELKEYEKKKIFSKDEIASITKKRSDFE HKINARGPSPADFARYAEYEMNLDTLRKKRVQRLGIKTPEHTGQRRIFFILDRATRKF HGDINLWMQYIEYTRKQGAHKKLSQIFTNALRLHPTQVDLWIYAARYALEEHADMTEA RGYMQRGLRFCKNSKILWLQYAKLEMIYIARIAARQKVLGLGDKAANAKAHRSKNDVG SEPLQLTSEDVNPALEKDEVDQTALEILNSTPALSGAIPIAVFDAAMQHFNDDDYLGR DFYNIFAEFEEMPCLKRVLSHVVDRLMRTSTASARCQICFIRLPLDGIKPTSPEFPRG LGECLSRMDEFDASGSGPELAKEISRWLNPLLSRDGLDPALRRVIEATLAREEQAIAK YSTPGGER UREG_06873 MAPKFRDGDTVISINGKWVSWAHTIVAYTAFLGALIVGMSLHFN KIVQNEYFGYPQEWFPSVSATIGDRYPERSVFQVFIAITSGPRFALVFLWYILTARPS SSLPKFVAATGLFRTLTCGGWTYITSTDDHDWHDIFMISYLVATLPWTLGCLALSPNN PKALKYRKIFAGLFFGTLVPLVYYFIQHKVHKIPGAYTKYAFFEWSLILFDVGFDAVT ALDFEGFELVIKDVKGVSRGSAKTARDAVIEKEKSVSVRHSLGEGFFFSEAVDAAADI YNGFVFWSILTSLGVLVWYFPLWNMGISGYELLVMSTISPFFLAIPALQSFAIQNVRY LHLLALAGLLAYNIHHPVFRLFTVGFAVSTSCLAWTATWYSERTQPARLEARVIAWTI GLLASSIAKYAFHTNNPIWPILHPGNGGWNKTAFGLAVFSVLRSTRAKNNMVDYFASN GKKGPSLLAAMGLGGLFFALHSLLSDSSTMISWVWEGFPVRGPIAVPHGSVTILAMGA GAVFGLNYPRFSGSWVAFGLGSVGAATLTCYSHWRGFSGAVTLSIYLMAVAPVLIRSA VRHSPAKTFGLGFLIYNFMVLFHVWVVAYAFVPGGPLVREHTDWVMATTMLLIGAGVF SASVSKESPFLSKNKKAALFNKKQRSYYYYCLAVLQLISFTTSYLRFPTNDYTPYHKE EKLVTAGIWTVHFSLDNDMWSSEKRMRDAIKDLELDVVGLLESDLQRIIMGNRDTTQY LAEDLGMYVDYGPGPNKHTWGCALLSKFPILNSTHHLLPSPVGELAPAIHATLDMYGE HVDVVVFHSGQEEDPEDRRLQTEYLSKLMGESPRPLILLSYLVTKPLEGNYNTYVSEL SGMKDIDPSDWDRWCEYILYKRIRRVGYARVSRGTITDTELQVGKFVVGQPEGTSQRI SEEQMPVGMRFPALFRGNGVRGHRYHVFDEPRYYN UREG_06874 MAAKSRMPMWIGLGIAGAGGYYLYSAGGDPKKATQKFEDDASRA KHKIRGDYDKDAQQAGAKAGARVDEGIDQARDTAKRLDQRATDFAKDKANDFERARQD TAKEFHQKVDNLERDVERKAEDAKSGISSWFGSGKK UREG_06875 MATRTLRSRTPKQAGSHLPPAKTKQAGIQGFTRAGKANAGVGSI ASKETPSTLKRKLQHTDVEEVTPENRPGGGLKVPKLGTAVSKLVSKPSDAIDQNLVIE SHSTVDTPNCKRDESQTIVPTQTKSEVEPEQPENCNRPSSYLELVFLYSSFLHALSLH FAHNGLIAPVDLTVFLPNMERVWKKRKVTTEDIRRLLYVQNTGDGNKISVHSRLIDYG VRILLERVQANQSGNSSYAPLNEDELNAKFEDNLEQIWLEKSTAVSDFNCMRDIPLEP IYKIPKTLFSIKQQKASEIRLTGLKAPKAMGFVQEKGPLHKSCTTERRNGLLERIKSK ALRQSTLTPPVSKETAMRRAAAARTSDIVNVLLRLAPSASSDLEISPSHMKKAYKLET MVQMIQDSMQNPVSKSEISMSLEILAKSEVSGGWVTMVPVASTKSVILRSSKNISPQD IKVKVDKTIWPSALTLDSTPIHVINLRVASILLRQNSASEEDGLQETNRQGFEQKMSS RPELKVDDEVGFIRFFRSLPPKDDSATVRVFDRGDWYTAHGSDAEYIARTVYKTTSVL RTLGRSDTSGLPSVTMTVTVFRNFLREALFRLSMRLEIWSSQGGAGKGAWKLAKQASP GNLQDVEDELGSAGGMMDTSPIILAVKISAKASETRHVGVCFADASVRELGVSEFDDN DLYSNFESLVIQLGVKECLVTADGQKKDIELAKIRSIADSCGIAISSRPASDFATRDI DQDLARLLKNEHATGTLPQTDLKLAMGSAAALIKYLGAMSDPSNFGQYQLYQHDLSQY MKLDSAALRALNLMPGPRDGAKSMSLYGLLNHCKTPVGGRLLAQWLKQPLMNHNDIEK RQQLVEAFVSDTDLRQTMQEDHLRSIPDLYRLAKKFQRNVANLEDVVRIYQVVIRLPG FINTLEAVMDEQYQEPLETEYTSKIRNLSNSFGKLAEMVETTVDLEALDHHEFIIKPE FDESLRTIRKKLDRLRHDMDAEHKHVGHDLNQDIEKKLFLENHRVHGWCFRLTRNEAG CIRNKREYQECSTQKNGVYFTTSTMQSLRREHDQLSQNYNRTQTGLVNEVVNVAASYC PLLEQLAGVLAHLDVIVSFAHVSVHAPTPYVRPKVHPRGTGNTILKEARHPCMEMQDD ISFITNDVSLIRDESSFLIITGPNMGGKSTYIRQIGVIALMAQTGCFVPCTEAELTIF DCILARVGASDSQLKGVSTFMAEMLETANILKTATSESLIIIDELGRGTSTYDGFGLA WAISEHIVAEIRCFGLFATHFHELTALEERYPKSAKNLHVVAFIGDGSSETQNGTPSK KKREVTLLYRVEPGVCDQSFGIHVAELVRFPEKVVNMARQKAEELEDFTNSTAEKGED SMDLDKYSCEEVAEGSSLLKAMLVKWKAQVEAPGNENMTTEEKRQLMRDLVQADDLLK VNKVFQDIKAL UREG_06876 MVMNDGLLRVFWPYEFARSTAPGVIVGWRNSEFDLFVVSVLEHV EPRNVDNALRAGILYRTSPHPISRLFSLCGRPALHVLGSTNAAEVPTAFTPSHIHATT NHPSKVPRIYCPPETKLSIQVILFRRPHPTRMQYMSPYPMCLVLSDKRTSVDTASGEL GDVDAAEEASKAQSAKLVNKLKLHTVVKHLPTPKEHSLSAIVNQINCAFEVDRLLQSN IGLIGTRPKRSLSVSERVVESATTAWDLAVLGISYAFTVWIYPIIKQGLITAIIVHRV IAEVVLTVLEWRARPDAAALKDISATAQQIDIRLQQFCYWPIQYLTLRKRKNDWESVT HSHPDYIRFYNSLWLVANDVIIGIALGSYLIDNADWVASQMNAILNGSTVEGLQQTIS WLMDWPAGLKLNNELAAFLGDLFLWVIEYWASALAGLRPFYRHVIYMIGCSGFAGASM ALAMFSDLLSLFTIHIYSFYTASARIFHWQLTIIISLFHLFRGRKRNVLRNRIDSCDY ELDQLLLGTILFTLLFFLLPTILVFYITFASARMAIISLKATLDTCLAVLNHFPLFAL MLRLKDSRRLPGGIKFELHKHAPLPSDDDFRCAPTSYINLQLWHSTPFLDVLPSSGAV GPEYIGKE UREG_06877 MGLVRTRFVPIQNDRFLVTLKLLTQMSWQQIIDAYNKQFGEAAL PGDARNRWARQLKANSFRDSLENNGELQEGEVADAVEFLRVHGLGHLNSEGERFLLTH SDTLSNDVESLPAPSVASAPLAAASTSQESPNIPRRTLLMTDPLVQPATQLPYVPELS PLEQIWFSATGYNPYQHFLGPCYQPNSVGEALAAEMPRNPEFGFDYSTEFVPEFESEV PAEPVDSQLHFQNDTQFSATER UREG_06878 MPATKKPASKPAKEKNPPKKPTKWTEEERIFLAAMRLATNWSWE EIRGEHEKAFEGRGRTAKDLESQYNKALKPKLDRAKGDRTFADALDDYRHYGKATHPD DQRVIDQALEFLERLDKKDRLW UREG_06879 MDISAEFTPFQERLTTALVQATKTTSQLLAEDIAFQRSINPSLS SSLDEQSSRFLTLTNSILRFATSSSDLNVATLEDEETVDENWRAVVDVIDELLEKADA CLDEFTGVIKKLTPSQDERGQDFSKRASRSQFPSVYDFSSAKLPKPQLSFNNRPNNHD SSPFRPILRQKPHAIVSLPLSPDPAAGIGTEPSEHPYAHEIRNCRYPPSVYYKSEPQM YQPFESTSATFVNTMEGVQAMLKELKCAKEIGIDLEHHDTHSYYGLVCLMQISTRDKD WIVDTLLPWREELQILNEVFANPQIVKVLHGSSMDVIWLQRDLGLYLVGLFDTYHAAA ALHYPKKSLKFLLDKFVNFQAEKKYQIADWRVRPLLPGMFDYARSDTHYLLYIYDHLR NELIERSTPGENLIDYVQENSKEEALQRYERPVYDTETGQGAGGCEIDRECGPDHLSL SSESTTLAHSELINASEYAPLATFDALALSLPLPSVPINVSDEPIKVEAGNGIMAAAS GEGAASDSRNPPLTDQIFTVKQFGAPPKRKPAAESDATPVPSPQPNPPRLEVSSSMSS KKRKSASNEDTVPFDYASADSVLRGRPADEVQSTSRKPQFNPYTKALDAPQALRKAKK DMGGGKSFTFQ UREG_06880 MSSHDARSMRQSKRMSVTALYLSMSAKDKDLEISDDLAKAQKAL RELKLKISSQSKKNFVLEKDVRYLDSRIALLIQNRMALEEQNEVASHLDDSAEIQEGV FPNDDKTQKYGNLLFLLQSEPRHIAHLCRLVSMAEIDSLLQTVMFTIYGNQYESREEH LLLTMFQSVLTYQFDNTPEYSSLLRQNTPVSRMMTTYTRRGPGQAYLKQVLAEQINSL IELKDVDLEINPLKVYETMVKQIEENTGTLPDYLPKSVTAEVAAANEQVQAIIAPRLK MLSDIASAFLGTIIEGLEETPYGIRWICKQIRSLSRRKYPDAQDHTICTLIGGFFFLR FINPAIVTPRSYMLIDGTPAEKPRRTLTLIAKMLQNIANKPSYAKEPYMAKLQPFIHQ NKERVHKFLLDLCEVQDFYESLEMDNYVALSKRDLELQITLNEVYATHSLLEKHSAAL VADQHSHLHLLLQDLGPAPAQLPRKENRSINLPLFSKWETPIDDLTAALDITQEEIYF MEAKSTFVQILRSLPQNSAVTRRPLRLDRIAEAAATLKNDAVMVRKGIRTMELLSSLQ ELGVIDKSDDFSLLRNEVEQELVHLGSLKEKVIDETKKLDEVYRTIRDHNTYLVGQLE TYKSYLHNVRSQSEGKQRKQQKHQELGPYKFTHQQLEKEGVIRRSNVPENRRANIYFM FKSPLPGTFVISLHYKGRARGLLELDLKLDDLLEMQKDSQEDLDLEYVQFNVSRVLAL LNKRFARKKGW UREG_06881 MSTTPPAQPTNPLKLDPKYDDYDYPTTAPVAQSGHPGHTTPEQD AQVSQLRMMLEALGYSERLDTLTLLRFLRARKFNVEAAKAMFVACEQWRAEFGTNTLV TDFHYTEREQLFQYYPQYYHKTDKDGRPVYIEQLGKIDLTAMYKITTGDRMLKNLVCE YEKLADPRLPACARKSGHLLETCCTIMDLKGVGITNAGSVFGYIKQASAISQNYYPER LGKLFIINAPWGFSTVFSVVKGFLDPVTVKKIHVLGSGYESELLAHVPAENLPKQFGG TCECAGGCPWSDMGPWREPEWAKPPKWAKAEEKTEVKTEEKADDDKKEGTENANKETD AAAATTAAPADTTPAPEKKDQAPA UREG_06882 MNLRSSEITQAATTPDDPTPCDQRTMQAPHHRKIELQSTADLAY LYTNTLNLSRQKLDLHFPPSANNDADDPMKARVRELVDDFITKTFTSAIPSISINGLD TSSAAAISKQTQLADFLSTREQVEYEPYDAHLASRVTSLYAQLESLTTTVAQLRRDAP VSAAKKYAEMLRDALEEDDKEAEDDAADLEALIREAQGSDGKGLDWARIQQQRPEWIL DVPFGNERERERWRNGEIGETYADGLSMLVRLQGEATLSKDGDDGDAGSSKGLAATAG KVERARRAAEVVEKM UREG_06883 MTDATTITLVDNTHPEIDSDITPILSSSFSRPPRSLAKKLTRNS VRENLARRKYAKWQQDRYDNRQNECSRESSLSRRQSSQRERTTSIGPSSTATDDAETA GLDGASTATRGEPQSEIDVLYENQRGMFFFGIPYYSEKSLLNLDPTAWLTKNFEMSPV DITNAQLPDPSWEWDWKTWYIDMSYDVDEEGWQYSFSFASRFAWHGTHPWFHSFVRRR RWLRKRVKKGTKELRRIMTEDRSQAYSTIDEFLTSRTASRTREPSICREGTSHVSTIQ QDQEDVISPDEITNPVTLLKAVKRAALDREKIEAVKAFVHMGGEELAYLEETLPEILS LLLFHTSRRQLADFLLSAIEEIPEDTKDEKAQRRRSNLVKAVGATYRQYKGLEFWGDV GSEIAAVKGKAPPKFLATVEINTRTLGVNKPQSSSLPPSMLSGSRYCLRTGISTSSVS EKLVVAGNEPLLFLYPRFFSSQFDGSRPKPSTGASIQALGVRRRPKLLSKDNLRYLKR EAPSRRSSQLAVSRCCSESENGALPVSPRKKVWGQFSRPTKNTKSHTPRDESDSANVP GEKGLTDSAYDIDGQHTPSACRRLAYTGTRHANDLPIDYKQTTAGPKKTSPWNAFGKR AESLHNGDLVQERYTRGEKEMAMSHEAYALGKEDKSNSQLDSGFKRGDWHGVEPASEY QGNRISVNRKGSTKKVYSRGAFSEQSAESNAAQIEQQEASDLGRAGSGFERSSYEVPN PGNKRPLVQRVIHSKWAPSGSQSSKNVAESKSKGIALKSLRGNQNHNVTERRVNRYNS TSKSKTPECRITSLSTRQRKSIGAASSQLVDSDATNEVPESTESGHKRSHWLRIVDIL KHRRNKEPEFDLAEFKQYLQVMTVLLRLMRNTTEYSTEKFECHKVIQLQEHEVVYLTG DDAETSWDIPVVSGCRVHVLPREDDDFGSTRNVLLLGSSKAIKLAEEQLQEELKHTHR PGLPPFAREGDNSKTPLIRSIWAFRRMRTAEGEIERAMRTEGVWINQTWQDARVDDYP MPKVWTVRSFADYVETVTCFFNTKAPQRVVYPNGDIHEIVVRDILFRLFDNPDNRKYF SSRALSLAMSYLHHHRFFDDTRALIPILEEFLTRRSAKRLLQSAASNYNMGFFKLYLE MFEKSKIPPDEYYWIAFLQSIRSNIMRTYFCDLIHNLGAMKKPDVVNYAVNITINHRF RTHLSNGGSGADFVKAMNLKFGDNWVSIAAINKIILETTLASNLDARNDILKFCWEKQ LKLDTMTLNNALLYYVESKHIMSGLNFFAKFIKVYRVKMNGLTWQLLWFLGLRRRSYS LCRVIWRYACFLNGTTGGIIKTVRDSLARPLKLDGHLTVGERWIMYLGKIVIGIIPHK PRPSDEHTTDLKELYAETEVDSNEAYPVDPKDATVDHLCTDSKTGPPLEWRVAAADEL VEKDLQARRTHKPCIALEEILLRALNRDLFWSKSSKDVHLQREAALPVPIEPKYQSGL TEVEEKMIQEEIEAVFKRSEFEESLSFDDDLDDFPDLQYKLVPSGPE UREG_06884 MTDPSPPSATKVAGETASPQFRYIQYDNKRENEYVPAMRQLISK VLSEPYSIYVYRYFLYQWGSLCYMAMDQNNELAGVVISKLEPHRGVPLRGYIAMLAVP EKYRGQGIATKLVCMAIDAMIERNADETETTNTPAMKLYERLGFLRSKQLHRYYLNGN SAFRLVLYLKEGVSLLDAYGCPHPPPVAGEDDDGLPLHEDGEYLARHNI UREG_06885 MATKDTMKAVVFKGPYKVELEDRPIPKIQDPKDIIVKVTYTALC GSELHVFRGHQPSSTGFIMGHEYTGVVSEVGSDVKTVKPGDKIVSPFTSSCGECFYCR KGFSSRCEKSQLLGSAALDGGQAEYVRMPNADGTVMRAPEGINEHALVLMADIFPTGY FAASNAFKEFTREEVSELTVVVIGCGPVGLCALINAEDYKPKHLLAVDSVPSRLELAR SLGAEPWNYQTDRDGLDKRVKELTAGRGADVVIEVVGLSPALKMGFDLLRPWGTISSV GVHNGEIPWTGNQAYGKNLKVQMGRCPVRSIFPGALEMLKKKQHLLGFMADKIMPLSQ AVEGYEIFDKMQVQKVIFDARQ UREG_06886 MSSPEQQQAAGSPGHDDGLSESFNRVNLNEQGEEITPKTEEEYA QSMLTLRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVQGVHDRVLTVTGPLQ GTAKAYGMVAKSLLEGAPQVGMGGIIQNNGTHPVRLLISHNQMGTIIGRQGLKIKYIQ DASGVRMVAQKEMLPQSTERIVEVQGTPEGIEKAVWEIGKCLIDDWQRGTGTVLYNPA VRANVGGGQMNSSFLGPNPNYGGRSYNRTGNGADFSDQPSSGYNRRHNSDAPNRGIPL VTEDGEEVQTQNISIPSDMVGCIIGRGGSKISEIRRSSGARISIAKASHDETGERMFT IMGSAQANEKALYLLYENLEAEKMRRSQQPQE UREG_06887 MHELGERPAPPLLRRNGKPHSCEPCRISKVKCDHTVPICKRCET RGIVSKARLPPRYVLFRIWLLTNDQCYYHPAPLTKQTKPAPGNEGTQERPSKRKRSTP RTDSPAQTNRGESIGASLLSPPNSNLESGATISHNAYLGSTSFLSVFHDTAPQLSNLG IQPLPPELARWQNKHLSLQSQLFRLLSAFDLFEKLIEIYYEPGLFTVIPAPLILDALR FTRKHLEGEDLSNPKQKNLYRKISQNTAKPLQVSDTATAEEFYQSFTGENLRWEFIGV IFVMAGLSVVSGLNPPVDNPTIRFGDGEPLTKQSFATQMVAASNDIIEICRQHEKIND LMIWLEHTHCVLTSMVLDETSYIVYRGFGTLVSDMFAMGYHRQQPPGPGIPFFLSQTR KRIFSAAYRSDKNLATFLGRPPRVQLLYCDITLPLDLDDESLMLTGDALTAALENLDA DGWNLERTQEGRFRPASILRLRYIIAVQREKILELSLGRKPDNYVEVLYKNREECQNL WASVPNQFRYDISCWKTIEPIACIAMLVIYLEYLHSLFQIQRILCQQNVAENSSLLNT SVQLLSTALHLLKQPDPHPGNANQFLLDLPLLRPPRRRRSRQRTLPIHPLLHPLALIN PSPLPNNPRPQHAHLLVRNHRPPIHPLHTRLRRNHKGPEQTVGRHP UREG_06888 MLSSLKSALHYLSLYGVFKEYSRRRTGTKGRKLRHPLTLPLPDN RPLPVHRRKKSQHQRRQDYQPQTESVFFRLPLELRRQIYSYAFGGRAVKRPSVVRQID WHGGGHQHNHGYHHQQQHHVPQRIRWADIVPIALLQTCRQIYTEAIEVFYAEATFKAF TPVGVDKMFVGTPSQRMDSVRSIWIDIRFAITCSLQCDGLEEVFNEDTWAVAVRSFSR LPRLRDIRISFLKDVLTGGDYCAYLLERYVLPSMAVMTNIPKFELIVNFEVTSPENAP FRIQRIRTPILR UREG_06889 MGELSARGSFRSAPRKVQWALMAADDLHKFRQCLSAQLDLVKIV IQTSILSIVGNLNQPESVHTRPPRGLLETSGPYGSAHRMQPYLTWDSNIVDGNSLFRR VDDLSSLVYERLLTKPNIPSQPLGKAYTLPTDFDASTAPPPLEKISKGKVLQSTAASE VAIVGGSDAITRTAKTEKTEHDTLASEMNEYLRSLDLDVLSAQEEEELDQNENGSQKL LLDPSTRVATTPHIPDHNKSEGHSRLPDSGSNSRPKPRNFFQRQRPQVAMSISNLGLA SSILQCVELAASLSLKSSTIFRDSRNAPQELEALSKRLIQYSGLLKTAAEVVRTSMPT GELQEMCGETVKDSLESMEKVEIILSKFQLPARRQFPMMLKLLIQWPIHKTEIDIIME EMESLKSTLAVMLQLYQIKTFERISMMAERMSIAPQRVVSEQKLINTSREVVGDVVSG SAFKCSTSSNPVPGPLVISLIEEIQRARWWINNVVSDTVCPWCYVGKKRLEKGIELYR AAHPESNDTFSISWSPFYLHPNAPNPGIDKQEYYNQRFGPERARMMHARAAQAGLAEG IRFKFGGRTGNTRDSHRLIQLGKTKGEATQTRVVEELFASYFENEGDITSHHALTQAG VKAGLDEAEVKAWLESDQGGAEVDSEVRSAQRSFISGVPNFTIQGKYQLGGAENAEAF VDIFEAVKKAEGP UREG_06890 MQDIDTRLPYRIGWPSPLPILPLKTTLIEGDYRNSPEDDPELGG GVVYLEDEDLTYIKCLASMWRILDRHAVQAKSLELAFRHSLDSEPGEDSDRTLVINCK GRTGSWLHCLTDIRSCWGGKGIFKRIEFLDVDQLKAPLHCITEDDPVLQLWERDYQSK VFAIVKERNWQSIDVFRCGTASNIAECPATVLIDAYDASDATWWNDIIPNIQSQCHGW QVRLTQAYDLFRSSDNAKEPSVLRGPLGGPYGLENGSSIGPINTAAFGTLGGFIRLKL PGCDVIQFALTNHHVVRDPTLSEERKVLVSSPSIVDVDESLSAIAERIQGELEDVQNS NHPSREAEIREVKRYIEESKLLAHHIKSQAQQHGHVFSSSGVRTRDEAGCSWIMDWAL VQFPSTLETSEKLRDAPMTKPAWKGREASTWSPSIRAGMRVHLKGRSSGWARGRVNGI KTTIKHRFEQDDKLVSAWAFEPGAKRGDSGSFVFVSVNGQVAGVYFGGSKGKRMGFFI PMDLIIQDIEEVTKGKVVWPQKSTME UREG_06891 MARILLTGGSGFIAAHVLDTLLERGHSVVTTVRSEEKARRIREA HPGVPKEKLDFVIVPDISKPDAINGTMGILHAIKKSAPTVKRVVITSSFAAIIDTTKP PTHVYSENDWNQMTEADAATSPFLGYRASKKFAEKAAWDFMEKEKPNFTLATCNPPLV IGPVVHYLSSLDAINTSNERIRDFITGAAKQSCPPTASPLWVDVRDIAVAHALAAEKP EAANQRFFIVAGKFCNKDMVEVISDEFPELRDKLPQGEALKPGEEVAAFGFDNRKSKE VLGLSYRPFRESIVDTVKSLEPLLSQVGTTSPM UREG_06892 MSTPPRRPRPKITWWMRIKHRLRYLESPLALRGSIIRLRHRHKH PYLALLRLCMPTATFSWSYPVPTPPSPLALIENPDLGWERRYDNNIKSLETVPIWRSR DTPLRCLYRLYEAIMGGDEMLPVVGYETEYFFRQGRRAWELHRIPDPRDPDPIRYALL ACILESLLDSFNWRLSIGMRRDGNHIPPTNWDGVNNPYAPYEPMTLPSWPQQVPPVDK QYLKDVMPERVIDSQGLLMLHTDGKDEIFTKRNIAATGHKFWTI UREG_06893 MEKGLAALDAAMGEDALIYAFSPITIISPGGYVAVTFFQNRAST EDIDFFIDPEYARDQDILGAIRKAMRQIGRSLDLGESWINDAVSLFLTLDARRSLFED AQKQNIVLWEGANLKVLAAPLEWGLETKLRRLSTKPNHPKTNTDMSDILVILKFLKDR DGAP UREG_06894 MRKFTIRDVGDDIGRPDHDPDGLVLEAWAQGFMVGTLVFMAAIT IANMRRRVLLHKLILAELILGIGHGTFIFAHEPVYGWYLSCTAIGLNMSWSLHNVIAW MKNRPFMSRRVSMIYIGTVILVQPYWVLEIYANFAFFNNINDLFLKTRPWEPLFRDPW WIYTTCNLFWVIKSQYDFKIVELLRNGPRFGVMLVAMCISIVFILLDTLSVLRVLKGA LPTGINPFWKLSFVFKCLCDAVVLDDFKTALDRLRDYWLEKNGVDVDENSDRQPTGTN PPGTPASRSRFWRNSAGIIEPLELKRQQKAEDMNCGSSSFSNENRVSPFRHV UREG_06895 MVSIQAAFRTPGYPDEGYFFKGSRYLRMWWKPGTPEERKVFGPA TITNEWKVIRDAGFSSVDAMLPSVKNPQKVYAFSGNRYVRFSFVPGTPEESKIFGPAN IVDEWKSLRDAGFNKVDAVIPIPSTKPEYEEEAYFFSGTKYIRVRYTPGTPKEEVVFG PAKITDEWKILRDAGFDEVDAFVPNSNSNTDVEVYAFRGTKYVRFRYGPGTPKEEVIY GPAGISENWATLREL UREG_06896 MYIPPVHAEERLSVLHQIIRDNPLGILTTAIESPNHPLILSSHI PFLLDVPETADGTLPNGILRGHLARQNPQSKVLTEALAAAARSEHGPHALELPGEVLV VFNGPHQHYVTPKFYTETKPRTGKVAPTWNYSAVQAYGRITVYSDSGSAETQAFLQKQ LEDLTLLGEKEVMGFTEPWEVGDAPESWVRIFKKLIVGVEIRIERLQGKVKMSQELPR GDREGVIEGFERMDTEAGKGIARAVRECATGNSRAARRQPAEPGGGRRTAHPPVHDVQ IRRRPGQTDPQRGSGGNCASSHGHHAAANPAPQADFDGRSYVYSREFAPNATRFEAIL SSLLNGHAVSYSSGLAAVHAALVLLNPRRISVGDGYHGCHEVISVVSRLSGLQKLALD CPAESLGEGDVILLETPVNPLGTAFSIAEYAQKAHARGAYLIVDSTFAPPGLQDPFLW GADLVMHSGSKYFGGHSDLLCGVLATQRQDWAKRLFEDRVALGNVVGSLEGWLGVRSL RTLEIRVQRASQNCAHLVSWLQGALIASSPAQGSEERIVQTVLQRIYHASLQDEPWLL QQMPNGFGPVFSIVLQSETFARTLPSRLAFFHHATSLGGVESLIEWRAMSDSRVDRKL LRVSVGIENWQDLKDDLLQAFRSLAGSSD UREG_06897 MPSLSHSEGFSNPALAGFSPRKIAALKAGSRPGPSSSSIPTLLL QTPRTARDVDLQSQSSATFHTPLSPGTLPSAASSTVSPSESLYPISPSDSRPSYQKRA PASRSSLGIETATGPPPALSTQRSLAQERHTHSNLEYPNRPRSFGLASTRDSGSKTSS VTAVDVGMRAELPTASILKRSSLPTRTVYPPQQKERPKSSRVSRAEATFPDDEDEDLT ARIDTHADASSKDSDDSRQKSEDVFLNIAQSASNRRSTRPQKSRSGLPGLSSRLRTNE ETPSPERHAYASQDMSPRGGYGNGIMRSPASSHPLDEPSRLKYLGSTTRSTIGLPRSR FGRTNRELSPESSENHTTERRGSAQDAFQLRAHRHSTIPSSRTHRAYSNSDGTDRPKL DAERSRYDGTESTLSTTAPSTVWDELDDLKSRIRKLELTGKLPASSAAAMSTVSGERP RTATTTVTTLSSSPKHGRKTSASPKTGDTAAVDAQIQSLLRAGLAKARATLSPEIYST LEATANDALTLAAMFGSNTQQLGSSSMSVISTTTGGDRQFKRKIDSMCRSLTELCIAL ADQKLIALSKNRPGSSDATSSIPHINGADVAPSTAATNYRRSASHEPEDLNRQGSSFG RALAGSRLDGRRSSMLNLTSGSSTVRSSQEASESQVTLKPSTPASRIGRSSTTALRSR RQEDEDAMDKASIVNRPLSRVFTDAPDSKGRASLLTRSSREFNPPHDFQSHKDQHLPP QQRTPQPSRTSNAPSQSAIPLRRSYLSSGSHLSATSHFNIQPGYRRYGSSVINSGATP QLDGRRAAANEGETASSPLTATGTAGGRTASHFSSMQQLRPRTNSFGTRRLSLRRAAE SAADGT UREG_06898 MQRLLRELWIVKWAFTSKLIGAGRSNCWCKGNWGLGHADECHGG RAILRLITGSPFAPPLLSSLLPPALPPQPPPPKTSSPPSSHTSTPTKHPPAWATRAKP CSTTSPLFGDIRVLVPDYDSSKRKLRSTGNQRWENGKEIRLCWESCPSYGPSQFDLWT ALPSLIAALAGAETVSITDHPSSAALSGAVQSCIAQNIADAAIRARISVHPHEWGIFF QTCRDQTEAKKPIGFAAEHQGTFTRIICADCLWMRDQHANLVESLLWFLKPWGNEDSA GRAGDGGLAWIVAGFHTGREIVASFFETAESMGMVVEDIYERDVNATSEMGVVTRPWM PVREGEGPENRARWCVVALLRKKD UREG_06899 MAAADSAPALPDYVLDCDAVLKDDVAWRYGRAPDYSKTRKVYEA GKTRNHEPGSLPSLVENLVKNWEIEASFKTRLEDWRTVDASCYTFGLNGGPARDGTHM LEVGTYNALIPSNQYYDPEKLDFTTSHKAFKRMMPAFAWEVLEVYSGPPAVVFKWRHW GKMANDYVGMNDKGEKVTVKAHGGDIDIQGLLVAKVNEKLQIQSIEVWNDPMEMFRQI GKNGDAVITPRAEGETGTEQPGCPMGH UREG_06900 MASYKEASNARPLGQSTPHPRQSSGRLHSHSISLGVVNTNHRVT RRKSMTSTAAANMTAVAAALGESAASPGVPLTSYHRRGLSSRKAGSESTSMGSASAFG SYLAQQRKHSPNAAGGNSNSNHNQSGEETSSASRPISTKHRNRRASEGSHLMRGEGKR SLIELKTAPVPVDRWEHDPAWAYTSKLLISKHQQVQLLEAATVLVNMNQDDPAQSDAA NSGDSDRSSVSPTASGISELRDELSSTETTPPPMGEESMTKLADHHRFGSSAFSRSFQ SVPSSSFAGSAPSYSPARSHFRQSSIDTRPSTADTGVLDDDEAGLAAAIELCNFGTPR TGPTVMSSDVPPVPPLPARYLSQSMSSNTLAGGSVHNTARSFDVRSTTSKDASSTPTM FNPFLINPPLSYKISDERDVKMGEVKPIQEEDDDFDRGSVVPMDEDDDGVFGKMEE UREG_06901 MLPVTLAAIISVLTIWGSFAPVPFTEIRPQLNLKWRVVASTKTV LVVRGWYESVNLATYCIDRFVCHPRPCFRRVENTCLAELDSLANLSSHDAFELDLAAM QKASVPVPTRTLPVPSRVVTVESEPSAVRSRGDSDLKMGQAVCIVVALVSSLVSGLSF VLIKSGAMTKNPGNRFDDRPPPTESLVEDSSVESSTNAADNSYRGWELVPYTGNVSLV RGAVPTLTVPVSTPKQAKETPLVELWKNPVLSRELSFHPIVSQDFQNLILETPRPQQL LPPAQPQEPGVMDSTAELNVSTTPDSAPIPEEPVGPPTVVSSTESSPAVLPVEKEEQP EPTTSETPAEIPATTVEVPDTPPESAVAVTAVPESDNCNSNGTEPALPKEEQQEQQKQ QQQQQQEQQQQQPEQRQPEQQLEQQPEQKPLQKQQQEEGPQAESDKEAGEADPAEKKK RRNRPSKKTRQRQGRARLLAAQDQAIRQAEEQVQAPASSSQS UREG_06902 MKRQPRKLKWTKTHRALRGKEMIVDSSLVLSQFAKKRNIPVKYD RNLVAATVKAMERVEEIRQRRERVFTKRRLAGKIARDRKRAEDRRVVAEGEHLIRKEL QMLEKGVPLEEQRNKNAAVVGQERVRQKKKTRMLVDGGTQEEMDID UREG_06903 MTSVLAVGIGVAAAAFFGRAGLVAFRRYRGGVNAMGEGVLQRRI RAPHEPSRGVPDTRAFVRIFPFDLEYSLQSGKANGSGAAYSERTLTKDKVRANHRKLM LLNHPDRGGSPYLATKINEAKELLEKHG UREG_06904 MSNTDAPPLQPQQLQQSEGQPKWYRVLQPQMDTAAHDPLDPAKR YHEGVFVEANPEKLRGTLFHVTGDIIGAHGMRYEERINYCPADSIHLHVNPQIGWVLS ADFHSGKISTILRSLPTPPKQQGINFWEVDPVTKRHEIIWTKENGDPYGPDEQQRPVF KCNEWTNLYAVPALRDAGVLHDLA UREG_06905 MWEPVTLPFKNNSQPLPALPTPDEIRACANVIWERSAAKVVAVN NEIVVKFGSCVETWEGQALVYLERHAPKIPAPRFEAAFIAGLTANYRASSERRGCPDY KARFYETCLPRVLQGHRPTLTHGDIQQKNIVVAENGRNNQGERSFDITLVDWEAAGWC PDFWEFFCASCAPPFLSWEEDWCWRIHEFLQIWPAEMALLRMMDKDWL UREG_06906 MADLQGRKVFRVFNQDFIVDERYNVTKEVGQGAYGIVCAASNVQ TGEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPEHFNEVYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSMDPDENAGYMTEYVATRWYRAPEIMLSFPSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILGYLGTPNEDTLRRIGSPRAQDYVRNLPYMQKVSF QRLFPNANPEALDLLDRMLAFDPSSRISVEEALEHPYLQIWHDASDEPVCKIPFDFLH FEVVEDVHEMRKMILDEVVGFRAHVRQTHPQVGSALPQSASQQTSVPIPEENIGAWKQ DEPRPQETVHSGALPNDLEASLQGGMDAMR UREG_06907 MGLTCRDIYSVPGLQFAPFASRRSVVHSTTGMVACTQPLAAAAG QKILEQGGNAADAAVAVAAALNMTEPSSTGIGGDMFCLFYDAKTKKVSALNGSGRAAG NLTLDQIRKDMGLAAGETGGIPMTSVHAVTTPGAAAGWVDTVEKFGSGRLTLEQILKP AIELGENGFPVSELASSFWEACEEPIRNASPNFRELLKADPEAKDGARFPLPGEIFKN PNLAQTFRTLATEGKDGFYKGRIAEEIVKVVKDLGGYLSLDDLKYHAETGSQGVEPIS LKINPSEIAGSSINGGEIEIWEHPPNGQGIVALMALGILKELSRTSKIPHFTKEQHNS VPYLHAVIESLRIAFADAAWWVTDPDVEKVPSQGLISPAYLAERAKLFDPNKASGIVD HGSPAHNHCDTVYFAVTDKEGNGISFINSLYGGFGTCIVPKGCGFPLQNRASNFSLTP GHPNVIAPRKRPYHTIIPAMITNPEDNSLHTVYGVMGGFMQPQGHVQVLLNMLAFKGT PQSALDAPRFCIGAGHPRSSHAVDRTVYLEEGIGESVAEGLRQLGHQVKILRGFERAM FGRGQVIRCHVEDGQMVYSAGSDLRGDGAAFPAV UREG_06908 MVIYVDYARDAYASFPPQSSKDAQRLLSVEAVALNSSAGTSIPV ALSADHVDESGTEGVDAEAGDSSSAGGLDPVSSKFALALSCYPSYLGGGLGAGIGDTC QGVQCGREDKCLAAEEIEVEVDCEVDDWVAEHADHSLPHHGDGHHADGEGPGYLRQEI MGVGGVVKHKVKKRVKIGASVEEREDERESSVYLQKEASGEARSWCGWCFRVVPSKSE LN UREG_06909 MATTFPCKAELAGMPNELLYHIAENVEDNKDLNSLVQTCRDFYD LLNPELYRRDARLSGASALWWAAERGSVETAKKAVEAGADVNSVRTIGLRHKETLLLS TVIARARAKLMNNAAKRDAVFTILEMLLENGVNVNYMEEETGFNPIESAVIDEDYEVV KLLLEHGAQIPADISGHGSFAHILIQWPGRAPSFELLELLLTHGLDANARNQSGSTAL HLIAERQFKEGLEPVLALLLRHGADIHAKNGQNRTALELAMEEATFVAAQGRTKFDAR VLKLFLESGADTSTVMRPRLQVVREAFEEVEKKLGRTFSFCG UREG_06910 MANLDSGANACPMLLWASSVQRVVHSAKAGSNATILDTRGAMTC IFGMLWFSRSSNHEMLDRCGLCPQSSGPPATQRSVGEFFTGGIMDVSDPVADRIPGNK SEAFGHSLARPHPPFSMPHE UREG_06911 MATTQASHSRDRSITQSTMAPMLDFQLAPSMAPFGSHPMPLSQP WEMPMPTTLSRPESTANALSKDVALSIRRERELLEAAVPITYTPATHRISKAKKGKRV HFCQFPGCPKVFTRAEHRRYAFTTSHRARHTSQREADPHHRRRHELNHNPRREFMCSV EGCGKGFHRHDLLARHIEKQPSSSRRRKTSRASTVSDKTASNPTPIPNHRLTPVRHTL PAATTTTTTTIPTPTPSESLPLPPTSLPQSYAGDFSAPFWGQAGKPLQKNQTVPQTPY HLVDDVGPILLARQFALFLVERQPISRVLTIPRHRTVPRSRLCPTAHHLPHSHGILPR LDSPGSGGHPAPADVALHNLWVRHPPDSSTPLQPGWSRMVCSATRACFPPGVVSGDNG MAIIDLAKWQDCFECYWQHFHPLFPIVHRASFFTTKPSPLMAGAMVAIGSQYDRRPNS KEYSLALLEACQKILSKFIQDSHWASRNPLAAYQSLPQNPSPDDITNAHKSWVEHETR RRVLQAAFILEVQQSVLFQQPLATFLQSNLDPVMREYRISPKVDLPFPCNSELWECGD INQWTKHAKGYEALVSSTARERIIKSTDGPGFDLDPFQASLIFSQTLPNTSDLEEVMA VFVEKVAGKIDLAGNYGQNTRSSYIRFMYHAHLAAKHIPLQAILTVSGESWLFNRKVC EAEFQTAKERVRTWASNTTEVKTALWHAIHVLQYTVHHSDCSKRKASVQGPRRPSMDQ LQNGYLNSPAPSAVSTNSQDRTATGPTPPFLHNNNTTSFQPPAGPLTMLQSNWVLYIC LLICYAYENRATLSNNQLITANPSPVTPISTAPPMTMHQYISTFLSSPSFSSLHQFLH PPGPHPITPGHDLTAVIEHIRFTISRSAGGNWNGAGLLNEAERVLVRLIEQQQRAQQQ HFQHFQRQRQHSHQAQLQPAWEFVDSGF UREG_06912 MPLSVEQEDQQYWQEVEAVKQWWKDSRWRYTKRPFTAEQIVAKR GNLKIDYPSNVQSKKLWKIVEDRFKSKAASFTYGCLEPTMLTQMVKYLDTVYVSGWQS SSTASSTDEPSPDLADYPMNTVPNKVNQLWMAQLFHDRKQREERLRNPKEKRASLPNI DYLAPIVADADTGHGGLTAIMKLTKLFIERGAAGIHIEDQAPGTKKCGHMAGKVLVPI SEHINRLVAIRAQADIMGTDLLAIARTDSEAATLITSTIDPRDHAFVVGSTNPNVPPL NDLMVAAEREGKNGAELQAIEDDWTAKAGLKRFQDAVIDQINASSVVDKKAAIATFLG ETKGKSNADARAAAQKILGTAIYWDWDAPRTREGYYRYQGGCQCAVNRAVAFAPFADL IWMESKLPDYAQAKEFADGVHAVWPEQKLAYNLSPSFNWKTAMPREEQETYIRRLGEL GYCWQFITLAGLHTTALISDQFAKAYAKQGMRAYGELVQEPEMENGVDVVTHQKWSGA SYVDELLKMVTGGVSSTSAMGKGVTEEQFK UREG_06913 MSSSHGASRHSRSSSDSIDPFSDPDIYYGGNEKAVGRHARIHQR AQSATLKRFDLTDISSFIGRRSSHDELSHTRRFLIDVDTTLEGLLEREDTDRNMQITI EDEGPKVFSVGTAASSGFNRFDLRGTYMLSNLLQELTIAKDYRRKHIILDEQRLNENP VSRLSRLITNSFWKALTRRIDGSNIDVVGKDPKDWTDDPRPRIYVPPGAPEQYKYYQG IAELRPELRLDVQLLPPEEEITPEFVRDLNDKPGLLALAMEEYTDEITGKPTLRGVPF VVPGGRFNELYGWDSYMESLGLIVSDRVDLAKNMVINFCFCIKHYGKILNANRSYYLC RSQPPFLTDMALRVYERIKPEPDSLEFLRQAMLSAIKEYYSVWMSEPRLDPVTGLSRY RPGGVGVPPETEPTHFYHILTPFAEEHGMSFDQFVQAYDSGELKIPELDEYFLHDRAV RESGHDTSYRLEKVCANLATVDLNCLLYKYEVDIARTIRDHFKDKLYIPPEFRTKGND SKEFESSALWDRRAKRRKALIDKYMWNEDKGMYFDYDTVKQEMTTYESATTFWTMWAG VATPRQASILVSKALPKFQAYGGLVSGTEESRGVIDIDRPNRQWDYPYGWAPQQMLAW TGLLRYGYQEEAEALAYKWLYMITKAFVDFNGAVVEKYDVTRPIDPHRVDAEYGNQGS DFKGVSREGFGWVNASFVYGLQFLNAHMRRALGACTPYDTFSKATALQY UREG_06914 MDSSSLFNVKGKIVLVTGGAKGIGRMISEGYVANGATVYITARD LAACEKACAELNALGKGKAHAIRADFYKEEDCKKLFEEFSKREDKLHVLVNNAGSNWG APYDEYPSAAWTRVLTLNLHRVFDVTQLFTPLLEKAAKPMDPARIINIGSIDGLRVPS LETFAYSSSKAGVHHLSRVLGPSFGPAEHHVSIPSPRAYSTRTLSKSSSNTLACGPFE SKMMAATLKKFKDSIEAGIPLHRIGTPEDVAGACLFLSSRAGSYVNGATITVDGGSAI AAKL UREG_06915 MEDLQAKHRKEQRDLQARITQKKKSATKKTRKGVNDECESWQRE LLERQQAEIAQLDGDPPAEDLDDLNIADDSEAAATSDGLRDADSKTASPARSTTPSSS TLPSQTGPKKPSRQKARLARRAAEREADAAAAASEAANQVDRRSNEKEAMQAVFTRLA LTERDIAPDGHCLYSAVATQLAEVGLGLRSAGSKNTEDLGADAGEQTSTSKVDGYKSV RQVTGKYVLAHADDFSAFIEEPLDEYARKIMFTAEWGGQLELQAMARAYGVEINVIQG DGRIEKFEPGDTNDEGERKKIWLAYYRHSYGLGEHYNALSQAA UREG_06916 MLEDSPFFIEHGDLSPQNIIVDSEYNITGRLILEAIVSKGRHKW FADKPWFSESGTVMNVARELLFTSNSRSATSRSPICHHRRQKSSTTSAIRLHIDPGHH GQIPPPPQAPPAPPPALLLLTTDTMSNDMLRSTAGLSDRFRDLQGRNQGEVWDDLWKE SRTPWDRGAHNPALEDALVQKRGFLGPAVFDDGRRKKALVPGCGRGVDVFLLAAFGYD AYGLEYSHTALEVCLKETEKYYGENGRVPPRDDKVGSGKVTFLQGDFFKDDWLKDAGL AEGDFDLIYDYTFFCALNPTLRPQWALRHSQLLAPSPRGNLICLEFPTTKDPATLGPP FASTPAMYMEHLSHPGEDVAYDDKGYVKPSPLKQPSEKGLERVAHWQPERTHTVGMDD SGNVLDWVSIWRRRN UREG_06917 MTDSEKTTARAVSLEMDERTTAIETPSDASKKEEESGTITSENR SSRATSVHTTLSVEEPDDNLELGPVTTGASITPPPVSVPMSRRRGLLAQLAMIPEVEE PKHYPRRTKWFITFIIGVAAMAAPMGSAIFFPALGQVAETLNATPTTTNLSVALYMLS MGIFPLWWSSFSEKLGRRTIYLVSFALFVLWSVLSAIANSIGMLIVMRMLGGGASASV QAVGAGTIADIWEVKERGRAMGIFYLGPLCGPLLAPIIGGALSQAWDWRSTQWFLAIY GGIGFLLLLFGLPETLARPKRAPPVTEPQNPVERSLSRVSSRQVVQATAKWLKVARIV LIDPLKILLYLRFPAVLLTVYYGSIAFGSLYILNISVQDTFSKEPYGFSMLIVGLLYI PNSMGYIIASLLGGRWMDKIMQREARRANRLDENGKLIYRPEDRMRENAWLGAMMYPL ALIVYGWTAEKGVYWLVPIICNFFFGLGSMIIFSMSTTMLTEFMPKRSSSGVAVNNFM RNTVSCVGGIVTAPIISGIGNGWLFTIIGVVALASCSVIWAMKKFGPQWRVSMDAKLG UREG_06918 MRVPIAVQLAVLVLFPSLLGLAVISVAIWINNYNFVVDVKSQSI SSTAALKASQISGDLELAQSASKTVASRILIQAALKRLYLGNDTKENWAAANTDIQAA LGSGGYTALYQATIYPKDGTGNPYGVFNVTGSDISPIELPYSYPNGSTVILGDPGLGY PPRLYPNLTYSSRIESDENGIRRNVTTVHAFPDVQLRATSTLLLGPFHVNATFSLLSV TLPIINNTSATDILGFMTVVASAARLQNILRSRDALDSTGISLLLGPANPQNRFPSDA HPATRTSEGNIDALNSVQMQYVFEPSIVSGVADRHNRYHQGDSFALSAYPAVATAFAR RPKSFNNASTSLKTTNEEGSKVAVGIARPQSTMVDWIVVVEQTHAEAWAPVIRLRNII LACVFGVAGFIALIVPPLAHLCVAPIRRLRDATKKTMDPPMDPSSGLLTVSNNNEASC ETCRLSEKEKGFRVGLRFLGWGSFGASDCPHVEEGRKKPFCVPGKVEEKRRWVTDELT ELSKTYNEMSDELMIQYTKLEERVAERTRELEISKKAAEVANESKTLFIANISHELKT PLNGILGMCAVCMGDDNLPRIKKSLRIVYKSGELLLHLLNDLLTFSKNEIDKVIQLDQ KEFYLADIKSQILAVFQNQIQEKHIDFGIHFVGAPKTQVEPAEISEKPPQVETELGPP GTASLKDMVLWGDQHRLLQILINLVSNSLKFTPEGGRVEVRIKCLGEVDKQADRTGRT STDSKLFRRRSKTPSATQSKAAEKERRTSMSLQGQDQLSISPPIDAKPLMFAFEVEDT GPGIPQHLQQRVFEPFMQGDPGLSKKYGGTGLGLSICSQLSQLMNGTIRLQSIEGIGT TFVLRIPLQYIREVTPSTRTSSITASIAPSIRSLGSRVESHSSTSVHFEKDLEPRLVG LSQPFFAAAPPSPPATTDVQMQAFGQIDGQTDGKIRVLVAEDNVVNQEVVLRMLKLED VYDVTIAKDGQEAYDVVKANMEEGKAFNLILMDIQMPNLDGLQSTRLIREMGYSAPIV ALSAFAEESNIKDCMDSGMNMFLR UREG_06919 MVNNPAAARASSGSQITLSAMHRDRFVNVNDLGALGCLSIQGAL ACNPHFRIHAFGIIDVK UREG_06920 MGNKKGKKKNKRTPFNINDPPPDNTNNNKTNEEPQVESAAAQAA PAENGSRDELRYQTFDRETLQFTDVPVISLYPKNATTDAENGNADTESKEMVSEDPPA ETPGGEDSTPAEPADKPLDLADVTPSDDAAGGPVPDESPPQSEEEAPKDEPAENINGG DDEAQGGQEEPLAPESGDSVGSEPAEPLESADPSETKPDGEEEEKIPQEPEAPLESQD APNESTERENDEEPSFPDVDPAAEIDQVEAEKEAEKAVAEFDDFDFNEQGVDENESKE KPNGDGAGEALVPESQEMKPDATDDTPSVDTSEETGVDGKPMEAPDESKDTPEVDIPS TEAEHECNDKPVEETSEEVAVDSEPNDPATDEGSAPSPECAAEAPDEDADKSPERDGS PLVEAESPAVDPAEGEVQPSEDLAKIEEPSAPAEPAEPALAGELEPSGHPPPAEPEQP AAPEESAVAEQPAEPEEPAVAEQPVEPEIQNTPPAEEEREESGPEELPVEENAAEPVI EEENDAPVEPELVKEDENSIKEELAIKDDSEPAESAPGQDQPDDPPAEPAVGTDEAPG VETPTEEPSLAEADPAEEPEAAEPTLVSAPREEPAETENPLAESSAAEEPSSETSPQE KDVPPPEEPLSSDSLDPTPDEVPVEQAPIEETTVEKVAVEETPGTEESTPPPVVEKEL PMEEPLATEPPTHDEPSPEPPALDIPSEDPAPRSLEETVAVVDPDPAVKDSFGQEAAD EHRRRRRRRRSVWEHEQRDSRGSIDNQPGLRRRKSERSAFATPRADGVVEVKPRRATT AYAEQDQPERRRRKKRSPEETDSPAPRHRDVRGDSTSRSRGSSSQNSFSSLAAKPVAL LKLMTTGESNTSGPMLRVNGQSSSSSRSPGRHSDSSSRSHSHGHTHRKHRHHDEEERR HRRSREHREHREHREHHHKSRRDGEVQPKPSRKDSYTYNDHGERHRERRHSKRYVEEA PVGLRLRDKIRENLKAVIAAA UREG_06921 MIGRWLSELPMDDPTRKSTSRPMLRRFIHHTEPIKFIKYIGHGA EGRVYLIEVLGSQYALKIFSNWTYTSNIYLRERQKPYTFPFSHECRAFARLDSLDENG TWAVKCHGWIKLSDEQFKPLQRFSGLSRWAIVKDYIPNEVSISDVPEIRRKMTIARKA LLYPKDLQPRNFRGSFLVDLGAVRTYPYPPQRFWSNTRRREYFAWFDKDASQWEVSVR DGKVIEGWLNRMIKGKPSPCETAEAGDAKLD UREG_06922 MPPKTILDRLSEPNPNLDNENILEGKPTKHVPEEDIVIQPWEDF TFETLMATYGDVLRCELDIPKSSPISKLECMIFDEDSLDHLLTRAITPIVNDALEGAW RICYKDNADLRVDMSRGGRARKPQSVNEKEEEEEGKKEAARQGSDKKDKSTVSAKTSF PDWAGVRKRRYSPGFDNRCPGETKLASKWHSKEHTGEDHYMWPFAQAIIYVKKWNTRY AYIISNKELCVLRFSTARIGSGLAQSRLRRELPAPNAPQHHRTISVASVTSAASRMSI DSPSQHSRQESVSSDVQMSSSYQQSAHAGDMRPVEMKTIPWSNHGHGKLTVKLALWWI HMLAGAPGCDIFIGHDYHDLNTWIHENGRYQHVSTGIVTTVKPTSGRIETSRSPQNPV TPPRDRRALSSSPLSSPPNQVSSPPGMTPVLPNVEDITRLSFDKKRNQFQYEANPRRY GYFSPGTPIWSIRHRAQLYAILNDGQPIWVRQRERSSSGNDTESGESDRSGELPPQPR RRR UREG_06923 MPPSGITAAACFGRGYRERDFYHIRGLLLGGWKEISTDLSTHKR NHVQPQLQPKQTTIMETIAYGVSSVIYKMEHLKSTIIKHPFPDHQDDLRCEQQAYELL GSHPRIAKYHGRANNNLACEIEYYQNGCIDQAMLKIPEILYLKWSEQIAEALVFVHSK GIIHCDIRSPTVLVTDTFDVVLADFASCRINGVKVSTVTNNTRYRPPSYEDSGYKVGF QDDCFAFGSLVYFLLTREAPYKDLPDHEVVNLYVAGTFTDVSNWPIGAVIEKCWRGEY LSATQLLKDISNHSTVPLVDPSEGSEIEPIEMFDLNGPLKPEPKAAQGHYANVPY UREG_06924 MAITLPDNENSRPGGGHLNTLQSTKVKQRLNQIDRIRAKGIGDH ISLPQLVVCGAQSAGKSSVLEGITGIPFPRQDGVCTKFGTEIILRHSLETASINASII PHITRDEQEAKELRSFQRRLDGYEELPDTIKDAAACMGIRGFGAPGCDGPAFAADVLR IEVTGDIGLHLTVVDLPGLISVDETGGEDVKLVESLVDWYLQSSRTIILAVVQATNDI VIEPIIQRARHFDKSGQRTVGIITKSDLINKGTEGRIALFTKNLDQTKLKLGYFLLKN PSPEELAAGTTALERKRLEMEFFRSERWREHGLNFSRVGIEALRSFLQVLLEDHIERE LPKVCNEIDVLLERTQAELHDLGEERLTIGDQRLFLSKLSMDFQGIMQAALEGSYHTH LSGFFSYGAESATPRRLRARFHELNGLFADYMRDKSQKRNIGSPRDPTEDEESGDQGE EVEQVVEEDDSLSIGHPGLDNGLPPCQSVSRGAFDGWVKQVYKRTRGQELPGNYSNIL LTELFHEQSSRWPQIAKRHVITVHKETSSCVQLALNHVIKDDHVRHGMLKIVNRRLQQ TLDDALAELQKLCSDEKLQPITYNHYYTDNIQKSRQNATKAVIQKALHGASHDVHGVL HISNTESDKLRLLSSLQRHVVVDMDQQACEEAKSGLKSYYKVAMKTFVDNVCRQVVER HIALSARSILTPTGALQLSDDQVKEIASETQSKQERRNELKMLEKCLRECVWELST UREG_06925 MAGMEEIEIHSKHPGTTGTLTATSTSIHSNSDFGDPRDNGKGGN PSASVVTDKLKGIGLKPIKWIGKCEADKISQGTYDVAPSESGNYALVFDNTFSKQISK TATFVLLTYPTHCPPRSGHQVHHSQVVTGPAAGKSALTGSHAVVGSGDSGSTESLRNP RGYGLAGSQTKSGLQALDTSLNVHANLHTGILQKRRRKRHQGHARRFFSLDFSTSTLS YYHDRNSSALRGAIPLTLAAIATNAETREISIDSGAEIWHLRALNDHDFNLWSYALEK ASKAPEDQIQSESKLKGRTQSTPGRRPSMVPQDIKSWTEVEWLVEKVALARDTVRQLA KDTDPKYLPHTSDRDARVPPANARNGSQHVDNGAETGDREKRSFWKLKPRNENFVPAQ RSISHGDSSGSEKAITESTNGKGSAVHDRLMALLQELNAVVSDFTGLLEKKRLRASHA PSIRTHRSVESDLSQEFFDAPDGNSSPLLTIRRDSDDEEEKSLAEETVLDDESSLSGS DIEERDDFLKFRHDNSASLFPTKPKSLSPLPVENVARRKTVTAPTVLPPSLIGYLRKN VGKDLSTISVPVSANEPISLLQRAAEQFEYSPLLDKAANATDALERLIYVTAFAISPF SNMRVKERSIRKPFNPMLGETYELVRGDLGFRFVAEKVSHRPVQLAYQADSKDWSFTQ SPKPTQKFWGKSAEIITDGDVRLTLHSSGEHFSWSPGTSFLRNIIAGEKYVEPVGEMC IVNETTGQKTVVTFKAGGMFSGRSEEVTVKTFDTHGEPLPLGLQGTWTTSLQLTEHGR ETNHTIWAAGSLVDKPQKHYGFTTFAASLNEITAIEKGKLPPTDSRLRPDQRALENGD VDGAEDLKATLEENQRQRRKEMEARGETWTPKWFTKVGRPNGANGGGIGDNDDDGVIW RLNTGKDGYWEERMKGKWPGVVSVFQL UREG_06926 MAITILPPAVDDEPYGSSEENDDFNDSDSDIEMEDATTSRPSKR PRLSKGTIVTPGEVVTDDPQWMRGHGTFTPATSSVPSTIIATVAGTVLKTNKLLSVYP LRARYNPEIGDLVIGRIVEVQSRRWKVDVAAPLLANLPLSAINLPGGILRKRTTADEL QIRSFFNEGDLLVAEVQSVHQDGSASLHTRSLKYGKLRNGIFLAVAGMGGAGVVRGTG VVRSRRQVWTVPGANGGSDIEVILGVNGYIWISKRADAASAVEDGGMARMTRSVLRRG GRLLGWRAVYGCWCREGLKWTKIRL UREG_06927 MSSYVEEYSRNCGGFIAPWAAVVPPKPNTLPSRQPSKLQVRMLY RELEPEEGIKTRTLARWKAGVSPAILIFQHWAVEIGSDEYGWYRYELQYFKRGSELRV TRVADEESMERLRYKKVPLAVKKIFKGSTEMSFGQIESIGQRIINRRKRYILGVSDCH NFAIDLIAAIVCSPKPILTGVKVGAAAIRYVAKPIFLAITKVLEWAGVITEPLQKALG WLYPRYPLRDEKTDIDVELDREFLAKLDLEDEVEFRGGG UREG_06928 MKGKEWHAAFVPKDAPQETEGIPAVTLQAGEQWLDVYRAAAEEG VIVVGGSAQTVGAAGGYLTGGGHSAFSHFYGLAVDNLLEVNLVDAKGERRTLNQYTDP EYFYALRGGGGCAWGIITSVTYKTHPNPSHIQVGFLQFNVTNNSTLRAVLEKSLRGLV SVTDAGYTGYGIINTSDEPLGFNAIFIQPNGTNATFNTAFKPYYDISTMQGVSGLVAN AEFPSWIEYSKYFLRDPNIATNIIEASRLLTQEVLLHRTGDLVDLAFEYPSPGPGFSF IGKVDATERDNTAAHSIWKESRALLGWSANWTDDAPVDEKRKAKLDLVEISRRLGEIV GPEGGSYEPDWKNVFWGDKYDRLLATKRRVDPTNLFVCNRCVGTDIILEP UREG_06929 MDEPLLVSKDRSGYYHLDILGQLPLLQIYTQICLCYAVADASSH PRIITTLTNGLERLSASFPWLAGHVVNEGSSENSSGIFKIKPLAKPPPLVVKDLRNDP SMPTMDALRHAEFPFAMLDEKVIAPRKTIPGSPDEPAFDTMPVFLLQATFISGGLLLM FVGQHGAMDMTGQGQVIDLFSKACRNEPFTPEELSSGNLDRRHVIPWLDNPYISDSDA AQQQPVKPPSHGDQPAPFPATTPPKCTWAYFIFSSRSLVALKALATSASSPPSGFIST DDALSAFIWQSISRARLPRLEPTTKSTITRAVDIRRYFNIPQMYPGVAQNLNYHTSTL QKLIEQPLGAVASQFRSAVDPKTSDIEYRTRALATLISRTPDKNTVSITANIDPSTDL MLSSWAKLDCYELDFNLGLDKPEAVRRPRLDPFDGLIYLMPKSRDGEIAVAIGLRDED LDRLKADEEFNKYGRYIG UREG_06930 MGMLSAADCEIDIGCYEHPEEFLEQLMRLQRLPVIQPSMRITAE SHWESIKVIGHGSLNAAGCPNVVKVHDWLNLGTRPALSRESDSDSDSEEQRLEYYFRI LYDYYPGGSIDALFDDYFQNEILLPEAFLWHLFHCMMTAVLYCTNGHAKPYIKPGWEE IVHKDVKPSNSLAYTLPNDDVREYKKSFCMEGTGEYTPPVRHIHLKPLLVARLYLCSQ GTMQEARDIYAPWEISSKIDVYALSHTIQNASKKVYQVYTGLEHQLIIERSDADHYLP YSVTLHELCERCHSSDPEDRPDVYKLWEVTSHMAREWKRVVLNNRRDAEVAGERFYEG MVLFDKNLRNQVFADQALRNEYFAATSWKHRNQQAVAEMKKWARWRQMELYGRTF UREG_06931 MSIQLLELLLELNSSIRIPRHFRYELDVPTEPFPRAYYQEPDTV PKLNYDVATARQKLREARVKLIEGKISPASCQRLEESITRGDNFAPALHYTELLKTPH AVPANGDHPGPVEDLDSTLGFLSPEHDMEYTNNLDSGAGFTRTGERPSTAEREREIIL RNPTSMYHWLRKNDPSAFLETESVGVPEKPSVSTKAAATRTSKRAAAQASKEDKGPEE DAFMLDLEPEVTKGGSRAKRKRDEDGGYRPKGGSSGRSRKKKEDAPKRKRTSTAAAAT AS UREG_06932 MDPVSDSSPKTSRKRKLDPEIEVDLTAPEPPSKKALRKAKKKPG AVSTEDSKRTTPEPKAKESGSEQSKKRTTFEIWIGNLPFTATQDDLRKFFTTQGTFTP DEITRLHLPSSGEKNNGRQVLNKGFAYVGFSTSEAVQRAVALSEKLLSGRAVLIKDAN DYSGRPEKSDAVEKPSNKTPSRKIFVGNLAFDITKEMLEEHYQPCGSISHVHIATFQD SGKCKGYGWVEFEELDSAVAAIRGFVKVPEDEEADASASDGQPKKRKEKKVWVNRLLG RTLRMEFAEDSTTRYNKRFGKEGSKREDGSKDKRQNDEAAPIEEVSTERSSSRKTEAR TRPKTKAKGSSTGRYSEETVQRLSGTIVESQGKKTTFD UREG_06933 MAPHHRRRLAVSRRRPEDEGEEDGSVAGDYEDDSLSDASSHQDE DHDGEESDFSDHDLANPDSASKHGRVEPLAEKDANLPSPKKPSFDTKTSEMEAMLNGL KLADNGAEATEIHFDDMGDDSGPAANDKGRPVSRGQRAKGDRNESAKERGVNPTSVPT RGGFFLHDKRGNKSPNGYRAANSSKPKSKPHGLIVDSSQRRPAQKPDVTDAPWTHDLH ESINQPPPAQSRPSSTQPSAVPPMQSYKPVPTAPRSTPPNRSFSSTVLIGNVPVVVFL PGMANPIPYSAVPKKQHTRLPQHRPPLRRDKPVRVALPGSPPRYIFPSTERSFIFIPR ALRPNQQLYRGRGRGGFYGSRRNSLYGGSVYSPSLPMSRRSSIGRVASRNGVISPGGS VISRAPIIPGDVGKPVVRLPPVTHGQAPIGPMAPPPVPPNVAPAPGAGPQPLAQLPSY TPQHPPFRENRPTPTIPMHQPRPQKTVSVADIESPMAFYNPPPQQQEQPFHHQVPMAL NGASYGPESAGYPSHTRHVSHPPQASATPLSQIPERAIHAPPFQPYPYPQPQSYYSPG SYLSGPVMYPGPNADYPQYSAPAPPGAAPVFVPAGQQAPYVIAPQPPAEPSTQPGTVA HESNGTVYYYDATQFPNYPNTAYPVPPQGGVVGMGGMMTPPGTYYYPQQPNGAMYYS UREG_06934 MAALVGPETLIAIKLNYEGANRRFKLPLKDLGAYTFPQKIRQIL CLPTDSNFTLERYSDSAAKWIVLDSENPAIYKQLYRAAKAKTKLRIKLTEDKHEPEPE QKPALESFSESTVSPASRGSYLNTVLNDPVPARDGTRPRIAKRQAPQMNDIGELPQLQ LAEPNAWIIDTSVPSKTRDNPTFYIDCNNCGDSIPNAHWHCSICDNGDYDLCQKCIDD GVLCPGEDHWLIKRSVVDGAVVNSTTETIAPKAQEQPTEDSTEEGSLETVEEEPEVEQ DEQEPEMAMRTCNTCFQDYDEAELVTCFDCNDYDLCFSCMVENTHGHHPGHNFNFIQD VGTSNLKDKRICGVRHKCLDCPDYDLCSNCVDSAPSKHIGHRFVPLYKPIAIAPGVQE THYGIYCDGPLCNDRCSFITGIRYKCAICHDTDFCSNCEAHPENNHNHTHPLLKFKTA VRHVSISTVGENEQGQPLQRMGDRSLAPTKAVGVSHAATQVQKSSDLPAPPSPASSAT INEEKENHEAEKNETQPWTASFSSPEYLQARFVKDIVADGTEFLPNTVFKQTWYLQNF GPRPWPRGCSIRFAGGDAMFNVDTDHPTSTSQLISAMESNELPRDVMPNEIVPFTLTL KTPCRLGRAISYWRLKTADGIPFGDRLWCDVVVRSAVSQSRGDSAEQEFGSVQGYFDG QDETQEKNGAEPLAESGMVFPKLDKESPESSIVETPEPASTTAKDVEHDIADDVESLT MDDADSDGFLTDEEYDILDASDEEFATGAQKREQK UREG_06935 MNVQNQFHIHPARSLQDIDTATALITSYATSLGIDLSYQDFNTE ISQMPGNYSPQNGGELLLALKGIPTNAPHPDNRSCGCDCTYTDPDVLGCIALRALSPR VCEVKRLYTRPQARRLGIGRALIDAVIGVARAEGYVEMRLDTLPSMRGAIALYKSRGF EEIEAYYDSKVPEM UREG_06936 MPPIPLHEAVQPDNNQMSNDGYFGNQGVLSSQNTDPKAQECSSS TPTAATRSGTESSSTSPDTPSAAAKGAHSSRELLRRLSLMDGKPMPSIMTRPEEHADL HLTGRIISAAFCIPYKLRFRSGMAWSQELHPRPGTSAIFDSFEYLASADNPWSHTLVG WTGEVEKSALQDCYFQSKPPETPGIESLSLETALMPINKASAPIPVNANHKPAPPPAD GIHVTEGDRARLEGQLRTSKYGSILPVWLADDTESPDQTIQLKDQARWRRYAEREVYP LFHYKQHGPTDGRSERRWWEDYQRLNQLFADRILEVYRPGDIVWIHDYHLLLLPSILR QRIPGIYIGFFLHVPFPSSEFFRCLTRRKEVLTGVLGANMVGFQSYTYSRHFSSCCTR ILRFDSNSAGIDAYGAHVAVDVFPIGIDVDAVRKMAYGDDHTEKTIAEIKKLYAGKKI IIGRDRLDSVRGVTQKLMAFEMFLERYPQWRDKVVLIQVTSPTSVEEEKEESEAKLSS QMSHLVSTINGKYGSLSFSPVQHYPQYLPRHEYFALLRAADVGLITSVRDGMNTTSLE YVVCQHENHGPLILSEFSGTAGSLHKAIHINPWDSGGVAAAINKALTMSPAQRQEQHE ELYKYVTRHTVSRWSDLYVRRLLTNLSSFNQSIATPLLDKTKLLYQYLKGRRRLFMFD YDGTLTPIVKDPQAAIPSDRVIRTLKSLAADPKNSVWIISGRDQNFLEEWMGHISELG LSAEHGCFIRKPHHDTWENLTEKSNMGWQKEVLDIFQHYTERTQGSFVERKRVALTWH YRRVDPEYGAYQARECRRHLENTVAKKWEVEIMAGKANLEVRPTFVNKGEIASRLVNE CQGQPDFVLCLGDDFTDEDMFRALRRSNLPADLVFSVTVGASSKQTEASWHLLEPSDV IATVSLLNSCTD UREG_06937 MPSWSSWSSSGGRLRGTKQRGDGRTREECANLSNRMTPRRLRVP HHLQAECFYHTLPAQISAPVLRGSRNHHAVDLPIIPDSLKATLEAHRYANRQRLIRRV PFGPSTHPDGMGPFKSEQGKQRQKSWPVQNLVTTESPAPTSSELGLELLTSSTKKRRL DIRPNGAVSLFRRLAKKGSAGLTTQKQAIQCPWLDYLETSGHDGISRMDDEIKAFEAY ISPVPQEETAVENLVSELADLLQRADHPQPLLIGSRQTGLALRHSNIDLLIPLPDPDG SFESRGPSPTRPKVAELQLERQAQISRLLRGTAIFNSVTLIRSRIPIVTAVHAATSLR LTLHCGTQFPTSLEFIQSYQSEFPTLRPLLAILRMVLEQRSLFGPQNQGINNYTLTMM IVAALKLSEGKYPRTNTAAQLLHILRFFSTINFRRYGIAVEPPAIFHKRGHRKSFDRM QADAPSVRGQISIGKVSAKLAKHMLCLQDPANYMNDLGMECFRTLEIQRVFGYVYADL RAAIKAWDGEWEGTAEETTGGKRKEDLNLMTARLIRDSEDKKGVSMLQLALGGDYERL ETMRDRIILGGGS UREG_06938 MRNRAIGANTDNELFRPGQFRPNRATGFISLAPFGNSRRLISFY LPPVPMAAHQAARSSFAAAALVSSAPSLCQARPAIFIPPILLRQRGLRYDVACFSSSS ASGKPLSSDKTQSQPISSSSVSSSVPPTINPGVSAVNPPSSTRPAKLELPEKPANSSA GLTYYMSLGKAYYTFYKTGLKNVYHNYRAAAPIRKKLGFSGYLPTSLPPRALSGASAF EQLVKREGVTRAEFQLLRRSAYDIRRMIPFVMILIVCGEFTPFVVLALGNRVTPLTCR VPKQLEKERRLKLDRKTAALRAPGPS UREG_06939 MEKTSIRPKGEAAALDPEKLTFSSERASNVPSSLSSDVEQGSFQ DDKIEEERRYSLTREPTRAIGTDGIIAKTLSIIRTKDSGQDPGPPPDGGFEAWLQAAL AHLVIFNTWGFANSFGMFQDYYSESLQRPPSDIAWVGSVQIFLLFAIGTFSGRATDAG FFKPVFLAQGLCLGIGGGLVFCPTMTLVSTYFSSRRSIALAIGACGSATGAIIYPVIV QRLLPRIGFPWTLRVMGLITVVTLAPGFIFFRQRIPPRRSGPFFEWPAFKEAPYSLYA AGMYLNFWALFIGFFYVSSFARSQVGVPKAESITLLMIMNALGTIGRMVPSCLADYLI GPLNTIIPFAFLTMIMLFAWMGVDNSSGLYAFSILYGFFGAGMQALFPAVVTSLSTDL KKTGIRFGMILSIVSVASLTGPPIAGALIEKGGGDYRFAQIFAAVSMLVGTAILVMAR LALTGPKLVARV UREG_06940 MATARDFSAPQESAGPNMDIISSPVSRMEDRILQCDPNVPRAPV THRDSSAGTLDRFPAETMFQIFSHLDISSLNNFRRANHATFDLVSAYLPFELPMMHAS ETLRAIQSVGSLKFHIFKASTVRTCFGLDDRHFRDIPIYRTIPGKYGIPLRTYDVVQH LISRPDAEYARLRADNFDQVQCDRRRALVWGLFEEEQRGGQARNDLYLQSLSPQTSPR PRVPLTWRSRLAEQTTQYNYHLTTVLPFANVAKRTIETHKYCTGCIYQRHIFKTKLKA TLPESVALELEEETMERASRAWLVKDLVEHHKHCQWVGQLLAKWPVTYKLL UREG_06941 MAPRGRGGKFSKPTRGGGKHYSRDIQPLDKDGNPIGMWRDPNDD PLSSEEEDDDESEEESEDEAGPSNELAGEMTREQRKAAAKARKEAAIAKKSQVAAAPG DLPPSESEEESDEDMPANPNHTAKSRSQAADAINGAPAPRAPGELSRREREAIEAQQA RERYMKLHAEGKTDQARADLARLALVKERREAEKARKQAEKEEREEREREKAEQKAKE AKLRDAAMGTSGKGGKKVGKSK UREG_06942 MSAYQMFSQNPWQHDPYYDNSPPRTPGRNTKHLGGRNRRLANRS SFPETFLDDLTPVDANQQEDSEEEEKDPHDLSLSPRHATRASIVDNMLLSLDQFSDSN FNTAGEAQRYQSHEPDPYILYSRYATAKRTRHRGHTFSSSLSSEIGTLEENGGRYSSH SGRGRRSNSSSNFQSTMRKVDGFDGADKSSNRSRVFEAQRATMGNQSSRYLRNGSRSS DSSNIDINQMLTGGHIGRARRSISFDYGTNGASTLSVDNPMLHYDDINAAPTPHVPAG PRRAQSPAFKDLSGDQQGAAASARPPALSRKNSTKSARSMYNKRGRPDTLGTTSIKGR PGDSRYLREAPPDIPPIPTHIHRPAPSPTIAFHKSSQFPPVTESITPPPAKERYGFFR RVFGSYKSSPPTQLEKIQGFVGDSEIFSQPRESQSAPNSSKGYPTQGQLNGGQDNVPV VTKKPSSFFRRRKRSTTDHVPSPLVIPNSNMKPRYATGHVNAEGSPSGSLRQIMKPYL ADSSSPGLDHSKPFAQSEVHLGELDVDAGNQQSNPKPVPYDRPRNKWVYADGGPQLSH NKGSNIAKSNLKPNLTPRDRDGSFLADSSGNEGAPTQSSENSSRRPMTSPVPETSSHK CALNGNGANKDSKIGARVNNKLSVNTTLKMRDETQLESASSTDAKQGFTKPKGASDSV SQSPPISASTTASHYQTAFNTPLLSPAEDPSLKLGKKLTAPTPPIKESIEELNEPTLG SSGIPSASDRERALKLYEDTSEDNSNEELTATWLGNPHRAGIRKAYMDLFDWLDMNIL AALRSLCSKIALKGETQQVDRVLDAFSTRWCECNPKHGFKATDVVHTICYSLLLLNTD LHMADIDQKMTRSQFIRNTMPTIQRVVEDAAPDAFETINPSAKLQRPRSIYDTGMLPR APTPYHEKNETEVDTASSLLKPQDQAPKSNTVTNISTLISGDMPSSSGQLNSMPFRGT QKAWENQVELVLKDFYNSIQKRRLPLRGIQAESEEQQPSNNFLTLTGNMLRRTPSTLS RATAPDVFSRGRSSDNRLSTARWASKPRSRPRVYPPSAMTSSRTSLDDQSVWSPTASS TWSKASLGKTLTSMSVDTLGSDYPRPDYQQSIGFANALSHAIIREDSASFIVGAEDST RAEALLEDETLELAGAPWAKEGSMKHKHHLDSVDKRAKDRNWNETFAVIQRGWMRLFS FNSSTKSMRLKPKQRQNGGIVVGGGNWMENAEETWKFLLRHTIASALPQPGYSKSRPY VWALSLPTGAVHLFQVGTPEIVKEFVSTANYWSARLSKEPMFGGISNIEYGWSDAVIN RALAQTDDHKPMSSSGTRVSIQSSIRSSLDQQSVRPRLPADRIHISDWTPPQQSMIAS SHSEEEQLTGLRSYVQYVEEELKRHNELRTAMLLAFTPRHPNATKALANWERKSSYLL REIVKFRTYIDCLQAAQVQKEKFYSSPRLDEAETANGEQA UREG_06943 MEGPSKEFADPERQELIKLIAEDHFKLFQTKDVSPALYAFIWLA DIEKLRETAPGSIAPMVVLLNPGFLKLAIQQWLQKGRSGSKASSKASSVTETSPALIP RRQRVTDPDRIPLTTSTVDVENTSAAPIKARSKRALKLTEERDKGCIVQRVPCVDVAH IFPSSLRDSHVASPLIQTLRCFWKEEHVDAWLKAAYGAGTESPHNLICLSPTAHRLHG NALFAFKFKSYVETENTRALELNFYWLKHAEPRTTVPLTEVPSLDLEAPSDIGLYNYQ KGLENGHHVKSGEIVRMETDDPERKPLPLQPLLQMQWLLNRVAALSAAAEPDDLE UREG_06944 MGSISHTPTSIPPSSPPLSPHQQFNDPCPGDHNKRSPTPEDDQD TSGILPLPPPTIRISNDDSITHNVADDDDAGGRGDDELSIPNQTMVEEREMHRRLMDV ESSFLPEPSAIRVGEGAVGLDDTYLVGVDLPDSSQVEEQSSPSPSPSRPAPANLDKHI VPAPTTGLGPSVFEDKECAGSLEHVPSLNSETSTHADAADHPPWPATATEVAESASHS FSAETDANSENGYDHGEEHSVAGNIISSLLDFILMPMLALTTPYSRGGAVPANNDLRP QSERRQKRELSRSTSLGSMASGISGYSDENPLERRAFSGISEPNLHTLDEEDVASQSR PTSSGKTAEGNAEDDAAPMTPKARAIELPLPTDTVIAQHVQDIQVPGTFARQFRDSHL SLNLSPDKRGAPTPGFSRGKNMTLKEQSSTIDRLSKENFDLKMRIHFLNEALNKRSEE GIKEMISENVELKSGKLKLQKDNQALRKKIRELEKQFRDHGNSEKGDIKDDAASGSEE ERAIMEEEEVIYLRERVETYEEEIERLRSESIARESEKRRLAEMVKSLSDGRIVESDA GAREERDMWKAMLDAETAAREQAEEENRRLRDEILRIKGSDAVSTAHSRSTRRRGMSS VLSQSDSDRDAIRSAARRTATSSTHREIELLQQENAELRREVSAQTSMLTSRNREKER LYQEIEELKLQRRFDGRSIAGDSIFERSASRAQDRSASRASDGTRASRMSDTERESLE SKNGELRDQVSTLKLENQGLRAQLDEYMSEIESMDRAYQEDMDRAEEQIQSAELARDQ AMQLAEEREAELQDLKAEAQDEINALEDELDMKTDDTQRLDAELRNQEENLRALQAEM RSANEGILRLEEDAQNNLQKYKTVQLELEDANRELETLEKNLFESNGKVQRLTVQLES SQNEIAFLREEQDGDKIKIGDLESELTTTQMSLQSEKERARELDSRLAEERHQREVVG SKEKQEVQRLMNELNRENTGAREDIRKLKKALSAREIEANTWKERLFELESSLREALG DLNGTRSSLLMSITKLQKELESTTLELQRSQALQHP UREG_06945 MAASLEASPSYDDYYGAPAWLFDSLHVGTSATQHAQSGKECADG RSGLQASPNSSAAMQTSNSKTVHRDVGLMSTSWLRIYISGRRGAYLTRLLYSPPSTQI AFSKSPLEYIVTMRQPSMFEKWVTIPLRRLYLKSSSLWKKYRTKAPSGSPGDSLLFQF PCDVVYYMVSNTGLSQADKLSLALTCKPLWNFLHGQKILDRLVLRDDWEFYPEEKQER VDFLRRIELLFPARFLCYRCAIYHHPCWHCLYILHLTACEQESGVFQVAYYTCLSFSR AHEIIKRSRYGDLRPLTDIQYCFTDQGVVSRFSARIVAGELVVKLDSSILFVRGDLNS KARALWNLETSGPPHWYHIRRAFRSLETTESARIRLQCKECRREGHLTLSPAFDAVRG EVRFTQWCNLGPCRDPADRTWQRVSGSCHGRWVPPPFFESEYQQYFDDVSPRFSRRKR PVCIPSRAP UREG_06946 MSGWEEKLSSGQQAGKSDGYLAQLEQGRDGREVPEARGWLCKQE RGSGIKQGGEVPVSSSGRMKQAVLLVEA UREG_06947 MASRHSSTPESGDEIKRKRVGKACDRCRMKKSKVELNKPAPSIV PVLLMLPVSSPVSATGAILANGAGPTIRFEKVYPKGFPGFASYMEMLEEQQNQLVAGL RLLYRRVQEGEGWPGKPVQECNGRPLTHEILEALGVLNEMEEPFEESVSALRNRYMTD RPDLTNRRESGSSIGDYSSFTSVQSTDLSQQSQAALAQRQELLTASMNAPRPSLWEYQ LPTRFEMGRPGLVHGNDPLLSGDNLDYFQPTAPLPFSQSTLTSLAVADWQQMEFD UREG_06948 MGFSTSSKEISLRRIPNAHRGNPITNLCAYCRRDNGTWWYSEIT LDWKMSYDLESYRLRLDSGPIDLVVREASNQGWLTPCMADISLTAGGSVLVCEPKLGH KLALALDMFFHNDNGKLIYQMPPQNVRSRLLHFRVSEGGVLSGFALTVHGTLQHTTLD LNEHLANQNGCIVRKRNGNFSWTARDLYLDDDWVFNLSLQYENHTWNNNIQCWELLED AGIWDLRGPFFRALEEIPVIGYIVAGIDAINGDTDEAIRAAAECTYGTIVLGVGFVAA IFFGPVGVGIASAVAGFAGLYAKAAIGQYISDPAMRNEVTAITIYRVLVSELVVIAGV GIESMSGSFGELLEEELMQEGFSTLVTGMGKMLGDTGLKFLTEKDMEIITKILLDAIR NGLSEDDIKHKFDDYENDGVDLLNPPPPKDPEPTSPTGSDDDGDGGKADPQGSDDDSD AFSGIDDGDLPPPEKDHHPFDEGEGKNDPFKRRKGRITYLFPAQMADYQAIKPPDSHV SQAIAFPDQTLSELMLRYSISYSLRKAPTAAIDPPRITMAQSLMSSTSGQAEEFFRFK SVSQSRRDSSIHCGESDAQMPRVIPRRYNSTATTNISNVVTSLQRRTGEDLGTSASSK LPTVTYATIMEWIRSERMGLMPPEGSDYDKVLAWAQLFVGRLHSFDLAIEQFAGDSYL AAQVSYGYCAMLLELGKENAAALVVSFGFFHSISMPLINLLERTELFNVTQEIQEQLI LALSDLVTLIACVSTYFHKAIRGLTTASVSVNIYRAFPNQIQNFHHRCENIVEAMWRH QLMRESLDGDKVFEVKAIRSWLAPEDRALNHLADNSSYLAHDREELTCLWMGQYLTQF LKSQQKHLSIAGPPGSGKTVLASVIVDYLQHSCAGASYQPLLVSINGRIPAQTSYHAI AKSILHQLFEKRIGNVHLFRILSHALYQSRAATDHGTYDAILWDAIDQALRAALHGAK ELILVVDGVDEATRGEDVLMQRLIQATSEGSHTKLITLGSQTPPATAEQTSVRITDDI IFDDIVAVVRGILASSKAYLELPSIEQETTLEHIAQAAKSSVLWAKLVTKRIRDEQNA DSFRKVLGRLLSAKSTITDLVLHTVQQKEVTAEARLMLLWLATAQRPLHLKELAALSS IEIDEQKISDKIVDTLHVLKPVNSLVYLQNDFFYLRHGLIRAALLEAFSRGKLISGIA DQHMNLMIRLLIYIKANVPDSHELSSVPFLDHHDANALLEKNALLDYALRYWVPHFRW TADFHTQDGEKAVSKEVAKVLPGSTTVILLLRSVWENLPTPELVTYQNLVTNIFRHTM GTESVPTLQAIISLATVYRQVKGLDAYPLFYEAAVLSQKLMTTRHAVTMQMALIFLEL TSPEVTEAKTDIMMKREEMLLVLIECYKIHYGHSSEKVVAVMEQLVEHYRLVKEVHKA ETYVSMIQSTTSTESGVVDSVSGSLQVHLVGHKQKTDQGAYVLSLDIEEEDQLIKTDE LHEVQDSIKMAEKYIKRGDLVMGERVYVELWQRVTRQSRIHRSAAWEGKKMTVILAYT NFLRMQNRLSEASSVLTSFWQDCEYTSLTLSESTVTHLQEIAQMMRTVGLSTTALSVL KHCSEYYRNTQGTETSAYQETRELIQTISKEAVQSASSSSTTTLSETILEEMVIEMST SVISVDKSFYSSVETLVDLYVSQRRWQQAISLIEKILSNTWSSIFVTTLQDVTLPHKN ADSALALAERLAQCYHSRYRLSKEQDIRLRIYYAVRSGLNMEDKLRQHHITELLLLLE RSSQTEAIISVYQELLADYTKYYGSDHIIVIQTLHKLAELTRPRPIFLDYYQQIIQAL NKDGKQCHPDALEPLNIVTMELWTQGRYSEALHYCTILYTAFIQQPKLSPKFQDQTFV REIISRYTQCLRAVSTEYSVLHKVTAEYQTKCKAVFGATASITIQATLALARLCQESR HHEIEAIQLYEELRQIRSEEVDVQEISAALDALYEEQYTRISSQGASESVSSEQTKQV IQVLRKRVSTLRETYGWAHEESLAAMKEIVNLHFKRNETELAIQELQEATRQVLSSET SATCLSFAAETIVSSYIAAHQRQKAVDLLEEVYRQVMMKDNMNVKSTRFDLTSRSRES LVFLAQLEHRLRRQSSTVTEILASLTTELVYFEELHQGIRSKSSFLSVSQSAARLYQF LLKNRRHSIADLVLNDCIKYFLTTEGKRCGFKASPETNSFVTMILHYFSERQSTDLVR SIGIASNSQVANLIKSQRYDEAHDLALASFKYMSNQESYRRSPQIARFVLALAVNVAA HDVSPQPAEGIRKKLLSASATIAKDVLNVLSQMNINISKVGLKHLDTLISALGSQQDY QTLATVLTSIWNSREGERDWNAYVTLALGRLVIMSKYLVGEIPAAVRLAEDIVYNCRR VHGSRHPFALESSILLSQLYIGAASHYQMRKDGHELANRYYKRAAQLHETALHVLADP TFAELDGSLEGETSINGRALETESPGSAEGSMDASITGDHVRHHFNLLKLALQRLGAW PKDFAEYERLNADLYHEYPEALRGIKGVEKWNLKAFGAGKAESDEDQIDMGGKDWHFW AERGRHGEGMGAIAA UREG_06949 MDWLNHFRAFIEQPDSSYKLSGVGVFEMFDGRAFYKVPLDPELE MHRPNAKRPSRMIRLSCCCLLSKRSNFCGIRRKFRHSFLIHVCCWDWIKDRFIGPRAL QDVDILVSAMRRVWKEHFPLPPYELQEHIDTDGLFGYEWVGDMRAGRPIFGQIEHLNI GPAFTALERSTMHTKP UREG_06950 MWTRRRKALPHDYWPDPGPIYCWLCGETLELVDAWAKATPPPFI CDRPEWEESWRKSRENPRARKHKFSLYMRASDINFQFREPLTWLGLFRISAVVLSKED NKYLPRGYHLSGIGYMPGARIPGDYVLAGRDPGTACLGAGRQNSETLFGAVREYLYIQ LAVPFIMLIRNVQSRRLQPFRDLLELLNLFLLFRINVTQVLEPQLLR UREG_06951 MTDNTNPGNFANRPREEVSEIGKKGGQASHGGSSGDTSNRGFAS MDPDKQREIASKGGHASSGSFEPGSERAKEAGHKGGLASGGGSS UREG_06952 MSPLEEKAAFRFLSLGAIVQEFRVAGHNIVLGFPTEQLYRKYNA PYFGATIGRTTNRLKDATIGNVNGRSYKVTTARGPHSLHGGRDGWNAKLFDGPNTVKK HDREGFEFKYLSRHGDEGYPGTVELRVWYSATEEVEEGKPEKTVLEVDYEVEFVGDEC EETVVGVTNHRKVDYPYYFNLGECPTIEGTEAVLETDKYLPTDPEGIPTGTIEHYTAT EVKKPFFLSETSPDIDDCFVMDTDPSSIPLDTRTRPMKLLASFKHLTTGLHLEVHSTE PAFQFYTGKYINVPAVRGMPARGPRSGFCVEPSRYVNAPNKPEWRVFAPSSPSEDEEQ ARKQTVNTSTSCTGPSFSSAGCKGLPRRKRVLKPLIVRSSLSKIFAILVADAQFNPRR IQLFRRSYGQQDRTTLSRGSRSAEPNSARHSEPPVIHGSRDPPTPTMCRQLYNQHSCD HTIFVRTKTCGKKQYCPVISREPTRVHYICHRCAATILMSMRSDNQKAM UREG_06953 MAAQHGDLGHLLPLTYKRMIAAWLEEDCPSLDYGGFVVGEVEGE AKLLGKSNGVVAGVPFFNEVFAQLGCTVEWKVKEGDKIEPITVCAIVRGPMRKLLLGE RVALNILARCSGIATKTSSLLSILRYHGWNGILAGTRKTTPGFRLVEKYGILVGGADP HRHDLSSMTMLKDNHVWACYNKDRASHTASGENITIADAIPSAVDAARAAGGFAVKIE VECRSIEEANAAIGAGADIVMLDNFTPEGVREAAQQLKDEWDAKGKPRGSFLVEVSGG LREDNVADFACEDVDILSTSSIHQGVGIIDFSLKVMLS UREG_06954 MAETVSITICGDGGCVDGRPYFLALTDTAGQERISWLMGSIKHK IRRVPSIYDITNAPTLDALDYFMDMIDLEAENRLENNQRLIKQLGRRSSGKERVAVGM AAPVTIVAGNKCDLKDARVVSARQGLEWARRRGCGFMETSAREMVNIEETFALIVRRV VEARRIHYLQQFPPNMQTSPPQNSRPPMERTTSQLIAHSHTPPLTAHERALSDAVYQS QHDSSQKQWLGIGRALSKRIAKIRKNSDTPPSNSQTPARRRQSQAESSAGSAAKSSKR RSSTARSKVNTADVPHEAHQNEPNHSRGDSHQAPENGNPERWWKRLNCARA UREG_06955 MNSLVISVHDGHFNLQLDALNVFTFRRTEIGPILSLSIDGKKLP KVYAYRDLQRKPSKNWNPSAIKSIDGKDAVSWLRQLSYSGTSQDPDALYNSLFFNYPG SVDGSYGLFYAAVGLYTGPQIDIEFENGTKKEYQNRAFFHLDFDGVKDGDTFYEKFCS GDLEQAPLLKKREVELLKRSGPGSPRPQFPDPVVELSDGTIAGYHLGDSDLAVLSVSS FLPTELDNDVNLKFSTITSKFLEESKKKGKKKLIVDVTSNGGGALFLGFDLFKQLFPS GEITSAFNLRATEQVDIIGRKVNQLLKDPRTMRGKAAAYERNSIFDLNTYVDVEGDKF SNWDDYFGPVTHSDFNFTHLARWDLDNEVMSLKSSRFVVAGHGTRSKLPSQVFEADDI VLLTDATCASTCAVFADLLKKEGVKSIVTGGRPRDGPVQAVGGVKGAQVLTFQQVFRS AAHVFELYSTPQEQRQLEDTDIGEIYRDGAYALARTVGDGKGGRINYRNAIHVNDKER IPRQFVYEPADCRIWHTPETILDMTGLWMTVANTAWGKGECSRGSSPSD UREG_06956 MDWDHLAEENSQRFFASWLKLLSQESPALPLKLAAILGGGCWGC GPYIVTTFLEEILLSEHLRNLKSSSLNPKISDSDLRKAYFIMARILLELVKPEFPAIG ALEAGTWKVTKRPLTLNMNELVRVGNLPPSIFAQKKFQTASEYFKELATQQFLHLQYQ RNDAVEDEHDCRKKYIARCLFRKIARDMQTEQGPFHLYCDDLRPSNILISEEDFMITG VIDWEFTYVAPVEFTYTAPWWLLFKSPEAWESDLNSFFARYKAHLQVFLGVLRDCEDR QIRKGSIMESQRLSGRMARSVENELFWFCLAARKSYMFDDIYWTFLDQKYFGSLDSLN DRISLLSQQEQDELNGFIQRKMQQAAEKSLDEHLTVDEIIAL UREG_06957 MAAASSQVRLLATVWKRLRTRPDVDDIVLELLGRFSLEKVYAEC DPETYQHLVIALLARLNAIYSLQRLSLPSENDSIGQYLGFLASWEVVIRSVEFVLQVI TEGRDSFWEARALRDKYLAELLSVALRILILHPKPPGGHRMKDRRDRFARIHGSLEKL FDNYPGPKSFLLCVCKEITDVLRTDPNALALPSKLRSELPSVATQLYPLPECLSPGYV STLVEKEETSEQWLSQFLALRDVSHFVVGASIQYFVHEGVLDTDFQASCAQLRNAVLV SLDSFRIPQNFSKLEMIDTFSEIFRVILPDTPSASIEDSSRLIIDEEAMDAVHAFCLS FDERQIYASSVSLVSRFSIVNTAKQDPGEGPMIKLPEGTECIQCGEAVTVAREISLVW QAWSAFKLVECNVDTIGMERHSPAQFQLLPKPGMGMPAQGGINTHVDIGIPTSSEQRF PKQSSPYMEPTGFSSSGTLTKYPFYSPDLVSPISPDHSHRFDSPFSEGTKPIFPSLNE TVQSGNLHIAINEGTLHNPPEGTLSPSGTESSTNRIERASSRASSHPIPPTRARTILN IDRKGKWISIRKRDPPISSAGDTSSISSGTLDRQKMEEISLKDLINSSKFHGRGKGAK NVHVYLSQNSTHALFWMQPSIHIWDVGTSPPTPVGAISTESSCLMAAVTKTYLAYVIG TRDQKLTLRVVNLIQPTALVLEYRMPSTLWCSSIAISPKENYVVVGFENATVRLFRTT DTEQPREFRLHPYHKECKDCPSVDTLAFSTDGIVLLGSTRNPKMGLIQVYMWSFPFIE FRELTTCRYHVPLHESEDNGVSTAIYRPSSTPDEALVGISTWTQSGVPVLIQPGNGHV SEIKPDGTRRLGNRIQCMAFSPSGNQLGLVNDKGNLYQLSNLNSSIMECRKLATSKEL TSKSESFAMSYMQLHEEESIVLAWVDKGVGYIKKVPITSTGTEYQITTAADVPRNPCS ELPDTRPKETRRRLQGYISSRSKQPQVKAVEMPANDIYTTK UREG_06958 MATNLLLLTWTYFDTKRHQSPFGFKRGTSLRTRNKDGVSPEAQT KIRDNRTLEPS UREG_06959 MAPEKPPGVVQYRKFSQDDLPEEAPPSRSELLIEEPVFEEPQRK RVGENVW UREG_06960 MVPKLGKRQHLNGGYFRFAYAVLAADQLVAATNSVKFHYEDEHT LLSWKVGENVHAAVWITLVLVVVTIVNMFPVKVFGQLEYIFGSIKLAFISFLILMSVL IDTMKPRANAYYNKPLGTKYWDAPYGFFNRQFPIKDENGNLQRMMTGSMGSFLGMWTT LINVIFSYVGMDIVAATAAESKALADSEAMKMASRKVSLRIITTYALTVLTASFTVPM DHRFLNGEAQSVGAQSIFIIAVVEAGMPAMAHFYNAMYLFSAFTCAINSMYVASRVLH TLALRDQTGPEWITKRLRLCHAGVPVRTVLVTAALMLVAYMGPTGAPGLVCKLKHQLS TE UREG_06961 MEEQPPRNTNISFQPYANRAVKKLSLPEGAALNCGHVAIMTLEA DDHKTTRCLQTRMSILSVLSDPMYPVLWGANQTSSDMGMPASSKIEGNVPC UREG_06962 MDVQPLPTLLDRSPNANIENLAPESDTTPGKPTVRAIQHGADST KQQFLENREGATRVKPETVPERPLNHSSENRPPSTVPTLTSRRRSAQLAGSDAEYETQ NAARSRASSIAPEDGDVSAPDRRGQSFLSKLKALATYPSFPTHSRSASGVTAGGEPAD GRYDNEPLTPLSERGPFRFPRSVEDGSEADADGESSAEDNKTRRKRKMKLRARWPGDS GPRTAPSSPKTSERPQLPASSSFVPAGDFAPEHLTRRVTTSSVQFHGREGVSEDEGRD RLKRNSMWRRRSGWPRGLSYGGNVRQEGQNSQDERRPSNLRRFTGFGNPSEGTEGIAA ASVERALVLRNGAQIKARLKMIGQRKKPETTVDHVKSAELLAELASGVPAALLLASMF QRDEHGSRRIPILLEQLKVRITDSHFDSHSGDRHLVFRIELEYGSGMTRMKWVINRTL RDFANLHIKYKLQIGTQRYIHLRSHDSGHALPHFPRSAFPYLRGVRGLESDGEDEEED PGDETAADATSGNERPSKKKKRRSSFAISRRQSSLVSPSEGNAASGAVGNADNATDVA ASGVHKRESYPERQRKKLESYLQKMIRFLIFRPDSNRLCKFLELSALGVRLAAEGSYH GKEGFLIIQSSKGLDFRSALNPTMIKSRHSPKWFLVRHSYVVCVDSPEEMHIYDVFLV DPFFQIQTKKGRLRDKKPKEIAKSAKESAAHPQHHTLKLQNSERKLRLLARNERQLHQ FEDSIRFMVDSTPWSKPNRFESFAPVRTKCFAQWLVDGRDYMWVVSRAINQAKDVIYI HDWWLSPELYMRRPAAISQKWRLDRLLQRKAQEGVKVFVIMYRNINSAIPIDSEYSKF SLLDLHPNVFVQRSPNQFRQNTFFWAHHEKLCIVDHTLAFIGGIDLCFGRWDTPQHLL TDDKLTGFELTDSPKDADHCQLWPGKDYSNPRVLDFYDLDKPYEEMYDREVVPRMPWH DISMHVVGQPARDLTRHFVQRWNYILRQRKPTRPTPFLLPPPDFNPADLEALGLDGSC EVQILRSSSTWSTGTPDVTEHSIMNAYVKMIEKSDHFVYIENQFFVSSCEIEGKKIEN LIGDALVERIVRAARNGEDWRAVILIPLMPGFQNTVDTEGGTSVRLIMQCQYRSICRG ETSIFGRLRAQGIEPEDYIQFFSLRSWGRIGPRKHFVTEQLYIHAKCMVVDDRVAIIG SANINERSMLGSRDSECAAIVRDTDLIWSTMGGKPYLVGRFPHTLRMRLMREHMGLDV DEIMEEEMEIDIESRKSQQDNEDSRANEDQDTDHWAEKQDEKEILERRHRLQDEFLSR SEDMHSFNHDVDWEQANNPNLKSNRKLTVDARVTRNADHRRDLEGLGVDQMRSLDEAG QIDGRDSFLAPNNLEYLKEEDTVEKAKQRKESKQHRDSHPQRPAELNKHEDDNPSLAD SGGNPSSVPHPHQTAFSCDDIAKHSRGNPMPQSENGHPLLSTITRPSVDKDCMKDPLC DAFYLDTWQAIAENNTKVFRSVFRCMPDSEVKSWKEYKEYSAYAERFADMQNNYFYDS DAPHFPGAAPSGSMAFTQTSGVNFGYDIVTDHVRDAAAEDSLKQWAADANRAQIERQQ EELANTERLEEKEGLTAVETKSSQSTGNAEYSVTFPDNEKLERQRSGAQMNGYSEALS LNASQSQRRRRRGTTRSSKREFHASDDIISMQDSEELLNTVQGHLVLWPYEWLEREEQ GGNWLYTLDQISPLEIYKQCFERFAVDFTTSQPLSRKIPTF UREG_06963 MANPIDPMVKTYATPPPKVSKADFVIGGILCAVYGLEELPPHGK HVSCLYVLHARGNAMQSMEGIAATAVADWNGRLRTGKVATSQQDQGLIAVCFDQRNHG TRLVDKLRNDSWRQGNPNHAQDMFSVFNGTARDVSQIIDTIGSYVFPKADRHIVYNLV LGVSLGAHAAWHCLLHEPRIQAAVIIIGCPDYASLMADRARLSKLASWKDGHPPGSQF LGSEDFPQNLVDLVRTWDPAGLLLSYMNEPSLDSPIRNRPVHDPTDAEQQALKPIMRR CLGGKRILNIAGGSDKLVPYSRGEPFLSWLKRAIAPHGWFADGKTSLEDVIFEGAGHE VTPAMVTEAIRFVGETLASSRGEPNLGVVRMSRI UREG_06964 MDGTKNVNSGPTYAPLDHDRGSESDSEALSPENEGFLRQKRRST DRQRMWTISARTCTILNVCFSIFLLGLWASIYRPRNCGIPDPPYSPIWEDGAVKPQKI FQSETSDEVERAWNASMGPSEGTVVISKERAAKLPETVEAWFKPGHYVYGVSVFHQLH CLNRIRKTFYADKFFAHESEEVITFHKNHCFDLLRQTIMCNGDASLVHWWNENYTYID NTGQKQYSQEYLSMSPKERATNSFITWDVKTRCHDIDAITEWTRKHQAGTD UREG_06965 MHLIKTIVMATGLAALASAAPATSDAAIAGALCPQLKQRNIDSS LSAADARDALVKRACAGFNWFGAANEADEGLTKRLCPQKRDVDELVKRACAGFNWFGA ANEADEGLAKRVCPQHKKREVEVEARDADALVKRACAGFNWFGAANEADEGLAKRLCP QKRDVDELVKRACAGFNWFGAANEAQ UREG_06966 MPTRFSKTRKHRGHVSAGYGRIGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRYFHKSMNQFWKPTINLDKLWSLVPAETRDAYVSQQKPDTAPVL DLLSLGYSKVLGKGRLPEIPMVVRARYVSKEAERKIKEAGGVVELVA UREG_06967 MCINQKVRSLGNATAINERCLDLQRPGVPPDQKCPFAPSQENES AINDFRDHVLAKVQDIEDIGKIGERMGICPYYATRSVIGHSEIVTLPYPLLLQKSARE ALDISLKDHVIIIDEAHNLMDVIANIHSVTISLKQLQTALEQVTVYAKKYKTRLKGKN RVYIAQVMRLIASILKYLESNVATKGLMDGTVEPSQLMSGKGIDQINPHKLSRYLQES KLARKIDGYLEKSKSLEEKVNGQRTAVPVLFQIQSFLLSLMNPAAEGRLFFENTGSDI LLKYMLLDPTEHFREVVEEARAVILAGGTMSPMTDYSDHLFSYLPPEKLRTFSYGHVI PTENLTARPISRGIGNTEFDFTFEKRKSSDMMLDLGQTIVEMCKVIPDGVVAFFPSYK FLQQVLEAWKYFPSGSTGPKIIETIGLLKPLLYESQEENTNIEELLRKYTNLIDEGKG ALLLSVMGGKLSEGINFSDRLGRGVIVIGLPFPNVRSAQWEAKMKYVEHRAYEKAAGP EGSRRSQAKLASREFYENACMRVVNQCIGRAIRHQQDYAAIFMLDRRYETQRIQSKLP LWIRQSLTPAPIRNTLGDVDMFFKAKV UREG_06968 MCVILAHSHRSPDISPMNVLSEMEDEDCLKDVEINGHDIPSLPI MDANGHPVYCSTELPLELSGVPILADFGQMLPAERCTSDTLELIEGRNLFDPIDHINN QYVLPLALAQYIGYLGPPPLEMIQKSPLFSTYFDKQGNWASEPPIPQTSLEEFVTTIS PGEEKDQFLRFIRKLLTWDPEVRATTNEIYPDEWLMRSHEDMW UREG_06969 MAAPYDYSRPHLGATFYPGAIDDYQMPEVISPAPQRVMPEVPEN MQDNLAHLEQEASGSNRMAHQYPSAQRPTFPARNSSMPNQAYRNVGDPYANQYAHPTF NSQPPSNDYIDHPNFSPFPVLHDAPPNVPPSDEQREANLERGRVAVLGSNDPEMQLAW AQDALSYVEVAMQNELRLAAFQPPRPRTPQVEHQLKSDAINIVSFLADQSHPRAEFIR GMWLEFGKFGFRIDKKEAFRCYQRAAEKGYARAEYRMGMQFENSNEPMKAIKHYENGV GLGDSASYYRLGMMILLGQHGQRQDYGVGLDHIRYAAQTCDENAPQGAYVYGMLLARE LPQVAVPEEVLPLDLNGARVNIEKAAYHGFAKAQRAAQNGLATAEFALGYFYEIGIHV AVDIKEARVWYAKAAASGNKDASSRIDSISRSKTLSRKDHERVAIARIKSQYASHGRN QQQRYSAMQNEVPIQETLEMPDPSRMSISGDPTGPRPVSAAPYPEGPNSRYGHPSGYG GQYGPDIRPSSAFSINPNIRAPSVQTGHLPPHRVPSAARPQGYGPPGGPGGPGGPGGP GMAPYPTNQAAPSPKLDIGFSAPPDPTGADRRRKLQRPPNANPPHPQAAQGRPGPPSD PRQSRMASSPNPGGQPPHGQQPAGHFPPRGDSRPQPPAAANGSPNKPVQKPPGGLPGK GPKTFEEMGVPQGKTEGECIVM UREG_06970 MQPQQPPPPLQDSRNPPPPSHLSNGAPRPPNRMANDPRGRAPLP VPSGPGAHLQNGQPRSVPSFDMARSPPNPPPSKSEDTPFFYTKHVPCKFFRQGACQAG PACPFLHSTDSAVDSAPCKYFTKGNCKFGAKCALAHILPDGRRVNRPNIGMGMGGGGN LNLGGRVNYPPYHPQDSALANSLLSQQQMNGHGPRLYPYQEDLQMHGRPQLPMQPQPP YDNIPIIDTGLETGSRYGSPPEDGRHAISPIGRSALDAPLPASFDSQGISYIARHGPV ASSVPSRFGFDLSPTPSSRADMFRNLHDTTFGSDFKKFSTLSSSPVLSGDEGTTARVM HSQRVSRPKMLSASLPRPTVLDDWDDNFAMEEDYLPADLHDDVLTPQEKMRRLSRTEH DSHSGRDLSGLGLPLTGSAKVGSPLASSPSRFGALFAKQRQKKEEENQSALGNVGSPL RDSSLSMRSSPGLRPIGSRPSSGDISPFIASPPRQPSMSMITQQLSSTSLHPNSARHT SAGSRLDRTISSPMSTSRIDEEQSDLVFSMEEEENRRNSSAWNVNKLGTNDASTTSRD GDSASTATTPKDIVYKKRA UREG_06971 MYDVVLSIYEYRESEYAQSRVPDFFGHDPSRLFHRSVNKRNVPD YYDVIKEPMALSILKQKIRSRSYKTFAEFVRDCALATFSAGQISHNAQTYNRPRSQAY EDALVIKDVFVSEFQKLADQGVISADTAELPDLGEIPDADPLPVEEEEDEEEDDEDDE DADDSEEEGSRRRRKRLRRGSSSKRGGNKDDLRKSTDPATRKKRGRPPRVDTPMEARI KAVLKGIRKPRDEGGLKIRHFEKLPDKAVYPDYYTEISEPMAVDLIKRKSKRKKYNSV DHFMRDIDLMFNNAKSYNQPDSQLYHDADDLQAEAHRLAEQEKKKPDSEYLMEDGRLP LPNGISYKNEIWRVGDWVHIQNPNDDNKPIIAQIYRTWQDADDQKWVNACWYYRPEQT VHHVEKHFLPNEVMKTGQYRDHRIEEVVDRCFVMFFTRYNRGRPRGFPPEKQVYVCEA RYNEEKHKLNKIKTWASCLPDEVREKDYEMDLFDGPRKIRKIPSPIKHLLPADAKETD DLPKPTWGAENAPPIIGAVHCRPRDENESPPPEPTPPPPPQPVIQRQQSLPSRPMSAN QPSPHTNLDARRDPRLGSTNFAPVPSPSPLQAPHIQPATQTPIMPSRARQPFQAQTPV APLFQQAPPAQAPPAQAPQVPLGQPAYPQPPHQPYPMPQNYPAYMTSRPQQSPMPIPL APNPNAPKPPEFITLSEEKNNAIPPEIRSQLQCDDKGRVLFWSTPPLNIVEDQPATLQ HTPEYLAARARRQKVIDRVRKEILDKQKARAEAESHQPATEEAKGVAQEADREIKNED TQPAKPVETFSGEIEREYYQRQERQIEIAKAFVAGRTKSKEEAEAVLSNVSKHAKGGM FDTKTLPRRQRHFPVKDHWSYLTEPSKKRT UREG_06972 MIRAGPGDFRVASNSRSRGLLQREMRVRIRGPSGQSTVSLQDTA TVGDLLDQIKAKTALAGFDIKYGYPPRSLSLDQINRSTAITEIGVDLNGEQLIIIPKA GASSTEPPPVFAQPAPKTAVESERQPGLLSLDRKKPNIENDPPEIPSADHNGTIVLRI MPDDNSCLFRAVGSAVLGVMDAMTELRSIVAQTIQQQPDTYSQAVLERNRDDYCRWIQ SPEAWGGGIELSIFSKHFDIEICSIDVQTLRVDRFNEGRPTRCIVVYSGIHYDTIALS PSDPPFDHAYAPPEFDTKIFDSADPVVLEKAVELCRILQQKHYYTDTAGFQVKCNVCG GMFVGERGATRHAAETGHYDFGEAG UREG_06973 MSHDTALHGIDYTKPASEDPSRLRTFFSILRKFIGVTDIATARF SLPAQLLEPTPNLVLELSRSPRKFYQVCLEPKVHRKHKSDSFSIGKSDDELGRMLEVL RFWFTKDVCYWEIEDYRPPVFPNSVEDTSPVVQTVGNGEKVRISYITEQTSHHPPVSA FYVDCPQRGITARGFDQISAKFSGTSIRIAPGQHNLGIFISLHRRDNEEYRLTHPAAH IGGWLRGSLSISVSDTCYVSCAKTKIKAILQYLEDGWLGRSHYRVVGVIFRYDPENDN KTKIKEVPEGDVLAKIDGSWHEQVYYTLAGSSERQLLIDISPLFPAAKVVPPEEVQLP NESRRCWSEVTAAIHARQYSLATQRKHELEDRQRVRAAIRMEKNHEWKPRFFKYPIDS SGRPELTADGEKVLERLRRDDYTLEEKDVA UREG_06974 MEDRIDFDINESLKYYLSDPISVPTPDADPELQECASDPELLTA PLIDGVLNPIVDAVAESPDGLARSEFFDSLQFLLKCAPTFRNPQECPQPKRDSKSYEQ SRFTAVLPTQILSKVLDLIVSGLAVEADIVHNDLESDESDVISHHKQLLELYAFLLQW ALSAVEAKMAEKPAASAPARRGPGKARSKSAAQEKTWDSVTQLQIAMEVMCKVLKLKL GKIFMTTSDRDTFVSLFTRSIYLILESEQRVKTMSIRMHAFKVLCIAVKHHGHAFGAQ TSIVQSLTYFEHLSEPMAEFLHILAEQYDYPQLSDEILRELGNKEFNPNDTKGPKSVS IFITKLAELAPRLVIKQMTLLAKQLDSEAYTLRCAVIEVCGNLITDLSKQDERSESAK TQINSFFDVLEERFLDVNPFCRCRAIQVYMRLSDLDQKFPKRRQTAAELACRSLEDRS SHVRRNAIKLLAKLVSTHPFSVMHGGQLSYKEWEARLEAVETELNALKPPPETPGLAE VGLENAHIDSELLDDATQLPDESPSKTPRMSEEQKAAAIKRAEEEAATSEMLARLQLT RKYYLEAIRFIEVLHSASSTVSQLLSSRNKSEVIEAMDFFVMLDAYKVETARSGIRRM LRLIWTKGNSDEGKGVQNHLIDCYKTLFFDAPDSFSPNDAANYIARNMISLTYGASPA ELTSLEQLLSMMMKAGHIPDSVIVKLWQVYGIQKREISKTQRRGAIIVLGMLALADPE VVVKEIEAMLRIGLGGLGRADLILAKYTCIALRRMKSGRQAKVKDAVSPKLPNDHAVL MKLAAMMEIVSESKEWYGVAEQAINAIYALAKHPDSLCSDVVRRKTKSIFQPHAASGD PASSVNTEIRPRTPDTPQHVSRKSSPVGLSQLLFIVGHIAIKQIVHLELCEQEFKRRK AEQEKNKVANSISQNQDDAPVDDELDLIGGTTEDDFTEAMAHIRERELLFGTRSLLAN FGPLVAEICANNNTYSDRNLQAAATICMAKLMCVSSEYCESNLPLLITIMERSEDPIV RSNAVIALGDMAVCFNHLIDENTDFLYRRLNDNDVSVKRTCLMTLTFLILAGQVKVKG QLGEMAKCLEDEDKKVADLARMFFTELATKDNAVYNHFVDMFSLLSAEQNLADDALRR IIKFLAGFVEKDRHAKQLADKLAARLARCDTEKQWNDVAYALSLLPHKNEEIIKTVSA GFKVVKAAA UREG_06975 MALNLDGMVTRPTSIEETKTEALDHGASYESLQTGSDLEELGDS DQEETDESVIEDMKKLEDSFEGFSERYRLIRRIGEGTFSTVYKAEDLLYDCYRNDWDF EKDEVEDHELAPHKRRRIGYSESASVQRTKKKKTSHYVALKKIYVTSSPARIQNELEL LLDLRGYRSVCPIITAFRHQDQVVAVLPYFPHADFRTLYRTFLVEDMRWYLRSLLTAL YFVHREEIIHRDIKPTNFLYNPIHRRGVLVDFGLAEREYSGAEICTCSDANALKRLSR KPYFMKPQTMSAGYPKNDSRPSRRANRAGTRGFRAPEVLLKCPSQSIKIDIWSVGVIL LTLLGRRFPFFHSVDDVDAMIELASIFGTRRMRACAALHGQVFETTIPTIGEKGFSWE KIVQWSNCVESHTEREKQGIKLLSRLLELDPNKRPDAGEALGDDFFLHPEGEDLPWED DVVDQKGDGTASADGREEENDRAAGDGLDEVQFI UREG_06976 MAWQCSGSSNAQLINNLFNSGLIKSERVKNAMLRVDRADYAPAN PYADSPQTIGYSATISAPHMHGHACEYLLEYLHPGSRVLDIGSGSGYLTHVLANLITD SSSPPSSAEGHVVGIDHIQGLVDLSKRNMAKSESGRKLLETGKVNFVVGDGRLGWLEG GPYDVIHVGAAATTIHPALIDQLKAPGRMFIPVESTGEHSSARLFNLGGPQYIWVVDK REDGSVHKEKVFGVNYVPLTDAPQ UREG_06977 MAEKAEKRGDWETCVSQAGVAILKAGTSLPLRQRRARCRFERGE IQEGISDLAHVLQISPGYMQPHLEISAMLFYSLADTDRGLTQIRKCLHSDPDSKVCSG LFRRQKQISKLLQRLDRFLEQRKFNKAVELLVGSKEESGLIDDVKNEIKSARANGYIH KNAPEQLSANLVEKACEAYREMNSKRKAKPFCSEALEFNPTSLHGLLSKAESQLDSGE YEAAMQTLAIANENHPHTHAVRILHEKAQTLLKRSKQKDYYKVLGVDREADDATIKRA YRKLTKQYHPDKVRSQGVSKEDAEKKMAAINEAYEVLADSELRARFDRGDDPNNPENN QGNPFHSDPFGPGGGGQQFFFHHGAGGQQFKFSQQGFPGGFPFG UREG_06978 MSCSTHAGQQAKSLEELEAILYRARSIAEEHATLSRNLAVSFET ETAKRVGELTLAVQALKEWDKAQETIAELNVLREDSSTDHELRELVSEDLETAVSSLP TLSNKLKESLVPRHPFAEFPCLVEIRPGAGGAEASLFASELLRMYLAVCSRRGFRTTI LKKDTEDGYGSGGSEDLLSEAVVEIETPRSYDIFRTEAGVHRVQRIPATEAKGRVHTS AVSVMILPSFPEQNDGALNFDDPNSDYYINPQEVQSEKMRASGAGGQHVNKTESAVRL THIPTGTVVAVQDTRSQHENRRKAWRLLRSKIAQMRREAREQQLVELRRGIMGGVAKM GRGDKVRTYNFGQNRCTDHRSGMTIHNLSDVLEGGEGLSRIMESVSNWLVDQEVEALC AEEQSKQQKEIPPRKLNTTV UREG_06979 MLKPSSLRSIIAGSTAGAVEIFAKTRTQLNRRLPDGKKLPWPPF GKAWYAGCTTLIVGNSLKAGIRFVAFDSFKSLLQDKDGKISGPRTVLAGFGAGFTESL LAVTPFESIKTQLIDDRKSGNPRMRGFLHGTAVIFRERGVRGFFQGFIPTTARQAANS ATRFGSYTTLRQFAQGYVAPGEKLGALSTFSIGGLAGLITVYVTQPLDTVKTRMQSIE ARKIYKNSFTCAASIFKDEGILTFWSGAVPRLARLILSGGIVFTMYEKTMEGLDALDP GREYI UREG_06980 MADSLASQLNQTRLGFVPRENGAEVKWKEQLKLPPKDTRTQTED VTATKGLEFEDFYIKRELMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKT AAFVIPTLERTNPKISKTQALILVPTRELALQTSQVCKTLGKHLGINVMVTTGGTGLQ DDIIRLNDTVHIIVGTPGRILDLASKGVADLSECATFVMDEADKLLSPEFTPVIEQLL SFHPKDRQVMLFSATFPMIVKSFKDKHMRNPYEINLMDELTLRGITQYYAFVEERQKV HCLNTLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMFQQNRNRVFHDF RNGVCRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLI GWEDRYNLYKIEQELGTEIQPIPPSIDKKLYVYDTPETIPRPIANAPSGRNTLSTQQA TNSESQHRRQGHPGSGHGQQAANRGQQSRGSYRGGRGQGHRANHPESNRMNPGLPAST APTS UREG_06981 MGPEKRPRDVFERPNGPSQLLSTSRKRQRLSNASTSEKAHKSPS ATTFASDESDEEGYERATQALRDKYETLGENRPAENGIIERVDCYNFMCHEHLSMELG PLINFIVGKNGSGKSAVLTALTLCLGAKASTTNRGQSLKSFIKEGKETATIIVRIKNQ GDSAYLPHEFGRCIIVERHFSRSKASGFRIKNASGRVVSTKRGDLDSITDYFALQIDN PMNVLSQDMARQFLSTSSPAEKYKFFVKGVQLEQLDQDYQLIEESMEHVNAKVAAHSG ELKDLEEKRDKARAKLALSDRHEGIRARLRSLRAQMAWAQVEEQERIRDSFDDELAKA TEKITTLEGEVEASDRFYQEADNAYGVAETLVQEAKSELECLSDSRKDIQSKYESSVQ EQHESQESYSRFQQEITEAENKVKISTRPISKRRAEISQAEQLLQILMKNRRQQENVF PGNMQRLLQEIEREKSFNRIPVGPLANHITLLKPQWSSVLEKSIGNTLNGFIVTNKHD MSILSGIMQRLNCNYPIFIGNEAGNMNTSAYEPAPGFDTALRVLKIDNDLVRRQLIIN HGIEQMLLIEDVRAASKVMFHGGRPKNVRRCFCIDSKDKQRVQQETINTLKDGLRDLE SEHRNAQNNLEKCKQAFVKHERRARELQLELQKAEDLVEQLKETIEADTAVDGRLEGL QTSLAEFESDKRAAEASYQEGIAIHDEILEKLKTIKGELASKDLEIASAEQRVRALES EKTKISTRRRKALGEKNAAIARVDDMKRDRAETERKRQETAARILDFTQKASTVAPRV DIDEGETPRSLDKKLEKLTQDSQQYDREMGASREEIAAAAAEAEGKYERSQGQITDFK QLALMFTSTLNERRLRWDGFRSYISSRAKSQFIYLLSERSYRGRLLTNHKDKLLDLQV EPDITKNSSGRGAKTLSGGEKSYSQICLLLALWEAMGSPIRCLDEFDVYMDSMNRKLT IELLAC UREG_06982 MAPQLEPYFKEVDNLAESFIDRLRKAVEIPSVSAQDERRGDVVR MAHFLVSELEALGAEVELRPLGKEHGREHLDLPPVVLARYGNDKNKRTILVYGHYDVQ PAAKDDGWATDPFTLTVDDKGRMYGRGSTDDKGPVLGWINVIEAHKKAGIEFPVNLLC CFEGMEEFGSLGLEEFVKAEGKKYFKDADAVCISDNYWLGTEKPCLTYGLRGCNYYAL SVSGPGQDLHSGVFGGTAHEPMVDLVTLLSRLVDPQGNILIPGIADLVAPVTEDEKDL YDAISYSMDDFHESLGSQTSIFSSKEQTLMRRWRFPSLSIHGIEGAYYAPGAKTVIPA KVNGKFSIRTVPNMQSEDVTRLVTEYINAEFAKLNSKNTAQVSLIHDGKWWVASPKHW NFEAASKAVEQVFNVVPDMIREGGSIPITLTFEEATGKNVLLLPMGSSTDAPHSANEK LDRRNYVEGTKLLGAYLHYVAEEPMTA UREG_06983 MTSPVIIPRRPVLNLPFLLPFCSESTSLLVQRNQSTYRRLKQRL RIRPDASFGHPPAQDYDHIIYNPPSSAPSTYQTPTKFLPPNDARRKLRSDSDLGVSSS VGNLPLVFKRPSAKRDLLMAKDIEEIRRLRLQDPMVWSRGKLAKRFGCSPLFVGMVCE ASPEKKAIQKQVLEAVKSRWGVKRTIAREDRELRKESPIRKTGQSERAKFHFVISAPF NDDFSPIITEVCKVNSIVVLLFSLSLRAHVCVPPYSMSRKCDSNHESEGAAAHFG UREG_06984 MVPLPQNSPLGSRSPPSPLNNLDSARPSSNISSRGTKHPYMIPS SPGRQYPPSPRIHSPASSQIFERSVQEDIGPSQTSPAIPSHIMTENHIPPILDASSAA LTDDRLDPDSVEIVTHSFHQPASLAVIGSGQLDYPLPTTCHDDANPPRITDTDDSMSN YGAPHDPTDVRRLSFVSFADVVHGELAETADHLSARDSIYMAGLNILGSRNRSPSPLH SPISSSHGRGPSPPTSMTPDFEAREMSPQHKGKGPGSPTLGSPSVATGTVSATELNVE TMRQALRRTESGDLGAFKSQPASAVGSGNTFSDRSNK UREG_06985 MAMLFPSFKTGSRCREFMLSRLPSDEHKTIRIVDLVIVPPMSSM VLSDEQQSSLTSKLCCVLFPRAHNHIARQVWQHSGDGISSRRSEFYLKLLSDGYSIQD CYTKGQGFYKGPKRYQRCERRSNTVHEAAPEGQISSFAARSPRSEGREFDQFIEERFG RNLGPLLASNAKLAVKRRIAGSLTANVELTEALNASSVGGRIAGLCDDHVYLYPTGMS SIFNTHQILMKARGQLKSVCFGFPYIDTLKILEKWGPGVLFYGHGSPDDLDDLEHRLR SGERFLALFTEFPGNPLLNSPDLERICRLASTYDFAVVVDESVGNFINVNVLPYADIV VSSLTKIFSGDSNVMGGSAVYNPHGRYFQALRGTLESEYEDNYWAEDAIFLERNSRDF VSRIERINASAEALTARLKASPLVKELYYPKYNPTRPLYDRCRNQNGGYGGLFSVTFH STSAAIAFFDALNVMKGPSLGTNFTLSSPYTLLAHYGELEWAQSFGVASDLVRISVGL EEIRELELAIDHALDAAQNAQDFTP UREG_06986 MAGASSKMSKLATKFELGSLALTENYLHISNATNDPNIPLDIST SSHFRCNCDLQFPRVDELLAQLRPDYGKQLSFVDQHLRRLKSIIEEIPGISGKSITEA EIYLRQRAGVTIPFPKPGPRDDVKYVLEYARPTNINVVGSFALKTAAKDLKNATVDLA VTIPKAIIQKKDYLDYRYLYKRAYYIACIATGIKISNEAGFNISYAYQDDDRLRPIVL VQLMEPGGDPSHPKVIVRILTAIEDGTFPMIQTMPTKDTLRQIIFNESKLGLSNESLW FTFFYNSALRSESCITAYLKVLHGAATKCPAFRDACILGRTWLCQRGFGTSIVQGGFG HFEWSVLLALLLETGRPNGKPLLSMSYSSYQIFKAMVQFLSERDLTTPVVLFNAEVPH NMSSGTNPILFDGKRGMNICFKMTSWSYQLLRHESITTLRMLNDTDSDHFDNIFIHQV ELPLCRFDECLKLSPRPNKWNAFDNFSYFRSIHDVLTKALGNRIKLIYIFCDGILPWS VHSSADDNDGINQLNVGLLFCPKNRYRIVDRGPVAENKGASNDFRNFWGPKAELRRFK DGTIAESIVWSEQQSDGSIMRQIITHALYRHFDLGSDDICFRGLNFEESPNIRDITQP TASFQPVLDAFESLESYENIIPNKDRRVIGT UREG_06987 MPDTPRLRSAFPQTPRTSQQASEAGNHHDGTLLKPSDHHALEQK INKNQPQGDIQGRNIDKPLISFDVIDAPAQRLYVVAFYVFLNAWRLYEYCEFSDDLDS TWLFLKWLSIDAFFLFGLPTLRIPWLEWAFSTSLAVFMLHAVANVFLMFHIPVALLHP FTLHGPLTGFIRFHSAPGLEHSSRSAILNPERHAICLGEWHPTANLPIRINQTTPVAI ELLRIDLESGLNETISISHKLAKQLKRQSDIVHGKYDHSSHRELLYPVKKTGIYRLQK VVDESHLEVHRKSQDTLVVPCPRATILESPVHKCRGQLSNLTLEITGTAPLKIKYSRM LNDIDHGVSYRSIQPESLVSQFTNDSHPSRFSTLWAKTQKINIPLNESLNEEGEWIYS VDEVHDGRGNLVNYTSLREKNPRLTQHGLQSQRFFVHGRPRVSFTGCNPQTFLNAAKG DSVELPIHFHSAERPRVTDPPFTLGYSFTENPPQGSQAINPEFHEVVLSDVDNKPRIE RSGWYNVVSISSQFCHGDVLEPSLCFLHNPPEPELSIQKEFIYDKCANHSVGLSLDLD LIGTPPFKIRYSIEHSRGIQTKVLSVDGLRGHLDLAPVEAGHYKYHFLDISDRVYESR SLKEKIPTLEQNVKPPASAQIVGPVTSRKACFGEPITVDLLFLGEAPWELQYELIHNG KRAKYDYYSATEASSLTIERLVEGGEYTLGLVSVIDKSNCKRPLNEEVKIEARAKRPS VAFGQVDRKRSILALEDKQVDLPLRLEGEAPWRVRYRHADKEQSTPTEKVFWNENSFI QVNQPGAYELLNVDDATCPGSIDYSAHLFNVSWIPRPYISAIDGNLLEGKNIVEKREV CEGDEDTMDIQFRGTPPYTARYEQQCDAHSGVTLSSKSLTAALNSAVIRMETSNPGVY AYKFTNIGDNLYSLDHQKTTSVTVTQRVNPRPSAQFQYPSRNYGFCKEDGDGEEAIPI VLEGVPPFALEIGIRHHSNSKPDVLSIPNIKSRLYNLPVPRRYLDLGQHVITIRKVRD ARGCVHTTEYDGSSVRVTISDVPTIIPLESKTDYCVGERLSFSLSGHAPFEVHYTFND VHRKATSRTTSFRRIAEKPGEFTITAVSDGASGKCKAHKHITKIIHEMPSVRMSQGRV SIVDIHEGGGTDILFEFGGTPPFEFTYTRSSNTAKGSKPQILDTKHDISYEHTKTIRA SDEGTYEVVAIKDKFCSFSTLNEITTGRKW UREG_06988 MDILNIDFQLKNDASLKRLKSGKKSQSVDESEAGFHFIAFVPAK GKVWKFDGLERQPQSLGNYDGGDWLGLAKPEIQSRMAEYEEDQIEFSILSLSKDPLDQ YVSRLAFNVNRLIAIDEQLLKHQHHQDAVTSDDATIVGPDLSFGLTQEFLEHATLPEV DIEGYKTMSKEHLLELRKSFSTQQHQARAAVKEEMQAREADNAYAAKRRHDYGPAVQT WLQALLRQVDRCDMF UREG_06989 MVISPQPNDSEVSGTNPSIAKNYQDMLFHMGFPSRSNKADIDLR ISLLDILPEYMSLSGLIPSELQDRWMENAIHLMLHTALEQVFLYGQSSHYKLDEIFAW DWPYEHSQSETSRRMGNYTWSTLRDGTKRSLLASGTFICEKHLRRVSFEHPLFDFEGR VLQLLNDLLAMLQTPILEQLESGNLSEISGIQTQDW UREG_06990 MDDLPDEIVQCILAWTTPASTVALGQTSWRYWAITNSPLLWRLY CLNHFIYWGNCHRFQQKLNLPVSQVDWKGLYKRRHLVDIAVTDILESVLATQTGRIEK VHKIVSFGYDAKDTLMRHAQIGSDHEDYLARRNAILGCLHRTIAILEWSRLKKGEEVP LEIAIGAFDMFTLETGTGDLEDISYKLDNIVSVIKADCGTISELTPRQKAVKVAEYLR QKNLTGIDTRSEYYNVEHNFLGIALGLNAHPCGFPFHVHVILRPETGYDMDDKRLENG EPEAPMYMDPFRSAQEISIADLESQLNFLGALTLSHSTFLRESLTSEIVLRCGKNILN SVTQSPGFSDTSLDIASVEYAALWASVLFADSATMERPRTGPAQGLRHYLPSLMEHFA TNFPFDVYLIDKFLVPLFSSLPEYDHLRESIHVMKTGDEIPKQIQRRGPDHSNVKYRV GQIFRHRRLGLLVEDKSARYVAEENIESVVDISQISPQFLKSLGRYFKRWDPRTRMFV SNIRDEYPDD UREG_06991 MSTVDIGQKCKKIVEYLWDPEPRNDDSPAEPIWCLGRRYSIPEP IERSDLRNQSFPPNQPPSPSQEALEYSSKPPSQADSHDFRNLSWPAAFLDDFESRIWI TYRSNFPAIPKSKDPNAQQALTFSVRLRSQLLDTRGFTTDTGWGCMIRSGQSLLANAL LIQKLGRDWRRGSETGKEIALLSLFADRPQAPFSIHRFVEHGAAACGKHPGEWFGPSA TARCIDECEHAGLNVYVTSDGSDVHEDKFRQIAGLDDIKPTLILLGVRLGIDSITPVY WDALKAIIQYPQSVGIAGRLHIKEMDPSMLIGFLIKNNDDWHDWKHRVRSAPGKPIIH VFDGGPPNFGRHFEREGAVDEVEALDDDDELHLPTPIKDRPSS UREG_06992 MAPKAAEKKPSTGGKAPAGGKAPAEKKEAGKKTAASGEKKKRTK ARKETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTI SSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSAK UREG_06993 MTGGKSGGKASGSKSSQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVMPHIHQNLLPKKTPKAGKNPSQDL UREG_06994 MAESISEGTLKQFSKQVGDFVERDEELATIETDKIDITVNAPES GIIKEFLAKEDDTVIVGQDLIKVEPSTEKPAAQKEKPDETTEPAKPKAAKTQPKEQVE DVKPAHPSQRKSDVKEKDAAPKNGQPAKDAPAPQPISTSHRNLGNRDERRVKMNRMRL RIAERLKQSQNTAASLTTFNEVDMSSLMELRKLYKEDILKTRGVKLGFMSAFAHACVL AMKEVPAVNASIEGPNGGDTIVYRDYVDISVAVATEKGLVTPVVRNVETMDLISIEKA IADLGQKARDNKLTIEDMAGGTFTISNMMYLALTYDHRLLDGREAVTFLVKVKEFIED PRRMLLA UREG_06995 MPASLPAETGDNFRHLFSASVKPFITGTGASQKLNNSEDATVLS RSHENGPFRPSVTVPGEFNTPREVEIPLHADAEFFQILQGELSALNQLQERERQELDF QIKLLRETIVKTTNTTLGRSGHASYTWREIFRLYIESQVFFSTNEFDSGERTSAQAQQ QLVKYQESLSQGNRYKKLGKDGRIALESFLRINSALLQNQKFQEINRIALRKILKKFD KRTALRVYPAIPLLEPFLTQNIARLLCQSISQELLTIVPQINDYLCPICLSIAFKPVR LRCNHVFCIRCLVVMQQARQNQCALCREDVVMEATSDNLDRELLVFLSTVFPKETKAK QKENERAASRDLYGTTYDACVVM UREG_06996 MATQYDHIGAEYNAIKALPVGALELAAIRSHIGDVGQLRVLDLA CGTGYYSKKLIEWGAREVVGLDISEAMVNEARRQSSGDPRLEFHVADCSKPLESLDLG SFDLVIAIWMFNYVATEEEVFAIWQNIHNSLKPGGRCIGLTPNQDYLVKSFPEGPRFG LSLKGLEPIENGVKFQVTVHALNPFSFESYLLDRKIIETCAAKAGLSLRWMPPVNPND SRVDYEDFLRLPHFKLFTGVCSV UREG_06997 MNLRLSDNEALLDLSRRATSFLTAHPPGLSRLPSFLFGKPETPQ LWMDYEDVLLASLAAGNDKSSLESLNRITSRFGSTNDRVIGLQGLCDEALTESDEALE NVLEGYETVLKDNPVNVPVLKRRIALLRAMDRPAEAVSALVEFLDAFPTDADAWSELS CLYQSQGLYSQAIFCLEESLLISPNAWNVWWTPLFNLYHG UREG_06998 MPFNITIDHAASHSSGHSSLSEEDSSGTGVEVSPPSVTGSHEYH DPYDVGGPWDHLSYPDELKPSDSASRPRTSHYHHAAVTRGRARSTRRHPVRMRGPHER EPLTRPPRRARPAHPVPEPESEDSSEEYIEYPPGPPERRFWPVAPVPPTGYPHSIASG PSYGNYPHGTGPHGTPHLHTPGMPSNQLVQLGPMGHMGPMAHMNQYGGGGPYPYGPGF PGPGHSIPPPYFDSPDHHHSPPPHHLHQQLRNHSEKQRDGESPPPPGFGHGDPHLMNP QFPSAPFVPPDMVPFHPHHGYFHYNQPYAFPRELMPPHFLHAYHPMPSPPLQPPEKEK TPPPPPPPEAPPQPDPKDEAIARLEKLILDERLEREAREAAREAALEKAARDKAAAEE RAAAEMKIAEEAAAKATAIAKAEAQLEADRLKAEAKAETERLKIEAELMAAEEAAKAR QAAEEAAAIAVAEAAAAATEAANLKAAEAVAAATAAAKKSGEKKKPVKFKDAIGRKFS FPFHLCKTWQGMEELIRQAFLHIDVIGQHVAEGRYDLVGPNGDIILPQVWETVVEPDW AITMHMWPIPEKPKEPDPPPPEIEEVPLDTIIDVKQQPETDAEEIASGEAPPPPPAPK KPRPPPIPGAFTMWMTGARARQVRSLKVEKKPEVIQQHDESCCVM UREG_06999 MASALEAIKYDRGRLFIIDQLQLPHVTKFIPIDSSEAGWHAIKA MQVRGAPAIAIVAVLSLAVEMLNLVSENMIPEDGEDVRAYIEQKMDYLVSSRPTAVNL SDSAQKIKLLLDQRARKSGLSGVETAMAFIQHAEQMLARDLADNHSIGEYGATWILKN TRAALEGGNTCVLTHCNTGSLATAGYGTALGIIRRLHEHGRLSRAYCTETRPYNQGAR LTAYELVSDKIPATLITDSMAGQLLANPDKKIAAIVVGADRVAANGDTANKIGTYTLA VLAKFHNIKFVVAAPRTTIDMKTKTGNEIIIEERQSSEVTKIRGPCEGDGDHREAVME TIKIAADGIDVWNPAFDVTPAALIDAIVTEVGVETKDSSGQFHLSSLFESMA UREG_07000 MLIRRFLPILLWLGLPLISAYDRIPANDTAPCPDPSDIVYSPFA PWFAFYSGGPRSSFCWKAAICTLEPADEARKQQFGATALVMGLLPLTLRDLAWPERRL VLVSAPLPHAAAVIVRALGLEPMVKGELTTWGEEDVQRWMTWVRGSWVAGLGLRSKSA MRILLATSFAMVVVTYGALALVELYSKGSALGCTYPIFALTWCIVGVLPATVHTVFEG WRRRKDIKGQIQTGRPSAVQGVDEAWPVQLSWAIFYIAGTLVYTSIMAVTVVELFVWV VIQLSVTAASKLLALYVCLSLRDPTANDAIFDPSAVPENGSPLKAVK UREG_07001 MVSHPRPAPTALQCGLFDVLLSIPDFPLRDYHNIHPSPGCLCCM SDRSAYRNIFLHLAATGATFGGLYQAGSITEEIFLWILQNVLLVAERSLTVTHRASGR VITHTTHVVELGDYDVSSAGPIQVTDEASTLRVPSHSISGRENHFRDGVRARDGRCVI TGVLNPLAPFRKWFGFKAAHVFPLQHEGIWIDQGCGRWVTNMPNEVGASLINSTQNGL LLRGDVHDFFDSYLLSINPDDGYKIISFGPDYLGVDGRILDPICRNPNDSDRVSDEIL RWHFRQAVLANMKGAGDPFFEFDFPPGTDMIADIREGPSSKQRFEMELASRLQGFSRE P UREG_07002 MSGYKDPWETRQLEAEHFTPPLLLAARSGNTDLVKALLAYGANP NTPYHGIGGLRRDSDGSGLKAQAGFTCGRVIQSAMEYGHPEIVQLLLDAGADINLPRP VWPVPVWPVGGHVCEPVPRAVYLEVTAGLEAAMSARREAR UREG_07003 MIPAALPPCAEGASMMPAEGKPAWFERFACSHHPHPWIPRQKED ATIYEVIVPSQPTTGILSVNLHYTRRLKVPEPCEDSCYNPVVAENKPWLPYAIMEYEQ FQISTEYTYYGYGRLVWAKLKERGFRFEVADTSSELAVRMFARRSDAEGAHQIVPLGS ITMNPFKEYHNEDGDDNKCIPVQDVQDGTGSEYVLISTSYLKKTVPPLHDMEVWHVRG EIGSSDLVYVQKKDTEKSYGMRIVPITIDIPPASELVHRIKHPYIAPLKFAFKSSKGL SLLSPLGSGGPLSHHLQQTRQFNVDQARFYAAELLYALEYLHDRHIILAHLNMEDLFM DSPGHLSLCKPSLFSLEVKNSDNVVPGISEYPAPELVNNQVASRAADWWAFGIILYEM LNWVTSILSPRTPNKRRLKICQDPLYPEGLPLAAKDILIKLLEKDPLNRLGAKIGASE IKDHPFFQDTQLA UREG_07004 MFFIFEFQYQFLKNLFEIQIGEEEEGGEEEEEEKEEKEEEIIGK IKEDFS UREG_07005 MTYEHDCLAAADFSKNKIKKKMKTFQSYLQTLLNKKMLSSSEKM SKKKFEIVISSDEKKKNEKKNDN UREG_07006 MTALKIKVITHEHNYLAAAGLLKNKMKKKMKTFQSYLQTLLNKK MLSSSEKMSKKKFKIVISFNKEKKNEKKNNN UREG_07007 MACVFEPEIDQHTADLILQLQHEDIILYFESSKGNSREPTDEEF AFQLQNEEFDSTSQCLLDRRMASSFATAVLADGRILNENLAEEENAIKDRDIALRWTE IGGPAEDARLNADSEALDDETLEKLRILYVSHDDGINDVDATTEESEPAESSTWAAGR IRPSRSLHRCVACREDTDFVNVVRVPCGHEYCRSCLEDLFNASMTDESLFPPRCCQQP IIMSIARIFLKSDLVKHYETKKIEFETPNRTYCYYPGCSAFINPGHIEGEVATCPQCG FTTCASCKGRAHTGDCPNDTALQQLLNTAQESGWQRCYACWRVVELDHGCNHMTCYCG AQFCYNCGERWKICTCEQWNEHRLLARAHQILDRDAHPPIIAPPADIDEPQPDTDEAQ PELHELPPDLEGEVTVPEIQAPINNEIARIINELLENHECSHERWKFVPGPHTCEECS HYLRQYIFECRQCRLQACNRCRRNRL UREG_07008 MSPLPQPLSPDKPTVVRSRVRSWLADCDDSTNSASDIASKEDLT DDEQDMSITESNVKSVVFSQESGSDTQSSYSEVMQLDDVCKATGSECDLDDERWDGYD FNPADLIRDDESVVTDDGYHAGDKKKDPFSGGIFTSTSKAFVIAHHPEPIFDLLAHLV AMAFYDEALDAESTSLEDIYWHPIPSHCHGMAVKIKGDKLDIPVFREPEKTKDGYRTS KTEPLKGTTWHQFLKHAALILELAICFSHYVLRRTLLNTVNNKIPASVRDQIADHDSN TIRYYLNDVVQEDIEAIVMDLDIPSRARVQALARSLRLNADSAAPTRPTNKQIQRIAA HPKVQSLSQRNQELSAEIRAAGFPSIQAAAGTALYREKMKVQSKLNSAKIYLWNHYRE KNRKWHFRHADTERFNRRIQGRQEPDELTPRPPPILQIPERQQVVEIACRPQSGLTPD DIFRRRCAFIMVLVRLQSRKESGPRGRHARRTPSEQRAQRSLTPEEPFIVPQTLQDHE CPFCICDTSLPTKQRKKKWTNNNTFWDHVEKNIHRAELEGYASGQKRCGICREQGVEC FPEGIMAFKAHTFWEHGRKLRE UREG_07009 MIELMNHLVYDAEKMPEKPYKTFTDILALLYVNNLVLQEDLEVS LEQMGNCENVLVQSHGVMQNLSCKHCDEGFSLFTDCVLVPRHLKGACTNCYYNSSGYC CLFCPDAKKRKCSKAATTTTTTTNNTDIKKPMEAENSTVKKTKRLEQKK UREG_07010 MCQIPHALQKQFIDIINDSVKAGTLEPSHGPHSNPYFLIEKKKK GMCCFVDAAQHCNVIVIHDVFIPLNCDEFAEEFAGMAIASLVDLFSRYDNSLLAEESR NMTAFNTPISSYQQTALVQGAMNSPAQAQCGIAAILNSGIIPS UREG_07011 MKAVQMQRRLRKLCLMQIQCGAQHPAQYESGVWGDPEKKYDAGK SGDELPEWEGPDKEDLFSKCHTAMVHDVAETPRILDSTYLEELEQIAFYLTTLTKPKD MAFKDCYHFQQKATKFVVEDDLLF UREG_07012 MGVIVKGMCCKQRPHKQEFLQIITANLCPSGIPLLDKLDKESPS FCSSSAFVSEEEEGEEMGELFEDMITSPSFSSELSSLTWEDDSFSLLKSILCKRIFEF SAVQFFKLIELFIMMCNLNTIQTKSSKLKSLAS UREG_07013 MSGSSSSRPAASITRQSQRIAAIAHQQEDDNEDIESVLPPESDE DIPAEAEKTQLAKQS UREG_07014 MASDQFNTLLQQISTQQEQAAATLPQVVYKQEGKQFPEPDLYKG WNWKKYWEFIQACESYLKAKSRIYAAEKEKIKYVAARLWDNLQASWDLEREHIDWNAF TFNGLKTKPLDWIEAPENCRCTAARRMTALHF UREG_07015 MVELMNHLVHGAEKMSEKLYKIFTDILALLHLKGACTNCYYNSS GYHCSFCLNAKKRKCSKAATTTTTTTNNTDTEELMKAENPTVKKTKKLE UREG_07016 MGHIANAVHWHLSHSSIGQSATPQIVFSDLYRVQNNKESYISLT SDQIHEIECFLNADGLLQKPEPISIPPPDDESRPSVQLLSNSSPTQHNSTSQNQPTES EAESPVVDAESKASRIYESVVQSRLSMSENEALPATQNNLLTQISSQNERRENNQISA TQNQQAENFKLCSQQAPDTPLCNARQQNSELDVGLTTSNAQQPQATNILLSYQFKTCE LTRPETPYEHILGDLAVWCGVEEQDFSGDFKNQEFIDVVSLLDWWDAGTDELSAFAEH EIQMYLYHCQHCADLHGGMTSPWIAPMLYLITQQILAQDPILYLLNSYHLWSRRNAAF LIGQECSELANCYYHEC UREG_07017 MVYKFQHLFQLEEHLYQAKALGSVQNPIEIFSDEELVIDNESSE SEDDFNNKIHNCSSSESGFKSLSQTHPQSSTSMRQNSSFIPEQKRHKAYHAARSAIQQ LTFLKSLRNAEESFAELDQQHCTDLNLLQTNAESTISISKPSSAQPVSPTAEQQLSEN ATTILSSQQKCKRVFSVDTATSSELYSTIPVLKPSSAQPVSPTAEQQPSEDAVGILSS QQKHKRASSIDTAISSEQHSTIPVSEPSSAQPVSSTAEQQLSENATILLSSQQKHKRA SSIDTETSFESYFFKKSCAGANSLNLQESVE UREG_07018 MRFGRNLHHRRVPAWTECYIDYSRLKALVNTQASLIDLNKAIHL ELEIVDKFLSVQESNIEAKIYTLSKHWGITIGSTEPLEYSGVSPLELEDLQSSLLECA YDVAQCHHFAKVNHDALSHILDKAAVTYSLEEPLDINIPPRLVRHGQSSFHDAWLTRL NANLQLVREAMELEDAGTSSRSLLLELYGMHLFPPETMQCLREDDAVNLKAALNRQYS TSSPEKQLVLARLVQVATIYRSSRCRSTCLSSLRPYLGETVSPRHDEYLHHIVQQFTR FQPPLDNFSATNAFHQVLKLLHPSQLPLLQSQDTLGRLPLHHAARLGLHGVCKEIIAA IRNPALEPVTEQVRICPDTFGQTPLDYAVRHGHTAVVELLLLEHKSQNFSDRLDTVEE SDLLATAISSEFKDIAMRLIQEGWGSRFVSKSGKTVLHLVSEHGLTDLVNGLVALKVD INAQDSARGWTPLVTACVQGHANMVEALLQAGADTDIPDSQGWLAKDHAAYRGHIKIM NAIKAHGSLALTSKPGKRYGGATILPRRSSTDSVIFIHLGTLDLFKRAAEVDVTSYRR RISPVQLPDTCLDLNISLDGDLNQQAYTVSLPITSEMSDKPWCFTAKDPDSAAIVFQL TSSLEEKPIGTGVALLGSLKEALGANRESLVRDFTIPLVSDRYGHVGTVVFSFVIARP FKHVQRPPMDPQVLQLESSSTLGGHRGNGQNSNSPCLQVGENTLQSFQTAIDHGADVV EFGMAKLPVCVLRLQKHHLTLLADVQLTKDDIPVIYHDFIVAEKGSDAPMHTLTFKQF MAISDAQSASQLPTTASSRLPWDERDRPRVLPSPRRSSLCAPLDSATKALVSQMEHTL NYPNYKANLRNYSIHEPFVTLEQLFHNLPEEVSFDIELKYPMLYEAVDFQMDVFASEV NHFLDSILAVTYAHAGPRRRVIFTSFSPEICMVLSVKQQTYPILFLNDSSNWPTGDMR ATSLQTAIRFAHKFGLAGVAMASEPFVASPGLVEFVRKQGLYTATYGPLNNDTRCIEV LRKRTRILN UREG_07019 MAEAVGLIASVVTLSKLANGVCRGLRDLHELLGELPGRLASLSN EVDDAKIVLVHLSRCLKERELRKSNANDEDDHLGADIHDEVAVHIAKLKGYLTELDSI VEEVRTTGLQSKFGSAHRVYAWKKHHGRLNEVQKQIVAAKANLHFILDTAATYKLTRV DIQLNELSHANSTQGTELKSLINNHHEALKSYITEQLSLTMSTMNDNTPRLPRLHPNV IRTRSRAAAATKDGKSQLQPSNSQQIETVRVGVQSNRTWCDRACPCQCHNSRRSQTPG IVSRFLGQLFVDYSGIPAVTPECNHQACNQARAPKVQAEFWFPANVFWSKIFQLQATY HGVTGPSLQLRTYRHVPDSAPAVNYTINGNINALKALFAQGLASPLDISDTRGYSLLR WEICRFLYDQGADADYRPKSRNDNSPRNKASDLLLQGGLGRDAVNALSRISRREDWLD EQNLPLLHQIVLGISGRDLVQELQEHPEAVNYQDAMGRTALLWAAARGDDEAVTTLLH FNADPNIMDSQHAGPVSYAADRNHTTCTRILLAAGCDPDPIIPGGYKVGSPLNCAARN ATDPLLIKALLQYGANVDACGVDGRTALIHATRNDNVDFAKLLLEYNANINAISTAGQ TPLTTAIVNNSHGVLSLLLERWDQYSVCPRLMGPHLLKITAQYADLETMSILLQTDHF RLKHDERYSIGDFDSLLHQRLDVDEEMKQMFDELLTLVRAQASCQSETSGSLMEKGIN FEQEKHDYAEKI UREG_07020 MATARSKDEKVAKQPLENTTEVGDSSVVLVDFEENDPENPLNWS PMHKWLIVFVISWMGFVSVFSTMTIVPTAPQVLQEFHSHNKLNQILLVTIWELGEGIG PFFIAPLSERFGRLPIFHIGNFLALCCLIASALSVNLSMLIAFRFLTGCFLSILTLGP AIVGDLFQLEQTGRTMALVMGTQMLADFVSPVAGAYIAHSLGWRWSIWLAVIVLGFFS LLLLAVLRETYAVVILRRKAERLQEERMDDKKYRSKHQVRVDASTMLESAIKPLHILT QSPILILTTSYMATTYALVSLILATLTESMQSTYPTVFSTGSIGLTFLSLAIGNTVAL IFYSLTSDRYMIHQRGNQGRRIQAGVSAGASASCRNNSSPGLPHLRMDIRVSRSLHRA AHWNVCCGVQHDAFRHTGRNIRGGCLRDPWGISYSCWCDLPSACWGFSSINRPSFISN HWTGLG UREG_07021 MAAVDSDALFKAKIQYLARDGQHQLVKPYYLYFKYDSVIAPTNT TADDHFVHIRNARNLDIPSGEMFSKWGFAQLRLDCPLTPEEYCDDKKVEEVLYPEYKS IARLLFPNAARVEVLEHAVSKDPKMEGFKRLIVWNQVRKRHPRWLSESLERHEFTTNQ PSDYVHIDMTASSAAKCSIKQFNIYPKSYSRFVVVNLWKPIRGPVYDFPLTLCDRRTV DYASQATAMDIVTRDYVNENTRIYFDERHKWYYWHGMQADEVIAFIQADSQAENRAED LSLQILTLDFRSSPYGFSRYS UREG_07022 MPQSTTTTAVDERSQPWQAMQEVQNLFKPETDANPELAANAESN KIDEEPLKLNFLRTNLNAARTNIANVEEQH UREG_07023 MLAVVVGLALLVSWVIASMHRNHSSGLKDIPNAHFSVPYSRLWL LSLRWRKMENRSRIHLHRRLGPVVRIGPRDVSVNCIDNGVRTIYSSKFDKDASFYHEL FDKTGFMVTMIGNDEHRKRKRMLSYPYSNSYILNSQTLDSVLSRVSRRLGEGIAEWAR IGTSVDVYQQAKCCTLDVASGWLFGRENATDTLRDPGFENDLATLASVASKIVSVRTS FGWPISYFASLIGNGEPDPDATGRWQAWLTRVITDSHRRHPTKSASAASLYDHFYDSF KAANPDMSRNEVASFIAVECDDHLSATHIGLGTLLSYTMYELSRDPDCQRALRKELLT LAEPSDRSLAHRLANLPVLDAVVTETMRTRAPCPGPFPRVVPDSGCRLAGKFDVPGGT VVSSSAWALHFNPVPFPAPEKWRPGRWLEADENTVAEMRKWIWTFGSGARVCIGTHFS MRVMKELLATIYMDYETALDDGFTGNVEQEDAFSAAPLAGCLKLRFRRLT UREG_07024 MSQNDTIEEQTLPFSHSKTFFPVKIGQTFKGRYRVIAKLGYGAY STVWLAWDERAKAYASLKISIQVDDAKTSPVTNEVNMLRRMGKIAEIDHPGLDFTRLA NDIFQISRSSGRHYCIVCKPQGPTAFDLQQLFSEAKMPKVMVKGLIHYLLFSLNWLHV NCGVAHTDISPKNILLEAGDDSIFRDIEDEESRDPSIPILSGDGNAVVYRSRNTRLSP PGNPILMDFGQTRLIEGRVNQDWWMSDLYRAPEVLLKLPWAYPVDIFATGVMTLYLME GKNLFEPIDHVHNQYVLPLALAQYIGYLGPPPLEIIKQSPLFSEYFDSEGKWVCEVPI PKNSLEDFVTTFEPGEEKDQFLRFIRKMLTWDQEARATSIELISDEWLTRPVDDIWR UREG_07025 MASGIGLALSKDLLSKGWRVCMCDIKQSPAASGLGENAIFVAAD VTDYDSLAAAFVAAWTKWNAIHFVAANAGIADNVQIAAPYASATPDSPPPKPDLKTID VDLTGVLYTIYLSLHYFRQNPCPGGKIVATSSSGGLYPLPYLPLYTTAKHAIVGLVRA LAPGLQAENITINCLCPGLVATGLSDPLIGVVADEYLTPVSTLLDAVSRFLTGDETGR TAELSVRNLYMREPPEFPDKWQKWAVENLGTLREQTEPKEST UREG_07026 MAADRELFTYTSGRYLYNEKLRLAERHIEFNIDALKAIAARCVD RQSVSSMKKLAEGGFNRVFVLTMNDGFEVIVKIPYLLTVPRKLTTESKVATMDYLRLN GIPVPRVYAWSSDASNNVGTEYIIMEKAPGKLLTDCWFELSPKERVNLVTSYVELERK LFSLPLSAYGSLFYKDSLPEDLRIGLPTPQTEDKGFANRFCMGPLSDYMFWRGRHADL DLKRGPCCDHRQYLHDVGQRELEWTQRFGRPLENNFPQNTILPGEISHEIYIDLLKKY LELAPYILPEPPQDPLNKPTLRHPDLNPANIYVSSSCEISCLIDWQYTCALPLLLVAG NPPMFDNPDPEPPKDFSQPSLPPDSDNLSPDEKSQADELHRRRMLFWLYMIFNGRDNK AHLNAIQYPLLMPRQHLVDRAGRQWTGNIITLKGAILRVVQNWDMILGPRAGSIKCPV SFTSKEEEEFYKVEELWFKMNVVAEHYRELLDDLGQDGWVRSESYDKVVALNKTLKQE WVDAAEDEEDRRSIKRWPFQDHEEID UREG_07027 MELAAALPPKRRSRSGCTECRQRHRKCDERKPVCSGCLRSGRQC TYALHLSWGGRPFSKSRFGDCLRRDPGLVQVPIAPTSMNSLRLLRRGNYQTACTYIHP SFVAITLDYLVSRALEATNCLKDSDVTLRAELGPEAERSPSAVHTQAAYAAPPNDFAS GQIPRNPYELQWMAPAHRFLLDHFISCTTLSLSCHPVIQRTYCAVLVPMALQTPHLLV ALLSLAATHRISLGLNQSAAQLDWFRFASLRQLQSALATPRRQLNDAVIATTLTLCTT DIVSDGKSPGSWRAHLHGTAAIIAEHLQNTRDSGTSLSEATLLLWRWYSSIEAVTLLS GNLVISPGSRTVLQLRRLIGKDEIDDLTGFSTALIPIFGDINLLAVESGLSNDQQLGS TIDDISSIPNDIIRERCFRIIENISSMLASHEPRFRATIDASLSTLHRIDFAAVDETY HHVALLHLYRRVLNLPSSSPLVQKSVQQIIHRVSAIHFLHEPCPGVAVLQPLFAAGCE ACNAADRDNLRTLLSRIESQYGMGNVKSARAFLEDLWVMRDESGDLEGRMRWDKVMER ARYPSILIAVVASLDHISAVCDGDISTREITTTSKSKIEKEWPKEVHRRTNNE UREG_07028 MSGSAFVLPTTDIRHNAIQSKRDHDHKAKWAHGCRPGAAATVRP AVLLPKCKQDRRAHAYGVKRQCLTFKNPQSYFRLVSEEGLREASDSSDALSDQGPDLG SPTERIDVFYSFDARSGPRAGEHILSAALNKAVERFEIKETEKLVREYELIDDRESAE SGYIADDDDYEFIDRASL UREG_07029 MGSMLHKFRLLRKSKSYHRSKPDAPPFPFLSLPAEIRDLIYRWV FGPQAIHLASDRGRVISFRCSQTDPSPTSDCCTRPFAYSHLAAETRGERAQSPINTAL FYVCRQVYHEASAVLFESVAFQTNSMVTWVLFAGSVPPHHLARVRKLRACWIALPCLT MAPVPPDDPRYAEYEHYTVFMDGHFQEFWDIVGSQMTGLRDLGFVMDYLGQYLSRATT AEWHRQLIKVTGLQNFNLGVWDTFGGAPGLAGKNEAKAKREMEILLQYLSKQMCSQRE M UREG_07030 MATAEQEVKLQNFDSIFSLEGKVAVVTGGSRGLGLHSASGLLQA GCSKVYITSRKAKACDEAVAALNALPNKRPGARAISIPADSSKLSEIERLVAEVKKTT DHVDILFANAGATWGEKFDTHPEPAFQKVMDLNVKSIFYTVQKFAPLLEARGTVEDPS RVLITASVAGIMVGNMGNNATFSYSVSKAAAIHLAKNLAVALGPRHIITNAIAPGFYP SKMANELMKIQGGVKKLSEHNPNKRLGEPEDIAGLVVFLSSRAAGHINGACITTDGGA HLKGRM UREG_07031 MSTEPAFKEIARQKLAQLDSKIPKEWRLPVHWIPAGMHSPEESV TNTKYDAVNVMDIPRRCGLLSAKQLEITEGWDIKGLLGEVASGRLTVTEVCEAFCKRA AIAHQLTRCLAEPLFDSALKRASFLDTHFQRTGKLYGPLHGLVLSIKDTFDVQGVDST TGLASLAFKPAKENAPLVNLLHALGAVIIAKTNVPQTVAALDSVNNLFGRTLNPLNRK LTPGGSSGGEGALVAMRGSMIGIGTDIGGSIRIPAMCNGVYGFKPSVGRVPFGGQDSA SVPGRGRTSIQACAGPIARSMSDIDALMKEIVPRSELWGTDCIPGRWASETPVLAEDA PRKFTIGILHSDGRIPPLPPIAKLLNEVARKLHGFEGVEVVEVPVPKALGDCQSLANA LMSVDGGHRMMDLLESTGETLIPWLQGKTKRGEPKSLGQLFELQARRTEIERAMMQMW TVGSNPSRKIDAIIHPVAPHPVPELDRYNAVGYTSSWVLLDYPAGSMPVRNFTEADLE LGEEMGSKVLTSWDKRNRELWSSNTVDRRVYLDSPLSIQVLTPKLHDYDLYHAMDLID RALNQSKSTPAKL UREG_07032 MEMCWRASTRSGNLRAGGTLKAGCVSTLTRSKLGLARHAPCRPH VTSKGSTADPDIPPDRERVVILGSGWGGYTLSRRLSPSKFYRTVVSPRSYFVFTPLMT DAAVGSLNFSEIVEPVRDRKSQVHYIQAAARSVDFHKKVVTVEASVVKSGVTESPRVE QAERGADQGPEIGNLRGKEKLRKWESGQVFQVPYDKLVIAVGCVSRTFNTPGVRQNAM FFKDIGDARRVKRRIRECFELAVMPTTSPEMQKYLLHFAIVGGGPTGTELAAAVCDFI HEDMFKIYPTLKDQVRISLYDVAPQVLNMFDQTLSEYAMNVMRREGVDVKTDHHIEEL RWGAPGQEQLPDMDPKGCLTLKTKEDGEEGVGICVWATGNEMNKFVNDSLGSLEQFPA SSAVIQPGPTPSNDVQCTSWAIKKAPKIGALLVDDHLRVQLQSEDGRTATMQDVFALG DNCMLESGSPPATAQATNQESAWLAKRLNKGDINRVSGFQFKNLATTCPRASKVGRRG UREG_07033 MCNDRKRPKRFLPSLSRTSIYKLLVPPIVRLARRLRPRLGPRVI LLTPNLIVKYGAAEVYSEACAIDYISKNTSIPVPKLIAVFQTRDGTTYMLMARCPGVP LQGIIHQLTPTERQNAFAQLRGYVDELRALEPPQPGRVGSITYGPLEDDRILDGPCGP FENVSAFHRAMRLDAEIPSGHEECDTVISMQNRREYAIKCTHGDLSLRHVHYLDGKIT GVIDWESAGWLPDYWEYTMTWDSFWDAPGLRANIPAFLDPFPEELEMEQARIRLFRGR N UREG_07034 MPSNKDRFYVALYHWAFFVSPKDDPDGDDRTVRHHATNKILLRD GTMQQTWQYQQDHLKKVKTTRLLCRILIGKVEKPRQELESSLRRVPIVQDDPNWRCRT WTANAVEQLAKDGILSSRSITDWSVIEAGCRAYIQKKIENGRFTSLTTTIPTYDLMAR METVP UREG_07035 MPNIKKLKLGERAVVRIRHEIQLTIHGEDATSQDVQIPGFPPPS PSTQTESAATETPSILSDSFSHTLSLPSTPSPMPLVLPSGSIPDCGSCSKFGNSGIMR ICRPCSDIDTDAFVGGESPALITPGVLAVVLILLFLVAVALVDFTEWIRGKLRSGPSV ALPESEKSYAQFEDWEEEYIHEDDQLIP UREG_07036 MSTSQDPPRKPAKASTAGGTKKPKATRSRNGCWTCRSRKKKCDE THPECNQCLSKGLKCEGYEARLKWGNGVASRGYLKGMNCPIMVMGPGESGGAAGGGNA ARAKPAGSVKKATPADSDSEAGRGKGSVSSQNEAGDSAGTSPLSTAASSSLSPSLLSA FDQELFREFKEWGAQCLSMGSENGYSPFGEIMAHCEVSEALMANCLLFQLSLHPEHQE KLEAYYGKALRLFRQDVCDRARSLQDATLIAGLLLCSIGMQSCKSWTIHLNGLYTILQ QRTAVQNRTPVAGELVASVGFLDIPSHIVGRQTPTLNIWRDYCRGKRGTEPASGMPYS LLDIFSLIAEPNVEWAFWSWTAGGLPTSRFSDALWEMNRLAGIIHARERRPRSRPGTL GARTQIPRPFSSPPTETLVGRILEQLEHVYDSPEATPSMTNLLLFPAFTVGTQHRFLT AQQRSFLEGFWAEYFADDGSAHLQLPLKILRALWAAKEETSAEEIAREWKVEVGLF UREG_07037 MARKSAIGFFIFQLLLLLLPLTPSSNAAPMPQAQPPAPGAEYWL GAIKRQGKAAFNPDGNYQVFRNVKEFGAKGDGSTDDTEAINRAISSGNRCGLGCDSST IKPALVYFPPGTYIVSKPIVSYYYSQLVGDAVSLPVLKASPQFTGIAVIDANPYTNNG TNWFTNQNNFFRQVRNFVIDLTSLDRGVGAGIHWQVAQATSLQNIRFEMVRGGGDANK QSGIFMENGSGGFMTDLVFNGGNYGAFFGNQQFTTRNLTFNECNTAIFMVWNWAWTFK SISINNCGVGLDMSNGAPSQTVGSILILDSKISKTPKVAHRRRTALILDNVEFSETEV AIAGLKGEKILGGNAVVEHWIQGNAYTPNSAPGVSKLKRNGLDSSAKTVTLEKVVTVT DCPLPEPSATNSSPMNSSPQAPSTATASSPGTVPPGQSTSIPVPSDFSEVVPSPTSVS SPAIPTPSQSETDSPTETCTSTPVTKSRVQTELPGPTKPAVLLDQSGKVFERAKPQYQ NVPASSFISVKSAGAKGDGKTDDTEAIQKILDDAKPDQIVYFDHGAYLITKTIKVPKN IKITGEIWPLLMATGPAFSDEKNPIPMLQVGQPGDKGNVEISDIMLETKGPAPGAILV QWNVHEETQGSVGMWDVHLRVGGSAGTELQSDHCTKTPNSTTTPDPKCFGAFMLLHLT ESASGYFENTWFWVSDHELDLPDFNQINIYNGRGVLIESQGPVWMYGTASEHNTLYNY QLHNAKNVYMALIQTETPYFQSNPDALVPFEPNPKFNDPTFGDCTTAACKKAWGLRAV QSSDIYLFGGGLYSFFENYNQDCLDTESCQLNMVDIDCSKVYLYGMSTKASTNMITSR GEALVLQKDNRNNFCSTLAFFHQEL UREG_07038 MTAPAKPPINLFRGWPNPSLHPTSALQSAATAILCNQALSTPAL GYGPDEGDPGLRNEIAKWLTSFYTPTQPVTADRICITGGASQNLACVLQVFSDAGYTK RVWMVEPTYYLACRIFDDAGFSKRMKAVPEDLEGIDVDFLERELEKCESGEPDMHHLQ PGTKTPRPWRKAYKHLIYAVPTFSNPSGRIMSQRRREQLVRLARKFDALIITDDVYDF LQWRSQPGKADHPTRAIAPRLVDIDRFLDGGPEDEFGNVVSNGSFSKLIGAGCRVGWA EGTAKFAYGVSQTGSSRSGGAPSQLTSTFVRELLSSGFLQEYIDNTLRPIYARRHHTL LAAISDNLSKVGVQVVKDDREFSGGYFVWLALPPREKASRLAARAKAEENLLIGEGTM FQVEGDVSNHGARHDLEQYIRLCFAYEEEGNLAEGVQRLANLIR UREG_07039 MVMLDQPHLRTVVSALAQKLDSLGIDYALMGGAAVCLLAPNPNR KTEDVDLVIHVDQRMITADQLTQRLLEGFPNDFGPVSQFGHTIPAFKLQLPGGVVHLV ELEVFDYQSWPQRPQYNIPTASRTTINIDGQAVKVFGPEWLLREKILSQYQRQGSAKE RVDVRDISNLIPLAVSGKPELDFNQNEDLQTALAHLVRNRPALVEALKAKIKCTTVFQ N UREG_07040 MIGHSAHFALPVFHHQLVSGGRTAFWAVNIQPAAHFINNSLAVT KVFHSNSLEYNRIRIAGRMFRRPRATEPDRHAAAVARILNPLPVWPWAGSAMNYLGVP VVLGASMLVVRDEDYTVACQKLKDAGFTQTFPDRAPAPEVLAAHPDPQKVIDEINAGF KRLDRSCTVFDYPAHHPHLGTQVFLLPNSFTHLPLQNIALSSHTTKDLLAEKQYNTYG NLHYPLEAALVESIVKAAIDDEDELGYSTWGEELGCWLSLITGYLEVNSDILDRCADS KAVEWYSIHFGRVRESKYGPMDRRISKRLGSQREMPVDMRGNLI UREG_07041 MLAAPAKSAKRLSSLFSIGSSKETKDGPKQQTRIPTSTSSQSSQ SGSSTQHVATPSQSTIRHAASVQNFQDNQTVTLAPRNVSAPLPRADPSRDELLLPPPS LSAVNPDVAVDTSPTDNHKRRQSWGGNIPGLGRPRSGSGLGLRPPSQIIESRLTKGRS WVPGRASKGADVATDSKPMMRAWIAGTTQEMPYDVDQLVAGEKISELWDEEGDTYVYL FPKNTGRPPSFKVPSCTFSSSAALTAMVRGSDPAPYRLPDRPPKLHLSVPVSPPVSPP LGPADGSQDDSDGRRSRDYLLEESTQELHLYLPIPLNSDVSNNRPVLHDDDVDVLVLF RNLFAFLVGQSLVATPRFPTIFSIFMEISGLLDRFSFANLDGSTFGETSVSSFACYCD ELGLSDVRKSPEKTLQAIILGERMRYLPLYQEGFTHGVGKLDDIKLVDSSRYALVSKV TQKRLERGYLDLENRLKVVREKLDDFDFPQLFSGFANSTVMNEAKIIRFKNWKNAFLA FRKHVMAYYRSRFGSWPPKANSKKNDFEESGLNRLLLKELYNDFADLYDMLVDRTSLT TRSSDMTITDPEVGDSDQSPTSRALRHVMSEFDRSTPPVAPPIPFDIPLQPTIRTIKR RLDPKKEAKERAKKLSSGEVNEILLGAYNNKSMRPTPFLESFTKFERRVGSGKEYRRV VGQQMWTVVVHVCRPSISPHDRGRRAKRQIHGRAWNTFCASLPRGGSPWCKDDAKSGR SWFGVAGGSGVVNLPSDVVANGVEGIYRRSHCWQVATKWADQQQLHSTVMQDVAPASP NIPASPQQAPFSPQQPLQYQTQYPDEFHPQFQPYQPQFQPQYQPQYQPQYQPQYQPQF QPQFHQPPYQAQYQPYQPPNVVPPPLSTESTSDKQPTPLLTPGNITPPMFSLPLPNAG FARPGNRSSIHMGLEALPLPAGVVPVDPPSRPVSHNPNLSFDHILGDMPRKTKK UREG_07042 MASSDQQSPSSSLSLRFSQTALCIIPPENLCGEINRLRSFYDQA YGGWPPHINLLYPFVAAGSVPEAVARIRTALIQRRNAAGAPNVRLRLDQAGFFVPRKG NIVHLIPRSEDASMSLTDLRDTILAALGQPDGGQFNPHLTVGQTRAGDEQSRDSLLSK VRLLPPIEWNVEELVVLVRERVIQHGQKFSQMRVWGTIGLSGDLTMNNLAISEVGHVG EHMHALHNSEMIPTQPSISRSVCGEPMTTYQFPPDGYKWTPCAPILGESGEKEPGWDT LTVSSYNVLLEQPYPPPRGRYPALVHTILAQSALADILVLQEVCDDFLSHLLSQNEIQ SRYCFATHGPPHQAECAPLPMQRNVVILSRFRFSWEILPFTERYKTAVILKMVGVGKQ TADSRFLPLIVAAVHLTSGLADRRVSAKESQIRALYDFLDHTYPENPCIIAGDMNIPT SALTVQSAMDEKLLSPAKAYDLSQLESLLCGSRFEDAWLMARANAKDLSPNPADMLSI DTLEGEEGATFDPRRNPLAAASAGPDGRPQRYDRILMDRSGFLGPTEFNLFGLPDDDQ NPAELGSDHWGVRATFCLKLESTASDNMTVASTSASFLTPTKLFDRHSLELCLRESMM LPTEADVSKRQSVVDLLRDVITQGPAARAGDGSGNNPEPNIAMVLVPVGSYGLGVWSP SSDIDCLVIGPISSKTFFAVAEKRLRKATDLGIRILRRVKAATGTMLELEVEGIKCDL QYCPASRVVEAWQRISLLPPDDSTFYLSSQALTKLQAFRDVDYIRRSIPDLAAFRAAH RFITLWAKRRGIYLARFGYLGGIHITMMLSRVCKLSCRKGGATSMDMICRFFKYYTNF DWEREILCDPSFFKDAPRYRRYANEPMVILTIHTPLVNIARSASKASTRTIIKELQRM DELISTPDMSWSGLVGNITASDSSQEFLKTYTSYIKIHVQYWGMILAKGSTLLGWLES RCCRLLNGAYPVSMQEYGPRDSLQERVSVMLQNTKGSTLLALRETRRVILKVLRWRDV DKTRLCLIGS UREG_07043 MEEKNPSRIHVAPPSYLHNRSEMALPTASPSAPSGPHPPPPSLC TSTGIEPGSYSHPVMAANNSSDEEGGHPPRYTRENDPFQLSSKLKTDAEIDSIPANTS RRRRFARRNGNPSAKQVQGFYRTQNANIERLLKPVDEHVRLAREFNNQNQLRYKIAVY GSFAANVVLAILQLYGAIASGSLSLFTTMADSVFDPLSNLTLLLCHKAVKRVDARKFP AGKARIETAGNICFCFLMMAVSFILIAFSVRDLVTGNEEDTLGFHLPSVIAVSIAFAT KFLLFVYCWALRNQYSQIRILWEDHRNDLLINGLGILTSVGGSKLRWWIDPMGALILS TLIAGLWLKTAYSEFQLLIGVTADTEMQQLITYISMTHSPLINAIDTVRAYTSGPRLL VEVDIVMDPSASLRATHDVAEELQIKLESLPDVERAYVHVDYETTHKPEHFLKKEL UREG_07044 MDENHRSAISMLPLRFHASHAIVNFTPHKSWPPNRVSTDIFFLI SEYLTRSDLARLRLVNREFAHKLEYLFFYQVSVPFSPDFYVAKTTKEDDVGIFERVGS NISRFAITVDIDQGKGVLVLLTLNPFPLIDLRRLPAALFLPPLPANPICPNLVGWAAH GRLDRDRVLYQRLRDMELLAFRQRRMAKAFSHLNGVKEIALSLNTGLGWLPPPVVYRF QPVFQQPSIVFRDKHPHLSSSQYIMPDSGARSGTRIAPAPYVFAPAGEKEVTTWYGTD GSQFCDACRDQAQLELLSETSHMIKQFLDSFFPAAITNTHVFQNLHTLTFAGISSKLL EEFGHHDKFFTSFSTVKTLTILVYPDWREFDGTNLRSILPSGACIKFFKLLKDQVVSL PNIESLTLGYIGGGEYANGLLARNRYILPAPIMGAPMQAATGNVKEGVLAFNHVKSLT FENCWFSPTALKRFLQMSQKSALERLTFDSCSLVSTPGRRHWAPTRSGCSTVGPPQGY NRRLPSGSWSSILAQFGPHLPITDMRRLPLPSQVDFSNLKLRQHSPPVNLQRMQFISC GYALLGDFYHQTNLVLDDYSNHRRQADAEARLRNTVQDVDVSQVPVYAPFTRRVYTED PLLGSIIQSIDAREEFMLKWGFGFRLGWPEGDGLCESVEADGWRRGGTARFSGALFYP RKEDIEKSTMCSWDPEIYHVQT UREG_07045 MDVSEFTDDMLELCQHRESRWVSDLEPMRFPESKCVWQFGPIFA EREILVDDDMLHKKMITEASATCVATQVQGPNPGLQRIAKIRVQIPDEDDPSSTEPAE SSACLAFELSNLRDLTDLGCAYTPKLLDYVIARQGENHYVPGGFFGITIMERLPGQNL IQFDKLPLAERDQVRLAFAKAFRYRPPVPPLDTILCE UREG_07046 MKRLVGTLKRTKGIGAQLHRSNGASYPQESLENSVVTEIGDEFL HLPAIVEAAESTPAAARAAAHQIYKYLSKPNGYPEHQQYNAIMLIRILSDNPGPTFTR HIDARFVSSLKILLRDGQEVSVQQILRETLEFLATTKARDTNLSEVNNMWQKEKDKFV KMFGVHPLQGPAHAMYPGYRQDFFSRNQRSKGLPSQEELAGRISEAATSAKLLLQMVA STSSSEFFENDMLKEFASRCQRASRSLQNYMEATDPAPDEETMMTLIETNDKISVALS RYQRAHLNARKTVKASESQTQLPQTPQGQSQDDRPVSPLEEPTPVRRSRPHVPILSIP RKALKKFQHQPFTQEPAQPPPTTTTVHTATTTTTTTTAHDLSPIDPAPPSVPGPRSQH GDASSSQGFQYRPGEFQVENPFADKFSTTHDRPQDAYSTNRSSSDDARREREDRDVSP TQAIGVATSGGAAPGMSSTYYPGGHDALFDSDFSLPPLHRNDGAGNTKV UREG_07047 MARVDTRPQAKKGSSATEAHTLAQLEASTALMAQATGDERVIAA GQQDEGDHVEHSQIAGAAAQLSDESGLVVDAVVEQAAVGQLAADVEGQQQGVEARGQG ADIDGGGQLELAVMSLAAEGGV UREG_07048 MALALAEAGADIILVQRDGSDTATRDEIRRTTSSSASIHVADLG DREAVKQIVPALAWGRQLDILVNCAGIQRRHAAEAFPDEDWDEFCQPTWTPVFTFSRE FGAHLLGRAANASGRRGAIINVASLLSFQGGITVAAYAAAKGGVAQLTKALSNEWASR GIAVNAIAPGYVETAMNEALLQDPERAAGILTRIPAGRWGRADDFKGAVVFLASDASA YVSGEVLCVDGGWMGR UREG_07049 MDAPALRSKSLHLNAAPFIPTKPPDDHDPDDDEDLVPIAESSFG HGVLQNPSDAWQCLTNVAQDDDSLCKSASPYLADSHRSLVDTPATAAATPPSIDSYRL VKNAALTPDQVWDLLLHYAEHFHPHLPLVPRIYFDRKALGAFARAEKHLLTAVLTIAS KNRVQDPHIHQACSAYMHELISAIAAGAPCEVEAVEALLLIAEWEPPGLQPRIKPIGR GEENRAAWMHVGLALRSGYFLGLERTSFRGDTGGDLQAVGRRRLAWASCYVSDRLISV RIGRAFWSRGPGPMTGLVSDDFPSLRPLTAGDEDHSKIFQATLDLTQLYGNVHDVLYS GMRTSSQMMLMGDYVKYVDDFRIAIDRWYDRWSNLSCAPEIKVTLQMQCVYLSLYTNA FAFQAAISQTLSSKPKCTARVQREYLRATFSDVASMQDSRFIYASVRSAKEYLRILNT SVDPEKHLRYLPLRYYLYIRYLCRSVPLQGTVMRLPSTPILISFCSLQAHSFGVITRE EQIDIREMIRETMNRLRRASAGPDNTGTRYALLLERLWFKQSSSAALHGPRRNTDTSI RASTNGSAETTATQVSPANDFSWLDLEAVGDFVLGNPMPGGNIVDLSAYVHDPHHNYT NGTPCPIWPVDPNGNLLF UREG_07050 MTVSEQSPQASRALVLHGPQDLRLETREISYPSTGEVQIAVCAT GICGSDLHYYRHGRNGDFVVRAPLCLGHESAGKVIAVAPDVTALRVGDRVALEVGIPC RKCTFCSQDRYNLCHSMRFRSSAAVFPHLDGTLMDVTNHPASMCHKLPYSISDIQAAL IEPLAVCVHAVNRSKLASQASSEESTALIFGAGAIGLLLASTLAATRSFDNIVIADID EPRLHIASTLPYPNIKTVRLPIQTQDGPATPESDNAFAQSLATLLTTASSLPHGFARV YDCTGSPVCIRAAIHASCPGGAVVLVGMGGPRFPTLPLSVAALREIDLVGVLRYDGRC YPDAVWLMASGRLDGVAEKIVTHTVELGDGQRAFRLAGSGVDEDGRAVVKVVVVGREV UREG_07051 MRRRWCWGVALNQIGASVRASAPHALARLPPEHHHSEKGTTRLT WRSINRASGTISLTRQPAQDGECSTRFRRLASSRCFALKLVLKIHLGRGKTNIIIFHL QVRYAAQSIPSAKSARSRGSYLRVSFKNTRETAQAINGFKLQRAVAFLENVIAHKEAV PMRRYAGSTGRCAQGKQFGVSKARWPVKSAEFLLGLLKNAEANADTKGLDTGNLIVKH IQVNQAPKQRRRTYRAHGRINPYMSCPCHIEMILTEGEEVVQKAPTVVSGEGSRLSSR QRGTRVRQAITAA UREG_07052 MDSLASEAAGREPSAESQSAEKPQEETKFQKAILAWRSIDLANL VSKLDSTASDVVAHQRDSLVQRKDLAQKTKDFRKLDDASKLTEYKGLLKCNDHSALPS IWHQLSLTRILAYQAFIDLLTTHGKASSSAFLQLYSALSEAPDPYPLLEASIDSLVLS EDTVPKLTSEKEALQKNATRITSLLDESEKKLEEERALRRKLEEERDKKIQEVETSWT AVLAEKTSNWEAKEKNYEEKAESQERLLKEIKASFEVSQRLDRQEGGDAQRSAATAAE LDIVAADLEKTSLRLAEVEARNEQLRLDLAQAVSHPKGDHRPVEEDPAYLRLQSENAS LLRKLESARLDRDSEKNSLEGKLRQAERLHARVSTERDNLRSKLDKVADYEDIRRELE IIKSIEFSTGNDDDGDVNDGVTEEAPSNAASNGNNLEQLLLGRNKKLSNELILLRVSH RDLETQLESLRDELSKTNADLERSRKLATSLENDLLNIQKETTQSSAMSVAGTYASRY PYSMRRGRTSPTSSIISGFDHGMTHANAMEAIRAGEPVGGGSGILPMVQAQRDRFKQK NAELEEELSKTYANVKELRQEIASLQKDNLGLYEKTRYISTYNRGGHTISSSSATAFA NQPNSTSIQIAPDTPSGLSLDKYHSAYEAQISPFAAFRGRESTRAYKRMSLPERIIFS ITRMVLANRTSRNIFAAYCLALHILIFVMLYMMSTLEAGKHGAALGSMGAAVPHDADA GPAGWQQEGLHVD UREG_07053 MGDEARRVRSIEFPGCLTGARRDTIACVADAHSYSLLEVERRQK IHLSTIRSSEELSDYRVEDIPTRDGTPVPGASAASNGNTLPVENRVHGRSSSLNALVG GTGDRHHRPHSSIPGRSPSRTPEPRGREAPKISSSDDLPSTNVDRTPEPSHNGSAVRT SSQKPLPPPPLPENFQLKPHVLSPTPSEFLFITGTEASAVGVGMFVNLEGEVTRGTIE FQKYPDSVVLDNYNEERASLANNDVEEGYILAVVVNENDDQLPRHIEAQRWDLEPGGN ERPKSSIPVPLDCPISTPVGIYKTISSDQLSFSEVGKIMQMVRLKSSFAAPSHPPTPP ESADPRTKASIEQLQKEKELFESQELCLSSSEQPSVSESRREWEKQRTQEEAKIAMSL GHVNSDIILWGGNCIWRVIRNPLALQLENSLRLAHPESSNDYLTTDLNVIVSLLEELD SLVPKTENEFLGLNYVKQKASLLLFAKLLSTQQTAQTAEMIELTEAALTNGGLDPRIS LLLVPLLKNEVLQGPQGIWVNRGLAMVAEPLIHTLNGGINNNIPTSNIPFFDMLIRYL ISWQRKRGYGSVTDETYVFDSVDAALLHLLLELDGSDCQRANPSVSSSVRAELNKLVD NWKGNFDRAIQLLETYQRLFVLSRLYQSRKMSKHVLMTWKRIIEGGPDAGGELSVPAA EIQVRKYLIKIRDSQVVEDYGSWLAARNPRLGIQVFADGSSKVKFDPGQVVSILKEKA PGAVQVYLEHLVFAKNYSQYADDLIGYYLDTVLSVLETSPEARASLAESYSTYRALRP PKPSYLTFISENAPSEHWWQSRLRLLQLLGGESRSQFTSIPTARDLSYSISTVLDRIE PFRNELVSESIILGGRQGRHHEALHLLTHGLGDYDTAIRYCLFGGISSSHSTSTTMQA SASSASQKDLFSHLLKEFLHISDPSDRIERTSDLLTRFAPIFDVGEVLSIVPDGWSVD ILSGFLVRVLRDLVTEKREVKVQRALSAGLNLRVGVEMLEKGEQAGGGWIEEEDGVKV LRENSANEDGGKGKNKVNGNDGGLIR UREG_07054 MDPASVTIPPLKDLSIENITENTILINSQCPDARMKYVMERLVT HLHDFARETRLSTQEWMTGLLFLTEVGKICTDVRQEFILLSDVLGLSLLIDAIDHPKP KGSTEGTVLGPFHTHDAEHLDAGANLSHDPEGDPLLCICNVKDTTGKPIEGVKVDIWE TDSTGHYDVQHANRTKPDGRGVMHSDAEGNFWFKAILPVPYPIPHDGPVGKMLKLLKR HPYRPAHMHFMFEKSGYDHLISSLFLRGDPFESSDAVFGVKSSLVVDTTTVTDPEMAA KYGVPVGTALLVHNFVLVSDKETSDLRDRNSTEALAKLGRKVKIVNGLPIPDLD UREG_07055 MAPVMTNPSVIIWPSALSLPVDVQSARRLDRFAPPKAAFRVGNS AILTSASPPRTSGHSVPIRPRLLSQALRQQTIVAIGHGSCQSAEEGISARTAVPQGFN KSTTTPTRHVTFILWPG UREG_07056 MVTGVCRVPHKPFFSLGVGASRIVRHRTRPFGVERSASDTSTGV LSSIMEMEVDMAESAPKRPRSPTGDYPPIASKMPKTSNHLQINYLARQYAENLPLVSA DDTLPSILRLIGEYDGVLQRHESIAGNLGACPLGPILVKRFERLFDNPPRVLKSNGKE GIQVTWLDVVEFAKNKPEQFNLEKSRNGVRVCQIYIKQSRVEISEEDYVLIASGMPQR MIPPQPISEDEEKELGSLEILEKNLSQIIQLADQVSARARQLNHRLKNRKNAIITRRE NDAVLANQGQRAVSPWKDSNGTGVTTNGHSRPHSPTSGFVAVNSRTEAQNDRVVSGNH FTFSQPNTDNVTIINGTSIKGASPSTRAELMKKFFSTSERRLHPEEDVTSANSGRHHS RSSRPRASDPGEYMGPYAPVAIPNTPSSLLPQAKSASHYERDDGGPYKGEMVSRMETL QRGERVLPPCDRCRRLHMDCLKNLTACMGCTKKHAKCSWKEVRLEELRDTYPRKSQES THNSTSDRNEAAEHTGAFNPVNGDAPTPTTRPASEPQQPTRSSPPQRSQSENMTSTVG EPRKEPWLTVGHGGSNTDRAEADDPDAPDPLAQAIMDTVSHHQARTAAAAERERREAE VQQAMTT UREG_07057 MALQLSKSKMASRHVLNSLMNINTSVKITSTVVSSRIPSALPLP RRCEPRQASPADARFIFHYFSTSDARRVQSTKACLQVKTLEDSRKILAAQRLKRPVAP HIAIYKWQISAVLSSLERLTGMAFSGGLYLFGIAYVLSPYLGWGLSAASMATAFGALP LAAKVAAKFTIAWPFVFHCLNGVKCVVWSSGKFLTNQGVKKTGMLTVGAATIGAIALA FFV UREG_07058 MSERSSFRGGFSRGGGRGGGRGGRGGQRGGGAGSGGAGSQQQQQ EKPKKENILDLTKYMDKEVHVKFSGGREVTGTLKGYDQLMNLVLDDVKEIMRDDEGND STRSLGLIVARGTLLVLISPVDGSEEIANPFLQQEEE UREG_07059 MSSSIHPLYINPNARSCNPQAGSPRPLRIRQFHQSLPSYSPSPL VPLSNLAKSLGVKGIFIKDESSRFGLPSFKILGASWGTFRALVDFLQFPLEAALQELA NAAQAKSIKLFAATDGNHGRAVARMAKLLGIHSQIYVPECLGQYTRDLIASEEGCTVI VVDGDYDMAVHTANEQAKRCPNGILIQDTSFTGYETIPAWIVEGYSTMLEEIDSQLAH QSLTPTLVITPVGVGSLAHAVVSHCKAADPPVSVLTVEPDTAACLHTSLIAGKMTPFK TTGTIMNGLDCGTVSLTAWPTLRDGVNASTTVSDLDSHRAVEDLASQGIHLGPCGAAG LAALQRIAADNPGCLGLGPDCVVVILGTEGTRPYPVPIPVDN UREG_07060 MSGEESNTTADLTAAQQEALQTYIAVTSQEPSDAIPLLQRSEWN VQIAITKFFDGEGPDPLEEAQASTPTPAPRVPQNLMNDLPRPSPFRPHTLQPAPRIST QSSEPAPFRPPFLLAIIFSPFNFLYRILSSSLRLFGTLFPFLPRILNTFAVSALQSSK RGASGRRTLGPKDTAVRFTHNGYNMALEKAHAELKFLLVVLLSPEHDDTNGWVRDTLL NDEVRDFIADSRNNILLWGGNVQDSEAYQVATSLRCTKFPFAALIAHTPSVSSTAMSI IARIPGLTSPSAFLEKVRTAVNQSKAPLDRVRSSRAEQQATRTLREQQDSAYERSLAI DRERARQRREAEAEKARLEREEQERQAAAEKFARSLEQWRQWRAQSIPAEPPATDTES IRISIRLPSGERVIRRFPGNSNIEELYAFVECYEVLKAQYEDAASSAAEVTEPEGFEH KYGFRLVSPMPRVVYEVTTGGTVKERVGKGGNLLVEIIQDEDDSSAEE UREG_07061 MAAAPSSTKLDNVVPSIAPPAPQMSGLQLYSRFAFAGAVCCSVT HGALTPVDVVKTRIQLDPVTYNRGMIAGFRQVVQNEGAGALMTGFGPTAAGYFLQGAL KFGGYEFFKKQSIDILGYDTARNNRTAVYLASSALAEFFADIALCPLEATRIRLVSEP TFASGLLSGFSKIMKNEGIGAFYSGFGPILFKQVPYTMAKFVVFEKVSEAIYGQLGKD TLSDGAKTGVNLTSGLIAGLAAAIVSQPADTMLSKINKTKGLPGESTVSRLIKIAGEL GLKGSFSGLGARLFLVGSITAGQFAIYGDIKRVLNATGGVELTK UREG_07062 MKFISLLLAVSSAALAAPAPAPAPDAGLTARQSDLVYPSGTFRY FINSGRVIEDPQDQLLVVKDGNRDHESSTIVTFNLGEEHRGRTCKLVFDLWDRDVSTG SHQMDVFSVLNPPGQFTVASIPTVRPASSRDKHKGRIEVQKPGSANWLMAYDGYPQFP CPVGEVMGIEFVGVGDRVEIRWDIGVTGPRIRPL UREG_07063 MPPFSWRKNIPFVAGVAVCIREMPQPPPRQISPLSNGHPVCKTC SDHKYPCLGYNESANLPATGPQSSPPGDGLGNQGHEASNRRDSTERPRSSPLIPIPGS SQTSPEASTPKETPNPQGPREQSQTLKASESPGSILHTGRPDRMLILEGHSALISSRR THVPYFRYFGPTAIVPGFKQMVVRVRETKKGNPSTSTDSASSTRSPNVSKTEPRSSIH TASTSSSIQFYDPEDPLPNSEIVTHLCEVFFIHLSCTFPFLQRDLFLRDLAEKQLEPV IVDAVCALAARFSLHPLLTTGSASETNYPHRGDVFAQRAMCAVVDALSCPTVSGVQAC LMLAYEQFGSNHDSGLWMYLGISIRMAQDLGLQKLEGLKYNYGRLGLAPKSVGSGQAG RLDEGQASNGTNNNAQISGDPTNLDLEHAKERERVDTFWSLFLVDRIISSGTGRPVTL RDDDVEISFPLQSESSLPNGWPAPFPALIRIIHLYGRVTDLLNAIKEINHVTPETLKQ LAGMESDLTGIYQRLSPKLHFNAINFQSYVKVGEGTNFILLHSWFHALIVLVHQPTLL HSFSGRIQQLFPNSRELSMSSAKTIADILAFAELIDAKSFIGTPFTSQPTYIAACAFL MESALFSMPSSRSHTPPLGADMIDQPLMMVTPADGAAVSGQGSNAKYSLLAAAAKENY QRCYKALQSLVAYWEGTKYILTVLDQKSKGIWDPQLYTDEEMNGTVGHSSLGNNQSSQ TWRKLSTSKDITLSTAKHEKPNVIDTSNQAINSDSKLGGPSPKNTSQAIGWALTGATG SSQPNLSFLYQMPRTRPDEAATYLPPQYDSYQSVGAQTSPPTPSFCSFSDTKVAYQPG PTPNPPSQLVPNPSPNAPRYSCVGPEAISSSNPSFPLSSSEFTSHHPHTTTSQNPFQD ASLNTTPTSYSYSLATAMRTNHRYFTDNSLSDYADLGANIPNMTIESQDIDMNTLQVP GTFPFPLDGEFMPWLEYLPDDILQSKKRPLGLQMEQATDSHDAYSPPSDLDTGYYGAT PADGDDVTYPSASEKDFTRRNGGFGDENGGRRSHRSSISSLPGSVVVHPRADTLHGVD DSPPRMLVGSPSSSNKVKAYCFPAHVRDRDSPFRHPSSVRAMQMGDEDSELDALSPSG RTLKARKQRAALRAQSPCMSEMSMSMRSGVASPSSSTKKYYRSPHTRSSQMIVEEEAK KEYPLVLLHCNLLPPSLSLPPRLGTPSAELLREVLPDVYWRRWKVLEDSVVGSGVLRD RGVLISHPQEAYDVLEERLLESLELIRPRLAYGHFLGAEEGKSGNEDESERVVDAGEG AKCHDCGQKVLKDPDGGERKWEVRIYAANGLMRAGAWAAAWKDMEKVDVEVGLWLPMD VKRELERKMLEEETFRMEAELRAVEEEKRQKEVYGESGCPSQEEIDGLVDPFDPDISG DQHQHHGSVPVHDPRSDIGVFSYKGLRTMDFQTVTAKYTRLLLRDPIVTFVAGVILIL AIIYAPSRVPTTIAPSTGPSSSPHNVVTVTSYQTPPVYTTTVFASSPASKEWTHSPII PALTIANNGSRPSGAPIVPAAKIVAAEQPAEPDSALDVGSVLSAHRSPDDEEGKSISA VPPAAPVMDQQSMGLCSRSDGASTAHSKSHKHIETRATVTSFRMPDWWTPGDSPNN UREG_07064 MAFNDEYETFALGDWSLQSGDIIPNAHIAFKTFGDPKSPAIVYP TWYSGLISHNFWLVGDDKLLNPANYFIIIPALFGNGQSSSPSNTGIRPFPRVSFYDNV KAQYELVTKHFNIKHLRAVVGWSMGAGQSFQWASQYPNFMDLIVPFCGSAKTSLHNQV FLEGLKSTLFSSKRFISSLSAANGQALDGGSYRQWTPDEREAGLKAFGRVYAGWGFSQ AFYRERLYETTLGYKDLEDFMVNFWERHFAPKDPDDLLVMLDTWQKGDISQQAPYNGN FEMALKGIKARALILPGKTDLYFPLGGRTWR UREG_07065 MASPQAKQWGVTPPISTVLPTPAELTENDNLIAELKHQNNFEAP SETERRLGTNIPYRFALLNINPRKQILHLLQRVTIEFIKSVGRKKGLSQGAIDAAGGK IFTFGSYRLGVYGPGSDIDTLVVGPKHVTREDFFADFPSTLEQLAPKDSIEKLTSVPD AFVPIMKLELSGISIDLIFARLVVHSVPLNLDLKNKELLRGLDERELRCVNGTRVTDE ILELVPQQKTFRLALRAIKLWAQSRAIYSNVVGFPGGVAWAMLVARVCQLYPQATGSV IIGKFFRIMNQWNWPQPVLLKPIEDGPLHMKVWNPKIYHGDRFHLMPIITPAYPSMCA THNISLSTKAVILRELKRGGDIVDKIFMGQLRWSDLFAKHSFFSKDYKYYLSITASST TKEAQSVWSGLVESKLRHLVLALDRKSSIEVAHPFPKGFERVHICKDEKETEMAKNGS TKFQAKGTKTETTDEVNDPAHLATTENENGLIPMPKLEQTEQPDSHTIHTTTYYVGLE LKPLVPGASKSLDISSETQLFKNMCTSWVQYQPGINELTITHVRNYDLPEDVFQSGEI RPVRPKKKVVKKIESTAQKRPIEAVDAQGLSENARKRQVSGNGVAAAATA UREG_07066 MSVSLCFFAPLPPEFYRAAPRHLLGPISGYHQIQYPPERGREIN DVLATGIMSFVIHELFYFGRSLPWTFIDSLGLFKRYKIQSSKVPSLREQWDCAKFVLL SHFTVELPQIWLFHPMAQFCGLSTSIPFPSPWTMTYQIAIFFVMEDTWHYFFHRALHW GPLYKAIHKIHHQYSAPFGLAAEYASPIEVMILGFGTVSCPILWCAFTGNLHILTMYI WIVLRLFQAIDAHSGYEFPWSLHHFLPFWAGADHHDVHHEKFIGNYSSSFRWWDYLLD TEYTPDALKRRRGKKMKAKKTQ UREG_07067 MASGLSSSYTRRRRIEWPTMDRNIWDIIHSREADSNAANSNLEI PETARALVVRELSKCDTRQGFVPDLQIYDQSAEWNADLHWLSTMIVRTGVQLDKLFET IEASGFLGEFEREAFEYYQVQPKGLQIAQNTKENEGQLLPDGTISPKRMQLDSGYPMG LTQTPKSLRLRSETAKTSLMPLRRHSEMQRA UREG_07068 MTSEKTKKPGPELLVVSNRLPVGIKRKDDGNYETSVSSGGLVSS LSGLSENVTFQWFGWTGIEIPDDEKEGVKKLLAKDNAVPVFFNKQLAEDHYNGFANSI LWPVLHYQPGVLHFDETYWEAYSKVNQIFAAQVAESASDGDIIWIHDYHLMLLPKLLR TELKNRGKSAKIGFSLHTPFPVAEIYRTLPTSHEVLDGLLYADLIGFHTEDYVQHFVQ SCQTILSVPCEDHKLRYKDRDVHVGKFVVGINPERFQEALASDQVRNRIAEFNKKYEN VIRVVGVDRLDYIKGLPLKLRGFECLLREHPDMVGKIVLIQIAVPSREDVPEYQELQT EIQTTVGEINGKYGTPDYVPIVYVHKSIPFEELTALYAASDICLLTSTRDGMNLVAAE YTVCQDERNGVLLLSEFAGTSTYFYEGSIQFNPLNAHEISDALYTAAKMDTKERVEQH KNLMEFIHNHTRLMPPTLLLRTFIFKFANQVISNSTHWGKSFIDALSAC UREG_07069 MSDSYFIGTVARVQSIPRFSSPAALKKTLSALKNPSDLDEQSIT KYLKDLADQEARELNSKATSSPSSQALMVPKREIGMMVSLDHTIYFHDRRAFRADDWI FTEMVSPWAGEGRGLVTQRMWARDGTLIATCVQEGVVRLKQDKPATSSKL UREG_07070 MDKVHKVFAKRIFTTSIRKYPSEMLKYLAAPLSTTVLANMDRIH SSPEGLIAFFWGNIGLVTVAFLIKLILRWRKPYTPINLSQPTSFDLEKITPYPSEQIK GFEKHRIRMSLKKMDQTNWLTVDKNYAPLHEIRLGLLETQREKMVQCLPEAREACQEA LQEVSDFLCRRYPAMFETYMSNSGPKVRNKQNGEVYCLDGRDDSISPLEVAARLGMDD LTIILKNEDGMHYMAATASCFQIGWSANERVGETIAQMHNPVPQWEKEIGYAVNKCVP QG UREG_07071 MFVYSKLIPMSLKGLPADLELTAWTFDPEDPLEKVVMGVRHRSR PIFGAQWHPESVCSTHGQQIINNFRDIALQFWAGDRPWNNPTPRCLLENASLPPPISS KCAIVEEARQVVLGESLPARRAPDTHLRSYYVKSVTLGKGPEAGVLFDAVFRNTSLDG EAWLDSARVRDVHSRNSYLAAASFTLSYSSRSKVLSVYQQGKRLMFERLLSSYWSWLD YFHSNIIQKNVDALDAGSLGQEAEVGQPLLQLGLIGYFGFEMKREALSGYRYGATDPG EEPNTLPDSQHMFASSVLRLDNYTGEWKLFSLIRRGAEDPIGGHIDSVSPVGLTEAEF DSLLERVRRPFSPDFTKLPSTIPEPLPSFTALDDEKSYSQKIRAAQNAIKEGEAYELT LTTKFNASDSGADPYALYLSLRARNPAPYSAYINFPVHNIAILSSSPERFISIDANGV AEMKPIKGTLAVSPDKEEDERRKHQLATDVKELAENLMLVTTIQSHIAPNVGGVHVLK RCFPPGSMTGAPKLRAVQILDGLEEKRERGIYSGSLGYLCASGTVDQSVIIRTIVKHG DQLELGAGGAITWLSEADKEWDEVMVKANAVATGLPKGEVAK UREG_07072 MSSQNHLGRPPSYTYTAGGRPASPLPPGQHQQLGHHPPPLNTGV AYPQHGHQMAGAPPPGYGGYAQHPQHTSIPPSISQYPPGRQHTELEGGGRSKAQLIVG IDFGTTFSGVAYAFATNTEAKEDIITEWPGAGTHTKQKIPTVLYYDQFQKVVGWGPDI ADALAPTGYPKQGVQKVEWFKLQLMISGNTYIDPINLPPLPPGKSEIDVAADYLFKLR GAMRNQLLKTLGEVFTREERNIRYFLTVPAIWNDAGKAATRAAAIQAGFLRDENDNRL TLITEPEAAAMFCAKTGLLSLKMHDAILIVDCGGGTVDLIAYEVEEEHPFTVCECTAG SGDSCGSTALNRNFSNILRAKIRKMKLPDGSRTAGKVYGKCIMDFENRIKADFRNNGQ KWAVDVGIEADFPDAGIEEGYMTFTNEEILQCFEPVVNRILELVRNQIIAIQAQNRPL QNILVVGGFGASEYLFQQIKLHVPPQYQTKVVRPMDSVAAIVKGAVTAGITERVVTSR VARRHYLMATLQPFKEGHHPEQYRVPSLDGKDRCKYTRQIFVQKGERVKIGEPVKVSF FRQVAPGATLMYEDILYACDEDVCPEYTKDPRIKEVVTLTSDLSRKNLEKDFERMDTP QGTFYRVYFDIYLTLDGSEFNAELVCQGEVMGRCSARFR UREG_07073 MEKHPHPGTDLRHGQRSDSEQEEWAGEYDPLADPQERRVLFAAL DSFRQYRRVLHRNITHRRRQSFYSLPSSHWEKLAAPPFCMLDTLNKIDDAIDSNADIA EAILATGLAPMRLPLDPAPHDVRNWRNKATSEDISKANSTIRQFFRDWSAEGQHERDV SYGPVLRALRQRFGERPASGTKVLVPGAGLGRLVFDLSVAGYAAEGNEFSYHQLLASS WVLNHTRKPEEFALYPFALGFSNLKSRSQQLKQVMIPDVHPGSVVKQQSLLPESQRTM GSMSMTAADFLIQYTEPESKDAFDAVATVFFIDTAPNLIRYIETIHHCLKPGGLWVNV GPLLWHYEDRHNGNQSKSEGADTDDIEKLGIVEPGAVEFTEDEVLQLVRSMGFDIEKH DTEAGECGYIQDTESMLQNTYRPSHWVAVKT UREG_07074 MTQGSDLFSIAPSEISFPLPKAVNTTLHIHLTFFATTATVFLTT TSTGESQGTTRPMGSLVYAIPDRFDPKNTMTTALYTSPGTIDHARRTAQALARRMNIP VFVGCSIDLSGQVVEEEAEGLAKIVDTIMRRWELFKGEKEEQG UREG_07075 MTASHPVCGIRNMLHELILQNGIDRRALAVLFFKREAVRSTKGS RPVANVIFEKRFLQLAADRQIMILSPGGTSPDVPHLVLDSAAGFWIQPSCSECPTEDN SVRDPPWSALIFILAFGGSFDTIISLPWRKHSMLTQRDTNAVVARSSGY UREG_07076 MHGSYLPSQPMQAKPPILYGSSAITADGPQPPAARDSSLNPSSR SPDRPSDSLKISHLLYNSASPGPTAPSNSFSNPSPYSRPPSGPGPPPSLLIGARHTQD AIPETTNIPVGHIVPASQQPHKRAYRQRRKDPSCDACRERKVKVQDLERQLAQAKQQL HQLRMGMPKLEDHDYEPNEGPPKIPEIGCRPHRINTPSAKQNYASVCSKMRQHGQGLI NFPLTPSYIRSQPLLTTDSPPLPPKSVADVLLRHYFSCIHSVFPILHWPALLDDYDRI YRAGSLRGVPRGWASVFFAVLACGSLHSLDPALIAKGKEYIQTSFTLTDLWQDAFSVD PARAAMLISLFSIVVLELGRPLLIHDEDCDAELPSAIEEHLISEGAPAVAEPRTTPLL ATIHVLRSVSQLAKGLRSQVVSTETLEQFERHFRMCLATFPAEYAINSNQYLDPQSLS PIIYLQNTRLILHRHNLSPACSPEMRRHALEQCLAVAHDTTRILARCMRSPSSLDSSG TGTGEWRYLLAAAASTVLCTHIWRCILLLLFKAEYSSALLCIQASAAIGDVRPVNIAA GRYLSFFLKCVLERQQHGELVNLERDEEMIAYVSGDLQARIDGSWVWQNSEIQSPLTV TPPPISSPSSAASPVRARFVEPPRSEPSRKEEGDQEWEGWEWVEQTTQLLLSKQQQRG AMLSPAENNRPFLVEPKPDRPLENLTISRTSNSKMTIASII UREG_07077 MTRLKASDSQQEGLRIELHGGKYLKRKQQAVIELICDKSRSGLD DEDKQQRSKREGESPEDKDPESSDGDQSDPSKEKSLQFKSYGEVGDIDVLRVDWRTKY ACEGMQEGGEGGKSNRWGFFTWLIILLFLCIAAYLIFGSWLNYNRYGARGWDLLPHGD TIRDIPYLMKDWGKKVINTLQGPGSRGGYSAV UREG_07078 MMLSRRMLSKEEEASQEETEVRREDQEKINRFSRLHQRETVLED QLKAKQKDKEDLEEISAELDLADEDEPVPYKIGDSFISLPLPEAQALLLASTEQIDEE VSKIEEQLGELRDELRQLKVALYARFGRSINLET UREG_07079 MAKKPIFVATHPRACSTAFERVFMTRRDTLRCVHEPFGDAYYYG PERLGRRYEHDEKARIATGFQNSTYKTIFDRLDREASEGPRPFIKDMVYYLFPEDGKP ASIAPSLQQTKRGIGTDGGANGTHTSNGVGRDGIAEEPGNPTVVPVELLSKFHFAFLI RDPHYSIPSYYRCTIPPLDKLTGFYEFYESEAGYEEVCRFFNYLRDIKMIGPHIAHGK NMANGASGTNGSHAANGAGAHDAVEICVVDADDLLDNPAGIIERFCHSVGEKYTPDML NWDNKDDQTVAQEAFEKWPGFHEDAMHSTSLKPRLQKKAKSEEEFDREWREKYGEKGA RIIRSAVDRTMPDYLYMKQFAMKV UREG_07080 MPSILKRIGKLCRAQPVRQISITSRGKLIHPDELFAYTNGHFLV DEPRQLARRYVSFNLDALCSIAAGAGGVSSPITAIEKMEGGFSKAFLMRKQNGEEVIA KIPCRNAGPSVLTTASEVAVLEYVRHHTSIPVPRVFCWDSDSSNSVGVEYLIMEKAPG IPLFQRWGEMPELARMELIKNLTKLESELSAIRFPAYGGLYLCSSDAHPLDSFPLDHS IDPSKSYYLGSTCDRSFHFDKGEKAPRPNINAGVNSGPWSSLSELGISIARREILRIT QNPPETKGTFHHGTVEEQIHLLEIAIPVMQQLDSHPILSQFSQPVLWHTDLHMGNIFV SSNDNSKVVSLIDWQSSSILPAFLQAQWPDFLKPPRKYVKGFVHPKLPENFDELDEES KSIALYEWNQAKMTKAYEVSNYLENRAAHNAMNAPPVFKELFVRCGETSEFGVLPLRE CLIEISLNWTSLGFEVNSKEYESWHRIQQLARDCLDTDEDGWIAPDLDIVEKRRKNRE LLAMLVEQMAGEKSPEEARRMWPYPDGN UREG_07081 MNTSQFTDRANHALMQAGELAEQFAHPEIVPIHLAVALLNPTSE DAEGQQPVHDSHKSANIPLFKQVIERAHGDPQQLERALQKSLVRLPSQDPPPSQMAIS PALAKVLRAAKELSKTQKDSYVAIDHLITALAQDPSVKRCLADSNIPNVKMIDSAVQQ IRGTKRVDSKTADAEEETENLKRFTIDMTAMAREGKIDPVIGREEEIRRVIRILSRRT KNNPVLIGEPGVGKTTIVEGLARRIVNADVPANLAQCKLLSLDVGALVAGSKYRGEFE ERMKGVLKEIEDSKDMIVLFVDEMHLLMGAGSGGDGGMDAANLLKPMLARGQLHCIGA TTLGEYRKYIEKDQAFERRFQQVLVKEPSVNETISILRGLKERYEVHHGVNILDAAIV SAATLAARYLTARRLPDSAVDLIDEAAAAVRVARESQPEAIDTMERRLRQLQIEIHAL EREKDAASKSRLEVAKQEAANVNEELRPLREKYEREKQRTRDIQDAKMKLDQLKVKAE EATRSGDMQTASDLVYYAIPDVEKRIEQLEAIRARQEAAAAAAPGADGGETLLADAVG PDQINEIVARWTGIPVTRLKTTEKDRLLHMESHLGKIVIGQKEAVTSVSNAIRLQRSG LSNPNQPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHSLSRMIGA PPGYIGHDTGGQLTEALRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRII DAKNCIVVMTSNLGAEYLSRPNTADGNIDPTTKELVMGTLRDYFLPEFLNRISSIIIF NRLSKREIRKIVDVRLGEIQRRLEQNDRNIQISCSEAVKDYLGKAGYSPAYGARPLSR LIEKQVLNRLAVLILRGAIQSGEVARVVMHEGRIEVIPNHEGSEEEDEEMIDEDDALA EIEDDMGDKDLYE UREG_07082 MPFKPFKPPLIRKPPNVPAQRLSSESDHQHATKRRRISDDSIDL TEEKAKPEVSVEITQQATKLGSSYRKPLTLVNNSHHVPEKLGQHGVKADDDGGTEAYY NVLWRKFTAKKHKTWDGDGILTLRGGYAYLQDISGRDMGRMMFNSTLEPGSMLSVGGK DVEVESLLSKEEYLSGRPFLSVNKSTTGTTSKKQPELPRMAPVHISRSTSISGSKPIN FATKLKVDRKTADEVAPKTLNVAAPKSMAMNNAFKNPLKEDTVLPSKPNNVPTPRHDP NQPGAIVMKRPTSVPKEKQLVDVVIDPLLGKHLREHQREGVKFLYECVMGMRPFNGEG AVLADEMGLGKTLQTIALLWTLMKQNPIHEAPPVVKKALIVCPVTLIKNWQKEFKKWL GNDRLGVFVADGKHMRLTDFTMGMSYNVMIIGYERLRTVQEELTKGRGIDIVIADEGH RLKTVQNKSAQAIQSLNTARRIILSGTPIQNDLSEFFAMVDFVNPGLLGTFKMFMKEF EGPIVKSRQPGASRKEIEKGKARSEELASLTSLFILRRTADILLKHLPPKTEYILFCN PTPAQANIYRHVLASPIFQSALGNSETENSNSTISSLLSTLPPNLLRHFSPASSGKIR VLDQLLHNLRSTTSEKVVLVSNYTSTLNLLAALLTSLALPFLRLDGSTPANKRQYLVD DFNRSSSSSCFAFLLSAKAGGIGLNLTGASRLVLFDVDWNPATDIQAMARIHRDGQKR HCHIYRMLLRGGLEEKIWQRQVTKIGLADSVMDQKGGIAHFSREELRDLFRLDEETEC QTHELLACECGGRGRNAHIADEEAIDNAGTLADSDSITGDSDDSAESSDMPALRKASE LSIQPSEHKSKRAIKKRNAKMRYLMQYSHIDTSLLNSDHRKAIKEQIADEVLWSLLQD EGNGVRYMFKRDGFADLAADPTAVR UREG_07083 MPSPGIPHRPSNRGSGKSPASKPKRLDLGDPLGPHDTNTVREKV RKWQQQGGGVVIAPDVGASDEDEDKRSRESGVEPRQKRKDKDREDAGRIRTRGSEEEG KKKKVTSRSGGNAPKKRVISDAHWRKDRPPTGSPSPSPTKRTSRRDAEATYGYGNTKD LSERKKEKPTEKPLTGDGINVYPGPLRPPTASQSPMRSGDSGRSNSSIDYKELSYGSS EKKSTSRRKSTRREVRAKYVVNDFPYKSDHSRPHESSDAASFSGKETRMASPKMKAPT RTMKPKGDINTQAIDSKTKFSKKDLPPVQQSHGSKVEAWLSRTSDPFIDDDESYVEMP PPLKSSPRWKSMSTVKKDVDTDEKQSASEDQNTSRKDPHKRRKSFSGTDGKYHPKESN TVNNDPSETSPASLKRSKASKRPKSMEHRKMSMLRESVEEALKSSSSLDRPISSDGSE VSLTDRPPPLTLRRMFPGTGMHRLSTIASVDTLNTTTETAKEPEKHPNAASAALEGDD VSESEIRDQFDPYSMPGPGASSSKRKLATHADLMSVLSLPTGGSRSIRSARSIRTNRS RLATATVGDIMRELASDEAKYMRELRTLVGGVIPVLLTSVLSKTDSAIAAGLFRPNAK PNDDDNFTRPIVNMGVSLEKLKALHKKIPLDNPDGLLNWAQGAQKVYADYLSAWRLGF QDVVVNLAPPDPDEVSKGPNSDAQSLYAGMSQDENGDVIDGDGERVDVAFLLKRPLVR LKYLAKTFKGLNYIQSSPKASEIEKKYQSLVTDARHRANEERARLEDEAASATDACRA RDIHTLKVLKDVEVNQNRRVRARDFFDLSFLHSTGQQIDCRSELLLRDNPTEHGPGGD LFICEVDSAGRWLLFPPIDHGRVSARNGDTKGEIVIMVRGVPEEGGNWYELLSLKTED EQIGFEWVHMLGLSPVPPKINRSLSFVNRAKQKKQRPPIDLKRSESVLSTRSIIPSPT DIGMPIGERRFETKQTVEDDQATFVSNFQASRESVVSESSRGSINQQKPAIHGSSSPV TDTLVRPPRSLNEAMDMAGGTSPTTLKRSQAKRRSKYGPLSPISSGDARSPEGDVRGL DIAESKTRKRESELLARSVSPELKDFKTMKRSEKGAQRPIAEVTRLGRRSLSPVPSLD LPSMPRLRQKTPRITPPSSPVPVEDRSGSPKPKSPKQRNEIDDKLFLRSESSDQPVFT EDVPAPPPHRSPSPSRSKKSSSSAATPTFSPWARHRRTSSPLKHEYEPSTATASTESD TSTVERHTVYSSTETSDEELESNDLATPLPPTGSNRANKVSSPPSSPTLPDTTLAPSN SASQAPYKTVPSQPSKAEKTIASIYYWHDKGSWEALYPEECSIVVTPGLIEACEMGPA HSQPEPESDEGTPRQRPLIALELTPLVPIRRGTALDISIRSPPTSKSKLIFTGTNVMF RSRSPDECDKLYGLINQARINNPTYIALQNARDPYSNQPTSLSRYNSTRSTKGSGWFS WYGGLSKSSYRASSAPAPSVAGVTESSVGTMASAFSALKRFGAGSKMFSIARSTLTSR TGSRGGSLYSTSTRSGSNPSPAWQPNGRGQEASRFAPGGIGLTNAKIRLYCRESASKW RDMGAARLTILPASPATSPPGTSSNSIHNSAGAIENETSADGTTAMSPSHTPAAAPAS RQLEKRILIHSKAHGQVLLDACLGESCFERVARTGIAVSVWEDFQGVAKEGGVVGGSF KIYMIQMKSEAETAYTFGLVGKLRY UREG_07084 MANLTTSAHPYYPVEAQLVGYLANKWSVPLLVGGFAVSWGLILL VTLAVVSYVRPNLSKKDKAAVLWFVLSGSIHLFFEGYFVVNHTRMAPAQDLFGQLWKE YSLSDSRYLTSDPFVLCMETITAVMWGPLSFLLAYLITMESHLRHPLQLLVSVGQIYG DILYYATSMFDHYHNGLSYCRPEGYYFWCYYFFMNFIWIVIPSYWVNNSICVMSRAVK EVNENAKVRKLN UREG_07085 MNNACRRQVPKPSWPTSNPSGRFSMDIYPPPDTKVQTIGFSEFC EVEGQTFKRRKGTQKWAQLDPDDNPGHHDHPLQLSLVHHNQAPGEPIHWALYVAREND PGMVYEVNGDAECMAYTPSSDPVQILDSDTFQDIFLLANLTDGQAAVVRQLAEQEPPP RAASRKEVKENCQGWAVRVLARLAERGIVDTVKVDMARSMVQPI UREG_07086 MVSAPGFVRLCPLLITSTTVVLQDVVEFKSLPSGLHNVKEDLVY FVQDDYAGLSAFVNQPADESERNALMLAVGVLVPLSQGRLGKAWRHAACLKELARKLA DDYHNHQPLVEYWETFQLPNNQHDSPPDSPLTSISSLKAKQAMRPDDFQRGRAISDAT ALLTATQVLAPFHPALCLPDFKNSFGPLMFPLYRASLLRKRILLIGDAPVETSCNFVY DLALLSSLPQTLLPFLPSTDSPALRPWPLFNVGVHDLPYLTSLSRKTSAQDTAQNNWI ACTSDRVLGLKPELYDILVDLPPSYSKDAPEKIYPKLLFSSPHSTPKDQKQIGIKATQ RDIRRYLTLRGGLNVLPRAGAAADDAEDFDNSSTFSSNSLVEPLSWPLLAYTSFIWWA SAGEKGAGQSDEEKEQDARLLLPDDDSVYAPGGRRGSVSYQEPDSRTQEIALITYFRR LTTQIFTVLYDIVRRQDQDETESAEETDEVDTPSHSGDEYQDEPEESLLIDANQQDTD PLLDARASARCNDDEIVPITTEDITRMGLDPWSESDRRFVEELVRVWWSREGQVQGGK IRCCGVRIL UREG_07087 MAEPTTPVKMPQPAGCPLVPISPERINQQRFSLSPTKSLDAMHS PSRSGRGASDVQAKVAFLNRLSSATTPERPASHVSTTTAALQRAILGREEAESALQST LAQLSQANARERRVSERLEALVEELHALKERQVHERTVFEKEVRKARKEAFRAGSVLV KVQEELKYSRGQMKALKDEVKAEKEAKEKAKQEAFERAYALAGLTEEMEALKDKLRSS EAETHLGEFEQPQTEDAQAETPANRLAAPEPAVMSVSPESRGLKRGQPDSVDHRSPCR KRSLNSRDRLSANLGSPVKFSLDSSTQTGEAILEEADTTESTGLVERLNDDLRWEKRM RQRAEDMIHFLKMECQFRRCSCRLADNRGTRYIHDASWEQFCETAKQSTNRQNDVMEP TRSPPLQDQRQPSPNPTPPLDAHNEPEDDPDEPLVTFCPDTGTFQVIPSPNKATLDDH HVTENDASQSTLRCTSPTLGRSRNHPNAMRQEDHFTQLPENASQMFERESPLNFERNQ SSSHFAQSATVPQNHTSAHNLSHETCRVTQPSHSAEIHTVTKTTTVPLHLESQNPRHE PAFCPIPATPINREEALAQIRARRGRTQCALKRSASANDANTKSGAVTPVNGTRRIPA MANSERNPGSNSMGLGAGIRVRRDISAPIDSARRSFR UREG_07088 MHEIITLQLGQRSNYLATHFWNVQESYFTYSENEVSPIDHDISF RPGIGADGSETFTPRTIIYDLKGGFGSLRQYNSLYEAETEVGMPRGLWDGNEVIQRQT VIPANEYQKSLELGLPLPKLTSESVRYWSDFNRLFYHPKSIVQLNEYDLNSQLLPFED WSVGESLFNSLDREHDLLDRDFRTFAEECDQLRGIQLFTGADDAWGGFAARYIDRLRD EFGKKSIWTFASESGGKLDRGKQYLRAKNSAKTLCELSSQSTAYIPISKPPVKHPHYV NLNMPSEWHLSALTSVAVESVTLPARLRWHEGLEPWLLDNASPQRIFTLRATIRTEDS EHSFASRLNDLTENKVGEGRHDDIENSERQLDLNFSSIGSTTPEKTHFFSRVQVDRDS RYGDSERKQKTVGQGLTAQRLSSWTGYNPSVLSYFQTPLEFPILDSFPPDLIRRQPPG RSTLGVHVALSATSGIGKDLKNLQQVVGRRIAVEEREDLINGLNELSEAYQARWENDS DSGDD UREG_07089 MAISQGLIGILGTCQKPTTEVLEPTTTDCETTDLPEPTTEVPEP TTTDCETTDLPEPTTEVPEPTTTDCETTDLPEPTTEVPEPTTTDCETTDLPEPTTEVP EPTTEVPEPTTTECETTDLPEPTTEVPEPTTEVPEPTTTECETTDLPEPTTEVPEPTT EVPEPTTEVPEPTTEVPGPTMTSSKPDITSTITITTTYTTTTCPVTTETVTSGSHTFT ETRTNTETITCTETATTVTVIPPSPTGPPENPPPSHPEPSNPPPENPQPSNPPPSVPQ PSNPPPSVPEPSNPPPSVPEPSNPPPSVPEPSNPPPSNPQPENPPPSVPEPSNPPPSN PQPENPPPALPTQTLIPQPQPPPQTTSTPTGAQPPVFTGAAARLQGGLTAVVAVAALM VVA UREG_07090 MAPPGRRRGGSVPSLLYLLSTIVLSALIASFPSTASAAGTGVIG IDLGTEYIKAAVVKPGVPLEIVLTKDSKRKELSAVAFKPAREKGPAFPERFYGSDAIA LAPRFPEDVYPNLKSLLGVPFETGIQGSDGGEQNMVALYKERYPRVKLEPAADGRGTV GITSKRLGKPPFLVEELLAMQLKQIKANAEETGAQRTNLEDAVITVPPFFSAEEKRSV QLAAELAGLNVLSLLSDGVSVALNYATSRKFPNITNGEKPEHHIVFDMGAGSTSATVL KFQSRTVKDFGKYTRNLQEVHAVGVGWDKTLGGDALNQLIVNDMVAKLAESKTFKNRA TPEQIKAHGKTMAKLWKESERLRQILSANTETAASFEGLYEDDVNFKYTITRATFEDL AKSHADRISKPLTDALEMAKLSLDDVESIILHGGVIRTPFVQKQIEQFCNGANKIRTN VNADEAAALGAGFRGAALSRAFRVKDIKTYDIPGYSASIRYVTGENVTIWFGMHLNPV NAVPEITRGSASCEVEEEVKKSVVDKAKEFLGFDSKKGQQPLKDDSSKDQASESSESS ASQASSATPSTEDATSSTLSSSTDSAAEQASPPAKPWTTRSRARATREISGSRAQSLP SPEEMLRIKARLRAFDASDSARVQREEALNSLEAFIYRARELLDDTEFGGAIGKIAME KLTQSLPEVADWLYGEGSDASTKELKAKLDSLKALVDPALNRKTENAMRPSKIESLKQ SLKSAKTFVEAMEKQIKAEESAFSASSSKATSSSSSESSSASSASDSSASTTSSSTSS EPTPSYSMYSPIDVTTLSEIHDKIETWLNEKLKLQEKLAESDDPAITVADMEAKGAEL QRTLNKVVEQIARKSKPGNGNGKKQGKKNGGSKDDKGKEKDKSKVKPKADKEKKEKKE TNKGSDKKTASSSMKDEL UREG_07091 MATPLYSAPAKGSESIIRLTAIGPDRAAESEQSQHAQEPEDEQF DSRRRKESLWRDLGETRINARLFLGSGATLALAGPFGGVLAYLLVGTVISSVVSCLGE MTALMPVNAPVMEFPRRFLDRGVGFAVGWMYWYALAFFFFSEVNCSLRASTRFTFVVI AAHNLVTAARTAKLHYDDGKTSLAWGSGEDVDVHIWFAVFLLVVILINLFPVKWTASP RKLISRYDWDSPYESVKSVFQVKGSDGNVQRQIIGSTGKLLGMW UREG_07092 MRLIEQVTEPSWICENARKSTEEEGPLREQNLALTRLGAAASAR FISPPTGPISRTSSKFESHDEGLLNAITPILSVVGLAYSLPAPKEKAPRIFDAPINTC GKRTSIRVGDGDPHQHFLHMQVTNPLACTNGACSLNHANEHTITSSISGGIGGWVSGG FSVQESYTTGEAQDCDGGPGDVICVWVSLAHTAYTVKDYDPGLGHGCLKDGKPRVVKS PNKGFVGSAYYCGWSGPGNPLNPSRRAGGPHAGLGLTKPILISRKKNTHGIGKKVHDH TNQWWLRGFEAALSGIANDGTASPATSTSEENSNNGNNGMRSELYKFFVKGEGMKGTI GTRVDAGSEAHGTKRKRDESTLEHEDRDSKKEKKEKKRRKKAALEDDGRADTSERIRR EKKQRKSKEEFKGSSTSEVESRTKRSEKKSKNRRNENVSAEPLETGMASKEPCVANAQ LSEEDTKRKRKELKKTKKEARNASVEATRTSGSRKKRKKKEDQEEGI UREG_07093 MYEPLRLVSDTYPGVVPGVKAQGVMTLLIAVSILWALVWLSYRA YQVCVTPNDVLVDKLGLDIPPTPEVTLEDIGSREIRIAWKYPDSHNSIHKHVIQVNGI RIGESKRSETAVSILNLLPGHIYHLCVIAISAANFQTSSAMLHVRTSLDPSQNEPSDA CGGPYIQAYAPRPSSLIAPSAPIMSREHSSGPVQSKRTTSGRKAPVSTSAPEYTAIGD DSIVEDSEDTVEQLAERLKNLQQENDALAKQISQDKKEYESLLRELEEQRNELRQRVK EKDEASSDLRKHVNKLESVNRSVQSERSKREKLLQQKEAERKKRSDDMRRWSEGIIET KKLVERLQKEKAQTEDESEKRIADYRAKISDEHLGMKTLEEDIKMKGSRIKLLEEERR RLEGGDNEETKELDRLERERDRRWEVKMANLRAQYTSLINVHTQFQAQQQYYEAQERL KWISAQRSNPTLPYVPVPNMDLDIARRANSYRRSRHRSSLTSNVSSPLGYTLADTTCQ NPSSYRQLSTASPTVPPTSAFFNINNGMTIPDPADQMGLINLPESERKSMQKATSQAP SDAAQSASRKLSGLFGFSRQRGKTMADGPLLGSLKSGQSQSFPRNMDQDLDPIGTRRR RLSYTGGWANPMSTLFPRSSTGNVTADSSSDRLPASRKAMLPSFFTTGKFSSSASGTL GKSESNVGYNQFSPSHDPIDPSLLGTVRRDSLSPRPASTYSFDKFLPRPTSDVQPFGW PSSDMHRSSPLNVDWSTPGGAWSRSQSRRPSFQLGSSNHLPLGLPSDIDFLETQYEPQ RPVQAPIGTRPPSSHRPMTPKLNPAAPSFKTLFNKKSEKSKSKDSDASKGREELQLDT SPGESRRSKDARSIRTSAAESRESLERISSGTPSEAVSAKESFIQKITRKESSSKFNM P UREG_07094 MQYVRSISGSVSKTWNSINPATLSGAIDVIVIEQEDGTLACSPF HVRFGKFSLLRPYEKKVEFCVNGVKQNYAMKLGEGGEAFFVFETASKVPESLQTSPVV SPAASPRHFANESDIPVASLQEPEFLDLSSSRPNSPSKSATEPTVPILREKINSDILA TTSQSLDDNQRWLDRSSTPASDILSLPHQTRLRAGTTADLDAARLSPPTSAFNPEKNS PSSQRSQSPPPVSAQEAYSRAVSLSKKLEVSNIPSKVTETGDLMLDMTGYKSSDEDAL RAELIARKLLAEELEGHYDIGALIGADEHGNLWIYSSEEAKEAATRRATFNDLPNTHP LTGETISEPGYHSDNEQTMGMHNQRHHRSQSDIQGAYPTPPQTPTGDSVTDDQTRNYA KTLRLTSDQLKALDLKPGANPMSFTVNRATCPATMYLWNYKTPIVISDIDGTITKSDA LGHVLNMIGRDWTHIGVAKLYTDIVNNGYNIMYLTSRSTGQADTTRAYLSGIVQEGYK VPKGPVIMSPDRTIAALRREIYLRKPEVFKMACLRDILNLFKGRKNPFYAGFGNRLTD ALSYRSVNIPSTRIFTINSNAEVNIDLLSLNKYRSSYVSMRELVDHFFPPVSLLVQEG GEDFTDFRYWRDSPGDLEDFSVTDSDEDNEPEDDNLPSEDGGSDQYDDEDDVHEMGES YISRGSIASGDPAESMLGYESEDEGDVKNRNIPIQNLSLYERRQ UREG_07095 MASVKFPFSKAPLRTIKEIQFGLLSPEEIKRMSVVHVEYPETMD DARQRPREKGLNDPRLGTIDRNWRCATCEEGINDCPGHFGHIELSTPVFHIGFLTKIK KLLETVCHNCGKIKANTNDQKYLDGLRFRDPKRRFDAIWRLSKDVLICEADPPEEDEP FGKESAKMPKGHGGCGNAQPQIRKEGISLVGTWKPNKMRDIMDDTDIQQPEKKQITPQ MALNIFRNISEEDVRILGLSNDYARPEWMIITVLPVPPPPVRPSVLVGGSSGGQRGED HLTYKLAEIKSNRPVKAIRGRLKGKEGRLRQNLMGKRVDFSARTVITGDPNLSLDEVG VPVSIAQTLTYPEVVTPYNINKLGQLVDNGPDIHPGARYVIRSSGERIDLRHHKGGGG RNFLQWGWKVERHLMDGDVILFNRQPSLHKESMMAHRVRVMPYSTFRLNLSVTTPYNA DFDGDEMNLHVPQSEEARAELSQLCLVPLNIVSPQRNGPLMGIVQDTLCGIYKICRRD VFLTKEQVMNIMLWVPEWDGVLPQPAILKPRPRWTGKQIISMVLPSGLNLLRVDRDRV PLSEKFSPLTDSGLLVHGGELMYGMFSKKTVGATGGGIVHTIYNEYGPDVCMNFFNGA QTVVNYWLLHNGFSIGIGDTIPDLATIQKIEEAVRIRKEEVDSITASATENTLEPLPG MSVRETFESKVSRALNNARDEAGTETEKSLKDLNNAVQMARSGSKGSTINISQMTAVV GQQSVEGKRIPFGFKYRTLPHFTKDDYSPESRGFVENSYLRGLTPTEFFFHAMAGREG LIDTAVKTAETGYIQRKLVKALEEVMVKYDGTVRNSLGDVIQFLYGEDGLDGAFIENQ RVDVIKCSDEQFRNRFRIDLMDPEKSLSPEILEQATEITGDIEVQRYLDEEWEQLQKD RVFLRSAAKEDEEMMQLPINVQRILESAKTTFRIREGTISDLHPAEVIPQVQALLERL VVVRGDDIISKEAQENATLLFKAQLRSRLAFKRLVVEYSLNKLAFQHVLGAIESRFAK AAANPGEMVGVLAAQSIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVATNIKT PSMTVYQDPSRAMDKESAKQLRSIVEHTSLRSVTEATEIYYDPDIQSTVIENDLDMVE SYFIIPEDVMDDSSRQSKWLLRIILSRPKLLDKGLTVQDVAMKIKESYPSDIAVIFSD NNADEQVIRIRQIQDPKQDEDDDDTEYDVTLKKLESHLLDTLTLRGVAGVERAFINEK SRVRQVEDGSLYKSAEDPQCKEWVLETSGSALGDVLALPGVDSTRTYSNQFIEILEVF GIEATRTALLRELTQVLAFDGSYVNHRHLALLCDVMTSRGFLMAVTRHGINRADTGAL MRCSFEETVEILLDAAAFAELDDCRGVSENLILGQMAPAGTGEFDVYLDQTMLMGVVS NNAGLVTMGNVDKGLISDGAATQYDSGSPMQENMYISSPDPDSQFSPIGQTGSETPAG FTEYQPPGFGGFSPAGARSPGGGYSPTSPFNTSPTSPGYSPSSGYSPTSPGMGITSPR FMTSPGFSPASPSFAPTSPAYSPTSPGYGQAQSPTSPSYSPTSPGFSPTSPSYSPTSP SFSPASPAFSPTSPSYSPTSPALGGVTGRHLSPTSPTSPKYTPTSPGWSPTSPDAYSP TSPNFAGSPTSPGWSLLPPGYSPTSPTYNPNISAPVKLTLGLSDVLFPIYPYLSTTPK YLCTTSCLFYPVRAFRPMSHV UREG_07096 MNLALIDPFALAQDYPDSLTGTLRSGHATCLRFNRKGDFLASGR VDGTIVIFDVETNGVARKLRGHSKQIQSLSWSRCGRYLLSSSQDWKCVLWDMRDGSRV RTVRFEAPVYIAEIHPFNHWLFVASLFEDQPVLVDITSLKPVKRILPSAPLRPNAENV DPTTAAKQAAQDAKQSTCVTVFTALGNHILAGTSKGWINIIETQTCRTIHSTRLCNGV VILLRLSSNGRDLLVNSSDRVIRTILMPDLSQLGVNIDPSAIKLEIEHKFQDVVNRLS WNHVAFSATGEFVTASTFMNHDIYVWERSHGSLVKILEGPKEELGVVEWHPSKPMVAA CGLESGCIYTWSIVTPQKWSALAPDFQEVEENVIYVEREDEYDIHPAEEVHQRRLDLE DEEPDVLTIEPVKGEVDEDGVEPFRMPVLLDISDSESENDVVAVGPGTMRRKSPAAGR EWMNSGNTSENFDDTDSRRGGVLSNGVSRGPGRGRRR UREG_07097 MDISFLAGVPRQNQPEERRASDNGINIPPAPRGTQLSRELEAYP TYLENGRWYHGYRRGQYMFPCDEPEQDRLDLFHKMLRVARHEKLHLAPINIPNPVTMG DAGPRVLDLGCGTGIWAMDMARAYPKAEVFGIDLAPIQPVNPPSNCAFYSPRDYESPW LLGEGSWDFIHLQMGCGSVTDWKNVYNKVINHLRPGTGYFEQVEIDFDMRTHGFTLAP NNPLAIWYQLLREATEAGRRPIAFDLRTSQYLEHAGFVDITHNTITLPLSMWGTDPDS MEVGKWYSLAFSESALTLLWAPLIRMKNMSIDDIRNLAQQAKVEAYKKDIRAYNVLHI YTARRPT UREG_07098 MAVSAPFNLLRQQGQESLSLPVGSYIYSLCQSGNDALAAISSDN SLRRIDRRTLKLLPDGLITDAHPGKSGGVTCVCPADQSVSTAGHLLATSGRDGTVKLW DSRAKGQGAVSAFLTGIDRNPALISLACNPGINSIVAGTELVSAQASLCFWDVRFPGV PRMQYVESHNDDITELRYHPIQSNVLLSGSTDGLVNLYNTTITDEDDALLQVINHGSI HHAGFIGEKAIYALSHDEAFSIHPFNDPDENIVEPAAIHFGDLRSTLSCDYAVDVSVD EGVYAVIGSTREQTLDLVPIVASPNFQFDRAKAWRLPGAHGEEVVRSVLLDNQEDSNS TEIETKIDPKPSKASQAVLSSRNRQKRKEKRHRDQRYKPY UREG_07099 MGAEIDFTTFRNVINGELTSTEQTRHGINPATGNPNPEVPVSTP TDVDAAVEAGLVAFKSWSKTSRKVREQALLGFTGAITRYREQLTKLLVQEQGKPVMFA NGEVDGALAFLRSIVNLKLEEEIVEDTEDRQVIVRFTPLGLAVGIVPWNAPLVIAVMK IAPAVLTGNTIIIKPSPFTPYCDLKLVEIAQQFFPPGVVQVLSGDDSLGPWLTAHPGP AKISFTGSSATGKKVMESASKTLKRVTLELGGNDPAIICADVDVDKVAPKIAMSSFAN AGQICVAIKRIYVHESIYEKFREAIVRATAALKVGEGNEPGVFLGPVQNSMQFEKVKT FFADIEKEKWNVAIGAKGDMGKPGYFFEPTIIDKPPADSRIVVEEPFGPIVPLLTWND EAAVIEAANNTKMGLGASVWSSDLEQARRIGDQIEAGIVWINKHFDACFEAPFGGHKE SGIGYEGGLGGLKGFCNAQTININKNL UREG_07100 MKTRQWRWKFLPLALLLLLQLLVARVQATTNAEITPPATAEPAE SAVLAGQNGVGLNINQERHDGSSSQPAVDAALNILRRLKVPTKRYERPSGFLWYTRHY ARQLFYLLFMNGPPLDSQTHERPRSMSSQLKDAVSLLESAANDNNLDAIYLLADMNFY GNYTHPRNYSKAFQHYEKLAKLSGNSTAQYMLGFMYATGIGDAVERHQGMALLYHTFA ASGGNVRSQMTLAFRRYLGIGTPRNCDEAAYYYKQVADKAIAYYRSGPPGGRNLSKDS YRWADAEGGVYGEGASVSSSGVNAHKEGVHSSSDASLDDVLEYLDLLAKKGDMKATFN LGKLYYDGSRHLPRNFRKSMMYFGIVARRYWTKDGKINPSHPAGIEKIASKSAAHIGL MFLRGEGTEQNFEKSFTWFKRGTANGDSMCQHYMGLMYLHGYGVPQDALKAASYFKAA SEADYPFAEIQLGALFLDQGDVPTATRYFELAARYGATEAFYYLAEIAERGIGKERHC GMATGYYKMVAERVEEVHSSFAEANDAYARGDKETALMLSMMAAEQGYEDAQANVAWL LDERRSVLSLDPILPWSKERRPSILRNAALALVYWTRSARQSNIDSLVKMGDYYFYGY GTPRDFKKASSCYHSAADGHHSAQAFWNLGWMHEHGISVEQDFHMAKRYYDLALETND EAYLPVKLSLIRLRLRSFWNRITNGKVNSIREEQEKNSPRSLKEWVREFVSYDEEREA AKKERMKQAAAGEQADDALEDTADAGDASSGYYDDLDLDIEDGMLESLIIIGLAATLV VLLHFRQQRAMRRANNVAPEHNNNRDGSQTAGQAAAGGVAAEDQRQRQQGGEDRGFFP APDDPEYPAWVAGAIGH UREG_07101 MTSPNTSYAQQRVTVLQKQLSSLHAQLSALRANLDTTAQALKIE QSNQDPEIEALVHKWRLVTREAAEELFASARDRVNRMGGVGVWREKMRQSKLRKAEWD TCGGADGGEDADGSDVEREKEARRREIEDGIDAGGRGDSDREEHESEKGDDETFTMDM MLKNLNIDLKMIGFDKENQRWID UREG_07102 MESRDRRLTSQIPLDAKVLHKLSPALLDISSLPSSNGFEPSTTS SLSATIQRLNQAIVQSDVVWQLGSTVILGLSSEIVMKSGFGLDIEHISTMNYIKDRVP QLRAPDIHGVLQAGQRCFVFMTRVEGEPLDRVWKSLNRSQKDSIKEQLEPMFSGIRSI PASPSSAADAILGGGTPRRCKDARREIRVAERSIANEAEFNQFLTTDPRRTETGWVKM IRSFLLSDYEMVMTHGDIHPRNIMVSKRCKAPPSDPVTDWVEVTGVIDWEMCGYYPSY WEYVKALHTVGPKSEFNDWWSFLPASIGVWPKEYAVDQLLSRWWG UREG_07103 MDNTTSPINISSSRQTSLSPAQQSSNLTSALQRASAVDRPDLIP AWNGASPTAFKSNGARKDSFSATMAQYSNGSKPITVAGSNRDKPRRESLAGSLVGGMS WGGVSVGSWIRDDIIMTGTSPFTLQSPSYHSSSYLPKLEANFMRDFSCCGITLPTLHD LLQHYEEAHAQKSPPVPQRTQSGQPIPDNRPGGNQIQASQQHGANKGFVAPHPVNNQR PSNQIPSPAQQRVQPQTGFNASRVMDLPDLDTVDDMEMDDPLSDTSFQQPQQQAFLQT RFGQGNGGSRVSPLNLGMLQNQGFRSSQPGTPSSPGRPLQNNPTVSSVNTPTLLPHSL QQQQVSQYRNTPESSAPGTPAELDESIVGGLGDMSMQGTPLAGSQAQFPGYGGQNDML DLCIDEPAKRLFRQGGGFKTQPNAQFRLGGAQYGPNSEIAQRIREQQRLAGVPDPTFL RPDEEPKPYRCPVIGCEKAYKNQNGLKYHKSHGHNNQQLHDNADGTFSIVNPETSAPY PGTLGMEKEKPYRCEVCGKRYKNLNGLKYHKAHSPPCNPELSFMAGRTMNTGGVMQGE NVNVAGAGLPGIGE UREG_07104 MRNFRKTYVSIFSCCHAPIYWCRCVSWDFAWWLWCCVDFFGWQK KLSSLGVAHFPWDPAPQPPPPPPQQAPIPPPPVTVPSNAPRTSPPPQQEFPEQISQPQ PSAGNGHNPGDVRVKTEPGYNNANPISNPTAAAHIAQQRAADALQQRYGAAAASQIHQ LQAQSQAALSLPGQQRFQPGQFPAGQSQDPKLPPHQAPQPVGHSQTDGADDSGSDPLT EWKAEVARRREAAEQNGANADRVMREYVEIKGRQMEGNGLLAPLRDRYPRANGKQRKD AIKENPTLSALVAAEAPATPRQANGYDGVDDYGIKKDEDEDAINSDLDDPDDPLDDPE GDDAVGQVMLCTYDKVQRVKSKWKCTLKDGILTTGGKEYVFHKGNGEFEWF UREG_07105 MAAVVVSTPVKSHTGIFSSKTAGGRIPLTPSPRQRTTSVTTTSD SSPCTPPEIPKSLYGGNLASHFARSISRTYRDSPKSNIAKGRNSPRPLELGVSQWTLT GTGHSTQTPVKKRAKKGANLRSRTAKTTIHLPHNAVDRFIPNRSASEGLVTAGTAKAD EQRPSTGDGSTVLANAASAFSMGSHEDDLADALQSLDLEDNESSKYARPAPDSVAYKS SLASACDIPLNTRILAFKPPAPESSKPIDLRSQYNRPLKPASAQSAQFRRRVQTAPER VLDAPGLVDDYYLNLLDWSSGNQVAIGLERNVYVWSADSGSVDCLLESSPDTYISSVK WSNDGAYVGVGLGTGEVQIWDVEEGTKLRSMFGHETRVGVMGWSKHTLSTGARSGLVF NHDVRIAQHKTAELVSHTSEVCGLEWRSDGAQLATGGNDNLVSIWDSRSLSAPKFTKT NHRAAVKALSWCPWQLNLLATGGGSYDRHIHFWNTTTGARTNSIDTGSQVTSLRWSNH YRELVSSSGFPDNSLSIWSYPSLVRNVEIPAHETRVLHSCLSPDGQMLATAAADESLK FWKIFERKPGTAASSSREGGVGSKGGQRAKQMTIR UREG_07106 MSLGASSTPVGGAPGHGASGSTSVTRTPMTLSSNNDPSAFVLPT SPSKNGRGSDGYRPTVKKSTGQIPACLVNASVTYCGNNQIYAFGGFDQYTDEGHTATL YQDDKLIVFGGENERREYLSDIVILDLSTSTWTSPEIRGPLPRGRARHAAVIHEDKLF VVGGVTGETNYILDEICYLDLKTWTWSRTWSFIARFDHAAWVWGDRLWVFGGLGIDME RGTDLWWLDLKGSPAFMGTSTSSQGTVGAPGLTNRMTYSPDMRFSTPSQVTGRASGYA PNSGSVQVRSLARRRPNAPGAISSVKFHSGPYTPVLLSGTHFHVYTSGVLLDLVTPSE TVRPWECNLSSLELDSLRWQRLADGPEIFKSGYRWHYCTVNEDGTKAWLLGCNLNIAN APGMGDENHLSEVLSIDLERYGVLGNESLGPSRSLADGQQASQMSVLGAELAAVFDLP PESGSGTDFMITADCDDRGYSSDDEFALVTDASQPPTFLPADAATSAPIHVHRIILQA RWPHFKRLYAAQMAEYHTKRMHIPEPYKVVRAFLYYLYTDSISCHLEFCPDITEVAGM LVMANLYDMPRLRQLCVHRLSRELAVENAAIVWERAGRTNEEWLKQRAAAFCMTNWGR IVRTEGFRSLSRQSLMELCEVVDTEGRVVAGHELDYGSWANDRFDPTGDSKRALPPLV GDGEDVEVDEDDGMELS UREG_07107 MAQESPNEIVPSNGTLNSTAEAGGSFEESPAKRIKLDQPATTQD VLAANDGAQRNRGMAPIKAEYLMSRGGQDVATTFDARDDDEAEAAPLRERADERGAKQ RKKQKGQNTGRRFGQSRDEKGLCQSVSHSPEFSPKACQFGEGCRFEHDLRKYLKEYKR EDLTTFDGVCPVWNAHGVCFSGWKCRFVGSHMMERETGDGKKELVLVEDEERKKSAKP LVEGGVEAGVFNSITTEHKTQLMKKKFKISKSDAYVPWLDETSRQLEMRMHGRKFEGQ GDEGNAETNGGAENVAEDNRAQYKEPPFLPSEKRRLYFGPETPVLAPLTTQGNMPFRR LCAELGAQFTYSEMAMSLPLIQGHKSEWALVKAHESEVLPPTINPNQAVVKDYDRTRD LKFGVQISANKPWQALKATEVITTLCPHIRVVDLNCGCPIDLVYRDGAGSALLEHPSK LEKILRGMNAVSGEVPVSAKIRMGTKDKSPTALKLAERLILGGPEFRKIGQGPPGVAA LTLHGRSRQQRYTRQADWGYISECAALIKRLNERTDEVTDTVQEPDERHQAPGRKVFF LGNGDCYSHEDYYRDIRESGVDTVMIARGALMKPWIFEEIQAGQYLDKSASERLALVE KFARYGLDAWGSDEHGVGTTRRFLLEYLSFTHRYIPIGLLEHLPPNIQDRPPAYQGRN ELETLLASDNYKDWIKISEMFLGPAHKDFKFEPKHKSNSYEIEG UREG_07108 MVCGANCLCRCKACNCLKGYECACYNNPNWCECMQCGKGDAHCR DSNNNQASQALLKASASATDHLLDRALRAAWKTPDFMPEETDFAVKYEKIFANLQEAC ADRFLAEFGYELAGERTQPPQGTPGPVFESFIGCNTPSPENISNYIKRAITKDIPLPD STKDAATASLVSFISSSLVPGMEDFVLNKYEQSFPVPSEQNADGVLNVHVVTAYYDME LPEHEPNPTRFFFLFFCGLGVVVEN UREG_07109 MTLYYTLVFLLLVLEMAIFVGLIVPLPFTWKRKLFAFISESPII AKLQYGMKITFIFILILFIDSVNRVYRVQIELSAYSKDATGAGASALGSERMEVQARK FYSQRNMYLCGFTLFLSLILNRTYTMILEILRLEDRVKQYEGNTKAGGKDSARIAAAG DMGEIGRLRKELAAREKDLDALRRQSEGLSREYNELSDKYTKDHLDNTPKKDR UREG_07110 MSIDINWEAATSGPDGEALAERIRSFIHDKFQQIALPRFIRSVE VNSFDFGTVRPQLQIKDLCDPFEDFYEEDEGDEDFSDDQDGAPKHPPTIATERSGAGT WQAEHPSFVAGRLPGGIESRDIPAPSKEDLLASRPMRSPMSFGESLNPYFFPRAGTPG IPGGTSNLGYYMPLGGMSGTQTPLASVPRGPFSPGLRDSSVYGDIHNPPARDYPRPVH RQTDTDIDSGHSRPSTADTLNSINSQRISNPALSHPHSSNESHPDTRDHSPPPRRMHE KKPDDLQVLCQLRYNGNIRLSLTAQVLLDYPMPSFVGLPLKLNITGLSFDGVAVVAYI RKRVHFCFLSPEDADTLLGSEESNETGYIPGINPIGGGASGGAASSRRRDDSLLRDVR VESEIGRKEDGKPVLKNVGKVEKFVLEQVRRIFEEEFVYPSFWTFLV UREG_07111 MCVQWWEKFECGCTSFVGNVESCSSGNHGNQGYLGSQGSGNQGS GNQCPKNPGVEMRKKYTLRPGKYENLS UREG_07112 MAPARYPLQRTREALRPMTELRNAFSSLQGSRADREGRGLKRPH RGRERLGAGMRGQGQRQRAAAQEKRAARDGREVERRQRDWSGRSPGRCYKYPAGRLTG RVGRDAVAEQKNQKMIGMRSV UREG_07113 MYTYTETNKFLVLLPQAHAVAQLGLELPSKPSVNSPESTVADEA VVIPAAAPKTHRSSSTGASMNDGEKPLDSKHKKFLVAQILVHRLRTDARLLAANYCYR CGLNADNERHRSSSSWRWGALSLA UREG_07114 MPAAPATATVTSNTQSSRPGLSDILGGPPIPPPRTSSNNKSRAS PTSSASPNDRTGSSKNKRREERREREDRDREHGSGQRERPKDDTSTSKPRRKAPPSPE GPRRAASTREQRAHGPTAMESRSEAAMGPSSFLTGPANHDNTVTNRVVMTEPEEFALR ERQRQVEAQAARVYNDTLDGGAGSAETGRPAKSRHDYSQTAHKETTFGDYILGQTLGE GEFGKVRLGWKRDGSVQVAIKLIRRETLGNNPSRLPKIHREIAILRELSHPNIVRLHE MVETDRHIGIILEYASGGELFDYILNQRYLKDHAARRLFAQLVSGVGYLHKKGIVHRD LKLENLLLDQNRNIIITDFGFANTFNPADELSEEIEYNLMNREFVKRMRLDRPDAKGM RRGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDPANP DGDNINLLYKYIVTTPLTFPEYVTPHSRDLLRRILVPDPRKRADLFEVARHSWLAEYA TVVSHITSNTTNVSDIANTTVTTEERYETPLARSASVREPPKSYQQTSAGPAGGVNHQ QSNAEQIEEQARQQRDAKRRTVQVEYVAPQSQTTRGEPSGETFSRMTVPVTTQPENLE APRSKPLPKSPAVGDASVPASYSSLPNRNQGTQRPKSRDIARSISELTGAFSGTQGSH SQSARPPTSGSLASLGRLESRLPSRGSYSQPVAPAVATANAQGRLAQPKAGGKPYISA PIPLQPHELPATSIGQPSTQPGPLQLQQQDHLSKGHKRSSTVSSISEKYLDGLVRFSV DAVLSPGSPRVKSGNDIPPTSMKEPFALESSRASVDSRRSLSHAFRKTDSNGSRHRRF SLIPPSFLSRNSTKERLETGDSSQLTPNEPTDGQQEASREERRLSTAPATVPSNDPGF NQYHNPRKVRPGSSLQNQNNIGDYRESADMADYSAQIDRQFASLHGTPENPYRQQEYE NTYQNRYQSEPNITSGGHLSPPHGRADPNKTFSALYNEGQNQGYESASHPSIHNRQMQ RSNVLQKSHRKFADAYEYEKPQHSGSSGAARKVMDFFRRRGKDRAREDH UREG_07115 MIDARGHGKANWEVDERRGVIFGWAAWTSISLLRSIVKHRPGNT DEKGAKGTTGMADATSDDELDDAGTGGGRRRRRERERRGRGWPGEEEEEEEEEEE UREG_07116 MNQAVNDPRLCFSIDNIRAFHLQNGEESELTTSGPQTLSLLMVP TSSPSPDPNNPQCTEEDFYLHLHLPPELELPLPATTQIYHQPPNSYLIPRWDLGPEAG AFTRIQFPPVGTGPGTVSQEDVDTFETILAQCTAFLERSVPPNYHEPYNPATFGSGEG YVGSGKEKQKPGHGQIVLVDEENGSVVGELSQGFNLTESPDVKPGSKDPVQIELPGHG EGNQINVSNAPPEYLRLASHPVYAKSTLVQNAAAASRLLVTTSLQISNALQSGADSFT KKTKPNAKPMTFSPTTQAHIRRINTISSSAASLSAKTVGQIGKYAQNIGASMSRKGER DTRPKGFDKDGKPIYNYKPGILNKSLIAFSTLGDGIEQSARNLLESGSLAATTVVGHK YGDEARAATSHLTGGVKNVGLVYIDASGVSRRAILKSVAKGMLVGRMHDGQQGHCPGA VMAGRFPSGIDLSGQGQKPGSGNLSTGALNYPRVPSPSPTPPPAYGARGGVSLGGTPM QGSKR UREG_07117 MDPAVVEQLFEQGLMGIEIPEEYGGAGMNFTSAIVGIEELARVD PSVSVMVDVHNTLVNTAILKHGSAEIKKKWLPRLATNTVGSFCLSEPVSGSDAFALQT KATKTESGYKINGSKMWITNSMEAGCFIVFANLDPSKGYKGITAFLKLGIRASSTCVL NFDDVEIPKENLLGEEGQGYKYAISLLNEGRIGIAAQMTGLALGAWENAAGYIWNDRK QFGQLIGTFQGMQHQVAQAYTDIAAARALVYNAARKKEAGQDFVMDAAMAKLYASQVA GRVSSSAVEWMGGMGFVREGIAEKMFRDSKIGAIYEGTSNIQLQTIAKSLQKVYTK UREG_07118 MDTLLQTMFIIGGAWTCLVGFLVLASMNRSRDGLDLLPNGHHIH GGGAHQGSRIDPKAGAPKKA UREG_07119 MPFQKELHRCRNCLGLPFGHGRDSESPRMFRPKLFEPQSSSSRL DDIKISPNGIGGEKSFSLSSLYQNRDSLIPPGFDPKCKIPDMPPPIEPSDGVLPKRLF KKHTEIPILGSSIGVVTRDGRGRSRKAFVRTPKDRPTSDQQGKRLRSPLYYPNGGFSS DYVPLDKRRRHVPSQSSSSTLSSSSSSSSSSSSSSSSSSSSGSPSPSPSPSSPFSSPS RSHSRSHSSSSSSSSSSSSSSSSSSSSSSASSLAPAPRPPRGPSSPPPVSSIFIPVKP PSAKPSPARRESRTPSPRPDSDPVGRPSGPRRQHVTIAPDSRKAATKEQRRPHIIIEP KERVAAPKSHPHITITPRDDNSHPHEPPRSPPRSPARSPARSPAHSPTRSPAHSPTRS PTRSPPRSTSRSTSSSPSRPRVVEIAPKKKVHFMDDDPTPKPQPFRTHGRPKLGANKT ICAPLGDPVLRGTYKYWTIINMTKSYKARKEEFVSNLGGGDIWEINAVIFVAQSAVLL WSALQSRRSFFSPYQVPALVTDFLLNVLAILFATTLYSTAPILLNILLVTPAIFILLG RKRNQPPQKAKPPPQVVSASPHGASTSELDPFPVRPFLTVYRGGMMVVTCLSILAVDF RVFPRRFAKVENWGTSLMDLGVGSFVFSGGVVSARSILKSRDRSAGTLPRRLLASVRH SIPLFILGFVRLFSVKELDYAEHVTEYGVHWNFFFTLAFLPPFVELFHALTTIIPSYE VLSLVVSVAYQVLLESTTLKEYILVSPRGPSLLSKNREGIFSFIGYLAIFLSGRATGL RIIPRETQPSKSPDSQKKGLIRMATWTSIWTVLFMFNSHHVFGLGASIPVSRRLANMP YVLWVIAFNNFQLFLFWILESLFFPLVYKAGDRKTEAERSDFATSRILRAFNKNGLTI FLIANLLTGAVNLGVNTLDASKEIAICILIGYAALLTAISLALDYWNVKLKI UREG_07120 MANDATERQFCQYPTAVEGPTVPYKNEATSNPVLRGRLLQIGAS LVQSSGLLQSILWKNAGFDVLHTIKELDDYEPRFDPTVFPAKNYTAHTVPSPVSGSTK RRGDYYTAADYRALYQSGELTPRAVVDSLLPLIRRDTSPPGEHSVAFLQSQVDLIRAA ADASTARYKNGTPLGPMDGVPVAVKDEVDIAGYKCTSGTKLDFTCKSGKTSWCVKKWE EAGAIVIGKTTMHELGLDTSNNNPNVGTPKNPHNPNYYTGGSSGGSGYAVGAGIVPIA LGADGGGSIRIPSSFCGIYGLKSTHGRVSGAPTCALATTTGVLGPMASSLDDLEMAYH IMSSPDPDHVTSSAFPDPASAIAVSDRPKVIGIYQDWIKRSEPAVLSLFNRAIEYYRT QQGYEIVDITIPCIPQGQKAHALTILSEIASGISKEQLSHLTAPNKVLISCSNSQVTS KDFLVAQKLRALLMAHLSFLFKKHPGMIIATPTLPIPGWQIGGESDLSHGISDVNTSL RTMEYVYLANFSGCPAISCPMGYVEDSKMPVGIMGMSEWGSEWALIEWGRDGEGVLDI DEDVTVSNGAENGEEAAVVVNKGLRAPSAKNGGRWIDAISLARTNGGN UREG_07121 MLDRNPVFTPVTTPPIHTTHTPSPQNPIYRQPDAFPHLSALPRH GYQSSQMSASGRNNMAPFGGNVGSTGKGILIGILSAFGSAGIAVVVLAVFFFFRYTRR GRIILDRIGRPGEYDDEQAFAREEAEALESMDELQHAEYMRAKEIANCFVEARTEIEF FDSECSVQSNLPVPKQNEVYYWEAKIYDKPETSLISIGMTTKPYPLFRLPGFHKTSIS YQSTGHRRYNQPFNPTPYGPEFVQGDVIGVGYRPRSGTVFFTRNGKKLDDVAHGLKSQ NFFPTVGANGPCTVHVNFGQLGFVFIEANVKKWGLAPMTGSLAPPPPYGSEQGSILLE TGRESAQTPQWWESSHSRSRSGTVRPGQGDPVRCPTDISLAPLQHVSSQQSAGEGTSG SSQMREGDDSDAVHASMTLDQPPPKYSSPTTTPPNGQPGDARIDIHPDPNDPPIPSYD AAVSSSPRPRQ UREG_07122 MPRRAAEGGKAAERPSSRDDGAFQVITLGASGGPIEDNVTGLLV RSTATNWSKNSVVAVDAGVLMSGIARVLDRYVTTERDDKTKTEKAIVTGGPFFGLDLP NLSARANAAYVFREIVSSILITHAHLDHVAGLAMNTPAVEFQSGPKTVAALPSTIAAL KNHVFNDLTWPNLSDEDGGAGMITYQRLVDGGNMRLGRGDAKGYVKVCEGLVTKCMAV SHGTCKQRYNPETGKHHRAESAVFESILLPSRRVSIDASDISKDPSLAPVESSAFFIR DESTGAEILIFGDVEPDSISIEPRNAGIWDSAAQKIASGILRAIFIECSYPDSTEDEY LYGHLCPRHLLKELLVLASKVLDCQKPLQAAFESRKRKRASVPGLPLETPEQPLSPKS LRPRKSSKTREETPGSSGKSRAKSIGDYHEAKKAIHVGPSEAESERMNVDEATPTQHQ ATSLQGFRVFIIHVKESLMDDVCPRDQILEELRDGAQALSLGCEFYAPLSGESVFI UREG_07123 MSGVAEPDCYLTYDITSDTLTLYVPDFDLRRAIWMGPTLGLAEA RERYNIDQAKYRSTLEQDILDWASRRAIGSVIYVIHDNQKPVVPFPYLKFNHEDLIPA MDTCREIKDGHEIGLIRRANEISTSAHTEILRNISGMRNEAEIQGKFLDSCVSLGAKN QSYEIIAASGENAAVLHYTRNDEPLKGRQLVCLDAGAEWNCYASDVTRTFPMQPRWPS AEAFSVYSVVQRMQEECIKRISEGVRYLDLHILAHKIAIEELLRLGIFRGGSIAEILK SGASLVFFPHGLGHHVGLEVHDVSGRSLMALEEQEYQGLPLRGCRAPCTLSAPHLRAG MVVTVEPGIYFSRLALDDAKQKPLSKYIDMQLVAEYIPVGGVRIEDDVLVTRDGWENL TSAPKGRAMLDIIGEGARLRA UREG_07124 MSDCTFIATSQIPHANPLLSCRLSMQIGVWLSMVEVSGGAAQSL HIRTSGLSSCSQTLDIELHNNNQSRSVRLQKAGTAQPPISRRIDIADDLGFAMSGTDY ARSGPMLAGKPVSYRKETKQQHKRHQDREVGAQQGRVHTEGIVWRRRWYGFLDDDGFD GGCAFDP UREG_07125 MSSRDYTYDEQGQFFPFFILTLTGLVTLPLTYTLLKPVKGLETS APRIQSDFKPQHADLIRGQKQKLLRKERRIKRMITVVAGFAIMAYMVYLIVVTQRAIP KIWDPYDILGISRSADERAISRHYKRLSMKFHPDKIRPDPAKNETIDMLNERFVELTK AYKALTDEEIRNNYLQYGHPDGKQSFSIGIALPKFIVTEGNGKYVLLVYGLLLGVLLP YIVGKWWYGSQRYTKDKVLIASAGNIFREYRPDIVDGGIISALSTGEEFNQMLDDEKA HSGLATVENKILAETKNGTLAAGLSMKDRQALSELDDERRRKVLALLWAYLGRVDLEN PVLDTEKYEVAPIALSLNDAFTSISLSFGNLQPILGSFQTAQHLIQAISPGSSPLLQL PYFTPHVARNVEGEHSKHHMTIQRYMKLSPESRRKLTVGSGLLTESQYQSAVSVAKQI PALEVSKAFFKVVGEKVITPSSLVQLVVKGRFIPPGYSNVPEVNEADLEDVDSDEEDA GSKPGPKGAKPKAEKQQDEVQPPLAHAPYFARDHSPRWHIFLADVKSDRMAVPPFTFT TFDKPIFDENGKPTFNMQTLKMQFQAPPQIGSFPFTLYVLCDSYIGFDTSRDIVLEVE DLTKAAQLADEDEISEPDEDSLAGQMQALKTGQTPAPKKKRAAKDESSDDDESDTEGD VDDDTSATDTETDTDGE UREG_07126 MTNSGFAVVLHDINWELRDLNVTMMDSNRFSVQRRQAGQPLTQP HHFNLAASPSPNAVNYPIPSAPSPLGSDLGNTPTPPSFQTELKVAIPRLQRLGSKHSS PGSDRQRVSHACRMCRQRKSKCDGVQPSCGRCRDQEIECHYEAGKREMLKQNARTMAA KVERYESLLKQLLPAQDLSRQQDIRNALAEASGELPPGCEQMLWTGLAARRYRLRLCA NAQDGSLAIDDDNSLSAMTSLKYEDYAQHNGTGHMGPAGYGHMG UREG_07127 MASMVTGLYRLLKGRFTPPVDPTASLENKTVLVTGGNCGLGLEA VIKYVNLGASTVILGCRSIERGNQAKSTIEILSGRQDIVQVWQLDLNSYDSVIAFSER VNKELSQLDIVLLNAGALNREYLLSPEGWEQTIQVNALSTILLALLLLPKLRDSCTEE GQAHLTFTSSGTHKFVKRSELVSPDNKPILQHINREAKYHSLSQYRTSKILLEFAVKR IALITLEESGKPSVIVNSACPGLCTTNLERDYKTNFVERFFGAIFYFIVGRTAEQGSR SLVSATLLGEDSHGKYWTHDAFPDLSQFLVATEEGKRLQEKAWEEIVEELKIRSPLIE ELVRVF UREG_07128 MPQPPTIFPKLHVSSRPPGPMSAPNTLVAFPNFGTSQHAADTNP HHQIFRTKRKHVLKACDRCRVKKTKVELYQTRLCWLLYLFVISSCSHMSLYSAMETSP VIVARHTTILASSAKERFVEMLESHHALVVKALQQLYTHCIDNKCFPGEPIDVVDGYP LTHAILDRLGLIKEAEETTSDALGEDAIEASQYWRFHRRSASSVDTEDTSSLHASPIE RSPVSESFSGSPASETNGMSHKQSFAGLQDVYTPYGPYNCNDHGWPVAVTSTASQTVD FNNTIEVSGFQAGSQYLATAPMNVVRQDTPSYDSTTGSTSMVSSGHASQPAYHGHYTT GSSFSYQHPNDGLAGAEQYSWAPNPWNRIKAGQHLDLNCP UREG_07129 MNSLDPQVAHELSKLDPDVPFRATKAHLHHTWAKTFYSRPELYI QPESVAEIQKIVNLARRCRRRLVTVGSGHSPSDLTCTSSWIINLDNFRRILSFSSETG VVTVESGIRLWQLGDELEKCGLMLPNLGSIDSQSVAGVISTGTHGSSLRFGLLSDLVQ SLSIVLANGQVVRCSEASNSSLFRAALLSLGAIGIITEITIQAVPTFNIAWKQTLKTL PQVLDDWDSGLWTSSEYVRVWWLPYLKRAVLWRADKTELPLRKPPSNFYGGRLGSAIY HNLLYLANYIPRLLPWVEWLVFGLQYGFKPGRYVPEAVEPGRTGLLMNCLYSQFVNEW AIPLEKGPEAITRLSAWLHGDTETAKIPFSPKGVWVHCPIEVRVSDTSKSNTPRPYLD ASNRSGPTLYLNATLYRPYLRDPPCTERYYEAFEWLMKELGGRPHWAKNFSEKLGPVD FQAMYGTDLDEWLRVRNEADPVGMFLGEWHRRAFLSAQQDDSINSAFPLAEREQERRK FGKLGAGDGLEWIGDRAWSHPKAELGRPKEGDYFQNTSTPSPPATTTSEESFDYLAHG EASLVGLSGRDGSKF UREG_07130 MTLEQGPGGSEDVNDFLVRIRELGEKRDKEDEERTRKLEEEILQ GRREREARRAGRLSSLIHMMSTIEQNKQIPQGSQLTICCITERARSISPTKDSPLILD SSIQRDSPLSPSASSQSILPPVDLLPTTKTLDLDLVSRQTNPPSADSDIDKKSGGSPN NSTENSTLSRSRSRAMSWRQRPQSRDIESLASTLKFPGDLPKITPEETKKTFAAAPES VMSRAQIAQSLSSKDPSWFSQTSERGVGSQAYRKSSTTPLSEIQLNAGGIRLPGISKE PTIGAESSYDGSERSRSPSRASSVYGTSSFGNRFSSISSVSAAGGLGSPIPIASASKF EARPVSSHGESQPSDRVTMSPSQTRLASDRASSPTKGLGGFVQSAMMKRSDSVSKRWS VQSGPNTFRNSFISNRGELTPSTASLQFPKPQEPLASQESTSRPGSSHSEATVVRHSQ NTTSNTDTEDVKDGAVAPSNRFPRSSFSSYSASRSDSISTPDELPTTPSKTMDPKRWS PTKASWLESALNKPDSPRLKATTTTQGPGWKKDLTHKIRNSRDVGPLPELPLRPEDSR EEISGPVGGCKETVPETLPQEPVGTDPEHPAENKPAEKKITQSPAIPPKSQDLESKVR AVSKSNDSLTPSLEKPTSNTSERSPHSLEPAKPAPQKPLVTDFRANLRRREIPTEKSD SQEPEFKNVFGKLRRTETKNYVAPDELKDNILRGKAALNVTGGPKKTARVDEFKESIL KQKEAMKAGGGSIRRTANQDRVTAASNSPIPEAIARLNTLGRSDSVKSGLSTPRSTPI SPRPLQTNIIPSSFGSESPLPSRGNSPTKQADDVKQAISPQVSPTRAIASKPPDEPDP KALSPISSPEPLVSRFTKETAKGKLAGRLNPALAGILARGPPSELKPLKTETVTISNT QQTPETPSAPLTHITKSRTKGPKRRLPQQARVESPLAPSVNKAVPPDASRDRRPTNTL PTKSASSLEERLEFLNANKQRQMVMLEESRNDSAKARDVADGQLEFLPSTTYSAPKEP IEPLPASSDLRVNVESRDPQNSPPLSFISPSQLDQSPVVQKRTLSHLAEQPMILKIEP GSPSIALDETNSPRLSGLKEKRSRESIPSSVGKRVVSPPVPPKPSTINTIQSNRKSLV PASPFPQTPKAAQMFADFFDIQPSAKKKVDIDPSTILSSRSGPSPKIKTLKKQIWEIG NDGRKKDLPANQEYILFEESMYLCIHHFEASSGSKTTQTHLWCGDGVSEGAIEDAQLF ARKVARENNCKLEILRQGKESANFIQALGGIILTRRGSSSRANSSALYMLCGRRHLGQ IAFDEVDLTPQSLCSGYPFIISAKFGSLYLWKGQGSTADELGCARLIGMDLGLTGEIE EITEGQEPASFFDYFPGSKDTRTYSSGPHWQCKASSEKYCCRLFRIDHELGQGFGAAF WNRRGANSPVARPNDTVQEIEPFCQRDLDSDHIYVLDAFFEIYVIVGAQAHSRSAEFA SALVFAQEYGFLAVSEQDRPFLPKGHVVMHGLTDECKRAFRKWDDRLAGFGTSNPVQL PLNAAIEAIR UREG_07131 MATPMVPDWKDYLAAFQSFQNESKSKYRAVSINTKDEGEKSSRT TESLAAEGTPVKPPSGAQVTILNVSMGTASTAFTSPNQGNLSTGPADTCPSSISSEAS KRSENVVNELNNSEGTVNDLKETENPFDPGSVEPQSSLPRTVMSELVEEGEKSTREQC TSGNLSTSTMDLKFSKQLEPEDSKSEQSQPSEPIYQAENASPSKRESDNGMDSDGSDE IVFRQDEHNMVGPRLYPGKQFTEQEKRAFPIAGQMNNSKEAPKPELTRPSPNTKAQKN AAPKEATIEDKRAKLQRLQEAELLKIRRKQLGLDETQAKDSLLHLARQGPGADPESEI TRGIRNLVLAAPQQATLTPPPLGSGNRQQCGLSGVELVAANSALTEGHRETGVELREV SAFQPVLIDWQYRPWQAYGDDWTKRFGDWLEYTMRLGCVVDTHSGPFTNPDLHPDGVS GFVEFDIQEPVVDTSIAESAHAHETAAGYIYNWNLRIEQDKAKELQKKQLSIAHMKAM AKVKPEPHPFAPKTNLYLRPVEPKDIPGLTSLYNWYIKNSVRCIELDEKSPDQMRLCV NQSEAAGLPCLIAAEHKPGRGYAMNSEKETVYGFIIAKDFSGIRTANRYTAELELFVN PTQYNRRVGRSLLDKMLELCDPRYTPKRGYTFDCAAPGRSLYCGADVRPLSRLVIVFH YSADDANEYQWFKTWMTEEFGFAEQGVLQGTAVKNCKVLSSGYLVRNTTLKPETKGDT EIVRWRFG UREG_07132 MASRKKARDDEFVLTLSDEETPYLNGDDLEEEDGNETLGNSQSA KSAQSTSKPSSKKRRREESDTVAQNKKLKKQEQAKGRGKKALLNGEDVEESEKGEEVQ EEEEDGILDPDFEFDIGGAANAGDLEEFDGWGADDRGKQNNADGVKKGVDLDVILFRR RQKRLEAQKKQTDKAAGSDSDDSFQGLSDHDDEGGDKNGELPNFEDDELLAADAFGMG AASDSEDGDAAIAGQDSEDDSMPDADEDEDNSGADDDEDDDNDSVASPVPHPEDLRSD ESSESEAEDAEEVAKRNAFFAPEENTTDPEGSSSSSTFQNFNLSRPILRGLAAVGFSA PTPIQRKAIPVGLLGKDLVGGAVTGSGKTAAFIIPILERLLYRPRKVPTSRVVILMPT RELAVQCYNVATKLATYTDITFCQLVGGFSLREQENVLKQRPDVIIATPGRFIDHMRN SASFTVDTLEILVLDEADRMLEDGFADELNEILTTIPKSRQTMLFSATMTDSVDKLIR VGLNRPVRLMVDAKKQTVGTLVQEFVRLRPGREGKRMGYLVLLCQTVYTNRVIVFFRQ KKEAHRARIVFGLLGLKAAELHGSMSQEQRIHAVEAFRDGKVPFLLATDLASRGLDIK GVESVINYEAPQSHEIYLHRVGRTARAGRSGRACTIAAEPDRKIVKAAVKAGRAQGAK IVSRIVDPNVADEWAIKVEEMQSEIEDILKEEKAEKHLAQAEMQVTRGHNLIKHEDEI MSRPKRTWFETEREKKEAKNRALSELNGPGSVLKKEKRKLSGKEKKKLDDTRLREEGK VWKKGKKERESKGGLQGQSKGKAKKHKTRGKPAGRASK UREG_07133 MPMLKDPSTKYKRFQPIQLPNRTWPNKTIDKPPRWLATDLRDGN QSLVDPMDGDQKHRYFRMLVELGYKEIEISFPSASETDFDFTRRLIDMPGEVPDDVWL QVLCPCREDFIRRTVDSLKGAKKAILHLYLATSECFRRIVFGMTKEQSIELAVKCTKY ARSITKDDPSTAGTEWRYEFSPETFSDTDPEFVIEICEAVKAAWEPTVEEQIIFNLPA TVEMSTPNVYADQIEYFCTHISEREKVCVSLHPHNDRGCAVAAAELAQMAGADRVEGT LFGNGERTGNVDLVTLALNLYTQGIHPNIDFSDINSVIRVVEESNKIPVNERWPYGGQ LVVCAFSGSHQDAIKKGFKERAASGLKSEDRWQMPYLPLDPEDIGRNYEAIIRVNSQS GKGGVAWIILRMLELDLPRGLQVAFSKIVQRDADRLGRELKPTEIVSLFEEAYHLKTN PRFSLIDYNITTDRSQTPAPPEPGKALSTENLKRRFTGIIEIDSIQHGIVGVGNGAIS SLANALKSLGIDLDVVDYKEHAMGSDRDTKAATFIECVAAGSDQKVWGVGIHHDVVQA SLTALLSAASSFLSSRAPTPFRPALEKLNGAMMDPKDFAHGVVNAIQQQKVDIDALEA AANKI UREG_07134 MAEDNPELQAVLRELDQELEDGDITEKGYQKRRTLLLSQYLSAD GHQGHQGLNLPRKDLPQGAPASIPSAQTARRSVYADNRRQSDYLPANLLVSPTESEYA NPPVNPLSRIHENELGFSLDSGHQPTRASYDSLRNSGVNTSISQYDQSRSPTIMSQSY AFNPNDQQGYGGHARNSSMLDSQQAYFSDFAGQQHAERRGSYGGGLRYSQHETFSPTA NMAPPPMPTTGLAGAVAVDHLLPLEPRDITFEICDPHDAKYPMSKFENLPGVLRYRAR SHPKQPAYWVLDQRGKETASITWEKLGSRAEKVAQVIRDKSSLYRGDRVALVYRDTEV IEFAVALLGCFIAGVVAVPINNLEDYASLNVILTSTQAHLALTTENNLKTFQRDIATQ KLQWPRGVEWWKTNEFGSYHPKRKDEMPALAVPDLAYIEFARAPTGDLRGVVMSHRTI MHQMSCMSAIVSTIPTSGNTTGQPVPRPHDEILMSYLDPRQGIGMILSVLFTVYAGNT TVWLESLAVETPGLYASLITKYRATLLAADYPGLKRAVYNYQQDPMATRNFKKNTEPN FASLKLCLIDTLTVDCEFHEVLADRWLRPLRNPRARELVAPMLCLPEHGGMVVSLRDW LGGGERMGCPLKHEVQPPGEQKEEAGADKKDGEEPKGEEPKATFGSSLIGGTAAPTQK EGPRNDLAEVLLDKEALKNNELVILAVGEEARRLGDSTPNAVRVGAFGYPLPDATLAI VDPETGLLCTPNVIGEIWVDSPSLSGGFWALPKHTEAIFHARPYRFQEGSPTPILVEP EFLRTGLLGCVIEGQIFVLGLYEDRLRQKVEWVEHGVEVTEHRYFFVQHLIISIMKNV PKIHDCSAFDVFVNEEHLPVVVLESYTASTAPVTSGGPPRQLDVALLDSLAEKCMDIL YQEHHLRVYCVMLTAPNTLPRVIKNGRQEIGNMLCRKEFDNGSLPCEHVKFGVERSVL NLPIGVDPAGGIWSVPSSAARQDALAMQEKQYSGVDLRDVIMDDRTSTPLNNFTSIVD LLQWRISRQGEELCYCSIDGRGREGKGITWKKFDTKVAAVAAYLKNKAKLRPGDHVIL MYTHSEDYVFAVHACFCLGLIAIPVSPVDQNRLSEDAPALLHVISDFNVKAILVNSEV NDLMKQKIVSQHIKQSAHVVRTNVPNTYNTSKPPKQSHGCRHLGFTMNPQWLKNNQPA LIWTYWTADQRRLSVEIGHDTIMGMCKVQKETCQMSSARPVLGSVRSTIGLGFLHTCL MGPYVARYKIKDTYATSQMLDHAMGSMAAKGFQLHELKNLMISVEDQRVRLHFAAVGL DRTAINTIYSHVLNPMIASRSYMCIEPIELWLDTKYLRQGYVVPVDPDTPGHTLLVQD SGMVPVSTQIAVVNPETCCLSHVGEYGEIWVQSDACVKSFYRSKQEFDLERFRGRTVD GDPNAIYVRTGDLGFLHTVTRPIGPGGQPVEMQVLFVLGGIGETFEVNGLNHFPMDIE LTIEKSHRNIVKGGSAVFQAGGLVVALVEVTRKAYLASIVPVIVNAVLTEHQVVIDIV AFVPRGDFPRSRLGEKQRGKILGLWVTRKIRTIAQFSIRDADGSATQLAEAYARASKT GSMIEGSGRLPSTLLEAEEYGGYQPAPPPRGGKAHADEPVEHYPRSHEGIAADHYTAG DPSFGNAHDTEQYHQSFNYDSRGSSANYYGHNQNPIPEQNDFLVESPTGFTQGDTAQD ASFQQIPPGTQAPQPQHSEPVPFSHTGLVPMKPISRGNTPSSHRSSPGPGSNSSNPAL QATPRIQPPVMSQGRDSLPSQQLRYSMMSGPYNPPPTQAQAQGPIRYDEQAEPEWPQE ALLYQTQNDAGYQ UREG_07135 MLLSPIFLLVVHIFGTIACSGCYGPSDLNQHARLVRRMQPEALN ATTKPRGPLEWGQINFLHTTDTHGWLAGHLKERNYGADWGDFVSFVKHMRRKADRLNV DLLVIDTGDLHDGNGLTDVTTPNGAISDGIFTEIDYDLLAIGNHELYVTEVAYHSQAN ISRLYGDKYLTSNVQLLNRDTGEFQDFGSKYRYFTTKHGLRIMAFGVLFDFTGNSNVS RVTKAEDMVKQPWFRSAVNFRKPIDLFLIIGHNPVRLGVSSGTFGTVYKSIREMRPDV PIQTFGGHTHIPGFRCVRRDVNRDSSPGAIVKRWDGLP UREG_07136 MLPRDVASEIGSVKLFNKWSYEDIEVRDISLTDYIQIRQPVYLP HSAGRYAAKRFRKAQCPIIERLTNSLMMNGRNNGKKLMAVRIVAHAFEIIHIMTDQNP IQVAVDAIANCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAIALLTIGAREASFRNV KSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR UREG_07137 MAAPSSATDTGIISLRDLIDFIAHVADCYPDITKGFPQELIEIL SLHHAVLDPDLREKVVTSLVLLKRKDIIDSATLLQTLFPILVSTLSKTLRALLFQKII SDLRTSNSKSTNHKLNKTIQTVLFNLVTSDRTSTKALWAIKITRELWKRQIWADAKTV EIMKEASLADNEKVVVGGVRFFLGGDKEREELEDESSDEEAMDVARVRHQVGINKKSK KKARQVDKAIATVKKKERKKNQPHPLNFSALHLLHDPQGFAETLFQKHLQNTKSKLNL EQKLLVLQLVSRLVGLHKLTVIQLYSYFLKYLTPKQPSVTSFLASLAQSTHSLVPPDV LEPLVMKIANEFVSEAAAAQVASAGLNAIREICVRQPLAMNDTLLQDLVMYKKSKDKG VMMAAKGLLGLYREVGASLLKKRDRGKDASIALKAGDRKEKRYGEEEVGEIEGIELLE QWKEEERRKRRREKGLPSDGESGDEESENDDWAAWDVEEDDSDDSGGWIDVQSDAEIE VSDSEDETPATKKQKMGDEISGDAESTSTRPKSEEPTNKVSKLATTKVLTPADLAKLA ELRAAAGVSALVNGSKPGARKQAVTNAARHIDDPLTAAEIEGLAALSVGKATREEKIA RMNENKSDRSEFKSKMQRKKEKKESQGKSTTNKEKARKKNFLMTLGKAKSKNKRSLVE TRAVLRAHQERSKRGGRRGNK UREG_07138 MLLASSGVSAYQERVPLQLLDFAYRYTSSVLQDAVYLAAEGYAG DVSGSKAGEGTKTQQPSQEISTVSLQALRLSIASRLHYQFQPGLPKEFLMDIAAERNR IMLPGLSRGAEGGMSNVAAPGVIMGGMRLPPERFCQTGVGWGLRDEWESEGEEEMEVD AAGQQGAPTTAAAPGGEEGGAEDDDVDGKMEDIFGEDAMDEDNPDGDKTMTDV UREG_07139 MMAATTSTPETANNPPPSHPRINLRNPTPLSASQEAEVKDIYYK RVRGYCAAEIKEFAACALNRTITATWVCRKQRLAMNACMVEHAKPEEEDRAREEWFAG REERRRAREREEQGVEDRRKEVIALMKRDEEQRRAKEAEGKAAGGNWLGLGTR UREG_07140 MSSLRNAVQRRQHRERAQPTAREKWGLLEKHKDYSLRAADYNLK KAKLQRLREKARDRNPDEFAFGMMSTGSRAQGHHGARDGAQNSLSMETVKLLKTQDAG YLRVVGEKVRRQMEQVEQEIRLQDGLKGALSKNKVRGSLADHGDEDEDEELGGIRKVG SKVVFVESVEDQKDVVDGISAGDEDNNRNETLGRDRSSAQRKSKKQLETEARMRREVQ AAKKQKKRAAESRLKRLDALKKQYRDIVSAEQELDLQRGKMENSVGGVNKYGVKWKVR ERKR UREG_07141 MSLPFLISVDADSISSPSSNGLTLKQISYFGCVLLKSPSLDQAL SFIKANFAAFEICIDATGIGSAGDVLDILNAGASNAFVTFGQLTALSEEQAVPSSRLV VSVSSASEVAQLKTWIADNKERRDISVHSAEAPAIDAILSELDDNSLVKTVYRSSTDG TTQTNFLVNEQERVISIFPSTSLTIGDEQNSAVKLLVTGAVPDNNTGLYATIVTDERG VALGLVWSSEKSISEALKTGTGVYQSRKRGLWYKGQSSGDIQELVRIGLDCDSDCLIF IVRQKGRGLARLQKTLQARKDDAPSGSYTSRLFNDAKLLDAKIMEEAEELCNASTKEH IAFEAADLLYFALTKCVAAGVTLEDVERNLDFKSLKVTRRKGDAKSGWATKVGLSAPV EAQEQPESTKSSEPIQHVDQKIRMKRYITASTSQKEIKDALQRPSQRSNEAVVGLVKP IIQDVRENGDAAVLKYTHKFEKATSLSSPVLRAPFSADLMKLTPETRNAIDISFENIR RFHAAQKEEKPLSVETMPGVVCSRFTRPIERVGLYVPGGTAVLPSTALMLGVPAMVAG CKKIVLASPPRSDGSISPEIVYIAHKVQAESIVLAGGAQAVAAMAYGTESVSKVDKIL GPGNQFVTAAKMLVSNDTSAGVGIDMPAGPSEVLVIADQHANPAFVASDLLSQAEHGV DSQVILIAVDLNDVQLSAIEDELHQQASQLPRVDIVRGSIEHSVTFVVKDINEALALS NEYAPEHLILQVQNAADLIPMVENAGSVFIGQWTPESVGDYSAGVNHSLPTYGFAKQY SGVNLASFVKHITSSNLTAEGLQNVSEAVMQLASVEGLDAHRRAVSIRMDFINQNKA UREG_07142 MASEWWDNFSNNLATDLAPLIALFGEAPTKQYLSECLDTTDIIL FAIAPLGIITAIISAIRVCGTAALRAFIGRAQEGGGAAEAELCTSTSREVCELYNNGG VARVFGRPKLLEIVHDKHASGEDFYRHSSRPATAGIYLFKDYIKLDGQEWKELTKTRL RFRSVEDGKLISSSKSATPQLRFAPNPNLSLNVGIKQHRKSVFVVATVMGLFMQSAVI IWAGIARYRLQFVKGDLQDTYAVPIVLIGTILLCAGIGWCALLVERSTSERIFERERG GDPRSQLYWVQPGTQFVGDQAFDSFAYTHPKNEFSRYITSWKENQEESRLELWAAIAL SLGGFILQFLGLRACHSSVAVAQLGVTILMSLARSMLRTDRLKKEEIFLADQPEFYEG HELDWLSLNIGNIHQRKLNWQISSSRRHLPTRDSEERYEDTALRKMVIADEKKCVLTG FRPDHSLRANSSPKTPLRTAALDRGGDTAEDYWSSEKWRFEAHRDSKELGKQGSHLPS DIAKVYSYRCRLSHLTSNWEERLVSVRDIASSLARAIEATTKVLFTSDVVFKEGWEEA FTLFWPVPCEMISITESTPHNGKSNPILNPDIGLRATQGTVYLSLRRGLDVDGRAKGE WRVDDSELEAVLGLWRWSLKEADKDVDPITTPRRILGGKIDSSGSNAITDFDLWREGK SGFSIMETLNPPRESYGRRIFGWHNVPVSNGADITGDVTVLELPLTTRSLPTMFAQEL YSLFFASILQSIEDIGGKTEVKQSQSLCFTNSNISRIQASFTESGLGPIEDAFTCIMP AIRNQGKLPTAVGNLPAVRSTAESYLAQENWEEAEKLLRWASPHIHAPDNGSEEGIGL SSTINQQRLLTLDLCECYRKALTSATAPDFGWKGIVEILQNSTNDGRDKITLDTVGDQ SSYTLAQTIRCYAQAALRIAQDNNNEQASKELAAGIQSSLVNPDPKTEIMTIKPVKPG KTMSKSNDKQERLLSEAIKRGDLRSALYYLHRPSVLEERDPMNRSALSLAAGRGWYIV VKDMLKRGAILEEKDKNARSAISYAAENGDLNTFEYLLNQGAFSNFPDHTRRTPLSYA AQNGHTEVARLLLYDLRVEADPRDGKGQTPLLYAVKNGSNEIVKLLMKKGVDVNLKGQ LGQTPLAIAVDSENEQLVATLLANERVDVDIKDRNGNTPLLLAVVKGNDKLVELILTR QEVDINTKNQQGMTPLTYAADAGYDKIVSLLLSKGNIRVNLQNKNIESPLFLAARKGH VPVVRQLLARDDVALDLFNKNVQSPLSEAAAEGQSEAVALLLKHDFKTVDEYDINGQS PLSRAAMNGRTDTVAQFLAMGDKVDVNSGAIAGWTPLCWAAIKGHTDVVQLLLSDKRV IVDMRANNGQTPLSMAAEKGFVRSVEILLATEGVDPNASDGEQMTPVAWAARRGHGRE RSISYAEISAYHKLATRFQRVA UREG_07143 MPVKRLKKEQMRLRTLSSLRGKAIEDMRFQMMNYIQCQIHQETR VEQILDHIATIDYSKTDTMCSLFETTIRYLGGMISAYDLLKGPSSHLVSDPAKVDVLL EQSKNLADVLKFAFDTKSGIPANGLNITAQKTDGGTTNGIATIGTLVLEWTRLSDLTN SAEYAKLVQRAESHLLNPKPSSAEPFPGLIGQNLDINTGLFQDDYVSWGGGSDSFYEY LIKMYVYDQKRFGKYKDRWVAAAESTMEHLKSSPSHRPDLTFVATYSRGTYSLQSGHL NCFNGGNFLLGGQVLGRGDFTDFGLKLVDGCQATYSATATKIGPEGFGWDPKKVPENQ RDFYKKAGFYITTSYYNLRPEVIESIYHAYRMTKNRKVSEADSCFSNLKSSHVFICST NNGHGMPS UREG_07144 MERPPWSRASGNREFLRTYKACISCRQRKAKCELGGNRERGIPP GPPCLRCRREQRNCLFSEDRAWSRKKKSNSHSPPQAQNARFAPPGIPANGEEANNVIS TTSAEQQSSLAASPQIPGSSLSIAGTPGNVSLHGAHSSEVDASGNAPAPPSMQYETTG TANTPANMSANSTSPLTSGMPRAADPTSEHGGSSGLASSVMRTVVSSGNDALNILFQA AAQEQGNSMGDLATNARGPLSQSGQPMQQMYETPGSTASLGMATTVSEPTHLSPAEPD VIAVWDSCRFVKMGWFSSREAVTYIDLFFRNMAPLSPILSNFYSHHRSHYWLITQEPV LCCTILMISSRYHTLPGVGGASRGFFIHQRLWQHCQHLIMRVMLGQEKGSKAKTRTVG TIEALLLMSEWHPRSLHFPPESDGWDSDLMMSPEQHHAVTHPDMSVSAPCRWLEDVIE PARRSDRMSWMLLGSGLTLAHELGIFDADESRARSMISSPEMEGQGVDINLRRYRIQQ LLYVFINQLASRLGCMSLMPQSLNHAVLAPLSQPSLQSGDEWHSFMNSWIELTKLSKS VTDTFFPSASLTRQQFHSGRYIGLLDHFRPLLSQWRQKHLDTRHLSAPFRDMIFIEYQ FARVYTNSIGMQAVVERLLAESDPGSVLDDVRQTNVDEIDYEFIQEVIDGSCHILQKV ITLAETGALRFSPVRIFLRVTSSSIFLLKALSLGVRNAKLQESLEILSRSIQALRSNS LDDIHLGSRYATLLESLIIRLRRSFVLSNKKASRGTTRPSSVRPPSDPQNPSGQADLS QMAANNGSQMDVSNPVFDDQILSLNDINADDWLSLPFDPSMAPFGDGGNQIWSGFEGS NLNFIWNLPS UREG_07145 MQERQNGQKKWLGGCPVGAIREIRRNEDGSVGEMFVGDFSITRH TFAEIIDEDKRAERKRINDEKEVGDEEIIWTIGDWLAQSHHGKGIMTIVVKTMVDWAV RNMNVHHIRALVGVGNIGSSRVFEKNGFTLLETVRDAYPLPEVKGGGRASFHVFEWTR NRT UREG_07146 MTGLINGGLGGMIYTYIGGTFGMSCAILAMAEMASMAPTSGGQY HWVSEFAPKRYQRFLSYVTGWVCVLGWHTGIAGCCYTVANMIIGIISINHPETYIPQA WHGTLLIIGIAFIAIVFNTFFAQKLPLVEGFILILHFFGFFAVLIPLWVLAPRNTPKQ VFQTFEDRGGWGSSGLACLVGLIAPIYALIAEEIKDASRVLPLAMVWTLILNSLTGLI MLITFSFCVHNIDEALESSTGFPFISVFVHATRSVSGATGMTAIMLILQACAGISNVA TTSRQVDNRYIVPLNALFVSFIVVCLMSLVNIGSSIAFNAIISLGVASLLSSYIISIS CVRLKRWRGEPLPPARWSMGKWSSTVETIAILFLVIAWVFSFFPLTRSVDVQTMNWSC AIFGGVVIFALIYYFTYARNVYKGPVTRIRPWVELVDHRRND UREG_07147 MAPSPEGPRAFTITATNKGGLLVIASTTLMSWMVLCFMFRTYTR MNINGPFGVDDLTAGIGTLFGVIHVSVLLKAVTEGLGKSKSILDATQINVAEKFLYIS DIFFLLGHYAAKVSVALLVRRLGRERLYRRICSGIKLRWQFAAAFDVLSEMLILSIVI YLVWGLRMSLTSKAVVVAAFGCRIPTIPATIVRVISIDGAVTPIDPTLRSVDSSICTE VLLHYSLMAATIPCLKPFVIAFNTGWGQGQGKGSSYVLRNSYGESTKRISRTVCGQNL TADHFSDEPCAHATEATGEFVDNRTEIRPGTIESDGSQRMIIQETRSWMVEHESYELR ECTSAGKYGHSGTVYSAGS UREG_07148 MGHYILAPIENGDWDEVVELRFRAFSKELFCQLTRGPNTSQNRA QCRAQYVDQRQSQPDIIWLKAVDADDPSKKILGFARYKIMTTYMPPAPVTFDPSAFDW LEDEDREIAVSLLHDVVDRKTRFIREAHIDCRGRGIGSRLLQWGAELADHMMLPLWLE SSTMAHGLYLRHGFFDKIHCRLVMGKWDIEYFIMKRNPRAMHIDTEAFNGRSKPNGN UREG_07149 MPLLTLHLLSLQPGTDVKLFVKNVRSSPDVEVVVASRPRRLVIR PEVIDIHALTSGKWDLLLLLRSPGNGIPPALRSAISDEYSVHTGVPSKLLKAYPEADA KLKREASSARLTGALEKARNKPSSQSLELSADLLAFMDELVREHDKPVTMLNLLHFHP NGKPEYYKYGQGFASVAGKRGGNAKIVGNVVKPPSGQIDSRGDADKSENEWWNEISIV HYPSIRHFCDMLAGEDYQEINSKYRLGALRDTMLLCTTEFDVEETPPNSKL UREG_07150 MYLPRSLISHLYSHLLRSHHPLSPPVLILAALEPDALCACRILT ALLKRDYIPHKIQPIAGYGDLARAGEELVRPMQIPMGGSGGVVICMGVGGLVDLGEIL GLETDGDAGDMGGVEVWVIDARRPWNLGNVFGGYLERTRSDETNGLTTTQIAGVDRGR ITEAYKPGRGGIIVYDDGDIDDDLTAQREAYCGLESMPELDDNSDEGDTSDSDLDERE MSNSNKKRKSWSSREDEDSEDEDEPPRQRRRSNSGSSISTPNPYITSQRDSSQSSRSP SPPSGSVSPTTPKAPSARTLRRRLIRLKRKHEGVLRAYYSLGTSYSEPISSILYSLAS ELGREDNDLLWLAIIGVCSLELSGRTMSGVGISDASESGGSAGWGGGRGERIRQILRD EVRRLNPPDDNEAGREALRSEVNGVIPTTGRSPTDTSILLSPEPRLLLLRHWSLYDSM LHSPYLAPRLHIWNEVGRKRLRKLLAKMGVSLTQCHQNYTHMAMDLKRDLRQKMLKYA PMYGLEGLVPPPSSGGLSGTREGWGFVRNWGWKACLSATDVSVILGAILEVGSLKPTS STVSKEDSEQLENNDISSDSDLSSVVSRFWDAYDALSPTSSESPTQLLACLPLAQHLH RAILRTGASLLSKKQIRHLRAFRIAVVKDGPDVKLFTNPGALTKLALWVGEAVRIQEK DKVDGYRIAGKRGMGTPLVLAGLDEDRDVYVVVGTGGGGGVIDFAGATQRKEERKKKK ELQAQKRAEREERRAKRAAERAEKGDDEADEEEDEEEEDSSSSGSDSESEDEQAIFSG HKFLKNRFGIAFQEVVRETNARVRIDSFEHCVVEVQKEDLGGFLEALSFRSVVG UREG_07151 MNPLLHLYCILSLVLLFANPVVFVAAAHTSNWAVLVSTSRFWFN YRHLANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYNNADRALDLYGDN IEVDYRGYEVTVESFIRLLTDRLDDDVPRSKRLGSDAGSNVLVYMTGHGGDQFLKFQD SEEIGAWDLADAFGQMWEKKRYNELLFMIDTCQANTMFTHFYSPNIIATGSSALDQSS YSHHADSDVGVAVIDRWTYYILEFLETQVTSPSSKLTLGDLFDSYDEAKIHSQPGVRW DLFPGGEQAGRLRKVMDFFGNVQDVEIEAGYNGTGGSIQEDLAAIAKLLAFPCWLA UREG_07152 MPADELADLLETLATSDFNYAAYIKEISFDVLWNNDVTWTRTQD KVASQLKFDTGCGRFFSTLLLAALKKVVALETFRWDVKVHLTPSIFATLGKFSSLQDL YVRMHIGPSISGANNSNFGQSWVPAPVPVPQQQPPPTIVGSAHPHPHGPPPSTTLPVV TTRRAPRSRLPIWDGTFSHIQKLKSLAILDMECLGYLNEIACCVSASSTTLKTLKLSF SERLAAKAQKKAVVENSDTESDTQDDDIYEPDDAAPPPPPATVFPSIIPTTSTSNPNN DAKVRNERLMQEKTLSRIFSLENEASMHKLVHKIVYSSNAAAHDQKLVADKTTNSPDK TFMFELHGIVTDLHLGKATLTPGLNAMKTVERVQNATSKFLKTWGDSQLSQKLSAFQI ELLEKHALSLEKKGSIAFAAEPSPTDVTLAKDFGLTAQSSDIDPDEQNSSNSDNPVSQ ETTSASASAHLIEQPNSSEVATRGFTFSIPAKNENELERRMMAIVDMEHPDNLSEGED QEFLDPREIAVQRNDRAPEDVNGWPGSTEERDLQSSIKGKEPIRGPHESPANAKFDSS REFGTMSTEQAIQEYIRLNHGIALESLSIQLIPLKTSVICRAINIWSLKHISLLNVGP QRAFWATLMQLNKSNPLQISSIHSDNVTLQLLAFIGSLDFVDELFLIERKASPVSDPP APKPPIRISHIYSLALETHMKHLKRLMIRNDVDSSWNLDRWNTRCITTNGSNLVELVV AVDSAHFVSEICQIVPNSH UREG_07153 MAGKKGENTKKAAGMARKAEVAAQKQAVADAKKAGDEDRKWQQG AKSNSKKEQEESKRADAARKKAERDALLAGEEAAQPSKPKGAGAKTAAKKTRGTLDLS HLDAALGVSTSGSKKASAISASGIDNALDALTLTSNADSTKVDRHPERRFKAAYAAFE ARRLPEIEQEQPGLRRQQRIEIVRKEFEKSEENPFNKANVAFDASREEVARVKASERA KIETRLSER UREG_07154 MLEYRTEGFNGGAVKYSPFFDSRLAVAASANFGLVGNGRFYIFE LTPQGITPLKWYTTQDALYDLAWSEIHENQALVASGDGSVKLFDLTVDEFPVQAWKEH SREVFSVHWNLVAKDRFCSSSWDGTVKIWAPDRPTSLLTLPTHSCTYSAAFSPHSPDI LSCVSSDSYVRIFDLRTPTSASNHLTVQIPIHGSGQTPIPTNPGLPMAPAASPPSEAL THDWNKYRPSVLATGGVDRIIRTFDIRAPQQGPLCAMVGHEYAVRKLTWSPHLSHVLL SAGYDMTCRVWTDGSEIGAPAGDADAMRLGPMPTMGRELGRMGRHTEFVTGVDWCLFG SEGWCASCGWDERLCVWDARAVMA UREG_07155 MVDLRSYLSQNQPSNYRQLSWFRQMARALAQIHDRRIIVVDIAS HNFLLDSDLSVKFCDFTESTKLPLDACMETADDGGYTVRTDIGQLGAVMYEVLTKQRC EFDIFKDVPSDISHGSWPRRGGPPKH UREG_07156 MDKYLSTKRPRALSTPPANAADECNDQDEDDSTDVKLATLASLF PDISQEILLDLLIANDGSISLTSSSVRAELSRKKIKRSMTANLGVQSSLASYGMMVSE NLSSPKNLTKKGQTLHLFSPEDISKHTSCTIIHNFLPPEEAISLLKELLQESETFSRQ RFQLFDNVVESPHTAGFYVASREEQEQQKSAYSYNGNYRTDVRQLTPQLRNISTKVQK AVNEEIQKRIRDHYPNGKKLQYQSPLEWVPNAAFVNCYDGPSESVGYHSDELTYLGPR AVIGSLSLGVAREFRVRKIVPPDDGDASHSTSTGSASDAPSLPENSFSRADIQGQISI HLPHNSLLIMHAETQEEWKHSIAPAQSISPHPVSGNKRINVTYRWYRESLHPKNTPRC RCDIPCVLPLCATEKRNPG UREG_07157 MDYPEETRYAPAPKPLRILKTRRKGQVRDTWAKDSPPIPIPRRR SSYAIRSPSISEVPPLPDLNKGDPRLLRPSQNQGYWHSLSSTPITSADYSKMGNPSGP QKQTEPTTTQSQRHPVLAPKNGFFSRVTQVFDFRNRESSSERIHSDTVMKTKSSAYTK PLPTSRGFIDDLKRETFSGSPNNFLSRDTEQSQNRKYTPPLASEELKIPRNRVKRRNR WPRNAPVTTATVELSADALRTNAGNELSTWLSVEIFARVDNLENNLDDQCTADIPLDV MIIVDNSYVQLGCPKNCR UREG_07158 MEYFDFDQAARENDVAFDCSETIDSDLASLVNGENIPTSTDDAV NTAAAADETASQSCPALDGPWPMYRASEKCFFCRSMGLDCFVAQRGALQNGCTCCIAL YRECSFTNARPREEYLETLHVVGEDSYVPTGSLTGKRALKSYRGPSTSTLLEESEQRG RKNGSRFPREAVRVLRSWLSDHTAHPYPTDEEKDQLKAKTGLKRSQICNWLANARRRG KVRQPPRSASPSIGVPIPARKLSPGVHLSDLNPLERWKHSPPENEPASVRDIIQAMAT TPFHSRNPNDASSGPRRSNSRRTGSSNDDSSLSNVRPAKSSSGYSMDTAQSSISDMSF ASVFSHRSSRSSFGSADTRDRRRRRHKSAVGQNVFQKSRAARIYQCTFCTDSFPTKYD WQRHEKSLHLALDKWTCAPQGGIILENGEALCAFCRQPNPDEGHLESHNYTACQEKST QERTFYRKDHLNQHLRLMHNVKLGPWMDQWKSATTELKSRCGFCSATFTTWKDRVDHL AAHFKAGTEMSQWKGDWGFDPCIQRCVEGAMPPYLIAEERNSMNPWIAQRPSPAAKEA GDHNAGRSSANIPVPNDASCFRRLEIEVSAFIGRMAAQGIVPTDGMIQAEARRIIYGT DDPWNQTCADNPTWLAILKRDAGIYDLPGSQSIQLGDLGMQPPFAANGGLRRAPAHSL KAAPPPSNSSGLQSPAIPGSGFHSAVASHRGSHAGSLSGSVDFSAGAIGHGSLDGLPT STMIGTLSSSAPVTLENDPLIEMGFDADFVQHLDDDYGDIGHELDDLHLEKFGQGGSG FQGFGFSETAFNVTATSAPSQLHATSGPPVTTPFGAGYQKTFDSSSLFSNIEGSGH UREG_07159 MQAVMGLVDEILSAIQSNPEDDPIIECYNDNYKLGICLKEDPDG ALSFADKNLRVFPFKDVKDCWRRLFCDASLAKACLMIRGQYSQRNNDADSDPKKQNDE RNIENETQKTEAGEKPSLNTPWLCPVIHILDKAVIMTGAPRRGQLIESLISALQDASG PEKMAFEPYPLPNFGVRETSQSKRRKLAQLPMFPPDVVPAPRLGYPVPRVSALSFEEF TEHMWDQRTPLVITDAVSHWPALSSRPWSSRDYWSRRTFGGRRLVPVEVGRSYTDEGW GQRIIPFGEFVKNYIWREGENDEVSCRGNEKQLDEAAERQTGYLAQHDLLAQIPALRN DISIPDYCFAEPPGPEPGTPVYEKKMRKKGSIDKKATRLNHESAVQPEHNMEEHGGLC DPITNIWVGPAWTISPLHHDPYHNILVQVVGAKYIRLYSPCTPASLIHPRGMEVVNSS SWDSNEESQAQQKESKSGHSVSCSGEQSIDMSNTSKVDIAAIELSPAEAETWDSLWPG FAEANYVETVLREGECLYIPVGWWHYVRGLQAGISVSFWWD UREG_07160 MFPKTIRRPPLFQRQDGKHYGKSPFPSWQLFILGLCRICEPIAF MSIFPYVYHMVSSFHVTDDNRKIALYAGAVTSAFTFAEFCAGVFWGRMSDAFGRKPVL LMGLFGTAVSMLLFGLAPSLPIALLARALGGLLNGNIGVLQTTVAELVKEKEHQPRAY SIMPFVWCLGSIIGPALGGALAQPCDNYPSLFSQDSIFAKYPFLLPNLVCVVILAVGI TIGLLFLEETHAEKRLKRDRGREVGNWLMTKLRARSAKDELSDDRAPFLFEEPPLGYE STENSPRLSSVKTPAMSLRDYCPKPKKSVLKIFTPRIIYIILGYGILAYSPTSNTTFE LPFKFQGGLGLSTKAIGFMMAVQGVYAMIAQLWLFPFVVEIFGTLKTYRLVMCIWPPL YLAVPYLVLLPPTLQTPAAYMALIGKITLHVIAFPSSSMLLANAAPSKTVLGSINGVG ASVASLSRSLGPTFTGFLHSRGLESGYSILSWWVCGAICLAGAIESFWIDEDAGEQDV PELSEKVPIDDCETGIQIINPVEPHELSGPHVEELLFLAPSPRGQYDLAILQADTDDI LEFDLNDSE UREG_07161 MQEFVTTNHLANPIPCSSRTSNLPWTTIVREDSPIDRANIQNRN QKVREIRKPGANGFHEPAEGFVTLPPWGRMMQSVRNIAAQYARCMQKRLCMQDERHSL ELSSDQKKSPSGPKGVSLVERRDSRRWTHNTTTEMEKVSGDGIKMFYVGGVLRTLIVA LLIGPYGVHAALMPSIDGCARVSNVESWFGRRRDRDQLPPHMETHVHDVSQLGQGLSR LLVVNIGRNATQPAPRVGHTGRDRVVFREPDPKFISTWLRRGQAKPRSVRWDVGNGKS SRPTHGAPASKQDITLHKSNFIPNRWEAFWKTTREQLYRNLMSSLLSKSGV UREG_07162 MSSKREQWVLYPGAQASDFLTTEFPILQPPQRMNIAATEPPSPI HLDLSQPMDKPMLLAAGAVGGYGNPHFVSRSNPRPIFATRGEDGMSLELELELKLLAD VGLVGLPNAGKSTLLRSITNSRARIGNWAFTTLSPNIGTVVLDNLTGRPFTQSKPGAK PRSRFTIADIPGLVEDAHLNKGLGLGFLRHIERAGILAFVVDLSAGDAVQALKGLWRE LDEYQVLREQQLNMETEIRSPNPAFNSSCDEPRVIDAYSDDLDSDFAAPIQRTNLPEL EVQPVYTKPWFVIGTKADLPETQENFASLRAYLADVERGLVEHPARRQNAWRERIYSI PTSAINAAGVNSIPQTVVQLLDGHHLG UREG_07163 MLNSATTQSSTSPARSHSGTKRPYPSDETDAVRPYKNSLPRIDR PSQKSPVDSSPVRDREERPPRNSSTIFPSFRSVSACHRCRNRKNRCDQQLPSCHLCEK AGVPCIGFDPITKREIPRSYVYFLESRVNYLEKLLGDNGIEFAPPKAHEGETKAKATA YSPSADSTAGEDSQLSKRSGSLESPGVARSGTDIEIKNEEKQAESQRNAVHANVQQEA ASDHKRRTPDSNRGLDNLVSKIGMVPVHGASDSRYLGSTSGISFARVVFAAVRSSVSS STSERGAMRPSSRRASTAPGNHANSMRDSFFGLQARPSMNRAPFPDCALARRLANLYF EHANPQAPILHRVEFMELFERVYSTEEKARSSRDLYTLNIVCAIGAGIIYDVKRDEVS SRNEDRPDNDTPSSLNSEGQDLPSGHQYQPEEYHASAILHLESCFSSPSAADGFIGGL EELQAVLLLASFALLRPVAPGLWYIVGVAVRLAIDLGLHHEDGTGLDFVDENLTSHQL HRRESVKSGKGQASEASLGKHSIHARERGRREWIRDLRRRLWWSAYSFDRLVSTCVGR PFGISDEVITTEFPSLLDDKYITKAGFLTPPPGENASYKQVSYHYFKFRLLQSEIQQV LQYQQANMARRSSTNRNNVSSTKMDLPSPFLQKFGSFRSWRQDVHRRLDEWMQSAPSS EIIGVKFSVEFLELNYWQALTMLYRQSLVVPAPLAADLNQAEHVMSPSLANIEDSEDE DDIYAKVAEAGQRTLRLYRQLHRVRLVNYTYLATHHLFMAGISFLYAIWHSPVVRSRL TLDDVDFTVLGAISVLEDLMEKCPPAEACRDVFERMSKATVQMCLSTTGFGLSSRTGE DPSIIAGKSGQGPKRQGDAPRIPTGLRVEPDTSPNIQSTVTAPIPCEARGPDYHDVNH DPRLLPNSSFPSNSMSPTEYPRNYHDQHTSKQFLPQPPPITDRASFNTMQQHLYTNSS TSAHHQQESAYVNPFTTQSPLHAYSAPPQTYLPDLDFLNFDTRLDHEQLYAASTGIDL DTFPISSPFGIGGGSSDTGVDLGFGMTVGLQHDWSEGAGYGLFGEFFFGNGAAGN UREG_07164 MVKVDVRRDYYAELCIDSRAEPEEIRKQYRKLAFKYHPDRNPGR ETEFNSKFQALQTAYEVLNDSQLRSKYDTDRIRAGYGKHYSSSRATATPRTQSSGTPF APTPPRRTPTSTGNKSGYPPTGSYAPPPPTSGAQKWNNYTKPGASKWDKVYEDARTRA EAYHGFQNMKHNSHPAGGWTNFDPRTGRSSQEAGRTEKPPTAKAQQGQRPHSAYESYF NPQKQTAPQRSQTTKKRNGFAPGTPGGDEPMAKSTSAYASVSRGERAQASNPLFEQAP SPTKKKFTGDSNPSSMPNLERTSSRYATAGGEKTYVSSAGLGFTSSARTLFEDPGPRP RTNPPSPTATPVGGRHHSESPKLRPSRNHAFTSSPTSSDSDEILPTFRPKAKPRTRIR NKGNANAYTNYNKGYSSGDTSSAWAMHPDSWLFGETNNGHKKAPAKGNRGDFGGWGTD PNSFHDGIESDRSSRRKTAERASKSFKQFSSNADSDENARRETGVPASNEGRNPSSSN IFGAINVNEIHKTTPKSKSHDYINTSFSAKEWSGTFGDGVHFFTPASSSSSAFVSKTI PTRGRTLNKPGISSHFQPSDSSQTATNPSADRASSQPLPPFAEAKFSADHWAELLKNN EWTIPRPDAFQSQQMDSRRHKSPKKQSRPSKRHAVPRPATVTSEAEEAATMYASGVQT AENTMNGAAEAMDIDETIPSAGLQNIPTATPKEPTNSSNVRNPLASNTGPDLLDLTDI GLVNPFTATNSSGINDLKDLNTTLPFDSQPESIRTRIKPKDLSLPKPPKVPTAPKFTV NPGLPGMKPEQILARGMWDRFMTDMAAYMNEFNRFNRTMLSHFNSRQNSVDTLLSPNW MSARGDSNRLNLDGNQCPAAGDDEDESSDENSLSNTGKFGFTAYVRGMEEDFVVRQHW EIAWERHRQSILELGRLRAWIREGAKRQLGSNAESLI UREG_07165 MVTLVLGSQFGDEGKGKITDLLSQNADLCCRSAGGHNAGHTIIH DNVTYDFHILPSGLISPKCVNLIGSGTVVHVPSFFKELEALEGKGLKDAHKRVFISDR AHVCFDLHSVVDGLEEATLGGRKVGTTGKGIGPCYSDKAARRGVRIGQVLDEGVVETK LRSLEAGYRRRFGELNYDLEAEINRFKEYRTLLKPFVVDQLTLLRKHKDASILIEGAN ALMLDIDHGTYPYVTSSCTGLGGTIQGLCLNPTQIKSIIGVVKAYSTRVGSGPFPTEQ INEIGEKLQVTGREFGVTTGRKRRCGWLDLVMCRYSTAINHYTALNLTKLDILDEFDE IKVAVAYRLEGKEIEFFPSDADVLEKVEVVYDTLPGWKTNTMGITKWEDLPPNAQKYI EYIEKDIGVPIKWVGTGPARSHMIERAQ UREG_07166 MEANSSASHNRGRLEELAAGLGVFMNRHLPRLRRESVSSFSQED KAAAESLARQKRLEAFSSKSHVFRRTPSQRNFKKNELYAAIETAIQENRPIGVVECLL SQVKDAKAKKPIFKHQQETGDAQIEALLLLAAEKQQAGVLSLLASHADPASIAMCLRY SVAASDLDSVKALLQNGADPNFCHTAILESVGNGNTPLVKLFLSSEIPLAKSCLDKAL PIAVSNGSLQLVIALLQQGADANSGELFESVVKAGRIDLIAALASAEYPPSRQSLNRA LGNILLETTTVTEERRLIIEILVCAGASGENVTKALTRAVFTNDQKLASTIVTHHDLT SYNPFDAIIKAIEMDNVELLTTLFNGNLDGECASAILAKFPKISGNLPCARKLWITST LVRRGAGGVALDEYLVDSVEQNDELLVKFLVDHGASVDYLNAKALCFAMSPKSFQIFK KLLDGKPSRSSFAYCFCFLPTLSMDLQLKFASELLRAGARGEAVDMALIGAVSAEDTM ERNQYIDILVKHGASVDAQNGCCFQEATKSGDIVALSLLLKGEPSAISLARAISQACE LPDKELRFTIVDLLLTAGARGPLIDAQLIELVQESPVDIVLVGLFLEKGKADVNTNGG EAIQLACKSSEPKLLKVLLQFQPSSKALNGAFLTAISLQDSGIRYKMCHRLLDAGISG EMLSTGLIAEQSSPSSNLKLMELLLNHGANVNFNNGATIRRAVKQSNELQLALLASRT PSRQTILGGLELLLQPTSSKRYELATVLLNAMDESLAEPLSIILLEAVRSDIRDIRFL KLLLQHGASADYQQGLAMRKSIDYDYFEAFEVFLGHSLSPGTLETVFESSLLLKGTSR VTYITRVLEKGCSGRCIDEALLKVVQEKPCDKEVVLLLLKYGASVHFANSQPLVHAAL SHDALTLELLLESASEETAATYVLGKTVSAGVGWLSADGLPIIKSLLHHGASGEVLHL ALIAAIENAMTNPEVAHFVDLLLQFGASGDYHDGRALRAAISKGQLLLVRKIIESNPS GETLMIGLSCVLTSDLSEDMSLQLVEILNQDRMDIQSIETAWSNTSQRESLLFLCLKK WPRGTRVLEKLLQNGMNVNQTTPYLIESECGLEHVSVLLWALLQPQQKISSYVIECLL KHGADPDFQSTGSLMSPILIAAMERPAEIVLKLIQHGADASRCDKDGRSSLLYATRKN QIKSMRFLIEGGAAVDDGSLHEAARALNLDAIQLLLSYGHDPNFPSMLHDGRCAMANL CLCASDVGLPVSRIRKAIDTLVSGKADLRDQSQGKPVLLHALDNPKSCVRITTALLAS GMWKIINDESNLYTADKSVYSPSMYIKKCLQKSPREHAPQLLYILQAHGCKDVYYRLS GPQPPDMVNAPPEIAAEENRRQIRAKRLQEEEEDHQIRLKQNDDIAKQQNVLMTRTHN LRIQHDRQIADEREATTERSAKHQLRLEAESAA UREG_07167 MASPSRESRIKGSIFGVATVDALGGPVEFLPRGSFPKVTGYQHN NTFNVPAGKGIFNPQAALQNYIRWWKEGYLSATGYCFDVGHATVKALALWRKFFALLE KGGRKDGFEDEQLVIDGALKYENFCGNGSLMRVSPVGLVYSHDLQLALSVAARSSRVT HPYPTCTECCELYTRLIVSAMNGAGKEAIAEELSNVPFNDSKVAERFCKYTSIKDWET MEESDIDSTGYVVSTMEAALWSFFTTSSFQDGALKVVNLGQDADTVGAVYGGLAGAFY GFENIPSEWVQGLQRKDVVGEISSGLAGLVQDDHVST UREG_07168 MFGVRRGHLLVRNVQKCIRPSLTSFVSRSVILPIQPAILPARTF HVSPLLRSIASQAVSPAAESDALPPLPEKEEKVTHFADLQSKGLVDAKIVDAITNNMG LSQMTEIQVETIHHTLRKKDVLAQAKTGTGKTLAFLVPVIQNIIRQDPSLRNAQVTRG GFRSGFRGGRQMSGGSDIRAVIISPTRELAEQIAEEARKVVRNTGVKVQTAMKQTVCS MTDLHLISPDCKPIFQIQRKFDRQTLMFSATVAPEIRNIVNQTLKPDFSFIKTVRDDE APTHLSVPQRAVFLHSLANQMPAIMEIAMKAIANHQEDPIKHKPFKAIVYYNSTNEVS LAKEAFDLLRVDPQNPASAHPLNIRSMEMQSRLTQAQRTRYSDMFRRSASAILFSSDV TARGMDFPNVTHVIQVGVARDRESYIHRLGRTARANKTGEGWLLVTDPENRELHQRLR AIPIKEATDALQTAMVDISKDHSMHPQPVASIISQAKAAFAEIDYELKEKAYMASLSN AAGSREKFRQLNELTKHLWGLESPPPISGRLSRTLNIDRLSNEGGSGFNAPRGGRGRD YRGGSRSNSGRGGSSRNEPRSHWDDRGRGRFSRDREHDSARSGFSRGSRRRPDSDWR UREG_07169 MAPPTATATTTESATEPAVGTFRENLTFTSGKPEFKAFPKPPVF EDKYKEREYLKGRLAAAFRIFGKNGFDEGVAGHITIRDPVDPTTFWVNPFGVAFSLIK ASDLIQVDHEGNVVDGGPVRLLNTAAYAIHSAIHTAREDVVCAAHSHSIYGRSFCALG RPLDIITQDSCAFYKDHVVYEQFNGIVLATEEGKNIATALGNKKAALLQNHGLLTVGK TIEEAVFWFVSLEKCCHAQLMADAAAAGRGGQTIKIPDEDAEFTYKSVGTPFAGYFSA KPLFDMIHKETNGDYLQ UREG_07170 MAGLCACFLPCCLFGRTQSRLEKPHEKPSSFNGMCCGWCCLSMV GCSCILQGLQRGRMRDQYGIKGSTFMDFLGAACCPCCGLLQEEKESVKRVESSGYQAP PAMTYA UREG_07171 MGKRNKKFGGGNRGTRPANYAPIDKSNEKFETYYNSLGIVPDDE KEQFWDALRRDLPNSFRFTGSRKHALAVQERLKDFYIPNITSIRYEGNLVEPPRPLSW YPDQLAWHMTTPKQVVRRFPPFASFHKFLVSETEVGNISRQEVVSMIPPLLLDVRPGM VVLDMCAAPGSKSAQLMEMIHAGEEEKMKKLADKLENNDAQAARQQGKVEISELLNGD ADMEGFEDDGRSTGLLIANDSDYKRAHMLIHQMKRLNSPNLLVTNHDATLYPSIRLPG SPTAAGKRAPNRYLKFDRILADVPCSGDGTARKNVNVWKDWNPANGIGLFPTQNRILI RALQMLKPGGRVVYSTCSMNPVENEAVVASAIKHCGGPEKVQIIDCSNELLGLVRSPG LTSWTVMDKQGRIWNAWKEVEEKINNGDETVKRVVEGMFPPPSDDQSIDLTRCMRVYP HQQDTGGFFITVLEKRSEIKGKSEGQNTPAPKRARAEEDASQDTTPVPTVGGEFEVPP VDEDSRNVATEDALHPKRSSDHLDGEPETKRAKTSDSVEVSNAVTKSEAVQTKQPDAT PFRSRKQKGGQQFEEPFKYLDTQLEEFRTIFKFFELSPQFPRDRFMVRNPEGRPLKTI YYTTALARDILTENEGTGMKFVHCGVKMFVKQDVQRPDVCPWRIQKDGLTLLEPWIGT GRTIKIYKKETLHKLLVEMFPKVSDGGWRELGEIGEWARDIDMGCCVLKLEPTDSEDG FHEHLILPLWRSLHSLNLMLPKEERRAMLLRLFNDVSPLINTTQKRDAVAAQASGDAL GTPQEADSDQQDKAADDRLPSREEEEAIKNENLALGQEEQDAMNARESYHQVEGEPDV MNTTV UREG_07172 MLSIPNTYTGPHTSAGIVIGAVLAAAGGFILLFLILFFTVNRRA ISASESVVVSESTRSRRSRAQSRPRRTPEVVDVRSSVTDSMMEEDYVEVFEEEDSIDE PPRRNRRSSGYRTVNPREYGGGHRPMREVRH UREG_07173 MADKYNAAPPAYPAPAHTGNYPPQGAAQDFYGGQQPQYPPQQQG YYPPQQGYPQQGMYYGPQGGQQPQQGYYVDDRRSGMGTGICAGILGALACCCCLDIIF UREG_07174 MAAVAKPTSAAEPKVQQAEKSEKGTRPEKPNEDAYKADLALAEK ELASIQQKLDAVKSKLDLATPNNQDSPVAKKQQELRSQLASIRQQQQGFKASRVTLQE KITALDSSIKARIAEQKTARGRVGFKNVEEIDREIQRLDKQVDSGMMKLVDEKKALAE ISNLRKQRKGFSGFEDSQKHIDDLKTQLATLKKGLDNPEQKALSEKYTSLQKELDDIK ADQDGVFKNIKSLREEKSQLQGQAQKARASIRSIKDTFHTSRKAYREYERELENARRE RQRAEREKYERERKKKIADKKLEEASRPAYTDEILTAQGLIRHFDPNYDLSSLGLDDV KKTLSGNFRAEVGRTVDDSNIKGVRVLKKEDRDDDYFVGRGGKKNKKGKKGASNDVKS QFNLSFGVIEELSRVKVDPPMTQSDVPGVIEKLVEKLQDWKKNQAAKTEENIKKAKEE LAKLEDDTPVNGSTDHAKKAAIQNAGVNGHVSAEAELRQETDAIADVAEELKDAKLED GN UREG_07175 MADEDFSQASAEANREMTRLWRTWKTVFEMLLDRGYEVTEEEVK ISLNDFRSKYADTLGFPDAPSRNKMRISARPSQAMIEKYTPLPSPAKPNPVAECGTIY VEFCADAQGVGTKQVRAFNHFVDEQNYHTGIFITQSPISPSAVRLLTGIPGRFCEHFL EQELLVNITHHELVPKHLLLSAEEKKRLLHRYRLKESQLPRIQIGDPVAKYLGLRRGQ VVKIIRKSETAGRYASYRWVT UREG_07176 MPSSMDVAVEAAKNAGIPQNRIFLLEGEVEGYTTMKDLLSIGRS YGPEGQAKSFKIPLGKKNKDVCGFLSFSSGTTGLPKAVMIAHQNVIAQCLQVRQITPE THKKVLAVLPLFHITGLVHQLHLPVLLNAEIYMLPAFSMEAMLDAVSTFKIKEILLVP PILIRMVRDPIVDKYDLSHVERFSSGAAPLSAEILSLLEKKFPGTGFKQGYGMTESCS CITAHPIGKMGYEYAFRVGTIVANTEVKIIDPDTGAELGYNQPGEILARGPQVVMGYL NNSKATRETFDEEGWLHTGDVGKIDEEGFITITDRIKEMIKVKGIGVAPAELEDLLLG HPDVEDAAVLAVPDEYAGEKPKAYVVLKSARRSDDVMAIGRNLIQYVQEKKVRHKWLA EVEFTDEIPKSASGKILRRVLRDQQRNGEKKGIVVRSGKDTTRAKL UREG_07177 MGQKKIECYIDCGGINVGSGNKPPWTLPAKAAYAKYDGPRAQKY FGKSFKIPSFFPILSLLPQRCLVFAKKQYPKKYEDFFESCFETMWNGQLDISKPENLL IAARKVFDEAEAEEVLEGGNDPEIKKVLTDNTEHAVKDLGAYGCPWFWVHDGKGNAEP FFGSDRFHFMWDYLDIPHQDLKLMPPVAKL UREG_07178 MSFKANKFPVVVAAKSISDASKTSTFPPDPNSSESTISTVQREI QENGGEAKSVQVDTRDFASVTNMVDSTVKSYGRLDVIVYNSGAIWWSSVEKTPMKRFL LMQQVNVEGLYGTVQAALPHFKKSNWKARIIVVSPPIYSRFFRGKTAYAMGKVAMSVL TKGLAMDWIREGKREMAITSIWPAATIFSDAILAMLRAPAEEVNGCLELDEDFLRKKG VSDFSQYSLVPGANPRRIMPAQLPDLTVAEQNDEGRRVDSTELRRGSKI UREG_07179 MSTYANIVATLAIVVAVLPVGSWIQRFLPWLWPRQEAPLVQLPP ELQEQLTDLQLELDEVAQEVHDLRGSNLQNLVTALEGAGESTSDELALLRSAGD UREG_07180 MESLPAFARRLYSSPPDAQTRQETNPTLLVSWWATGFSLAIILV RVFGRYIRTERLFPEDWVMALSILPLLIRMGFVHVVLLWGTNNAITTGFSEHDILQRE YGSRMVLGARIFYALFIWTAKFTVTAFFKRLTAQIWRRSFQHVLVGIRYFLLVTFIAV IIATLTECQPFDHYWQVVPDPGPKCRQGYAQLITMGTCDVITDLLLVAFPIPIIFLSV MPTKRKVSLTLLFGLSLILVAITCYRVPSVISRHGSQQYRSLIASLEILAAAAVSNAI VIGSFVRDRGLKKQKFKAGSLTESVEQTSSRRATITHHHWGSDADLVGDLGIRLDPEL RSPSYQKIRPAPVALPSAHVAKRGSIDPNWQFSFSQSNNADDDQTNSHPSPKDTTMPP SYMSLSKVSLNDVGGLLDPPSPTDLTRNRDAHPQPQSTLQDQHLTRSRSLLNAVSGLL SPAPAYSGSSQSSLHGTPPQNIRNFSRPGTATLSSAGRPNGTENSSGTATPSASQRTR QGRTYPTQAGPVPELQDLGGLLRQNDDVERNPHR UREG_07181 MSDGGRQQPLWVPPNIGQHPIDDYRRHVNNKFGLQLENSHQLQQ WSVKKANDFWVDLYDYVGLIPELPSHITRAFDESAKISDVPQFFEGVELNYTENVLEG KDPNALALIGLRESDFLNGENVTWGELRERVRQVRSALIRSGVKKGDRVAAIVSTSIW AIVLLLATASMGAIFSSISPDMGEAGCVTRLQQIEPTILFADSDMSYKGRKTPLDEKI KAIVEKLPQAMKVFSIPITSRSTSTFPFVSDFLAMARNSDRLEYNRVPFTYPLFILYS SGTTGQPKCLVHHHGVIIQLKKVAMLHYSLGPKEVVFQYSSTSWVLFNIMNGHLAVGA TVLVYDGSPLWPDAMTMLKIIERFRVTYFGTSPRYLLELEASKVIPREAYDLRSLRLV TTTGATLTSDQFRWFYKAFPHIHLSSVAGGTDIVTSWISVDPSGPVYAGQMQMIALGM DVYVADANTGDDITKTGESGELVCPTPFPSMPVFLWGDKNNKRYKSSYFERFDHICVW AQHDWINVDPITGGITMHGRSDGVLNPSGIRFGSSEIYNISEGPVFNSEIQDTLCVGR RRKQDTDEVVFLFVKMRNNQLFSHGLEQRLRSAIRTGLSPRHVPKFILEVPEIPVTIN GKKVETPVKRIISGERVQVSSTVANPKSLEFFEQFSKLETQPRARL UREG_07182 MSSLTTIRLILLIAILLLLEVHYVRSQDSQPGGPTMPNIAPNCN AFHTVEAGESCWSISTKYGISLDDFFAWNPDVSPDCATNFWGGYAYCVGVGDIPSSMV TTGSTELPSSSSSISITTTTTTRGSSSSAEPSNTEPYSTRYPITDWNITATTTETAFP PKRTQPGQPVDCTDWHLVSTADTCDNIAGSSSWLTKEKLLEWNPTLGVDCSGLFVGWW VCVMVRPRTTETFTWITADTPADVPTLTGEYTPTPFPSVNSSFTASPTQSGIVPGCLS FYYSKPGTTCRDIVDGHYVTKEDFFKWNPALNNNCDGLWAGYWYCVVGPKGITALPPT VTIAPTGLPPGQNPECKSWYKRNGETCEEIVGLFGTFSQTEFSNWNPSIQSDCSGLVD GKWYCVSIPGTPTTRTEAGSTTEWPETPTQSGIATNCSKLWLVGVHDTCLSIANFNGI TEIQFLGWNPAVGTSKCDNLPLNFYVCVAVDNGDLSAAATKTTTESSP UREG_07183 MAQLIRKLGSVALLYFSLMPLRPVFALNTPEKKEQFRQMKEFAL WNGTVFTTAGNDDYTCSASKPCKLGCCGSVDKTTGKGVCGLGPDFCGDGCISTCNEKS ECDPGWGAEWSLASNCPLNVCCSRFGFCGTTVDFCEGKIVSSPQCPGGKSADKRLIGY YEGWNLQRPCGTMKPSEIPLGWYTHINFAFALVNPKTFRIDPMDAKTASLYTEVTGLK RRQPGLEVWIAIGGWAMNDPGPYRTAFSDMAKSEANQDAFFESLISFMQRNNFDGVDI DWEYPVADDRGGIPEDFDNVVTMLRRLRQRLNETGRKYGLTITLPASYWYLRGFNLVE MEPHLDWFNIMTYDIHGVWDRNVTSIGPYAYAHTNLTEIQMGLELLWRNNINPGRVVM GLGFYGRSFTMKDPNCLDPGCEFSDGARGGECTGTPGVLSAAEIVEIIKNGANVRTDE TAAVNIVTWDTNQWVSWDNAHTLKKKVEYANARCLGGTMVWAIDLDDGTLLDALGSGL SRPKDPVTGELRNVIYDFETVWPEEKPA UREG_07184 MATCFFAKFVFLCWLSAITGVLAGNRAWEPKVAEDLHNSTGSVQ NHHLGKRWYIIEADPSHKLWPRGNIKVCFQARSHAHEGGVTKTTEEILRGRLEGARKL WRDAGLDDHDGWFEFEFLPVNDPLCHDESRRKEFLLVIYAGYDVEIMGTTPGKRTTLK TDVSFDKSGPSMTLSDSLEMGMKNVVANFAHEMGHLFGDGNFECESLSDYEAAKERVI ARSKGNTETIKKNLLAMCKDQATANLFEFTGAMNWLPLQFATGPDRNVPDWDSIMICE ILLHPFVL UREG_07185 MLSLVSSSRFGIVLSVLLGVTQSHVIPLIDNITNHSGLQRRQGD KNPTHFNWVKSFAAIGDSYSAGIGSGAHLGQIWHNRDDWRCSRYDQSYPMIMNNYLGT DVEKFQYLACSGDKSWQIYDQAKKLEGNIDLLTLTAGGNDLCLAEIIKDCIMLSFYGE ATCNAILKKAQGNLDLIMRHNIKEILLALNDKMADDGIVVFNSYARFFNTENEDCAQK QDWSSFEWVRSIWLNLQRPLPLTVARRKRFNELTTGLNDVIHDVIKEVQSEVKYNIGF SNWDRWAIEGVKGQMCDPSSSGAYPDPDQPDLIFFKPDTRKSEFTVFPFSSTIKRNIN ETVDSNDSGRQNPSRFNEKQIGALGGRLNLPPRDLDEDGVNRAIYKSSLWNSVNPRAA ALKRLDPRAPDLPGCPGNDNGPLPGLGDFVPDFFGRVFHPNEEGHNAIASFAIETTMN LRAKVLGVEPKICEVKEQFKCWQKEGMKGYATADVLDMNYKKFCDEVKPPGEGAVGWK FTKKYQVGTPDEQEFEYELGDLGDGFNKNECLESFKRIIHGCDGNDPENPLNWKFGGT WKRDQDTYTVTPKRTNRPWPLKKPYGSCEGESFISFSGYVIAGAGWSSWDEGRESLLP AIKSCLGKGVTTWTFKYLDKPDDDGYEWYVGFHTPVFVNNRCFKNNKAVFGAKGFTDG CKGSGWA UREG_07186 MSPIDDMYEIAYYKTGLSSHVRSNPHQSLMQSIQNDQILEYPEK MCIGNREAFQMKDRLDQALGRLDEFDEEFRTTKARFEANDEELRTTKARLEANDEELR TTTARLEELEKRDREQEDALILLRMRACEDRYAVQRNHQADRSVKQGGNRVAHGGNIV FDLKAIEYACRTGANHCQDYKTDFGAVYWIPFDRAVTSIKTFPRELIRICNTVASVEE LYQYRNSKYQTDRNAAINFGAGIIQDALQAIDSGDLSPFRDGAGLAAKVQQFDVMVNN L UREG_07187 MDPFHSKAQFYMAMSNAVDHLQDDPPNTSRAGHNFPSHLGLCSQ GSILVFATILQVPTFGGERICSAIGTLIRSTRVPDHKMGPFENEKEMCEYLLAPASMH TFKTREEYNAVVQRAEELQERPHQITFTHGDFKAHNILVDEDGHLAGFLDWESAGWCP EYWEFTTAMSPDSSPEVARFKLSNALSHIQKMSDSQAKKSAYGTPSSDTAFRKTWDRE AYAEKAAADEAKAKAESKARYEAKLLGKKYYAPVDYSTLEATTSRARRLDVASLVGKT TIVPAGAAVGKRGRGAGFYCADCDLTFKDNIQLVEHLNSKQHLIATGQSGEVVRAGVE DVRQRLRWLSHKRRMDAEEEKKAGELDLNQRLKTREEEEEKEREERRRKRNEKRRKNG KEGQNGIKQEDSWEGRLGVIA UREG_07188 MDITRRSSNPAEIVDPTSVEIVEARRATFGTWWPYDGKKGWKCK MEKMVEAGWYFCPNDESDDFVSCAYCDLGLDGWERGDDPFYEHYRRSPECSFFHFAPI PGKKGTKGRSMSSRSSKASRVSTQSTLSAWSEVETTDLDSEMEHSLLSQPAKNAAKST KGRKGRKPKLKKDEMAENSGQENDPDHNTKVAEPQAKKQRERKRKSSEMTQDQEPQGT QASSVVSGPAAKRRDTGARKASTAKSNIFPDGTDDELNAPVEPVKVEKQTTKKTTKKR STSTNRVPSATSKALLKSQIPDDAEIEAELEADLKRDSMDYIQAKPAVAHKDYISKQD DISSTETRTLTHKGTKRNKNAETPQDHIDDVEKPGRKRVQGKKKTAKKSAVQRQNEEE VNSEGRIFEAESDAHMDIDGGQLISLERPKVLDETLDTQSSEKIRPLSGRNEPRSNKS DAHKQRPLLDVDDKEQPDSIFDENQRSAVSPRATNGSPSGLIENKLPWGSRSRKISER HTHLDRTAHRASNPAPSPQTQERTPSPSPQSKRR UREG_07189 MRQLHTIGPRLGASPRYIFFRSSYLSQVRPPYFSRPLSTDSTPN PSGKDGGPPPGFNAAAHQNKSHVSAGRNEAPKPFTAGSSIESDWEENPDFSISTFAQL PSRDFGTNQHMIINQEFKEALRQILWQFRAPIRYAFAYGSGVFPQSGTASGESCHPAA PVTIQRMQKGGGKMIDFIFGVSYSQHWHSLNLNQHRDHYSAIGSLGSYVVSQVQEKIG AGVYFNPYVTVNGTLIKYGVVNIDTLCKDLSEWDTLYLVGRLHKPVKILRDHPKVRLA NQMNLLSAVRVALLLLPPDFTESQLYTTIAGISYMGDPRMSFGSEDPKKINNIVSAQM ANFRRLYAPLIDTLPNVSFNDPSCSDASWIDDPEINVKLAQDMDPVKRGNMVRRLPQS FREKLYFQYQSRFQIPRSEFNKMMEESTDEDPDRIHRRQGTTFDRKIAAESHLKEEVS KSIKKTISWPSTSQSIKGIVTAGFKNTVRYLKGKRAKYSNSKASSSTSDSNSTHKTD UREG_07190 MSRKGPFDLTKCARANILQLEPYRCARDDYKDDGTNVLLDANEN AYGPGLALTDDGSLENVTTSGKAPDLDFLGLNRYPDPHQLELKALLCDLRNTHAHTEK DLDPSNLFVGVGSDEAIDALLRCFCVPGKDKILTCPPTYGMYSVSAHVNDVGIVKVPL DTDNGFQLRPDAINATLSGTADIKMVYICSPGNPTANLIRKEDIQKVLEHPTWNGVVV VDEAYIDFAPEGSSLAEWVTEWPNLVVMQTLSKAFGLAGIRLGVAFTSPEIARMLNSL KAPYNISSPTSALAKAALSPANLAVMRRNREKIIVQRERMLKELPKVPGVGRFLGGTE SNFLLVEILDKPCQDGGKPCNKVALAVYQSLAERKGVVVRFRGKEYGCEGCLRITVGT EAEVTRFLAELEAVLKHIYTASSSS UREG_07191 MTLQLEAAKRWLNLSSGRQNNEVTAHPLGARQRLLMQARQTTDV APSSEQVQDHELDGFASNKEFMTDVIEKMMFVSGETAEPSVETTTLVEEIVRQQVIEM LIRSTALAARRGVRSISTDDLFFLIRHDKAKVSRLKTFLSWKDVRKNVKDSDDKGGGD TADFGAGDDPLAGAAQDVAAKPKTKRAKIGLPWDLNNLYSVQVPEREDEEDEEEEEQN YATLQRLANADERTKNMTREEYVFWSDCRQASFTFRKAKRFREWAGFGIVTDFKPNDD IVDILGFLTFEIVQTLTEEALKVKEQEDRGKKGRGGTEDGGEKSKKRKRETGLFDPPE EGRTPIEPKHVHEAFRKLQATPNKAVAMLLHGGRAPVRTPLRLI UREG_07192 MSAIGCTGLQLYNFGQTVSMVFFTETWKPSSFYDRIKENAQIGL HTLVLLDIKVKEQSLENMARGKKIYEPPRYMTVAQCASQMLEIEEQRKEAVYGPDSLA IGAARVGAEDQKLAVGTLKQLTEIDMGEPLHCLILLGKRTHDLERDFIRDYAVCRDIF DNAWKKHQETTI UREG_07193 MPDEEQPGRLLSLNMGEVMDKGPELSPGGPEALRRLREFLAPEE KIGWWIVYNGDPKRAFPTPEANGGDNSSEQEGSEDDESKETSE UREG_07194 METARGKTRLAKWYAPYTDDEKVRLKGEVHRLIAPRDQKYQSNF VEFRRSTKIVYRRYAGLFFCACVDANDNELAYLEAIHFFVEVLDQFFGNVCELDLVFN FYKVSGTALSRVYAILDEVFLAGEIEEASKQVVLTRLEHLDKLE UREG_07195 MAILSSSRDHNRHSFSVLACIHALRRDPGCFLTGHQPGVRSFPG PIGKRLEEQSFRASAVLQNPMTRNTNWVSLSNPQAERKMIVTIPGPAANQLGSETRKA FMAILQGWTRQPASVTGSAGASLSCSRRRARVNRSTPTCTWSPLISSIFIQEDNIRRA IIGFVRPAQQSKLFPIIFRCTYRLLGTLKAHPAIQQRWHSDDSWMAFSLLPLISRSVC IAWFFHLRATETPGDDTLAKKLVIPSRVTYALLYWSSADVSPLYFRMDDVTLVDLSHH EIVCASIVANAAFYYALWKEIPRWRGQQAHSTPLIPYFLPLEQTGSESRGIPISPSRQ SDPESSSEVIDSKRIEKRGNMQPTLVDPGFHCDLRN UREG_07196 MPLPKQTTDLTLALQALIYRIRQLIRERRGYSKAKARELAKLLK DGREDFARIKTEEVIANDNLIAALEIIELHCEQLHVRVNILDHIAFGQNRKGKAPAVR RRGNHPGSGRVAPGPAAGEGKSGNGGWGLWKFLGLGAGSQGQAPDDAGRQPTEDPGLA KHNVGDGVVKGGDQTHKELELEPEPEPEPEVFIEPELDRAAAVIFYSYPRLPRDIAGL PELRVKLIQRWGNDFASRVQDDDEPPVELPEELVERLRVQRASPVLVEKYLKEIARSH GIRWHQDEDEDDGDDDDQGQAVENNQLPEIAGKDPASRADAQAADDRGKRSPPGDVKG VETPSSTAADILPLESRTSEPHESTVGGGIPEVDELAKRFAALKR UREG_07197 MQAFVRWRPLSSSESPNTEIERSSTLQDNSRFSTSIVCQSSPSR DRSWNSSNCFSRIFEASDGNRKVFETVVEPTLPQVLSGGTCNFFAYGHSGSGKTHTII GYDYENPDQFGLCLAAAYSLYGTLEDLNAAQKPNGEIKKEGNMLGIGIRMYEMRKNTA FDLLNERRECYVREGPDGRVHIRGETEMLEGGKVRVRPVVTRACWNFDDLRRELQVGL QLRATGSSTVHDQSSRTHAVLELEIVTKSLLDARDAVIERESEFVPVAKRATDVYLEE SANAYIKTPEGKYVVNPDCPPDQERIDAAEKEKEKFESYVKQAEAHAREIFESHSQKC LGGKLVFVDLAGSEYYHGKATSSSFAAKMTPQERQEGRQINTDLLALKEVIRARALNH TRIPFRSSPLTMVLREHFSASTNSQSAMILTVSPSSEQFAATMNTLKYGNLVGVAGGE TATALKK UREG_07198 MGSGILIAALPRIAQDVGISEGLILWPAAVYALAAGCLLLIFGA VADVIGAKLMWVVGSFLYVAFTIAIGVATSAIQIILFRTFLGVAISMCLPTAVSLITF TFPKGSWRNTAFAMNGMGQPLGYALGLVLGGIFTDTIGWRWAYFMMAIINFCLSTASI WSLPSVHRHAEKKWTRRLLEDIDWVGAIILSVALGLLLYVLAMTTSSYRQVGSPHNIA LLAVSGTLLVTFPCWMHFQCKRSKPALIPNRLWQNASFTSICISVFFCWASLNGIEYF TTLYFQKVQGLSALQGSIRFIPHVIMGTAVNIATAYLVSRVKVQTLAVGSAIITMVAP VLMATTQIDENYWFSPFWALFLSPVNPDGGVFNEVAQFGNSVGLAVTAAIAASVTEHS GARNHKDALLEGYRAAFWTIFAGTSAVVIITFFGLRKGGTVGKKDE UREG_07199 MASQLARSARRLPPHQVDCIWWKKGYCHRGTKCFFRHDAALAGV DKDKDHTPQTTKSPESDPPATSPSAPSTGPASSETREPCAICLDTPVTYGLLIHCDHV FCLDCIRSWRSSSKPEEPEDYPTDQAALSKTTKTCPLCRKKSAFVIPSSIFPTPPSSD ISAATKDIAQASGESGAEEKKNPVKDEIIQGYLEKLKKIPCRYFEEESKRLRVAVAAF EAGDTDVQSLTKLKFRPRCGFANQCHYAHIDPVTNKPYIFSQLEIKRMKRKRLEERAQ QLRAGFQIGHSAHLEMITRGFETFAFERRAAEGAHRGPHDIADHEINLQDLHLAFTMA SLDAFGHPET UREG_07200 MGDLEARALPQFDKLVEEGSVVFKDAPPIHVSAQPYNLQFRIAP SLTKKSQIDIKEAKAQNDKPRNASNPFARDPPDFVLEHVGPDHTLRFNKFCVVRPQFV LHTNEYKPQIEPLSEADLAAGWDVLCRLDSPYMLIYNGGLQGGWSLPHRHMQLLPRPR RDTHELFPDLYGIHTGRVPNIPFRHAARMLPPSISPEQIFAIYQDLLAATGVGKPDYS HNLVLVREWMLVIPRSRAGQEGVNIANAAAMVGMIWIPSREVLDVWLQGDDPMELLAK FGKPW UREG_07201 MSSHSILSRASTLILVLASVAQAVGHGHGRGLGHGHNHGKVINI PQGDFGLKHHPQLHHRAAVSPVQKPHGTSKPITKRGGECEFPSDAGLVAVTPNEKNAG WAMSPDQPCEPGNYCPYACPAGMVMAQWDPDATSYSYPMSMNGGLYCDESGKVSKPFP SKPYCVDASGSVVAKNNAGGVVSFCQTVLPGNEAMLIPTSVESSAKLAVPDPSYWCST AAHYYINPPGKDTETACVWGTHDNPWGNWAAYVAGANMDDKGQTFLKIGWNPIYLEPA TPFRNESPSYGVKIECDGDGCNGLPCEIDPSKNSINEVTGSGSGGAGGASFCVVTVPK GSKANVVVFGKGGGGGSNKPDNDDDEDKDQEQDEDEEPEPTTSVPEPPSTTAEPTSST PEPTTTSIVSSTSSLQETMSTSASTTSTKSSFVTTHTSKALTVSPHVFVENPSSTVSR ASNATTVFSSATLTPTVSSTPKPGAASAATLSALSLTASFLVISIFLSF UREG_07202 MCIPSSAYPRILKQCHPFDASPVHGGFYNVNPGHRQPLPHPGLS FLSLSRRRHHYTTTQIAFIQFALETFSTGDIKADNVMIRFEDPTLAHRSALQERLYPL PQKHYEDRVIYRTKNNLDNLTGLLDMSNSQILTVLFEEMSKTTAQFRLTFIVPLSVDI WSLGVMLYGLLEGKPLFAAVDTCSGNEYDGINHLALMTALLGPPPEGLLRRGQRTGKL YDDLKEPHVKPAPDEFSFEKLVTRVSGEEKEMFINFIKRMLTWDPKKRSTAKELLADP WLPFGP UREG_07203 MISNLAARGIQLQTLNQYATLGLQRDRLRNAGFTSAQGAADIDF IWEKWIGEEEKERVGGLEMLDEIEEWRLLARHYCVAWGWRGGEDAGEGGSEFEAWRGL KEEQNEAA UREG_07204 MSKKFKSQASSSRAASGALGSGAFGAFSQAGADQGDIPSSLSYI FEPPDLSLVSQPQVVVAFKNLLKKDSTTKSKALEDLLDFVCDSESQGARLEDGFLDAW FVYNHAFVQAKLYPRISIDASRRVRQLAHALQGYTVSITGKRIARHLPKMIGAWLAGL YDNDKLAVKAAQDSIIRAFPTEEKRQTIWKIYQSPILELVVDAVVQQTPLTLSDERTV RPDEADAKYARVVATALQTLNRLLAKSSAENLEKDSHLLETLFGSKSLWQLAHSEDAF VRRSLYGLCQTSVSAVGDYVEWKTLSTQLLSKALAADQHGSASDFSELLLNLTKIRPE IWSSYYSGKTSASKRLLQYIKKGSQGGSPSFWRNLQYLLQAIPIDVLCLGPQDAGSMV QSASSIMEALLEGISRREEPRLNLLAAWSSYVETSLWIAGKIGDTDSQLNFIQGYIFP VLEDYVLSTSNPSKWTIHHSAAVIVCAECFISVAGLANADVIHQLWAKLSGSISESIK LSAPEQSQNYETSQNEICLQSGRLFGLEAEILNKLSKLKDLSLVSDIFRDATRDIFHI ALQTLRSRKGKPYGAASTIKDVVTQVPQLITDTDALASFLVENLPDLVSSPSSPDLVS ALFACRQQQEFEVAFAKLIDAFRSSDLAVDRLAGLKVLFSSVTADDFSQYHQLEALVM EKVEQALHDNKQTWEVIVAVLGNPASCPAVSSHVLNRIVEGLAMGDTTLEALHGLSTI ANMDPVKSYVTGQEGSKLLSKLLFLAESPSEEVAQLAGSLQATISRIPDAGGSKPAVD ILKSSFDDVGEESLSVDALVAIAKGVSEAASEPSGPNLSSLFPSEKQWSNALELFLLN PPLPSLSIMSPLSGAMLNVERPSHELEVLSLPHDANQLPLAARLASYVTRLLSFIKVE ALEPDLQKTVFLYVPLAAQLIEDNINVEGSTAILPLESPETRNEFADLVGEARQNIKQ WINASFSSNSPPDIDSSPDIVNFWKNHLEQLQGNTPKAYRYAEVYARILSERDSLGKV DFAETSLEYAQKLDSSANPFILAAVVTAFKTSLAGLTLTTKMCNQLVAQATGLDELPE DEGLRKLIALNALLQGDGDFVEKIPTQRLVFLVKHLVKFLQSEEPSLRLVTEIMKVFR VVLPQLKTIYGSHWADIFAALKTLWESDKGSDEYLPALHASLRLFSCLRKLASDESND DLEDAWAASQKSHVEALIGLLSEFGESILLSCVLLDRAKIAHLDPSFLPDMPWTITID ILDREIKQINVDHIEDVNGLFATLTIQSRGVQRAAYGVLHRVIPKSQEAISFNVALSD TVANLPDELLSLLFDVPTASNLSEYPLQEDVWMSIRSYLLSWKTVFDHFAFASLPVQE KYSANLKDNNCLDPLLEFMFDFLQFPQGKLVDASKFSVRLFELDEAESLEKEIQWLLS HIYYLCLRYLPNLTKAWWLDSKKRVKGPIETWTQKYISPLVIEDSLRNVSEWFSAQDW AGEEQALQVKVSYKAAEIVASIEIDEESPATSILISLPATYPLLQATVAGGHRVAVDE RKWRSWLMTIQGVIMFSNGNLVDGLLAFRRNVQGALKGHGECAICYSVISTNMQTPNK RCATCKNTFHSDCLFRWFKSSNSSSCPLCRNNFLYS UREG_07205 MSLFLCAPDVFDEAHNIDNVCIEALSIDITEDSLRKASRGANNL ERKIDEMKRSDADKLQREYEKLVEGLREADQAREEDQLMANPALPDDLLKEAVPRNIR RAEHFVAFLKRFIEYLKTRMKRKPLRFCAERLTSLVRTLELMNIEDYQPLQEVATFAT LTATYEKGFLLILEPFESEAATVPNPILHFTCLDAAIAIKPVFDRFSSVIITSGTLSP LEMYPKMLEFTTVLQESYSMTLARRSFLPMIVTRGSDQAQISSSFQIRNDPGVVRNYG NLLLEFSRITPDGIVVFFPSYLYMESIIRNSVSESFGLTFFRETIGYEKTTFSRSTPC VTLHNALGVCFEEKTIMESWSWLTAVSKRKRNQLPKWIAQNMLESETNLSTDMAVATA KNFLRTMAQPFKNKDQEGISTWSLADLERHVAKQKGEAAKRDQEAQQHQNMLAATNGA HVGNGDMEMYDDVMDEDLIMMDAE UREG_07206 MASFTRPVSSTISGVDFEVLSDSDIKSISVKRIHNTPTLDSFNN PVPGGLYDPALGAWGDHVCTTCRLNAWSCAGHPGHVELPVHVYNVTFFDQLFRLLRAQ CIYCHRFRLSRVQINAYVCKLRLLQYGLVEEASIVGTMELRKGKSNKADESDDSDDED DEDLIDRRNVYVQKCISGIATDKRRQNHMKMAKNPATAEMRRAIVRDFLKDVVNMKKC ASCSGISPPYRRDKYSKIFRKSLPQKAKAAMVAAGFQIPNPLVLMEEANQLSKKHKSI PKKDSAKAVDYDDNISVVTDTHGAEQQVSMGNAVLAEVEENRPSSARGELDDSQQYIP SSEIYASIRFLFEKEQEILDLVYDSRPGSAGKSHVSADMFFIKNILVPPNKYRPAAHQ GPGQIMEAQQNTSFTRILKLCDPDQPNQPGKARGQYLIDRDRNPNQGAAGIQNEDGIK QRLEKKDGLFRKNMMGKRVNFAARSVISPDPNIETNEIGIPLVFAKKLTYPEPVTNHN YWELKQAVINGPDIYPGAAAVENELGQVVNLKFKTVDERIAIANMLLSPSNWKLKRSR NKKVYRHLTTGDVVLMNRQPTLHKPSIMGHRARVLTGERTIRMHYANCNTYNADFDGD EMNLHFPQNEIARAEAMQLADTDHQYLVATSGQPLRGLIQDHISIGTWFSSRDSLFDE EDYHQLLYSCLRPENSHTVGEKIELMPPAIIKPEPRWTGKQVISTILQNVTPESRAGL NLVGKSSTPADRWGKDSEEGQVIFKDGELLCGILDKAQLGSSPGGLIHSVHEVYGHIV AGKLIGILGRLLTRFLQMRAFSCGMDDLRLTKQGDEDRKNQLKAGDNLGHEVALKYVL LDESPIEDKDAELQRRLEEVLRDDDKQAGLDSMFNSRTVKLSSDITSACLPRGLEKPF PWNQMQTMTVSRAKGSMVNANLISCNLGQQVLEGRRVPVMISGKTLPSFRPYETKLIA GGYVSGRFLTGIKPQEYYFHAMAGREGLIDTAVKTSRSGYLQRCLIKGMEGLRAEYDN SVRDTTDGTLVQFLYGEDGLDITKQKHLQDFSFLAQNYFSIYSQVNGMNEFNKVNSEV ASKWNKSAMKKVKKTGQFDAKDPVLAHYHPGANFGSTSESFAVALKEYEKANPDKLLR DKGQGIDGIAKKDFEHIMNLKYMRAVVDPGEAVGIVAGQSVGEPSTQMTLNTFHLAGH SAKNVTLGIPRLREIVMTASAQISTPTMSANFHGQVSEKDRELFAKGISRLTLAEVID KLSVHERVLTQGKVKAKIYDIHIDLFSPEEYTQEYAIKVGDVLETLEKRFVPKLLKMT TAELKKRTSEKSLSKFSAAQPEIGASVGVVEEGPRHGEGREDADGDDEDEDPDDAKRA RSSQNRSNQVSYEAPDEDDQDVIRRQDTPEPESDEDEDEKSKERQQRREKPGDEDVEM RDASDSEDDSKEREEFLRDKHAEVTRFKFNPKKGTSCVIQLQYDVSTPKLLLLPLVES AARAAVIQFIPGLGSCTYVPEETKVPAHVLTDGVNLLAMRDYQHIINLHTLYSNSIHH MLTFYGVEAARASIVREMDSVFKSHSIAVDNRHLNLIGDVMTQSGGYRPFNRMGIVKD STSPLTKMSFETTVRFLRDAVLERDWDNLAAPSSRIVMGRVSTVGTGSFDVLAPVG UREG_07207 MADAPGGSLKRPYVGDDENNAHKRPRSQQGSPAPTSRNGEGAPK IDIQKAIAAARAKAEAVRARLQAQTGASPAPMATSASPSPAPSSASPGLSKIEQMKAR VAAATGRVNASSQQLKAQPPPLIPPAPMDEGLSRARGGLDVGLHPALLGDTGPDGRSA RSKQAIQPKFATTMANRRTESPIPIAKGKQLDLSGPSIEETRNNPYFDPSLGTKTALA RPRYSRQLIFNQKGKYIQQAAALRRQAQLEAMKKRIAERARQAGIDEDLDVEKAFLVP APPAIEWWDEALVNGNDYSAIDDPNNLKIDSPDSVITVYIQHPVLLEPPQEKNIPPAK PMYLTPKEQAKIRRQRRMADLKEQQAKIRLGLEPAPPPKVKKSNLMRVLGEEAVKDPT AVEARVNREIAERAEKHMADNEARKLTKEGRHEKLAKQQEKDALKGIYVTVYRVDSLA NGRHRFKISGYHSINAYKKLMLNRIDWTENAGPNAVREGNREALASWLAAEDEKTGEL KDLSLNTCTLVWEGEEKTRAFRKWLGARVARLMRRAKDMLTRAKMESFWTLAKSMKPN MT UREG_07208 MTETTPPTAASRDDRQDPSGKPPLPDAVASLMESADGAADDGSN FYNTPLSGSTPLPPSHIFNLVEKPAEKPRPQIPGLSLCNESNEREIQTEEGQNLDAGV TIADSLDLVLTAIEAAAKEESEGFQGATAGIDCGAEDIEMGGTNLDEKTVEQEADFST AAESETQDQVSAQLVVEASTYDDGTPVTGKVPVAENHPEANGQKEWETDSSPYESSDS SSDSSSDSSDEDPDYELLDPEEQARILMAAAGSDDEDGKDEKAAGRAVRTANEKPPEE IFRKPDLVVTPDMKVDMLGSVETIVDNIVLIKANISGEYQVLETGSVLCSANLDVLGV VSDTFGKVEQPLYTIHFPNPDEVQKAGLEKGVPVFYVVDHSTFVFTQPLKGLKGSDAS NLHDEEIGDDEVEFSDDEAEAEYKRQLKLKRQQKREGRSEPSRGGKRRGGPPGPSGLR NTVLNYDDEPQDDGYNTLQRPKDLHQQMGGRDPMQESPYRPAERSSRGGRGRGRGRGY DRGRGGRDRNSGARHQSHRDSYQQQSVSEQSHRQPLRSPAEYSAQQPSYISHPSAYSQ PPPPPQSYPAMSPPSAQFAFQNFPFPPQQPPFGFPPPGSHINPAFFPILLQQQQQQQQ QHPPHQQPTISPPTPTVQTPTDAFAAAQAQIDLLRRLSGGA UREG_07209 MPPNVRAPNKGASAASPTSPKCAVSFLRDEPRDSAANRQYDLYR PAPQPFFLPLNVVFYLCLFSNVLAAALAPIQDCDEVFNYWEPTHYLTHGYGLQTWEYS PEYSIRSWLYVALHAVVAKISIIFTRTKSAQFYFVRMALALVCTMCETRLFSVISRTL NPRIGVLFLMIILFSPGMFHASTALLPSSFAMYTGMLGLSAFLDSRQGLQTAKGILWF GVGALLGWPFAGALVVPRSGQSFLGSSTGSFGVWAFCSLKLPVDSLFHPGPLLLLQAL FRSHQTSKQTLLRSITYLLPFYLWLGIFTLQPHKEERFMYPAYPFLALNAAIGLQILL VYLGSNDRRELIGRLPIKLKFAVIISVVLFALNVGMLRIMGMMTAYNAPLKVFSDLET PKLAGTGDFVCVGKEWYRLPSSFFLPDSLRAKFIQSEFRGLLPGEFVDSDQGGIFAGT WNTPPGMNDMNREDPSKYTDISQCRFLVDSYFPGDEASELQPHYILDDKTWEKISCKP FLDSSRTNALGRILWVPDMPFIPKRMQRKYGQYCLLKRREPVTIMEAPLLHLINQFHV RELAGILLFAIASSWAVNALLRRFGSRASKRPGTPDLEQRNSQAAKHPDRKPGEWIPQ DFKRPDPVPYPDWDVHTSKPKPYRPFRYGPKYFITMGLRSMKWDEWIELDNHYLKYHA DKARRIKEREKKCVMVAPEAMDGVIELLEELSSYLPVRYPSLFRKTPVGIDNLLTGES FNITQRPFAENPMTTCARLIQDDMALMFEKPDGQYYLLAGAILLAGFWRLEDKFGMPL SEIHTSGSVPGYETKLEKGMMNFFRRLKPSDPVLRNNYFIQVDEDLAWSYSIGPEDST SVSWSTAEKNKAIEHHYFRSERQSLRRLPRSGAVVFTIRTYFEPIAEIVKEPYVPGRL ASAIRSWGDDVSRYKGRERYGEVLLEYLDQRHEEQLAAGLELEKEEEVRSYPY UREG_07210 MAPVYESSVPTIAGSTPPRVKTRKSKHALAVAESRASNSDWQNN RDDGPRKKRKSATIDDRWRASIVSAMAVPIDLGTPDAKGLGWIGPVRRAGAWAILHCP WKNTGHLPVEVPAATGAGSSRLGGRTWPSASAVLGDTQYMPSATGLPAAICLLAPIML THNHYSHPLSSSSSPYPPSQPFRHRNLCASDSIVADSPQQHSFAPYHHHSSDLSPATQ HALYSPSSSSQPFSAAPLTPALSQHSQLRYLSTPPPSSVAASPTYSSPAFSTQNSPST DFDSSFWPDSACFSMQDSNYSSAGVSHTPPAKLFQPALFGDEGFDHQDIADASMLLQN GLPLDTNEFNNLLSPAKLSPNTQRLQPQFYAGINHKRASSGSSIVSLPSTSAVPALES SFSSVSSPANTTYQGGYSISSPSRPLPTPQRTPVQNSFLAPPSQYDARAGNVNATEAD MAMRRALLEQQGNAQQEQQQQFYRPQPQQQADDEIAYPYSLAQSASTVSQNSPATPQT SYQDDFDESSKALSHGETSATEIDRWIHECLHADVFADLGPQLNNQVGMSNLDRTLTD ALQDELYNPAMTTAPQERRPPQARVSKPYSPYLNVMADRLHAATQSHMSARSQSPTSI ARQRSPFRHGSPYAQIPTAYSSAHLQQPPPSTGLRGMELMGADSEEQEETKTISPKDA LLDYHESDESNIPLFPSQPDPQYNLPPTSSTFQIPAFPPLSQFPNQFGQNGSQNGSQF YLPQPQQHPQMPQVPQQGVVQAPRPHQPADRTLAHHTPDFPIPMPSMESTNSERNSGV APTPTHQQPSSPIKRPLDTSSDTGTYSCTYHGCTQRFETPARLQKHKREAHRQTTPGS HGLSRDNPSFAMRNSQAGPHKCERINPSTGKPCNSIFSRPYDLTRHEDTIHNARKQKV RCQLCTEDKTFSRNDALTRHMRVVHPDVNWPGKQRRRGRE UREG_07211 MADSDHNDNRDMESERESDHEQQQEQQQEPEPEPEPQPQPQQQQ EKPANKSQRRQRRQQNNQLQQRQQQQPPMQQQQQPPPQQQQYFPPYYYNLPPREEGYR PPVIRESRIKDRPYSKDARDSSMSIRIELDLEAEVDLYARVKGDVTIGLM UREG_07212 MAESDPRNSLYVDTIGVVNKEDGASPYRLGRYGEDLIPRQSHPG ELGRNGDVTSSAESQQFPSSVDNVALIIAASNEPSAISPTTSNKPPPYTHNPNPSLNP PQPASLPRRITRRSSNGRIKSEREEKQQREQPRQGQQRQQDQHEQPQQLELLQRSQSV QPPQVPSSPPPPSGRTRFYANLPKSPEPTRHSTYIPFYPNIPSFPIQERRDPALFSQS TLNLFPSSSNAPGRSATTSFENASQNPGGRRKLTKRRKEERDGLPIPDGRRNSTAIFG SLAKIFGKRKDT UREG_07213 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRSVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G UREG_07214 MARTKQTARKSTGGKAPRKQIAAKAARKAAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS UREG_07215 MKLIVNGGLSVSAVIRRQTTSSHYRALRRNTSTSTTSSQPTPAP KAADAAKSPTSQPHPHSSASASAGAALPSIPEASSRSLRQIIKASPLGQAVGWYSRQQ HTRPYWTQMWSTLVIFFCADLCAQFVVPSDEGSQLEGEKDGTATDDVASSAWSRYDPL RTARHLTVGAVACIPVYRWFMFLHHHFNYASKGLSILTKVIVSQSVFTPTFNTYFFTM QSLLAGASLEDTWERVKKAVPNSVMNSLKLWPGVTAFLFLYVEPQFRSIVSGVVAVGW QTYLSWLNQKAAKEVREAAAIGAGDLLESPTPRNGAVLQPS UREG_07216 MSSLHPDQERGGEALSPTTLGLLEVWETLSDFEIEDVAGGGGVE NADSARRRESEQRREEEEGESQRQAVESSANGATKGSSTKVIDKRSPLERFRKAPRKN LSVTDLVSPAWCELQYWYSLTKHGRKRATPAMKKGSAVHKTLEEQVHTTVPVEILTRE DGWAVRIFNVIQSLHTLRKTGITRELEVWGVIDGEIVTGIIDELSYDCPDPELEATSN PDCAQVRSAVSLIPEENVSVSDSANPVTEGDKEVTDSISITGTVGSTQLDTEEKIYIT DIKTRGSTTKAPTVSSIGFRPTLLQLHLYYHMLARLITSDDITIESIASRYRLDTNRV LSDSLIAQLSTCFESNIAEEPSSSPIDQESINALFNQPSLSSLWSLMKQHLQHTFLPP SQTTQPTTGISPPTLLSPLLTATYVSSAPDPSEPMKCLGSRSFFFDANDLYPYLADGM RFWRGNRAAKGVGMVEAWKCRICEFRDDCEWRGAKEKEVVQRSKRGGKAKGKG UREG_07217 MATPTVIKILGRDSIVADPGIWKRHVAQDLLTNCPSSTYILISD TTLTPLYVPSFQQAFEAAASSVTPKPRLLTYAIPPGEVSKSRQTKAEIEDWMLSRQPP CGRDTVIIALGGGVIGDLIGYVSATYMRGVRFVQVPTTLLAMVDSSIGGKTAIDTTHG KNLIGAIWQPEKIYLDMEFLNTLPEREFINGMAETAAISSEEDFAALERNADAILAAV KSENTTERPRFSGIQEILKLTILASARFKADVVSKDEREGGLRNLLNFGHSIGHAIEG ILAPQILHGECVAIGMVKEAELARHLGILKSVAVSRLVKCLASYGLPTSLKDSRVRRL SAGKHCSVEQLLAFMAVDKKNAGPMKKVVLLSSIGSTHEQKASVVSNKDIKIVLAPSI EVSPGVPHALEITCVPPGSKSISNRALVLAALGSGTCRIKNLLHSDDTEVMLSALERL GAATFSWEEEGEVLVVHGKGGRLQASPDALYLGNAGTASRFLTTVATLANKSTVDSTI LTGNARMKQRPIGALVDSLRTNGAGIKYMETTGCLPLKIDASGGFAGGHISLAAKVSS QYVSSLLMCAPYAKEPVTLKLVGGKPISQPYIDMTTAMMRSFGIDVKKSTSEEHTYHI PQGRYMNPTEYIIESDASSATYPLAVAAITGTTCTIPNIGSKSLQGDARFAVDVLRPM GCEVNQSSFSTTVTGPRNGALNALPNVDMEPMTDAFLTASVLAAVATAGSTSTTRIFG IANQRVKECNRIKAMKDELAKFGVTCREHEDGLEIDGIDRSALLRLPHGVYCYDDHRV AMSFSVLSLAASHPTLILEKECVGKTWPAWWDTLAQLFKANLEGVELKSEKKKAEKPA ASLFIIGMRGAGKTTSGLWASKVLKRPFIDLDVELESKLGKSIPEIIKEQGWEGFRAN ELALLRQVLSEKPTGYVFACGGGIVETEEARDLLTQYQKAHGNVLLVMRDINAVMDFL KIDKTRPAYVEDMMGVWLRRKPWFQQCSNIQYYSQQSDPSKMGSALESFSRFLRVVTG EVDHLALMKKKPQSFFVSLTLPDLRPSVDILNDITLGSDAVELRVDLLVDPSSSSEMP SVDYVAEQISILRSRVSVPLVFTIRTKSQGGRFPDDAHNAALDLYRLAIRMGSEFVDL EVTFPEYVLRAVTEMKGVSKIIASHHDVANRLSWRNGSWTQFYNKALQYGDIIKLVGI ASQLDDNIALREFKIWAKKAHDIPVIAINMGERGRLSRILNGFMTPVSHPKLPFKAAP GQLSAKEIREGLSLMGEIESKKFAIVGKPISASRSPALHNALFADVGLPHVYGRLETD DVQNVKDLIHAPDFGGASITIPLKLDIMPLLDEIAPEAKVIGAVNTIVPAPREPGDVK KGPRLIGYNTDWQGMVQCLRHGGAVSPSTSNDPAPGLVIGGGGTARAAIHALHSMGYS PIYLVGRSESKLNDMALSFPATYNLQILKDAESLEILPSVAIGTIPGDQPIDPSMREV LCRLFEMAARIDAELKELAPKRVLLEMAYKPTVTPLSQLASDCGWATIPGLEALVGQG VYQFQLWTGITPVFRDARAAVMNADADI UREG_07218 MGVPALFRWLSTKYPKIISAVVEELPQEFNGEEIPVDTTKPNPN GEEMDNLYLDMNGIVHPCTHPEGKPPPSNEGEMMLEIFKYTDRVVNMVRPRKLLMIAV DGVAPRAKMNQQRSRRFRSAQEAKEADEKKAEFAKLLRKQNRGKGDTELAEEVVNKTW DSNVITPGTPFMDILSAALRYWVAYKLNTDPAWEKLKIIISDATVPGEGEHKIMEFIR SQRSCSEHDPNTRHVIYGLDADLIMLGLGTHEPHFRVLREDVFFQESKARTCRLCGQQ GHVAEACTGKAKEKSGEFDEKQKGSPLKPFIWLHVSVLREYLAAEMFVPNQPFPFDLE RALDDWVFMCFFVGNDFLPHLPSLEIRENGIDTLIAIWRDNIPLMGGYLTKDGHVDLK RAQLILQGLAKQEDAIFRRRRQTEERRNANAKRRKEQERQRAEENPRKRPRKSLDNDM SMPSSRRGKEMDGGAPADMPLFIPSKGQLPRAERELTHAMVVNRGAVYKANMANKSAA AALKSQLISGSENGTSNVDVNVESSIASSTKASPLGKRKADALEADTTTEQENSEKPP ADEDQLPEDSVRLWEQGYADRYYEQKFNVDPKDIEFRHKVAKSYVEGLCWVLLYYFQG CPSWTWYYPYHYAPFAADFVDLDQMDIQFNKGKPFRPFEQLMGVLPAASNHAIPDIFR DLMSDPASEIIDFYPEDFPIDLNGKKFAWQGVALLPFIDEKRLLAAMAKRYHRLTEAE RARNEMGRDTLFISDRHPLYKDIVANFYSKKQGVPNLKLNMRVSEGLGGEVERDGAYI PHSSLVAAINDVELPSLDQDRSISVLYDIPKSIHTHKSMLLRGVVFNPPVLDRTDIEV TKNKAQHSGRAFGGAPLHSGNGRGRGRISYAGDRPNPFAAHLDPNFKPPHNVNGPPGS GRGGPPQFDRGRSRYPQSQQSRGGNYGGYGGQSDSYSQRDSYQPPARGRGSYQPGSYG RDTYQDRQYGYQDRSQPSNRSGRGGGGSGYYRGGGRGQQYSSQTGHYGRNDGYGRY UREG_07219 MVSQRNRSLFQALLLLIALTPSSAELQKVVAFENAFDRIFSLIQ NEGSLTHGSALVEDCLSLLGNLLALNVSNQSYFRETGCIKRLASLLADAAEGQESGEA IPEWTLEQRDKNLWGTLAIIQLFLVKGGMSTPTNQMAFWQHDVLQQVLRIAFGEEFNI PIKAKVRVPYFALSTCAGLISGNPTLQEKFADLDVAVHHAEKQTLNGSVHANAPTQKL NVIEALLRLTLLPAPAQLLDARLAACECIRAFTENHRGIKVHFLRRAIDGHTSGEDQI PNILTILVSPPESRGIVDPYQSWLACVLLFHLIHDDPETKGLAMKVCEGDELNGEEVI TCIQAITGNFLSGIQRNDDKRISVGYLMLLCGWLFEDPDVVNDFLGEGSSIQSLIQET KQCVSSKTLLPGLCAALLGIIYEFSSKDSPIPRATLHQLLMGRLGREVYIDRITKLRE HPLVRDFEVIPQTSHGHHDGGFPKIFFDRLFIDFLKDNFSRLIRAIDREPGIEVPVIA NGIPKGISRELVDSLQTQVEDKTQTIQTMETELLSLKRKLEQEELDHRRTKEVCEVEL SRIKHVNDMHQMTHAEEIQRLEENHERLQTDLAKKHAKLLATMVQHKQTSSYAEELCE RYDAEDAASEQKSERKKVANAPPNMKLA UREG_07220 MSIDFPKEEEAVLKRWKEIGAFERQVELSRGRKPYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGHYVERRFGWDTHGVPIEYEIDKSLGMSGSEAV EKLGLAEYNAKCRAIVMRYASEWRQTIDRLGRWIDFDNDYKVNYPDTMLFHHHATNTL KTMDTTYMESEWWIFKKLYDKGLVYRGYRVMPFSTALNTPLSNFEASQNYQDVQDPAI VVSFPLIDDPQTNLLAWTTTPWTLPSHLGLAAHPDFEYIKIHDEQSGKDYILLEALLG TLYKNPKKAKFKILDRFKGSTMEGWKYTPPFDYFYDKFKDIAFRVLMATYVTADSGVG IVHQAPAFGEEDYNVALKAGIITDRKLPPNPVDNRGCFTSEVRDFAGVHVKTADKAII KHLKEAGRIVVDSQITHSYPFCWRSDTPLIYKAVPAWFVKIGPIIPDMLKGIEDSYWV PSFVKDRRFASWISNARDWNISRNRFWGTPIPLWVSEDYEEIVPIGSVEELKELSGYT GELTDLHRDKIDHITIPSRQGKGVLRRVEEIFDCWFESGSMPYASQHYPFENADEFHA SFPGDFIAEGLDQTRGWFYTLTVLGIHLFGKLPFKNCVVNGIVLAEDGKKMSKRLKNY PDPTLIMDRYGSDALRLYLINSPVVRAEPLRFREVGVKEVVAKVLLPLWNSYKFFDGQ VVLLKKVENLDYVFDPSMEATNTNVMDRWILASCQSLLKFVNEEMAGYRLYTVVPRLL ELIDNTTNWYIRFNRRRLKGELGLEDTKHALNTLFEVLYTLVRGLAPFTPFVTDTIYH RLLPHIPKSLQGEDNRSVHFLPFPEVREELFDEVVERRVARMQRVIELARVSRERRSI GLKTPLKTLVVVHQDPQYLDDVRSLEQYIVEELNVRDLVLSSDEAKYNVQYRATADWP VLGKKLKKDVQKVKKALPLLSSEDVKSYVLNGKMLVDGIELSKGDLVVKRGLKEDDTS SNFETNTDDDVLIILDVALYPELAHEGLTREIVNRVQRLRKKAGLVPTDDVKMEYRVL SDPIVLGSVSVWKHRRRVSKSTAQTS UREG_07221 MGIQICTDPTTNANGLSSSMSRLDIEASGVIAPRRKRRASLNTI DDPSSFHQSFESHRVDGFHVDHQEEHGIPAGKRQHLSDDDEESESDLSDDNIPKLSVP PELFYQPSLSNLLFDASVFNAYRERRVARQLLARWRERTRHRQQFVQAIYQVALNRDR ATLLQQALDEWRSSLEKKRQKAHTERFFKHLEARAARARDLYLLTKAFTHWAQVASDE VEKTNAARRHILGIKYFNAWREVTAVNELKIQRFAVKRPFVLLRLRLEKIRNDEVMAD ELYHANLVKRMYWTWFWSFCYRMAPQRADHRVKQRSLISWLRAFRNHRERDNEVDSKR KQALLSSVLQKWCQKARSVSIAQQDADNWRLEHLMRNNFTEWRIQHNLGTASARVTTM MNTRIMRFSFDMWAYKAYIERLARDADRQRVIHNAWTAWNDRLRCRALTRRIDGRIIM QSLYKWVLMERLRFMTRIRDRRLKRTMLTKLMDNSSDLYTRLLRRGEEFRHHRKQEFA RTIFHHWREKLAIQGQRERIALAFYAPRVEHEALVRWNERRSLYIKLETWAKNARYFF LMTKALKKWRAITQQTAKKRKQDAYSMIRRKVKINLVSDALSIWRARRAAVADLDQQA TEVYKQKLIKKATVLFEHWHSETVNRFKQISDADIFYNRQLTYDHLSHWATSYRLYQS QEENAARFLDLHVASVALSQLKKLSLRVFQVRTDYEKAEAANDRILRKHLRNMLYHWI EKTRALHVAKDSATAQFTTPRPHYDLQHYEEPDEWRNPESTLRISDLGPEIDLTSHTP VPGYLTSPSKRAARARSLHQISTTPATPAITPFAVRLLAARDSPRSLPGRRTLYARSP LATNVRFAIEEESEPESPTEGRSTNSRRPT UREG_07222 MDNVLYTICADNKIRIWAPMERHGLSVLQLWNEIDMAASIQPRN PLPSNEAMRRYGFIIDSRDFAKAMERAVQCGDSKDKNHPALEHLLEVAAKVPEICVVL DGRGHMCAWGLENVGCKVRSPANIFNIAHVEGLHLSLPSGVLPREDYARFYSFAGGVF DDSFTILAHYFDGRIEWLDSKIHTLFDPTPRKKRLISRAIWSGHGGAVKKIVRTPVGK MLISRTDDNKAMIWRQRSSSTGSVLVQQSSLLSDLHIHRTFLLDDGKFLVNLHHNGIS LWDVRSFHGTLLDQCDFQLSSKPLCVLFVPTTDIEPSTVYIAAIGVDMAGIAWEIDLP GNHSHVNGVNGNRKYLRQFCMFNLGVKEDVSYIIPVDPAGSRVKTSGFLDLFATDIAL SYTHSGTVRTWTAKVDKQNQKIEWLLTSTVETGIVNPSLASATSMRKAALIDQNRTHL SIWDTNGAQLEFEEHFPQHDIIQDLDWTCTPDAQFILAVGFPRKVVLLSQLRYDYLDA GPSWAQIREIRMQDLTPHPIGDSCWLGNGNLVVGAAGSMARYLCFTLSSSLSMFQHMT WKEMHSSSTDYSFEAEPHVVDETVAASLNEYLSRIVLAQLSSTEQFRLVNIVECVATV EKHRRSMDDNAARYLLFFRQHMIRRSQKLPEVSNISWREIVWAFHSDSHEILADLVSR QYNGRMLWENARESGLFMWITDINTLRTQLEVIARNEYGKTDERNPIDCSLYYLALKK KNILQGLWRMANWHREQAATYRLLSNNFKEPRWQSAALKNAYALLGRRRFEYAAAFFL LADHLRDAVNVCINQLKDIQLAITIARAYEGDDGPVLKEILEEHILVAAATDGNRWMA SWAFWMLNRRDMAVRALISPVETLLPPTPGSPGSSTGVSLHARSYLSNDPALVVLYEQ LREKTLQTLKGASKVAARDEWEFVIRNARLYDRMGCDLLALSLVRNWEFLRPPLVETL PSRLSIGLLENVPNPNKLLKRRSSLVVADMHLSPGLQEEIRKAATDVADKQARAAHGT QPPPTTFEEPDTSSLLDSFGF UREG_07223 MSNLAPEPSGSSQRPDQELYDHDDSDNAPSGLLSSPPKTSSQSF TPRSLIVGLAIGTLITFSNTYFGLQTGWISTMAMPSSLIGFAVFKTLAPYLSFPFSPI ENVLIQTVAGAVGTMPLGCGFVGVVPALEFLLRDGPDGEMGGDDGQGEGGPLKLGFWK LVVWSLGVCLFGVVFAVPLRKEVIVREKLKFPSGTATALMIRVLHGSGQDGEKAKDAG SSNVEYQETERLLVNEPAATEVPRSSIGHDHRQDWKGKIRLLVFAFGISAFYTLLSYF IPLLRNLPIFGLTLASKWLWTLNPSPAYVGQGIIMGSSTSFHMLVGAVIGWGVLSPLA KNKGWAPGPVGNWENGSKGWIVWVSLAIMLADSVMNLGWLVLRPIVHYGPGAVHAIRH RTSEQGFWKKLLSRNSNSSQGYIPINQGDPTQYKNSDSKKDHDEDDAPPSELIPMRTI VILLPITLILNVICMHVAFGSIITPFLSSLATLLALVLSVMGVRALGETDLNPVSGIS KVTQLIFALATPASSHTRRSAIVTNLLAGAVSESGALQAGDMMQDLKTGHILGASPKA QFYGQLIGSLFGAVISVAVYRLYINVYEIPGDMFQIPTAYVWIFTARFVTGQGLPDMA WQVSGIAAVIFTVTTIVRIYGAAGMRRGGSAPSWRAWIPGGIAVAVGMYNVPSFTLAR AIGGGIEFWWRRRQKAKQIRQQSALQGQDHGETPGHEGDSVGRREELKDDQGINGSHS TIVILASGLILGEGVVSIINLALASAGVPHL UREG_07224 MHNHHRHHARQHAQVEARGPQLFRDIEIIGGNIKPIANKDDDDV EIIYITAKPTFDGPIGGYSTEGRGPPRTTIDIGPPVKHTRPPRPDTDDPTPTRTPVQR PTRTSSPVATTEESSSRPKAPSTSFATSTPTSARELVPSTTSDNGIGLASITSSPSAT NTAVASPGLSDGAKAGLAIGIIALVGLIAGVALLFIRKKKKTEEAEEIQHEKPFPPIP SPQQSYSPPPPQQVTSSAPPQLNVRPMTQFSPDFTGHGPNNMAMVNVAGVAGVRNLTG QHNDSQSTFAPPRTADSTSNPFNDPVNPFETRSGASSPTSPPGPAPQPLNVRTPSPGA SSMRMPSPEGMTTGVAATGTAITAGSVAVAAITPATANSHSGKPPTLQHVPGPPAGWM KDMPPPSPAKSFESVSVTSTTAAAVATGGPALTNVHRVQLDFAPSMDDELELRAGQLV RLLHEYDDGWALCIRLDRSQQGVAPRSCLSARPVKPRQRSPPGSGPRGPPPIGGVNGR PMSPAGGRNSPVPGPPPSGPPPQGPPRFAPQQNSRPASPSSGYRPYIAPGGPPVRFPD VPRSLSPGPGSRLPPQSNRHPGPPGVNGLEKPNMPANQRQRSNSAASGRGRVPAPIQG PSSLGASVQNVNSTDSPVEKPSTAPSDQPVEQPVNGLMENPVEQPVEKPVEQPTNNPG EQPADQPAEQPQIPAEQPPQSTPVSLPPVERKPLPGQAQ UREG_07225 MDLHEKKRHQLTPWLGRTTAHRRAFPSAEFAAAHSEATRAEGEA YANAASRDEYQVICQKAVDGYDNQEARLDSDEDYRQWTARVETEIESRRGEKIGSYQK AVHDQDGLFSSIYKSKNSDGLLVALKVTTPHLTEPPHDSEREARLLKRASSKHIIPLL ESFHEGGSRFVLVFPYIRYHLDDLLHRDQLTTRQIRSHLRDLFCALAHIHKQDIIHRD IKPSNILLRSLDGPAYLADFGIAWSPEDKASEPADEKIIDVGTTCYRPPEILFGDKAY GTSLDLWAAGCVVAEAIEPEHPQLFDAGPIGSELALVHSILTTLGTPTPESWPSRNEM PDWGKIEFREYPARTWETILGKATSLGRDLVKKLVRYEAKERLTAAEAMTHPFLEI UREG_07226 MELSRQEYPALAALLKPNQTVAVVGDRLKLINKINQDVADWLQE RRRLEEAYCLGLRKLARRPQPEQGAALGIFEVPWQRIISATESLAHSHETLAQQIEAD IEKPLREYPFRNKELKAMSGIQQDLSNLARSLDAAERKVSKFKDKGPKAAGKSSTASS AAHEARAQWESRAPFVFEKLQEVDEHRLNHLRDVLTQFQTHEADQVERNRQSTESCLN ALLTADTAEEIRSFALRASGGRTVELETQQPPATAISEVETAPMEPLEPPPRINDDAA SRRSSHSNRARPSLAAEQPQQPQQPQQYPQPQPRNTPLGGLKRLGTVMGRRRSIVQPT SGHATPEKRFKSPFSFRRTESSRSFHQVENQPTPPNGLSPVRSVDQSSHHRPGSSATG NASQTEPQIDTVLNGNAIPEEPDAQETPAANESHEERPTSPKQPSIDADGFSAKPSTI DEISRLQQEAAANEDPGLNLTIRDKPIQEDEGEAQLALNEMASTLRLQAKQSGLSRGP GTLRGRRDVRNTIFVPNNVPLESDTQGNILSRTIPEHSPASSPQIPQVASPQEDRTIS DVTSIHSSQTLHSMSGPISHPELSAPGLNASIVEKLNAFVSEGTVTKSFVVGELALAY NPTEGSSRASQVVRLDNFQSLERVAANPQFVSEAAHTTVNGAQSPETTEDRKGEYSVS LSSLKGPTPTVAFKYQIHLDASNLSTYCPVIFNPIWNEEEFQASVIINCSLNPQFVSS SPLASIVLQNIFLTVSLDLSPVDEETKQPRAVARATGAAMHPNTGASFRRKTSSVVWR IPEFEVKADGENRFLARFTTTTSWPRKGKVEARFDAITKDTGLRLGISSQLPAPQGKA DETAEASSHGTEPTTATETWAELPTQRRLSVSRYVAV UREG_07227 MAQDDQQARTFLRNAIAKHQDALITTTTALVRIPSPNPPGHTAH VADAAIKLLQSIPHAHVSRHETAPGLVSVVARIPSGRPGKRLVFNGHLDTYPLCEDLH WTVPPTGGVHKDGKIYGRGVCDMKGGIAASITAARMLAEHRDLWRGEIVITLAGDEEN MGSLGTKWLLDNVEEAKGDAVICGDVGSARVVRFGEKGFVWIAVEAEGVAAHGAHVHK GVNAVRHLRKALDAVESLEGTVVDGPRDVGEAIDAAAIVSESLSGEVMRGRNVIFACR WVFRRMTS UREG_07228 MNHSRLSQTRSTYDLLSGAPSSPRTPSRNSFRRSIRGHESSTKA DVGNEELRVEISTLKYELENIKQDRDLAALQHEKELRELQARADADFRKAQAAESSSA KAQRKVESLTAELNQSRETFVNEQSGYEKKIRLLQDENRSLQEEYEDSMSQFADRERQ SKNQISELETIRSSLQKTLDELRNELEETKIGLQATQNRLTERETEVDQLETENIRLK SEGSEPEALNVLKRELSEQLSQVKKLESELRPLRKSQKKVDVVEEQKIALENKLRLMS GLEAELKNLRIQNQALEDERQSWTSLLQVDGQDPEFDSPESVARGLVEARIEIASLVN KIGTIQTEVAEKTEIINGLETMQQKLKKEIDTLRNNGSGVANVDIRAKTRLERQRVLA IKEVEYLRAQLKTFDTEETLMNPEENRIDTQKSEHIAQLEALLGEHREELHKLHEELS KREEKTTPSNSPLMRGTKRPLSPAESDADGERLAVLVRKNRTLQDSLSKSEQSVELLR RELDAAKSHLSSLQAQSRTRILELRSNPTSDFENLKLSTLATLRAENRELLKQLRGEK DNIKWAPASAVDSLQLELQETKKQIADKEKRIRRLKEIWTAKSSEFREAVASILGYKL DFMPNGRVRVTSMFHLSAAYRHGDASASADSRGPGSMGDGEENSIIFDGENGTMKISG GPNSLFALEIRDLIKFWVEERKDIPCFLAAMTLEFYDKTTRAARM UREG_07229 MTILRLAHLDLEGLVLDREVRLLRDQFVTITWSKILYNGLYFSP ERKFIEQSIIASQDDVNGQVRLRCYKGSVSVLGRSSETSKLYSPEQSSMDSLEDFQPT DTTGFIATQAIRLKAYGNAEAAAGIDLSKS UREG_07230 MANKEKVLLAYSGGLDTSCILAWLIEQGHEVVCFLADVGQAEDF KEAEKKALAIGAKKLVVEDLKREFVEDLCFRAIQCNAIWEDRYLLGL UREG_07231 MSTVNMENRSTPLEKGGMPSRQPNRSLSPVAHERIATEPESPGK NPVCMGKFGLRRSHSVAQSKNIPRVHTSAVMGAANILRRGEKSSQLLDRRPPRRGRSK DLTSESKNTQGVREGRQFTVGNVGQNGKIYLRPVPIANQAFHSRAHSQSPCLADDQKN LQSTPLVEEARHSFWSNSQTPDLWPLYARGSSMDYQDGVPNAEYSAPRLNRRHSLSTL GDEFHPSPSERRGELRIVIERPDMKEFKSPGKTEIPSLEIPIPHYRLGGFRFTQGGTP MLRSSAYTRTSVSDNFRSSTFMKTDRSGVPPIPSEIVSTGPSLYTPPLFPSSRAFSGV NTSPAQSSVFYKLKEPIEPSAFDTLLTIMDDPSVVRYAKGTKQVTAATPARIVAQISS DSFMDYELVSDFFLTFRSYLSHTNLLSLLMARLEWAINRLEDDGRIIRIRVFAALRHW ILNYFTDDFVPNRDLRTHFCDKLNQMYENIKRMSKNSKSDLKILVDLKKCWNGRCALY WESQESADGKDADDPIVPGGMEGSNNGELSPRDLGGYEHTLAMHAQPAISAQFLPAAW DSRGHQKAPEPVVEAQTSPISPSTIHATSCSLPQIITKRNSFHANQGESPHPVLLASP KLSSPVSPRQFNFPSMPPIWRRPMHSHKRSGSFSDSVRDDRAPLPLSNFDSHGQPLGQ MSSHNENIIRGNVYGPPEPLLLPLGPPSPNFEVPPVGFGQSDPTNRSDGPKPPGPGMK NFIGTLRRALQNRPPGNHGNPRGLNSTESRGKTSPLPRNVSFRPNGYRVKTGMHSCYN TRVDLLCEEAYSSYLKVLKEAESSGPSISQTTALSDVENEGRTSADLHEKPGYLTARR HDSIPSQLTTGSKSIVIVDDTRTGAPFMSGGLHISLSAPKYIPSQLLEDHSLRHDQGP KPLAPLTTTRDTPLDRDSFGDMFEPMFQQSKRQSALFYGTDSAGDDKPFRGLRKYASH ESVMTKHSRVFEIDLMNRSELSHASELKRRGPMLRRRPGGDLRKMQHGLELEAKGKAV STDDLTETSSMAGSLLQMADVTRFNDGIANSGPRRQRYSLDEPRNIRRTFEATVAGFA KIPDDDDGGIESTLLKLEGKWEPQLPIHPAVHVKERNMLKTSGMSATGSDNLLRRVSS TSGIRISSFAESEDSYCSVPLLERGLTDESMKSPRAYDMVLNTQSHLSDQEPIASTET EGSLSIEMVEKTDSMQQLPLRTKSLENRKEGPYDNDPEMEDYASELSSEISIDIIDGA EGNSIPNQDGQIASPIMSFATLGVPTHPLADPPSPPMTLGNHAFRTSDTHPPNLQRQP LTPEFSPTGIASRRNSGRAKHLRSHTDRLRLNLEAQLPCSPAGSFTSASHTPFILAYD SELLARQFAIVEQAALSEVDWKDLVDMKWSHSSHTTLNWVNYLADQDRKGIDMVVARF NLMVKWALSEIVMTTNRTLRVNTITKLIHVAVYSRRVRNYATMLQITIALCSVDCTRL TKTWELVPDGEKQLLKEMELLIQPTRNFHNLRSEMETCNLQEGCIPFVGLYVQDLTYN SQKPAQIASTRDGEPLVNFERYRTAAAIVKSLLRLIDASTKYNFEPIYGLVERCLWIA SLTDDKIIALSKELE UREG_07232 MDPASPRHEAFDDAERDGVEKPKPRTLPDDLPTSLDDRRNVPIY TAETEMYDGWQGQSQFLTSPVPTKPLTFNLSLDDPTHDDDDLDFRTRLGSHMENGGKD DSDARLMEMLAAQAAHRDVETEGADEDDVAADGKMSDGQKRDILQRTLNMAASNGDVT RVRRILDGEASQYVDVNLPDEEGTAPLIYASCFGHQDVVTALLEAGARVDEQDRNQWS ALMWAMTNRHKTIAKILLDYGASPNIRSSSGGTAFDFVQPGTDISNYLHENGYHFGSS GIEGDFYDSGFGGRFEEEMAENEMKRRMMMEESAMNLEVDLSSLGLDEKLDSPDEFED QQEFVWDRCLHDQMFVFQENELDKILDIVVTNMTPQRSPSQKPIPANVLFLCARYAHY HASPELLGTLLLSATDRINEVVEKHQWDMTILAFWISNATLLLHYLKKDGELVSSTVE FQLHLAELINDIFILVIRDAERRMDKFFDNAMLEHETIPGFEDVHFQNEWKLFRSKSK VKPEPLEKRMRPPSPKRRAEISPRNITSLLASTLFVLDLYDVHSVITAQILSQLFYWL GAELFNRIMTTRRYLARTKAMQIRMNVSALEDWARSNNRQPEHYENGSSTCTGESTVE AARKHLEPVVELLQWLQCFSSLGDDIDSLVATLQQLPRLTPIQLLHAVKLYRPEVGEK GLPKSAMKYLTALQDDPSLLYRPTSPRPENTPKSPQLRAEPTTPTIAASPNKDTPRHS AEIPAPKTPQLQPPVPSRQSPLQSSPQPTISATDRTTLLLDPSMTLPFSLPTSTDMLI SYGAGFGGTNRDRERKYIPTVPTEFLAKFDRN UREG_07233 MLLTLKPPLLNGIANESVPRASSPPYRNIPSAASTPRTFKISSQ YTGRREQMTPAPTQHDPPADSAHRNLPSMTMALPAINQMPASVAQLSSSQWQHSEDST RLWLQAKAEEDRRRQEEERTRQESLKLDQRKIEQAMLRDSLQAGVPAYMIPFIFTGLG GGNMQWAQQYISQMSASTSRPQQQIPASPYLQSIPQQQPEEYHQPQQPRPAPQTLPIQ QQAPSQGQQPALEVPRDNRMIPPNPYAASRSVPPPVARPPVQQTPPSPAQNVYTRVPP PGQSNPTPAAPPTQPTPSLSRINSTEMHIPPPPQNSGTRAPATTSSRTPHPQQNASIK AEAQQQAQSSPSIYFHHWVPPSNPNTPSGKSPNISPNTGHPASHLRSEYQNSPRKRKA QGNHQPPPPPTSQFNDSSSTDPSPGGRSQQLGRRNTVHSRHRNGSMSQNNDIPVGNQS RFQEDLAGR UREG_07234 MRASGIILGVPDHILSFKLSGLQRLSDSKLYEARKMVQIQSWMD RTCRDILDECDFTLAVKTQLIYPSGSLLPVDGHPYRWEVIQTVLSFVTHHLRDLARDF PKSIDILERTASGFPVTYFLRKDVEKALVLRIVEDVCHGRVSSLPIEDCMADLGAIRR FISQEVVDHLDTERVSTLFLDLPKARKTLYILRGLLAHGILILCLKKRWNVQYGLHPG RDPIAVPFHAKGVPSEQAEWGHPDVAILLTCLAFYHQGLSLKQLRQSLQTVLRSDDPA TEYDRWTQGSSTLPEALRHWNLLNVDDDKQIAEIWTHLRFMVVVINHFLNNFVFPAHA KQFSVKLQTSGWDIPLFSGHIDQSVPSGFKRPGLTTGFSGTNDNRRLLPLTIKQHDLP GLSHTNAEVLTYLLQERNRQYNLAACSEGQRLSEIDLLRRLKSMNIRILIDAGAFILE MDNRTLVKDWLQIDPEAQAAVYFGLDNKPWVQYKTGKAIPLLATPFADNLKNCLVYLD EAHTRGTDLKLPLFARGALTLGLNQTKDHTVQAAMRLRQLGTSQSVTFVAPPEVHQSI LDVCKKSPGSFLDSSNVVTWLLDQTCASNKDLQPLYISQGASFCHRTQAAETFTNSLD QPASCKAYVKMIEQPEQQMLEQLYEPREPQVGFSASEFVTLPLNEKLKEIIQELNQRQ RLQASHNSVLNSALEEVEQEREVAYEIEEERELQRPHFIKPLSFPGLHQSILKLAKTG YLPRGDGYLKVWTALESTQLGMKHGIKISTILPNLYISSEFLRTVKMRPGERNDSFMR PVNWILWGIQSKLALVIMPEEAEALIPILRAETAPLVHLLTYSAPVTKRMLHFNCLSY YSIPPLPADWTPPPWLAFELGIFSGRLYFDFPEYDFLLNSLGLNSGNTEIADQRNKES RVKQTSSKKFLSFLQEWMAVRRQGQDITHTPMGYVCQGWQLRKDHPFFRDRKILAQKT LTNGQSSSHANSIVHNADEEEDYDSDDYDEQMEPGDIDINEEEYVGEKLEMDLKLEG UREG_07235 MSSRSEVIALSFNHIVLPPKLPGKQDSAVEDVERDLASRLFDAA AALEDSPGISQCLGYLAKTLETARLANEGRYVSKAVLLEAFKDMRAHAIVLHIANQNA GLLIRYSSDGGEVIVEAFEASPAAEETLKSQGSLQWDFPGVAVSIPPSEFENPVFQDS LTTFLEKASLEVLDEFSAKTQKAGVTVSEQRDTSDPALITQFLMTLLETNGARIHPPV LRKRVRDDVCWDNSELPWRRSPYWLVLRVCVQRLLYLTIGDQIGRAQYKFMMCFVLAR LLDQSVDLLSPELCTLLKTKLCRRLAKLEADKAHSNPTLRPMYVHLFGTIGLLCQRSI DNAAAIIEKGWASFKKEIQRQIPRLPLRADEKDLYLTLPNSTRYLEQILHQPRPKISP QLVVSNDVLAKSTTGHFGGLTAQCLTLVDFEMSVESNLPPVPSSDAELEKLCMSLATR IEDYSKAFANACDEDSEHLSIFVLNIFEMWVHMDKCATLLFPLLKRYHPCFHPEMLDI LLLSQLSDMKRLQSIQSYLHQRCTRARNGKMTIFSDPVQGCFADRYLKSDAAANLQRL EHRIKADSQSARSRAEAELNRVNAKYRTLTEQKMQSICTRKQHADGTHDIQGCSHCFY IRSLRRLKIAVHEDFLPQDDVQKRAVLFELGVPNSYSVYRNTTWNIFLTLCPKPGHST TKPPEKLLCEYSQLKTYNNKTVYRGFSLASDTKSWLGTHYKGKRLPASATAVLLPLGL IFSYYDSSRKLWAKNLPYPLNFSHRYKINLPKELPFSSLYSSPAFAPDGAGPSSYEVV ASINQCPSELTVHEFTAHQALFSGNNRRWISILTELGSSNLNFSLKDTMVLLHHLALQ VGPRLESDSLRVVHVIFRDASFCSQLIEQIGKHLNIISPNWRENNYMETLLTLTIRLC NLGNEEMMAQANGLLMKIRQVTLTWIALLRDEIRNAKEADVAEQAARYGFMAALLCRR TFTSQAYGEQELDAKSFECFVEATLAMQENLVVNLNKFSASTHNLLCHRSYISTCLKA IY UREG_07236 MIADDLSTTPDDFDEFGWFLILLQQINKPTGSVYEADRRIRPLS FIERRRAASRVTELATDSPERVENPLGLTLLHEPLAPHIDLIFVHGIGGGSQKTWNIS NAAASYWPKEWLPHEEGFEHARIYTYGYDSRWAGKGHSVNIHDFGRALLADICDYSHV QKTVDAYLLARDDPTCEAVLKRIRGMIFLGTPHRGADSVHLLKSLLYLPGMHGSKAFV DELVPNSAMLQDINDAFRHTYQGIYIRSYFERIETDLGFSRQIIVERDSAIMGLPSEQ VRHLDTDHRHLAKFTKQSDANYKTLCRGLLAAMATIEKNHTPIPFRDNLKAELKIVAE YLSDTFQQDNELAKITENKTEGSCEWLINRTTFRDWFAISDAGDHNRSSEMSNSLMTP KLFWLSGKPGTGKTIVSGHVAQYIKSKKLGCSVYFFKRGNRTKSSVCHLLRSFAFQMA CSDSNIRQLLLRMASDGEDFDSNDPNSIWRALYLSRILRTNFQQPHYWVIDALDECDD YAALIRLLSNVGQLSPLRVFATNRSVPETENELTVPVFAEQMSLKDSLEDIERFLRAR MHFLQSESNFEGLLTQIVSKSNGCFLWAALVLTQLQRARRLSTIQSSIDLVPVGMNDL YKQILDNLANTLSSDVVDKMLAKAIFRWSLCTIRPLTLDEFKDGLYFDVLETPRPLRT GIESYSGHLIYLDDDSRIQPVHQTVGAFLTNNCPVSDFAMEKKKEHARIAEVCLSFLS GKEFYEPQTRQEDTPGLPTYLSPFAKYAIAHFSDHIFKSSSQIDSLLVLLSDFLESPN VLAWIEGLAQAGNITLLAHTAKNLKSFLARRAKYLPPLGREVQIVEAWIHDLIHIGAL FAHNLVAVPKSVHFLVPPLCPRNSAIYSQFGDHPGCLKAVGIIENNWDDRLTSFSYAD EETMSVACGTEYFAVGLSDGTIVMYRSTTCKYIRTLKHPGAVGKLSFANLNPLLASCS TNKLVLWNTHKGDRLWTFDRGAHLVPMALGFNHDDSTISLATRNGVSVFQASDGKITN SISYSTSGRDNADVEKTSSAWFSPSLDIVAIASRNRPMTILNMVYRTREKILKEGYEK VYDSPHVNAVAFHPNSQAGLVAVAYNDGDLVVHKFLAKREAEKFPLLCQTLAVSPDGR TLATGDSYGTIHLFNFETLRLSYRITSDSHNIQAIAFNSSGLRFFDIRANRCNVWEPS ILVVRETSDDTSTEPCSEEMLPPMQHKNARHLDDDRFISAITGHQEDEYLFCGRFDGS IAVYETETGNLVHELCKHMRFIRVHLLDWNADASLLTSADVSCRFICRGVARKPGEEW SVGNTLLDKRTSSDIKQILTNQRGDRLLVSTALTDVVYTIDAYSLEINRIAQQDERQW IKHPTNADHLVLIKGGKAFIYDWAQFTMVSGEDGIHLESLSRTDHFVSQIVCNNEANK VGAFYLAEYNERDYASELELWDAANITPDATRAPSEAQYKLFPHGIKAILGEYMTFLL FLDSESWVCSVDLESTERKDKFAAAIFLFLSPGTKTDTPCITLPPVEASLLWLGKILL FSTRDYGFKYLSLF UREG_07237 MIERLPNAPGKSPEGKFGFHVTTHLANVPVNNTWNASWEAFWAQ QMKSLLDREEDVRGHNDELSALKVAFFENVIPRLLRPLESGGRRIKPCLVHSDLWPGN IQHRAEGSNLCLFDSCAYWGHHEVDLAICRNPRYRLGRDHIDAYARRMPEFPSHPKDE FDDRNALYALKFHVLLSIMYHHEPRYRQIAIDEIRQLVEKFPNGYADYEAKAVHEPEL IESPTLLDVAPRFTKSEVVKTVKKPMPTEKAEHQHVNDDKEAQAAPAESHMEEPGRNF LGEISENLPLLIFAGFCLLVVLAAYFLEIRVSVRARA UREG_07238 MADTELPLLPGLRKTTSHSSLGATAHEQPSGMGLATSSVPLDSD ELKRPDASPKSPTETIRPDKLRPNTALPRTSFSKPRPSIDARSIASFRFTSRIPSIVV NDAPHRPSSRRSERSLRWGGRKGQMRSEVPSVPPLPVVEPFRGIKLDIPSGSLEDITT TTVDDLQSVNPIEPAEKPINPPESCLTVSDATAPRSTSTRRIRSANSLRCRPSTTSSR PISADEEILSFKVRLMYETGDADIDESEISQLMNVEQDVLWEEASSIEESRGRTPTTR HSSTTELANPLTRNGSRRNSFTREATELAGGIEDWENVKNEDVDRYGFIVPRRDTENG ADPSPPQPLQRVSTSLMLAAASPRRKRTIRRTPSVAASIRSFSGRSPSRKSTEQPNRP SSSQSSYQPNLTRSTSKFRYATNKLPHNRDRKLMDEASDMLTLPASIDREMTNDDDTP YARAMKKKEWEREEKWRKMAKLTSKKKDGAGMTFEFDISSPKLIERTWKGIPDRWRAT AWHAFLTASANKRKGSPTDEELIRRFNELQDEPSPDDLQIDIDVPRTISSHIMFRRRY RGGQRLLFRVLHAMSLYFPDTGYVQGMASLAATLLAYYDEEHAFIMLVRLWQLRGLDR LYRSGFSGLMEALGNFESEWLERGEVAEKLTELGIPPTAYGTRWYLTLFNYSIPFPAQ LRVWDVFMLLGDSGDVTNSRPATSISASVDGQQGSTVTSAKPFGRSLDVLHATSAALI DGMRDIILDSDFENAMKVLTSWVPIKDIELFMRVAKAEWKVHYKKKA UREG_07239 MTKKGQIPVGEYLFRRLHQLGIRHVLGVPGDFNLNLLDHIYNVP DLRWVGTCNELNAAYAADGYARARGIPGAVVTTYGVGELSALNGIAGAYSEYVPVIHI VGNTSRDMQRNHVKIHHTLWMDNWDHTTYQKMSQPVQSDSAFLTDPATAPEQIDRVIE TCVKTRLPAYLFIPVEVPDLMTDSSRLSTPLDLEVRNEGKEAQEDEVVSEIIRAIDQA SNPSVIVDVLMQRHGLVNEAKELVEQINAPFYITPMGKSIVNESDPKFAGLYAGIVST SPSTQSQAEAHDIILHAGPFPVSANTGGFSTELPKDKMIKLHPSYCSVGDKVWDGLDF RPVVRKLVHQLKKQPLRRKSNPVPRSQPTTEGTVVDDSCTEPLDHKRFWGRLSKFLKP DDFVIAEVGTSQFGSLDLKLPDNCKYYSQLYYSCIGFTVPALLGTLLARKETGAKGRV ILLVGDGSLQMTVQEFGTIIREGLTPTIFVVNNAGYSIERLIHGPMQQYNDISTQWDY QKMLSFFGAPNAPTYVAKTYAELGKVFSDEGFKKGDRIQLLEVFFDMLDSPWNLTALL ELKERRLRAAAAAAANGN UREG_07240 MAFLFSRSKSRQPTEVARTLKDLLARLWQTPGSAKVNTSTRKPQ LQSNLEVFSIFPLRQERIPRRYFLTSFVSDYRMPPPPAILQSFRISLTNDREILIELC NGYENSRSAMPCGSILREASKFEVVTGILLYDQSREGEPAIRLDEVQPGEPQTGEGVF WKFFQWINQGSFEVSADAFTTFREILTRHKALVAGYLATNFDLFFSTYNNVLVLSDSY VTKRQSIKLLGDLLLDRANYSVMTAYVASGDHLKLCMNLLKDDRKMVQYEGFHVFKVF VANPKKSVAVQRILINNRDRLLKFLPKFLEDRTDDDQFTDEKSFLVRQIETLPAEPVI PR UREG_07241 MKVRSGKEYTADNAISMVPGLDFLGTIKLVNYIRSNVQSGNTKP DLSSSSLFEDDVYLKPVLEDDALLYNLEDISDPEEEQKADGQEETASPSGRIKDLEEE LSRLREEFIEYKHMVQRSLGKQLGNETEMDQTSSPKQHQEDDMASSRFKNAEAGYFTS YSYNSMNTFRYRLSAIHESMLKDTIRTDAYRDFVYDNKSLFKDKVVLDVGCGSGILSM FCAKAGAKMVIAVDNSDIIDKARQIVYQNGFGDVIKCIRGKIEEVVLPVKQVDIIISE WMGYCLLFEAMLDSVLFARDRYLAPGGLMVPSHATLRIAPIADPDFIDENISFWNSVY GFKMSSMLENVYDEVLVQTLKPSAVLADSALFMSLPLHTITVEELTFVKDFKVSITKD AETLDGWLVWFDMFFMPSCQTKLPQDAVPSAMKKAGHVAFTTGPDGQETHWQQGVFLI NRETKLPVPVKSGQVLKGNIRYKKKEDKSRLLDIRIEWSVEEESPVSQEWALQ UREG_07242 MPLHLLGKKSWNVYNKDNIARVRRDEALAKAREDERDQRLQEID AERRIELLRDQRPSSPPSQHNSLSDARAKSLTEGRHRKRRRLAGEDDTDRDIRFAKED ALSRTDAGHGGPLRKPTSDAPLTDASGHISLFPSRAARSDKNEEVEAEAAKKRREYED QYTMRFSNAAGYRQKVDAPWYSSSTQELGAGAQNAPSKDVWGNEDPRRREREKVRVEA NDPLAAMKKGVRQLREVEKEKREWEEERKRELEALKREEKESRRRRRRSQSHDNLDEF KLDGGPDLAEKGNPEVPRHERSHSSHHRHKHRRHREERIRATRPGEAIQTEPPASWLN APGKRYSAQFAEYD UREG_07243 MFNRNNIPSLPNPFSSGGSPGGDGARPPRDRYNVPPQQMGRPDA YGQDPRLAGGYAPPLNRNDNDTVMTDAYNGLRRYGGPTDRAPALPSTPGGGRMTPASS GSDRSIVISVFSARPLEGFPPGHISMSDPQRTWAQVALTDMVDVRLYDIFSQGSQAYL ASMDLEVGFAGKKRTETPYDQDQLANVFIRNFENQILAPGQKILMDDRSIPLLLNVKT VQLGDLSEKPSSSAPTSADPTTRGILTKHTLINFFKDAKTGINVKPSNRRPAANSIIQ PDFKFENMGIGGLDLEFSTIFRRAFASRIFPPGLVEKLGIQHVKGILLYGPPGTGKTL IARQIGKMLNAREPKVINGPEVLNKFVGQSEENIRKLFADAEKEYREKGDESGLHIII FDELDAVCKQRGSGAGGGTGVGDSVVNQLLSKLDGVDQLNNILLIGMTNRMDMIDDAL LRPGRLEVHMEISLPDEKGRVQILNIHTKKMRDGNLMDSDVDLAELAQLTKNFSGAEI SGLVKSASSFAFNRHVKIGTMAGISDDVVNMKVNRQDFQNALDEVKPAFGVSEEELET CIHGGIIHFSPAIDSILEEGKLFVNQVRDPQSTTQLFSVLLHGPPGSGKTALAAKIAI DSDFPFVKLISPEDMVGFSEMAKIQHMSKVFNDAYKSPTSVVVMDNIERIIDWVPIGP RFSNSVLQTVMVLLRKQPPKGRRLLILATTTERSILKQLGCFQLFQC UREG_07244 MAATFRPHAGQATSTLPINMPSKAPASTNYFSISRVAGSPPEIS DVSTTTGSASDFDVSSSGYSGVDVIDTLNDRMSNVFDPTRLDKSIAKQTQLSGQLNAK QRELQELQALMQRRIKGARSNFAEGIKAAKETKSDLEWTQKRISSMKVKAERNLPSEY RLASSKYAFDDDC UREG_07245 MEDNRILGEDLPLTVDQLFERLGHIPGYTWDTSLRPVHSSYDHW QVTGTKHSSEPDAFSSTPASSSSFSKDSNTTQDLQRSDSSSVSRNNSRGSLSEGSSGI TSPRTELDVSVAVVARISSHIIRLEREYHSMKTIIDMSDPECKHTVRPIDIIRLPAQH GHRVSLLVTIFEFPGPNYLGQLVSFGSAFYQTNGNYDLTKFPPVGEIPLSIFLDFAIG ACECLELLHYGLKNVHGEIRADAFYFNRETGAVKLSNTGNGSRSFDNALSEGWSTLSR ESGAKDKLRSIAPEQTGRLLTEPDSRTDIYALGVLFWSMLAGRPAFDGNDPVEVVQNV LTKRLSPVTSRRMDIPDAISAVIQKMTQKQITDRYHTISSVKMDLQKIVKLLGDGDAE ALKNFQIAQRDVSAFFTLPTALYGRKEECERILQVVHKAQKRVQELPVPNAKANIQPK HSFSSGSSMSGDRIDTVEIGDASSDSGSYGIASFRNTSSPAAALLPHLSPQESVNSAD SSTSTQKNLPLSRVKSPIDSRLSWDNSEKEASVGSHNPQFDTLPWLGKLMAQSKYRHG GRCEIITISGTGGLGKSDLIQRVQPEIRRRGYMAVARLDRSRRVPFEPFIRVLATLLQ QIFSERDINTEYHNSIRTTLRPIWRSLRRTLDLPEQLIYPSSGQGKQLGSKTTVLPLH DIKEAPEREISPDHTGFVSLSFGPTSKSFCQEPPSAKTLRFADIFMDALRTMTLHKLI CICLEDVQYADDESSNLLLEIMRTKLRCVFIVTGRPEEIVLPDVRLLFHTEGPQITRI ELGPLSENDILNYVAATLHRPPDKSLIPLAAIIQEKSRGIPFYIRLILETCSRKNCIW YSWRDSTWQFDIDRIFTELATPDYGQDLQVDFVAKRFEELPAEARSILLWAALLGSPF YFSVIQKLLSGEFPQVSDAAEGDCAKRRKRTKLTQSSSSVIAGLQYLLQSYIILPGDT DDEFRFSFDRYAQAATLMEQCHNPDQMHFIIAQTLMKYFASDEKMLYSRAHHISKAAK LIKENVPHRIRYRDELSKAAVTAQKSSASTTALTFYQTCICLLQDNAWDDSKPDVFYE ETRELHIRTAEVLLAQRRTSEATELLSVIFANAHTPACKARAWVLQSRIKAENGELDL ALNSLLSGLSDLGVNIKRDVTWEEVDEAYMQLSTYLLEADLDALFSRPLSDDRTLLAI GAVMSEAIGLSVWMEPKLFCRYAVELMNIYISRGPFAQIAYLCTHLIMIATSRYKDIE LGMKLSEAALTFLEQYKEPSFATRGILIHNAFVNHMRVPLALTLPMLESSMQTAHNLG DRHITLFAMGTMVVTGFSLGHDLVELEQHCNCASEEVRDWVDDVRGGPIILGIRQAAR ALQGKTYTSSPEQIMSDDSYDEQQYMKTLASNGSHPHSLNSYRAIMLVPLYLYGYYDR VVDVGTAIVNNIECLWSSRFATQTYFYLSLAILSRHIEDPSRPGLEQDIELVQKYKQE IDLMESACNTNFGMWSLLVQALLCELKEDYSGTMQALEAALDHTQLYNFPLEEAMALE FQVDFLVRRGARRAAQAILKHAIPAWSRMSAVGKAKQLTESHEWLSRMGTISRSKEAG CQTLDALVVSSNTGSDGNGSQARLEDEHNRKWLEQDQDWAEGTLDVSRVGLDIIDLSS ILEFSQVMSSELEIDKLLTKMVGIILESCSGSEIALVITEFESQGWCVAAAGEMDKGE VSYADGLPFSEVEDKMAQQITHYTLRTREPVLVHNVLEDERFSNVSDVYASRNPEGRS VIALPILQANNFLGVIHIEGKPNSFTHRNLVVLRLVCNQVGISLVNAFLFREARKISA ANAAMIEAQKRALAQAREAEQKAKEAEAEARHNVKLKEEAAKAKSIFLANISHDLRTP MTGVIGLSELLKQTSLDRQQDVYVESIRVCADTLLTLINDILDFSKLEAGKMKVTTVP LNLRQTIAEVVRALRYTHRDRGLETIEDFDDIDPDLLVLGDPVRLHQIFMNLLSNSYK FTPKGSVTVRAKVAEESENTIRVTCTVADTGIGISNDHMARLFRPFSQADSSTARSYG GSGLGLSICKAIIEDVLGGKIWLHSDVGVGTKVTFTVTFKKAPPDAVARTPWSQDISQ DKKQQPQKRVVRDLTCIPRDQIRVCIAEDNPINQKIAVNFVHNLGLTSDVYSDGQQAV RALQQRSKEGNPYHVVLMDVQMPVLDGYDATRKIREDEDPNVNEVLVIAMTASAIEGD REKCIDAGMNNYLAKPVRSDVLRGMLDRYLAPQSRRLTRPKPGGGFLRSNPSKTSTRA TLQIRSASNITVREASDADPNSGRGDTVKETGDTDSNAPNGDREPNGPTGTQT UREG_07246 MRSSRPSMNHDEVSQANEAATSPEATRRPAHETLSNTSVQGNRQ SMHTIEELRKRMKDRIAHQQSQVNQHLHEPHLPSPDAGYTRPTSSRELKSNPSTPLRA PTSPLLVKTVGNSHKRASSQDMEYPFPSITPKAPLDHIARSREDPRMFNPQSARGSLK KKVRKRPDSRQERTPAAPPKREFLPSDAANVMEDPYYPSPNLYELTLNLNADAGLEGW WSNVSRLLRLHYGAERASLAVPGDATDLENVPWGQKATYNLHTKEVESPTTDKKRDVP EALPGSPYRKSTKSKDSESAVNNPLNSLRRPQITTRHSFAGYGTLRNDSGRHEQEPKA ANIKPDATGRRRSLSGASEKVTKGKSNSEPFNDPSTTTPARHLDLNDEHAHGAGPIAT VFQVPRSLEAEPDPLIKRTGIVKLFGRTRPAVLTREYAEDPAAIHESSLLRHHHRHKD IIQHTPRDEHPTKASAPHSSMLARRPSQNRTGMAKAPLSVLGEDVQSEYVPTTEAYEE YEQMPQSPWSQSPAPSPAPLAKPDENPFFTNPVVDEDAFASSPPPHDYSETQPLQAIG VDWSKTIIHIPLLYSPTSKQLDSTTLRFPVAIISVLSPIVPYPSNLRTSLAYLLPHFT TSFCLAHQYSQLEKQLSNSSLGRYGHLLGLGGTFSDEGSELELVAGLTGQVNFPSGEE GVSVGRSAISSPVALSNLTRSNSGFSAPILDSGSTGSRKDSFLSPAALTQVGPEHGDS YFRSKRPSLAPRQLSKSSRDSKTGEIPSSPLSPEQPEKVPDDDLTPKDTASVSDISQV WGQQSRHSSSTSIATQLQRDLQCRPFSDTIAQLMLNSVPLHLFLAKPSTGEVIWTNTK FDAYRRHPQEPRIKDPFQNVHESEYNNVIKEWTKALRTGSQFTERVRAKRLNDEATYR WFIFRANPLISSTGELLYWIGSFLDVHEQHMAELKAAQERETFATDAKYRALANSIPQ VVFEAAEYRGLISANQQWEWYTGQSLEEAKNLGFAKHIHRDDLKKCGILTPPHVIPES VSATEFGHIMSDPQVLQSELPSGQTASSPVPPTPGESTPNIERRYGRGVTEALQDLVR RGVVTLQCDENGRDFYTTEIRFRSRKGDFRWHLVRLVKVEMAGFGGGEASWYGTCTDI NDRKLLERELNSAMQQLNREMESKTKFFTNMSHEIRTPLNGILGTIPFILDTQLDSDQ RRMLDTIQNSSTNLRELVDNILDVSKVEAGKMNILKQWFHVRSTLEDAIDTIASRAID KGLELNYVFEPDVPSMVFGDRFRIRQILINLIGNAIKFTAQGEIFIRCSIYHDPDRKL EGSDLFLNFEVIDTGKGFSVRDAQLLMQRFSQIENKDAPQHAGSGLGLFLSKQIAEMH GGRLTPTSMEGRGAKFSFYVKVSSASATLPENVESSERKLPTRLVSETIVESPVASQP SSTQSELSPGSANSGSYISARSGSSGVVTSDPSSKRKPVRLDPTVQDLRSAKKNYLNQ LSASSPTTGSAADTQVERGKPEPALVSPHPTTYSVLIICPFDYAREAITQHIQQVIPL DIPAGVTSILDLDDWKDLLSNDESLNLTHLVLNLPDVNDVKEVMQNVLQLEAICSPTL VIAADAYLKREIIKSCDRFMSAGKEVFIVPKPLKPSLFSQIFDPLSKRELSKDRNQAI ARAMDNSFKTMSKLVKEMIGNKGHRVLLVEDDETNRAVMLKYLEKVKLMSETAANGQE CIDMVFSKEPGYYSLIICDIQMPIMNGYETCREIRSWEAKHHFPQIPIMALSANAMTD QIDNAVQAGFNDYVTKPIKHNELGQMMMALLEPQATRILLEGRRA UREG_07247 METITDPSCIDRRFAKTTNLASAALNASILACSNEFFAAAANLL TPAAPVHRPGLFVHSGRLPFDWAIVKLGVRSAVIEGVEIDTRALCPPTTGDKRLCLLH VRLRMYPDGGIARLRLYGHALPPSLPVTITSTPLEELSSALYGALVTAASNQHFTPAA NLLLPGRGINMGDGWETARSRRPGHVDWAVVRLALPGSVERIVVDTKDFRGNFPQAVR VHGIACSGPEDPAHDHPDWVEVVSGDRPCRADAEHVFDGDDLAPATRSVTGFTHLKLT MVPDGGIKRFRAFGRRKKNKCKSRRINQVIMASWFFAATSNDKTRRCNNISDHPRP UREG_07248 MSEHEPLLGRPGDVSQPEHGSIIANLATGTAPVAQAGIWILAAL VWSAVFTNDLIFFSPHPLLNSAGVLLSTQAILLLQPTHTAAQKRHGALAHFGVLLVAN LAFIAALVVIEINKASHPETRFKSVHAILGLVAYILIFLQAAVGVAQYFFPARVFGSV DAGKSVYKWHRLGGYVVFVVELATIAAATQTDYNRSVLHIRLWTVLLSALLVVAGLYA RIKRHKLPPFLRGNE UREG_07249 MNTPFICLRCQTCLRPVRRFSSSSRLLDARPATGPKPAPNIKHI RDHAGLYAQNCLDRNYTALAEYPSRIQSLADEAARLQRSLNAPRSKIKHVQKAIARLA TSKEEQDNYKNEALAALHREAKQLKDASQALTDRREACLQQIQQLALALPNLTAPETP VGDTPRVRDYINYNPHSPPPYVSSPEPARNHVAIGTALGLLDFASAATSTGWGWYYLT NEGALLEQALVQYALRVAMRRGWKPVAPPSLVYSYIAEACGFQPRDAQQRAAHLGHRA ARA UREG_07250 MLSIQTEILSSLNLPCRILEMPTADLGASAARKQDIEALFPSRM NPAAAADTSGWGEVTSASICTDYQSRRLGTRVRTASAARFPHTVNGTAVAVPRVLAAI LENGWDEKRGGVVVPEVLRDWMGMEMIGGREV UREG_07251 MTARPQPCTELPASLPMVSHPEATARPRAVRPPQSVASSRHPQH PQHQHQHQHRRRFSGGRSHHGGSSHQPLNEFPIFTGTGDVEIVIRASNQERKYLLHRL ILAQNSGFFEAGTSEEWSGVQAQREEADRARADGSPDGVPEGNGLDVVREEDENGSRT AAAKKPKRNRWRYELDWENREEDELPILVQKPPTAGNAVFAETRPRQPPPARNKPPPP QQGFFRTMVNLTGIQSALHLPSTATAEEPPMDPTIRDYDNLFRIFYNHTPNLNSVNIA SAYAECKSLLNLADMYDALPVVGPRVDHHLLRFSSRLYKQIAKYPPSYLKLGYLARSR VIFAEALIHVVGQWPAALPHLGPNSYSPLPDPVLDVIEDKVDDLEDLIARTEGKLFRL TLTTSRGERVSPSNAYLDWLAVSLFRQFLVENTTPPPPSILKNSSSLPSRNLHSSGST GSNATGRQSASAPAGGGAGTGTSTRAAAPPPLPPQPFSIGRTYRLLGSSNAQAYLSHD ELKRFLKLHPSSDSLYSRENFKRFERKMDEIKRLAREIVKPLMRNFLELDLRGGSDDD GASHGSLLQYLTCTRVEEADFPWD UREG_07252 MRPLSSYLPPQVPQLPNGSANSVSRGPSYRIRTESSASSTSEFP TRSDSRGGSAALQAGVPIRDNSHTDRSYRQSPYYGENAALPPRRSSRAVRNNGPHRSG SQYNPDNGSGQSSGDWQERGAAVAVRQEIDANGKPVSKTVRKGVRDFQFGRTLGEGSY STVVAGTDRQTLKEYAIKILDKRHIIKEKKVKYVNIEKDTLNRLTEHPGVVRLYYTFQ DENSLYFVLDIALGGELLNFLKRIGTFDEECTRFYGAEILDAIDYMHRRGIIHRDLKP ENVLLDSQMHVKITDFGTAKILDSPRRAEDGSNGILDSGTPGRDRASSFVGTAEYVSP ELLTEKNACKASDLWAFGCIIYQLLAGRPPFKAANEYLTFQKIVNLDYEFPEGFPPVA RDLVERLLVADPTRRLPIEHIKNHEFFKGVTWGRGLWKQKPPRLKAYAPPPPEPIKLN GGTGEDSFPPNINSKPGPTPSQNSRPYPRVITELAPPSQLDIEWSPVLTRPNERILKM GNMIVSSSSIPHRSQNKHGNGEHEGPKKLSRFFSGATTKKRQRLVIVTTAGRVIIVPA GGEEKRLKFEVSLLQPETHYRSTTDLKGHSSWIIDTRDKRLVFEETKSSATEGNTSAL STQEWVETLDRSKEMGALNPATGSYLGDEGFRDLSSGVSSHANTLDRSEEISGGRLVK NQNPGDSDSVKAKKRFSRRHSKNGLSAVF UREG_07253 MTRQDHVVDVLVIGAGPTGLGAAKRLHQINGPSWMIIDANETPG GLASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEALPAEDDWYTHQRISYVRCKEQWV PYPFQNNISMLPKEEQVKCMDGMIDAALEARVANTKPKTFDDWIVRMMGTGIADLFMR PYNFKVWAVPTTMMQCAWLGERVAAPNLKAVTTNVILQKTAGNWGPNATFRFPARGGT GGIWIAVANTLPKEKTRFGRHGAVTKVDAENKTVTLGDGSRVRYKRLVSTMAVDSLVE RMGNQELVDLCKGLFYSSTHVIGVGIRGERPERIGDKCWLYFPEDNCPFYRATIFSNY SPFNQPDASKSLPTLSFADGTVPDGNAKPQPGPYWSIMLEVSESRIKPVNNDTLLAEC IEGLVNTSMLKPTDEIVSTYHRRFDHGYPTPTLEREGVLTKLLPRLQDMGIYSRGRFG SWRYEVGNQDHSFMLGVEAVDNIINGATELTLNYPDFVNGRANNERRLVDGAQAFAKE LEIRN UREG_07254 MSAAEQEKSRSSGEDKPAASSPSSSSPSTADAPVLPTVNPAVPK TEPPKSTLHPAFYVVSWIFFSSSVILYNKYLLDEKESIFPIILTTWHLAFAAFMTQVL ARTTTLLDGRKKVKMTGRVYLRAIVPIGFFFSLSLICGNVAYLYLSVAFIQMLKATTP VAVLICTWSLGMAPPNMRVLFNVSFIVLGVIIASFGEIHFVLIGFLFQIGGIAFEATR LVMVQRLLSSAEYKMDPLVSLYYFAPVCAVMNFVVALFVEIPRCGLADIQKAGLITLL ANGMVAFLLNVAVVFLIGKTSSLVLTLCGVLKDILLVTISAMWWKTPVTPLQLFGYTI AIGGLLYYKLGADKMKEYASQANRSWAEYGATNPIRRRLIVVGVSAFVIICLLVQVGG PYDPNSLKGMIPGKTTANNA UREG_07255 MCRLLFSTYSDGPTMVLSPPYFHNADSFFASSYSDPTPDRPQFP RPGAVSAPVNGIPSDPPKSSVSPPSLSTTASSPASLVANPSRKRSRDEFNASNDENDM TRTIPAQHAPSPRTPEEPIYGEGMVLLNPRTGLALSAESQTGTWFEEKAEIEAAAAPP VAVASGSGRPDLLSRKSQRLDSSAAGWDDITAAAIQRKLHSSVQNDTYRNINGSTSTS LSGPSGPQTPLIDDATLLLGISWQRVDTQDKDMASAVRGWEKYINNHFSTFLENAQIL LKHRGLNAYLVTAQPANYHSMGVFDTPMNGFGHNVNEQHFYLFKEDLTEGQLVGKTWE SSLANLKSNPIAFAAGSEVMRAAERTPERLIEDTGIPNGAFYMTGNGIKMGNEGMEMT MDIDR UREG_07256 MATIRPTRLPPPSRAANRPVERLASLKNPHPTPIRRPQTKPTTH PKTTTCPNPGCPAPHIVEDDGQKVCSGCGTVISEANIVSEITFGESSSGAAIVQGTFI GADQSHGRSTGPGFQRGGGVESREITEQNGNRYIAQLSRALNIPESASKAAGQVFKLA VGLNFIQGRRTKTVAAICLYVACRRQDGNTVMLIDFADVLMINVFKLGRTYKALLDEL RLGGNIFIMNPIDPESLIYRFAKQLEFGPSMMQVASEAVRIVQRMNRDWMITGRRPAG ICGAALILAARMNNFRRTVREVVYVVKVTELTIHQRLNEFKATESGDLTVDQFRSVDL ETSHDPPSFSHSKDPRRVNKRKKRKAPETAAEIEDDEEDTSPTPPASKRPRRVDADGF AVPDIPIDPAILGPEEQVHQLSTESNTTTSGTDKPKQPKSNKKQQIPEPTEEDLASES ALESEMTALLSSGSSLVASAGPPKTTPPSNAESSSAKRQGKPVSDSQDIDPAEFDSDP EVRHCLLSPTEVEIKERIWVHENKDYLRAQQAKALKRALAAADPSTAGGAHKPRKRRK GRIGDVTYLAGEGGDGDRASSRASTPAEATRLMLERRGFSKKINYSLLEKLYEDDVEV KRKESESEGVQSRSRSRSQSVARSIRSSSVVSDRGFGGLNSLRSSARSRILKGTQAAA AVLRASATPEPSRRAFPVPRATPVAAKVPEPEPEPEPEPAQPLNPNEEILGYIPETEP ARPTVEGGYDEDEEEEEEEDEDAEEDDDRIDAAFSGNYYDEGSEGGYDDDY UREG_07257 MAEKRPVVSPPRGFTRPSSPVRSSSRPKSLTTILLVNNIHCASC VTYAKEVLFHLPHIARVDISILKHEVRVSHSSELQPTELVDALTDAAFEVFHATTQNE LGVTVNDIDVDTSSWNAGSWLRPTSHLSLAAMDTRAKDRNSRHIANCDSCKQEQEKPA LNEGPWLPLEKRQAEKIPSGQPREPTQAEHKDEDEYSAQSVSVNLLTHSAAITYGGRR ENIDKIVEQIEDIGYGASAQEVTLKPRQRDLYVANLSISGITCGSCVGTITRGVKAFS FVTDAVIDLLGHSGRVEFESETNLPQILKSIEDLGYDATVIDCKPVAGTEATDSGPKP RTIWIKVDGMFCHHCPQTVLSALEDISEGKIEVQEKLTTQKPVVAINYLPDPPNMTVR SIISAIEASNKAFKASVYHPPSIEDRSRAMQKQEQHRLLLRLLFTFIVAIPTFLIGIV WMAVVPKSNSIRQFLEEPMWAGSASRLEWALFIMTTPVMVYGTDVFHVRALKEIRALW RPGSRVPFLRRFYRFGSMNMLISAGTSVAYFASLAVLIVNAKSKKHEAGHTSTYFDTV VFLTLFILAGRALEGYSKAKTGDAVAMLGKLRPSEALLVVETPSTGDEGAGSSIQRVS VDLLEINDIVNVPHGASPPADGMVTGTGTYKFDESSLTGESMPVRKVAGDKVFSGSVN VGQPVSIQVTDLGSTSMLDQIVAVVREGQAKRAPVERVADIMTGYFVPIITLIAILTF VIWVGLGESGRLSSDYLDTTQGGWPFWSLQFAIAVFVVACPCGLALAAPTALFVGGGL AAKRGILVRGGGEAFQEASKLDAIVFDKTGTLTEGGTLKVSDHEVLIKDEELEQVAWA VAKTLEESSTHPIARAIVEFCNGRSAVSATSSAITEIGGQGMKGTFTVPAANPQDVPV EYEAAIGNQRLLESLVSADHDTYYLDNLLSKYQSAGRSTAILAIRRVSDTDKFVPAIV FATADPIRAESIDVIAQLRANRIEVYMCTGDNTVTAHAVAATLGIPSSNVMSNVLPTQ KAEYIRKIQGNELSPESHRGTQKKTIVGFVGDGTNDSPALAAADVSIAMASGSDVAVS SAGFILLNSDLRTILELCKLSRRVFRRVKWNFLWAAVYNVCLIPVAAGVFYPIVSGTK TDAHGRVVNTHWRLDPVWAALAMALSSLSVVLSSLALRLEWRGVRKWIGKMLLGLKRK UREG_07258 MADNTCANPLLLGWIKEWLEQARQRNSKGVTVYKKAYDSMKACP LTFRHPSEAQQLNGLGPKLCERLTARLEEYCEENGLPRPDPPHVAKRPRKPKPYVPTL RSGAYALLVALSSFEERSSAGATKAQLIDAAQPHCDTSFTAPADTTKFYTAWNSMKTL VAKDLVYEHGRPLKRYLLTDQGRETAKLIQKATEMGNPSSRSGNTVIADCRFGQEDPN ASRGSLREPQCNSRVSSAITSRNTLSTRRSDILGFCDSDSFLDVTPREKCNPNATRAA SRGFDVPRQIASSTSGIPIPLASQDFTVELVLDVREIRTPKDRDYIANELIRKGIQPI VRALELGDALWVAKFKDPDLLAQYGEEGDEIMLDWIVERKRLDDLVGSIKDGRFHEQK FRLRRSGIKNVIYLIEEFAITDSSSASAASTREAVASAIASTQVVNGYFVKRTRNMDD TIRYLARTTSLLRTMFSDPAQSSLCLIPTSSITSVTSYLDILSQFRAEDNVAGLAHSA EKTTTYAVTFSTFSTLSSKSDMLTLRDLFLKMLMCTRGLTGEKALEIQRRWSTPRQFV EALEAADTGGEQGKKKTEELVFSEMGGLVGRKKVGKALSKKIAEVWGKQG UREG_07259 MASLNPPRGPRSIHSPAPESLEAILKRTTYTPVLCHPKLWSDVH LEIFRVEGLDRTFPLEDVIGRPIACDPSDAPLQTALEGVSRPYSREPFGLGCKLLLEG LNRTDLSDRYRDRYMKGMCWEMLRNLREDIRKYPCYELCPTPDFFPITSLLCMTHDVY APEDVWNHIQEEKRLKRWAKRSKSAEIVALLLATAQQQLKRMARPLQSEYVQPILITA NGNKVQFFRARFSVQSVDALTDPSQLLDRPAIIKQSPPLDFYKESDRIQLVLALAAVL DEYFQDIRPTLSPYQVPDHEQPKPKPRKHRRSKKSR UREG_07260 MASGIARAASGSPPRSGANLKGFMGASGSSWDTKQFNYFEIKPR AARIATGFPSFLANFRTSCLQPDIQKRLKEVGSGTLTQLDHQKLSMGFGGELSPAGTM VGPFYAFLAMVKEIPHDEAQDVARQHPKRDTKPVQRPGYVRTDSLELEGSSPEMSSDD DGDKSYTAKSEDTDQSSHERRKKPEIVTQVTLILFNQVVYEGSDLNQRGTRQRTPEVQ RLEWHITPTKFYISAPRVGSTSISDGSLFWKRYDSNSNWVMKDPLVYCSVELSEYDRT LDLALKLGKLDLDNKKTPTLDVFETHQYNLSNPDDREQAAKILLAMGEYHGQNGPLLK DWVSNG UREG_07261 MSTRKRRQDFVEQEELQALPSDESEEEEEYEESEFEEEAGSDEE EEEGVSEEEEEPEEKPEPRPKRRRTGPAPQEEEEPELEEEEVQVEETNGKHQEEGEED GEGEEVEEEDDDDKPLPSAKHAEGAKKSGAPMANAQQEIVSEEED UREG_07262 MRVEVKIPGTLESYCIDERGDKRVPTEQLWLETFVCGVLRAYSY ADDGNGDAIKKIVGVRRFNPITNTEMEHKFLNAAEKLFFNGLGFPTQSDHLAGLTKTQ VPNTVSNHLASGLLKYIHTTGRYASGINLFEKLRTKDVEVSSLLARVLISADEEVQAV RLLHDALQDVPMDYALLDCQAAFCQSKKEYEMAVECAKRSVTAAPSEFSSWIRLAEVY VDMEQWNLALLTLNSCPMFTYQDKDSPRLPKPSRILLPILPESMLDEIDEGQPKQGDP MDIVSPMLSKLHASSYQGTFLKAYSLLTKIAAAIGWDQLLKIRSEVFVMEEEYRVERQ TNSARPVATSNNASTVAIHETSSTASGINGNGIHDTNGAEESVAQNSDTDPASEENNK VENIEAQSIESKIEKPEQSMASEVVKSGGEDPDTSKTYTQFHNKRLCERWLDNLFMVL YEDLRVYTIWRSDFAQSRAQSAEYKKSATEWEILGELAERLHHFNEAVEAYQHCLQIR FSPKALKGILKMYERQNDTRGMLNALIRLIAWQYRWYSEFSPELLYTIRKLIEEEGAV KVRSIVQATNLPQPILDLTHHYCQLCATFRSTGSDS UREG_07263 MSSFVALNVEPDEDLEELDDTKEIQIEEALKLYQNALKLHSQGP EFYDQAQDAYNALFESEIFKYPEAVSEYKRDQLLDLDDEDPYSWDEVVEGDTAPDALN TTSSALPQTVFLSYKNHAQFLLDFFKRTLDQGHTRGGGYATNQIKEALGDFAEALERD DTDLELWRKTSRVGSALRSHRIARFCLESVLEGNTYGLDGQLGQLGLEHVFALKALRQ ILSTLHDKLSFIRLPVQQPRKGLSILLAKQADSFPFLPDYSKEITPCSTFGLKDGQFQ YRFVEPLSETWSDVGDALLRVLREDSEADSPSWPSVILRIQAPTHNSVELPYKLPVIQ RDTSDGPVDTQSNIDHDIDVPDADSEHTAVVAENADTEATRPEAESINELAIEEPADK TIKDVTTADPEPGDSVMQDIDKVPTSPLDEPNVKINAPQSRKRSSTSAANEEPTDGGR AKSRRIRARESIAEAQAQQDDVFYDQTKYFEDRLEQFAHADQWMFSTTGALLSKLGVE EFGTIDELKHIMDRVDGHMSPRDFSQEGKLETVSMRDLREAIGTWAGIQSLKDDIFTS HDGLSGLKQSGLDIFLERSRQNPRTSYQSQCDPDDEGLSLFLQSTNLSYFHPQHVAFN WLEHHLTSKSASGAGSNFPRFQPNSTYASRHWPDQMKTSVKSLIIVNDEFMYRKLLSS TSCLDIPITPKSSFENDRTSVADGLSLAEMAQSLYELHLDMYASMTSPNSEADEASKT LQRDRLRRWGSVARIFINHQQNVVDNGDFQTGVILRHLWSTILHFNITDDAEREYVLV CLEDLKRVLKLLDEPVIALVNNPTMPELSLSAVEQEIARISSMDFFMKVFGPGNEDPV SLIESIEPILDPSAIEHLPPGLGENGLANSSNVSSQIQRARDLAFFLDRGDATLKLLL WRRLQKAYESIQYPTKVISCSLKSIEIIVAELQAPTYLDLPSEQRRETLLKWLNRADY LMLNVIGKVLDDPKSSFECIDMNHLQSSMSAIAQLSKLLHSFVLYEDSVRIGQINPPE VRPATAAKAYDHYKEKVRSMVVRAWTLQYALIKEGIAQNKELFDMPADDCINYLRSVH SAFGIRSYCRCANKVLLKVMKHELLTLEAEDSYESDIAQVLFDYHGLKFSSELDISLE HGCPVEKLDRSTALMLVDFAMLQANRMNIKDFLKSDLKSTIDTIQTSIGWSGRIHTTI TQNKRILSAFLKSPINPSALYRAVRGVNQVSVVPAYTESCRLAKSGWYFLLGLAAFTK FKTQKRVGPIATDDLDLAATFFRRELEHGIERWETWYRLGQVYDSKLEEDITWSAEKL NHHRADLASLERRAIHSYAMAVAIAIRTADSSPETRKTISDMYTQFGFRIYASSREPF SMGAFSLDDFTRHFSSGQSRQMYTGPPFRDMKLYAAWHFASYLFRKAMADQPTNWVNH YMFSKCLWKMFTSEDPLKESYEPVQVDDILDSLDAAIASLPTRRESRSDPIFEPHFKL ISVIHKLVMRGDLKPYDASKKLVVTPWAKMLSPVKDLDTWKSFITTILKNLQIADKSN WHHRIIARAVHIIYDDTKDDQAAAQAKHQLSQHVLTKTMTLQIWKPENERPGRHFVYT SRYLYFIVRIIDQLDDRTSLDLLLRRVRRRPNDYVNYTKLWEDICTTYIKLFRRVGNV PTSHEETVFKPLGHDEFVTNAAHLDSWTQTGKADPKLMDLVREAVELKKLNGGLIKPG MFEDLVGDVYALLYENTVPRILEGIATEENRERMKVDHLLSAGDAADRSRTPPPSTFD KQSEKPSEKGPGPKPRAKGVTRREIQRKADAIATKLAVSRLAAKASRLAEEELRAPAE DSTTEKPINEDEEEISKPVTGDLLVSDAPIVPSSAVPSIHDGGDEESELSELDESKLS EPTKQAIPTFPSLHVRRLASPNPLSELSSNVSHDGKEPEGVEHMELDPSAGGGGEGDG DGEEDGEDDGGDGDGDSDGDSAGGNDNDDDGDSPNTISPQDNVSEEEGADKKSEPVDE IPQSDRSDLEMEGPQ UREG_07264 MESSQSHSQAQTSLFQVYLRLRPPINQSTESQIQKSDYLIVDPP VEAESSSAFDGSRAWPTCITLQPPNESRKRAVEKFAFTKVFEERATQLDVFEETGMTS IIKGVLDEGRDGLVATLGSHTILGSKSQRGMTQMSLDVLFRSLAPSLQSPENPLLVQS LAISDPSEAQIYSARSFIDAVYADPYSDRGRGSRAQTPSSRAQTPLVGSPSQFPSPSP HKTQSPSIGLYASVPRPIIGYPDSLRGSCASTIRLLNSTDSDAGGLSIWPAPKKLTLP SQLPFWRTHRIQRNKAKLQSSLKETPTTTTFPRRHQPPRPSASPHTPDVSDFVIPMPE NEEYAVLVSMYEVYNDRIFDLLSPSGGASNRQANTQKERRRPLIFKPTEGSTDRKVVA GLRKVVCSTYDDALMVLETGLIERKVTGTGSNSVSSRSHGFFCVEVKKRIRSGRYGEE SWVGNTLTIADLAGSERARNAKTAGATLAEGGKINESLMYLGQCLQMQAGLQAGSKAL VPFRQCKLTELLFSNSFPSANQTGHSSSRNPQKAIMIVTADPLGDFNATSQILRYSAL AREVTVPRIPSVSSTILAVPTKPVQGGKSAFLSASAEELERAALEIAKLSDDYDALEL RLAEEEMAREEAEIRWKAAEEKCLLLDQEIREECFAEMEERIEEERKRWQQAWDEQTR RHEDHLDKKLDLLSRGVESMAFLFLNPPVGILARVDN UREG_07265 MSGKEAEYPQGRVAPGGCVTPKQVASIQEVVGEDKDLSLLDGFE DVSAENQDKIRDAVENGHVADSDWRGDIEMNRPGKTGFRVRASKKKIDAEADHETPKK TKRHRGKKGGEDTDAEQSEEPAPKKAKAASSKSKPVARESEGKPETGDKPVENQKPGR KASKPRKAEEEQKSPASTGKKRSRKAADKVEARTGRVTRSMAKAG UREG_07266 MRSSLSLLALSAGTAFASYAGNLNYRSPSHHHPALGISVHKIAK RSDPLSSFDPAQLNFTHGVASGDPYATSVILWTRCSPMFDDVDDNSTVTGLVPLFNPV PIYKDTDEHRPMSNAPVCLKFIVAADKNMRRVVDKGTVYTSSDVDYTVKPCWPNKDRP EGIPVSQSYQFGRVFVQQLPDYIYEYAEGEYGSGKDIGRISLPNRQIYTLYDYRKRIA TYRTDLDLQASHQSFPWIPVWDDHDNAYRDGSSKLNNTEASFISDGGVSVDQRKMNAV RAYFEWMPIRQVEMDDNLRIWRNFQLGSLVDVIMLDTRNYDRSITDLYWNKNYVKQLQ NEASHSLMGSRQENWFYRNLIKSSKRGAKWRVIGSQIVWLGHEKYDSNTGSGAIGVEF GGSAVTSPSPAGHKITIKDSVNASKALVTSNPQLQWSELYYRGYFELHITPKEVQSRF FGIPKLRTRDFGEISLANFTIKSGANHLDRGRQGVPAGGVVENGWLKGGKVVQTNATR DTETGKWYVSN UREG_07267 MSEPIPESIPTSQDPRSKRPLKRRALTPLSEQAKQLESLFKDPS KEIHIPAVSKQRTAASLPAPPEIVANVQGSSAGAGSGEFHVYKASRRREYERVRLMEQ DLNREKANEDFEKRKEEMRRKDEEKTDKNRKRREKRKAAKAKKGAGPSAAIAEDGDMA VDRLGAPHGSFSGKEQNTIEPETSNSHVETPGVIIHDED UREG_07268 MQAPVVVMNTQNGDRQVGRKAQISNITAAKTVADIIRSCLGPKA MLKMLLDPLGGIVLTNDGHAILREIEVAHPAAKSMIELSRTQDEEVGDGTTTVIILAG EILAYALPQLERNIHPVVIISAFKRALNDALAIVEQISIPVDIDDDKAMYSLIQSSIG TKFVSRWSELMCGLALKAVRTVSLDAGGGKREVDIKRYARVEKIPGGQIEDSEVIDGV MVNKDITHPKMRRRIENPRIILLDCPLEYKKGESQTNIEISKEEDWNKILQIEEEQIK HMCDAILALKPDLVITEKGVSDLAQHFLVKHNVTALRRVRKTDNNRIARATGATIVNR VDDLQESDVGTQCGLFEIEKIGDEYFSFMRECKQPKACTILLRGPSKDILNEIERNLQ DAMSVARNVIFHPRLSPGGGATEMAVSVRLGQLARSIEGVQQWPYKAVAEAMEVIPRT LAQNAGQNPIRILTGLRAKQVEGHSSYGIDGDTGAVVDMKEYGVWEPEAVKLQSIKTA VESACLLLRVDDICSAKSLKQAANMGGGEE UREG_07269 MEIPRSERDKADIRETRAGNGESQPSNSITQQNRVAENAEQPQA TPARSVGTSEREPREMARQLRIVRETFGIHDNNLGDIATTGLPRGPLRVMNPDPSPVP SSGSSEVERHGNTLGPNVGVPQHSARPRANSQDAQNAAANRQYIQSALDAMERPPARH HGTRPPPPPPPPPQHHPNHPQRYGPHHPPPGPMHQARPPPAQPSRYRRPLNSPQYHQP LQAPQGFGDPAPVHPNFNESYHREPDYQQRPPVYTRVPPLPVPPMRPEDRERLLNPPR VQTNIPRYYAAPIRCQRIWTWMDNVEPGLPEEEVDEPPFHEEPFDDGL UREG_07270 MAASTTAAVLYAATVSVPPQSPIPEDAESKSHHLKTGGFRNPWE SWSTIPALTIVRKMIARRLTGKANIPDTTPPTVPVRKPQFLPSRETPKLRATWLGHAC YYVEFPSGLRVLFDPVFEDRCSPFTWLGPKRYTEMPCDLKDIPFIDAVIISHNHYDHL SYPTIRQIHQQYPGCHFFAPLGNKKWFADSGIHNVTELDWWEERELELSPSSNSTEVT AVGDAPSKPSNILARIGCLPCQHTSARTLWDRSKTLWGSWSVESANSKVYFAGDTGYR AVPELPKEVDDHGPEHAYPHCPAFAQVGQLRGPFTLGLIPIGAYDPRFIMSPMHADPH DAVKIFQDTKCQRALGMHWGTWVLTEEDVMEPPKKLKEALKRNDVQETGIFDVCDIGE SREF UREG_07271 MPNDDGAAGLPQSMEPTATVVDQPASNAADLILQRRQSPTISQK PDQGLFAQLTSNQFFTAGFGLAGLGAALTLAQRGIRHGAILLRRRMLVDVEISIKDDS YPWFLHWMTLYQRSQLAAAGQKAGFVESVLRKITPGMRHLSIQTEKVELANGALHTHF SLIPGPGKHVLRYKNAFIFVNRVREAKSRDLQTGRPWETVTLTTLYSQRHVFEDLFKE AHEYAAKSHEGKTVIYNSWGTEWRPFGQPRRKRPLDSVILEAGVKERIVADVKDFIGS ASWYHDRGIPYRRGYLLYGPPGTGKSSFIQALAGELDYDIAILNLSERGLTDDRLNHL LTIIPSRTLILLEDVDAAFSTRRVQTEADGYRGANVTFSGLLNAMDGVASAEERIIFL TTNHVERLDEALVRPGRVDMTVRLGEATRYQAAQLWDRFYGEFEHSEVYREQFLEKLC KLGILGDEANRKPGSPKATSTAALQGLFLYNKENMAGAIAMAEGLLGDEEPRHVSVTG SVRD UREG_07272 MPSSTRPKSPPEGNPNGVMTSADILHNRSKSHSPPPKPESSGGR MGVSTHLDTERPSNAETSPPTKMKSIFPLYDPNVSLEKQKYYPRRSSSLPQIIIPKTS PSIPFIPSLPINRVQQQNERVSFSSIDALARLWEATNGELSQASLGIFHLQMRKVDAF TFMFGTQSTPFYTLRTNPMHDIEVHRTHPSKPNTKSPVVTLNIGDISRAGPVGVALSL FPKLAEIITREEALGVALKYQLAPHHAMEIESDALRRSEAQNSCILELRPGQTKYNVY HAALAGCDITSAAEFASQPRNEQSGLLHASVLTSLPNTIATRQYPKIQIAASSPDTNN KFLIALDLETMALSIDTKQILSAIPSFYAVDAMVAAILIIVVSNEVSRPILAGMSMQS PKLSARPDSHRTDSLTLEVPIGKAGKVFIATQAEREEIEEAALMEQIRSQPRIQAKTR FSLMSILPGRKDEPAGAKSKKKNKKKSKPVAVEDIDLEQYGEYKQHTHDKQKLPRPTR VLLKSISWGFSAIVWALTIIVKFVTYMVITMTKCLAREKV UREG_07273 MTACHRLTVRLLNRPSRAHARSHQPTPSPFVPALEPVSFVSSPS FASSIPSPAGFCSPSVPTGHTIKCPGRLPSPTPVAMVTKHPATDAPFNPHGTARRFND FGAVKRPALNAIDTSPPPRGSSLARPLVPANSAPPPQGPRSKKPEPLRPTYLKITHIA PPLRSAASFDDGRHGPVQSPPSSEAARFSPATSPLSTHSTGLKPAWSMTSAQSNQFVP FRHDKSPLHTPLSARFPHHYQPPELHFDTPTRSSLQSATTGTSSVEPASGTERSSILT NSSSLTDISPATPDVDQDMSVDDAIDMYLDGFSDDSSPTQDSSDSPEPEDFPLPPSLR KTPDPQKVVDDSPEPTPPSTPKRCAQLDGPAHSSMEDQEMKDLSPGVKPVSPPIVKTK ITVPDVLSVGVPPPLSTSTRLRDQYGFKKETTYITVSQYNKWSEPYSRYVDYRRQKWI EMLHDSGTADKGTLEFPSKSSKMKRYIRKGIPPEYRGAAWFWYTGGHEHLRQNPGLYR KLVEKAVEAPMNDDKEHIERDLHRTFPDNIHYKPDLSSETQSPSGAGSSNLKYTLDAQ ETPIIQSLRRVLYAFSLHNSKIGYTQSLNFITGLLLLFLSEEKTFWMLHTITSAYLPG THEVSLEGANADLWILMVALKESLPAVYTKVASPNATTPRSKPPNITTTTRLPDITLG LTNWLMSMFIGSLPLETTLRVWDILFYEGSRTFFRVALAIFRLSQRDILAVSDPMEIF QIVQTAPKKMLDASALADECFARRFRFSQERVESLRVARRQAIREDKERASFLARPGN FGANADGRPGTGSTQPGAWKTWKNHTFR UREG_07274 MCLGMLRADEWKPSTKIQSVLEFARQLLSEPMPDDAVEGRIAEQ FNNDRKAYEQTAKEWTKKYAKESKKLFW UREG_07275 MLSSLSPHLSNVRQTLTQVLNFALVLSTAFMMWKALSIYTNSSS PIVVVLSGSMEPAFQRGDLLFLWNRSPRAEVGEIVVYNVRGKDIPIVHRVVRAFGDDA RDPKEGGGKKGKSASGTGKKESVAAGAVHSDSSFVSHKLLTKGDNNIADDTELYARGQ DYLDRKVDLVGSVRGYIPAVGYVTIMLSEHPWLKSVLLGLMGVMVILQRE UREG_07276 MISEVGFFRHSPTGGKGRIEKKFEAKGAERSVSRWNPKEKKLWL EGVSDDELEATNRAICDAEAAGDEIWVPPPVCDKLDRHPYILVPVFNRHTEGLDVKKP VPKDEPRRRIARRAGIEDKSYHIDKIRNERSIMKVTIEKLKKLMDSSKAPYLQMLDKQ EFGIVGSFLLTGDYAPMLIEARGIRHPLDPYEPQPGSITHFLEGLITKKEYDSELIRS GKIYLRAHELNLPKLKVLVVRKLALGFQYLDEKLAIQLADSIFSVVGKEWDDKYGARY MVNEPLNGRYMREKEPMRAFLVDYFAHKLAVVSDITVDRRFRILLMKYPALRIGVYAR AAELGLDRWSDPSAEAAEMRRIAERNKRAEARRNSKAPEKKSAPIPARRG UREG_07277 MFKRSFSSKDRVGKSVKPVKKTYRDQTKVKLQEKLGSPPIEGNL AGPIVTLVVGRDQRLFAAHEDVLSLSPFFSAALKHQILDGSSKQISLQDEEPEIFSCV LEYLYKGDYYPRLLHSKRRNSWYLEDAKDPHKTGGRGSSESVVFHPGVGNFILRDTAI YCAAEKFGLDDLKGLALKKQGLQIGIPVDVILRSARYTYNNTPDSESRLRAHFLALII RSRKTFKRSGTMQMEMESGGKLFFDLFVAMCNHIDDLVEISRSPKVI UREG_07278 MAMKYFKTIRDKAPFGKSPAKAHDPILTEEDEAFLSCVANSPEK TRVDPGGDAQIALMDGAQNIPLPMSPREEEQDLDQMFAVEGRKSRTVEEEREEDGEGK VVEEPRKGERWSWILGNGKGEKDKAKKEPPPMIDQEVQKEEEDISEVLEKLNLAAINN RVFSIGDETQELLEKFKFVFKDLVNGVPTAYNDLETLLTSGDKQLQSTFDRLPEFLRK LIEQLPTKVTERFAPEMLAVAAERAGRHGLNAENAGKAAGAAKKMGFKVPSLKEVVGK PAAIAGMLRSIMTFLRARFPALMGMNVFWSLALTVLLIVLWYCHKRGREERLEKERIS REASASNLDDMAESTQASPIHTTSADNAPIGTAQTAGNGAQQHPAQYAPVSSEGAGMN SSVRAASNMETRRKTVEPYKL UREG_07279 MLQSPSGRPRSTGGDNGSCPGSSILHDTPTSSPNKKQKQQRQRN APLLKDLNNTDNTKRKRLASGSGDASSTDADLDDEESDDANDEDDAEEDEPAVLAPSY GGKGINRKAGLKLGPSYSKRGKKVKLEESGYGDNNNASEDEYSAGMISSVSSVSSASA DGSVASEDSDAEYEGVDDVSDDDDLEVEKLEEELILDEYERDCVGVASGARGLGDEWS GFDDLESRPLYSAGSFFDDEQILLQSAATEVVIDVNLTNEPVETPVPRRVHFAESEDD SSDSDRTTDDELLSDFLQQDSLDPDLRRMIENDGDTPKRPRNPHDLFATNDFYELPSN IYHVESDSEVGCSSGYESDGGETTDEEDYPPPATITHPRSILRRDSSASLSPDDEERD QQSRPTRRRGPLRGTFIADPHKPVAVVAPNGKQLIVIPPYASYRHDWLESAANSLANT AANSPGATNAADDSDTDALVSPSRQVLSPMLSSAANLMITAVVGNDCGGQVTGPPEAF YPNEKYILDAFEDDDEDEDSEAALNVDDFIDFGNGSSDGEEDKAMDDVEELASPLAAS SVNVDLTPTPQRIAEISQPNSAERLLNHLDRGIVTAFRRNHTRYQTLIRLPHHREFMP ANSPSRLASAFRRSKLADTRTPPRKRKASNYLGGEAVRRKLLDSHRRNTTAA UREG_07280 MDSPLSPASSTAATTPLAVTAFPPSENPLKQPSSSASTPLFHHS HGLSPLGPESPDAQIATPTQPPPPPSLPSLPLPFTTIPSSNPSSTPPIPEPSRSAKPH RHCLTRDQRRDILLMRSLGHTYHQICKHLNLPFGAVQYTCQKRSASPKRRPGRARRLT DEKLEEIEAFITSSIQNRQMTYQEVVEVLRLDVKEDTLTQALRKRGLVRQMAFLRSPL AVGNVTAKPVRTYLTCRKNEEIDSPMVVEVIKRKTTS UREG_07281 MKFYQSAAVLWIIIHQASATFWGIGKLPFFKIPSRCDNVCTPGQ EKGYDWGGISVGIIGLFDDFNFSGFTCKNLLDFKKWTGKFQDKCIEGILDKSKSLSPK IISRQKKGFSIDTFHITVDIDIDVAFEYNMPDGKICKEIHPCSPGGSIIKNTQCGGAT SVSFFIPSFVAKAKCKFAIHKIIFKCGPPQFTRTVIPSLPASTRTVDATTTTTNQGDS TTITLPGTTTTVVLPGESTTVTLPGEITTTTLPGETTATTIPGESTTVVVPGESSTVT VPGGSTTIVGPGDSTTVIVPGTTTPAVTPTVSLSTSTIFTTTIVTITDCAPEVTDCPA SSGSTVIVTSTIAVSTTLCPVTITPTLPSGPTPTPGNSGEPSSQVTLNPSGSETNVPG QPSTTEGSSPGESTPAVPGQPSNTGSSTPGESTPVVPGQPSTTEGSSPGESTPVVPGE PNTTGGSSPGESTPVVPGQPSNTDGASPTESKPNGPGVETTSPAGPVPTSPCPEVVPK CLNTWLDLLPNCNSNSDASCFCPSSEFTSAIVKCIEAWGASDEEVRTAISFFTGICAA YIPKNPGIITQIPTRIPIGPPPTATAPAGVTVTQTVPCTTIVVSEVVTLPDAQVSTLT TQITVPQVAFTTNGPSANPTVDLVPGPAPAIATPSGDAGVGASTLLTVPATGPAGPTP TGTELFTGAAAAVPVSGRLLFSAAAAAAGFIAFQLM UREG_07282 MSTTATLASSTPAQDDPSFIPRGPVDASIVFYHPPPDGSKPFYY VETPPPGEPQQNYSEAPHSVSLTDIRNHESDFTLDKDAFQTLQHLPPSRADPSFTDDA NIKAVYYPEVEDLILTHVPGAQRVFIFDHTVRRNKPAAHRTPVTRAHVDQTPASAEAR VRLHLPDEADTLLRSRYRIINVWRPLNGPVQEMPLAFASATSVEQGDLVPVEHRYPDR TGETAAVRFNPGQKWYYWSGMGEGERLLLKCSDSGEGVVGRRVPHTAFVDPRTPVGAR GRESIEVRCLVFG UREG_07283 MATTNLTPRSPTPQQHAPPLSKRDKRRTAIHDRLNDIREAFTNN RDYHFRQHVHELQNEMALIANAEVYDEWPMSDAPEDVAKQLKQLAASGHTVSETPVNG KWFSKFVDEINRSKEERDVELTVIMNRHRDNLNRLSQDREFRHRFAIEECVKLTETIR ERLSLSISQRKNRLMREKEQLDVADTNALLLHPNQFSITNPASPGGIQSNRKTRHTRH RVDVDDMGNAIIAETAIKRKRKAPVDDDFGSPNLEGLSTPAERAKARLNQQQNAPAYN ILSLFTEKELAMHSNQAHVAALHFLSSSKRAKRAASTQGAGDEGGASGDTSGQEDGSA APEMERTASQNVHATRSTRHNGTAGLNLLGELTDKNANRPNLPYFILGNYHQRPNGSG TAPAPPSLMPEEIEDDLARIEKLKKTKPRGWMDTRLVNHLLETLVPPESDDMTVSCTR FSTLHPDFPQDMDVHLVRAYPRKDD UREG_07284 MASPLSSSSVRLKPENPYSSPLPLTPPETEDNILWNPESQLPLH QLSSHDSNPLTTMHNSTSCTEDLSAKESSESDSAPEKSQQQAAFAVQGAQSVTSLMRV TNQNQYPEDSSDGWLQDAILPLVSSLPLPKSPGESVKLVSQTLPCPPSDSSAPALPQC AFTPIVKAIQNRFQQDDSPYINIVHAVPPMFSLSSLPTSPPSTPHLLFGGDDYFSNTI FSSAVAVPSYPHSDPGAFQASAGHHISTPIVPPFSVHVAIVERYLPPSSPQEYRSLFL NEGPSMLRDRLFELSPGGGSLMFIYPTKKGADTFIDNYLSPILAPHLRQLAVINGISS DVGNALGRLPAIAYMDDFEGMRARVLALCDAISSKNHTSSNLACRKTNFTMTYAGKSN VPLDRKLWTEWYIQQETPRAREILNHYWRKGHRLPDNAMTNASQRHPTTHEVTGASLL REIINGVRHRPYPDGFEPDCGLELGVFVIRRSI UREG_07285 MRILSFSALAALTAVLLQPHSACAISKISAVGSKFFNEEGDQFF VKGIAYQLTPHDPLINTTQCRLDANLMKEVGANAIRVYHVDPDGDHTGCMKAFADAGI YLFVDLDDFPTQIEQGNPTWNKTQLDAFKRNLDEFQKFDNTAAVFVGNEVLTTKNGSH AAPYILSAARDIKAYRDSQNYRKIPVGYSAADIAELRPMLQNYLVCRSNVAETLDFFA LNAYEWCGDSSFTRSGYSNLQDQAEGFPVPILFSETGCNTNRPRDFQDLTAIYGSEMN GTWSGAIVYEWIQELNDYGLITYGKASKEDAEKDETRTVIMDGYYRQGKPSPITPDFT NLKSRWATLTPTGVALSDYSNQASSVTAPRCPASTSGWEVDPSAPLPTLGQMVATTTT GSKATQAGTGATSAASHKNSANQLLPSPTPGTTIFSFMAGALIGLGGIIGWLL UREG_07286 MSSRNLIKEMVILGVESAVSGLERMESIRSNDALFVDPGMIPVS NTAWTTEHLSPKVNSSSVMERYPCSVRDRWSGQSIAREVPKAILVYPYRMLGSHFDRI PQAPRQGSQGMAEDDLSNNGQSRSVTPSTARSEAGNLCVGYKRAVYRSFITSSTPSAL SARRASHEQRNVTRSWNTVQSAGSRCTALSAIQEGPASLPNRRSRRDEESWGRWRHSL ATRISLVPLVRDGRLRLGKWRPRIVEDSRDAIRMPEITSQWWPKERVDATVSRDYVLK TLDPAFHPRLNWFLSFGESLTDDTYIDWILERARKLYLVLLELNLQSRIFQLIDSGYD DEDLPITRENTANLRLAAPVKDVFSDFRFAGVQSRFLVRSIPEGEHVVFHEEEPLPVD APKQQRLPIPFPESIQIDSVVHASTDCRELLRLSIALPEEPYYRDENEILREVKALRP LAHEHVISVFGSYSVGDTVNVLLSNAPEYTFKSFLADRPSSFKRLPKNTQQSVLLNWP HCLASGLAWLHGHNQCHGAIRPSNIFIDADHHIRLGFFDVFDPLIPQKQPNDIESYQT PMLRTLLRRGQIQLGKRIISLVGLR UREG_07287 MEARSLSSSPDPLGYPGDPEFLLSSATKPFSQRRMSMTPFKSRT PRTPWLAKSKNKSAKSARSIKYPDIILPSTPSGDRFSRRSLSPTKTTLHSENNISPWR IRVTVEAERDDDEEGNGMTQNGTTGWKNTNTLKIPLKDENSPSKKTPQKKQRRGAKSD LSKRTPTPRRKRPAGLLDGALDTVEKRRRGRPRKTLEFLGENSPTRQSSPAKSIIDLP SGGDPFLDIAQDIDNTIHQSEQYGASFYQPVHEPIAPTTQDAISQAPIDDTGVTADHE NQFAEPDATPLALRDGDLSPINTTFAGHTPRPRFRLYPTPTSSSQIGEELPEPQNIHV EASDTNVVQLKGAHTAGPPVEHREFDTIMESEGFSMVSLNSLPSAKQRLLSSQRGSTS SGGITNVFRGILGKRFTETGISLEKEKGISFATDSHAAIPSRSIKANSGDAAADREVS EESFTKPQTAVVGTTLNELAPLAVPVAQKPVAARRPLVRLMRIIRVGISFQRILIRNQ NALNYKLPLDNINDAQSDDPEATRQRLDELFKHFNMETRRELCAGFRFGEVLAQRIRE LHQDKQIDATQGDAVSDSDYGDVQYPVLDIGADGSQSISTASQGPEQDQEDGAADQGG AITTEDGNLTEKLNVEIARREVEWQREREAISRQIETANASQVIVIDSDDGDESADQA PTPQNKEMLSDHANSTVVEDDDYEDIWQQEAREVDHDSESDLLSFADATHDDTVHPRR AAASTKETVDERQREEFPELFLSGPHKKNPMLSLGKSQLARYKDKDFEFSSLIGSPSS STRKFLQGRKGSHAERRSDSRVPAPEDEAMQPSPASAAPETQVLGPAEQYSGGRSLSS DSISDIPPPPSLKYTGSDILTNEQDEPSPAGHSLRQLVLQRDRDLISPDLGYQGEKIV GQNAEIRGSSDIEAVDMVPPEARDSAGPPTWFNRITSLAPKWLAPSRAANARPRNGSP AAKIEPSISSRHVVQEQPAASMPTIVRPEPPVTSPKTKPLALSGYFTNDHYAALRRVY RKAKESPQSFPYHPTAERDAMLGKWMWSTDGHHHRQVTETQLAIVEKFRSDLVAASRR RGGSDELGWSEEDILWRLFSIIVGEKLRRERKRQQSWRITPAD UREG_07288 MEGWLKESGAVGLDALELAEFPVIGRGVRTLRRFNEGERILTIP RDVLWTVEHAYADPLLGPVLRSARPPLSVDDTLATYILFVRSRESGYDGLRSHLAAVP KSYSSSIFFTEDELEVCAGTSLYAITKQLGRCIEDDYRALVYKWALCTVWSRAMDFAL PDGKSVRLLAPFADMLNHSSEVRQCHAYDPLSGNLSILAGKGYEAGDQVFIHYGSVPN NRLLRLYGFVIPSNPNDSYDLVLETHPLAPFFEQKRKLWALAGLDSTSTISLTLTDPL PNNVLRYLRIQRSDESDLAAVALQQADPKYEKISNSSEVEALQFLIESFSGILDSFGT QPEKLEEQLAEGLYPSGGNAWAAAHVSLGEQQVLRLARKRAEDMLAAVERGSGIEKGS LSAAAQCANCKKDFVQLMTCGRCKAVKYCGRTCQVAHYKEHKAKCQYTGSQTK UREG_07289 MHCSALTRALWLAWVVSAQPPPPSANATVPAPGPTGTSPAPAPV PTEPPSNGSASLEVFTISANNITASFIPYGARLTSLLVPDRSGQQQDIVVGFDDPAQY VANAASNNAYIGPVVGRYANRIKNSTFVLDGVTYHIPSNEFNKTQTVHGGVVGYDQRN WIVTDYTTNSITFALFDRGFENFPGDVINLATYTVNSSWSANNSDFQTQLTTRTVSIA LTARTPIMLANHIYWNLNAFKEPNILNNTYLQLPLSSRYIPTDSHLIPTGQIGDVKTA LNGTLDFTSPKLVGQDIRSASVCGNNCTGYDTCFIIDRPSNRSDWTSSPETMIQALNM TSTTTGISMVVHTNQKAVQIYSCNTQNGTLPVKPSQVQRNNQQNAGNMTHPVDTVQQY GCLVIEPEGWIDGINNPQWGQLPYQVFGPASAPAVNWATYVFGTV UREG_07290 MRRMSSFMQGLRRISGPYSAETTPSFVPERASNAGRFRPAMDRD GSTSSRGGSGQHRTNDVLAAAELDVSALSSSPCDTLPYDHVKLDGLMNYHAGQLERIK REVIAQNETVEQLTYTLHDLRGHGDLEHTSECLHARIQSLRNSRNRLAKFVMFHLREL ERIDEVKQVMREEQGQEHSGVSHRIASGMSCFHCEADDPNMKPPLRSQRREVFIQGTI PKRQPLYFGFVWEDKENVQGSTFPPLARGIRY UREG_07291 MTTISSPSSGLDKRPAAADIRTNLRSSRSQAPASPHTPQQSRQT YSLYTGSSSPGSSFRHEEDAVILEIGSRWLRGGFEGSSTPTCVVGFGPEEARRVGDYR GWMRNPNQAVQKGKITPVNAENWLEKYELWRKDIRGLDIGLFEDRLERAVRELYNKYL LTDAGSSRLVLVLPSIVPHALLSSLLSTIFHRWKYPSITLLPSPAMAAVGAGVRSALV IDIGWEETTVTALYEYREIQSKRSTRAMKLLMQRFGKFLTQIVRHEEHSTTDNDDTIT VSVDLCEDILARFAWCRTGTESQRQSESQVTEASDRASLLDDDDNGVSRVESGQPSLV SLPLPVGDEMSSIDVSFLKLSEPVEQALFAGPADQRDWDDDDTPLDILVYNTLLALSP DVRGTCMSRITFTGGGSNIPGIRRRIIEDVDSLVKTRQWRPARGKVLDKGLKNNKPNM DEQHLKTVAGESTADIPLRISDKIPDSSFIDERFQQTGKDSEAHIQGVSRQVDSLGSW AGASLVTSLKIKGLVEVDREKFLQHGLSGASREYNMNTVMDRRSAYGPGMARSGGDRS SWTLGEWA UREG_07292 MGIPGLVQELGSGDRISLAKFAIDHLQRTARPVRIAVDASIWLF QVQSAQGGLNPELRALFYRLARLLALPIQPLFVFDGPERPEYKRGKLIARNGGSHIIG SFKRLIELFGFNFYDAPGEAEAQCAKLQIAGIVDASLSNDIDTLMFGSQVTLLNFSKA SPKNSGPATHVNVYRHSSPNANVTFDTAGMVLFALLSGGDYLPAGVPRCGPKLAAEIV RAGFGADLLDIIKSNPKDLDAPLNEWRERLDYELSTNESGYFKSKHKAVKIPKDFPDL RVLLDYISPVTSTEKEVEKLESLQWNQKIDVSSLKQFVCDKLGWDGLAGQYRFIRTIA PSLLCCSLRDSTVNPIQAKIRGRKENINMDGLAELKLQFVPADVVGIELESELGPTSS AAIDVAESDSEDDQIEPPSSATKRTPYDPTKEQILWVFEALVVLGMPTALKNWNDEQE QKKLAASKPQGSAKGSRKGKKAVDSGMAPGALHRYGTVVKTQTKTHGGSRKSTQPAAG GYNPCENRRDSTSNQIQKTIADCAAFTVRSTKSGTSSLPGVSKSPKGMDMPTSSDIEA AMALGHSDEGRLPSSKQRNHSNYSDSVSRIMDRVEAGSRYITISSSDEDSDRGEKGLK PCQTKGEAKAPKPKFKSRKPAALTSDQTPSRTKPRLRTANVLADGGEHITPEKSSQIS SKSLCEQAVSFLDQLLEDCKSPDSTKTEISSKQPNHGDLMDGKTQSGLTHTPDIFSRN GSSTDVTCNTTKQPRSTKKGKRSKRISIIDLT UREG_07293 MPAQNGVPATPRVISPSPTPSERSESKDGYRGPTTRSASSRRQL SSPPPISEEEREQVHESGSDSGIEKRARTRSRSPIITSPGKTRRRLSGLTSINESKLE PVKNGFLAPSGHLSPYSKAKDPFRDLSRSPSPLGLIPLHRHYRNFIHRHEIPRKLLHV SIGFVTIDLYRRGVQPLQITPWLLTALIPIAATDFLRHRFQSVNKFYIRCLGALMRET EVSGYNGVIWYLLGAFIVLRFFPKDVGVMGVLLLSWCDTAASTFGRLYGRYTPRIRRG KSVAGTLAACAVGVLTAAAFWGYLVPTIGYFANDPDNSFMFSGTLNLIPDSVKGALEW VGVSRAVTDKAVISGPLALGVMSLWTGVVAAGSELIDMFGWDDNLTIPVLSGVGMWGF LKVFGS UREG_07294 MASKPKSPHSRAWEALTPPLSEWILDAVASQGFSRMTPVQASTI PLFMGHKDVVVEAVTGSGKTMAFLIPIVEKLLRLEAPIKKHHVGAIIVSPTRELAEQI YKVLLSLLAFHPPSAAAIQPANPDGTEDSTNLPIPKSSSTLKVVPQLLLGGTTTPAQD LSAFLKHSPNLLVSTPGRLLELLKSPHVHCPQTSFEVLVLDEADRLLDLGFKDDLQKI LARLPKQRRTGLFSATVSDAVDQIIRVGLRNPVKIAVKVKGASGTDDKRTPASLQMTY LLTRASQKLPAVNQILSSIESTPQKTILYFSTCAAVDYWSHIMPSLLPETFVTIPLHG KHPPNVRQKNFTRFANSISPSVLLTTDVAARGLDIPLVDLVIQIDPPTDPKAYLHRCG RAGRAGRRGLSVIMICPGREEDYIPFLEVRKTPVSPLESPPISFSDSIVMETSNKIRQ IVLHDRALHDKAQRAFVSWVRSYTKHQARSIFRVTDIDWEEAGCAWGLLKLPKMPELQ KFTGDRSLGVNLDWDKYSYKDKQREKHRQESLNPTTKTAADNPLKRPAPSSASNDPLP WSKTHEKKSEKEKRRESKRAKKEREHWEKMTDEQKASVRETQQMLEKLRRVNQQKVKG ETDASNDVSKPREMELGDESEFEGFD UREG_07295 MARTRSQPISPGGFKSLEDLPRKRRTTRSTANTAETANEITVAS ASRDNQTTRVTKTTSRSANKRGSKKTTRSKAKKNESVIDAEIPVNEPSSSIAAEQSTT PAKSEHNISSSPVVNVSSSQKTGPVSPANHSGATTLEHFDNRLDNPPHDGIFGVPSGT IVSPPGERSVAPAADILNNRPQLLPFNGRDTNFNLSPKFSQVNFPPLTSKVYEFLKPA DRVFPSSPSVIQTSPEPDPSLQLTFELQQHVVASSSVDSTTHSPIPVEKKRVPLQPTS GNGQSIRRESGKSPQFSPKSSLVTDHTPGSKRPRAIDQPTPVVVTTERPPQPIPQSAR TVVTSKGSPHRVREPSPVAIVTERPAQPAPQSTCVVATTERFAQPVRELSLVVNTVQR PSQPITQPPRVVIPAERSSQPIRRQMPFIPRPPPYIPRSSEGPPRPDAPNPLLRYALL ACASVYPSPFASSIQHEPAPQSFSPSLNSDRIQAADINASPSVSFSNSAVPSPAQEVA NAPAAYRTNSAASAGSASNSAVSEHQEPTKATVATGIQTTSIPAPSNSFCSPMELDSP PRQSMATQTSPVRETGPTCPCCSAILRCPNGHIVWSQAESIQPMRNLPLTRPTPVTPT DRKRPRTEDPSEGDIDLPSAKRRDIRAITLAQHKNRQAVPYGQRRSQKLAQKKTPLFL FRQLADEDQSPQSPESPEPPHPVIKILRHPSSIAKSNKAGSSTDTSQTPSTPSRPWGI RGLLSSVPRSINKLLRTSFGEPAGVTDSTIPVTTPSAEPTQSTPILATSEPEVEHEVE PAVSSIVSIDPDTLPTEPSKVSDEQPEASPPEPKNTPSELTYSLFPKPLDRSLFFGLK KDGVTSSENSQNSDSLAAAEIVDKVKATPVSKPKKRKRSPSPDVIPNPPGVSYGMDMR YFGYSSESEEEEEELPRPKSPKLQPLSAKGILRERKRVRFDVSPQDTPSKLRLQQSWA TAGPSTPSRVASTRSNLANEVGFAEQTVPSSGIKPTSIGSANIPSSPESPRAPSPPIT NVTGTYKLDYDLYFSDEDDEGEGAPSPKVEVSSDTSVLRWPVANPFTPVSSGGVRGVT GGKFGREFGQLRGGLVV UREG_07296 MDASKAEHHPWFYSHTEKYLPTSKPTAPRMIQRYQPIKTPRTLY PTHGVSIIPSLDNLSACQNLFANSTNFACSSTCDVFEVDEDGRGTWNYCLGDEKLVAY LKVCHYIYSSFLPLTRLSQSFSLGQTRMPSQHLKLRAIFCTLRRYPGTSFGYQVSLTS PTAEELLTGLRASPHFFSLMLGEPDYWAPLYIPTRSDNGVSRAEAMWQHPRYNIHTRQ QPCSVYMGYDFSEACTTYIIVSGENEGYVERTKGRLSDYFHPEGGLSATATEQINDPF LLQSILCHESLTDGKENMRKLRHRLYDQLDIVGEYAKEPFDKSNLKEMTNQLHLISQD VDSVLASTEMAGMISRHMAHVRQRMLDISPNTFRKSNIGEALVYLVDSIDAQKRWLHS LKSRKDIAMNLVFNLVTQQDSETSTSIARDTKDDSASMKIIAVMTMLFLPTTAVSGFF SMSFFTSPDPLQASTTIWLFAAVTFPLTVLIILGSKCSV UREG_07297 MADVSSAALAYHEPAIVTILIQSSFFIALNLINYVLDRLVYCGL VGQIFIAVPMGLSFSLIRLVSATPLQAFVAGAALSSTSLGTTFTILTTSGLTKTKLGT VLTSAAMMDDVVGLILIQVILNLSGSGASVSAVTIVRPLAVSLGFLAVLLLGCRFIVK PLTRILLQSWQKDSRSFLIIQAHADHVAFLIHTAVLIGLTTGAIFSGTSGLFAAYLAG VLISWWDSEFLTPNPNPPSRNEQQNENQPQVSQKNEESPCDVSVVLTEPRATASIGFA IPITRMFQGETVWRGFVYTALMLIGKAVTGLWLVRFNVGIPRSGILQNLQRVLPVRCN GKPNGPRETTSAGTENQATGLSRNRNVERQSLTASKSKWSKPRSLYPATIVGMAMVAR GEIGMLIACLAESNATFSESSSSGSSETNDVSQSFLIVTWAILLCTIIGPIFVGTLVR RLNRLQKRREDGGPDPLGQWGLL UREG_07298 MPPRIPIPSCSRSLNGPLCSWFSGLALGPSIYSRSASSKKKRSK KHHDPFALALARQRKAANVSRQQVLQEEREAALGDSVRGKPTPFTEALLNGQVIPRIT PAEPSENTSQSPGLNYFVSEDELNATLERSEKLSRPVADTKSGSFDPQKLEDETQKHL DKHKTAQEAVKRIMDLSNASSKERKLVNIQRCIETFGRHNTDTALAPKPTGAANPSAS QLPLSQPPCWSRYRLVGSSDRDFNYKDSDPGKESRKDVTQR UREG_07299 MAPSNLPPVFNATSQDMEMLLAAQCHLGSKNLQVHMDPYLWKTR PDGINVINIGKTWEKIVLAARIIAAIDNPADICVISARPYGQRAVLKFAAHTGAVAIA GRFTPGSFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDTDSPTEYVD VAIPTNNKGRHAIGLVWWLLAREVLRLRGTLATRETEWDVVVDLYFYRDPEAEEAKEL EEAKAAGVDEIGPGAVESGFGAEGWEASAGTAFGAAAATTTTTNPATATATWEATGGD WASSAPAEGWAGEAPATEAKW UREG_07300 MISEPELVDGTGVLSFSIKTEFIVKVNVVAAFGQRAPNLSYLQK MRAVHEEITEYLRRFGVKMNEYRAYEGDLRSWVVERGSAVNINDVDDVDNGSEEWGFF EVRLGTPVFPYYRASFFDIDRVLTLIKDEYTTTINKSCAMTVYVGHIAEEPYSQSYQS CGFSLPAVQTLLQFAWKYEAQINAMHPEHRIWGNPRCLPPSKMLEYMRSRTIMDSIWA CNDVNSLHRLWEGGLSAEQIRNEVAAYSIRGLTRTASPNIHNGTIRFGQHQASLEYDV VKNWVWFIGSLVQVSVERGPCGIPLTLMGLGGYASQVTLDEVSPLQFIQEIAMKDQYW YYKENLADDYGDVF UREG_07301 MSSAKASPSPAGLQTDYSSWDTARLVARITELEQQLSSSAETQP RGRSRPRNDLPPTSPLINPVESYPPGPFNPSRASSRAARPPRDIDPSKYHTRFIALKF AYLGQRYNGYEHANGNITPLPTIEEELWKALRKARLIFPHIVENSENVDESGSRSLSR PYSISWEGCDYSKCGRTDRGVSAFGQVVGVRVRSSRPKKTVEPVATPDTSTSVTPKLI SKDVESPFGGLGIDVSELEVEEKWDDVADELPYIQMLNGVLPEDIRILAWCPRPPPDF DARFSCRERRYRYFFTQPAFCPTPGPLGFVKRPGDTPGSKMREGWLDIDAMREGAKRY IGSHDFRNFCKVDASKQITNFVRKISHADIQVLDAKNLPPAFLAQQGFQQYENVDREL RDSSDVQDPALKVYYFSVHGSAFLWHQVRHMVAVLFLIGQGLEPPSIVSQLLDVSTNP RRPTYDIASDAPLVLWDCVFPKNGDENEDGLDWVYAGDPRTANSGRGDGKFGQGGVVD SIWSVWRQRKIDEVMAASLLDLAVGQGDSSSVASGGFRNPTLDKLNRSQKMFYGGNDG KASGNYVRLMQRRRNDTVEETNARWVAKGKRTAKKSSKKGDSADVEDAA UREG_07302 MPQHDRGLERGYRERDRPRSPFRNQDQDPNQGGNRKRHEREGDR GRHRDDELRGNRARSRDDSERKDRKPKEKKEKKPAAPSEPMIVVYVNDRLGTKAAIPC LASDPIRLFKAQVAARIGREPHEILLKRQGERPFKDQLSLEDYGVSNGVQLDLEVDTG D UREG_07303 MVRNIVVLGGTAHPHLNKTICDQLGIPPANILLSKFSVGETRVE IYESVRGKDVYIIQSGGGKVNDHLMELLITISACKTASAKRVTAVLPLFPYSRQSDIP YNKTGAPLVKSSLNRTDSQLSYTFESTPPTPHPGKMDSLGLANGIDGLHKGLAFAQAE ARDSSNNSSPQKARISHYVNGVPKRSDTLDSVRFEAATRNGPTSAPVNGTASQDDSAS IASNSSKMSTFQPRPGYRQWVAQAGTLVADLLTCAGADHIITMDLHDPQYQGFFDIPV DNLYGRPLLKKYIQENIPNYKSCIIVSPDAGGAKRATAIADSMGMEFALIHKERRPTK ITDRQNATMMLVGDVKDRTCILVDDLADTSNTITRAAKLLKKEGAATVYALVTHGILS GDAIDRINASALDKVIVTNTVDQDEHLKRCPKLEVLEVGHVFAEAIRRVHHGESISVL FQYD UREG_07304 MEGLWFNVDGGYVEGIVRGYRNNLLNAQSYGNLTQCDTVDDVRL QLGPAYGDFLASLPPNPSTSSLAGKTTEKLVAEFRYLQAQATGSTAKFMEYLTYGYMI DNLALLITGTLHERDTRELLERCHPLGWFETMPVLCVATNLEELYNSVLVETPLAPYF KGSLSHQDLDELNIEIVRNMLYKNYLEDFHRFVNTEPDLKDSPTSEVMTEILEFEADR RAINITLNSFGTELSKAERKKLYPEFGKLYPEGSYMLSRAEDIEGVALAVSGVGDYKA FFDAVGLNQAGGGSIGNMAGGSGADGKSLEDLFYQKEMELSKLVFTRQFTPAVIYAWI KLREQEIRNITWIAECIAQNQKERIGNYISVF UREG_07305 MTLFILTETSAGYALLKAKDKKLLKRDDLEKETQTAEGVSNLMK LKNFQKFDSATTALEEVASVVEGKVTPRLASLLESIKDEKKVSLAVADPKLGNAIGKL PGLSIQAIADSTTADLYRAIRTHLPTLIPGLLPNDISTMSLGLSHSLARYKLKFSPDK IDTMIVQAIALLDDLDKELNTYAMRVKEWYGWHFPEMAKILNDNMAYAKVVLKMGLRS NSDSADLSDILPEEIEGAVKAAANRSMGTDISNEDLENIQSLAEQVVGFADYRQQLAS YLSARMAAIAPNLTSLVGELVGARLIAHAGSLMNLSKSPASTIQILGAEKALFRALKT KHDTPKYGLIYHASLIGQATGKNKGKMARVLAAKAALGLRVDALADWEADADGNEPTE EERAALGMESRYYLEKKLAAMEGKPLKPRGVAIAPNGIPVDQPKKWDIKEARKHNPDA DGLVGDEPAATEKISKKSKKEKKLIQEIKDEEMKDAESEPEDSAESENEDEKPKKSKK KGEKSIKPEATDDVKTEALAEKAGLSVKRYLKKLERGEINFDEDGNPSSVSKKDLKKA KKEAKKAAKSDEKDAGKKRKRDEDEEAGESKSEKKKKKKSKA UREG_07306 MAQTVRLADRSVGAADEPRSSSSQLDPSLRHFADPAFDPVEFLN NSLPPLARPADTTRDPRAIPITDLSSQTQSLLSQLSAQNARLSNTLTQLTDEILRSGG RLAYEVEVLRGETVGLSDTLTDVLHGDIQKFVPEGISERLSAEAQEGQGPEEPSTNVT TQPGRTETDPDYIRDLRTLNQVRSKLEDVIHTFGEAMEWPLPPSEVSLASSFISVSAP EPGPESHSREEKGREVANNLKNEVIELLDSNGGGEAGLEAANRRVESLRQLASVWKGT IEEKARLKFVDSLAKLVEERRKLLDSQSRARDSRNARQRADSPAGLGRQSSDFNRGAN PDSGGMGLFRNLQRLRDEIYLD UREG_07307 MPRRDFIRDLQQETSSREYAGIVNIKPGEDDGSISCSFKPDAEQ AMPIDIQLLVSDLSDYPNEHKYLLFTTSDDVPYAISRCLEKVQTRLGGLRVADVLSRL SAALRNAGPLGLEESSNESADDGENNCDMEDDIEDFTGDWSPSSAFLAESNMNSSNEG LRNDGIEILIRKLASELQTAKLAGFRVGYLGNEMNPIVCISCRIGRLGISEEAMQAWR VNGQQYLLCLVRYVGRYRPLDEIMEGDATLGKTSVEILVDLCDSYKPTLDSALAALAE HHRGFETTTLGELQNKDVKPRPRSVIRSFISKPLNSLMNERFVKILRYRLLYGFSWDG AERFFNDIQGKPLGNVHPGDPRYSTDEGEALCRDRLNAIRNRRPHP UREG_07308 MKAYLSNFPSTSQPSLSSWTDRISKSALDVLRWIVASNRSCIIQ DDQDTASSPASTSEQNNPLQNRVSGMDEYTQFRFAQGAPDKEQRFLKSVASSSESSQY PTLFTWHGSPLQNWHGILREGLHYKDVLHGRAFGDGVYLSPEFHTSMTYMRNSTFGVW AQSELQISNAISLNEVVNAPKRFVSTTPHLVVKQLDWIQTRYLFVSTKKNLPYYSPMN EKRLDSYYRQDPKYTALGPNGKPIQIPMTVFSRQRRLCLEASLPRPGSGIANIATKIK AVTKRPRTEEYSKKNGASGDGWISEETDTEDILILVRGDVKGKAPVRGPSAVPEAPKS DFVPGKLVGSTLPLLGPPSYATSGATKALQRDLRTALQIQEKTPLHELGWYIEPEFIN TIYQWIVELHSFEPELPLAADLKKAGLTSIVLELRFPKDYPMSPPFVRVIRPRFLGFH QGGGGHVTAGGALCMELLTNSGWSAVSSIESVLLQVRLAMSSTDPWPARLQAGQSEKK GTVGSYGVREAVEAYIRACNIHGWEVPKDFALHMGGTWSN UREG_07309 MAVSQASPTSRSNASHWLQYFTLDLVLRALNRTFLHPFIAWLIP LCLRAQVTPFSHPSFIIATAYATVLTLLYAVAVINKRIAYGLPRDVDLEEEVVVVTGG ASGVGLLIAQMYGMRGVSVAVLDVKRPDEKQGLGFEEMPSVEYYQCDVGDRSQVEEVA RRIEHDLGTPTILINCVAASINGLPLLDLPHSAIQKTIHANLSSFFHTLQIFLPGMLA SPSGGTIVTVSSVLGYLTAAGLSDYTATKAAITAAHRTLEAELRLSDAGEKVKTILVE TGQIATQLFERVETPNNFFAPILQPVQVAREIVSVVDSGNGGLIRMPAFASLVSWYTV LPASIQKLARYVSGIDRAVQKAGYAVEKDSSKRSKAD UREG_07310 MKIKALSRSAASQQAPGTGAVRLARNLDPAQHPFERAREYTRAL NATKLERMFAAPFIAQLGSGHVDGVYCLAKDPNSLERFASGSGDGVVKVWDLANREEV WQAQAHENIVKGMCWTSDRKLLSCAADRTIKLFDPYNSASDSPPLATYLGQGAFTSVS HHGSHTSFAASSSVISIYDLSRPSSTPSQTLHWPTSTDTITSVAFNRTETSILASTAT DRSLIMYDLRTSSPLTKVILTLASNAIAWNPMEAFNFAVANEDHNAYIFDMRKMDRAL NVLKDHVAAVMDVEFSPTGEELVTASYDRTVRLWNRARGHSRDIYHTKRMQRVFSAKF TPDNKYVLSGSDDGNVRLWRAEASSRSGIKSARERQKLQYDEALKTRYSHMPEIRRIK RHRRLPKAVKKAGEIKNEEVNAIKRREENVRKNSKKGSLPARGSEREKMVLAREK UREG_07311 MQKQSYYDALTLINSLLRELKRLDDKLVLVEVQLLESRVYHALG NQPKARAALTSARTSAASVYTPPLLQAGLDMQSGMLHAEDKDFNTAYSYFIEALEGYH SLEEGGKATSALQYMLLCKIMLNSVNDVNSLLASKQAIRYAGTSLEAMKAVAKAHSNR SLEEYERALSDYRYELGSDVFIRNHLRRLYDAMLEQNLIKVIEPFSRVEIDHIAKMVG LDTQQVERKLSQMILDKVIIGVLDQGAGCLIVFDETERDRGYDAALETIDKLSGVVDV LYTNQASLLE UREG_07312 MPMPVQAHNIPNPQQVLPAQQRHVPAHPDPAVRRSRKPTDKTLP DNIEDAVIGEAVQQYKRMQEVEKRLDSTIMKKRLDLQDSVNRNTRRYRTLRLWISNTV EQQPWQQLEQNPEVPPRIGAGRYKVKIEGRLLDDATDPTAPDEGDEVDKDLPEKEKDA DAMEEDSKSQKKEDAKPSTPSTRKRLAHFFKAISVEFDKPSAPGVADLATIIWTKPAL PPNAATLPPAADFDSLEFSRAAEVNINGTITMTRDENPERFLLSNELASILDVEEESR AGIIIGLWEYIKTAGLQESEERQAVACNERLRAIFGRDKIYFPAIPELIGPHCNPLPP IKIPFTIRVDKDFHTNPVPAIYDIRIAVDDPLRNKMLQVSASPEFPNMLRRVSSLDDQ LALVVQALHHSKAKHSFYTNLSQDPVNFIRRWILSQKRDLETILGESTRAGRADSDAP EFRRGGLNSAWDTPVAKEAVRYMLARPPQPGR UREG_07313 MLDPIPPPPEWLQKLVQPFAEYVSLPSLQYHIHEVLGAFVLYQF VQSVVSPALSTWLFPKIYPNFPRRTRLNWDVHVVSLVQSTLINTLAIWVMFADKERST MNAGERVYGYSGACALIQALATGYFLWDLIVSTVHVNVFGIGMLFHAVSALWVFSLGF RPFVNFYSPVFILYELSSPFLNFHWFFDKVNMTGSRAQWYNGMLLLAVFFSCRLVWGT YQSVKVFLDIFNALGQTRASSALRAPFDVHTMIFQARNRTLCIEESCIKANDEISKFA KHQENGIPLWLVLTYLGSNLVLNSLNFYWFSKMINAVMKRFKATADDKAKENLAPQIV EKEAQAMVLEAAAVLEEEESLFITGGMKENEFENKKQDVSASSGAQIKSPGTRRRKA UREG_07314 MADPLHRADDETASPSPIVSFKKRRPRQKENIRKRPASPEKTSS HSDGDSSSSEDISRQGHRVKRRQHNTVVTAASTSETNSKANAELLSTASQASNRKVPL PATNDATKASNWYDEDTKPKMGPTRTAPTNVRMTTFIDFAPDVCKDYKKTGYCGFGQN CVFLHDRGDYKQGWELDRDWEKVTQGNKNLGGTVVASANRNAKAEDNADSDDEEEAML KNIPFACIICKESYKTPVVTRCGHYFCESCALKRYRKDPNCAACGAGTNGVFNTASRL NKLLQKKRERIERKRQQAIENGEEVSEEDEDV UREG_07315 MAPNEREGHSDRELVLKSHRHHNSISEAGRLHIPMWDSADPERA PPPLPLNPGSNSPATRANASASIQAAAAALVEKSYENTPSSYTVNPMPLKPSPEKSLV KGQYHKRMQSLQNTTTNSREFASYLERRSPEKAHRVGVYEPENKTPEKRQSRASTSTP PGRESPTHRPSSRYLSKPILGENTPPSATMLALQNMQIPIEIDLPASVLSSSTNQAPK QQHQQHQQHQQHQQHQQHQQHPQSIEALSAQIISLTSIATSLQQEMAQLSRRSKDNAT DLVSLKAATNARDEDIRKSLKELATNLSSKLLEAESSVRSYAQPGSSPFMIENKDTSP CSRKSYTLPRIPSPSSFAAAMERELAGSPAISTDGSASIALLEKVLREMATKEGQEQL LQLVEGMKSNPADKNTDGPMLKMLEEILNVVKESPNSRALVRSKATGSTPFDGSGEVS GQFANTHDRGGSPQPPNALAEDVLAILKRVKASVTEGGGITNEVKALVRELRGEVLGM GRDIARKLEEAEARRDSNDDGPAFPSKEEIEQIVEGGLVELRQQMQQVIHESQHRPTP PPVQCSLDNQVIYSTLQRALEESPLANRPPPEPAKNGIERDEILEAVREAWETYKPEI ELQNFGLERDEILECLAEGLKEYQPQKNDFESGATYEQVVEAINQGLQNFDPPRVEPD VSSIRDDLVNAALSREDVMNAVREGFESHEPAQKEPEISRDEVFEAVRAGFHDASNSL GDTFGGQVVEHFHGVVAEMRDEFKEYSAASGRDTEQVLDAIKDGLEALRGEIESYVDR AADVTGKDEIIDTKEFEHLRQTLSNLLIRSNVSNDKDEILDAIRDITESDGFKNGNSE VSEMLKEEFGHLRETLSMAIVKSNPTTEKEEIISALRESFEALLDEYSRKRDNESVLS NTSELLDAFHDGVDALRSDMDKILNKAPDTNVNDELLHTLKEGLASVKAEIEQLRDFQ READESHATRGKELMLANESSIGADIESLKVLVTQLQIKVESIEPVPEIPEIDPNIAK KEDLEEMLDAIKAMQGLVSEIGSRENPMEGTAMKEDVEAVGLLVDNIKARLDELSAST NASFVTAERVEGLEVLTKEMKDLISGFVAHVETEAPTKGDVSNLETVLKDVWAAVEDL KTTVSKDPEDDPEKIVKEDFRNVEGLLFEIKSQLDEFVLPDVQTLPTKDDFGLLTTLV NEFKEKMETENELTAQAFEARKIEHGGLAEKIEDAKALISGLRDEIKEKLMESDEGLN DLKTMVLSFNDAAENLAKAESIKELSDLVSREFERSHGDREAAKMEKEEKHSEVLAKQ EEGKDAILSELGARVDEKFNEIISKYESAQITLESKFTAAEERDVQSLETMTSTKSLA EDIKMVIGGMGENLAEACDRMSDDAKTFFERVDQSFVKVDEMHADVKTQHDDMKSQFE KALAATDRLERHIADSHPEILSSVKEILAIVGQHYEHSRKMTEELKTDLTALPLAIPP LLPQLALPAPEEPKEEPVYEKYDDSGVHEKLDSILSKELPVYEKYDDSKVHEKLDNIL SKELPEYEKYDDTQLHEKLDALLLKEIPEPEKYDDFQVQEKLDALLLKETPEPEKYDD SQTQEKLDTLLKETSATNESISRIDKLDEIHERLASMAKEVSELMATQTKIVTEDHDN KQKEAMETAIALEKRLAQKEKVEAEVVALNEEKERLLESVRSLRGDNDDLSKQHVKLS KEVAGLETALRIRREEVQLMESRAEDLERRIVEGVLDHARSQLLSRSPAGDGVGPKRV PSSASTVTRKSVTSTTKDSGILGNGVNVALKRRTAMRSNMSSTQSSNPGNSRRILSLS HVTGNRGVKDRQSPVRQIQLRFPEGVVGYSKPDGKQRKRDCEGGR UREG_07316 MKFMKVGRVAIITRGRYAGKKVVIIQPYDAGSKAHPFPYALVAG IERYPSKVTKRMGTKTVAKRSKVKPFIKTVNYNHLMPTRYTLELEGLKGAVTNDTFKE VSQREEAKKTVKKALEDRYTSGKNRWFFTPLRNDMMSRISILPNSNVLVGLDIYPGNR LLIPMVPVMLRRKINLHEYLS UREG_07317 MARMQIVPLLHGSGYQVQSQHRHAALSRRAVFDLVDIPPLTSAT FTCELASSRPKESPMAFAQTAELASIAISSDADTRKHGIAFLLGSSNGRASITRIKEK AVLHCQQPLIGTQPVKLTCINCIISSTGGPTPHELPAQSRQLAFETMPWNSEMSVMLI EGQVPPASNHPQ UREG_07318 MGEPAVNGNMPQSQFINHLTSYPIVHDSLENLKANPYGQKSLEL ADQGYSQFAKPILPYFAKPYGYVAPYVTKADELGNEGLNRMDSTIPAVITASENIRGM IGGYMNAPFRLAEDGKGYVLDTYSSERSAVGDGYFARGKAAVSTGLRLTADSCLWLRE YLVPQAKASNGKPRQNGNK UREG_07319 MDADSATVDSQSHGKAAVRDQPPDVFKSNNRPGGGEIISVQPAR LEDLQPSYAQILNHPTQSTDGHGWYSAIIHGLGELIGCLGAIPCCIVCPNPFRPVDQG QVGLVTKFGRFERAVDPGLVKVNVLSEKLKTIDVKIQIVEVPRQVCMTKDNVTLHLTS VIYYHVVSPHKVACGVAMFARHSSNEHRRHCDKVVVPRVLQDVIEQRLSTMCRPPWGV KVESMLIKDLIFSDELQESLSMAAQSKRIGESKVIAARAEVEAAKLMRAAADILSSAP AMQIRYLETMQQMAKSSNSKVIFLPAPNQTMSQLQDSLNQADNAGEGPSRHSNPFDVD TNDGFQQAMNARVIENI UREG_07320 MPRASAKPGATGFKKIAPLPSTTGAGTKGNAAPDLQSSKTPKQV KKTAQKRNKKRKAGIYDDDEESIIKAGGSDSDGSDEFTTVTTQTKSGRQIHRPTVFAP QPDPAPISAAGTAVSPVGGPEGGSPRKKRRIRRVKEANITCEHCQRGHSPAGNQIVLC DDCNGAWHQFCHDPPVEAETVSEKESQWFCSDCRPLKAPPSVASVPGVSNVETVTATV YDSNFLHSQNLVGGSNFTAEERLGYLSRLSHAALVGLLARISGENPELPIFPANLKEL RTSTFIAPFPTKPSSAATNATSQDTTLSTSLPQVAGAISPPPAKVQTQHPPATTIASS DPTPAAAPIDEYASDEEFIPEHRLYPKPGNGFRLPPDSVDLDILLEDPNCRTFSHALH GPAEMRAEAAVGVA UREG_07321 MRGYIPFQSFARRANRVVLSNGASLISKRGFSTPVKSQGTLPLA GIRVVDMTRVLAGPYCTQILGDLGAEVIKIEHPVRGDDTRAWGPPYAKYKKGTKDGPG ESAYYLAVNRNKKSLALSFAHPRGIDILHKLVKDADVLVENYIPGSLKKYKLDYETLN SLNPRLIYASITGYGQTGPYSQRAGYDVMVEAEMGLMHITGARDGPPVKVGVAVTDLT TGLYTSNAIMAALLARARTGQGQHIDSALSDCQVATLSNLASSALISGEKDSGRWGTA HPSIVPYRGYKTKDGDILLGGGNDNLFGILCQRLGHPEWKTDPRFVTNNLRVKNRPVI DGLIEETVKQKTTQEWLEILEGSGMPYSAVNDIQGTLNHTHVRARGMVQEIEHPVCGP IELVNTPVKYSHATPGIRLPPPTLGQHTNEVLQDLGFGSENIDRLRQEGIVS UREG_07322 MFTGLVETIGVVTALEPQDDTSSGGGGTSLTISDCEEILTDAQL GDSICVNGEEFASIPLLSPYSATAPLTIMETHRSMSYYHDFRQIFLQSWRGTGNSSSH EFGLPRIRV UREG_07323 MAKRRTKKRTQGPAAPQNGTIPVKNGAASMTRSPKSMVVRMGAG EVGPSVSQLVKDVRSMLEPDTASRLRERRGNKLKDYTVMTGPLGVTHLLLFSKSSTGN TNLRIALTPRGPTLHFRVENYSLCKDVMKTQKHPQVSSKSHMNPPLLVMNNFMTSNSE GETSSKKIPKHLESLTTTVFQSLFPPISPQTTPLSSIRRIMLLNRELPSASSDVDRDS YILNLRHYAITTKRVGISKRIRRLDPREQRQKDKKDRVVPNLGKLNDVADYLLDPSAA GYTSASETELDTDAEVEVMESTTRKVLNKKELQRMKAGEKKAKSTSSPNVEKRAVKLV ELGPRMKLRLMKVEEGLCGGKVMWHALKNKTQAEADQLEETWEQRRKEKELRRKIQRE NVEKKKKAEATDGQAADDEDKMEEDDDSEMWDSEDFSENDQPDEDED UREG_07324 MSSTKRRKLSHTPPREGADDGSSESESSQVSPQEVEPSDTQSTT RKSFKDLGVIDSLCEACESLGYKAPTQIQAESIPLALQGRDVIGLAETGSGKTAAFAL PILQALMDKPQSMFGLVLAPTRELAYQISEQVEALGSLISVRCAVIVGGMDMVSQAIA LGKKPHIIVATPGRLLDHLENTKGFSLRNLKYLVMDEADRLLDLDFGPILDKILKVLP KERRTYLFSATMSSKVESLQRASLSNPLRVSISSNKYQTVATLLQNYLFIPHKYKDIY FVYLLNEFPGQSVIVFTRTVNETQRLAILLRALGFGAIPLHGQLSQSARLGALGKFRS RSRNILVATDVAARGLDIPSVDLVLNYDLPSDSKTYIHRVGRTARAGKSGHAFSLVTQ FDIEIWQRIEGALGKTFDEYKTEKEEVMVLSDRVSEAQRHAITEMKELHENRGKKGAT LRNRRTGNGAKRGRDEMDREEG UREG_07325 MATEGMKQAILDAVSVYTKPEGKVFEYGTAGFRMKSELLNTVVF GVGLLAGLRSRKLKTQTIGVMITASHNPADDNGVKLIDPMAEWEAYATKLANTPLEKL GDVYEELIKEIDVTWTPWSSFGVLTAALNATGIEYTDFKYLTTPQLHYIVRCQNTLGT PYEYGEPTEQGYYEKISKAFKTVMKGRTTNGPVTVDCANGVGGPKLRELIKCGADYVK TRQRAPPSSKAAVNDRCASLDGDADRLVYYYLDTGNIFKLLDGDRIATLAASFIGELA RNAGIADKLRIGVVQTAYANGASTQYIEKVLKLPVVCTPTGVKHLHHAAMRFDVGVYF EANGHGTITFSEQALKIIRSTEPKSPAQQYALQSLIGLTELINQAVGDALSDLLLVEV ILAHKYWTPKEWISTYTDLPNRLVRIEVADRSIFKAVDAERKLESPPGLQQRIDALQS RYNRGRSFARASGTEDAVRVYAEAASRSEADDLATRVAAVVQEAGKAGKP UREG_07326 MKLSGASFRLPRSPWFLAFCLWIFFIQTAKASLGDRLPDFKECV QVCILENCEKSPVSLPIHLRLLLWDCPSECDYTCQHVVTHKRLSRDPPMLEPVLQFHG KWPFRRILGIQEFFSVFFSLLNFLAHQQGMARVRESIPASYPLRKYYLGFGYFGMASW IFSMIFHTRDFPLTEKLDYFAAGASVLYGLYLSVVRVFRLDQTRPRVKPTLLRWWSLL CCGLYVGHVSYLSFWTWDYSYNMAANVAVGITQNLLWSGFSISRYRKYMKGWTAWPGM IVAWLIVAMSLELLDFPPAWELIDAHSLWHLGTVIPTIWWYTFLIKDAQDDLIGQRLK A UREG_07327 MNGGQDAAPKASITSSADRMVGMDHAEVRYFTSYDHHGIHEEML KDEVRTRSYRDAIYQNRHIFKDKIVLDVGCGTGILSMFAVRAGAKHVIGVDMSSIIEK ARQIVEVNGMSSQITLLQGKMEEVVLPFPKVDIIISEWMGYFLLYESMLDTVLYARDR YLVPDGKIFPDKATIYLAGIEDGEYKDEKIGFWDNVYGFNYSPMKDIALAEPLVDTVE LKALVTDPCPVITFDLYTVTPQDLAFQVPFNLTAKRNDFIHAVIAWFDIDFTACHKQI RFSTGPHAKYTHWKQTVFYINEVITIEENECVTGYLSNRPNEKNKRDLDIQLTYQFET PDENRHTQGSCEYKM UREG_07328 MFPTYPIILPFKHTEQEVVDFHGAKSQQVIPGVPKFDNRRVVDG QRKMIFHKPLPVTSDGRKFELRTKVVGVYDKGKAGSVVETQQEIVDKETGEVYSTAIG SGFYVGQGNWGPATINYPPPKGQSPDVIYEYQTTALTPLLYRLNGDYNPLHADPEPGK KMGFGGVIIHGLFSWNMTAHAILQKLGGSDPQNLREFQARFASPVRPGDKLTTEMWVT GKKENGFDEVIFATKNQDGKVVLSNGRALVKVGSKSKL UREG_07329 MNSNDGGFWDFTSDLAMKDMAYTKEGLGVHTDNAYFTDPAGLQM FHLLSHTNGEGGESVLVDGFEAARTLYRENRNAYSTLRRSVFSHHASGNQDVCIKPAR SFPTFLHSPRTQELYQVRWNNEDRGAQFSASLEKLRHWYSAARAWSEILSRPGLVRQF KLEPGTPLIFDNWRMLHGRTAFTGARRMCGGYINHDDFVSRYELLNKGREQVLLEI UREG_07330 MSTLEELEDLEREDKDKKKDQEERDGKKSGQDGDAEMEDADSKK GGDDLVDEEILRSSTRDIINRRKLLDNDMRIMKSEFQRLTHEQNTMKEKIKDNLDKIE NNRQLPYLVGNVVELLDLDVEKEAAEEGANIDLDATRVGKSAVIKTSTRQTIFLPLIG LVDHEKLKPGDLIGVNKDSYLVLDTLPAEYDSRVKAMEVDEKPTEQYSDVGGLNKQIE ELVEAIVWPMKEADRFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFLKLAGP QLVQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAIGTKRFDSEKSGDREVQRTMLE LLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQILKIHS RKMTVDENVNWAELARSTDEFGGAQLKAVCVEAGMIALRKGMSKVGHEHYVDAIAEVQ AKKKDTNVGIYV UREG_07331 MLLPCRFFTAGWWLPLDVWLHLSPQFARRTNDSIVYAGWDYLHC VQILIISNWMSPTPYNPIPSEFIWTKAARNRPERMSVVAGRPPESRMSYNRPPSYRDG SPHRQPPSPTYPRVMVPPISPRSSSFSYTNYHFANSNAPNIYSPTAAQFPFRSAGRSP VFPDTPSPTFPKQLPQEGVGENSTHETKIHIHGIDSPAQLKKYKWKRGSRLKLLRRTL RERKLLANAVLELRVPELDLSISTGKHHAGLQEYVDLIASVVMACPNLERLLGITLPY THEFDRLTHALSTRKKLKEHAWIIGENVAVSERAIEQAPKLLDQGQVYQFLSFHTFWG NLETLMLHSLDSNGILEHGIVLRMLNFLPALRHLSVSSFNANDFTDRTLLFLPALTSL RLESLPGITENGLARYISRQEARGLQSLTLIEQNITSLLVVSKILASLRHLQRFAIVQ RDTAPILPEGRMVFQPLLASSSLKYLHWDLASPNPDALNQLEPQQLRKASKGANTPNF HLAQSILHAGFPSLETLRAPSDIDPLGALQAVCRPTRNGQIMLISDRYSLPRSSQGTL SKRPLAMPSGNNLTSARIRAQTLIDTSAREGDQGIKVVVTDHSADIALPLPAFSDSSS ENSFDEFDNMLESIKKRKQNSSPPQPPSTPAAITVQEFIIPTYIGRVYNSAYNKMAPT TPRFNLKPDIPNSDADGGLISWKHILTANQTWSYYTPSSPSLSVIRAGTNSSLGDDIP SPSPTSSRHTIRSPGAGSSGGSLPSPRTPTSPIPPLSPLGVGPGEQPQWARETCNGSW NQGHRQAKDWWMHVEREKIGLGGPMNPKLMELKHLF UREG_07332 MANVCGMNSSLHHDDDAQDQIGSMSTTPSGIATPQPDLADKRLP GIMHSFFTQVGPNSDYASGVENQGAASTVSPDPERLGSQAHPPLDGKQNISEKISSLS ESTAMLQLGQDSRSGASLPPEPRLGSFEEIRPSDFNPLPTPPHSSSSFCSIVQKDGEE AANGAAAADRGMTSIYQALKNLILPKTAVKLRRHTSYPVSSITHDSVHASHFSKPCLP DSSTPMASPDNSQNISKSSEELVKLTDNAATNPRIKNTPPLTPRAMSNEVHPTDKRSA HSLASSPVRSQPEARPSDRGSVTPTGSSSSQQGTLSEAHGLPVTALKGKLSVKISEGR GLRPSYDPYVVCVFEWNEYISKGVHSEQPQQNEPRNRNQLEALGSMPIQRSNSDSGRP MAIPMKSRQSSQNSVMDGYNYRANTQVTDPQWNHEAVFDVLGVESEIDVSVYDRSNQE AFLGHVKLQLNLREDKKSLAGWFPLEAREGDSHVSGEIYLQMFFQKTDRKQVGPNDFQ ILKLIGKGTFGQVYQVRKKDTQRIYAMKVLSKKVIIQKKEVAHTLGERNILVRTATTD SPFIVGLKFSFQTPSDLYLVTDYMSGGELFWHLQKEGRFQEPRAKFYIAELILALQHL HQHDIVYRDLKPENILLDANGHIALCDFGLSKANLTQNDTTNTFCGTTEYLAPEVLLD ELGYTKMVDFWSLGVLVFEMCCGWSPFYADDTQQMYKNIAFGKVRFPRDALSAEGRNF VKGLLNRNPKHRLGAKNDARELMAHPFFDDIDWDAMNRKNVIPPFKPKLKSVSDTSYF DPEFTTALDISASLNARAAALAAGAATESTPLSPGMQANFKGFTFVNESTMEQNMRDL HHDSMDEDFREDDSWVNRARSTDSMEFEHRMSGVTRNHDEGGIFSDDYHFEM UREG_07333 MAQGDIIPWVYDFGLWIFALCLSLFFREVHPRATWRVPKRGPVI IVAAPHANQFVDSLLLMRIVKNYTNRRISFLIAEKSMREPYIGIMARPMGALPVVRAM DHVKRADGQIYLPDPENDPTLVRGKGTDFTNPLFMKGGSIIPLPIGKNGPEQQNIEEI LGPEELRLRKPFKQFKPDHPLYERLRTGTEFKVAPHIDQGKMFDAVYRDLCAGACIGI FPEGGSHDRPSLLPLKAGAAIIALGTLARDPNCGLSIMPCGLNYFHPHKFRSRAVIEF GNPIQIHPDQVEAFKAGGDSKRNAVGSLLETIQDALAAVTQQAPDYETMMLIESTRRL YKPLRMKLPLPVVIELNRRLLKGYTQFKDEPQVLQLKKAVSHYNRQLNALGIRDHQVE WGDARSRPRWLVLITLLYRIGELFTLAVGTLPSLCMFWPVFVTSKVISIKKQRKALAA SVVKLQGRDVVATWKMLVAMVLAPALYTWYSVIVTLWLRYCRHDGYYSSIVPWWMNAR VYIPDFIPLWIFYIFFFGLMIFVSFAGLRIGEIGIDVMKSLPPLFVALNPLSINSLAR IRAERQAVSAQVVEVINLFGPEIFPNFESEKLVDYGQGHDDAYWSQLKTVAPSESEYG GESRSRNSRSSSTSSSFPQYDGLLKPLTPMESRDDTGELNKRIRDAMQERGRKRFESE CMADEDESSEDISGGSGAGAGAADKKTN UREG_07334 MSLSRDPRLTGARLFPNALDSPASAKPYTMRELKLMQVFDSEIT SKWRIEAMALDPDDITEAMMDWIIEELQFKAKLYKETGLTYVFDGDVVKSDLKIPKFL QESLKVAVAPLEDVPEEEKDYHPYSGDQVLNLVHPSLFPLVYGRTRIVQDGSFTPDEG VKRSGEGEVIEWPGYEQQVQGSTNSWSTKFQWLPCNISFVPTAPSGDQSAANFGSESF RCKINSYINNLHPDDHGDLYSILEEIITRTVPLWNMTLSGLGMRFNKRINYNDVKYDP DPDDIPEEQYPQPEENEPDYSYWARLETWKQEIRQVVLPEPGKFIPRPVSSKYTFLKD YGKAIRTLEQDQEVDLYESFKASGLQVIVKLANIYLTPEDPDYTGGLWHVEGQLNERI CATALYYYDSENITDSRLSFRQSISEDFSLEIGYEQFHFEWQEKVFGLEEEALAVQEL GSVSCKEGRLLTFPNIFQHRVEPFHLKDPTKPGHRKIVALFLVDPNVRITSTAEVPAQ RKDWWLRQVPLDNILTRLPQELGDQVQRELSGFPMTMEEAQELRLELMDERVAYADDD EWPDTFIYSLCEH UREG_07335 MPKKRRTAIRAAATPKKEAAPKHNPEDSDVEMPDAPVSPSNAEA DQEEEEAEQEEDTDEQIAGQEPVEPTDEEAAQTPAQEQEQDQEPDREDAEGDDEQEPT VPSTPLPESGEPSRQDTPSRTPGRMSAIPPGPRRRRPGRPPKNRPPDWEAPEPSEPRD ANAPPRKRGRGRPSAGGRWAKSRGGPSHVTQVPVDKEGNMMNVINDEVDLPEDPEGEQ KVDKLGNLLGGREYRVRTFTILDRGERHYMLSTEPARCIGFRDSYLFFQKHKLLYKII IDDDAKRNLIERDIIPHSYKGRAIGVVTARSVFREFGAKIIIGGRKVIDDYHAQAARE RGDVEGELAVPEDILPGPGETYDRNRYVAWHGASSVYHSGAPSVPMPAGKVMDAKKKK VVVTGDNWMVEHARASSAFNSSLANFRREASKGVYDIHTNQMLYPKDMQPSYIRVERV AHEEESAAEKSKLIDSANRELQRLKLSAPDSPAINGVASEDSTHTGANSIAVDGDENS TPAPNATTADAKSASTTIFPPVASRVARHFAVQDVYYETPAYTALGSPGPNSSGHSNG LITIDPNNGNPVFNMDPEILKELPPDCREAYIDAAMKEFEWKRQFTHVGSDRGFTRPR MSYAYHQ UREG_07336 MLVRERFGDKYGGHPSRRGFATSWPQFDVDSRRCRKAPLTSSKE PSGGLRHRSPQLPAFSNSSRVLVATLIQSSFVRLVLFVTPRHHSLTAACFRVSPPKNS RGQQMTNGGQHTRGQAQEHSAISKQNKKPPERPLANDETLHRSRETQEMSRLIPRPLP PPKRPPCLPLRLP UREG_07337 MPSFYAAAMNNGFPPTPPHIHIDTRMEVDQAYYPTGHPGHAARY ANGCDLPDQYPPSTAYGNRPSMPAHQHQLHSARVNREMKPSNMSQPQVPMYPSMNTGT SLPPLRSNTTLPPMDSAIPSQYRRREPTAQQEQRPKEQRSTGGVAAHLDYDMDQMTDF VAEMAQGMYALYTSKIRLSDIDIIRSVYPGTTVPRQFRKYVYQILSSTRLPSSTILLG LYYLASRMRMLSSGEVYASGTGEVYRMLTIGLLLGSKFLDDNTFQNRSWSEVSNIPVS DLNSMELDWLFAFDWKIHNRIHSQSDGFMTWKAHWDTWRAKSDARVYDSRTKLAPIDT NIQRQHSIPKPLLSPEGPIPPQYQRSGWLTPMSSDYSPPSAPHTGPTTPDYYQVAPWP LFNNPAQFSRPWVLPQQFVTSRSQPQSYQHTPSYAQAFSPTVWTGHGNACGCLYCAKS QEHYLPTVPFGVQPVAG UREG_07338 MGKPRLIILIRHAQSEGNKNREIHQTVPDHRVKLTPEGHRQAQE AGRRLRAMLRPDDTLHFFTSPYRRTRETTEGILSSLTSDDPSPSPFPRNTIKVYEEPR LREQDFGNFQPCSAAMERMWQERADYGHFFYRIPNGESAADAYDRVSGFNESLWRLFS EDDFASVCVLVTHGLMTRVFLMKWYHFSVEYFEDLRNINHCEFVVMKKDADNGKYILQ NHLRTWSELKMQRDLEKQKAKAAREAAFGTDVSSTNPAAVGSVIPIRRKWGGCPDGCN HGYKPYRARLPIIQNQVSKSEAEEPSSDAVNSQTQTEQNAPKSTPFTTTPLPLAPLDF NTTTTTATTTATLESELTRGLAILQLGGGRDAGGSRSGATSRASFTSNRADDEDLTPI INARNLPGPHHDDDNNNDNQEDIHGKTPRPPSIHTFSSGQRQRQQPTDDPGAKRPHAM ANSLGDTDDNGLASRLSSDPCSDEDTDINIVAGGCGKADTCAPLLPGREKSGSDELEE EEEAVDEIKTREQSVQGSVY UREG_07339 MTDPLYELLVPYFDRDNASQRPRPPAADATTAKYLGRLSTLSLS SLNSTELQSLSQSSHSSLVSLQALANRSHKAFIASADSLSSLQTSIPKLAGETAALRD AIPKLDEEAVSFSSRYSKSTENQILDRRRKAMQLARNVDRLLDILELPALLATAVSSS SVNAGVVASAAASTNYSSALDLFAHIKRLQTLYPDSPLVKDVVCQAEDSMRDMASNLI ASLRVQNIRLAAAMRTVGWLRRVAPELELPAREGLGSTNSEGAFGALFLVCRLANLLK MLDALEPLRELADQEKAKRLQQNAKSSDAASGTKDLWSGGQQTERFLKRYIEIFREQS FAIVSMYKNIFAPAPTEADLAAASIPGLDLQNLNLDGKGSNQKSSGGVDDQLHRLPPA LATFPMHLAQLLLDTLKEYLPNVRDKGSRESLLTQVLYCAASLGRLGGDFSMLLSALG EEGEDEDQDTVPEWERMMKNHRMLAERSLHTICCVRGDGLTPFNGYSLKIVLPAQSLD NIQMAALSGPSTPSLSGGLIDPTKQAEYPILLGSRLAGKERSRAGRFINVAYNYKTKG TSPHQKSTIAAGDASDLYKLTIQDKAGNSEQTNLTYIYHGSIDPASSVSESETSNLVL VFDPKRKAFILEPVSTKLNFNLRSAPGKTEKQVLEQYQQLSILSENEGTSGDGADGDK DDDDDASGTADEDNPYDYRHFLPKKSTETERKTERESTRSTPDAHSLADKTLAPNSTT SPPKPKPKPLSRNKPQTNPLRPQTKRVTKPAAAKVGNHPKTKPKSAPRVDPEDLVEEL SAPEAEPKPEPVAPTAASSNNIIVDGNLIIDLGSPPPERPKFKLNPRDFASGNTSANE GAVGSDHNEDEDIRSPSPPRLAGSRAWQTPAQQEPEEEEEEEEEEEEEDEEEEVSDEA EIEAEQEPDDDMAEDDGFAAEMEAAFNQSVREEEEQRARDLLLEQQQQRHIVSDEESE VSEEE UREG_07340 MPSISSNTQSSAPPVPSPSNPVLLATQSQWLFTDTELLRTPSIL DGMAIEAEHTSRGKGVNFIMQVGILLKLPQLTLCTASVYLHRFFMRYSMVDLPQRPGM HPYSVAATALFLATKVEENCRKMRELIIACCRVALKQPNLVVDEQSKEFWKWRDTILH NEDLLLEALCFDLQLEQPYRLLYDFLCFFQHQDHKPLRNSAWAFINDSIYTVLCLQFP ARTIAASALYAAARHCNISFNDDELGRPWWEQLDVNLRDLRRACNKMAELYERSPVPK PGQKYPSIPSSEGDAADKTRHVIPMLNKGGEEGNSSEEQNGSLSPGEISERKRSREPD DLAASVKPNSRISANGAQDHGGGQRSPKRQKRDDSETSAANSKSPSSQPQLQSNIRPQ TSLAAVTSTSDIRSNSNSISPETITPTSTANPSQTENARPNGHSNPEHHKTRSKSPLA ATRTNDKPPSSRIPASYQRRAELPPRPPVGLPPKPPVG UREG_07341 MPYNTRRKSLSLPSLGIHLPNASRSHRSPSTSKSPATTENQPPS KKVKRSHDSESKPLSTISEGRNGRVAGPYGHTPPPSPADAGVGAKIDTEGINDDIIVS VIEQLEKTGNRPHLIKELATVLSTTNTTIATSANAAALISSRLSLYLKRVWSALAPCP IAKEQIPVHPRKVFFYLTNSPAQPLPEDSSDIISPPATIKQITPSLSNASVDLDGEDD ADDALERGRMSPSPEVDLSSPAFEHEEAGSLVEHSTPGRPPTPAGSYSVRTRMPDSFR LSHGSRTVSPPLEGDEKEFTLTASSVRERTSAEDLVAKQNDNPVPEGSRVEGEAPRDH VNMDDCFAAHEAQSQAQEYAYLTEDGVDAADVEVFGTSPSPSASSELSLSSASSTTSE AEIDDCSATKPIAPFDSTPSKMGGVSGCKRSLDMIDTGFGLHLDSALDSWLDLQSPEA VEIHELDAIFADI UREG_07342 MSPTGMRQVLGRAGPKHLGQAMARKPPVDLLSAASLRRTAGKKS PPVPEASKSSIPPASRPVRPKMLTLSAVAVFAFSTYGTYLFVSYNKAVEASKSLNVPY DLSDRYDRSTRTYDSEVDKSEVLIRLGKRLKELIHHGKGECAGIRCQPERGQILLLEH GRSYYGWVNKLLDDLAPAHANQHGCWWNRDIGKIVEQSGLEIVESKRWHLGTTWKYVL RPRQGTDRANEKMEISSLDSEKAAGGSSLLA UREG_07343 MASTELPESILIVGGGVFGLSTALALTRRHTGKITLVESSPTIP NPHGSSVDASRIIRADYANGAYARLATAAIKQWQTTQWGYEGRYTRNGLVLVSSGDAE GSQYVKRSYENVKALDKSGKKVESLPTKRDVENVIPGYGMGETVAGGYVNWGSGWGDA EASVRFAKQLLDQTNRVDFRTGTVKRLLLTPEQPAAKRKVTGVELADSTTITADLVIL ATGAWTGRIVDLRGRADATGQALAYIRITDEEQSQLANMPTILNFTTGMFIIPPRNNL LKIARHAYGYRNPKRFPNPSSNKGTIEASLPENGLPIPPEGEHACRTALREMLPAFAN RPFVKTRICWYSDTPRGDFLITHHPSFNSLFLATGGSGHAFKFLPVIGDKVVDALEGK LDPELKELWSWPELLHPVGANGEVPVFWTEDGSRSGPKGMILAEELAKGQVTSKL UREG_07344 MDSLTTHPSTAQQAKAFTSPASLSFPGGAGDLTPPSSEKEPNGM TNGQAGGQQGANGASPATPAATPGAGPGVSGLLPTLQMLKAMLFSRNIVATVNLDCRL DLKTIALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKNSGFNAKFTDF KIQNIVGSCDIKFSIRLEGLASRHHNFSSYEPELFPGLIYRMMKPKIVLLIFVSGKIV LTGAKVREEIYQAFEMIYPVLTGKLSLSVVWTS UREG_07345 MASKSAILPLLRREVLPASARSWTKAASTNCGPRYSTRVAEVAQ SSTKRMRRKDLWAQPAVNGQRRNLSGTAARRNAAASEEAFDPSQIERESDNVDVCIVG GGPAGLAAAIKLKQLANEAGNEDFRVLVLEKAGEIGDHIVSGNVLQPSAMNELLPDWL SEDNPSRFEHATPAKQDRMRFLTQNSTFWLPEPPQMNNHGNYILSLSQLCKWLGERAE ELGVEIYPGFAASELLYKPDGSVKGVATNDMGIGRNGQPKDSFQRGMEFHARVTLLGE GCHGSLTKQVVKKFDLRRDCQPQTYGLGIKEVWEVKPENFRKGEITHSMGYPLPSDTY GGSFMYHFGDNLVAVGLVVGLDYPNPWLSPYGEFQKLKHHPLFKSVLEGGKCIAYAGR ALVEGGLQSVPKCAFPGGALIGDSAGFMNVPKVKGTHTSMLSGMLAAKATFKALSGTE DTGSVFLYEYEDALRESPIWKELNEVRNMRPSFGTALGLYGGILYSGLEAYLFKGRVP WTFNHHSTDPAATKPASECPKIDYPKPDGVLSFDIMTSVNRTGTNHEEDQPVHLQVED WDKHTDAAWPKYQGVENRFCPAGVYEYIEDPSKPHGETRMDVCLRDSVYNIDPLLLWR FACRSQHVRLVGLVEKPAKVHIIIGGPETRFEHQGGRNCTLYWTHVDSTKSEAVDFGA SIEFTTIHPSTNLTTLPILNGDDTWIRRKLIRDLISFRIHLWRARVYIKVEKNPSIPG RTLIPFLEERPFCPFVPLLRNAQLLVVSLPTMSEVSSTRLYLGNLPRNDLSKIRFSKQ INTSWTWLDPFLDFSFGRERLTHKGITFAWTLSFPVTKQDVEEHFNQHGSGKITDIKL MNGFGFIEYEDAMDARDVVPGEDTVLLFRWSPLSTTGQDHMDSEFY UREG_07346 MTAPPVILIAPGLPCAVVVIHPGMITTGVLRVATALEDTIVNAL LHLCAATITSVMGMVAALHHRVLVWTIIRHLAGPMRTLMTSGIRRRAIMMIRTCKVDT DVGL UREG_07347 MDPQLDYFVDYINLRNIRFPLPLAFTPDAWNRPKKPQPAVISLR VAVPRSLIKVASEVDDTPSTLNYSALYRRLESAIRTRVASATAPDAGEDGNLYLGGLI DLCEEAARAEQDELLSRYGMERAECLSVETVLYFPKAVLRAAGGLRCVGSSTGRGREK RECTIENIRCYCIIGINEHERLEKQAVDITLVFNLREGRPDTSTLLQRDYPRIARSVA DAVDASAFKTVEALATEVARIVIVDFHFAELTVRAEKPSALAFVEHSGVEMTRTADYF AIEKKGHETSMEG UREG_07348 MASGAWTLEELTVSDVFAPQTAENLELSEPFPPKQAEQIAPHDN GGSSTGFRMTAEVSPTRNRGKGLNLGNNGNATQAVGNSKKKKKNKRRQNPGVPPEPFI PSSTAKCSSTDPSIMSKGFSPRLLPLHGPGKSPALRPTTPNGGISALKMQLDALNLTK CQPPSSNASVLGSETETSCANHEKLYLILEYAQGGELFTHLAMERMFTEDVAAFYMAE LVLALEHLHHNIGVIYRDLKPENCLLDTEGHLLLTDFGLSKVALNGEDRCNSSLGTVE YMAPEVIQGKPYGKACDWWSLGALGFDLLTGSPPFKGNNNARISEKIIKQKLVLPYFL GPDAKDLLTRLLRKEPNKRLGSNMPKDINTIKNHRFFRKIDWKALERRELDPPIRPVV TDPALAENFSADFTTLPLSPVVTSKGGFRDRLSKAEEGFSAGGPTYPRPMESNAAIHD DPFGGFSFVAPSSLLDSEYNCGRGCMA UREG_07349 MIICLRPGGRILPGSWLRLSNRRCRIPLPARGFNTKLGRSGSSA ESSPVHPYITRASRSRATRIPCPVPRSERTDIAKWVVFLDKYLPADLRAHHTDTPEDE LPNEPEQCQAILQLLFQARSLMNFDLLTYMGLKLGRWQAVRALVDKLLDNMEALRSKH PDLGGLPSNINWGETGLSFDEITEDKRYPTLSLSVQEEANYQTLESYDSYSEEPVLGA RMGETNLRVGTMEELWQTLGYFIIEAADLPPDESRAVMRQFYSILARLHNLGYIPKDV YKEAPNGGEISPLRPPIIHLLTTRIMSTLTDTILEANMEKLAVSTGQRPSMQLLRSSE RQLGFGVWLELVLWCCVEGGYAREAAWILQHVRKRSKEWKVASFANLLKINGPVDRRK IDYQDTWEHCGKFATSPGREIAKGPFLGMGERTITHEVVLAVMDGLANTVRTGVSFRG DSVRQVWERLGLLRGILQKNQLTVRSGLVNYLIMRILEAGGIVVEVKPQSLDLLLNVA PSMATADELDISLEELLRLLRKGAMPRNSGFILGLYHYTLNAYAATGHISGTIDVLEK LVGTVDYNKVLMIRQSILESKYLIKAHNVRKTKFSFSSKLSRETSLFSGLQIPSSSLA VLLNLLTDSKVFSLASWMVNPRESVGPIISTGMYSDEVLSPALIHFATETKNSALLSN VLESLPRPLPENILHPLLDYRIANFQWKHTLELLMYLRHVKRILWEPKNVASLAAAII RLDQPSDRFTQFTSQENHDQTLRQERNFIPDQMLYQFQNIFQSIGSPSLSHICETAKL KWQGQIDPLCYIPADAFRTLLSAVVEVHGCIAGRELYDKWCMYPATPRAQRINSGGNT TLYFSSHLDPEAGGVEPYFKSHWHAWRDRKLVMPDLSVVRTIALGALSEQNKHEKSST NDVAEGVTSVLDWCVGMFFDLGLSEREVDRELDGHLTRARGRIRREKDALIVNSAAGS D UREG_07350 MGPAPHQSFFAQTPVPAGVPRDPRPLRDRSFQARISQEILEYLT QNNFELEMKHSLTQNTLRSPTQKDFNYIFQWLYRRIDPGYKFQKSIDSEVPPILKQLR YPFEKSITKSQLAAVGGQNWPTFLGMLHWMMQLAQMLERYFMDQYDYACAEAGVDVTG DRIIFRFLSGAYHDWLQGGEDEDDAEAEKRLIPHVEAMAAEFERGNEKYIQEMQALEE ENRALRDQIEELEKNAPDMAKLEKHFKILEDDKRKFEDYNENVQGKIEKYDNRIKLLE EEVKKVDGELQSAEEERLDLQSSVDKQGITIQDIDRMNSERDRLQKSVEDILVRLEET HARVMEKEAEANRKLEELEDVVKTYNTLAYQTGLIPSAATNAKQDNYELHLNVNQNNF SSSQLGRSQNRGSPEGDRLLADAFTGYSPANLINLDLRGVVRNNFVALRKDIYERRKI ALDDDMNRRDLLDNIKEAMEEKRSEVEALEHKRRAAGGRIRKDKRNYNNAEIGVGRSD UREG_07351 MAREELISSAVTCTHSVKSLILSRILQDPSVASAPLDKKIAFLQ SKNLTKEEIDIAFARTGEEKTSTPVVSNQTGGYAPQQPRQIAVNQGYSYGPNSWQLQA PPPELPRRDWRDWFIMATVVGGVGYGLYVVAKRYIAPLIAPPTPPQLQQDKESIDEQF SRAFALLDQLSSDTSTLKATEDARTEKLDTTLREVEDVVTELKSSSRRRDDETRRIAE EVRNLKDGIPKAIEGSREGNEKRLRELGAELKSLKLLLGNRLGANPSAGATLASPASP NPETNGASTGAQAPPTNPISSPAPPNFFASVPAPKDPSKSPFASLGKPASIPAWQMAA TSKSKPAASTPPSTDDVEGSSSAGADTTTANAPPS UREG_07352 MLRNRGELAEGWYDPKTLNKARDAGISRGPSEARSPSRASSHSR ARPAVYEEYSRRTRSITPGDEVSRAGTHPHGSPGRPAYEDERLADGDEDSDTYGPQIP IEQYTLSESRESRRIDRSGPKVPTTQDLQLRRGTNGNTPPCPPLTSHPQYIYLTKTIP TAEAQLSSAQEARETQRLSHTQSLKSHKSELRHIADEITPRAEPGTRERRLEKRREAA SSNRAFAESRRAASPGEAPDAELMGGEGDLDTLKSEREKEVRKKNEREIRKEEMLRAR AAEREERLKSYRQKEEETISYLRALAKERFG UREG_07353 MAHVPSENELALVKVLYSALPAVSASFFFIATCIRAAADAVILT AWAAPGASSPTVNGKLFYSWASCLLWFVNLLWYIDSAAPTAYPFYGTWAMYLAAEVLF LLVTLRLQLPRTSSEVLIGTFRLSLLVTLILTASGSRFVLTGKGGRDEENTPLLIAQG EPRPIQGTYGSCENNSAAQSDVDASSKTNGRSDLSPEDDLVDDGDRKTLWDIVEFLRA FLPFFWPSGEPGRQLLYVGIGGCLVVERVMNVIIPLQLGLITNLLTKSDGVVPWKEIA IFIALRFLDSSGGLTALRSFMWMPLEDLSYRKISTTAFNHIMSLSCDFHDSKASGAVW QTIIRGQYVKDVVNHICFLVIPTMIDLVLAVSILYYLFDAYMALVTAAVTVMFLWTSN KIINIQKTKQRESIEKRTREFTLLCESTVNWKTVSYFNRVPHEQYRYHSAVGEHLKSR FGLRIWGCIENTLQSFVLLLGLMVACLIAAYQVSLGFKPIGSFVMMLSYWAQLSSPLQ SLANGFEHTVQDMVDAEELLRLLRQKPTVADSLNAKPLSFERGCIEFKGVQFSYDGKR EVLRNINFKASPGQTTAIVGKTGGGKSTILKLLCRFYDPAAGVVNIDGQDISSVTLQS LREILGIVPQDPILFCESIMANIRYAKLDATDEEIVDACKAVALHEKIMSFPDGYDTL VGERGMKLSGGELQRVAIARAMIKNPKVVLLDEATSSVDSETEAHVQKSLKLLCAGRT TIVIAHRLSTIMNADQILVVNDGEIVEKGSHSQLLRAKGYYHRLCSWQGFSHTDTLED KAPQAVINDIGFVDTTVEDITCSQMQSGSTTARSPFEAAKIERPDIRSCSITSQIPQV SIGQDLCEHCCRCLNATSSTKTSKIMNHTSGNLKPEAPEFVPRAFQNYSSGFSHPYYI QVDANHNTLNSRYVDHPPSGYASDTGESVEIRTGPTKEKFPDTEVEAKPDTGIRPTLT SPDKRPNNDVKSIGASLKEPSKTKQADIFNGDEVLSAIENNKTKSIIRRKLSKSEPAG LINMNGTTENSSRGSEESQSSGHGVTANERPPAKLSENVSKHRRRRRRNNWRRRKEMR TNASTQSGTDGMRSSSE UREG_07354 MHILVVNDDGPPSNQSSPYVHSLVHHLQSAGHAVSVVLPHRQRS WIGKAHLVGATVKPMYFRPGTLHQDDGTIHHLPREFDGETGGVGDEWMLVDSTPASCV QIGLFHYNQDRGPVDLVISGPNYGRNTTAVFSLSSGTIGGALEAAVCGVKAVALSFAF SSRHHDPVIIAEASKLSIRLIEHLYKNWGDGVDLYSINVPLEPGVEHEKILYTPILNN RWKSGSCFEAIDAEASGEGPDLQEQQLREGEMTRDESEKPTGPQYRHRHFKWAPKFTD VYRSVDESEPGNDGWAVKMGYTSVTPLKANFMHLQGYTGEITLERDIPKIHAIVECDD EYVQPLVLNALQKALKGVPYQLISSLSNLPSESSPVLQYRVYEKSDFDHVLAHPSTSL VNSYVIRKALIRKHYLSNTIANWITKHPDSILQKHFKPAVEFELDYAEFLDEALLEAY ELRESLEKNEELEESEKEWWILKPGMSDRGQGIRLFNSEASLQAIFEEWEEEEEDDEV EDDTAEKGDHVVDNAVVTSQLRHFIAQPYIDPPLLLPSDNRKFHIRVYVLAVGSLKVY VHTEMLALFAEMPYATPWEKCGVEELTRHLTNTCLQANSAGGLSADSVRRFWDLDDSV PSLPSSWKERAYEQICAVTGEVFEAAARGMMVHFQTLPNAFELFGVDFLVDDHGDVWL LELNAFPDFRQTGDDLKDKVVGTLFEEVVEVAVNPFFGIGSANGHDISIPGPAGSEEK SRLRLVASMDLGRKS UREG_07355 MAGSDSQRSETPEDTLRATITAPFKAIASKSAQRAYLGTLFFLV TVFSLLGVSIVSYWVFYYNYVPQISLERLVHLQFGDGHPTGSALIGPELAHSQAYDVT VILYLPRTPSNLAAGNFMVDLALLEGTHGAMANVSSSTIMRSRRPAILTYSSRLVDTA RRISRMPLYMLNWKREADTLKIPMMERVEFMKGRKHIPGTARLEIESDERMQFYKAMI RFDARFSGLRWLMYNWRILSFVTFSSMFWLTSVAATSAVWMALSSSSALEDTVPEIEK AESEDNGGSVKDESEDDDHFGLSEEIIQKRERSLTRVIKEEEEIEESTMIEPLMPVNL GNTTEAGEASASTQSRPRSDADIQGHRRMLRRKSPVIKDPKD UREG_07356 MTFPSPSHSAKSSIASGTDKSSISRPARSQQSPWGTAASQNTIR RGLTPLATNISSSSTGGSPSRRPPQSQSPAPSTSASSPLTSSFSAVLSSTSRFSVGRS NPSPASTPASFTALQAGAQQQQQQQPGQSLTSPKFRSLTPSSAPHLATSATLTSGGGG SGGGGGGGGGSGISRGATFSPLLTGVNSPTGFSSDKPNSAGASSAGVSSSQSSLSKIS VAQVFLLLDSINEKEGKEKWETKAAQIHKLVDSNGMEVFSKYFRRLLSGNAAQIFPGT NKAVENAGNYPLLVQEMQKVSLDMDQARKIAETIDSSEGDIFRDFDLSTFLDHFKLDP IAKVALILAFKNVSRTDLRTKADAILSTSVPQFLQSLSAVSDSNKDFNPSFIGLVIER FIFNPPRNLNDDLKRKLIYAARMRFQASEADVPPDIEGPLQMFSFSDPRLTLVRQIQA RGPKSLASQDAIMETVSASGADAYNEEQIANTILFIIYSQNWQQYSLELLVATVQQNQ GNHPISWQRIVQFFDKEGLRIDARQFLRLFNALLPVAHDDPNFDIQLLWGGTWQNKDA QFSFVTAFLSSNIDTSKIPNFRSTFPINIFDDASEMVRQQAESIQNNPLRSLDAVKAI FDLILLSPGTWALPESQAFVKTILQHDLPTFLCSAFSIPQPWTNVQINFVIRSFMIFL SKRQEGYQFALHGSWKLDRQWVGEQLFHAFTQDPSCTELIYEHAVEHTWLDYLLGFTN GLAMDLASLAHRKDSFDLEQWVKSAARKTPVDMGGLLSKFLRIKAEDELRVQRKEQPA PQMVSLAVKTVYALLLILEDYITDHENLTPIQHICLQSYPRLINYGEGFDDIIDANGA NGNAIPEEVDKQMKDLFGKMYREELSLREILELMRRYKSSREPGEQDLFTCMVHGLID EYHCYHEYPLEALSKTAVMFGGIINFNLISGIPLKVGLGMILDAVRDHEPHQSVYKFG VEAIEQLISRLPEWPGFCGLLLQVPSLQGSAIYRKAEDVLRAQGHRIGDSDRDVNGLA DGLALANGAVDDLVGTDGVPRKFRSLYVDPPLRPEIYREPEEDVHDKILFILNNVSEQ NINAKLKDLQDVLQDEHHQWFASYIVEQRAKVQPNFQQLYLDLLDLIDDKILWAEVLR ETFVSAIRLLNAESTLNSSTDRAHLKNLGGWLGSLTIAKDKPIRHKNIYFKDLLIEAF DTQRLTVVIPFTCKVLGQAMKSTVFKPPNPWLMDIIALLMEIYHFAEIKMILKFEIEV LCGDLQLDHKAIEPSTCIRERPPQLEDSLTATSIAEGLEGFDEMSLAGLNRASRNERI SPAAIISSLPNLDQILVYPPSANTVMDPHVLRQIVHTAVERAIAEIIAPVVERSITIA SISTAQLILKDFAMEPDEEKVRHAAGAMVRALAGSLAVVTCKEPLKINMTNYIRMIQQ EYAEQPMPEGLILMCVNDNLDAACGIVEKAAEERSLPEIEKVIESQLEARRRHRIARP NEPYIDPSISRWGFFIPEPYRQVPGGLNKEQLAIYEEFAHQSRGAGQNHIQNASADSN KQLPDVLQEGFPAIPNLSTPAEQPALPHPMAQTQQDASVQQQALTTAQPQLNGFLQSA NPREKVEKLVTDLQQTAREAPEEHIKDLWRDSPILQEYNQILRAILSSPNGEELARLT AIKICSTLYTQAEKTLEIEILVHLLSKICELSSLVARYTWSVLAEVEDGQMFNVPVTV ALIDASLIDLHRVDLILTKLIKEKNVAALELLAALMARVLFNDEPSALRSDFSGSLAA MNQWLVEDPNLTIANDIISKLRESGIPESANTLLTDQARTKRDQMEYIFSEWIGVYKF SGANDKMHSTFLKDLHQRQVMNNQEDSALFFRLSIDISVAMFEHECQNLTGNLDEAFL YIDALAKLVILLVKFQGESDGAVKRSKPAYLNSILSLLVLVLNHHQVMRGDNFNQRVF FRLFSSILCEYAMSGLPQTEQHKEMMHVFSDKILSLQPKHCPGFVYGWLSLISHRVFM AGMLTMEDQSGWESFCEIVQVMISYIGEQLKPGNVSFVAKDLYKGVLRILLILHHDFP EFVAENHFRFCTVIPAHCSQLRNLVLSAYPSSFQKLPDPFRDGLRVDRLDEIRQAPKI SGDIIAPLQNANVKNAVDNALKNISATDSAVQQICDAIYNPSINSTGLYFAPVSVDVV LLEALVLYIGQSAVSSPSSKEGSIPPSVLLEKLVEVFNPEARYYFLSSIANQLRYPNS HTYYFSNMMLELFGSNQSEQGGTDIREQIIRVLLERLIVHRPHPWGLIITLQELLQNG TYPFFRLPFIQAAPEIGRLFEALLQHIQQQSPRPS UREG_07357 MVLATDKRRKRRNTTRLTEALKNRTSITGIVGEVGASGIKSVRD ETGNKKIDGVLQSTGVVPSRAAIEDEYARKLLALCRKPLGSYESGTLRASLDTVRGET EAIGKTHSAIASQMKSELEEPLAAFAGGMKERRKIVQYGIEKLLKTKIHQLHAVNKSR DRYEQDCLRIKGYLAQGHMVMGQEERKNKAKLEKTQIQLASNSSEYEAAVKVLEETTG RWNKEWKAACDKFQDLEEERLDFTKSSLWTYANVASTACSCEKIRVSLEGCEVEKDIS LFIKERGTGQEIPDPPKYINFCRGDLNDTSSETSEEESYSVAQFQRTINPAFRSASPQ PSTYESHHDPDSELARRMGHGDPGTPASREATVTPQKSVKPAQPPQPVQTVEPPQKVQ PVQPVQPIQPVQTPQPTQPVQSMHQMQPESTQQAHQQVQAFEQSLLTDTRRGGNLPPN YEPSQHGDIPNVPHNEYPTDGMTMFCRTGPPSERGSTISVNRPSSRDSHSYSELSNPT SFSSIEPPSGKQSPTKPTNGVPMPGMANMKQPVQKKRSTFFSNSPFRRKSKHEKERQN SQQATPPSTNRNTWAAPKQSKTASQIYQRQPTFEPERRTRSPELIDPRANFQLNVGNN VFDVASPEATARRGPQAAGNKENDLDPIAQALAELKGVGKQSSVRVSADRYHGISTPA PPSAASSQPLAPIQDRNKAAAKRGTPPPSYSDTAPIKRLDPPRPAFTSAQMQKTTQKY VGQTQNLFSSSTRSQRSAAPSQPTSQGQSVSRAVSPAPRRSASPQPVVNTERRQSQYS INKPSSNSYQSSSMNSRYRQSPATTPTKPVAEPSYSQQYPQRASPSPAPRASPSVLHR AVSPQPQFIRPERPASSSGMELQLSTNQMEMHTGGQMGGEYGGHSRSYSHVDNTQRPM SMYYGSASDVGTSVQPYRSRSRSVAAPDGRPLSRDGRPILHFARAMYSYTAAIPEELG FTKGDILAVLRHQDDGWWEAEITGSPTHPGLVPSNYLQRC UREG_07358 MTVPTDDMEKLHLEESSEGDFWNMSSKQRPKHSSGKFAEDASSN QGIPSSEEREVALRAELQMLRNINNVIEGTIDSLERAKDNMGNVSRTVDSASTLLDTW TRVLSQTEHNQRLILNPSWQGATQDIAKMENEAALKQQAAERRELEQQQRKEALARKA AEEERKKAEAAAATSRGLRGASSRGRTRVAGRNPSISSTAQTGQTSLRNQSRGTADAA PSSVRASGPPNADHNTHITILPTPPGVLRISLLSSMGR UREG_07359 MTTTPPPRGRLQTPSAPLHGAGYDTYSPYSGSPRRSKRLATQND TDNSAQDSDTFGLDLHSDEKSDAALDGNPHTLDCDSDDSLSTPNSPKKSPSSNSDKQH NLLSPRAKNHASDITSGFPSLPSSNISSEGTNHSVTMTAGMLPTPAKTPRKKRVPNPG PVARTLFADSTQVMDSEQPSQKRSRGGKFNGFSLESFHSDPQQNSGSIDIYTDVRDRI PTLNPSVDNPFISRPGETNTPKNDEPGKAKRRKVSKDDDNERDSAVDDMVKRDDGVLY VFRGKKIFRKFSPEHIDEADDNEDLGLLGSMDGASGTYTRPLTRSSIRPRVLFPTAEQ LRAREAKTKAKETARQTRRAETEEDAPPDAIRTPTAPSKATQLAIPSTPLASGRSLRS QTKKLEPEMTPDNSGNRSGNRSSPFDRWTRIKSKSSPAAKGKKRTSSSMDRNNQPQRK KIHQSE UREG_07360 MSSPGGRRKYQEMQRRKHLSRANLTSPSKSRASQLLDENTDYAV DDEDDEETLRLKLAAIEARLKLKKLKQQNGSTSKVADASTEGNGFRGGPGKRYLGDRN ERIDDARSANDVQVPLSPLRKIVTPKDPISPRRVILGIDKGLKGSEVSLRRPPGSRDA ARPGSSFSTTRPTSRLGAGPSTVSQSFPSYDSPKIKSFSERLRESKAADKAKWEKAQN VARNRRTGFEVDKRELENFKAAAEATKQPSTSLATERSQPQQFSRTDIVHAYNRPRSP IRRSKTISTVHDRPSSRDTVTHGRSNSFTETGRPEDDHGMHDKKTPDPSKFEPYSGLH LSNRILPHSYVTRKTESMKRLRIPDLLRTVKGPEFELPDTDGDYVVFGVVGSKSTPRE HKDKKQGAEKEKDPYDDGLNNTAKYMVFTLTDLKWSIDLFLFATAFPKYYKMSPGTLI AILNPAIMPPPPHKTNTNAFSLTVSSSDDTILEIGTAQDINFCKAVKKDGKVCDSWID GRKTDFCDFHVEIQLKKTTAQRMEVNNGPGFGRGPRSGRFGNRGGHGRGGQENGLRNE GAAYDRGSGSTYYVTPPVPGIHRSAAGMIDADNPFSGNGDFFHRRGESQGDRLRKRLA DQEKERNIARRLGEFKSVGSEYLRIQHGEAAQDPSQPSTSGAPASQQRRPDEPPSARV VLGLDKLSKNASNVRLGRIKKRDFDTSTGSGNNNGRSSPVKKTRFITAKGIREAGRES LGTNPGACSDDDLDIVG UREG_07361 MRTRSSAATAPATATEVAVTPSNTGKPRGQSKFLILPSDASKDA RFALLENPRTGVRGRYYFCPKLGLFELTALTPPASTPRSVLFVESRLEADNDDGDDAQ QPEAPGEMQDGIEGSEGDHTVHNGSTSKTAEVIVATPIDAIFFLLPILAPSTTSKSSR RLFQPLDDILDAQEDLSKHLRSFLLDKEFRSKVERRMTAICDTVEAGETMFRLSEEKL VTELLAKAEKMAGAGLPPSMEERFVRRALELPILSISREDSSKTTSTEVSTTNISKND LDSQSSTTTVSTTATAEASSTSVSSTATPATELSQPPLQNDNAFQTSQITHLLRIRTA LQYIQSSYLPPHLSTRVDEILASPSSPKDFTTLTEHLKHLTNLRAEAAASRSMYDNFS RKRGLDDEEAEAAAEKRRKQEEEERKKKAKESRGVRELKKVNTSGMKKLSSFFGKKT UREG_07362 MAELVPRTQSIADVYPADTPGDFKVAPDQQERWADLIKRFKQLH GQLPDFVARSPGRVNIIGEHIDYSLYDVLPTALRVDVLVAIRTVETEGSDGTVKLANV NGTKFPVREFIVPGGRDIDIDASKPDWANYFKAGLLVALKFLREKSGRSSFVPLSMQA LVDGTVPPGGGVSSSAAFVCASALAVVKAHGHDISKQDLLDISLVSERSVGVYSGGMD QAASIFSRRGYLLYVHFFPKFRTEYVPIPKTEPEITFLVAQSFVTSHKAETAPKHYNL RVAECTLAALVLAKLHSIELPKDASSLGYSFRTYHHELMRKEGRLQDPLEYQLDSIIL GVLELLTQEQGYTREEIAQILGLTVPDLESKFLSAFPVQAERFLLRQRALHCFKEARR VLDFKACLSRADHLDEHGVNYLGQLMNESQESCRTLYDCSCPEVNEMCEIALRAGSFG SRLTGAGWGGCTVHMIPQLKVDAVTSALKREYYDKRFPGLSEEKLKEAMVISKPSSGS FVISGSAITDVMQGDHS UREG_07363 MLSATSRSALRAIPSKPSHLTSRQFASTPIAAALSPHRRSTQKL VTGETTRRGQSTATATASQTRPIPSPAFNQDTKQDLSPLQNRQQPGLDDSFVGLSGGE IFHEMMLRLDVKHILFDAIYNSKHFDFVLPRHEQGAGHMAQGYARASGKPGVVLVTSG PGATNVITPIQDALSDGTPMVVFCGQVPTAAIGTDAFQEADVIGISRACTKWNVMVKN VAELPRRIKEAFEIATSGRPGPVLVDLPKDVTAGILRKPIPMASSLPSHPSAATIAAR EVSRNQLEATLKRVANLVNVAKKPVLYVGQGLLARPDGPALLKQLADKVCIPVTTTLQ GLGGFDELDPKSLHMLGMHGSAYANMAMQEADLILAVGARFDDRVTGNIAKFAPQAKI AAQEGRGGIVHFEVMPKNINKVVEATEAVEGDCADNIALLLPQLKPVSERPEWFAQIN DWKARFPLSLYERQTPEGLIKPQYVIEKLSDLTAHMKDRTIITTGVGQHQMWAAQHFR WRHPRTMITSGGLGTMGYGLPSAIGAKVARPDCLVVDVDGDASFNMTLTEMSTAAQFN IGVKILVLNNEEQGMVTQWQSLFYEDRFAHTHQKNPDFVKLSEAMGVQARRCAQPEDV ESSLKWLLESDGPAFLEVITDKKVPVLPMVPAGKALHEFLVYDEAKEKARRALMKKRT GH UREG_07364 MASLPPQSTTPGRIRSTTSRDSNSEHNALPQETSSYTATSSSET EDRESSSEPVFPRSPAQSTSFAGIEVEDITDTNKEAENPDTVNGTDAAGTSSSNIAAS APNHPDENEPKKCWICYSDETEDTPLNSEWRSPCPCALSAHEACLLDWLADLENPKSR RYSGRSAKMHCPQCKSEIVIARPRSLIVEMLRRAERLAGRLVLPGVLFTLAGTVWAGC CAHGVYSMYLIFGTQETKRILEAGTGQPWNPRMNLGLPTIPLTLIFSRTRYAESLLPA IPVIFFATHRPGEVPLDLDMWPPSASVTFAVLPYAKSFYNLLYEWSFGKLERKWIAEV QPRAGESEGNGQAGQDGHQNEDNQVHDHMAENEGEVLMEIGLELELGMGDGDEPPVAI QAVARGGDNQPAGAQVEGQEGNQEGAPANQILGRRQHEIIQDTSNIADTVLGALLFPA ISAGMGGLLKFTLPKSWTTAPASLEKGRAGFFQTKWGRSVVGGCLFVLLKDALVLYCR WKLAQTHRKRRVLNYDRVKKRVVDP UREG_07365 MRQQLSPVLASLANVFKIPLRPSTLNQTCGEILAQNSSRSTTPS TRSFTSSAALAKRGAKTKPDKKVTLIRYFLNHPLTPRPLRFSRDRYLRHWTIHRAWNL YQAKRRAARDLELERMHASMRAACEELRTGAGDGGRLFRVAMIKKGVFTDGVPIEVGR LQTETPSREGWNYAWKR UREG_07366 MAHQQSENVLRRKLVIIGDGACGKTSLLSVFTLGYFPTHYVPTV FENYVTDCRVDGRSVQLALWDTAGQEDYERLRPLAYSKAHVLLIAFSVDTPDSLENVK HKWIEEANERCPNVPIILVGLKKDLREDPLAIEEMRRRSMRFVSSKDGSETATQIGAR KYLECSSLTGEGVDDVFEAATRAALLTFDEDNRGSCCVIL UREG_07367 MPSNSKRSMAPPATVPKHSSTAGTSNSRPPTQSSSTNAKSSPAP AAPQDARISSPDSIESPGAPTPPTTVNRKKQKRRQKQAARLAAERQSESAAPTPTQNG DSRHDINSNIGGPPTQGQYVAYGHEELDYTDDEAHYSPHAHGHSHHVNNGMFLESHQS AQRKGKKKKGRKSRSHSHQAEGSSTSMSTPSASLARPVALPPLSSSAYRSAHKVTKDR IWNTSTHEERERIKEFWLQLGEEERRSLVKVEKEAVLRKMKEQQKHSCSCTVCGRKRT AIEEELEVLYDAYYEELEQYANHKQGSFENGAPIGAPPRLYQTPLRTLDRHSHHPIPQ HPSRGRVQELPDDDEDLDDDYDEDDEDDEPYSEDELEDTARTTRADFFAFGNSLTVKD GILTVADDLLKNDGKHFIDMMEQLAERRMQREEDTQYAAASAAHQSMHGGHNHGPPLD EEEYDEEEEEDYDSQDDEEYEEDEMDAMTEEQRMEEGRRMFQIFAARMFEQRVLTAYR EKIARERQERLIQELEEENRLDVEREAKKAREAQKKKDKKRLQKQAKEEEKQKREAER AAEEAAQKALEEKRLEEQRKKKEEQRKKREAEKKAQEEDRLRKEAERQSDLRKSRSSG AAVQAAKRASQSGAAHLPPGLQHPQGPATVHSPHLQVATPVVPKAPTPMRPRQASQQG SHASSPRSQPAHIDISQAISLSPGTAGISHNPGKPTSQPPLLHHPQPSAPLSPFGNAG RGSHTLGFNAMPSINGMPSNAGGVPAMGPRMPIGPDMSMYTNHSGHLGGQFRAFGSPD NIPLPPGITGPRHLGQGRGFPMDTGHTSHPFHSQPGATGALPAAPSQVPHNQGTPLTH TRQPSLPFDRNSLENQPISRPAPIQRPGSTVPHDQHKDESKRNQQEIDELSTQLGSSA LLDDSDIPLTSPPSQPLPSTITPGLPGSGRVGFGTPSLFSDPLGSSKSPFPLGAPGSG AGWASHNPFEAPGFPAGPSWGPGSGTGWSANAFGILGGSHRAHTSRPVAIRLSVIQAC KQLSTASGADKSGYHNVNQILRHVEQSSSPNEPLITLDEMLDICDTEGNAQNGGGSFA IKNEGPRGTFVKFEPDNNSISSSTRGSIVPGDIGSPVPSSILPAPPGSGPRQFATTNI SPTTGF UREG_07368 MASGPHSSEDQGRLLEEALGIVRQQSIMMRRCLETPGKLMDALK CASTLISELRTPSLPPKQYYELYMAVFDALRHLSDYLRESHPVNHLADLYELVQYAGN IIPRLYLMITVGTVYMAIPDAPVKEIMKDMMEMSRGVQHPVRGLFLRYYLSGQARDHL PTGTEEGPQGNQQDSINFILTNFVEMNKLWVRLQHQGHSREREQRTQERRELEVLVGS NLVRLSQLVDLETYKSVILQPLLEQVVQCRDVLAQEYLLEVITKAFPDEYHLHTLDVL LTAISRLNPHVDMKKIVIGLMDRLSSYASRDTDNNATVEAKREVEEEATRKLLERVKI SKETKPAETEEARQNGTTRPEEASKEEDGEQKSDKDQEPPPEASTEASNEDENHTPKT TLPGDTKLYEIFYDQVVNLVMTRGLPVQDTIALLVSLANLALNIYPSRLEYIDQILEF ATQKTLDHADSADLHSAPAQSSLLNLLLAPVHSYVSIFTALSLPNYIPLYTAQSYPTR RAVAGDVIRNILKNKILISTTQNLDNVLQILKVLIREGMQQPLGYPGVSTQRRGETDE TIEEQGWLARLVHFIQGSNNETQFKLLQALRTAYLEGNERIRYTTPALITASLKLARN LKKREHLEDNFQAQSAAVYRFMHQCISTLYQRVNSGCAELSLRLFVLCGQVADQAGFE EFSYEFFAQAFTIYEDSISDSRAQFQAVCILVSALYGTRNFSRENYDTLITKAALHGS KLLKKPDQCRAVYLASHLWWVMDAPRAEGEEPAVVYRDGKRVLECLQRALRVADACMD TAVSVELFVEILNRYVYYFDQGNENVTIKYLNGLIELIQSNLQTNQVDGNVNSSLENP KRHFQRTLEYIKSRGYEGVVLGPAV UREG_07369 MASRPTKRSRRPRTAVRKYTDDAFEAAGLSPEVSESEQTVPEKH KSGRAEDEDFVMGEDKEREVSDEEDEADFDEAESAVGSTLDKIEQADQKRLAQVDSNM THSRGLLKPANHTAKLTQLKLTFGTSVQDLLPIIWTRDHWAYGRDATFPSAESFQAAQ NGITYGIGGSFCYDSAKLAMEAGKGWDWYYSPVGDKLLKSQHLEIINAEARGKYLPRN RGEKHTILVGPPGKQKEFRLGNSEFFDFGAAWEAPKPKEAKRGRHKKADKQFQDAEND PADHSDTAPEVERIREGWLLNLGNKVQCAAWAPNCTGTTQYLAVVVPLSESQKTAECD LKYTGAPAFTPSPPYPAAIQIWSFEAQEGESSPRPLNMALKPRLRLVLCTEYGDLKRL SWCPMPRKARPLNMENKGPLNLGLLAGIWGDGTVKVLDVNVEENSEETQWLKVQSPMF QAKPPSTLCTCLAWLSPSDLAVGCANGFIAVWSLPASCARPQSSPIPYIYTPIYNTYI LNIHSAYPTYPYLLASSCIDGQSKLSSLADPQVDTAEALRTRMGTPNLSYSPFFQTFV TTDEADYVRMHPVRRFFAPVLTLRSTSNVTSVAPCSPCHPTILVGSAGGVAMASNPLQ RLLNSKNKHFQQTWFSHEWVHRKNDGNGERIGVSRFYEGFKAEIPNLLRSAPQGKISS NVMTIYEEETAVTVLAFNPNESCAGWTCAGLGCGLLRVEDLTLRKRC UREG_07370 MDEQMTPSAFSGTLHHYSEKLCAFEYGSASERPKPHSLLFIGGL SDGLGTVPYIKDLAKTLEPTSWSVFAVLLSSSYNGWGMVSLDKDVEEIGNCVKYVKTY KSAKNGDTPTMVALMGHSTGSQDVLHYLYSVSAPDRPQVEGAILQAPVSDRENLLQFL RAGDAATPSEELTKVYDGLVYLAKVNVTNCSKNAILPLASTARIGLDPEVPMSSHRFL SLASPDSPESPLEDDLFSSDLGDERLEQTFGAICSKGILKGSLLVLPGGSDEYIKKGH GKSWYRGSKGI UREG_07371 MAPPDKYSVILPTYNERKNLPIICWLIEKTFRENNLNWEVIIVD DASPDGTQDIAKQLQGLWGEDHIVLKPREGKLGLGTAYVHGLKFVTGNFVVIMDADFS HHPKFIPEMIKIQKETNCDIVSGTRYANRGNLRGGVYGWDLWRKLTSRGANLIADIML MPGVSDLTGSFRLYKKSVLERVIRVTESKGYTFQMEMMVRAKAMGYKVEECPITFVDR LYGESKLGGEEIVEYLKGVFTLWLKV UREG_07372 MADSTIASPKDISSPPRIQSSHAASQTASSTRVSAASTFSVPVN KSFSFLLRTDIYHPLSQLEVPSAFRSEFPVLPPNPSLQSTLSILDALLNDGHFLPAAH FSALILTSPIISANDHVTIFSLFYTRLACLELCGNTLLAAQEAKALEDLSSAFYYLDS DATGDRSHHIVPWPLRVLAVRLQSIGFGDARRGIAGLYELSLEARKQILRPEIGYEEK NMWKERLNDLGVRVVNTLIEMGDLEAARRSLANMNTPLENDLAVMRMALLHLRIGDLE AARLLLETSPRAGGGILGPLLSMAEGRFADAVTEWRSLGESHLGKENETVITQNLAVC LLYIGKLNESKEILESLIGNNKSFQSLTFNLATIYELCSENSGNLKVGLTEQISKQPP SRDINWERPNANFKITN UREG_07373 MAHRAAHSRSSSGWGRQKQKPPPDPLEKPGYASKGDSALVEIQA QESYFRLIMDRYAQFCRDNSDNLQAAFTSLPRDPSEDATKNPPASLPQKQDPKKQAAQ RTTLAAKELSVILVALRKLREAILATAAKTPVPFSQEVHIFCVRTALLAGHPPSYYPP LERLLTTLNTPAHPLGISALNEFLTYFIFDFACRQEDIVTAIRLRDRAEVKIGYQHEI LDKALLALTHDDWISFWKTRDHADGYIRSLMDWAADNMQLRALKAIGKSYMTVDLEFL IESCTGRKDGCTWEELVQKHNLGWKRDNNKVTIRIRK UREG_07374 MSVVQRRQVSVQTEVEEATDGTTNTARIVKTTIRTIHWDDLPHW LRDNQHIHAGYRPASKSFVKSFKSLAYIHNETVNIYSHLLPALLSVPLSIAIYRAISP RYETASHADITAFGCFFAGAAFCLGMSSLYHTISNHSPLVAYIGNACDYVGIVGLIAG SFVPSVYYGFYCMPNLQVLYWSMICLLGLGCATVSTIPRFRTPAWRPFRATMFVSMGL SAVFPVIHGLVIFGFAQMRRQIGLWWLLLQGFLYILGAAIYAARVPERLWPGKFDIVG HSHQVFHLLVVLAACAHLTGLLEAFDYRHSGVAPACAG UREG_07375 MAPQDRLSQVSSHLNYPQGMLHGQVAIITGSGQGIGAEAARMFA NEGAKVVVADLDNKKAEAVAKSINDASPGRAIAVAGDVTDSQYLKRVVQKAAEFGNGK IHIIVNNAGYTWDGVIHKLSDAQWEKMLAVHATAPFKLVQAAAPYFRVKDGEPRVIVN ISSTSGIHGNAGQANYAVGKAGMVGLTKTIAKEWGPQFGVRANTIAFGFVLTRLTQAK EAGAFITTPEGEKVALGIPTSQLANKVGETTEQYVDIPLRRPASPTEAARSILGVVSP LFSYVNGQTIMVTGGRNM UREG_07376 MHTGEHDHPFSFPGGWPEIPIDETPKFQGKDSSQQRHVQKRSQL GRLVPPSWMSSPSSTSWSLEHVSCSGLHMREGRGLRIRPADEPWPTKPQTMQSSTSWS TSVGEVRHSALSAPKPVVQEVGLALSTKGTHPPLQPKTLRVEPRQHMHVMAHWEAEGE NGNARLSPCQRLMHICTLTDEAGQPGVSWAKRVQVESPNLACFFAVLRPGQAAI UREG_07377 MLPTPPQSPPPVSFCDAPEDRLGLLLANRLELTAILGVGAYGVV YSAIDIQTHVSYAVKALNKSGLDPRQRKFQQREIKLHHMASHHPNVVSLVRILDSHDC TFVVLEFCPEGDLFSNITERNQFVGNDFLAKRAFLQILDAVDFCHSIGIYHRDLKPEN ILVSDHGMTVKLADFGLATTDSYTSDFGCGSTFYMSPECQQSNSTPYASAPNDVWSLG VILVNLCCGRNPWKKASPEDSTFNAYLKDREFLRTILPLSPELNSILKRIFDCDPLSR ISLPELRKVVLECPRFTVRSGCNMPITPTSSCSSYDYAKAPFGYIPFNPYPPSPAEPI EAEYSSSAVSDTSLTDDDSSVSSSSSSEYAPPNSLNQFKFVPATIPPNYWGSFIPIIG DKPAVRQCHLEPLVAGEGEQQKSILLALPVDMVVIIPTYSWNSHDLDNESLCFVLNPT MDRSWNGLRLNTSSKRGIDIIHSSHDTF UREG_07378 MCYTAGSLWMAAFKLPMWLISDIYICASTRLFEEPCTPVAGLFN LSGTPEFVPWFNLGNIGDVLQALGLDSVTKVTRPRHFNWKDAGTRGVELSKD UREG_07379 MSVNGHVAIIHPSIYVHMRFVESGRTRMKPAGRDSFTCDIFLPG RTVAVQYQQIKRQKQSDMCGRLSGGWPLGAFFPNRSSWEFEIELWWHECVHDTWKFLP PRLFANYKATTGRMEGIGQQLVDPRSSPI UREG_07380 MAKHRRRSTCTYGRKLPGCPSAWLREDQHRGIELAKSGRFASDC DGFDINEPPHSHAKP UREG_07381 MASRRSRSPSTPSEGEIIESGSETKATTSQTSLNDINVDRNPRN EARSPKSPGSVGRSSRRDRSRSRSPYRASRGEKRRRDDHDYERGSRRALNPRHDDRYY SRNESRRQRQPYYDHDRSETYNRYRLSYNDDYDRRAEKRPRTRSRSPYRESRKQKRYS DEEAKDERPSAGSAGGRLRHEGRRLSTEQSVSERRNPSVDAQKSRQETEFRGTQMQQA FATESSVSNDPAPRSDVTTEDEVPSEPVDEAALIERRRKRREAIKAKYRGQATPLLVQ ALQIGTETGSTASNASESASKADSSARQGSPANTPDETSAAQSPTDLHVSRDEDLANT DLQNRSGIEKEEASAADYDPTADMRQEKMRHDKRQFNEDMSASAYDETKVTKQEVLVP EPTAEQPNHMKAKDPFDMFAEDDDMFAEEPPTTMTQNEQSQVPILPSVAQPQELDMSM MDNWDDPEGYYNVMLGELINGRYHVQQNLGKGMFSSVVRAMDSKTGKLVAVKIIRNND TMRKAGFKEIEILQQLRAADPEDRKHIIKFERQFEHKAHLCMVFENLSMNLREVLKKF GRDVGINLRAIRAYAQQMFLGLSLLRKCNILHADLKPDNLLVNENRNLLKLCDLGSAS PVTENEITPYLVSRFYRAPEIILGIPYDYAIDVWSIGCTLFELYSGKILFTGRTNNQM LRSMMECRGKFPPKFLRRGSLTHLHFDDLLNFHSLEEDKITGRMVTKILDFKKPTRDL KSRLMGKGARLSDAETKEINLFIDLLDRCLSLNPEKRCTPSEALRHPFIARTKT UREG_07382 MGGQSREGGKVKPLKAPKKEKKELDDDELAFREKQKADAKAKKE MADKAKGKGPMNTGQQGIKKSGKK UREG_07383 MERASGRSAILNPISTKKPSADKPFIPPPRKDSRASPAQPLHTA TKAPTTSSNIPNDMGSPFRIDTFDFATSQSGIREGGRHSSGSKSGYHENTLPALPVAS PDQSPPLRSDARIQDVPLEPDYSKLRSLDLDPQPVSRFSMTTFATTEAGSPPGTSRPS EEKDIPAIPSIPADIVMKATRIRARKPTPSQLSASTSKTLPRSPPEMEASSRIEGMEA KLRDLARRRGNINTIISELTQVIQPSAIAYDLATRSEVTKTVKSLNIELDDIRKEEHD LGLKLHRAYKKRDEADEYSEPSGLWIRRVTS UREG_07384 MDSAGNVSQGAEVASIASPINLVLFSLFVVVVYMQFRPKAPVSL PKEPAPVVFRTFTPTTLIEFNGQGNKPVYLAVRGKVFDVSPGRNFYGPGGPYENFAGR DATRGLACQSFDEDMLTKDLKGPLDDLHGLDQEQLENLRGWEERFLEKYLVVGKLVAE SDPEAPK UREG_07385 MATVVIEAPRLHSNVLKDNFGHVTLDVSRHGGDEAPVSLIPAPL RGGNFALDEAVIDALPIPGTKVISARKYGMSLWGKTAQICTKLPDGRLEEYFLKTVSL GSHGRVMIEGEFESLKAIHSVTPGFVPFPHAWGKYKSGAEETYFMLAEFREVGEQPPN HIKFTTRLAELHKKSKSPTGKFGFHITTCHGKLPQITDCWEDSWEALYKKQLAHMINF DREKNGEWPEFELLCNLTLERVIPRLLRPLQTNGRSIKPCLVHGDLWDENTATDMDTG RPFVFDSCAFYAHHEYEIGNWRAARHRLSGDIYVKNYRRRFPISEPEDEWDDRNLLYS LRYDLGAAVLIPGCNLRRM UREG_07386 MFLSTPHRGSIHARALNGLLSFALGFSQKSYVAQLDTGSETLDD INDHFRTICEGLHIISLYETLPVSFGAGIKRIIVGKGSGILGYPTEFSAPLIADHHSI CKFPNRQDANYKMVFELVRKLIEQLQLTLADSCLGIDGEVNHSRLLGIIDFSDDHFRV NLNEGEPELCDWFFDRESFTQWLEDEKRCKILWITGLPGSGKSVLASMAIDYLQKKYS AQNCQYYFFTAFQPENNNPAYFARALLLQLMLSHPKVEEAIMQLNNQTGLDFKSETFE HLWNFVFCRLFTIEFEGPLYWVIDGIEGQELSVPLLDVFAKMQPGKVTIKVLIFSRVN APLRSGCNPVINDRISVTETAKNIRTFVQKMIPLSTATEQIVTGAEGSFLWAGLAVEL LLGRESPEVGLTDMMLESIFRDMVPKYNFMLARLKAMPKDCQDLAIRVLSWATLSARP LKLVELEEAIEYELEGRGPVKENATRLEEAIEYDLEGRGPVKENVTEVCGHFIRIEKG IVSLVHSNVREYLLTRPYEPHPFLDFQVAHEYQATICLRYLCNDKWQDTPFTTSWNRA KKEEKQVSLGKSHHFLDYAWVNWVFHVSNCKKNSPKLLRLLERFFSKFILSWIGAAAY SSNLRVVIDGMKSLEDYVNKSRLVVPIRKTSTAEEFPEEGFHFLERCIHDVRRLVAKF GPSLVRHPFIIDTRLRPLLPRGSPLYSPNKPGSISLTVKGRIQERFDESFAQLTIEEE EFISRVICFGEYFLTLLPRKGTIIIWHAETFDEFQRFSHHEPVNLVSVDATGALAVSA GDVTIRIWDILTGAQVSCFAKEPFATVVDLGFEGDETVYFIYDYCAILTYNFRDKSVT SNHILRTGKKVKNHASLKAIEMNVRTKEIAAAFNDGTIIVWAPQEGIYRQRSLHVPGQ ESKEQIDAPEILRWRPGSDAILFSDLEELYILHLDTTIVRWGLGMCYNVLYRNTGARE MVFNTAGTLLLTSDSFGTLNVWAMPDFELVYRLQREEFVRDLAFSPDDQRIYGVRDSN CFVWYPNELIQFSKRDSVKELMPSGFNRGIVDITSPRTRVPHITCLACDRFNKFFCCG RDNASVDDSARVILKRLGVKEDGSWVTKTVLNIRITEMAEQLTFDPDEDYLLVSTETN DRVWDLKSKKERYRVNRGSRSVTKWVQHPSNKKHLLRIDSAGLHIHEWPTLRHLVTKS TPFTERYSFQEGVDITKGRSRISRWFIDGLAEDQLSLQSLTVSINKLYIVYELPDELT REIMNSDKQKPSFGVIHTSGLGSAEKGPFAHRLLTDSTGVVKRFLGFFYDRIVFIDRD NWICTRTINQTLDGVFIERFFYIPNDWITAFNLTVLTISSHGTLLIPLNGELYSANKR NIVTRYPDGFVILNEQNNYTTLYNVTIVGITTQRVFFNYNLKGDPTMSPEGLQQQDAP DSALAADYSVRGLRLQLFILGMFWQKFSSARSNLKSHALGVFRATEAIAWTSIFPYVY FMIESFAEVKQAGIPVYAGLLITVFTFCEFISGIIWARISDRIGRKATLVIGTFCGIF ATVSFGLSRSMTAAVLSRALGGLANPNVGVVQTCIGELVRERKQQGISMHDFPL UREG_07387 MPVATSFPLKNTSIRIPSRGLGTFQVDPSVYPEGSVKASVLHAL KLGYKHIDAALAYGWGAVERDIGAAIRESGVPREELFVVTKLHNCFHAPEDVEVGMDM SLKNLGLDYGVSNFSSPKLKRLLSVCRIHPVVNQVELNPYFPQKQLLRFCQEHDIHVT AYGPLGCAPVPYLIGRKGPGPLEDPTVRHLPS UREG_07388 MSVAEQRLEVDSEIDDADGFYYDDQLSSYTASVTKSVTNFPEEN GRRYHAFCEGRYLIPNDERENNRLDHLHRMVLGNDLSPIQPSFVPPNVKFIVDDVEAD WVYENSPFDFIHARSVELSIKNMPRLVSQAYRALKPGGWFECHGWDTRVQSFDGSTNG TSLDQFNQIVLDGFAKGGYYTRFEPDKMEQWYADAGFNKFHIRKYSIPLGTWPKDKGY VRILNFPVKESQKGANSEYAQKTLGASHLHQAEEGLEGMAMAVLTRLGQWKPEEVRIM VANVRMDFRNRRIHPVFNFYVCYGQRPE UREG_07389 MDPHCKELSSPSLVNFSLSILILLGILLSYVPQHHRIISRRSSF GISPYFVLLGTTSGNSGFANILLLPRSAEDIACCKEISGFSCFAGLLGILQVGAQATC FAIILALFVIFFSRPDTSPTSKHDEAPSFRTALLVAAACIIHAVALVILSFTIGLAYP SSRQLWANIFGIMATILSSIQYFPQIYTTFMLRRVGSLSIPMMCIQTPGSLVWAASLA TRLGTEGWSTWGVYVVTALLQGTLLTMGIYFEYLNPQKVEDNVHPEGITAPPPYENRS EPSFEEDAYDRVSEQTPLLRTP UREG_07390 MDDMFNAAIEKWSDTRLKAFLDARGVPVPQGSKRNELLAKVRLH RHKASTGYSAWTFDTWTKENLGKYLSSQHQKAMEKLSSNRDELLKQAQDAYASASKTG GSNYASVTSYMASATGAAKDMTFNTWSKDDLRRYLESYGLKTKRDAEIDELREQARQN ANYFMYGTLKQEAGIFDRAWSAGQWVWDQLKIGALSGRAQGQKAADSAKDKAAGARQK VDL UREG_07391 MAEPPVSQPPADTRDEPPGDSLTTQTRSLSESSSERSPIENVFS DEFSLEPLDQFSSNRSSFTNGASSTNSPVSPLSANGERPSSMVSPFDDSPGSSRIQMQ TTWDRPITSQQNRHAPVETSSVARTTSVSSETTSNLSSNGRSLSPSRFSIPRAMSPYR GQTAPSHPYAMYPQGIGVARSLSTSTVSTIRPAERTFVAAAPPQHPYAMYSQNTVPEE AADEPLNPALPIGFPSQNQPYQVPISNQTPNEVGDIVGPDGHTEQLPPYSRYPESYFG KRELPSDEDASADSPQSPDGAADPTQAAANTDVPEDRQDPNPDPNDASGGFKERLTKK GQKKVCCGVPLWMFFLVAAVLLLGTVIGGVIGGLLGSQQGAKRVPPATDSPTATVTVT PTTLDAIPPLSSGPLRPLPTGKFSFPASTLQDNSSNCIPASAYKGTWQCRNDGYFHYE VQKDEDGNATIMMFNSYNVSGRFYYGAQPPIFSEPDYPLELKMDKTNKSLGTAYFFYT AIDKLVIVSEDEFPGPAPDRRRGHSLSQRHWPGLYRRVYASPGDKPWFCWWNSTLIEV FIYANEDSSASDTANRSQPESVTDDNFTPLL UREG_07392 MRKSLFERQKAEAEAKRAREKAETAAVYEDFLKSFEDDGDSSSS PATAGRSPSYRPSNLPPGPSAAGPARRHFTSGTMKSSAIGSLGPPPQPFSKKRTYDGY PTSSRDRDTSRGIFAFENPAGPVDAAFRTSDDEEEKTVEKKEADRAAAKPTLHLSSLP PGTSPAVVKALIPPVLVVDNVKIIPPTSQGSGERKIWSAIVTLAKETAATDMDTVVSS LQNKYLGWGFYLSISRHLSSVAVNAAIPITPGLASLTSQPFGARPVSHGPGAFGRGPP GPHRSGFAPPASYGPGYGKRSGPALQIDVKPPSDLKQLKLIHKTLESLLTYGPEFEAL LMSRPEVQKEEKWAWIWNPRSVGGVWYRWKLWDILTNSKNGTSRSRICAAPAYIFENG PSWVAPEKKLEFEFITQLDQFVSDEDYDSSEEDDSDREDERRINEASNETSDGTGHLN PLQKAKLVHLLARLPTSNTRLRRGDVARVTAFAIHHAGEGADEVVNLIVSNAKRPFAY TTANPERQRDETEARNAKADEPLEGKEANGGDGDAAEQSVKLAHREKLDTSPAQLVGL YIISDILSSSSTSGVRHAWRYRQLFETALKNHKLFEHLGRLEKELGWGRLKIEKWRRS IGNLLSLWESWCVFPQSSHEHFVQVFERPPLTENELAEEKARVEAEKNSTVFGGRGKG KWKTLDDINAKADTNDGEPSADAQKMDVDVDGQRLTDDENVDGGPMSDLDGVPMEDSD MEDLDGQALNDESPEAQEQGSEMLMEEKQLDKPATSTPESPAQRRRPKAEDMFADSDS D UREG_07393 MSNNFNEVAQQFVQFYYKTFDENRAGLSALYRAESMLTFETTSI QGAASILEKLTTLPFQKVAHQVSTLDAQPTNTGGIVVMVTGALLVDEEAKPMSYSQTF QLLPDGAGSYFVFNDIFRLISASLSGSVTITSYIIRSQSTGSRTIVNYNELPDYSKSW AQGNGYQSPWALLHARSSLTLKASLLCCTWGAKGALSLDRHSMTYIESPAFTDTSPVV EYVDIFSSLKAQHAYLLWFGSTMGTGDTFIAENDGIRKPSCGPQDLKRIDGLGLAVAK YL UREG_07394 MTIAEEFKSRNFSIYGQWYALNLKPLSMYIPFGIISIIYSPILL FIEVPFLLRICPTSSKFDAFIRRFTTNFMRAIVYGAMSAGLWLSLIIGTSALIAPAVV LAIAAFFYLVAGLMKQEFTGSKTLGGQGVAQMIV UREG_07395 MSPPNPDVPKIPPRPTRRRSDRPISPMHDTFAPSPLNALPGEAV HSNTNPPSHPPSVAALPSVGQEGMEYESIDVTNGDSDEGEARDRIQQPAETRGINQDL HLYAPKPSLPGSSAMAQVEVVTRTDSRQAEAAGFGKSASATDEEGTQNRKLSAQNEPS SEPRKSEQLEDEHGIPEIGQRAPMIPNAGDVQAPTPATLGAHNEGYRGDNSGSQRQQH ARNRSARENSLPPGSYGLHGHGVPSNDKFEKAWYEKHPEELAREEQGHYGSGIGSPRP EWVMSSDDLNKMVKSSATKGIGLDFMGTPEEEIGYIATEELASRLASPPHGSKVHQQD SQNIEAATELPKKSSDSKGLDARKDEHSPVEPTREDNREEVKIHIDEPIHHQHHPDGF MPAPDEPKPPGDDSLDDYEKDVPILAEDEIDERAEHLQPAISPALGRKENLHGIGSPE QKHSRSPSVGSNRTSARPLPSPKLLKYSSRVEELEDMRRPLEDVEEYEPLFPDDDKDE NQLSVEQKLAKHPGYHQHKFPSKDVWEDAPDSLQLQTTVSIPESPKDESTEIPEEEMA RNRHYARKKSDQISTAFSNRADDVPARSQVKQRFPSKDIWEEAPESQQLETTVQIPEQ QNETISPEAARKPSIPEVPSRPSKRPQKTSPEPQAPPPQVESTVSPAETKKPPAIPER PKPQIPPRPARPPKRTSQDSLTKVTSTGSSDTAPVKPKPPVPSRPLGSKIAALKAGFL SDLDNRLKLGPQAPKPEEKKDEEKPEVPKGPLSDARKGRARGPARRKPAVSSTPENTM KEAPQAPQITLVEPWNVWSMGSDGILVLCQEEGRRESLPKSEQSSIEQKETGTVEPTN VEEEVKNGEPESRSPEPQDSMENPKPESTEDQPDLPAGDPTTIPHAPDSPTL UREG_07396 MPPSTNDGSDGGSSSQLTRSASYNYIPALIPDGDGTLMRKTLSE VNLTHSTDQQTHSQKTEDFATGKEILRQSSLRSKRKNGSTFTLGDDDGNRASMANGGS ARTTESTDRSRKTKTVAGALASLTRKPWKTSRSPSPSQSNKDMIRRLGRSNGQISPAE IETAIHDNTLTEPVKDSGKYKANDPARTTAVLTKRNKRPLSVTAAKDQNDKPPSVSRS PSIRSLRRKSSLERLAASVGLSRQDIPPIPQNSPPEVTKLKPEQPRKRDELWNVFRGL DADFQKYQSKSSSLKVNVIRSSLLPCLSRYANHPSNAQLRPEDLDRRVNILNKWWTGL LETLSGRTSNSITGVDRPVYLEAITAIMMRPEWRAPTSPSSPGATPTQKSDFTRKSNT SLESTGSDFLVDSIHHNVRNILSQNLLSQLSYCIDKMSTRHTPASLVSFAGKSCAYAF FFCRDVCDLLVRLWNIGPELIRRVISQFKSEGNLSTRNAMSEQIASHFPPVVRGLSFT SHAALVRNMRRNASVPLAASNINWFGPWVSRWCGRDTDLFFVFVKHFHILVAEFLPAG TDFTKRIYVPGLVPVHAQMLVVLESTLSKQSNPQIPDNINGPATTTFEDFIDGAEATA TGFPLGATNSLRIMSENRLILILKHILLDNSVPSDTKQFFLETFCGILKLAARKTSLF DHGPCFVLCDFVEELLPIIPPYCKSTGQADILDWDFWLEVCKQMMKSRNVVTEVRAFA FIFAAWDAINGVELRKELLCLGMLLQEKLFYHYFSHWSPMIRSYFHRLICWRLARLNE NPSALDRRIYNTLSSRLSEIWSYFLSYQSKAEKQLTAPLSAVPSQPQTKKRWKVLRSI FGNGSNPKPGEIYLQSTRNVAISSSDSGSGSVSRSISDTSSVLESDTDYMEDVSNAPT TATSPPIVGSGSTKEMPFAPFQCPSNNYSFSSKYAGRALAEWSLVVSECDCFFQRRRD EGVPYDNLVEIPMLGVESFRK UREG_07397 MAHKPPKRPILQFDVESAVDCSLWIVPASLRRRLTVATMFQSLR ASRSLLTRVARQQSPIAVRTFATTSARQGRRVKQAPLSTPDADQYSPSADPVQELYLR ELRAYKPAPLKPSDAEAYVQKFVMPTPPPSPEEANIASELKAYETQDVEVEGQAAPGE TAAVEEDWFELPEEEEASAAH UREG_07398 MATFGPTVRNEAPPNLWKENLAARLICPECKENPPNLRTPDSHE TICGSCGLVLADREIDQHSEWRTFSNDDQNNDDPSRVGDAANPLLNGNQLETQISYGQ GGAKTRELHRAQNKMSSEKTNRALLAAYKEIGALCDGFNIQKNVADTAKYLFKIVDDA KAFKGKSQDVIIAGCIFIACRQCKVPRTFTEIFALTRVSKKEIGRIYKTLEKFFTAQN MERINSVVSSGGVPDPNETYTATTSTKPSDLCSRFCNLLDLPFQVTTVSSALADRVTS MGDLAGRSPLSIVAASIYMASFLMGQGKSAKEISAVAHVSDGTIRGAYKQLYAERERL IHPSWIKDGKGDMKNLPPT UREG_07399 MGVKIKDSIVLVKYYGSQGDRALKIKAAELAGAAGCIIYSDPAD DGFVKGPAWPKGRYMPSDGVQRGAVSLMSWVVGDVLSPGFASLPKEKKRLSVSESPGL TKIPSLPIAWRDAQHLLQAIQGHGKLVPREWVGGVPDIKEWWSGDQSSPKINLMNLQD EVERQPIHNVIGRITGVEQPEKKVIIGSHRDAWCFGAADPGSASAILVEVARVFGQLR TLGWRPLRTIEFASWDGEEYNLIGSTEYVEKRVEELRNDGVAYLNVDVAVTGENFRAA ASPLFERSLRRVLGRVSDPKTGETLRSIWEKNGSKLQGLGAGSDYVAFQDIAGISSID FGFDGEPYPYHSCYDNFDWMSTVGDPGFRYHRTLGQVWALLLLQIADNPIIPFDLEAY AAAVAQYVSDLHGYAKNQSVPLKPTSKHTRKGDSDRRIDFQPLYRAAKRFRQSADEFR KWLELWNRTVTENNGFEPKVYGLERLNHNNQMSDFETHLLDLQDGGGIPNRTQFKHVI FGPQKWSGYDEAFFPAIRDAIDSRNWTETQHWIDKVSLILTDASLKLK UREG_07400 MSSRPQNIGIKALEVYFPSQCVDQAELEKFDGVSQGKYTIGLGQ TKMSFCDDREDIYSMALTTLSSLLRKYNIDPTSIGRLEVGTETILDKSKSVKSVLMQL FAPAGNTNVEGVDTVNACYGGTNALFNSINWVESSAWDGRDAIVVTGDIALYKKGNAR PTGGAGCVAMLIGPNAPMVIESGLRGSYITHAYDFYKPDLTSEYPYVDGHFSIKCYTQ AVDACYKAYNAREKALSPAQNGEISTDESKTPLDRFDHILFHAPTCKLVSKSYGRLLY NDYLANPSHPAFAEVPAEILDLDYDKSLSDKTVEKTFMALTKKRFAEKVQPSIQVATL CGNMYCASVYGGLVSLLSNVAFDATKPKRVGVFSYGSGLASSMFSLKIVGDVSNIVEK LDLQKRLDARRVVSPQVFDDMCLLREKAHLKKDFTSYWQPRGPIPRNLLPYQSR UREG_07401 MAEQTSTPISIRYATESELPALARLELLAFPSSRYLSNTFPNAD QQALQNYKSIVLCTPFSNPRAHFLVAIDPATDQIVAYSCWSIPTSYQYGDPARTVISS EAEEKAANSFQYSPEGMNMDIHNMFISTLEAKKQFHTNEHDIILNMLCVLPDYQGKGI GRQFLKWGMDKADELGARIYLESTPAGYPVYLKYGWDLVEECVIDYTRFGGAGSQTFL LMIRNPRASAT UREG_07402 MSMALPWDTAFSRREACQAVEDLMASMSVLNSVQMASDLFYYEP ITPPSALPARQSSSTVHSRSTSGSSIYTLDSSPESFVTNHTTPNRSPAYYQHGRTLLP KIRPQDLVHEPASNRGPHRHRKALSSTLNPPGFTPYSSGRPSTYRAAGDPTMLVAPIS TASFVGAHHGPGLTSPIAMAPVGSRKRVAHSRNVSASSIDESTLNRYGYPTYRQLPKY VPQCSSTNPVSTAEATYPSYLPLPQEPPVRQSHASAKGPFFISDDTRKAFDATQVTIK DPPVYTSSSTTLVEYLTGPTQAINLVQNVSYNPSRLNQTHFWWDVRNLRRWSSFSLPT LNDIPNFTKLLTTEIPQSLTPPANVSSSRLSPESELALADLIRDIYAPRVNAALRVSQ GHDSMTLYPAPDAVSDHENGPHFLANYPTDTETTASGAPRGRVVGLVKSFDRWNTGMR NEQPHRRVEYLNGLSHLQKCMREHSCRYGFIMTEIELVCVRAGCDEGDDVPYFGYLEL APPIATKTSSASYNPLAASSLERTLSSDSYQSSDYSGSSRSASPDQVRSSSGLSGPFT ATLALYYLLMLSKAVPLPGQPSWHLNVGGPGALTRQRVLAEGKDKWIPDPQQRERREA KRIRGWVLPQDPWHRREGGGANRAKAAKAKKWHK UREG_07403 MVSVDSRPPRPNTPRAPGTLRFLVIGAGSRGNAYARAVTNATET VIHAVAEPDPHRRAVFGKKYIWGTQGPAPGQEFNDWKDWLEWETARREEGGDGAPAAG VDGVFICTLDDMHVEILKAIAPLNLHVMCEKPLAPSLGECIDVWETMKTASPVPEKIF AIGHVLRYSPHNIYLRKLLLQDRAIGDIVSIEHTEPVGWSHFSHSYVRGNWRRETAGK DGSLLTKCCHDIDFLLWLLCSPPADSEPGHPYHLPKSISSMGALSQFTRSRKPVAAGD ATNCLSCPIATSCQYNAVKIYDDMCLSQGHTGWPVKIVCPDIEEVVRTSGVEAARQRL YKSLSEDYDSQSTPDDVIASRPWYGRCVWESDNDVCDDQVVTMQWNESKDSSRFSNLG AKTAILHMIAPTEKQCERRGRVYGTLGEVSYDSKTITVTTFSTGQTRTTQIAEPPPEE KESHGGGDYGLTRAFVKAVHAVESGGWSVQKAQVEFLGVTFEEVIRSHAMVFAAEEAR REVKVIWWDEWWREKFASTDA UREG_07404 MGVKSVPIAPFSDQKAGTSGLRKRVTVFQQSHYTEAFVTSILKA IPEGAEGAFLVIGGDGRYYNTEVIQLIAQIGAAYGVKKLLIGQNGILSTPAASHVIRK RKATGGILLTASHNPGGPNADFGIKYNLSNGAPAPESVTNKIFEVSKSLTEYKIVNLP KVDLAKIGTEKYEGLEVEVIDSTADYVAMMKEIFDFDLIRSFLKSHGQFKILFDALHG VTGPYGKAIFIKELGLPEDCCQNCEPKPDFGGGHPDPNLTYARSLVEKVDKDGIQFGA ASDGDGDRNMIYGAKTFVSPGDSLAIIAHHAKLIPYFKKHGVHGLARSMPTSGAVDLV AKAQNLKCYEVPTGWKFFCALMDTNKMSICGEESFGTGSNHIREKDGVWAIVAWLNII AGVGQANPEKPVSIATIQNDFWKTYGRTFFTRYDYENVESAGANKVIENLRELITTKK DSFVGSTISGRKVLEADDFSYTDLDGSVSKNQGIFVKFDDGSRIVVRLSGTGSSGATI RLYVERHESDEKELSKDAQNYLKENIDLAIKLLKLKEYVGREEPTVKT UREG_07405 MTGLDPETDHILQISCFLTDADLALLEPDGFHVTIHQPQHILSS MNPWCISTHGRSGLTAAVLASTTSPSEASEALLAYVQTYVPEPRTALLAGNSVHADRA FLAREPYKKVVDWLHYRILDVSSVKEAVRRWAGDETLRNAPAKKGAHLAKDDILESIE EMKFYRDRVFRGIN UREG_07406 MPCFKGLAVSIHTPSGPLSEYSIQRQSRTSRIACYIPVPPPKMP DSSSGKPEQSTFAISITLLTPGMKVPYSTPKATPDNPHPKPKTVGGIPGQLVTSASIN PYQPLTTSPNETIAAYIYFDGRQKEEVATLLRRGEETWVNSRWVGIPQSEGGGLAERE FLFREVGLERWLNGLDLEGTDSAEKIERRRQKMEKRRRKKELRDAARRQGGLGGEAGI GMDLDEKKPKRDHEVLRYGADTKSPVENLSDDAEIYSSDDDSDDDPIPETAGQIKVAL FRVLASGEIKRGEYSPQFDAHEDDEDAQGTNGRGNNGDVDHTTSFAKPKSLDPKSIST QTVTGIDPADRPYAVFTFMYRGERQLQKMGILKSSRPQEKDTEKAARRKSGQTDFSKI GPLKPGGGAGFLNFRDGGSQKKHKNKAKKGSISDMESDDDDDDSGSIIGKAEQEENKE GNVHLSSEDAKYQGELTEGLRQIKLKRQHSSEPLSDIGSNADATRPSKSTTPASRSTP PAPTKQDTTPPNNLIGKDPILNSLDDSFVGSPLKKQRASLSEADNLLHQRLGSSVPAG IHEAMGMEVTPQEGNNGAAASEIHMEAGRKPSTLEEEQL UREG_07407 MADQDKVSPIETDPKVMEDKRVAEVAESSYRDAEHDDDEKIDLN SNISGRIKNPLAHIPKARLLQDVEEFANRHELGHITATLQRGALVAKDPANFETVEGI TDDEVHAIRDEVLHKWRQPRPLYFTIILCSIGAAVQGWDQTGSNGANLSFPDAFGISD KEGTPNADVHQWLVGLVNAGCWLSDPFNHYFGRRGAIFISAIFCVLPPIGSALSQNWE QLLITRLLLGIGMGAKASTVPIFCAENTPAAVRGGLVMSWQLWTAFGIFLGFCANLAV KDTGDISWRLQLGSAFIPAVPLLLGVYFCPESPRWYIKKGKIAKAYQSLLRLRNHEIQ AARDLYYMYAQIQVESQIIGESNYVTRFIQLFTIPRVRRATLASFTVMIAQQMCGINI MAFYSSTIFEEAGASVTGALFASWGFGLVNFLFAFPAIWTIDTYGRRALLLFTFPQMA WTLLAGGFAFYIPENTTAHLAVIAFFVFLFAAFYSPGEGPVPFTYSAEVFPLSHREVG MAWAVATCLFWAAVLSITFPRMLRAMTPTGAFGFYAALNVTALIMIFMWVPETKQRTL EELDYIFAVPTWRHVRYQVFEVLPWWFKRYILRKKDAHLEPLYKLDHVGRKDEKESSN SKPLGFLRRFKK UREG_07408 MAVLEPIKKSLKLAKGFLEGGLVWRTGVSTILFLQQRFKGKTRW LRLQEPSSILLKPAQPSLLQALTCTGTRGPPRIQVLRTLSSELHRLREPGSCRVVRSP APHDVIDRGRSTGGSRAKPICAVCSGGSTALVIYLSRGLFWLFCGCRCMTRRLFANSG LLDIQGRTASSAGSSHKKKKKKRRRYADATSVAGCLSCSR UREG_07409 MAILSTITESWKSFTPTEKRNVAIYIGGIMLYKFGLEAFNGSIV TLATNRYDYESIKNNTVSRTFERVGLLTGLNQAFQCVGSILIAPLVKKFPTKNVLSSA ILVFGVLSALLLIIDAATGGTFVPAEFRKKHPKDDFHYYGDYNTDGMIPIYCIAGIAY GMVELIRRVIPRDIVGGNVHKLRRMDSLVHIFYEVAGTSGAFCTALGLIPRFGNNFAF LITPIFFLLASITWFFITIPGFHSHNPNLLEKQPTYIKAVVGGFWLFGESVWTGGKII FTTRKYVWLFSGYAIALYGHRYLENGIAPAVARRYLGNSAWSQIMVGGSNLGELLGAL CVFLFTNWIQTPIPWLRLDSLMLLIVWYIPYWRPPQLDVRQAWIVAATFIPISFGWAA GDVSLAAYIQASLARTEAQTKNVSALGAVMAFLYSTYIVTYAITSPLLGRYIDRVYME TGGADGGGNIYEAIQNVGGVQFTLIFVLVMTATFIPKGAFALNPKMLFNEKLDQDLSG DDDDAMKGKNPDGREGEKADIVKSSPVPAP UREG_07410 MPHRSSITLEYHRLGEGERVGHLAVNASPPPGAFSIHLSTFLSR LQRLASSALKNLKSHSRQAKMLHMSCSDVAAQTSKPPHLNASIADTPTPLNLPQELFW IDLFLEYHSPIDLLGRYSPLLPYFSASKHHVHLHRRGVCSPRRESRILVFFPQGKLNS CLLPAVSIASFNGDLSSLTAPPFILSGTSLVEYSAYWAEHPALFVAPAKEPDPAKRAL LVLKWFLSTLHQQYATRSEKLGSEKKPLNPFLGELFLGKWDHEDAGETELFSEQVSHH PPVTAYSIENGKHGVELQGYNAQKASFSSTINVKQIGHAIYSLPTPNTSAAERETYLI TLPSLHIESLIYGTPFVELDRSSQIVSSTGYIAKIDYSGKGWLSGKKNTFSAILYHES EGETNPIYTANGQWSKEFVLKEARGKKLEIEKYNVKTMTTTPLSLAKLEDQDIYESRR AWRDVAEAIDRGDMDATSVAKTKIENAQRELRKIERDEGREWERRFFRRVEIEEDKVF TKLLGMLGVTGPAGVAIESDKTNGVWRFDPDLAKNAAPPYHTDGGRGLGLALEPSVE UREG_07411 MTNRCEGVDFSSDDIPWAYCPSFPAAVLFAALFFLLTVTHAAQA FLFRKRLRSLGPYIPAQILIILAPLWLNAFIYMVLGRMIYFFLPEQKCFGISAKRLTL IFVILDVTAFLVQGSASSLLSSDDPNTMNIGKNLYMGGIGVQEFFILVFVGLAIRFHY KMTYVEAIHPPSYPWRPLLYCVYAGLALITIRIIYRLCEYAQGVHTPLAKNEAAFYVL DATTMVIALFLFNIVHPGRFLVGPESEFPKKEKKDKKKEKEEKKALREAERSKKRKRS WRRRKEGDLEADGDETSSRELGNQEFSDNAQPGLLPEHGGGYH UREG_07412 MNRQPRAGRCWREKASAGPCWLWSPERLKSFEEHLKRAVWRLGR HPSTQRLRFNMQWVTHGKRMGKAIILFWAREELIAGQIAMCQKEGYHVFPIR UREG_07413 MSEIHTRGRGSARGGRGGHNFRGGRGGARVSKSANQDTAGSPSF EEEGELGQLKKKYSSQLPMIKELFPDWTDEDIVSALEDADGVLEIAIERISEGNVSQW GEVKKKHADRPRPKAKEAQSIPTETTASQSRGARPRGGFEGRGRARGDRGRGGRGGRA AHVNGSRPDKPTTQASVPSETAQVPPDSWAVDGDAKEAADKAAQDVAKPTAEQPQKTS LIPEGTKKGWASLFAKPTPPPQKKPPPSAPAAPVPEKGPESVAPEQPTDQHPSEPDGT HVEETPQVSEVAASEMQLPATSNEPKEDAATSIQEETQTEPQPTPVTADASVSTTDDQ SQQQHQSLVQEQPPRPAVPYTPTPAYKTTPGRSHFQRRLLDQQEAVVMPGNHAVDRAA VQFGSMGLNGSTEEVDIDEQREEPETRPQPPQHSPIAPRASLPPSARAPVPGETVAPT PRAAPGLPPVPPTTTAETSFNDFSRYGEAQKPPPSPQALTILRSTALNQSRNPYYYGG YAQPQDVGAQRTAAGFSATGTEAQTQPPSTQAPARYGHVETTNSGQNTPNPTLPGQAQ PNQPTHMPQAQGHGAFNYGYPYYSNPHYPNTYISQMGQQHQYGRNRPMYDDVRRYEEH YLPHSNQFGYGSQYAPYGKGGMYGQPQHAFSYEHSSTPANTGAFTQGVPARDPGYGRT GSTQPTEGQQSTGSNAFGGISDVFGRTQFGQNQPVAPQQPGSGEETSKAFEASKAGGP SPSVSQANRPGSAANNVPSQPAASQVGLPPVPAQQGSQQAFGNYPHLNPQYGGLGGLG THHQTGASQTHHQGGGYGNYGAGFGSNYYGNTGRGGGWGGNYGH UREG_07414 MKITGTQAFKYLTSKIHPPLPRTPRESEQLLSILTSSFRRQLDR EHPPVQSEEPQTVSKPVRSINADQHLSSILNHPLFSTIPQQPRTRQQDAQSQAPVEDP ILVLDRAIASGIADASTVHECLRLHRLQLDGMPRETRRARMSESNVDRLILSWLSSTD QLSRRDFFRNREIVQSVMPYMVNARHQPTVMKWLDEIRREVPCTPNFKKVFTHSPQYI VLESYISAECSWGEGIVAALRFLMEACGAPVRGDYSHLPPYILSIASRLARRICSLPA SQIKSIPVQLFDDFSEIFQDVGEPAIQVRRYFWKAKLGLYRPIDPNPSFAIKHAEIFS QARIPPDRHSSALLQLYLEAAQLCIKQDKHAQASRLISVAKKLLPDGESAVAAQPKND KEKQDFLPLVNAIENGLLPTLG UREG_07415 MILSGVEIVTRHLVRNLRHVAQQQQPCGVDLTLRQVSKWTSAAT IDFDNTKRQAAKISNLPFDRASHTITLQPGAYLIDFNETVQVPRNCMASVFVRSSLWR SGVGMVTGVVDAGYEGAMGALLDVRNPNGVVFYRDAKLAQIVFQEMEGMVEGYSGIYQ SSQSSVGCSGTEKVQCDTHPGADSSTTRPPSVPGLRGEQSLVSGLSLPARHPPSSKQH QLSRPRIQFLPSHQLFDPPCSHPIAIALTFSSLAHIPSRNCCPNCLDFEPHTACLPFS TNLFQLFWQKLQTFPALETEGKENASTAKAEDPTEVNGNAAQPAEEKDTPEADSEKAA GDKRDHDEAGPAAEAETSTGQQPPGKKQKTKTQKEPEAESETVANNAEAANGAKKRGP GRPKKGEAKKPQKTPTPRSADGIGSRTRSRTKA UREG_07416 MPEKPATIAAYAAGASLAAITLFYVFGPNFTIDGDESNISGRKK TIVGLSNPANDCFINSVLQALAGLGDLRLYLIRELHRRELEGRGVYESYPDPEDVPRD RTPEGVRQLQQAPVTKALKEMLDRLNERPIYRKTISARGFIQALESAFRTRISRTQQD AHEFLQVVAERLCDEYHAGDKARKRAGALLTVPSGVPELELDPEKLQIPPASVTDVDS EDASMIGSRWRETSREEHGFPLEGMLESQVECQFCHYKYKPNQTSFVNLTLQVPQKSS TSLSACFDGLLKTEYIEDFRCDRCQLIYTLDLKLKAQQRAVSEREKQAIRAEISLLRA AIDGDPEVPLEGVTMPDAQSIPKRKIARHMRVTKFPRLLAIHLSRSIFDQSASTKNAA KVAFPERLPLGGILNRKWYRLLGIVCHKGSHHSGHYESFRRNVVYAPFSTPDAFRSYA QSRAASENPSTMPSPRMHTMHRPSDAELSPLTISDSSSASVSTSSLPAPSPSLNQRSP LSSASIDRLPSISSATRIPPRSSPASAVSSNKPESGSKGPGKPSSGDASLFRRKKRQN ERWWRISDERVKECKTSDVLGMQREVYLLFYEMERPGDDPSTA UREG_07417 MGVTDVLSRKTGVIVGDDVLRLFEYAQQNNFAIPAVNVTSSSTA VAALEAARDKKAPIILQMSQGGGAYFAGKGVSNSNQEASIAGSIAGAHYIRSIAPIYG IPVVLHTDHCAKKLLPWLDGMMDADEKYFKEHGEPLFSSHMIDLSEETVEWNIETTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILNIYNALAPISPYFS IAAGFGNVHGVYKPGNVRLHPELLKKHQAYVKEKTGSQKDKPVFLVFHGGSGSSKEEY KEAISYGVVKVNMDTDMQYAYMAGVRDYMLKKKDYLMSAVGNPDGEDKPNKKYFDPRV WVRDGEKSMAQRTQVALDDFNTSNQL UREG_07418 MESIIAARQNPGGPSSADKFLELLQDPFQSAFQERAFWASLGTS LGVTLGLALLFSLFRPRNSVVYAPKLKHADRKHAPPPLGKGMFAWITPIIKTREDEIL DKVGMDATVFLRFTRMCRNIFLILSLIGCAIMIPINVTGSDNFTKGLSAFTTMTPMYV SNPKVLWGHVACAWGIDAIVAYFLWHNYRAMGRLRKRYFLSTEFQQSLHARTVMVTHI PKEYRTDEGLLRLTDEVNPTASIPRASIGRNVKELPALIDEHERVVRELEEILAKYFK NPDRLPAKRPTCRPIKDFRGENTPEKVDAIDYYTVRIRTLEAEIRYVRESIDKRNAMS YGFASWESIEHAHMAAYAARKKHPHGTNITLATRPNDIIWANLALSKAELRRKRFMNI VWSTILTVIWIAPNAMIAIFLADLAHLGLVWDAFQRSLARNPKVWSAVQGIASPAITS LVYLVLPIIFRRLAIRSGKATKSARERHVLHSLYAFFVFNNLIVFSVFSAIWSFVATV IREADRKKDAWEAISAGAFYVNVMTALCKVSPFWVTWLLQRNLGAAVDLMQLINMIWT FIARRWFSPTPRRAIEWTAPPPFDYASYFNYFLFYSTIAFCFASLQPIVLPVTALYFG VDSWLKKYLLLYVFITKTESGGRFWRAVFNRMIFALILANFILGLVIKAKGSWTMVFA LVPLPILLVGFKLYCKSSFDDELLYYHRAIVSDPESSADGKTGKKAAERLSSRFGHPA LYKPLTTPMVHAKAADALEKLFQGRQGMNSGAGDYSDIAMHRMSATEPGKASQPQDAP FEVVADHQLDFSYFKDRPDFREEFGGGIYGRPDDLITERSHTPKSFLAGHGSPSSSRP ASPAPSQRSMTFNGPHGRFPNISDHPAFQSTDSNASGFYKQSNESERNLLYNPQGLPV RSSTDVASLDSRWQAEGSMAYSRYRSPSPYEPYRSR UREG_07419 MSDNVAGLKRWGTRNRGSLKILARPRGIPKGIYAQNAVINHART TRLFSEFPEMPTPTQLFLRFTSPSSPMPLPFPEEPASFSVTVSSLESETFDEEAELLP PSLKPRDSSDVVPVKLNALRRPLGRSLKLGATGLRLPLARPPITGISYVVARQMYSRI SYRMIKAQGPIVNVPRMIYQTLNNGSRRGPGITAQFGCRDKCHEQGKK UREG_07420 MANMLPRDERYRSPLSGGPYGVSPRSMPSRDFDVALQQAQYGVQ APDYLNYAPGPHTPPSMPMNYPPQQRYDEMALYNNDNSNTTQELMRRTNLAVSLANHN VNPRSNVSMVHPMMCMNGRFPVDFRTPKTLEEIKLLESTQIDRILQEYKIPHDTRSLL NILASSGVRVNSDNVSSGRLRQAKLQLLFEHLGATRVVEEGGFKRSRY UREG_07421 MGQPILAGLDMIPYWSKMTGFFETSRVKPVNILMLVGLLAVIWG RRWAEVQRAKKARKILLESGANFPEIEPLEEFEWEKTEPLQLRPYKPKYHLTMALENL DPSELIPMDNTYKERIDLRRRLLKEHRDVVLGVNKDKSGEEDPRTRAAVSELYEFVLG TYLPTRYPKMFKLVEANFETGKTILVRNQVTGEMLPTTLNPNRPTITALETLAKTVDE EMLILLPEIKASNHSEKTSEKRTRERSKPRSDPSAPDGEGNRYVLEAYTTCFPSGFDT RTKLGKRLDEIHIPVPGYKQKLEKSMDRFFDKLEVGKFVKRANWTLTTDADLFAAFGG IHGQQGECMKPLEELDLDNTFLRCERQTLYRLPTSGALVFSFHTYRYPIQQIKDEGSA EDLALAIDGFEAGNVPLMARYKRVPAWGEAVKEYLRS UREG_07422 MLATGVTFADISFKQLPGVGGVGAAFLSQLSRLPNAPSLVLLAR SSQTITSPTPAYSPAISPADWQSAESSASLVKSGAWTPEQIATYLSQAPGRAVLVDNT SDPALASAYPLFLGKGVSIVTPNKKAFSSSLQLWKDIFSAASKGNALVYHESTVGAGL PVISTLRDLVATGDKVTRIEGVFSGTLSFLFNTFAPVSASASAPPQKWSQVVAQAKEL GYTEPDPRDDLNGMDVARKLTILARIAGLEVESPESFPIESLIPAELANLESGSAGTA EFMRRLSEFDERMEDIKKSAEAEGKVVRYVGSVDVAGKKVRVGLEKFDKGSSIAGLKG SDNIINFYTERYGERPVIIQGSGAGGPVTAMGVTADLLKVLERLR UREG_07423 MPADSSLYGIRRSKAEASKKDVTSSTTLAFATHLSSLIAKESAS AASQQRRGRQRPSRKKDDIFSVHNKGVLKRAAADTSADNPNVSQAHKQSGDIGYVDEA TLHRSKRRMAEKAKLYAELKRGEYLAASSDEEEEDPGKPGDKSLSAVRRAEKHSLVDF DRKWAEEEVERSRRSSEPSDHNHPSEQEPLVEYEDEFGRTRQGTRAEAANAARLRQAR DAESPGQNDYTSSLNPNLPATARPARPSNIIRGSTIQSAAFDPDAQVAAQMSHLAQRR DRTPTPPPETHYDADGEIRNRGQGFYVFSKDEESRKEEMEELRRARNETVAERDVAST RKQARQQAKADRLKKIEELRGKRRAEEFMKGLGSLEGL UREG_07424 MTARGKNVKLQSLATENEIFVYDRQFVSEKGTGGLPDLPSPEPW SPQNPPDTLANQNSLQAWKNLYMARRTWALDLTTRCAQIAKTTHHNTERTDVVHRSVG VALENLKSHVGNLEQKLHEAQIWANDLLKEQQQALGGWQRSLQHLETIPAKKQFPFLR RPTTPKDAKDGFSGTLQDYVDAEQTKQAASQVSSLSTKFSRRMGEIERAVGDVVKQTE VLIQEAQPPPMENTGALLEEIETIAKKISSDYEHILSLPNTSKTLSSVSRMALNHTED LLPSMVEISLEMQNGLVQAVSDRNSAMKLFVQHMQRISTIESRLAAVQAEIAKLDVEG DSFETLCTVFHIPVVYGSIMVEAVRRREWSDKMTTDSAALAEELAVFRDEEQRRRKKW IRNMGDYLTVTEDSTPALEVNLQAGKGIEWPQVSRADVETYLQEMKTKEGMELAVQEI TQLYKDLDAPTKQQRRRAKAFKHGSVFDMGRSSLLLRGDEMVRSLKEDKTKLEERLKG SESRVRRLEDLLHRSSQMSRPASGHFGPDVPMSPASPRPDPMSRRSSISSRRMSSNNP PEDKSLVQRIVALEAELATEKETVAKLQREAHAERQSTIDKIEEVQSTKNDLMHNLEA KQREFESERKFLVSEANNLKIKLEEVEEELDRAMDGRDQEKHEIEEKVEQLQLELDEA QRAAAEAKGFREQIQHHQSELSGLNAKKKELSERVESLERFQKDHINLLQAAHLQLSP TGTPPTDFSQLVKAIEILSEGLAIHAKSSDKKATELGEENQTLSEKVSQMGSEIEALK QKLDSEESDKKHVLKMLAQERHNHQRVQSELEEERSRLRTVESNLSAGETGTEVLKDR IAEEEKKALAANKKLAAVEAQIHTLENERLELESNIEKLEESEKASKLYLSAKSERAS EISKALYGQIELMARMLQQLGFTVVLQDDEMSIQRTSKVASSLVLSDNAPDSGPLPSI ENPELLLWAQAESKEEEASKYDSFMATIKKYDLEIFAEAVVKRVKDIETVARKWQKEA RSSREKYHRAQNEAHEKIAYRSFKEGDLALFLPTRNQAIRSWAAFNVGAPHYFLREQD VHRLHTRDWLLARISKVQERVVDLSKTMNGMSQDKRSVDASDGASIEDDNPFELSDGL RWYLLDASEEKPRAPSTPGLGKSTVASAHVDVRGSIRLKRASNGGGATRTLARSLDSR RNSSASKKGVPVPTLPRGESSIDNNVVPAEGDLGAQARREEAPIFDEVRRDLLSGPSN LT UREG_07425 MAPGQSDPGGFQSQLTPDERVLAALAASDPRDELSLRERELEIL ELYDRAYEQQLEEALLLQDPVDVSSDDANAELDKAERELLEARATYSIRRKAIESVLM AEPSIQSIYSTHPSPTERALLPLIHRRDILSLAYENLASINSSCSERLSNAEVDNIQT ATENRDLVQSLLELTRGKDEKLQIEDPDLRSELETLERKTKKAKADYVTMKRIISASI VASGIDWASDETLLKLVVDDESDEI UREG_07426 MTWISSAERLWHSLFQFPDATLHPGESNLADKHPITGEDVHRPA LKGPRWTEYVIIRGSLSDFGFYIVQGPTAASFGREILTSLVPVSGDPPRRISANILVS EFMTRDFE UREG_07427 MPKEKTTRQAAKGRRDKKKKDPNAPKRGLSAYMFFANEQRENVR EENPGISFGQVGKLLGERWKALSDKQRAPYEEKAAADKKRYEDEKASYNQAPEEDEES ALDIGRMKFDLGL UREG_07428 MSLVDLARATEGIAMPLAHFIDKVATRSADIASVVSELYAISSA LRTLDTLKLSPLFDLIDGDLEMVARASLRHTIGDFMDLFQRMNAAGPVDYDQTEKSDQ AMMATIRKALAPLRVAQDKRYAETMDKRKRQQQQQQQQHQQQQQQQQHTHPLFPLHPH FQHQQQPQPQPQPQQQHQQPPPPPPQPPKPPSASRPRTPDARRHSRHARKAAEMTQRG SWERERPSPSAPPPISPSFSEGSPISSHPSPVSVNAETASSLSSSSYNFVNGVRHWSV KLFGMQMKSSTPLTITGDISFPGKPQLSMIFYIRDRDHRTRVLCRVRTSKTRTVYSTT PITSLQIYRNGSCLQLCRKDPEDEDDLIMWANLQFSSIEKMVLFFCTFLALRGQDSSH PVTKITDHELRGELQLFGGDIQDDNFLHTLQILKDHESKSVRLQASVSDGELERVPIW SAFIHPYFRKKGWIQKVGPKVVYISEMQRMTFIDSEEYTPQISSRGDHVLTFLSERET DGFMRVIEGLIKHSRPR UREG_07429 MAKLAKRAPPKKLSTTIPADAESPAAFTQAPSSLSDFLADFSPT GVYLIHIDRHPQSEKRQIFILPLILNLLILALVLYRIYTGIHTYPDILAAILGHDSPA RIDPKTASWALISTTLARRTVTFLFDYLLITLFLPWPVRFLSGPARWRWEIGFQRAEI IVRKSRDWSEALGRTWIRDDEETMKERVIPAITPLRLRKTGYLLIDADWDLDFAAMTR AHRDLKAGRIRLDDFETAVVVHGGGNKGWLIWRPEDVRGSSGQGANSSVELSPSERDR IVAFQEKLASMGKEDLFYRWVEIIQFESTQPGGFTPERQQRAMADTKKLFESRDVDFE KFWADVGGMQGVSI UREG_07430 MSNLDRHQRGLSDRNAENKPSPRANRSSHLRNDSFTSRIPTLTP KNGEKSRIPFTNTRTLTGAFKATAGPPDASDNSKKTARRYTTEGKERNRSATSPSPRI PSPQGESRDTNRRNQSTDGLARHENQTEFDTLPRREWVEQLQNFSPSPGARHHDMRAL DYREDAYDSGLSNLDEITDEDLRRRLEQREKDEKRLQRVRGGDQPIFSKAKIGSRAAL STENLLRRDEEVQHEEAQEEAIDEGRNPPLNIPKPWGTRGRATNDWLNRTKRETQNDY MADVEEQQLSLDWENDAFDFTARSLQISDSPPVRSASQYQKTYNAEPLNQPAFSGAAE RSPPKQQEQQTHPSDMAKESPIVVYRNNNYERPRVFSKEDSQDLLRRLTRKESPSLVN TPESKTGTNPPLNPKTPVVTGAWVDTPLPKQPVGPPEEKPKPPIPPQVKDSKTQAVEP VEERKEPTPKKVQPKRNPPVILEKPDLPKSALAAVIESVRAGNNEFALGETTIESLQD LLEDTSTSISDTKFPKKTGNISTNTLKAVRPVDEGEEALIDRLNSKLQSLVQNINEAK AGLVSLEDKAIKEAALLATRNPQDSSKKRASHTHKDGAGPS UREG_07431 MERNISFALVRQLVERVPLVLKTAALNILRLSPAGGKQDLRLEV TVGFIRSFLNFRSSALQVQKRSMRDPGKKGYMWTSAVTMPKPPEDNVRQSLLEAIEHY LEGSETYDVPAVCNVEAEWNGYRKGAHAKTPLPDLSEAAKYERLMEDVDEDLTILYFH GGAYHMMDPCTHRGVTTKLAKLTGGRCFSVRYRLAPQNPFPAALLDALIAYLSLLSPP EGALHDPVPAHKIVIAGDSAGAGLSLALIQTLLTLRRLHPQHTIRFHGKDVLIELPAG LALSSPYCDITRSLPSTFRNAKYDYIIPPPQTPGSLYTPYPFPADATWPVTPPRVEFY ANANMFTHPLISPVAAPKDIWKDMPPIYMHMGEESLEDEGLYLARNIHRVGGTVVLER FEAKPHCFALIMPTTKVAKMCFRTWAQFCTNAVKGEVQRTGKATFFDHTMQHVEKRDL DTLGDLSEEEVQKRILEGKNWRMEGEAALVREWKECQEKAKL UREG_07432 MFKKPSLLCSCCGGFQLLNPQSSVSPHSTASSRRRIRLFESPRR FAHVQNTNSIGPGDDFSWPTSPNFSPYEVFKQDQSAPYSKQRFYELVKLYHPDRPCNG HPLCKDLPESLRMHRYRIIVAAHELLSDPVKRAAYDKYKDGWHHRHELFGIHAKQDFR QSAVREAYPGARKPGDEIFRNATWEDWQQYYDKRDGRPKQAQTVSHSTFASFLLLLAL FGGVGQAITVGKYSSFVQDRVKDVDSKCGKFLDSRRQNNKEQMNSRDARIQKFLMDRD PSGYGLKEDEEETYRRLLGAHRTTDVMNNIDSMREKRG UREG_07433 MPRSDDDPSRSRRRRSPFYPRESESRIGRERTKHDGSTRRHADE RDRNRTERSASNSDREKYSSDRKDRRSYRHRSRSRSPYEHSSRRHRKASRDRQPVSRD SDRSPRARHSRRRKSPSPSRSASPSSRRSKRPLPSQKDAFSKTPGELSETSTPAADKE KPNFANTGRLAAETNTVTVGEGSVVLKYHEPPEARKPPPKDAWRLYVFKGDDLLETLE LGGRSCWLIGRERMVADLPIDHPSCSKQHAALQFRYVEKRNEFGDKNGRVRPYLIDLE SANGSTVNGDTVPPGRYMELMDKDVLKFGLSTREYVLMLPPTD UREG_07434 MSFLSRAAPVTRLSIAPARSAVYAASRPALFSTTPQRADKGPID ATKDTLKKADRIVSNAAVKGIEKGEQAGHKIKETFGHGAAKAEHEGKKAAAKGKETAQ QAKEKTIDLGEQAREEAEELSGKA UREG_07435 MTGSSHRDRVRLIRNFGLSEVYTSQNPAVDVVLVHGLNGSPYET WATKKSDVFWPADLLPRTLAKEELRILTFGYDANVSSFTGGVSRDRMHNFAEHLAAHL FANRHLNGAVERPIIFICHSLGGLIVKKCLSYCSRVRHEYTQHLRSIYVSTFGILFLG TPHEGSDVAKFGSLLQSICSAVLPKKIFDSSPQLLNSLKTDNENLQVINRDFVQIMDR FRVYFFHESKPMDLKATRVFIVDEASAAPLIDGVERMGIEADHGAMCRFEDENSPGYE AVAEAIYRYATEAPKTIPSRWAQEHRQRAFEKQDEARRLYANSVTTLFPPPSEAGEIP RSQDPEKDQFIKVVPPGFHPNSHFFGMEKELNQLHNRLYKAKKRVIGTAAVLLYAGPG AGKSHLARQYMYTYQSLYSGGIFWIDCRTKESCYNGIWQIAQIADGLAGEKESQDPNW RSTGIYVESARKWLESRQNWLLIFDGISFESSSDIDEFKRILPFTQETAIIYTSIDRA LSKKQRLLEPYGLEVKPLGILDACKLLYKDLGIKHPSSTQEKKAIEVVSHYQCLPLAI RAIGHRLRATGKALEKYSCGSSHPDAKLAGPFRDIMKDLDLHQHLEALNLIKILSFFG HNVPVGMLVFGRKGLNAYSVEIRTIDRGGSNQRHIDNTFATLMRYGLIERTLYVYPLS DMGDSGTIGQSQKSSDPDDSVASDTDNFSTGSRNTIEICKVHTVVQEVFRGELQDHSL QHYYWWLGVAASLFCYSYDYARVQMRATRGSGSARDYREYLTHAKQLLSYFPAKPSKI AISFQNLHETLQKLTSDIENEIENRSPGSSQESFRQLKSIFDRTNSMSSVPETPNDQS SVSAWGEDSGPVRTESPVDTYFPHQLLPSATDPNLYIPIVAEEAEMRNEVDEGSQTTH MSPALSQNTEIPRLTVAEVDEDDWQKVEKKPRRLFSWGPRRLRKKPGKRDLGVWHQHP TVSVTEVHAEARGSATARPLIRSIGAKSDAESALAAVHRSSPPPIRGGGIKPVSRPGN QKENRPSYAHVLANQPEQSIARRSAPSLSQQQPPSISYSPEIPQGGSDIRRGSVPGSL FPKDGPPDTMSQSTYSDPGMRSSLRQSPKLVYPQPAGSSTHSRNSPRSTDHSGSHFYA GRSPYGHQQVVGPNPASLPYQADVAIMYRPQRTTMSNLSGTAAPPSHIASSIPIHRAN FPMAHIPTGYSSQPMSRDQSAQSVQSLRTEPTRFPPSFSPNLNGPIFPAGDRPHMPDV RRAQQLVFGPGESAIDSATTSPVSFEAPSMSRGQSGPGIMIRSGDGTTRSLVEFNHVP NTQHIQFGETHPVNVEAARRRARMPPPYPSQNLIPTASDDAQLELLLNQTPGGPGHNM PQGDWQRQRSGSSPISRQDLTGFGLQFQRN UREG_07436 MPSRILISSGPLKQLALLRQVRGNATLGTSAHGAVINVQQVPAP GAGHIRVLLLNRPEARNAISRQLLNSLGAHIKSISAERGVGPTRALVLASNVDASFCA GADLKERAKFTREETDAFLAQLRETFTNLEELQIPTISAISSMALGGGLELALTTHLR VFGSTSTVGLPETRLAIIPGAGGTHRLPRLIGKNRALDMILTGRRVSGPEAYFLGLCN RLVEINPEEQAQAGVPREKVLQESIKLALDICEGGPIALKQAVKAVTGYERGQEGENE AYLGVVDTEDRYEALKAFAEKRKPCFKGR UREG_07437 MSALARFVQRRPAATSCPLVVQPRAFLCGNEQTTIVPRGRSILR CYRFATHGIRFVSHRPLKLENPVPTSFRNTEDVQSLANGDRARDRKFRFKETPSEALI AALQERGLDVDIAKPRSYQTDLSWATPSQAAKKEWRVESFARHGQACQKREARLSYAT IVANYIRYVDPHLSLESSTLHDKSPDTRPLDMALLRVFDNKTMDYLSQRGYSPSDVMA WAWILLSPQPVTAAMRLDALNQASSRNKLASSKRTPMFVLMFTLRRERINLKAFDLLL VQSWNMLLENPPSKAMLQSLPDKQPMHPLKPVWIDAGDDTTVMILFVRLVRLARRISP EALLSLSQMFTTMFGVDAVGAAINDKLTRRLIEYYNKFLALLAIPCRMEPYASTVVQQ RSIFHLLREMTRFYPPLAVTREGYHAVTRNQAARQKLPAERKWAEFKAKSWPPWKEDK LGIDVDRGVEGSKSKAMGAIAHMKEAGYSPTRWDRIATILAGWDLDGTPTIQTRSFLP KPNIYRMRDQHTTWLKSNSKEAAIELSLGSRPEDGYSDIWAARIRATRTMREAWACFL SCRDQGFRITNDIYLELTEKVIYHSHLKESSIPRSTEVLPGDGKEVYPEPSSPRDMIY VRSEPPTLEALAQHLLTTKTKFSRRLSVLLFRHLTSFKLGLECLSHCELSQQQIDALI SPFSHEVPSRRRHLDCIPDDVFASFIRFLCRFTGPSMPFSGEPKFSLSRLFPIVYPKP KEDKGSSNTQREITVDESLAHAISLVRLRMPEYLPAWNYLLASLANTRLPADSHAPLL MQQILAWREVREVVIWMERRNISLDATGFQSVCEALSRFLLTIRNKGRSMEFSPSQHT LGNPIRHPSSTATELVTTSTDLVKRKFDQMVLAKDGPLFPSASEEVVVSRSPNYPILP SMYTVPSPFTLHAFVRVLGLAADFESLVILLKWMTRNASDLKRAMAKINGGKRSLRQT VVAVRVYFEKDPSFTACSASAEIENGYLDSDLRPHSDEDVVPEWTPSPIEALYREAQA VIEGSDCFSPWPTDNEVRDYVANHKGLF UREG_07438 MEKNNPSLMPVPNGDTSHTGAEPSSYLTPSKRKHDATAGDESNL TPVGEATPVNSEPAEDSVTSSLKDILEVISEYDSELKLLQYPLPSKSKSERENKRAKL SEPDAGSNIESKVTGGAYKSLEEFIDDIETVAALVSTDNPQATDRPEHLKSLNANELS VRASLFKKQLNNLLLRSRRLQPLLVNSEASQSQEESLQSLVPPHEDRAILTVTFGNPP RTYFSSFQQTNGVSSVATPEVTDKERSTPVDESVLPGDIRISKVMPFNATQLQKERGT TRTFGEVFQPPSHLPQLTRPQKFKQQATDNVVRWLQPVDILAASSTTLSGQKLYNNKK LSPGHWLNYGRDSSRGKLSSINNSDDSGAAGSVKEDEAIFRSIYSSFAPGYDSGGAVL QNNVQNLVYWDRRGQNKFNQLFPDWHFDEAEPVRQLFEKTTLEPEPLNDIDLRLAVES FDPNTNIEDLEKIQNGDTETSGDGDVEHILQEISDLLKTLHSYRRLRNLYPTPGQLPN TSSKAFSQLSDTPSEEEKATYDTLKQSLSSMIALLPPYALAKLDGDQLADLNIKTAMN QGCVDYAGTMEEDEWTMRQKQAPRVTQSATRSPAPSTHLPRAPTYQTPQHQNIAPHQR YQPTTRARNASTSYTPQSYGTRQPTQAIPYQPTHPAPAYAPTPPTSQRFVQPQYQQSP PASTPQYTRSGMLQQFQRPNQNGPNAYTGPRGLSPSQTQQQQQYPRQVPQSNYQPRAN APSAHRPPNYAQTPQRQPSHQNSVAGSSTPKCSP UREG_07439 MSHVKASKRRASKKSTSPFANPVAAVANVVVGRPLDEALECRPR PRSQSISYGASDKEVPSGRRRATTRSTEPASTERAPDVFQFLDKEESEAGVKAKRAAD RHYIEQTTSSRPVLQDHSRKASAAYSFRSDSGVSVRDCSPGRASSTASGDEYQPPTPP DIPLDSMGWGITKALKPKRPGPMAGAYATDTESILENPGTSAHPFMDLPTPESFYYSR HAPTKSTSPKKTKPERPSIDISKAAKCSRRQSQSASSPKSPIPENPTPESRPRIYRKF DALNHRLLKHMQEEIIQLEEDLSTLDELEAAHQASPNTRNSPRQKMLAAKYHDLQIQD YSVLHYKRTDLLEKIAMKTEQYNRALCSFNKVVQTLPSPSEDDIESYRSSLTTSPSPI KGDRRILDHKSDLVLVAPSHLPTTNPGNHPMHHANPIYTTIAAICAAILFPLLAFGAI SEFFGRIVIVAIIGGMIALWASNSPGGHEYLIEPQDGWKCAVL UREG_07440 MDGGPGPTASKVPEHRQRPQALQVDQVPDTRARRCPGGLCWLIV RFPCLAVVVFSQTRTFIRPAGASHRYSSRIPTKTVRSAYQSHMHFATETQLVAKDDSS IPRLGGCDLALRERRQTVQKRMFVRHLLEAHAVDHFCYSILLARSDVGVESAASILSR ANTLELLVNSRLGCHSAAVDMLIVLWLLLFMLHRRN UREG_07441 MHLVRLIVCHRVIPRPRLPLRPGITRPRSQRSFAPREARLLSTQ IAAHKDAAADRVPLRKELKDKAKAKRLAKGKQGQDAPSRSSSREGWELTVGIEVHAQL DTDAKLFSRASAAIEDAPNSNVALFDLAFPGTQPFWEMATAYTEISEPYAKNGVLRLY ARDGIAPEDGDCVEIGIKQIQMEQDTAKSQELPSHTYLLDFNRVSRPLIEIITLPQIH SPATAAACVKKIQAILQSCGAVTTGMEMGGLRADVNVSVRRRDAEAGAHGYQGITGLG QRTEIKNLSSFKAVEDAIVAERDRQIAVLEAGGTIEGETRGWTLGSTETRKLRGKEGE VDYRYMPDPDLGPVIIGEDVLLELQDTMPPLPDDLVKTLTQDAKYGLSTDDAKALLEL DDGARLDYYQDAVDMLLTLHAEEPATEMGFSAGKALGNWVLHELGGLFSKYELPWDPN RVSGQTLAEIVHLLSRKSITGTTAKSLLATAFGGDARPIKQMVEEDDLLFQPLSREDY IALAESVIQQDPETAREIREKGRVGKLSWFMGQMMRAGDRGRMEAAKAREALEELLLK UREG_07442 MTSPSTIPQKFITKGNQLGVVAVGFSGGQCKQGVDAAPSALIDA GILNQLRDDLDYEIHHDGKIHNYTEFLPASDPDHRGMKQPRAVSAVTEQLSKQVYEHA RESKMVLTLGGDHSIAIGTISGTAKAVRERLGREMAVIWVDAHADINRPEDSESGNIH GMPLSFLTGLAKEEQKDIFGWLGPEHLISTRKLVYIGLRDVDRAEKQLLREHGIKAFS MHDVDKYGIGRVVEMALAHIGQDTPIHLSFDVDGLDPQWAPSTGTPVRGGLTLREGDF IAECVHETGSLIAMDLVEVNPSLETMGASETIRAGCSLVRSALGDTLL UREG_07443 MAPASEYTTSTAYLRKFHWPEIQLNIWLLVVLTSAATCLGIFSW FMVVQAQMEQASPWVFPFMIAASILALLFIVLIFVLSFQARLIPELIILGSFVLFVLW LAGLIGTSIQLYGSIANVNSNCQNYVEAIEFRGASINTLAWLTQVNICNCWKAAFSLQ LVNTVFFVWMLFMALQVRRGER UREG_07444 MPTTRSKSKAADPEIMTDSGSEWGQRQTIYFRVNGIGSKARVPP MLAPFVGKAVLDSEVRRQLQNFRNHELGRMDLHRIVSTVAGTSAQAPAGTLLGLFLMH LAMVKEAPFNPDDQLPPRRYLRTNRAQVQRPGFVSSEVIDDDGSETSLEETTYPNKRL CIQSWEETSIDTDKSTHMLRVKSETQTQLMISLFLTSIFECSNLSGRGTSLSVAKYRR WEWHAEGKELRILSPRVNCVSINDGSLRRKGIGQSQFWGFMDDMIYCSVETKSRLGQW DEDELMGIPNTRVDAQEASQLIGMMCERLREKSKTGRVDPFKLREYDRTSTPVAQLDD LDMKVTNDPPPTLNIFRTPRFDLSRSKGSLAAARLVLALGSYIDHHGPLCV UREG_07445 MGSPAARPRPFAPHDPKPQSLKSILGRTTSIPLYCHPKAWSDFH LDVLRVKCLDKSYPLEHVVGRPLRCDPADKQLQAVVDEITEPVNEDGAFQGLLLCGQE WIRGFGPHRTEEAYRGLCYSWLRILRDDVREYPMLDSAPDTVYLKFFFGGETALIKGP AVMYDYPDLDDYPDGLYPVVSFLCLNHDEYRPRNAHHARWDRGNPRVKVWRRRSKPAE HVSLLLAMAQKQAQLLDKKRFDCVDNPLLNAFHPVLVIVSETTVRILRAHVTLNYLNA LADPTLPLLDNLIVEQSEPFDMLVEADRVRYLITASCLLDEYYLDIRPIFSQRKPKEP EEDE UREG_07446 MAHVASSSQPTNQPTTKTTGRSWLGIYGLEALTLMTFEELQDFS ENYGSQFPVNALLNPDHESEDEMIREILRKMGCLEKSG UREG_07447 MLLKLSSSLYYPITVTELLHRPGANVRQGDALFAYYYRTTVTEG DGLGNKRDVERKFPTKFESAVDGTLLAWKIREGQVIEKPIDVAEIDEPCSHDVQFGGM CANCGKDMTVYGLSVYAYLGQTWFADWVSLGGLNSFNYNTEVLDSSRAPIRMVHDNAS LTVSKDEATRVEEDAKRRLLASRKLSLVVDLDQTIIHATVDPTVAEWREDKTNPNHEA VKNVRSFQLIDDGPGMRGCWYYIKLRPGLEEFLKNISSLYELHIYTMATRAYAQNIAN IVDPDRKIFGDRILSRDESGSLTAKNLHRLFPVDTKMVVIIDDRGDVWKWSDNLIRVF PYDFFVGIGDINSSFLPKKQELNPMSKAHPKIKKQPDTTPGAQSKPGVNGSSGMDVDE KPGEEVSALEQLVTMGGGDNPVLLQEQASQQDEVIAHQVEERPLLQKQKQLDAEDEAA ELQATENGDSASDDSHDSTKHRHHLLEDNDSELYQLEERLRQVHTRFFEEYDRKRSLA LGGRVSALRGERVPTKDKDVDLRIVPDIKIIMPQIKRRILEAVVMVFSGVLPLGTDLQ NADISLWAKSFGAIITNRIDSRTTHLVAGRNRTAKVREATRYSKIKIVTVQWLLDSLT QWKRLDEEQYLVPVHPDDRGEPINLSGKDSQDLDAGYLSSSEDETTSGDDNESDISKS KSRIDELDNADEAILMSTGLDEHSRIGYDAEEQAEVHDELKAFLGSDNEDSESDSDAS MFQGSFVLPAHGKRKRDVESDENTEEYPGVDERAPGEPAGSRLSQKIKRSHERSTGLK EVSNAASIEPTEPPSVDTTNEQLGGEARDTVTSEAQGQVAEGGAKPDADMDVRGDSDM IDPVVNDEEDDEDEFEREMLAAFENDNWDEAKANEDAGDNG UREG_07448 MKSSILLAATVLLGCTSAKVHKLKLKKVPLSEQFDHADIDSQVK ALGQKYLAARSAAQQPFTSDDINTKGGHNVLVDNFLNAQYFSEISIGNPPQNFKVVLD TGSSNLWVPSSQCGSIACFLHSKYDSSASSTYKKNGTEFSIRYGSGSLSGFVSQDTLR IGDLVVKEQDFAEATNEPGLAFAFGRFDGILGLGYDTISVNKIVPPFYNMLNQKLIDE PVFGFYLGDTNKEGDDSYATFGGVDDSLFSDDMIKIPLRRKAYWEVDFDAVTFGNDRA ELENTGIILDTGTSLIALPSTLAELLNKEIGAKKSWNGQYTVECDKRPSLPDLTFTLS GHNFTIGPNDYILEVQGSCISSFMGMDFPEPVGPLAILGDAFLRRFYTMYDLGNNQVG LAKAKAKN UREG_07449 MGDTEVDTPVNALAPPLKASPADAIPNIDPLEGWGNDDADEYTM LKRLQRHTEYINLQEEYIKDEQRFVEPEENRTFDAWISLTICRSLKRELVRAQEEIKR IQSVPLVIGQFMEAIDQNTGIVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNAL VDILPPEADSSIAMLGAHEKPDVTYADVGGLDMQKQEIREAVELPLTQFDLYKQIGID PPRGVLLYGPPGTGKTMLVKAVANGTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMAR ENSPAIIFIDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNR ADTLDPALLRPGRLDRKIEFPSLRDRRERRLIFSTIASKMSLSPEVDLDSLIVRNDPL SGAVIAAIMQEAGLRAVRKNRYNIIQSDLEDAYSSQVKSGQDADKFDFYR UREG_07450 MPEAEQRHRAVCVRTGRRIGLEASLGISWLLSLTPAPPISLHSL GGTTLAALVPFWLQQPPIVDGRLVIQPIPAPPPRGSAQGARPSIGVHSLAFTASPDLL TSFLPNRRVSMAGEWSDNGSFNNATIDPSMAFLQSPSSSDHSQFQRMFNGAARNMSPG FPNPNPVIPSKRARPDDGFSMSPRQAPGVLPGSRSQTPHQAPFPGFPDPANGTPQFAQ QPAPSPYQHLQTGSNNPSPSPILQDFDPQLSQRVQTASPSPFSPAGIHVASQISPPHS DHGSRVNTPQTSTFGQPPPYAQPPGTHFSPNPTITSSGSHPAMQPQYTQNNPAMMQNL SAQQIAQQQRLYQLQLQNQARQFQSPNAPAVGRPMVAGANALNNPQAAAMRQLHQNMA KPSNPEGFLRGLQRYMMSRGLPLDLNPIVSGRPVHLMQLYAAVMRLGGCKKLTAMNGW PTLAQQMQFPAMQYPTAPQELRDIYLRNLAPYEQAWLSSQQKHVGDPSQMGGQRPVDP STLPQQMTPNKTMPSQSFDLSSQLPGATQPSIQQQNHSQPMHMNGFPVGSQGKPIPKQ QRPLGHQHRSSLSRPPESASPNGQMLQYPPDVQMPVDKQSSPVSGRKSGNDFVSYRQP LEDPFKPTVLPESAFHGPIVIDEAFQIGEELMRLKPTVPTFGELGVIDIHALTMSIKS GIHAEMRVALDTLAQISFEPAVQLSLDNCENLVEALIDCAEDQLELLADNAAEVSDVM LLPTYEEVVRGCRQEMETLLDVPEFGSLDYELDRAVDRLICITTLLRNFSFAETNFTL LSMPYVVKFMASVMRYLGTRNMLLRTNQNLLDFMKDAVIYLSNIAHTIHLPSKEEALA ILHFLLAFTPSSPTIGPNGLMFAPYDPSIHRYMPAAVDTLAKLLARDDPNRTYFKAIF SQENQCPPPNDLLTRSFALSIASTPEHTKGNVIALADARKPFLMQGLLSAEILAGLVD SDLARLWLKSIDGFAISLLRLACILSADRNPPGPQRHGAAGRAAEADLYWHNTISNRG UREG_07451 MALIFSSSLSAPLRRTPSISSLHARSSSFISSCQCSRAPLLQFY TQSASIQSPRPRPSSPLLSLRSQQSRSITTRITKPFSKSKSTLKDTTASQSLSAEDTA HPPVLPLTNLPYFIRRTPSNQLPVYLVTKAGGTRQETKLQKTEGDVDALRNDLIKALG MEQNPNDVVINRLNGHVIVKGWRKPEIQQFLLDRKF UREG_07452 MADNVPPSADTLLKGAASRSAKTPEEIIKEYDLLPKLIPYLDRH LVFPLLEFASSQEENDEAIDEITKSKYELLKQTNMTDYVANLWQEINDSDTIPQEFIK KREEVVQRLQQYVEESSKITELLQDDNVVGNLRSDKAANLKFLEEQHGATIDMVNILY DYGRFQYSCGSYGNAAELLYQFRVLSTDNDKVASATWGKLASEILTTNWEGAMEEVQK VKDSIDTRLFNNPLGQLQHRTWLIHWSLFPLFNHEPARDVLTDLFFSPAYINTIQTSC PWILRYLTAAVITNRQRVHKNSSLYQKQLKDLIRIVRQEEYEYQDPITDFIKALYIDF DFEEAQKKLGEAEEVLRSDFFLVAAADAFVESARHLISESYCKIHQRIDIKDLSTRLG LSQDEGEKWIVNLIRDTRVDAKIDYKEGTVLMNHPPQSVYQQVIEKTKGGFFRTQVLR UREG_07453 MSQPQEYWLPGYGLSRHIVLSKMQYFLGPTASVRPYSYQGREGY LVTGAPLTRGQIDDLKKMSQVYEQEASLRMTQVSGLTTHEKSECKGTEPYINQPVYVG RRDNRERDRVRDSKPYPIDRYPRTKRSW UREG_07454 MQQRRRRRRRREGLKPARHVRPSRAGCNPGGIKLQENTMSSRQK RACNKQQAASTAGCHCAPAVAGRLARWSLGGSLTLAQNEAGVPFGPSAWWQPVSTSPP SRLQKTLSGIARHIKRWSPGSAGTA UREG_07455 MSSAVVPADDILEPTLQNILDQKSLRWIFVGGKGGVGKTTTSCS LAIQLAKVRKSVLLISTDPAHNLSDAFGQKFGKEARLIDGFDNLSAMEIDPSASMQDL MAAGGDQAEDMGFGLGGMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIVFDTAPTG HTLRFLQFPTVLEKGLAKLSQLSSQFGPMLNSVLGARGGLPGGQNLDDVLSKMESLRE TISEVNTQFKNADLTTFVCVCIAEFLSLYETERMIQELTSYHIDTHAIVVNQLLFPGK DSTCDQCKARRKMQKKYLNEIEELYEDFNVVRMPLLVEEVRGKEKLERFSDMLVHPYQ PPQE UREG_07456 MGDRDPITCHVLNIYDGAPAAGLSCSLTLIHADASSTSSTQGPP PSIFYGTTDADGRVKKWRPSSASPASLSEVVASLPNQAGSGEGSSARSTWSIRLMNVA EWYKQRGVASLWPEVEVKFMVEGREGEEGWRHYHVPVLLGPFSYSTYRGS UREG_07457 MSSAAVAKRGSPRIGRPGSRTDSPLDANNPLLKTEGSQEANRKE SKVVTDADVTQSISAPRRPGQSESETDYFSAVHNTNHFSFEPNPFDQSFGNPSAETPG KSLLPPVAALTSPSLPGTTTAGGFTWPNSLRAGPLSPAMLTGPSGSADFLDSIGRGFP TPNESSLRTGLTPGGGGSMFPAPSPGATSMLQQLQSGGATPSTLEFHRTAINAARKNG AGAPTSNPQDPEILQQAANMEITKSGPQLDPFTHPDATDAANGLYMLAKGGQADSMQY PQAGSQAASEARDAYSRNNTPLNGSFIARNGDVTDPHLNGNMSDGTVDHAKPNGRSKG KKSTAKASNTANGRRRAEETKGGSNKKAKGANGVAIDRGNEDEDKMSQNEGGTGHSKK MTDDEKRKNFLERNRVAALKCRQRKKQWLANLQSKVEMFAQENDSLSTTVTKLREEIV SLKSLLLAHKDCPVSQAQGLNAGLLMNGLTAGDFMQPPPHPYGIPMPHGAPIPAQNMA RR UREG_07458 MPPTSTPSNHRSRRIDDALSQLVDSLLPQRSSSRQPRGGSGDND ASNEYMDEYARAEAAEAEELRRRRALDLAWRIIDAHGNNGVGAGGPIENNNGYGEQKP QRRGGSVSPDMINNAPDMIKRKLLRENASPDKAMRFSNLYSRLLTQPVLGQKWAILYL LYRIGEGGEDEDDGVAEGMMGGTNLRRMLDGRRSPLMEENQLDNMVFANRLGGRKNVA ESIAPGSSASAAGREHPSTQRRAGMPKDGVDMARSKESERKRNAEEEIKERKSARRQA EESISAPQTPKHSQPAESTDEGAPPEEPALLRDLPFCLQGLSTTNLQFSSSTLKLPTT LPIPLISLLHTLAEPCLLYQGLSEFVSRSEGGLIEQSLRAAISAELRSYLGLVASLEG EIRTALTAVSESVDSPTSVMKAGVTLKRCVVWMRDATMALRLMSLMVKEVEGKKGGQL ISMIHGFSTSHGDPFVGAFAERLLAHVTRPFYGMLTQWIYDGELSDPYCEFFVVQPES RPSGDPRRTATSVWDEKYKLDDAMVPTIMTHDFAKKVFLIGKSLNFIRYGCGDSAWVV AYSRDASKELRYGDTATLETSIDEAYKSTMARLIYLMDSKFKLFEHLDALKKYLLLGQ GDFIALLMESLASNLDRPANSQYRHTLTAQLEHAIRASNAQYDSPDVLRRLDARMLEL SHGEIGWDCFTLEYKIDAPVDVVITPWASTQYLKLFNFLWRVKRVEFALGSTWRRCMT GARGVLGSVEDKVGPDWKLARCVIAEMIHFICQLQYYILFEVIEASWDQLQIAISKPG CTLDDLIEAHTKYLNSITHKGLLGSSSSRSSSSTSNRGEDSFLAQLHQILKVMLAYKD AVDGLYSFSVAEFTRRQEFNAKIETRTAQGRWGVTERDLQSIHSARTSRPGSTMRRPR ADSNSPAVDSPIDNGGLLRSSTDGTPLGGAASPFFSSSLAGEDHLLPSLRSRLSDLST EFRARLVTLLGDLAYQPDVDMRFLGVVMNFNDVYQVVRRRRGGGGSSGKDRERKRGGA ESTETERKEDKEKAKEREKSTG UREG_07459 MSSLPRSSHVPAWKKLGLELKFAKEVSHGTDSDKGTDNGAVGKG DTTQVKKRKSTSQQTDKVKSKKQKRENGLYKNDCSGVEASEQSEKQETKESAPVVTKL ADVKAHKKTESKRSSRKSVSFAEGTKESDGAPESEPPSEPEQPPLTAEQKRAEKRRKR EQRAKKRTQHDPEPTTPPTPSDRLLSYLQTYHTSRSEWKFQKNRETAILKNAFSVDRI PTSYNAALSAYLSSLKSTAAKARVAQSAKEAIQADVDDKELQDEKYQQAVISFKTRLA ELGQDITTAETDGHQNTLDEAHQKKFAKRQRAELIYYAVKLGTAIIEDDTSSSSSSSS SSESESDSDSDSDSDSDSNSSDSSSSGINQGTSNGAAVQSKKPSGASTSSSSESDSDA SNPDTTSDSSSGI UREG_07460 MSGSTGYDRHITVFSDQGRLYQVDPSSVTHIFKISPNVGCAMTG SIADGRASVDRARGEAAEFRYKFGYEMPGDVLAKRLANISQQQEILNHLEKKLKNKEY ADGTWEDVVELAITTLSTVLSVDFKKGEIEIGIVGGPRADGKEGTQTEFRTLTEDEID ERLQAISEKD UREG_07461 MADGLNEARALRVTELMNDFRTLLLHISQLKTDSPLGEEHEEGY ALMRRCFHEAQSLISAQFNVESIQNGNGDGEWQKVQLQRIILDASARRFQAHKLYLRM AAAKRWATSRMQVLHGQKPSQLHRAALRTIDETLRNELSSFTDSYIRNDLRSADARAG HWLAEDPELSTILQWFRSHS UREG_07462 MAAEMIALFPSGHTRLSTYSAPKPLVIANLEEEQYNRVDQRRPS LSRRITQACIAEIMHQKREWSYWVINKLREDSEFRDQTAPRLKLTEPLGGEEISVQSE QAIIISLDFYYFILAHNLHAQTEDQAEWMVLNRRLNTVLRISIHPISERCGTYPPEGK VKMAPRAHGWGWEAKYNQLDGFDPLAQTETDQLPGFIEILAYPCCSPHNHTQNAFSRS DRRERCRQASASRLIRHQDCQPRSWESLALHDHHITLSSTPARIFVSFFIPTGGPADA SVREITNLHLRLYQSHPERNGGRDGVCLRKRRIKSRGVRSPLAQEFSAFRHEQNLATK PLLLCTKKLVLVQATEPRISLIQGYSGSATDVQSNELLSVENDFADFTWNIRLSCVPY PTI UREG_07463 MADHESEAAQLHQQFGAAEPQHEKKDLAGGSLGKDTEAEVRSIE AGYVNKEGYLHETPLGEEPTEEEKRTLRHVAESLPISAWLVAVVELCERFTYYGMQGL FQNYVQRPLDGSLGQGALVTPIFGAIVADQYLGKYMAIFYFCIVYVAGLLILLFTSLP ISLQHGAGLGGFIAAIIVVGIGTGGIKSNVAPLIADQYKRKTMAIGVTKEGERVILDP GLTIQRIYMIFYGCINVGSLSLLATPYMERDIGFWSAFLLCLCVFVTGTIVLVFGRKF YVVRPPTGSIITNAFKAVGMMIVNRNMDAPKPTWQAEHGSSRTLPWDDHFIDELKRAL VACKVFTFYPIYWVVYGQFSGNFVSQAGQMEHHGIPNDLMQNFDPISIIIFLPILDRI VYPLLQKMHIPFRPITRIALGFVVASLAMMYAAIVQHLIYTSPPCYNQPNCDLALVNG VREGNKVHIAVQTPAYMLIGISEIFASVSGLEYAYTKAPPTMKSFVQSMYLLTNAFGA AIGEALTPVAHDPEIIWMFVGLCVASFCAGIIFWIVFHHLNAKEDDMNALDKDEYGSD GR UREG_07464 MVSYDTVPMVEEKTKYIVKKGLGGAMWWEASGDRGANKATKAGG SLMATFYEDAVKMGKKFDKSMNVLSYPETAMYF UREG_07465 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGAKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDADGKIERLRRECPAPECGAGVFMAAMHNRQYCGKCHLTYVFDEK UREG_07466 MLAAFNTNIDRAESAFHGHRHSGSIQATVGAKPNPPTARHRRGL SLDQGIARNRLKFPSDRYESLEPLQWQAFPRNLAEETLLDRPNTPVTQIDPDSLPLTP ATTPSWKPIHIPRACKTAQSSPVKQHAAITRNFAVMERARSSQGVSGSLLPRETLAPL PNTNSHQPLEAFDLQMPKMSDDVFSEFTFHPQENFETTSMSSPISPSMPSFLSLSGVL NERDVSSTTSILNKPAKLPIAPATPLRPASQRGAISSRSSSPSKTLLSPRALSIADLN LDASIDASIEETGITLDDIAAYIDGPDLTDNKWICKYEGCNKRFGRKENIKSHVQTHL GDRQFKCNHCNKCFVRGHDLKRHSKIHTGVKPYPCECGE UREG_07467 MDEISQFPREAKALFVVSLTCAILIGLLFGIRLFNRIYFKSTPD INDWGIGLTAVVGLASIASLTESSYYQASSGPYSTNKLNRPVRIYGVFTIAEFALLFL IFFECADQTTKCDFVVSGSYLRPTQLWVAIVAYSFVLDMATAGLPILWLKSLHLKGLR TLFQIGFVVIGFTTVGILALFLPPYHRHNDCYFSSLDPYLRNSNRSSVL UREG_07468 MFAPRPVSRLIGRSARFRPPNQRIFPALRPLIPFPSAFAQHVPY SAKSTPEPPASEKVPVDVSKAKRSSRSSATKTSLRRAALEAQLSKDGRRKPQLHEDLP GHNKLVTAYAVAEQFDIAKVMEILLAKGYEPDPFETGLYPQVIHVQVPLDSIRRTTSL AASDLPADQVGDVFIFPSGTVVSWSLPEGFTSYLASTILLPAAESPHVDDMETEHLEY SEDAQRDSSTMKGDKIILGTKPSTDNHRRSDRQSVDTVLTKIAFSSGLARSTKLAVLE SLLSNYFDSTRTIPTLLSKGTRLPYSRSFILRKTGQLLSIRAQLNLYSELTDSLPDLF WDSRHELGLEGNYDQVGRALDVGIRIKTLNEKMDYAQEIASVLRERLSETHGLRLEWT IILLIAVEVGFEVLRLWKEKKQEEAEHKNAVNSESTIP UREG_07469 MADDSSLPKAGDAKTAPDSSSPAIEELPEQHSVHKSEDEEEYEE EGEEDSEESDDEDEEPQLKYASLTKSIGTLYRNGDATSTFLVAGDKMVVGTHNGNIHV LDALTFQRIRVYHAHSASVTSVSISPFPPPLASRLEVKNASLADTSSVASDPSKSPSQ PRQTMIPHIPSNAIYIATSSIDGNVCVSSLLDPKDVLLRNFGRPVQAVSLSPDYKNDK SYLSGGRAGELVLTVGGRVGTSSNSTTMTGATANPSGWLGALGFGGNNGKDTILHSGE GNISAIKWSLSGKYVVWVNEEGIKIMRSHLHLDHAHSEFAWKRISHVDRPRNPQWDEM AGVWKARAEWVDEALQSGGQGPSSEPQVALPKDGQMEKLVVGWGGTIWVINVFPSGHG KGPQAKIIGSAEVATILRTDCVISGVSLYTPNVLVVLSYLSKDDDNKSKRKQAGPTRG IRHRQNGLEPELRLIDVETKEELSVDTLTVRRYESLSASDYHLGVLSPAKIVNTPTRR GTFETIGTGILDATLYPTRLFNSGTSIRSTTSSSDRRSSLKPSSSINAPGLLLGEHDN KELAILASEGTKVFIHSPYDCIVAARRDVTDRLSWLKEHDKFEEAWELLEQHPEAVTS GIEETESPLSTPKQHRMSLTGLFDDRSLLETGKRAEDSRLESEKLRIGESWLDQLVND KDWQTAGDVCGKVLGTTSKWEHWIWIFAKNDKFDEIVSHVPIDIHPPLPGLIYEVILG HYVSRDRIKFKELLDLWPPNLFEIDSVIAAINEQLKTSTAPEGTDDYRLLTECLAKLF LAGGRYREALRCYIRLQDAETAMALIREHHLLAAVADDIPGIVSIRVSKERMESASIA ELEEATSEPIKLLVREAVNGIVQPATVISQLQAADLHLFLYFYARALWKGDSLSSTTD HAAPARGHHRTGAAEKLVADEGRLLIDAFADTVVELFADYDRPLLMQFLQSNTSYSYE TACSISGTAIDPIKLVQRIPSGLEIQGLREGLTRMIREHDIQASISQGVAKVLAGEVS IRMDTLRKGQRRGIRFDIIHDDEAEPNEGTPQANQQQRDDEEGTVEPSEKKQTSQPGR CAGCHNKFVEHEKEALVGFACGHVYHLSHLHPSSSGNSSGEEGSASPEHPQSVSYEDS SPIFSRTVGLKVTNARLLRDKIGDGCQVCASKRVTEEQ UREG_07470 MNHKLAVAILAFTTMAVNAGNFPNKMDNIGKGGLVVREGKSIQC CQQTTS UREG_07471 MFRHRKSSQKPPEEFYNDFKQNFPQLCSESSSALAHDPQDHSSL ADPVIGQTLTQRPIMDMKDKKEHDAIVKVSPDQWGFTPSLMDPNSFAFSTFASQPSEY YAATPTANGLPNSTHPGDMQTPNMAVNLLTPLGIPHSTSADPTMPHSAIDLGPFQHPF LANQAHGVDPFGHVQGFAPSTFLRRDPDYTALTRSLEEPAFGNLSLGNGSLGLVPGRL TDSADGLDGHHNDNFRFRVTLRASTAMVKDPDEIPVTYLNKGQAYTVNVVDTAPMASG SQPLRYRTYIRVSFEEEEQRSKPGNCWQLWKEGRGTNEAHQRDGKLLAVEHVDPNQGG VGDGRHPRVQLEKANFDGFSVVWVPNRTTGSSECAISVRFNFLSTDFSHSKGVKGIPV RLCAKTEVVATNSPELPLGNTPEVCYCKVKLFRDHGAERKLSNDVAHVKKLMEKIKQQ ITQAEIGSANFDKRKRSCSISSKGMPKSMKLGKHKRPWSIGSQDGVKSTLEEDLQLKL ASLNDMFSSTQPISILNLKGDPQDDPDLFPVQLDTTIDENFSNHMNFDSTHSNDGAST SNAFSPSTGSSHHSFDVKGTNFMDGSYSASRHGSLDWSTASQSGDTTKHGQYMNQPTR IPRISANGNDSNDWIEAMGVDGSYQPPNETPKKPKCCFYVRARQSMGQEVEDYYHAVY LTDRSASALVETVARKCRFDPARIARALVVRNNGFHVVLDDDVVREVPEGQDMTVEFS ELDESGSNMDLDDPKSPTTVTNIEMRFFLT UREG_07472 MTARLPVTNRAPFRLLPLLLLVPASASPSPSRRCHPNESPAGRA LRRKNLEKGHLCCRSFYSVRAVVFGVSGCCMLAVLEITRYILAIDLVQTTRQRTGTPP YFNELPPAPPLRAASRTTVNIRLAALTVWYYGGTREEARQALSFFGTVRSILFHPPPR LSPPRALQRDLVPSNESRINYRWLLLRASDVIDTNEEKGRVSKVKGTLGSVIDLPSPR RAFQRMGFGRAP UREG_07473 MTSWPNLPRHPRQDPYKKKKKIKTKKGGKKKERSAGSSSQMRDE DVRRGAFGLGSPVQQKSQGPDSKFPLFQNHVFQTQDA UREG_07474 MLRTRSRPSRKTTCMAFENAGGILENGELKIPAISSPGTEKSSE YSGRDEMPTYYIHPHYHECSSALFYLSIGAKNYEFRQTNKYRSPTTQPQDSSWFFPCD GARIRIISET UREG_07475 MASPLLNTPVEIMDMILSHLSSDDLRAICLINKHLRALAEPFLY SIIEFIWSRNQTPTIIPLLRSLLRRPLLASFVRNLVLLGDDFETSLHHYRREVPKLPV AEMDLEEFAESIRETNVPYGDSWIQKLQAGEMDAFVALLLSRLPNMRGLYLGPNFTAK NQLVGPMFHSALCEDRKCRLPSFNHLRDVSSVYFTLGIDYRRFVTYRNTQDVLPLFYL PSVERISTLIDNPINFTWPAGNLPNPSGLKSLELLMIREGNLGQVLSVTTGLEKLAWE WYYRDDLMDHFVTDTFDFDLIAADLSHVRNTLTHLTISAFTDMARADPEFPRIYMKGN LHPFSQLHMLKDLKVPLPFVMGFSPAAWDTRHRTGALPKGLEYLTLTDDLYLQEEWEW EDADLLEALRLWLESWKISTPHLRRLHFLSEHMAHGEWGPDMRQQLRDFGAKAGLQAE MIKLTGEMPP UREG_07476 MLIPSFRNLCFVIFTAFLVLSDVLLAYDISKKLAPQGPLGVTLD RSVAINFPKENDPKDDTVYRSWLFSTHLKFKDPVSEISDGQLWQIARDAVDEMIKDVE QYGISARSVPNALAVLAWDHEIILSSSQKGGASFTYDYGDSPVLESLRLCQAIWKDDG PFGNDKEHRTGASCAEPMAAHLYYATTGEPLDTKKARVGTWTRRKKGWEQTDPCGTPE KDLWGCNLFVAAEGLTVLSPDKDPEEYDIKTLAGGVTASDQIQLCAVGASEG UREG_07477 MRWWCRLCIVPFLGQAVALPAEAKDPDLKDLPFFKDPFKPLFPF LQTLPFDPTDLSWIKKLAAIGDSYSAGIGAGDRLGNLFDVFNSQGDYACSRYDHAYPY LINNDPRLGDLKNRKFQFKSCSGAKSDDVLKKQIPSIDSGQQAILLSVGGNDVELVNI LNQCIYQWGVVNKAQVAIAKAEALKKSQSDDGKFDWAKSFDWDALGRGCQGQLDHTQS LINSKAFSDKIDSVLKAAKAKLAKDGMIYVTGYGKFFGEKLTSECDKVSWSTWIYKSY NIFQPEAKLTKANRKKMNDLVDSVNNKLKESVKRAGKNVRPRLMFYELNTFDPLGTTP WKRSNGDAIMGTFSGSVNTFAEITLLLDPKAKIVHEKSVQADKPATGNSLGLENKLPI EDYIAVPNLLPDGIITNLVIHNMVNDYQKRKGHPSLPEVASFKTCPIEKVSPSLTVGK LSCSPERPKKLSFPVVSEGVSVNAEGACKKFAERGDISSDEKSWYYQRYFGSNPSWFN IGWAKGCKVPGDKQKQNMGDPLGNGKLKCEEIFVDKIFNACESLISFFFLFLSSPPNL FEPVLPGVLKTVC UREG_07478 MSPKTFIKDLRRISRTSFHKGAARRAKDDSTSSDVDGDGRTTNG TSTLTLDNCSSSSSHTTPPPSLPTLSSSYLPYLCKAETSNHLGPLPQRPVPISSPSSR SSGAVNHKVILIYGQIGDARNPPVDGSVTLNHLNDSFPSTTWPVRESHFKALLHLVPG PNKVRLDFLSKKVANATAHQSVISVNYLPMINCPPLHLVILLAKDSHGQFDTAESASK KDNSLDVAVRKFRMAAYLWQAFTQEQMYRNNFARRSFRFEEEWQTGTISSKDAVSHQM RNEAKIHVIRCDKTVAELTELSLGRGHAPGRNNELFEIVKAALDQRFMPPPGQKSYVT ALILDNHFDSKLQSATGHARVGTADNGLELAVFGSRGLQSYPSCIEEVVSAFTDCARP DTGPLANGNNECGSNWEMATASLGAHLREIGRVFGCSERESGIMSHDYLRFNRSFTSW EPYCTRTKEQGLRLCLAQDETSWHRLDALRFRLHPCFRHPSDPPPSSNGSIHVWAVDS SKILLTSMSGVAFIEIYTDEDDICIAYFDYMNGELGNSNNGLSKQVTVTEDEIRARLP ENRKKARKLKLVIVSGSLCSHTVEDVSLLKAKGSIIKLPNGQAGYRSNNLGFAASLEA PPQDLILDSAVIQTKLLTSIKVHHSPSAVNGIEFCYEDATSQFFGSQPSQPAIISEHI LDTRRGELLTGFYIRAAHKVEGLGIITSMGKINGVYGDATAGVGYVLLSSSSLASR UREG_07479 MDYYAIPYKPMISSLEQSTSLSHSRLVPALSVPLPDNPIQITDS LAILEFLAESHPDLPLWPRDRALRALARSAVARMHSGLCRALQTTYHTNFLGRYTGNV PLSAEARVEVERVLALWGELRRETARRLGELGGEDGGFLCGEFGIVDSFFWPVLWRFR SYGLPLVSATPEALAWMKQMWSDPKMREIQRDYHRQGERPETAIPAYDDIFKDLSDVQ YSWFPEDWEFYA UREG_07480 MPLPRRALLAVTSAHPPFWPDGKKTGLFFSEALHPYNELTAAGF EVDVASETGTFAWDEHSLTPSFLSKEDEKVYNSEQSPFMQKMNKQVFKADDLTPHDYG LVFVCGGHGALYDFPHAKHIQHIAQDVYKRGGVIGAVCHGPVMLPGILDENGDSIIKD KTVTGFTTNGELMLKVIDQMRNDKLHTVAELATNAHAEYVEPGDPFDNFCKVDGRVVT GANPQSATHTALDTIKVFEGIAKE UREG_07481 MPNPASKRKRDDASDSKSFRSIDSFFRSPKKRQPQVDICTPAPP GNQALTDEELARKLQAEWDRDAAPSRDGPHTEANTQAALLPQSVERKGDLQIADSPPK TSGVVPEKKTKGVLSLQTSATTEDTISMSLPLDQPPLSFKPSTYIEQLREFWGLEGGR ASYSILARAFSLVNSTQSRIKIVDILVNLLRILIEGNPDSLLPAVWLATNAFAPPYVP LELGLGGSAISKALKKAYGLDNLGLKSLYDKHGDAGDVAYEAKKKQSFTLRRPKPLSI KGVYDSLTGIANSKGTGSQENKQRIVEQLLRDARGAEESRYIVRTLVQHLRIGAVRTT MLIALARAFLYSRPPSATFEVYLQPDLMNLKKDELSNLYARAEEIVKASYARHPNYND LIPCLLETGISKAVLAKCGLSLHIPLLPMLGSITRDLTEMLTKLQGKKFSCEYKYDGQ RAQVHCDTAGKVSLFSRHLEFMTDKYPDLVSLVPQIRGDGVSSFILEGEVVAVDQESG DLLPFQTLSNRAKKNVEMSNIKINVCLFAFDLMYLNGEPLLERSFRERRELLRSLFVE IPKRFSWVKSIDATSTDSDMILNFFREATKEKCEGIMVKVLDTDVEAVETVGLGSAIK ESSNIAKEKQKGTRKRALLSTYEPDKRLESWLKVKKDYSTASDTLDLIPIAGWHGQGR KAKWWSPILLAVRNPETGSLEAVTKCMSGFTDKFYQENKEKYAEHGDNVISRPSYVDY RNEPEVWFEPQEVWEVAFADITLSPTYTAAIGLVSEERGLSLRFPRFLRVREDKTIEE ASTSAYLAELWRKQSARLQIEGVDSMQEEP UREG_07482 MARGAADGPRIADHINENIQTAADLQDLDALINDIYEKQKLRQQ QLREAEATLNDATKVSAQHAEAVRERGEAFKQKQEDIDKRLIEVTGSKSSDEATRKFE GGMEKLWRLEMAKGYLELLDKIHGISKDALSILQSSPGEALRFYIELRAITSALESMQ MAAEGAAPHLVDYAMKLADNLKGALRKEYSKNLQTVLERMNWPSRDLKTDSPLIDEWQ KWTELLLQLHEPDIVSQAALLQGEKEHRNIPVLLPLEEMVHPLDLRFKYHFSGEKPTN RLDKPEYFLSHIIDLVNTYSGFFMSYLQPIFDKRSLNCDRLLLPVYSNAVFSYITALL PMARQKISATLPHIANHPQLLSHFIHELMQFDSDIRDTWGYTPEFSHDRAWKGLTWET LVKEDWFAQWLQVEKEFALSRYQDIIDATDSGEIEYDGVEATATKPTKAAIRVNDLLE TITDRYRPLSSFSHKLRFLIDIQITIFDLFHERLHSGLEAYLAMTSTIGRTVHSSTNQ PNLEGIAGLERLCRIFGSAEYLEKKMQDWGDDVFFLELWYELQDRVNQNAQTGRPVAG AIFDLNYANVAQTIFPCFCLGFANVAYRYWRIRHHPVCGPSSVYSEPYYRVQILVTSP CSSTPKANSATICTLGSDVSLG UREG_07483 MERADEVRNLLNPVQERILQFIQSADQGSQALVQRHASNESGPF KSGLLDLKKPTELQEELQVELPAHGQGVDGLLQVLDKLLRYSVNTWHQGFLDKLYAST NAPGLAAELIIATLNTNVHIYQVAPVLTIIEKTTAARLASLFGLTGQYAGGISAQGGT ASNTTAIVIARNTLFPETKTEGVSNNQFVLFTSAHGHYSIEKAAQMLGFGSKAVWPVP VDEKGQMIPEKLDELITTAKEQGKKPFFVNATAGTTVVGSFDPLPEIHQICLKHNLWF HVDASWGGSFIFSKKQRSKLRGSHLADSITFNPHKMLGVPLTCSFLLAADIRQFHRAN TLPAGYLFHNEEYTNGFWDLGDLTLQCGRRADSLKLFLSWMYYGSEGYEQQIDSACSI AEQLSTLVGASPHLKLLTENPPPCLQVCFYYAPLGRMAYPPEREINGKRLSEEERAKL NGKVTEEVVRELVDQGFMVDYAPPSEDDTFARDGKFFRCVVNVLTKKETIEALVDIIV KLGSKLVQRQLGSELKVPTASVMSGFQPKNPAEMGHGPVVHG UREG_07484 MPREKQKRGRRAEAKKERENSKRKREYDNADTEATKRPKVQDDE TYAAPVHQENAFGNGDDYIPLEDGAAPVDDTPFYGLLDSDEQEYFSRASQTLELNQFE NDEDRRTFIDSVLEEANGKELKIACSQSCSRLMEKLISMSSASQLKHLFGKFTGHFLH LVQHRFASHCCERLFLRAAPIVAYETKPRTKKQADVEEDKIDGQPVSNLPMADLVLNA ISELEGNWGYLLTESFASHTIRVLLLILAGEPLDNASNVAMIASQKKENVESLKSRSQ PEPSSAEKRDVPPVFKAALEKMINDLITGLDSTYLRALATHPVGSPVLQVLLTVELTH LGKDRAKDPNSVVRRLIPDDSLEDTDSATFINGLFYDPVGSRLLETLLRYVPGKFFKM IYKSMIRERIGSLSRNEVASYVVVRVLERLSKEDLQAAMGLILREVPSMVERSRLNVI KSLIERGKLRGTNLTPLADALKSAYGAESLSRLKKILNLDEASKEDPAKSAIDEPISS TTYTQIYRTFMRPRVTHERLSCC UREG_07485 MHKAQSSAPSETSSLSGSVRSSPCFKPKGVQGSVRRFMKQARSF RRIATAKMSEVRLSQTLGKRQRSADSRSSVNGGSVAALDVSSRESSVEGGVVTATVPP FLTQSYSADKSLENRTELRSKFEELEWLQRSRISAGLLAGDPSHKWALESNPVIRERS RYANVQAWANSRIHLKVPPGECDFINASPIELKDTKTQEAVRYIATQGPKNGHLSHFW NMIFHESGEVAVVVMLTQTFESGREKCAQYFPLNMEVPTFQFSPVDSDPFIDHKEDES VFTDLSGSITLLEYSYDESCCSEVRKLKLDVGSESKTVWHYLFAGWSDYAKPEGQYRD ALIKLTKVTAEKAASCDNPRIVHCSAGVGRTGTFIALDHLLRELKNGHLLNVANDTAD TVFDTVNQLREQRMMMVYNDVQYQFIYDVLKEQTYAVLGKKGVTDTSTDANDATDEDT LAETNTKGELEPIID UREG_07486 MRIRYPFAGAFLILLLLSAYINLAPHTEPKKGDTTIPPSLQPND KLLHFVTFFILSVAFYWILDTTRRRTLHLSVIVCTLVLGIGSEIIQSLTPNGRSFDPF DILANIIGSLAAVGLCTWYHKRMLERKRKSRFGGLLNDGAGEEDIELGTGGPDHVEEL GPQETGVTSTRTLEQEVDNWDENAVDNWDEEDGENNPTGGEDSLKPAHGKDDVKLRND UREG_07487 MSLNQHYAWLPPGHLRPPDNQHNALPPTNGLPNGPSRPRTPQIA SRANAESSQSGNPIPDIDPTPEEDTRVIAFREGYKRTEAKINWLFSHKRVLDVSASNA EPEQAPAEPEKATTPVPPRRAARKMDEDDYDEYDDDEDDDGGPHPPSPKSKSTPPAEI KALPSSPPKPSRTPSSENQKDQKKDSLEDIRKKLEQDKKETEEAAKRSFRTMFYTLEN DRDAMLDQQRLEESERQVEAEMRGQSGNDANAANGQPGYGSLSSANLGASSLTLKNLI ARIDMKRSEVQASDAELRSLMSEVRKNRSKWASEDKIGQEELYEAAEKVLSELKAMTE HSSAFLTRVNKRDAPDYYNIIKRPMDLGSMTKKLKALQYKSKQDFVEDLNLIWSNCLK YNTNPEHFLRKHALYMRKETEKLVPLIPDIVIRDRAEVEAEERRLQLAELDGGEESDD EPIMSSRGRKATATKGKKGAATGRKAPAKGPEAASSDIKTQPSDSASVTQDSATDGIP GTLSTPPPGTLTPLTSNGQGVAATGSQMDAMDMDNFGLPVPVYNSALSGLAIEFEDPE YKIWKQVTKKDRALVAAERHRLLKGDKLNPDEPALLRTKVGMRRWLRNQLEASNDDKH VNGSHPMNEPETTGETFAEGIDEEEDQMLPDYYDLMSALPDLPKHLQWKEDSEGNVIE TSEEFLRILPKGLFTAPASKFSKKMDANMKQMQETRKICSKIGIVKQMQQQSQMYQNQ FQKYQPEPLVEQDVLPHVMNDEGPVISPWVCRAAFQRSVAKVLYHTGFEEYQPSALDA ITDVAADFFFKIGATLKGYMESPKLPKTEQASTSSSDQQWKHAYTQEQMILHTLQAVG TDLSMTPVGDGSSAFNDGSEQFIGGDFAEDIDEDFFGFKELGLDREFGLSSLSVPLHL LQNRMYHAHQAQNLSSSQTTSTLFPTPPPYPRISLQTLPQHIGLVQNFFLAKLHANND EPLVEDLELPPKQRPNATRPRVPASGKIVPPSNQGVTTSPQKRPAPPSSGITAIKSEL AEPSKKKAKKNPGTGLGISGSKGADIATNANNPTKAMMASKLNGVKENETATSQQNDA KTVDGVNNSGEVSNNPANDDRMEIDDTSNVNGPAANSSVTAVARSAAVNGHI UREG_07488 MGNYFSRNKELVINPYVGSGRGDEFREIRTNAAVLSIQAWEDTM PGGHCGVKALEVQWSDGHTVSHGKALDVVFMVPAVNLSTLRN UREG_07489 MSKNMAPKGQKPKSSKEDDAPFYLRNITFYETDPDKYFENISLV KRPGFNTTGKEIALSINSYPIVQFPNKTVYQYDVLIGNGAEKRALLDKVWNSKVRKEK LGKYWIFDGNKLAWSTTKLSQDLNVVIDLDVDAGRLDSKRANAFRLVVRPTKKINLAI LDEYVRGTIPLKDDAQEAFNFLDHLLRETPSRTFIPVKRSFFSEDNPKFDLGGGVFAY KGIYQAIRAVHPGRLAVNVDVSNSCFWSLYSLVGLAIGIMDLRDVQQLIHYSKPVVDP CGGHQPSKQFHLLDRLHKLRVRANYRGCPCLDKEWTVKEFLLVNAKQYKFDVKDHATG TSRTMNIYDYFKEKYNIILDYWQLPVVQMTKGKVVYPMELLAVYRAQKYPFKLNEFQT AQMIKFAVSRPSERRQAIERCKKNLRHPEDDVLKEYGLKVADSMVRTKARLLPNPEIL FGGNQKFNPGTNGRWDLRGKKFYLPNSKPLKSWGIGFFKGRHPISGPQIEAFCDNFVR TYQGHGGIVETKRPVIMELPQDPAKAVYELFNAVGNKYNQRPQILILVVQDKQSFHYL RIKKSCDCRFGVPSQVLQGQQVVKGSPQYISNVLMKVNAKLGGTTARAISKYNPSLPP YTMVIGADVSHASPGSHAPSMASFTVCMDTFGGRYNAGCETNGERREIISPANIRDIL GPLIREWVMNIGKGRNPQNLYYFRDGVSEGQQQHVLQREIRHIKDIFKDIAMGKEWEG KVTVVICSKRHHIRAFPEPNDRNAADKNGNPLPGTLVEKDVTNPHGYDFFLWSHIALQ GTSRPVHYQVLIDEIGSSPNQLQNMIYEHCYQYMRSTTSVSLFPAVYYAHLASNRARS HENVPSSSGPQSGPGVKMNRPPQQGPRPVEAPPLLPMPEADRLGYKVWYI UREG_07490 MENYETGAQQGEAGSDSDEYDPSKAVENEYSTDLANPQTTVPSS SHVSEFSAPDSVQRGNVSDNFPHGQDSSGAFPSKSPSISESRASAPTYPAAPVVGATT QATDEVRAEEVGEGDGGDAEYEPPGALDHVQDTTSTPADIQQRSLSQNSNKNVSQFHV SQQQTVPDKTTSHDVSNNLSSIAIPAGTPVQSDAASKPDDAGLAVPSIAQSTNSSIPA TPTPTPVAKGRLPHDRVGILEDRIQADPRGDIEAWLELISEHRSRNKLDSARQVYERF FKVFPWAADEWVTYANMESENNELYRLEQIFNKSLLNIPNVQLWSVYLDYAISAGPAG RTNKRWTCSGRPTSEPFAVAMQAVNTLWKEYDQFEMSLNKMTGRKFLQERSPSYMTAR SSYTELQNITRDLVRSSLPKLPPAPGFDGHAEYLKQVGIWKRWIKWEKDDPLVLKEED AAAYKARVLYIYKQALMALRFMPELWFEASDFCFQNDLEAEGNELLKQGIEANPENCL LAFKRADQLEITTASEQDRVKRGTLVREPYDKLLDALYELITKAKAKESQEIAEIEER FAGANMQPTNRNDEEEDDGRDDSKAKEAAKASEIEVTKKAHGEQIALLSKTISYAWIA LMRAMRRIQGKGKPGEIAGSRQIFADARKRGRITSDVYIASALIEYHCYKDPAATKIF ERGAKLFPDDENFALEYLKHLIDINDVTNARAVFETTVRKLASNPDKIAKSKPIFAFL HEYESRYGDLTQIISLETRMRELFPEDPTLQQFSHRYSGPSFDPTAVQPIISPSQVRP KRSAPLEEAPSRQDSPGGPSYISASPKRAFPADDLDDDFRPRKFIRAESPLKGAAGRR LDQQKRIHQANGGGTSNLGQTRPPAQAQPLPRDIVYLLSIIPPASAYDSVRFLPDKMV NLLRQIDIPNSVSHLRPPVGAGRPQYGGYRGNQ UREG_07491 MSVVSLLGVRVLNNPAPFTAPYEFEITFECLEQLQKDLEWKLTY VGSATSSEFDQELDSLLVGPIPIGVNKFIFEADPPDLKRIPTSEILGVTVILLTCSYD GREFVRVGYYVNNEYDSEELNQEPPGKPIIERVRRSVLAEKPRVTRFHIKWDSDSDAT DFPPEQPDADVLEDDGQAYGAEELELEAALEQELNELEKIDDDKMDTGEGPEEPDDNE SEAGSEDLEGETSGSEDEDEEEGFEAEDEDVEMGDDSNPTPDQRQQPQSEVMVH UREG_07492 MAHFLRGLFPELFMLDEVARFGVNSRISTLAYDPVQSLLAVGTS ETQYGGGEIYVFGQQRVSVVFQLPRKAASTILQFAADKLISVDSKNEVCAFSLVEKKL VASYAPPGLVTAILTDPCLDYVFIGLQNGELVTYDLDRRIPAPFKLPNFWKERNPRAR VLPIVSLAFHPRDIGALLIGYSDGAVLFSVKQNKPLKYFQFEIPPGAPGSNSSPSSLG EVRRPRITKAIWHPTGTFILTTHDDSSLVFWDPKDGRLVMARTLTDIDIDKPGPASKA SASNPDAFSLKEPILHVAWCCKENPDDTGLLIAGGSPTTKQTKGLIFFDLGPTPNYQT SSWQILSNHFASPRREIKLGTPPNAEVVNFCLIPRSSPHFAGGQDPIAVLALLSSGEL VTLSFPSGHPISPTNMLHLSLSFVHPFITKMSLASVDRTRWMGWKEKRAQGPKVLIGG AVAKRHMKRFESRDIAQMAHADGLIRMWDTGHDDEIENPTVVQVDLARAVGRYHNVEV AQMSLAGAAGEFSVGLRSGELVVFRWNRNENAGSDLPPGNNEGPGLVTNIAHRADPGL KEGFLPMVLFDQRQGSVTALKHSDVGFICVGYQSGSIAFVDLRGPKIIHTASPADFGK LSRRTSIRKSHGSVETAAEWATCIEFGVLTLDGDNYSSIVCFVGTSRGRLATFKLLPS SSGFSVSFAGATSFDDRILAICPINADNGQLTLATQQAVSGLRSGSKIHGVVLGVTPS ECRIFKPSSAKGAHKTWDEFMCDSATVVKRGDAYSLVGLFGDGRARAYSIPGLKEIAS APIDKFFEVRRFGDARITTSGDVFGWVGPSEAAMVHVWGAGLPLDRCADVLFNPELVV PPRPTISNLQWISGTQYVSTGDMGPSYWRPRPSAIETNDGANAFRRARTSVC UREG_07493 MEPLLGYMPTTTTYPFTFCNIAMSSIAKPLVDQGPDFSAFQRLV RSLFPGAQAQNIRMLEGSVYPIHFLQMSNGLELVLKTRPISATPILRNERYLLETEAT VLSLLWQRGIDGIPQPLKVDIPHYPPRTGYLLRNSIKGVALSEISFPLTSDDRKSIDR QLGAVVRSIGRQTASQFGLVHSVASGVGWRTWKQAFLSLFDSLMWDSENAFISLPYPE IRQHAIRLSAALDDVVVPHLVVVDIGKPSHIILDPNTKQVSGIVDFTSALWGDPLMAD VFGSPSPEFLHGFGSDLMDVKSAPIRLLLYSCYRSVLKIARQYYRNREGDEELAARRV LTAQLATLSTLEYP UREG_07494 MSEVAGQKRAAPDEHSDRKQKRKKQWRTPGPKLNVKRTIESGDS GIFVTCDRGREGKCTAEILDLLSQEVPNAAPNESEENDSAAAGSEDDIEAQIRKEVEC MKPTKKSRALFEAVRLDIPCVLFIRMDKTLDPVDLVHRICMDAHANPEKKRSRYVKRL TPITSIRKLRSGGLEELAGEVLEPHFHTGSSKKYAIRPSVRNNHEWPRDTVIQLVARA VGQGHTVDLKNYDVLILVEVMQCVEILRCALGSFVGDQHALDSSAAVGVGVSIDPR UREG_07495 MTDLATKELKHPVDIAEYLFTRLHQIGIRSIHGVPGEILCAIGL LNRPSNDPQGTTTLSLSTTSQNVVCNQLSRIVHDEREMTGKNQGYAADGYARINGISA LMTTFGVGELSALNAVAGAFSEFVPIVHITGQPSTVSQKDGMLLHHTLGNGDYNVFAN MSSGISCAVARLNDPHQAAVYIDSALRECWIRSRPVYIALPTDLVEKKVDGERLKQPI DLSPPPNEEEKEEYVVDVVLKYLHAAKNPVILVDACAIRHRVLAEVHELVKKSGLPTF VAPMGKGAVDETLPNYGGVYAGDGSNAGVRDRVESSDLILSIGAIKSDFNTTGFTYRI GRMNSVDFHSNYTSVRYSEYPGVGMKGVLQKVVQRMGKVNIAPGPELKNEPHEDPSAS KPTITHEWFWPTVGKWLQENDIVITETGTANFGIWETRFPKGVTAISQVLWGSIGYSL GACQGAALATQEKGNRRTILFIGDGSFQLTVQELSTMIRNNLTPIIFVICNEGYTIER YIHGWNSRYNDIQEWRFKDLVPAFGAKPDQYKTYQIRTKQELIGLFDNKEFSAAQKLQ LVELYMPKEDAPAALRLTAEASARRNAT UREG_07496 MDGQRQQPYVPGPPPQSIPQATAQSHMMQIPPPPPRVTHTTAHT GGLPPPPPGPPPGSAYGNQPGWQWARQQQGFGQGYYPPPPPLTSSNQLYNQHLAYSIH QPQNPGSLSIQQPPSNDPPLTSATYIPGGSSFGPGVGIPPLIPHDNYDHFSRPERAGY PYDPDALRYIPESYADKITRPQIPLSYREQSDTAGTLNPSQNPHVQSSHQNSQVSTRE NSSRQTASAASNGLSAHEADEKWPLDRVLQWLAHNGFSTEWQETFRALDLKGAKFIEL GCGGANGMGNFGKMHQDIYPQLAKEYGAAWDPGRERDEGVRMRKLIRSSAETKHSENT SSGRFYEQHASASTDGGVETSPHLRPDSFPFDPSSTEFGHQETTSRSELSRNILGNAL GEWRRHSPSASSDHGNTTSKSRGGSPATQFASMVTAEPTGTPGEMTHAKRNSSDSIMS RSFRQGQGPPMREHPMKQSEMPSKDHKGFLNAIRKKLGPSHPSPDDTSLDTPSSPARY AQSFPYSGRTGPNSSEPSLGYGISQPSTECHARKVIQNPPPKRFIFVTLDGWNYRLVD VTDVDAADMLRAHLCNGVGIKDPSSALIYVTEPGKVDHEEPLSDTMLVVNRRSKSDDQ GSLKLYVQSMAPPAFGLGLSFSERVANGAKPQRSTTEDDARNRTNHVAHPRRVSPAMA HRASMQNLASYSPFIEDDRWVEESPEEKERNAAILAAHKEHRRVVEEKQRLYLQSKRE QLQKEANIGRRKKPKDSSAQSSDQARSSKDFLVDTRERSSSFSPKSASPSMGIAAAIA NMGKISGSIAKPLATSSPFSHSPRTPSESEIGKLSSTESADTGSAGSHRASPSSAITR GPASMFNVPIHNDGISARKASISETPSSLPEKATYATSLREPSRVPSQSRLSHGPDFD FQETEVLFVKSPQPPPQDSDEASDEDLFIKPLANRKPKPKADGKAATGLARGRLAKPA LTVNTNSRAVKGLSVTFKSPGPADAFTPLTDHSDPRSGHHSGDPDTDDLSPQDLRNSR RRSFVRDDVWASRPPVEGMIDHLEDFFPNIDLDEPYLEGITLTPPMSPTATPSHSEPE PELTQNLREHVAHAAPNLSSILRNPSDTLGSDESTLKAKVTAKNVAQRNVSRAGGLSR MKSIREVAKGAHQIRRNQSSAASKNAQSGMLRRKSTKMFGARIMQISPKPGSRLSDLD PLPQHPVPFEKVPQRQPTFRIIRGELIGKGTYGRVYLGMNAETGEFLAVKLVEVNQKA AGYDKDRIKEMVSAMDQEIDTMQHLEHPNIVQYLGCHRTELSISIYLEYIPGGSIGSC LRKHGKFEESVVKSLTIQTLRGLSYLHNQGILHRDLKADNILLDLDGTCKISDFGISK KTDNIYGNDVTNSMQGSVFWMAPEVVQSQGKGYSAKVDIWSLGCVVLEMFAGRRPWSK EEAIGAIFKLGSLNQAPPIPEDVSLNISPAALSFMLDCFTINTYERPTAGTLLSQHHF CIPDPNYHFFDTELHAKIGHL UREG_07497 MSAEADIQLPRRSASATSLPGLEGAADESATVQEIRNELEFAQW YDTAGVELREATYEKYQSCLDELEMTTSHLDSLLRDSSSTLDLLSSLSRSFKAVETQT TIFQQQCEGLLSAEARSSKLADDIQENLQFYDYLDPASRRLNAPGAGNSVRRKDFSDM LRRLDECLDYMQAHPEQKEAETYRARYRLLLTRALTLIRGQFVSTLREISSGVSKRIA DRQLNDTTMSALLYAKFRVGAAEMKDIGLEIQKRAVPPLDPKQGAEAEYQSLLNELHT NFSATRGKLIIPLVRKRLNDIANAPSTSKDLVAFARGSISYVRGICLDEFDLWGQWFH GQQGLYDFLESVCEPLYDHLRPRIIHETKLVKLCQLCTLLQTRYLMDPEEEAEYPNPN QLDFSALIQPALEDTQTRLVFRAQAILRDEIEKFKPKPEDLDYPARNTRVSLPGKENK QPVVSGKKQSHREPVSPVPKLPVIVDEELDSPGEKDPRWDFESRSVFEGWYPTLRKAV WLLSRIYRLVNQQIVAFDIEFVSPEVTFDFSGVTNTFWELRERGGLFNPRNLVHLLGN GLLPRVVENMLDAKVELDGRLRTVINDFTNSFAARMTAPLPSTVTAASMPQARAAVGN ARQVIQKEVPELRRLLGNYITDTRTRETLVAAVQDNVIQLYEDFFQVYTSAESAGDRV VGRSNGKGRADEVWDVETFTDWSEGVFSVGIPKLEDPDEEVEDMSSDRSV UREG_07498 MRWPSTFSFFLLSLLVLAIVVSGADLDRRQLEIEPQPSPQTPAN RQTGEETSTTPESTSSTTSERTEPSSTPPTTSERPTPTTPTAQPTPTAPSSTPEPPTP TNDPRPTPPKPTPTRDPQPPPPPGSSVVIVTRTITSDDQTIIQTTPSSVPDATNAPGL GKEKGQTGSSGLSESNRNIIIGVVVGVGGAIILGGLAVVIYRLRRKRNARTEPDEDDL TGTALGSHSHEASMTNSPFKTTLDQYHNPGPVNPASNF UREG_07499 MAISAPFSSLTDENQIATGSASVVYSINGIVALKCPIRYASSST QHEERQVAFLRRQSEISVNALEIEKGIFTVLEQAPHPNIVRCFLFADEGIFLERLATP LNVYLESVEIVPQPLKIRWLLELTSAVAWIEKLGFIHGDLRPENMLLTENHHLKLVDF DCAVTPGENLQTFSEPYWLHKPDGSLDRAGPKSEQFALGSCLYFIFHETEPVIDIVDG QLDFPGLSSTPFAPLIQKCWDGGFLSVRKLALAALWNTARSGHIRVLLSFLYSSSIGF RKSRTSCGKIGPLKKLCQEYLDEQRRVHLPSLAAPPQAIDIRRS UREG_07500 MCSVGPLLIRHLVKLMSVSVSRSSPRTRSDHEQTLHSFNHHPQA QRRWPTSIHRLGAMFATLPSPSPPHTLTSTTPLYLSRQPPQDANRFLSHALSMPQGQQ TNQERVTKQPSSPPQSPSPFAQRYASQIRNPAARVAGRASRDIRRNAFFNRVKRNRES SMYNAREDNMLYIEYIAQKRRFEEEMARSAPEIRDVEDEMMDIEEHDRGKMGTNVGRN EQAGDGKLRNSFAVIPCALF UREG_07501 MAELLGGSGGGGEAGGGPDAGGDDDDWNALAQELAKNGMQPGDL MKLMLGEDLSGAGAGGSGEASTENAGKQEETFQETIRKTMDRMQESGDKATAAVNDSA DNDVLVQMLKAMEAMDASGLGGDGQDDDEKFENLIMRAMEQLSNKEILYEPVKELHEK FGPWLKENKEKLSKEDYERYGKQAQLMADVMKKFDEPGYSDDKPEHRNYIWEKMQEMQ STGTPPKDLVSDPFADEVFGAAGPQCPQQ UREG_07502 MTKPTASHPFDPLSPEEIALVVQAVKHAYAEKNVIFRVVTLAEP RKVDMIPFLEAEHASKSAIPPCRTAMVQFYLENSSDFREIHVDLSSLKVTKELKLTGK HSYLDPQLMDECEQACLKDEQVQEAIRALQLPEGAVVCVEPWTYGTDGMHDMSKRILM CYFYMRLNDHGDANYYAYPLDICVEMTDDLDVIGILTLPSGCHDRVQPAADGIKPFDR RKLHKSSEYHPELAKERRTTTRPYHVVQPEGPSFKTDGNLITWEKWRMRVGFNYREGL TLHDITYDGRSVFYRLSLSEMFVPYGDSRAPYPRKAAFDLGSNGAGVNANNLKLGCDC LGSIKYFDGYHHTISGDPLILKNAICCHEIDDGILWKHTNYRTENAVVTRSRILALQT IITVGNYEYIFAFQFTQDAAINYEVRATGILSTVPIDLGDSVPYGTIVAPGVLAPYHQ HLFCLRIDPAIGGHANSLIVEDSVPMPLDEPTVHNPFGIGYTTSQSIIDTESFLDTDI AKGRVFKIVNENITNPVTGTPIGYKLVPHYSQMLLAHPSSIHARRSEFCSHPIWVTKY DDRELYAAGDHTMQSLGGEGIESWIKSRPHPVSVRNEDIVLWHAFGTTHNPRVEDWPV MPCEKMLVSLKPVNFFDRNPALDVQLSTQERNCSVLVEDSGKKS UREG_07503 MGSLVDRNSALRDGISITAVVDEVAPKPELANVEGSCSSSISTP EPDVEVVPQDQAQRQKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIKQLEATIK LNEDTLRTLQQSHRSAADECLMLRYKNSLLERILLEKARISHRHDYQGTSLTVRHLCV SRHSIDNQHINRRWGLYKDPLQPGFPIVRGLTMRILPKYNNSPPHPMSLLLPLLPIPP VSPYKQACPQLERKCTLSHNIKLDTLGRQYYPNPEVSVKGRMLP UREG_07504 MQLISIDLTQLSWYFIGNDPELDPDREPQPPLKVADKTAPRYGK RDAPAQPRGSNPALSRGGPRYSGNERALRDGAAGSRANRSRPAEEAPRSGPVGGVKNR DHRGNLIREDRRSKTDRTITGKQLDQGWGAPTGESNMVDEKVGETIAQNDEKDAAAET QEGERQEEKEPEPEDKTKSYAEYLSELEQSKREDLGVKEARKPNEGSKADKKWATAKE FKRDEEDDAYIKGREEKARREKQRKEKNILDVDMRYVEAPRRGGDFRGRGRGGDRGGG RGGDRGDRGGRGGRGGRGEFRGRGGNGPQGPTVDEQNFPSLGGK UREG_07505 MKQVQYETFPGAKVTDDMLAEAANLFSENYGIWGEQSLTPGKRI RLGKRRLRDQYLPDHAATSYVRVTVDGTLAGNAFICRWRHDDRSICWITQLVVHRNYR GRGLASGLLGSLLMHPDDVYGIMSSHPFACLAAARSLGMGIEKVPLNFIKDNAEAILK ASPVPYIGEAKLRGTLFEGSSSTGLVCGVDTGFFVDHKEPLEALEIVRETRQWPLGNL LDGHEYLLVLQSKHRFRSATSTS UREG_07506 MRWAFVAALLWAAVLSPVTLAASRLKPPVLPLIVRNPYLSTWLG NARGDPWSKWPMFWTGQEMGMSLLAQIPSTGMVYPLLGRPHDSLDSKNPDGYQLAFAT FLGSSYDASTTNLTYRIPVHVKGKQATDVDIIVSFLSPITPTSTLRQSVPASYVTIFV NGDINVNVYMDLNGQWVSGDRGSMLEWRFDHLIPEQGAKSLLKWQDARYESGTSAVLR KRFARTGTLQNVNDPVYRTVMDNEPVFAFAKSFNLSSNAQEDAVTFTVAHIQDPVVQF AAARGLTLMKPLWQSWFPDVNSLVVFHYHDFNNARLLATKYSNQLAIDAFLSGAEDYV DIVALSARQVLGATSFSGTAENPILFLKEISSNGNCQTVDVIFPSFPYFLYTNPRWLA YLLEPLIEHMLSGQYPNNYSMHDLGSHFPNMTGHPDGKDEYMPVEECGNMLIMALAVV NSLRYPAGAAGEESHGIDVDAPPVHGIFPLSPLQVSDGIDKIDNPWIDGGSVSRAREW VERSYSLIKKWTGYLVRFSLRPENQLSTDDFAGWLALQTNLALKGIVGINAMSELSRF IENRNDTSYYKNISDTYISKWEGYGLSRDKTHAKVAYDWYGSWTTLYNMYADAILCFH LDGTQYDPDPRSDQHPLSGPVKRREGFIPKHIYTLQSKWYHNVRQKYGLPLDSRHLYT KSDWEFFAMAVASESTRHEILESVALWLNETATDRPMTDLYKTEGDGGFPGPNFFARP VVGGHFAFLALERACRGKAMDGLKFLNEGASGDIDKEEPSYGDDDEDGHEDDRRIELQ GEQHGSQVRIALNDGFDGDHSWAGPDDELL UREG_07507 MVLFTVASKANAAYILPVVTAAAYVQVSTPDAGLSITFEDEESV GAKKGKLELKLPDGKLVYDDDIIPYLRENYPALQIGNKEQVLDKPLKELDSHLTLRSY IVGYSLTLADIVIWGALRGNKVAIPNIKRNAKNIARWSSFIEASYPWVSNGPAELQAS AQKKRAAASAAGASYNIGLPNTENGVVTRFPPEPSGYLHIGHAKAALLNDYFAHEQYK GTLICRFDDTNPTKENQEFEDAIKHDLSLLGITPDKISFSSDYFQEMYEYCIQIIKDG KAYADDTEKEQMQHERREGIASKNRDATVEQNLARFEEMKLASPEGLRWCIRAKISVD DNNKALRDPVIYRCNLQPHHRTGSTWKIYPTYDFCVPILDSLEGVTHALRTTEYGDRN AQYAWMQETLGLRKVYIWDFSRLNFIRSVLSKRKLTQIIDQGVVWGWDDPRMPTIRGI RRRGMTVPALREYILKQGPSKNVVNQDWTKFWATNKKYIDPVAPRHTAVEKEDMVEAR VQGADGVTYAEKPRHGKNPEVGLKKVVYSNTILLDQADAKSFKEGEEITLMNWGNAYV RKIHAEPTSGKITGLDLQLHLAGDVKKTEKKVTWLSKDQELVPVTLYEFDYLITKDKM EKDDDINLILNPTTEVKTEALGDCNVAHLSEGEIIQFERKGYFRVDRPYKEGQPAVLF NIPTGKSG UREG_07508 MSAPSSINIARPALLEKSNNIALPPAPPSTTEKLGISPIDPSVA IMSRPAVAGQKRTIDQVDADHGMSRSANGSFSRPGREGRFRIYEEPSQTKVDNNGKEV CLDYEHIPRRDNNAYPSIEASSPQQSAAVAETHHDEHKQESPENSAMSSLFNLSQASE NNLPRTRVAKPRKNINKSTTFGSAVPTDPEQRQLFIEQKANLLRARIQAAMKVMDRKQ NLDSRLAEFEARYEEQKRQWGDTIEHINKNADVSSMYKNTPKRKVPRLDPSPSLLERG HAITMPSSPPVSNTSGITDNDETPKQSNATFSRQPSGLLSPIQLPTPGNRSHGTPIVD QNINCSVEKMMATVKRGEAVDGLLKLMETTTEYDGLDEWTG UREG_07509 MPKAVLTHFSPYLEDCLPPTDKQNVNRKGCDGATYVTIYGGVKA AYILICQWMLASCKNQSIQRIERLGFAQYARLHEAATLLDIPRIKNDMVNRMDKMSKS QIPVQDVRVIYANFPKDSLPRQIVIRSIGDAVFERRLRRWDLYKEFKLECVEYDNDIY DYTEQRRQAIQDAELANKKGQKARRRKSGEHTKGSNDKVEEPTQVVTKTVKGVVARKG RGAQPTYVRIGLNDFGVSNQHYAGNVRRT UREG_07510 MAPPKERQISLDGDNERETSSLRSPSVSGDEDDDGVEISERPPK RRRLSDSETSGDDSFTPARPLPALSRIKRRTDVAGAKQEKPNLKPLDPVTAKDAFDLG LQSADSSFTSLGLAPWLVGSLSAMAIRRPTAIQKACIPEILKGRDCIGGSRTGSGKTV AFAAPILHRWSEDPFGIFAVVLTPTRELALQIFEQFKAISAPQSLKPVLITGGSEMRP QAIALSTRPHVVIATPGRLADHIKTSGEDTIFGLSRVRMVVFDEADRLLASGPGSMVP DVETCLSALPPSTSRQTLLFTATLTPEVRALKSMPRPKDRPPVFITEISTENNATIPP TLKQCYLQVPMTHREAFLHVLLSTEENGSKPAIIFCNRTKTADLLDRLLRRLGHRVTS LHSLLPQSERTSNLARFRASAARLLVATDVAARGLDIPSVSLVVNFDVPRNPDDYIHR VGRTARAGREGEAVTLVGQRDVQLVLAIEARVGRQMVVWEEEGVSIEGRIVRGNVLKE VGGAKREAVGEIEEGRDVLGRRMRKLKKAR UREG_07511 MVDNGHSKAASIHSTAPSHRMPWPSHSKGSLPSVRAYSPHSHRQ SRDAGRPDSRRSAIAPSPGVALDTTGNTSDKWWKIRFFQGMKNDIRRRAPYYWSDWKD AWDYRVVPATIYMYFANILPALAFSLDMFEKTHESYGVNEVLLASVLGAVVFSLFAAQ PLVIVGVTGPITVFNYTVYDIVTPKGTNYLAFMGWIGIWSLIMHWVLAITNSCNGLTY VTRFSCDIFGFYVAFIYLQKGIQVLTRQWGMAGETSAYLSIMVALLVLMSGFICGWVG NSHFFKRPVRKFIEDYGTPLTIIFFTGFVHIGHMRNVPVETLPTTKAFFPTLDRGWLV HFWDIDIGDIFLAIPFAVLLTILFYFDHNVSSLIAQGTEFPLRKPGWLPLGYLPTWFD HRYRRDYGEFLFPNGLIPQAPFHTASLCVTRQIADDDEVNKGKSVRINDHVVEQRVSN LAQGLLTLGTMTGPLLVVLNLIPQAVMAGLFFIMGVQALLANGVTQKLLFLAQDKELT SSSDPLNRIERRLAIWTIAAIGFPVFILLLIPIRTFWLPRWFTELELSILDGPTASPF TMESVGGTHGHNPDEHANNSGLMERVPGSRAQVLQRERVRVRWLERGERRT UREG_07512 MAWRNQGITGSNNIPLGRRRFGGDDSQGDRSETVTPDAGVKRGR SPVRADPPVDGIKKRKKRNRWGDAQENKAAGLMGLPTLIMANMTNEQLEAYTLHLRIE EISQKLRINDVVPADGDRSPSPAPQYDNFGRRVNTRENRYRKRLEDERHKLIEKAMKV IPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMETESGAKIAIR GKGSVKEGKGRSDAAHTSNQEEDLHCLIMADTEEKVNKAKKLIHNVIETAASIPEGQN ELKRNQLRELAALNGTLRDDENQACQNSQLSQPTSFVEFVEMLGHMAKDCPDRQRGTD WRNHGPSVRKGLGDAVDREMEQLMQELSGGASGTGEAPRRIEAGPGGYDQGNNYGGEQ RDLKPWQRGPTGGPAPWQRRDDRRDDQGHRDQGAAPPWAGRGDRTDRSNDSYGYGSHG AGYGAAPGATAPWQPTTTGTSPPRGAPPPPPGMGTMYSGYPGANPPPPPPPADGPPPP PPSDQPPPPPPA UREG_07513 MDLPNFLLGQDDEDEVRQMIEALPPGSSEYDAEGLDAEDVEELL QRHLSIAPAIEHIDSVGKQHNMDQYVVFKNMAPDAIRNFSNKKHLGHIKDFTDHCLII VMPSREHEVAASIFQRFVFLKLDEMRPDFSLNLKPCGTAEVQGATRGKGPDVSYLPRT LPAARSDKWPSLVLEVGYSESATKLRNDASWWLTESQGEVRVVATLTIFRNHRVHLEV WKLREGAHPHPIKTQEAIVTKSAHGYSASAALVIHFPELFLRDPTDNGERDIVFNRED LEQLVESVLE UREG_07514 MRLLNPRDLTFEEFPLSEKVDYAIFSHTWYSKPRKEVLYREIKN KFSGRVVVGRDGLVKPGMSDIPFELKKVVYCCMQAIRDKVQWVWIDTCCLNKDSTAEV SEAINAMFSWYRNAKHCYVYLSDVCLSTFRQSFRKSKWFKRGWTLQELVAPHNVYFYD HDWYELGSKMTLNRLISATTRIDAETLMCQRSLAESSIARRMSWASDRKTTKPEDIAY CLLGVFGVNMPLLYGEGGEKAFIRLQEEIMRRSEDHTIFAWTSQCEHRGNNQNCNRNQ ICEEQGQKPRGPLARSPSEFADSADFEPILVSNDMGPPYIMTNRGLRICLPLVPDENT FIARLNCREKEGLHVAIRLRELTFEPGKYYRIDSNGLLGVDGGPAEGQEIYLTQDHAT NNNHFCLNTNLTDEGFHFALKPEGRWKEELNLLKLPVGTEHQTRVSLLIASEQSKSRD GLHSV UREG_07515 MAPLKLLSFPELPNLSLDAAGLVALADIATLQERTALMGRSQLL DALVVCPGIHRQQMATSLNGGEYPVCGSLTDDYVFRVENPATVFFLQKVSRSGHLTPL WVSLNPAGNKWYKFLGLGQFTDTISAILYFTAVTLAVGVIIVLALSRDWWGLSVILLL VVARFINILVIHRRSVPGWFGVKEEGRSGDLLILLSQDRWVRLRGAVDDLKAVTAGQW MRDPQLAENWISAVATVIVYLDAALASNASQLAKILILALLIISVGLLAVVNSRTDSL QMHGRIIKLDGEPKSYERRLKLAEELIRETGRRGWALRMGMVVDDEKCQKTDTNISVE EVAL UREG_07516 MLKQMLLLSFVAFANADLQPDPSPGKFDSPSFKYFVRSDPYWSW LKKHIIYAPLFHVRHNREFRLSAAINFGTLPTRFQAALLIGIVAMNVTLCCVTIPFGA AEGELLAAVRARTGTIAVANIIPLVLMAGRNNPLIQLLDVSFDTWNLLHRWLGRIMVV EAVVHVIAWMVDKVHTSGWPSVSAAIVHSELIRTGFIRAIRFIRLAYLNLGKGGTTAT VEILPGDAMRITLRMVRPWLFKPGQHLYLYLPGIGLWMSHPFTAAWSDTEESLVDGES LVSTKQDALTLKRPTVSLLVRRRTGLTILLVTAGVGITHQIPYVRHLVEGFANGTVAA RRVTLVWIIQSSDHLEWVRSWMTSILNMDRRREVLRVMLFITRPRNMKEIQSPSRTVQ MYPGKPDIGTVLDGEIENQVGAMGVLVCGTGSLSDDVRKACRERQSPTHIDFVEECFT W UREG_07517 MAAREPNITLYTTGTPNGIKISIALEELGLPYKVYAIDLKKQTQ KEPWFLEINPNGRIPAITDTFSDGKTINVWESGSILQYLVEQYDKDHKISYPKGSREA YEVNNWLHFQMGGLGPMQGQANHFVRYAPERIEYGVNRYVNETRRLYGVVDGHLAKSK SGYLVGDHISIADIACWGWVSSAGWSGVDIDEFPHLKAWEERLLQREGVEKGRHVPTR HTIKEDMKDKEAMEKKAAETRAWVQAGMKGDAGK UREG_07518 MGSNPPPVRSKPTTSKPPATSKWCNRALRPLTSAILRLEKHCRT ASIQPDELVPKSADNPLNGSRSKKDGGRTSGGTGASDSESARDDPTWIPGNAGAGRKR VKHKYSSHKESSRGNPKSRLRRSIRSPEAEKLQPGEFTVATPLILGKKKCAVEENRHF GTTCQVVEPRLNEAAPPNPLKNKSIRNGYPAHIPVADIDVYGDPSYISMVGGILNAFD TFLKITSIEQNPHQVNRAPSLLSMALNKTSEYIFHEQARLDNAEDNKEDIDVADIFFT ELEDTYAASDQGWRPLRALVRAHGIRLVCETIRKRLIHPRLGRHIISKILEIPAKDAA NAVLEALLSVVPALPPPKSLDSALLVEQLHVWSLGIYMRDAPSLTVFSREISHLLLRG VVPAEWMATTSMKSYLVDAIQSTVSNDEHSAASVKFLMAVLQASLAPTRPTENSPANL DPRTAPSFAPTSQLNREGLRSTENTSDQMRANNKLIADALNNTICSILTVLCSAHVAR FRSTPSTDSPMWHIVTYLSTVAQRCTANGKLDKRKDSLGAQQIRIGYILIAQYLLEHI DPPGSASKDRWEAAPGLLNGIEHLIRLSPNRNELLTGFSSFFLQVARCLSRGEGEDGF TTIKSLTTLFESSRLRAYPAFRASLAKIAVDLALNFAETTCLRCHHEWASDLQERVAD LDLDDKGLEPLTPSLCFTTTAFRWEDGIGEWVARTPCVNRNTFNRGNSLKGPHQPRNL SNEKVVKSSTTSDDSDSEYDSMSDSGSTAGRSESSVISSSCSPPLLSRKRKFGSNSCG FTADDHIDVISGTTPTMKRWRNKNRSRWDVYVDLTDGKVRGPVTRNQCALQESKKTNN KARAIAVSVAVPPVNPLKRVDFEVVIHVNKSALTPTPIAFSDIEEENDVKEAEEETEK GEEEDDDVISASGPTLSQRFRDDKRRLSHLVPVPQPKAYARRRSSARLAVKNQQRKVI PCSDCEDSSDDELSFL UREG_07519 MEMEQHNGDTAHPKEVSDQTNPSNEVTELANGNHEGEQEAKQNG GEAPGLFQITVKLPHEPYKIQVMVSNQEQVQDVRQSIVELPGTFQYTSFHLEYQGTRI NDYVELSEVKDLKPDAEVILVEDPYTEKEARMHVVRIRELIGAAGDRVDSLQGICAGL SLHDSVAAGDELADDISSKADSANGAADHALVGYEVTGPANLRTVLPRKQAPSPKTVK SISLSPWNPPPYHLRQRGHLLYLQITTNEGEQYQITSHVSGFFVNKCSNSKFDPFPRT APKNYTAHSLLTLISLISPSFDNAFQALQEFNNKKDLLTTFPFQNSIPHNPWLVPPTT SLATAHQSDITRPQENFLIGGIDNSETLRDWNEEFQTTRELPRDTVQDKVFRERLTSK LFADYNDAAARGAVLVARGEVAPLNPTEGRDAQIFVYNNIFFSFGADGVGTFASEGGD EAARVAVAKDVMGVKAVNQLDITGLFTPGTIVVDYLGKRVVGQSIVPGIFKQREPGEH QIDYGGVDGKDVIAKHEGFTPVFEKLSKALKVKSHPVWDKEGKRHELEGSVETKGLLG TDGRKYVLDLYRITPLDIFWAEDADNHEPYPHRMSVLRLELVEAYWRAKMAQYVKEEV EKRRKAKKEMEEKAEENKTSGETGDSPENGEPAKEGAGADQERVDISNFKLALNPDAF SGQVPQTEEEKEEWARDEKEVRDACDYLRSKVLPELVQDLHDGDVGFPMDGQSLSQLL HKRGINIRYLGKLAELAKEKGSRLHALTALMIQDMVARAFKHIANRYLRRLPSVFAAS CIAHLLNCLLGTEVNSKPRPEMDESLRAIYPDGDFSFEQVTPTSLKSEIEKQIQIRYR FTLDADWTSSLRHLQLLRDISLRLGLQLGAKDYAFDRSQIKSQEHSPVSNGTRASEEG GKKKKKKGGDQASPRLAQSPAPAVTFVPEDILNIVPIVKDAAPRSALAEEALEAGRIS LMQNQKELGQELILESLSLHEQIYGILHPEVAKLYHQLSMLYYQTDDKDAAVELARKA VIVTERTMGVDSADAILSYLNLSLFEHGTGNTKIALVYIRHALELWKIIYGPNHPDSI TTMNNAAVMLQHLKMYPDSRKWFEASLAVCEELFGRQSVNTATILFQLAQALALDQDS KAAVNRMRDAYNIFLNELGPEDRNTKEAESWLEQLTQNAVYIAKHAKDIQARRRRLAN LPTRLGTKPQPQVGQTTAEMSNATEARGSSSLDPRSIDELLKFIEGGESSAPRTKQKK RAAARNPKLRGTKQSAMKASS UREG_07520 MPLGLFRTRVVFGLRRNIIPPVYAPWAVPRIRQYGSQDLNPGGP PQPGQETPDVSERESKDDGPTLKSTGLRMFESAATTFASIAVLGLAGYSYYKYYKFLV LKKMDNAFNPGDPVLEVAGVAPSMGTEGSDTRHWIQREEQVKVDNIINGTIKGRYFLL IGEKGTGKTSMILDAMRKIDGAGVAMFEAHADLEIFRVRLGKALDFEYHEDYIGSLFS IRGPRDTTALLDIERALNKMEKVALRRRKTSKTPLIVIINSTHLVSDDDDGRDLLEML QQRAEQWAASNLVTIVFNSDDYWVYERLKRYASRMEVIPVPDLPKDKAIRALYQFRAK YRNEDTPRSVLEEVYDKVGGRLTFLNRVAKMPDMIKACDAICQAEKTWFLNKCWILGA EMDDDVMDEQKYSSAAMVLAKALVDMEEEMEHTYDAENGHILPEMPLHKARQVMTRAD FIQSYDHDNIFTIDSRAMVRADSVPMQRAFREICSEPGFNEHLEATLDRISDIESLGR TREVTVKDFWHNGKFKAVMRDHKGRESGTLEIGVVKPETENEDDD UREG_07521 MGRKSATATSTTGPSPRRRKSVAKKTSVLEERHIWDSTARSFLE FVAVTACSLGLSTLLFSLSVPITQGDLAWTSKHLDSWVYVAALLGWRVLEIGTAWALG YDARDITSFVGLINLPTYVLLYSFYGLRPTTILTVAAINTISTTLPFFYFRRTNRIHS LTTSFERPVLTDRPTAIYTSLVSAAIYTVALYLSFATWLPTFLVTHFEGLPSIQAAYE GAKGLIPMFVSLLPAGYAARDFLFVSSIGQPHAEDEGPEYVKRQGELFVTSLHRKHWA PLPAKRKTLTARTISLAAMTFANTVVQLVGTINGVGIEGAVGWALIWTAANLANGMVY GWIDAGDALDIDSRASRR UREG_07522 MSDPTATPGPKPSSSVKLVLLGEAAVGKSSLVLRFVNDDFQENK EPTIGAAFLTQKCSLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPSS LTKAKHWVAELQRQASPGIVIALVGNKLDLTNDGNGEGPPSANDTAEAAESSSADGGD EEENPGEQATTPGDARKISTQEASSYADEEGLLFFETSAKTGVNVAEVFTAIANAIPE TSLKPPRGAGGAGAGQTNLGSGRPGEDARVNLRERGAATAKEGCAC UREG_07523 MQPTPCFNQPITRPESEDHDFVSVHFRQPRPAHFSTPCCDCAIQ LGANRLPTPAVEVGDTTSRRSTRNNHVGEWVGGTKRSQARQSAARTAPGCTAGGCGPL SAPRRSALSAEKIAGSEPTIARLETQEKLFRHVPHPQPMAVAQGTWTRIIIFSFFPYR HPT UREG_07524 MGEAILAINAGTSSVGVTIFNRENPPRKIATAKVAGITAPPRVF KYAHGDTKQSKEIEEQIDTPQNAFKYLLTHFLNDSELEIAGKKDDIAYICHRVVHGGD FKHEAVITTDTFGYLEALQDLAPLHNTASLDIIRTCLNEIPNAKSVAYFDTTFHQSLP DYIKTYPVRQDVARSNWLRKYGFHGISYKFIARSVAEFLKKPLDSTNIIALHLGSGAS LCAIRAGKPIDTSMGLTPLAGLPGATRSGDIDPTLVFHYTSEASKLSSSSTKDMRLTQ AEEILNKQAGWKALTGSTDFSKIATESPETDMHRLAFDIFVDRIVGFIGSYFVKLDGV VDAVVFAGGIGEKSAILRKAIAEKCRCLGFLINQQANSKDIADDAHTVTDMSAKSGQK PAVLVCQTDEEVSDPRFRFSIVLLC UREG_07525 MPGEVIDKPNPKPLPSHLPESLDELGIQLDVRPLDKNAIDSLAK FRRAANYIAAAMIFLRDNAYLKRKLTFDDIKPRLLGHWGTCPGINFVYSHLSYLICEY DLDMLAVIGPGHGAPAILASLWLERSLEKFYPDYSRDTSGLTKLITTFSTTGGFPSHI NAETPGAIHEGGELGYALAVSFGAVMDKPDMIVTCIVGDGEAESGPCTASWHSYKFID PAESGAVIPILHLNGFKISERTIYGCMDDKELLALFSGFGYQPVIVGDLDNIDSEFNS ALRWTVTEIRKIQKAARSGNPIVKPRWPMIILRTPKGWTGPKKIHGKIVEGSFHAHQV PLPAAKKDDEELNALQDWLSSYRPNELFQENGDMIEEIKTILPKEHSKRMGQRPEAYK ELATVNLPDWKQFAAEKGSNESSMKTIGGLIDKLFVDNPHSARLFSPDELESNKLDQA LAHTNRNFQCDQFSNAKGGRVIEVLSEHMCQGFLQGYTLTGRLGIFPSYESFLGIIHT MMVQYSKFNKMARETTWHRDLYSLNYIETSTWTRQEHNGFSHQNPSFIGAVMNLKPDY ARVYLPPDANTFLSTLSHCLKSKNYVNLMIGSKQPTPVYLSPEEAENHCRAGGSVWKF ASTDDGLDPDVTIVGIGTELTFEVIQAASILRKRVPELRVRVVNVTDLMILGVENRHP HSLSDEAFDALFTPDLPVHFNYHGYETELKGLLFGRPKLQRVSIASYKEEGSTTTPFN MMLVNGVSRFHVAKAAVRGGSVRNERVRLRQQELVTAFDHEIHATSKYILENQKDPDD MYDMPKFD UREG_07526 MAALNKIAANSPSRQNPSELETALANALSDLETNTPDLKAALRP LQFVSAREIEVGHGKKAVVIFVPVPLLQGFHKVQQRLTRELEKKFSDRQVIIIASRRI LPRPKRSNRSRTKLTQKRPRSRTLTAVHDAILTDIVYPVEIVGKRLRTKEDGSKVLKV ILHEKERGGVDHRLDAYGEVYRRLTGRGVGFEFPQSSAVEY UREG_07527 MARPLPYTFISCPCAETALSGQPTNRLSRDLSALSLAQPDDEGD EKTFDPRSPRANYSLYPPEHLLYCEDCHQIKCPRCITEEIVCCYCMWTTLDIGINFEK PTNIRAQLSSLIQGSNRRESAARTSRLRSPLSSFSSLQDIPDEPEAHQERRMREISSE DTQTPLNHDARFAALKSFYRSQLTETSSSPTDPLIGPEIGSYSSPSALSRIMSLYTSG IENTTIQRMASEGWDGLASTEQRSFQPPGTRFVADLRPLPVFLRTKRSKRCKACKHIL VKPELKPQSTRFRIRLIAISYIPLTNLRPIVPLPPAPPINLDALEPLKPIQLLLTLKN HMFDQIRVTLATPSVTPGRVASKVTILCPQFEVGANTDVWDEALQSSTAPDPRSSRSG VEKVAEAGKVWDKGRNWSTVAMEVVPGSLDGLADGGMAEDEDVLEIPDFCEAGVGGRR GE UREG_07528 MSLRPRFPLSLPRRRILLSRSYAVQAPGNPTLEIFNRKAKYLQK ERAARNVEESRKVDYLKDEVAFRLSERLLDIKRNFDNVLDLGANNCNIARVLTKPYIN PDLPDAPPAEPIAKRISKLTCVEESPSVLYRDESLPFNSEISITRDVIPSLEQLPYKP NTFDAVLSSLSIHWINDLPSVLAQVNSILKPDCPFIAAIVGGDTLFELRSSLQLADLE RRGGVSPHVSPLADVRDVGNLLNKAGFKLLTVDVEDIVVEYPNTFSLMMDLQAMGESN AIKQREVGPMSRDVLLANEAIYRALYEEEGEKGIPATFRFIFMIGWKEGAGQPQPLER GSGELNLKDMLGGGSFEK UREG_07529 MANNNRLLAPPIFIDTSQASSSSSTIEQDNISPDRPFSSNGSFL TAHSPISMDGETLRSRANSFNSNVDTLRSRSDSMAHKDLDNVHYDDVPVSEALNPDQR NENDFKVDNNPFAFTPGQLNKMLNPKSLAAFRALGGLRGLERGLRTDLAAGLSFDESQ LEGTVTFEEATRPDLKKGIETRTPSGIAESHTGSPAEGQFTDRVRVFKQNRLPERKSD GFFVLLWRAYNDKIIILLTIAAVVSLSLGLYETFSGGSKVDWIEGVAICAAIIIVTVV TAANDWQKERQFVKLNRRKNDREVKAIRSGKSVMISVFDITVGDILHLEPGDAVPADG VFVSGHGVKCDESSATGESDQMKKTSGHEVWQRMEDGTATKKLDPFIISGSKVLEGVG TYLVTSVGPNSTYGKIMLSLQTSNDPTPLQVKLGKMADWIGGLGTAAALLLFFILLIR FLVHLPENTGTPAAKGKEFMEVMIVAITVIVVAIPAKPKWLCYKLVHNYLGLKLLEER ANAEITQLVPFDSARKCMGVVVRQDDGSYRLHVKGAAEMMLAKATQVISDLSQDSYRI EPLQANSKDAVLDTINSYSQRSLRTIGMVYKDFECWPPRGAKTQEDDKSMADFDDIFH NMTWIGVVGIQDPLRPEVPNAIQKCNMAGVQVKMVTGDNMTTAVAIATECGIKTPEGT AMEGPKFRQLSDEEMDRILPNLQVLARSSPEDKRILVARLKHLGETVAVTGDGTNDGP ALRTADVGFSMGIAGTEVAKEASSIILLDDNFKSIVTAICWGRAVNDAVARFLQFQIT VNITAVALTFISAVSNDDNKSVLTAVQLLWVNLIMDTFAALALATDAPTEKILDRKPT PKSASLFTMTMWKMIIGQSIYQLVVTFTLYFAGAKILNYDVAANEELQKQLDTIVFNT FVWMQIFNEFNNRRLDNKFNIFEGMLKNYWFMGINCLMIGGQIMIIFIGGIAIGVVRL DGVQWAICILCAVFCLPWAIVLRCIPDKHFAVVFNGATGAVAFVWRPFAKAMSFVFSP VGRMFSAIWAPFRRFCSRIKSKFVKKDPSTDESEAETYDEEAPKFEKEEGEKTPQPAH ANLPPITLTGPS UREG_07530 MPRWAESSNFDGSSGPPGSPIQPKWEGKIAHSIKLLGGSRLGCL RHTRRKGSTEHTGLRLLPEVNLGGVFLVSLLGGQVKPCLGIETRDGEFSPQKKKVGNK FVPRIVKQMIQSSEGAAALEELVLCSSHVCTVLEINRGKYGKCAVRTYVQDIYIQDAC GIFTSGPSDALDFVDLDSRRRDGIPVSAS UREG_07531 MDIIKLAEGGFNRVFLLSMEDGFQAIAKIPYHIAVPKHYATASE AATLEFLHSKGVPVPKLYGYSASVDNPAGVEYLIMEKAHGVPIIDKWFTMTKRERHTL ASSVVEIEKKLFGFSFNAIGSIYFASDVPSHLQAPLYSKSFESDIQDDTHKRFCIGPT ADYMFWYGRRAGLDLHRGPWTNPTDYLHSVGEKELVWTRSYGKPLELDFPHNGPFPGK QHPDQYITLLEKYLAMIPYLLPKQNSDPRNQPTFRHPEPLLLAAGYPRAFENPDINEP VDLQEPKLPPEYDSLTGEDKAEADELYRRQTLFYYYRIFNGVHNRSHLSAIHDPLLHP RKHLVDRAGRQWSGNLMTLKGALVRMAEYWPLLPDTKGIKCPIEFSPDEIEEFHKNEE IWFSLNAVVNRWRDQIGMNEEGWISNQQYESAVERDKGLKKELIKMTEGDQEDIELLH KGWPFRDQEEVY UREG_07532 MSPGSANNSRSVRRQASFLSRVRPKTAGAEQGVTRPLEPFHPAI WAPSGDGSYKETVSHFYHEPAIPSCGDTELHRPPITTNSGPLVSSSPIMGRRHHSKSI SCIRHSVSELRAAVRRFSFTIRHKSHKHTLEVPQERGSLEPYLEGHYRKESKHGGPRG NCMHRQSFSTLHPLNRSQSHTLGISPPIPANTPDLPLFPEELSGGDAARAAAAAQNEI IRLERVTSGADTRGFEIIPRHDTKPSLDSESGIEINIQDSICGSEDDLDVVRKDPVAY LPTELMCHVLSFLDAESVKNAELVSHAWNSQASSRHVWREVFRHEHRHGCSTASSKAG KARAMGLGKVRPNQDWKKMYAVRRALESRWKQGKAAAIYLHGHKDSVYCVQFDENKII TGSRDRTIRVWDAHYPWPCLKVIGALQDRTDSAQIGPLAINPPPDSPGSAPFISICPP AKSASEVMKEDPHAQDYHRASILCLQFDDEIMVTGSSDFTCIVWDINNDYKPIRRLDG HRAGVLDVCFDDRYIISCSKDTTICVWDRHTGELVKKLLGHRGPVNAVQLRGDLVVSA SGDGVAKLWNITSGLCIKEFASRDRGLACVEFSEDARTILAGGNDQVIYQFDANTGEL VNELKGHTGLVRSLHMDNEHGRVISGSYDMSVKVFDAKSGELSIDLPGWTTSWMLSAK SDYRRIVATSQDSRAVIMDFGYGLDGIELLEE UREG_07533 MGLIKRLLLVSLSLLSAVNAAEILSLGNSEDVIADSYIVVMKDG LSQTAFDTHKTEVSSISKRKRDATAVLKHSFDFTGFRGYSGTFDEATIREIATNPAVK YIEHDKVAKAHGLIEQKGAGWNLARISHRQPGATSYVYDESAGQGISVCLVDTGVNVR IPELGGRAIWGVNLIDREDTDGNGHGTFLASLIAGTRHGVAKKAEIIAVKVLNASGSG STSTIIAGIYWCIQNANDRGALNSTLINLSLGGSYSRGLNQAAEAAVRAGLFVSAAVG GSNIDSGNESPASAQGVCAIAASTMDDRPALFSNYGKNVALYAPGQNIMAISNNGGTV TLSGTSFAAGHAAGVAAYLQRLEGIPGNTICNRLKQLGNPVIRNPHSGSTRLLLYNGS GR UREG_07534 MGESRQELLAWLNNLLQLNVTKVEQCGTGAAYCQIYDSVFKLTN GLVDVPMSRVKFNVNTEYAYIQNFKILQNVFNKHQIDRPVPVEALIKCRMQDNLDFLQ FTKRFWDQNYPGGDYDAVSRRKGAGPPPASSTSSRPGATSATGARRGATPTTAGTRSR VGGASANTAALTQEINAQKEAIAGLEKERDFYFAKLRDIELLLQQAVEADPELEKEED SLVKHIQAILYSTEDGFEIPAEAEAAGDELETF UREG_07535 MVELRDDVNGSKEWTSIRRNKVRETNGDSVAAPLALSDALVMRR KAWLKHKRPIRPLSPTFPHSLRGSQHDCRQRHSRLSGASRTGPSDERWRAFDDGAGIM EERGGGGGREAPTKRTTCL UREG_07536 MGHHGQRKHKKKLETLIAETKNKNAVREGILVLPEAGEDHTPPR SIHIDADAHQNTGPDLLLHVHRHVQSFNVRQVQTASKAVVVKTVIHVVHENGSTVGTF TVETLPATVSNSEIGIVTIPAESDSPITVSALPSATSASHTASVSIPATESPSLSEPV TLPSASETAPSIPVSQSPPPAASDHQTSGIITSPADVILIPSVTPETPVVTLLTPSSL NLYPVIPTSASETSIIPPISSSDIVSLVTSIVPSIASSIPPSISTSSSPLVSTPSSIL LSTATTTSSSTTSSTSTSTSSVKSSVTSSTSTTLFFGGGGGAPTENSGPAPTNLFDNQ GQTSKTDGLSPPRVIGAVVGSVSGFVLILLIILFTIRSRKRKSSQTRALSEGAGSTMP AEYPPRPGFSSSARSSAIIANFFAPARALSRWRDSDQSLRTEEFVPAQRGFEKLGGRK LKSVLETGGDGYDNEFGVSEKVYESGALTKDIGVGVASASYDPPLNKEIGQSRTIPSP PLGRPASQESDSSEKVLFRPSPARAITTESSVSGTDVPTTARTGMMTRMMSPQPPPRS SMRAFSGDAIGRSHASADGSCTSRFTEGI UREG_07537 MAQAPVTTANPTAGQKPADPKAAVKATGAKFGHIVTALCSRIQA FPPYTFVTRQKLESISRLLRKLSALKPFEEIDPTILKETRVHVLMMNLIKSPIEQADS TALRIRDEAVCLLKSWVPLMVDIERTLRLTIKDSRAKARALRKQLRKMNN UREG_07538 MATDLGPRGPSDLFLEGPSDPDAQATITDFIDYTEYLPSDLVRS LTLIRDLDKKYLNAANAVHELTRVYGQLPDLAPESRPDPQALRTQISEQLRRAINARE ASFAEASRLYDVVDRHFDRLASIKSKLVALAVSTARELAIDLPETKELAAGCKTEKAE LPTRITLRLDGSRQKSRTQRGARNGRTATFDSTQVEASVEGSDGETPTRLGTEDGLKA AARPKKSRKIAGAPQAADRKQVQDISGERSTSRALAALTAPPPDAKLGGEFRPWLRLT DWEMATLRKKMKKNNFWQPSEVMIYRELSELGSRVRCRAMRDCLGVEETKLSNRGMKM NEAEEAETRHPSHENQAAQAERKRELGSEADSVISGRLLNPCSLAPVIRALLIMFTLD LWMIRPLLTGSQLNPRAKPKATSKKRKFEDTTTQDAGEDAEPSPSEVPSEKTNIKKLR LTKPAPDSNESSVPEITPTKIALSLGALGSVKGISATSSVEPDRPVTRSGRRASGSSK AQPTFTPPAGLKANRQRSATAGSTEAGNRETRQRKSMTPGKAMATEAAAMALTAAPTA ASRRSKRPAPGPVTAGQDGGSAVSVGRRKAKPAKRKKDLNLKDSQPRDDYRIDEDGVV EEIDPNEPRYCLCGDCDKEWFHLECVGLTEVPSRTAKWYCPDCRKKLGKPVVDGIVRS GGRR UREG_07539 MSLTDRLRMLFRGRDEGLLKADMGLKAGEEASATVNEPVPSTSD LPTKPAPVMHQLDNNDKDDSDSEYEDPYLAALAASAKGRAKHNSGMTAKSFKGSKTAT STVDAEVFSQPFAETGHFCPAVVISRFPYKYLKGDLSEKVAKAFFDAGKFWSREWDLY YVYPPTSVSPNPLLLVPPQAQVQRLLDEINQKFGCATSIPAQEEMGVLLSFVNDGTPL PQFLGRSASNEVKSRLERSIPPRRCNHEGPTAPSPEAERAFDNFKQKMGSAFDALRRK SKVSKAKKQRDQAQRLQVWCRTLKRTQCYFGLRPRLVRSAPFPFADEPIFICVDIESN ERAHNQIIEIGLSTLDTLDLVGITPGADGCNWMKKIKTRHFRISEYAHVTNKDFVIGC PDRFEFGKSEWISIKDAAAVLESCFQPPYAGNLPYAGVDTGPVNAEESTQSDDDEDGG VALPADLSATTSPNSSANRFTLISKPIRRNIILVGHNIISDINYMTKLGCTILQTTTT TTNDDDDKKPHFLDSLDTAQLYRIVEREAQPRSLSNILQDLHVTAWNLHNAGNDAHYT MQALIGIAIRSRLEPDDCLSEDGEEDVRGWDTAKAKIRDEYALWDKVLGFKGEWVVDE EDVDGGLPKGLCV UREG_07540 MPPSTKRRNRRKDVLHELPDTDALDSSPSRRSVKKRKVEHKLEP EHEDLSELVSGDENDASSHENDISTGQDLVDSVISYLSVAKEPVAVMDEHSNAKTRQE NQESVKAYAKIAGREWTYYVKSLHVNIGRPPDREQKLDAQSSPVAVAAQALPEVHIDL GPNKVVSRLHAEIYYDGTEEPPCWRIRVNGRNGARLNNSVLKRGTSSQLKCGDVVEIA NTQMMFVTPGDRPVIHPFFINRLQGLQAIEETGAWDATSHAHPEPVVASRPIPFTSHG QQRVSGRNGIGPAQTALVTPSKRQATPASARPRSRGDDTAVKPSPLYNRGMMMESTEE IDYSSDSAKDLKPPYSYATLIGQAIFSSKEEKMSLSNIYKFIMEKYAFYRHINSGWQN SIRHNLSLNKAFQKVPRRTDEPGKGMKWQIVPEYREEYWKKAHKGTQSSAPSSPIGKD SPSFNKGNGQSSFEHRIESSQPAPSARAPQTGSPAYHTFSVAPVEAYTPDRGSRSGRD RGRDIDGTNPAETPMPTRPRNGEGSRAYGLSDNVAGSPPVLSSSYYDDAASSMITPAP LRQQPRLAPPSTAQIPSKFMPMSSPAQFWKFADIGSTPARPTDISPLKPAGGDSGRWD GGSAIPSSSPPPPHLGSPSKPGGPQGARGRFGANGNEKRRWEDDEEEDGNEAEGFDLA RGFQPIGSYHRQMSNAARASASASTT UREG_07541 MASTENQDSSAAMAQQQQQQPAQPTQAAQPPTQGSVQPQQPQAQ PQQPQQQQQQQPQQQGVPQIQSSQQPLQQNIVSAPATAQQAAAVAAAASAVPITASQD ANNNASNQQQSDLACQWTGCREICPTPEALYSLESSPLTSGPQEHVCERHVGRKSTNN LNLTCSWGNCRTTTVKRDHITSHIRVHVPLKPHKCDFCGKAFKRPQDLKKHVKTHADD SVLVRSPEPGPGTRPPNGMFGVGVGPDGKTNQAPYMLAGHYFDGSLGPVPGAPYGHAP PQYYHPQHPQHPQHPQHPSNPSYGNVYYAVGSDAAHQASYESKKRGYDALNEFFGDLK RRQFDPTSYAAVGQRLLNLHGLPLPLLMPAMVTIGGHEAITRPNPRTVYHCLRWFLEQ MQTTVYEGDDNVAAAGVAQPGAHYIHGALSYRTTHSPPSATHLPSSHATATATSAPPV TSASARSPHSATPVLTPPSSAQSYTSGRSPVSLSSSHQISPSSQPSQPQTSSSMYPTL PTTAAQQSASTGYLNVSSAAPPSTLSSIFETDDRRRYTGGMLQRSRVEYSEPMDMSMD GSNDSPKVASSDQDKVADNMIDPFLRRASSDRQSDRDSTSTVKRGHRSSSPDSARPPR KGSNRTDEDMWVENLVSLFTVYAIPFHDRFPFHPHPAHRKHPPPSSRLVGPSPDAKTD RYVISSQLLVTRRETRILVLLWKRATPATTPSTKKSLRRAFSIRKYRARNNRFGG UREG_07542 MVPQDNTTAPAACKPEDNNGQSAIAESKVRDDSGPVPSSQPPES ADGGQAADGDQAKLAIPVQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYACTYDQ PSNRRRNPAPQYIEALEARLQKAETLLRAILPNVDLDDPSFDSSNVEELISTARKAQP DSKPKPDPETHELPSSSEQCDESLLESMVENTGALDLDDQGHWDYHGQSSGLMFVRHL RKQFGNLVPERAPSKFQQISQILESAKSSSESPIDTYMSLAHDLPPRDIARKLCDNAL EDACIVLRFMHKPTFNAMFNRVYDIPAEQYTNKENAFLPLLYLTLAVGCLFRGVGDST LDKSGYEPAVDQGFQYFKAGRLLLDITECRDLTSLQAICFMIIFLQASANVSTCYSYI GIALRASLRLGLHRSVSANFNPVELETRKRVFWVVRSMDIHVSAILGLPWMLSEDDID QEPPLGIEDEYITPDGILPVPPGAVSLMEGANGHIRLVQILLKVTKYIYPVKAANLGP GHTYMVSHSKIREIERDLQNWKEELPEALKPGGEASPQLDRLRQLLRISYAHVQMAMY RPFLHYVSAGSQGRGIDKRSYACAAACVSVARNVVHITAEMKKKQLLNGSYWFTMYTT YFAILSLLFFILENPESASAKDGILKDALEGKNTLAGLAKRSMAADRCTQSLNAIFKH LPERLRNRRGNAPVPVNRKRPQPTTSMPTPTAKLTGTLTPPGPLKDADGLPPNPVRRS NTFPMQIAGNALKQSQQTAASNNIIGENQTAPARVFNQSPLMVPPTASTAPSTSDRDA SQISTPSSPLSASHHPQTMKQPISFSPPFDNASHLPDLMPMMFPSDDPFAYPNQPMSL LEDGQFKQDSTDISRQFPYRPNHPIQAALDAGTPTRTTASSPAGFVNAATFSGFSNQD MSTMPGLPSHPKGPNRFPARHERRPSEPMPESASTGYIENPDLVTMPGQAFLWQNLGV QGPGGNIFPQSTAEEAFHDGIGDFNIPMDIGMVGLGTLGMGLDTTMGYDHQFDGTGMD SASTLNPEWSQWSSWNRGTEGES UREG_07543 MTSSIKIPCFCPWDCSFSGTQMATSGSFPGPAPAILASALLPPC PAETGNEAQRPAPECNWDLQCDIDKGISLTSAERSVFGPGRVVGISGLQPGSVEPREQ KDKDSGVVRSWVLDLSTHLILSLLTRPHLPPSRPDSDTISELLPQAFIIQFYGSRTFT REYLLGSIQQKLPEHSMNLSQAILNSVKIFRAFNFDEIVDAISQISNTLYTTQQQQTS RARSLLLLEDLDQSVAEIQRTSSTLATQARLVPLLRTLTVLSRTHATHLTVIVVNTIL LPSSTASVLPEQSGAEHTFEQSDIPPQSQHFHRQNHYQPVCSIFSPAPLPRPQAYPEL APTQGQSQVYSSSATKPTSQHAIPHYPSSLARSFDQGFDTHLLVSKKETSMIIEVAKD RVGNALGRWRYKAYDFENKWTILFPHGSQTPSHPRLLMPGST UREG_07544 MSNSNVVPAAEHTPSLNTPTVTEAGPDSNESEIMMDIDPKSSAD PGATDALMPTNSLLASNQQAGLRVDTSHIRSTEPASLEYWENILSKCVPETRFNTPAP GTRDTFVLGRVIIKCDHHNPEPTGDYRFWDENEEAAVVLASEALPDIILPEYYLRTKI RGRDILVRSYIPGVPLGAVWSSLSVEQKASFKAQVRDIARRLYRIKPSSSTPSYVAQS NSPEGDTNLCRDGHDILFGNTSTESGDLGLSHNDLMPSNIIVNNDKVVGIVGWSNAGY FNYGAIGQVHRTVRCKNDNESTIDGSWQESISWHDLYDAANEERGVVHDSVDAESKSI NPSVKSEESIPTLNTVPSMATNETFDFPTPKKIFDLKQESVSRASSSERSSPAPSVKV TKKRAGPPVGTKKGQAVRKPAVKKRKLNGMESVDDTAGSQRSSATPAPARAKTAKQRN RKQPSASTAGSPPPDTKNRGRPHELDEDAEGEDDDDDSELFCICRRPDNHTWMIACDG GCEDWFHGKCVNMKQADADLIDKYICPNCQEKQGVRTTWKRMCRLPGCRRPARVTAKT PSKYCSDDHGVEFMKRKIQIAARRSAAAAGLGGGLDAENKANGNAGDNGNSEVLNNSG THQEGTQDLINRGGVLTPREVKAIADGVQSAEEFRKLGESLLSSDSQGLTLLREVAES FNSKSEVPAKEIDFDILPDTVEWTSEERRQMDILKAQRKELLHRSQMLRDRDKFLVLV RQRAKSILEQLRQNDPKGWKDVCGFDTRLSWSDDEFDEWRQTESGKKTLQDGILEAKP PADGEGDTEMIDADKASEVNSIAQGVCIKKRCEQHKQWGKVQQQDILFEQSIVKDQLA KCEKTATQVIDGVVLRAYGNQDMAMGGTA UREG_07545 MNSVRQSCRPKHQVLILKCYPRFQKGIQSVKPNSSELSYLLYYA STRRSKLQKVGAFLEKRAARDVWRGKTGNVQVTLQILAALIEKVPRDLPLYAKSVLTV LDIVLRSREVSMVEETIPTFELFCRHQDSATLTADHEYIIQYRDIVGTYASFASTETP PASKIPMSAPTALRWRTVGLKAIKNIVTSEILSTDGAKQLNVVVPVTLQNLYAGGDPG LSPLQEKAKSSERMEREQLRRRRMSISTVQTVDTVDGNGDPESASSSAADADKMAEVE ARVLALRCLEKVFSGTNRVQIRFATSLVLSFIVSRRPPRDQGDGRNLNQKTDGNWATN LLDVITNWTPVQDRFIILVTLLETLMDRPLVDGHLEPQLTLASMMNWLLGSSINLIGL SVMDVLMGLLQLTRQLLHLGDGTHKLIIPRDPSAFVKPLPHIDEGGAGSPINGNNEKF PTAEPMRHELLELLEKCIGNLATHIYYGDQVSDMIRAIVTRIKPSPYPQSENTHQESD SDNVAPKPSPPRSVHTTEIYFSSPAARVAALKSIKDILVVANLRKSIPGTGPDSRSRV SLQVWEGTHWLLGDPKREVRIAYVDVLLTWLQLETTKVDLRVPAEYNKASKIGAKRDV SDVPEKLTRRIVSSAPQRETGPVSHASGFLQLLHLTIFDIAMEPSTTESDVSILHLLL VNMVEHMGVNAARFTLPVLMKLQAMALSTIPMSATKLLQVSSLVHGFLLALVEKFDLE GTKVGNDIINEVTRRKKRGIWLDKIQVPPRPLDSIQPTLDTKVDQVSLPQPEQSAYSP FSLLEELVGQIETSYNSSYLSPPASPSSSPGRSFSIPSIGHNSSTQAKMPASSQLPLH VKELMLAPWSKEACLAAIEKEKAQTSSLSGSRTATGAFSAGRNAHNMGAPNHGPGSPT DTARSAWNRHDYPVSTTGSPVGGLNAIQKTRRQSIPESRVSPPTSSRDSTVRVNDLRR VLSVVNNKNSRQPSPLRGRQRVDSTASSTESMVSDNLSISDAGTAAIDRPLSSRGNLG TPRGSNLQLSGNKASGQSQKDFEREDIPPVPPLPSSLAIPGGFPSDSRSASSVTSPSH SPIRSDRPSTAPGRPVRTPSKSQGSNASTIRPSRSLSRKKSLNGSLHEEKVSAINGIP NAPEYSVDLGDIGIAITADTSETAHQAADRGDTSNQWSRREASRTLSFGRRADVDKLL AGLAIRNDHRQNGTDEVKGVEVTLNGVEGSTSSTKTRKNPYFGFTNDEHKAPQPRKSG LLVPGSAPWNRSASDSRGGIGPPPY UREG_07546 MVEVLVAELKHQVTATSIRSSIHSSFLSTYSVLYQMAQEAGLIP PPPHLSNPRFTLELEFVLSLANPYYISHLAVSYPHLLGISSQSTTDAEGDSVSASSEA QAFAAYLAYLYDYWKRPEYVQFLTHPGATLRALRLLQEESFRTAVIRPQVIEALLGTT AEVDLRVEAEENKGDSDVQRGTKGPNGAAT UREG_07547 MSGQTLQIDRRPYWSSEEVLLAGAEREVLWARQYARTRFPFEPL YREIYNFEKVSPEKHIANLTDYLKIAKYLAPPQGSYLNRPTLRHPDLQPNNILISETL TISGLIDWQHCSILPLFLQAKPPKYFQNYGDQESEDLIVPQLPKDIDQLDPDEKEAAN ELYRRRRTHFHYISISEQLNKDHIDACTHPEVVLKQKLFQHSTDPWEGDNITLKADLI RASQRWTKLLEESDYSKSPPPCPLHYGQEEIDRCFEIEEEQKLCDRDMERSRLAIGVA EDGWVVPELGQRND UREG_07548 MAFFPRLTAGDFTPLFRLLDDYDHHRASGSHGTNICSIRSFTPK FDVREVKDSYQLDGELPGINQRDIEIEFTDEHTLVIKGHAKREYTATNEDTTNDDAAS TKSSHQATVEDEDTPASSTPAETPTTETNEVVKQTPSSKAVGKKPGYKYWVSERSVGQ FQRTFSFPARVNQDAVEASLKDGILHIVVPKASAPTTKRVKIN UREG_07549 MDAWGERVNNAVAKTRFGYRFRLDGSGHRRERKGAKFLTEIRAG LTTFFAMAYIISVNANILTDSGGPCVCNDPVDPKCMDNLEYNLCLNVVRRDIITATAA IAALSSFCMGLFSNMPIALAPGMGLNAYFAYNVVGFHGTGTVSYQLALTAVFVEGFVF VGLSLLGLRQWLARAIPKSIKLASGVGIGLYLTLIGLTYSAGIGAVTGDQSTPVTLAG CIPGAIGKDGACPSWAKMRNPTMWIGIFCGGILTAVLLMYRVKGAIIAGILLVSIISW PRPTDVTYFPYTPEGDDSFNFFKKVVTFHKIEKTLAVQEWDLSKAGGQFGLALITFLY VDILDMTGTLYSMARYCGAIDERTQDFEGSAMAYLVDALSISIGSLFGCSPVTAFVES GAGISEGGATGITAMVTGLCFFVSIFFAPIFASIPPWATGCTLILVGSMMTKVAADIN WKYMGDAIPAFVCLALMPFTYSIAYGLIAGILTYMLLNILTWVVEKSSGGRLVPENKT FKEPWTYKVPGGILPAWLVRLLKGKKDFWREESLDTSSTPTSGSLTPVEQSIIAVDKD PMAAELGPYHPSEKHE UREG_07550 MSAVINTLSTSLDRQASPLLSVPLGSNNPFRNRTSSASLLATPT GRPRPVSTNPFLDESEALALQSAGNMSPTKTSKLDPDVVDRASRLFDNLSLDPPAKPR ERRPPPPPNSENLPSGRYPKGKEPARPPRRPSKEDPNSRRPGTSKPLIDIFADPQEPR RPHPHRRERRRRNSESSVMERSKPLDPEEERRRRERRHKDRDARHKDRSHHSRKNNYK LDIIDKLDVTMFHHDGPFDACNPHRNRKNLRNAPMQAFPKDSRNMALGGAGPNNSSLD LNQFHGRGEEGYADFSKTSRTTERFDPTARVEPIHGAESMGLGTSTFLEGAPASRAAI ERRQSETEAQALQSAGLQRKKSLAQKIRGINTRGGAGRVTSPEPPIRMSPVSGPSTKR NDKNPFFQDYDEAYDVKGVRIQEVADEAKKDGARPRSVSSPKSISVSERKLTGEGITN GETKPQSSGGGIGGGLMNRMKSLRRPRPERRTTVGDA UREG_07551 MPTSSESSGVTGKLAGKSGHWGSRGDEILRALPRDTPWYYYSSI SKMEKAVTNVERGKDRFNDQFVVFLEIPQHVVNQSASESGPFCKLRPDYLTKEKILIL KMVSRPHEMICGEFLLVLFNSFGSLGHALRRELAIVGTAQVQGRDRAKSPDGSLIPLV LPPGRSDQWPAFVLEIGVSEGAAKPQRDAIWWLQESQGDVLLAVTIKLNRRKRSLTIT KWEMVDKSTRRRNQRAEATQIITIVVPQDKENISIRNAPLVLPFRSIFARDPVGDEGD VIIDSAALEDFAKRVATQF UREG_07552 MSDAAELVNKTVPIPDDPGHYAIALDVFHQLHCLNAIRQKIWAT EAVDPNDDTLSIEHVDHCIDSIRQSLMCSSDITPIVFQWDEEEQEAKAMANVMHQCRD FEAIWQWGKEHHSNNFNMSKHVDDPLDM UREG_07553 MKFFYALAALMASAVMATPIPCENDVQARSAQDSSNPLDPVLGP LKWGLVPPKKGDDQAPDMPAQLDGNEKRAIPDMKSGPGKDLVGQALSTVTGALKGSKV NTPAGGNGQ UREG_07554 MPAARKRRVADVDDDSPVETPDRTEPEQTRNRRRTATESPVDSG SDEEDDTQLGATQILGVNPLVKKMVRLALASEYSRQPIRRSDISQKVLGERSRQFKPV FAEAQKVLKDIFGMEMVEQPMKEKLSVSQRRAAQRAEKASSSSKSWTLVSTLPSKYRI PEILPPSKAPSSTIESSYIAIYTFIISLITLSGGAIQEQKLERYLRRVHADNYTPIDR TEKLLARLCKEGYIVRNRDVSGGEEVVEYLVGPRGKVEVGLDGVAGMAREVFGFGKIN PGPEAQDEEVMREFEKRLERSLGISRQAEAGATQQQASAPVQNEQTRRRSRRGADVDH DGDYAG UREG_07555 MADEEGASPREVVVEACRRDQPHLLDEVTEKNLAEKSKEEIAEF FNEVTDSMGNHALHICAMYGSYDAMDWLFDIEFFECDPQNRIEKDTPLHLAVRYANEK DIKLGLAMVKMMLEAGCDPRVKNKSGKKPVDFTIPQYKEVKLALQKAEYALQEGIEHM PEDEDSDEGTSGSDGG UREG_07556 MPLTFCPNCSNALTISRGPSTSQYPLGVNRFECRTCPYQYILDR TYFERTEMKRKEVADVMGGKDEWKNADNMRVHYLRGSLERKLISDFEPKSKPQPIFTY DCSRINHALAKFRT UREG_07557 MGKEEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDNRTIEKFE KEAEELGKKSFKYAWVLDKLKAERERGITIDIALWKFETPKYFVTVIDAPGHRDFIKN MITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAFTLGVKQLIVAINKMDTTN WSEPRFNEIVKEVSNFIKKVGYNPKSVPFVPISGFEGDNMIQPSTNAPWYKGWNKETA AGKASGKTLLDAIDAIDAPTRPTDKPLRLPLQDVYKISGIGTVPVGRVETGIIKPGMV VTFAPANVTTEVKSVEMHHQQLTQGNPGDNVGFNVKNVSVKEVRRGNVAGDSKNDPPK GCDSFNAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFSELLEKIDRRTGKSTENNPKF IKSGDAAIVKMVPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKSVEKSEKAGGKV TKAAQKAAKK UREG_07558 MSWFARIVRRVRRTRRVEENNRISSIEGQPTAQKNSDNATFEPL LGVSEDTRTKQSENSIQQPGFAAAMSSTADRFLVELGIIEWEPFVQKPDDGRDATYYF FAALRRIEWLDESELGGDCIICCNYTRRSKIIRPCKICADIHCRNCVREWALRACSNE AEMPPKCCGPINMGAIRRVLRPEELRLYMEKEEEARTANRAYCPVPTCSAFIPYRLFP PTARPLDNYPIYDEQIVLNESTPKVESTKEPPPDADQATEQPVPEQYEPPSIACPKCT VQVCCTCKQLKHPGSPCATDELDPEIEAVLTKLKIKRCPKCRMGVRRMFGCNTMGCRC GFTFCWECLRSTKMCRQEGCTDDDDEDDDEDDDDENIGGENEGNDTDDLDSEWEDDGD HDFGEEPGSIWNSWKCPHWWHSDLPARNQDLGYDMNCEACGKVICDREDTETETGGKG KKDLFHCSFCSIVVCRECHGKLNFQSR UREG_07559 MSSHLPIRRALYQCIRRSHPSIWHLPQQQQTRLLSSSRSLRSTA NPLRNASGAGRKGSTDQKMTMALCVAGMISCGVAMFGAIQIYFPQGAKKTGQEGQDGH KPSTGNTGGIKLDGPPGLNSGSDPTLIIDGVEQVPTGNSTIPHFPKVIRLPASLDSPS SRPESVRQIGDEIKKGDGREEDYYLLGLGIRTVSFLSIQVYVVGLYIAASDMAALQQR LVRQAASPSVSGAPNESAVTATSLVPQEKDDLKKALLDPEQGEEVWNQVLKDAGIRTA IRIVPTRNTDFLHLRDGWVRAITGRAQKANAKAKELAAKSEPGAPPQSDFADDSFGKA MGDFKALLGGGVRKNVPKGQTLLLLRDKVGALEILYQPGNSKPMIWLGEVVDERISRL LWMQYLAGKSVASEGARKDIIEGVMGIVERPVGTVEQMVA UREG_07560 MSAAKQKAQAVIADNAVVVFSKSYCPYCRATKSLLTEQGADFFT MELDQVDDGAAIQAALEELTSQRTVPNVFIDHKHIGGNSDLQARKGELPGLLKAAGAL KA UREG_07561 MAETAANNSADALSSPGSVNGSQRPWDQSVPNGQDSANVFPSKS RTSHDSTATYSKYSQRHNTTPHAVNGTSRSRTSSRSGSMHGYLHEQGYLAPHRVDTLS RKDHSLSRNGSDAESLLDLYGRDSANRSTSSVMDSVDRKPENKPHYEAIEDPNESHWI HRDKLAKIESEELQQFGIKIRDPLLMRHRSGGGRGRSRESQSIATNGARDRSSSSPII PERTEEQISPPAPIEDSPQEDEGGPVVFDDPRLPEEIAADPHEDGRGSKIYRMPGLRK SSSRIPVLTSSPHPIPPGYLERDSPLQRPRNNTATSGDEEGLSLPKTRRPSVSAPRML QTPEPELESTPPKNTEAIDTSEQLQGSPSKSKQPAKPASAATSRKTSSNTETRKASGT HKKTSPSNSHGGSVSQRPSTRAGERRPGTAINRPEGDPPWLATMYKPDPRLPPEQQLI PTHAKKLQQELWEKEGRIPSAYDKNFAPLSIQNEEKTPSFSPEPEVPQEEFTLPGLAS SPPPNDAAKANQTGAAGTDRTSHHTSPSAVHSRPAPTFTPSISVKPAPSPMQVDAPAK KEKGCSCCVIM UREG_07562 MSLLSFQAARPPPVGSGVFAALAVVSIFCLVLLLLRHYLPLRNT PAYLTLPIFLALALPASVILLVPIDLTSSTAGNSPSGIWFPARVMLVSWRITYWLTFV LTWFVSALLEIFATRALTQTIYNGFHFSSLKSLVMALAYVWGLVLAIYLMGHGLVAIP RGLWRNARPGTKLRRLQSRAPLIYDRLIDAKSNLENIGAQISQLQRRKATVPPDLRDW IEELAEGASFPVSRPSQFVETDTSRSTGPVVITERYLAELTRNLNHAHHKNARFTDAW SRLVQEAADCQAIIDSSTSKHLEFSRLTAHSSFTRRRSFLSPYLRHVLHFHVLPIFHL ICSGLFSLASVCIIWSELVKSFAPSISIVGLSVTHVYHGAASVTFLGQVVASGWMLYM CSAAFAGVSDTKVWRNRALVRRNTHGESACWYAGLIARLTVPLAYNFLTLLARDVQHK TSFYGFLGRFIDLTPLGKGFDYFFPILILVPVGATLFNMYGKVKSAFGFGLLEGDDGS ADDPSGIGIGTWREGRDLINQELSGPGFLGLSSRSAAGNNSLTESDTSATSVPRRGPT LRSSQAASLPRYEHSTANRGVNVSSLASDDEDDESPFQSFVHRVKNTFETTETPSLQA TRNRTTAFVPEFNTLHLHGLLTSACPEGELHLGPRVPSSKTKSMTVALLPAVRASAAS YWDSLLSSLPPRLHDSLLDLEATTSQSIAVLSSRVARFAPASAFQLPPFPFDTSFVLA ATAFAVLLALLTMAGFRRHNVRRDPFPTGGFRIPTEEELADRFFQGHRKSFLDEIQRT KRFFEDDDREPDVLRLRHHSTSYLLQFPAFAIGDGILTVGDVRRAAGNALDVTKLRRI KLLYKGRLLKNDNATAKSVGLKQNSLIMCVVSEDFGDDDDSTSESEVSEFSPYRQAYA ELRERQRSPPRRRRTRSRRKSPRVERRRGDESDSSVHAEPPRRRSQSRRPRPRATSNA PPRREQRPPSPQPAPPPPAPARTTRMPTPSPALNASQNAQEKLRILDDYTDTVLEPLC LQFVKYPSGDQKSREMEHKRLGETAMTQVLLKADGVDVTGDSAARQQRKALINKVQLL LKRVDDAANL UREG_07563 MNPAGFPVAGGAAGSAMPPNDKPKLDSTQMLWKHVGQIIQAQGP FTGWRESVQIQERIMKVCQMYVILTSPNVPVHDGTPYKNKDLTPSPRICSLRLIPRID VPSAINGALKFEDRAFREASEKVCYFFVNLHLSTDSATIKY UREG_07564 MPPDQVSHVLQNLRRSVANSPAMLRQNPGVPLQAPLVDAAQMSH PAGSNLINDSNMRAAMGMPYNMGGMQPYPGLQGQQFPMQKPNQQPSNFPQPSDPRLSY MQQRAANIPMTETQVREMDRMPFPAAALNINPAIVQALPKTIKSWGQLKMWASKNPQA LGEISMDKLAMLQRIHFSQLQIHARREAANRAIGQPGAMQAGMDPNSMRQAPFNLAGP QHIPQQYVGQTQLPPNVPMLRPITAADVQFARQKLGSQVEKLSDDALRVFLEKNRHKQ FMAQAARNREASQAFAAQAANQAPPAQVSTPSQQPQHIPTTQAQPQAPQSAPIPGMSS VDTKPGPQNVANTPQSSIAKPSPKSLKRPVAGEAEIKTPASQHPQTPVVPPARGQPPQ AGPQPTREQLAAMDPQQRAQWETQNKRGRIPKSVADEAWSRLPDNLKQIYADIVRKDD AVIPVAMTSDQKAAIAQQLRENTDMLCRMDALVQWVARVPGQENPLRLLLQMRMLLMK QFKGPDWTLADHFTIAPEYLNSSIMYIKKWFAEMISRVRSRQGQPKATGPQPLPGQPA PQKAPTPLNASNLQQLEQQEAALQKARMAQAVPAAPTTVKPPFPIGASSPQGVPRVYG PTNVTQDNLTLPPPKRRKPNQPASKTTTKPGQQPASAVQEEAPAVAEARKPQPVPNHT FKCPVRECQYFIKGFSSRAALDSHVNERHKPEEPINDPVEYAIESFRIGLGIDKIDKN SSDTKEGKAKASTALGAGKSQPSKTGTKGESMTPASQRVVKTSSMAAVKSISPASLQQ KTSQMSITKELISVEPKRDSSKDDPRIIGTDAYMGSPVADPWEQSPTPLEAIRQTFGE LAGQGLFDIGRDRVDELLISESFDAIRSKDTPLSMDASATTQTSRGSDVSKDDDTQGD KEDNWVPSDWMQLPEDPDAGLFLSNEWFNDNWKAMAVNDIEMGLEPPEDLVYSI UREG_07565 MQSVADFRDDEIFPPFKDLPGLTLRPDSDFMDDNCQPIAHWCFM GEIEDLELFIRPVVTARDKRGETSIIAFYMDDRSAGEYSFQPNHTIAVLYPEQHHFRD GRRGIRVEDPKVVKVGSRKRDSSESIAWMLTWSCELDHTYLAE UREG_07566 MKLSSSIALGLLLCLSSPVTSRYVLRTDLSQNDFDDRSLRDLEP VIAHTPKLPELEKRKGGGGGGGRGGGGGGRGGGGRGGGGRSGGNSGGRSGGGGRPGSP GTRPSSNAGGSSRSGSGRPRTYGGGGYYAGGSSVPYTAGRRSPKGLFPFFLPVALLAF FPGLWLYGAYAYQTNHGFNWRNRTSNRNESIPIVCLCQEYSVCGCDDNNNSTYIQSLL NDVDRNGLPRNSSVIKTVEVNGTTKIYINGTLPNGTTAPDPSITENISNHVVPQILRL SGYWTMAAIVLATVTLL UREG_07567 MGEKLEGHKRSKSALALSILHRDKSRSDDGHDDKQSNLDPGSPI SNSPSPVNEPRHSYSASMSYLRPSRRKAETDSMSGKSSNNPPCDNADATDSKDELEMN PVNAPPSGSLTIDQSVRTFRLFEILRDGDTTAISKAIKECRESGQSSTALGTTILHLA IQCAEPQVVEYVLSNGDVDINARDRDGNTPLHLAAQLGRLSIVKELLDRPNINDAITN YRSQIPLDLARTPDIFQQLQLSRSLFVDAKTREIQSLVAQGGYDKLERLLGEPRVQGT VDVNNLELVVDHPFRRDRKGKLPQDVTKDDRTKAIVKRSPAAVMAQRGIQEKSILGNN PSQGDDAGSACRGAINMRIARLNMDPQDKTRFEIQGKSSVKYHLKANHVVEAKRWFWA LNNAIQWSKDEAKEDDRRRTRDAEALRQAKIDQFGQADSQTDAASFTSGKGNGRSLAP PSLGPTPSGSKLSLQVSRGASESGAGEEEASVSGTLEPTYSHQGIDRIVSHVTLTAEG DGEEDDYGDYDSSREIKPSNKDAFNITAQSVKLQLDLLGTVSTALQAEKNKNPTLPIS DPSVSQALSTYEGAVSNLNSLVLDLLKISKDRDAYWQYRLEREADARKMWEDSMARVA KEHEELQNRMGESEDKRKRTKKALKEALENASAATSLGPSHVQISDAVEVVKELSLDK EATEAKLSSFALSRRKSILEEITALSENESEEEDEFFDAVDAGEVEVVIPSKPEEVPA SESDIRALKQLEIEPAFKGYEDPIRTRLKMDYDNRPKISLWAILKSMIGKDMTKMTLP VSFNEPTSLLQRVAEDMEYTDLLDIAADRADSLERMIYVAAFAASEYASTIGRVAKPF NPLLGETYEYVRPDKGFRFFVEQVSHHPPIGAAYAESTKWDYYGESAVKSKFYGKSFD INPLGTWFLRLRPVTGGEELYTWKKVTSSVIGIITGNPTVDNYGPMTIKNWTTGEVCN LDFKPRGWTASSAYQVSGRVLDRDGVPKWSIGGRWNDKIYARHTPGYQETVSAPQDET PQSPDSSQAFLVWQANPRPSGIPFNLTPFVLTLNAIPETLRPFLPPTDTRLRPDQRAM EDGEYDFAATEKHRVEEKQRAKRRERDSKGEEFIPKWFSKGKWRDFPNPLLNFVATKA GERRTAPTLNVQRSITMAVEFTCQRCAQALRLGLRNRVPAISPRSLPKSRAYHSNSVL FQPQRLQPSIKHAYGNAQTRIRGIASASTAEATATTARQTPKSEGSRPLLRANNLFHS YTNSPSPEIRRRAAFIKQNAFCPHPDHRQTRVPQSPHDSEARKASDAASQEPAHAKFE CPDCGVPVYCCEEHWMDDFEAHLEVCETLRQINEDDHDLVSGRFFTEFDYPGPQEEEC VVNMTNWDTFLYTREFNAVNDDRCMRQVTRLLTYPVTIASVLHELSPYDLRGDGMVTV EGLKSFSALRYTLHPPKAGEGKDIAGLRLHAPPVRIFVLGARAESSLPRDIWLQLTYL FPRASINLIFIGPESMANRDDEFPLPERTPNNPFGGIVEDRLGPQLKITTYVDYFHTM HRANMFQPYDPYFDCFMLFHPGLGHPASSHEWEETLPHLLETKVPIICTGYTEWDMQR DMNWVKEKCAGEFDILLKPGENRFRSLRWDLNDADPHDVSAGNWGIWGFRGKRYEATF KGS UREG_07568 MKALQRASHIWFRPSYVSPWIRAGSPSIRAVHSSISQSAVAHPI NVHGPPPKAPVPSPEFTERIERRRKQSELIRQEKDASPAKGAKTSPLRKRFWKDVHVK EVPEGYQVLLDSRPIRTPAKTILTIPRSKPHLAHAIALEWDQLVSAQQALKHHLIPLT SLAARAEDIIEQDSRNETTIRNEILRTLMRYLDTDTLLSWAPEKGPHDVEGDETTAES LRDVQKRTAQPIIGFLTTAVWPGVEIKPVFEADSILPISQPQITKEVIRGWISGLPAY ELAGLERAVLASKSLLIGVRLIVEWSEYFRDLQPEGPRTFGIEKAAEVSSLEVRWQTE QWGEVEDTHDVEKEDLRRQLGSAILLVSGEQREKQ UREG_07569 MAYEFPHFLAFKLLLLVVNLIAVWTFRPIPPPENPALTSQDATI IIPSLQGCGEELEETIRTILVNEPYQIFLVTIDANRPNAQRMLDSMPASKTRIQLLSI PKPNKRRQMVRAIPEVRTEITIFVDDDVTWPRTELKWVLAPFEKDPSYGGVATCQRLR RVNAPIFSMQKIWDFLGALYLERRNFDCAATTHMDGGMPCLSGRTVAYRTKILQDREF TMGFTNEEWWFGRYELNADDDNFLSRWMVTHGWETYFQYHPEAEIQTTLEDNPKFLKQ CVRWSRSNWRSNLTTLFQEHVVWFRQPWSTYAVFLTTLSPPAFIGDLSLILCLYKGTE GWSGETRTLAIHALLLWMFITKFIKLLGHYVRYPADFLLLPVSILFGYLHGIIKVYAA FTLNVTTWGSREGADVSDTDRMKEKSDYDVSVSYSKSKLLALPPNKPTSNTLRAVCSD SRTIYPILGSGFCSPNLNVNHQSKTGHF UREG_07570 MDGELQKRMEKAVGRTLGGFSRSYLRRKRLTLAPEGAPLYFIVR LHPAARPPTAIGYQNRLAVASLSHFVYEPFYLSRGSTTGQKHHSGARLLGVLILPCGH AGQPCVRPRRPRNNRRNAAYISRASTTIPCMEPCCQDAQRPSSSTNNPCAFELPLTEG LTPSGGRNVVLRSAKRLVTRDAWVGLEASKKPINTPNPLLTEEQFSTEFFSKPSILSP IKSSSLPNEATIVLPYSDLLESTNLDDMAIAQSSSSFKTTSCLSSTPFLQGVSTEQLA TRPTCSHSSKALSTVRSWLALTTPTGPDFSENRTFFEGHGMKMREQEDMVRKISVDRG VHGKQEILGVFFREKAGLSDVP UREG_07571 MLFLCCNRTKAKQGDDATSQFDTKPEVFPHSSPDKTAQPLSTKL AATMDAPSTPIHNWEDIPDNTDLPPPPAHAYLYSNTGNASEEDAERAHDFCDNTPLVQ PRAPSPAVYQAVQEGNHHPTKAAEYSGSLFSKNGRWRGETPSGNRDCIVWTNAPMYFA KNDSPLVTERRKTIYFEVKLMGLTGGTPTQTPGFSIGYIAQPYPTWRSPGWERGSLGV FSDDGCRFVNDSFGGKEFTTEFQIGETVGLGMTFYRVGETPPQTAPRPPNIDGTMDSF AVKVFFTRDGKVAGSWDLHEEIDEDQGSIHGLEGDFDLHGAIGFFGGVEFQACFDPSG WLWSPSDI UREG_07572 MEGRESVELVIVGAGGVWAQERLYPGLRLNNLLGTYEYSDFPMD EAFRVQPGQHISGEATHRYLRAYAEESGILLEDSLDEKGEGERRHISTQKLIMATGTF SEPFLPALKGAETFDPPLFHSKDMARMQQAITQAKSVAVLGGSKSGYDAAYLAASHGV GVDWIVNNSGRGATWIAPPYVTPLKKWLEKLVNTRFLTWFSPCSWGDADGFGGARRLL HSMAIGRWIVDRFWKVLGNDVVTLNAYEKHPETRKLKPWTDAFWAASSFSILNYPSNF YDLVREGKIRVHITDIERLSSNTVHFVNGQSIKTDALISCTGWRALPAIKFLPEGIEK QLGLPHKTLDVNKLAVKADAEILSRFPRLQRLPVVQNPYGGVLRDFSHRNDQEPFRLY RHMVPPAFIHDRSIGFAGMVTNFSITLCAQTQALWLTAYLDGRLPLETSSPTFEDDLR WDTVYHSQFGKWRYPYASPHHPDFVFDAVPYLDWLLRDLGLKVHRKKSWFAEIFEPYG PADYQGLIEEWQETLIPRAE UREG_07573 MQAANKAVVQVSRGTSVILLLVYILYLLFQLKSHAYIYESTPQH KIDEESHPGVLAEILNSSSSSESSSSDDNDSDSSSGSQTTAKRIRKAIRKRRHRKSSA GSKDSQISPSAQESDRVSFPSRQTVPLSLLKHSSLSSKKSLSPKAFCGEDEANQEETG GRFGRHPGVTTHDFESGPSPEASHETGKRQREKNLRISRRDRKECGLRAPAELQSQHS ELSGRRVEFTSPSNAEQSPKRPFTLRGISRERLSIPRFIPTLQFGSNNIGETQQRPSI SRNGNQPILRRTTSLPDRLYNSSHYTPTIPSVQPLPHLMPIILPQESDTDSETDKKQH ISRTASIILLLVSTGLVAVCAEFLVESIDYLVKNTGISQAFIGLIILPIVGNAAEHVT AVSMASKNKMDLAIGVALGSSIQIAIFVTPIIVLLGWCLHTDMSLYFSLFETVSLFAS AFIANYLMLDGRTNYLEGALLISAYVIIAVAAFFYPSCSNLSDADPKLC UREG_07574 MHEPSSDSPPDGSIGAWLHSRSSRNQPPDTGILPFTRTPSREHG TDSHSPDRRTDKIEKQHDAEKHISTAVCDTSSSGLPPPAPPPAIEQCGEPTAVRNEEK LPVPSRIWHSIRIIISSSWINVLLLFVPAGIALGALRRSQGDKSPISPTVIFAVNAVA IIPLAYLLGFATENVARKMGDKIGALLNVTFGNAVELIIL UREG_07575 MSFRSLSRTPSSKYRDSELVCQKLPTGEYNATIDEVLASNEAGP VPGNSNVAERSKSDAHHSISSDICVSPSWSRAAQKKREKKEQRKKMEKEQKELEKKLK QEAKRASRPESREPRRLQKRPNQVNSSRASSAHSAFPRPSTASSLVSLWTKRTSKASS VNEQSQEEVKKKPRRFSFGGDDSSKGKLRFNVWPRRSTKPQEPSTPDNSTGANSTPLD FQKRSLHTVRKHFDLRASARESKESRHDDAQTVYGQLGPSEMYQDIPSYRDEQAPNRN GESIQVQSSRDRVFPRQQERLSLEALDIAKAGKASGPATQHQSLGSRAISGTTQSQPP NKAQRHIEGPRSKEHQPARNMNSKSLRNAVETARISSTPRRRSPKRSQPVSETSSQHS PAQSAGMEAANTQPNEASPSDSSNAKKLQSPARDDGALNGPDQAQTTLAKATTDKDKP LPSQTPPTRSNGSRPEHPRMPSSYSRDASFRSSPLASPPLVVQGPSDATQSPMDGEQE QRTFVSRGPTKTRRNSFQGYIDSKKLSIPRLLGQSRKGKTGENIDLTKNEDMGRSGPA PIISKSDPATIASLVDTGTTSQSEHSTQNNTASNTDIDSPTSEALNSARASQNSNDIM QKLRSSGGQREKLRKRALSMDVSSGSGSRFSALEKQRLANNDTLPERRILPSKVQAPQ DAYSSRLPANTGKKLSTELPKETPIVSPSSGYFRQRSATEYIPTTPSALSLHRGIAAS PKNLGSGRAVGQQIAKMFVICCQCKHWHDMTTQAYAKLAFPDGTQDSGRMVTGSLTPL DGLGSPPRIFSESPVGSANSSRTSLGVKEGKAPAKPIGPGNGSKSNLLNHSTTCCWCY HPMTKTCCAGWTTVVTMRERHH UREG_07576 MYSTLVPEWQLDLLSADSFPNAPQVQSYRSMTEHGSQIFFGAHM PLAPAGAKHQGAIDGETPAVPRSKKKKTSQKPAAQSRGRGHPPADEGSRRRGRPRVHT GDETSAEIRLAQRAYRLRKEAVITTLNQRVERLESTIDEMNKTFLAFNDDALAAGILS TQPEIAQRLRRATQRFLELMSEVSRDSDEEAHGESKQSNTTPTDTSETQPASNASGIL PLESSGKVSNALTTSHENKAAPQTNNQICRQQTTMAANSDSTLESNTFPTSAEDLQSL ISCPSLFPRDTTVYQQYSYCFQETTFCRRLQRRCLEYGYAALVDPYANPEELHDAFKF TFGIVSRDKLVEVFHSLIQRKAGEPLELWNKPFFYIGSAGMHYPYHDEFGNVIFPPNM HPPERALGPLPFHSAERPHSHKSVAELIDAIGFGGEWFDCHDVEGYLLEKGIKLTSQS SYAYVPIWAVPGQLSGISSPLTNSPSGSYNTDTSFFSNTISSPGSQPDFPSQAMNTNS EIRYPFQNEVCSQMLGLLGLDHDPTLRLGGPIKQATSYPTETYDASVTLSPWVLDVDK FVTYLCRKAVCLGRAPGLRKSHVDDALYTSICRQY UREG_07577 MREGGGKANVQRPIVRKYTTENTPTAVIVTAIGCYYLGSLRPTA LPDSSTTTLHEAQKPRHQTSATNLQAAWADFVEIVGKENVSTDKIDLEEHSGSDWSSY TAKPNEKPFLVVFPSTTEEVSRIMKICHDRRIPVTPYSGGTSLEGHFSPTRGGVCVDF RRMGEILALHERDLDVVVQPAVGWEDLNEELAKHGLFFPPDPGPGAQIGGMVGTGCSG TNAYRYGTMREWVLSLTVVLADGTVIKTRQRPRKSSAGYDLTKLFIGSEGTLGLVTEA TLKVTPKPKSESVAVAAFPSIHAAAECVSKVVGEGVQVAGVEILDDVQMKCINDAKAT RRTWKEAPTIFFKFTGTPAGIKEQIKIVQKIAKDTKGQSFEFAQGEDENRELWSARKE ALWSVLAMRRNDSDRVWTTDVAVPISKLPDIIEATKEDITNNGLLAGICGHVGDGNFH TILLFNDSERVVAEEVVHRMVKRAVEMEGTVTGEHGVGLIKRDYLPHELGDSTVDTMR KIKQALDPLSLLNCDKVVRMESPKAGEVKKW UREG_07578 MSTPGEDMPTVIEEARMRVDAAGVYVLVFNMGFTDRFHWGLFVA EGDTDGFLHHYTDKSPTGDWEYQVISPYNVQNSLSLLTALKIGKLDDMRNEFLTVVHE RLKDVKVDGVQSCRKWLLEAIYLLANEGYLGIQPDRNKINFIEYEAKDAAMRAMQARK PSVEKSRSSAA UREG_07579 MESDPSDFSLAQAAIKSSDLPAIDHLTLSFFVLDAVDSDEAARY ILNRLSASSDSAENVLRSIKRELAQLSRKFARHDALPLEVDEALRGELRPLLEAAITK DLTEKLFSLIQFSDKPSQLKNLWLMSPTWFAERQLPGYFVQGILQGRKGFYTPPTSPD EQLYPLPEAFLLQIHRVLSHPLHFLAIERQIHQGWPLVNDPMPLGAVARSLLRGLFAL LPTVICVQLYKLVNKAVDKFDPAQKGSAIKFLPFGLVLKSSHRVSKSEANALHLVEKY TSINAPRLINATVVNDTDLRINNHPVHNYLLMTRVPGDDLSDVFYRMTYEERAQFAKD LGKCISEYRQIPSSHNTNHEICDTLGGAFRDNRMGGGLHGPFDSKIDFLNYLSPGRLA ALRDELPLSALYRNNHRIVFSHSDLHRTNIKVRGGRLVGIIDWEAAGFKPEYWEYTRA VWPYFGDRRVEKEMALAFEKSYAEELEAEKLLWRRYLMF UREG_07580 MNSGATRRVAFPIDQNWVFKKAADEDIQYLPVAQFPTNIHLDLM HHGIIEDPFVGKNEDRVQWVGEESWVYRTTFSTPSFDSAGKAVLAFDGLDTYATVKLN GRTILSTENMFVPERVDVTDMLDAEDENTLEIVFHSALQIGKELQRQHPEHLWGCWNG DPSRCAVRKAQYHYGWDWGPMLMTCGPWRPINLEVFTARIADIHCRTSVEKSLKHAEV TVTVEVEGDADEVIIQVHHHNSVKAQATAVIDLGIATATFLIRDPQLWYPANYGRQPL YTLHATLVRGGDELDSSSKRIGLRQARVVRKPLDGAGGETFFFEINNIPIFCGGSNWI PADSFTPRISPKKYRDWLRLLVDGNQVMVRVWGGGIFEEQVFYDTCDELGILVWQDFL FACGNYPAYKNFLETVEREAVANVKRLRHHPSIVIWAGNNEDYQYAESEKLGYNPSDQ DPSNWLKSTFPARYIYEKLLADVMKTLSPDTYYHFGSPWGGKSSADPLAGDIHQWNVW HGTQEKYQNFDKLSGRFVSEFGMEGFPSIETIDSYLPGGENDNDRYPQSSTVDFHNKA IGHERRLALYMVENIRYQFEPFEQYIHCTQLMQAECLASAYRAWRRQWKGPGREYCAG ALVWQMNDCWPVTSWAIVDYYLRPKHAYYAIKRELAPVTIGLKRPLGKASLPRSENEK IDVWASNFTLQTKTVEVVIKVFDITTGEEVLSETLPEPVTLEQNCSTEITEYDLPVVG DAEKQHFACGCSYFSKTKAIARCITAEP UREG_07581 MAAPKNLSFVLESVKKVKFEDRPLPAIKHPHDVMINVKYTGICG SDVHYWDHGVIGPFTLKEPMVLGHESSGIITSVGPAVRSLKPGDRVALEPGIPCRRCD PCKSGTYNLCDDMAFAATPPYDGTLAKYYVLPEDFCYKIPEGMSLQEAALMEPLGVAV HVTRRGGVRAGDQVVVFGAGPVGLLCCAVARAFCASKVIAVDIQQERLEFAKKYAATG TFQPASVSAVENATRLKELHGLGQGADVVLDASGAEASAHTGIHALRRGGTYVQGGMG RAEFSVPMMAVCTGEINVKGSFRYGSGDYKLALELVASGKIRVKELITKIVDFTDAEQ AFLEVKAGKGIKTLIAGVED UREG_07582 MSVCFIFCAFRISPCLLDGGADLQKYRIGRVLSSQTIGVEFSSK IVKVGTGSRRKRIKLQLWDTAGTERFRSVSRSYYRGAAGAILIYDVASLASFNALPTF LLDARALASPNLTVLLAGNKSDLITDPMAHGDYWEDGQRIPATPTSTSSKQSPFPFDS GGGSVRSINSPIAGTRMTATVAHDHEVSLEDASRWAARNNIPVAVEVSALSGECVEEL FTRLARIILTKIELGEIDPDDPQSGIQYGDMFGMSASDGGSIRSGITVDDSYVQLHKG RSHQKSGRSSALREWEDVFRLGGTTRRKGCC UREG_07583 MAWSTSTLIATTCLLVLIFAHPTVAFGAGNIASLSRIEGQNWRH GDIEDVLLTLAMARVAGGRKFSKLDVQRVYFGNWLRDYSQAIDVGTVKYVSAEAIRLM LWVLGFMSFGYGTGEFEVTTERLGTYQPTEHIDNPLGYAEGDDARRYDRRLRGPVDAN LELSIDPRTDVGIATSAGLLRSIFGRCIELGRSYGRSGDKSELHEALRLLGTGLHCLE DYAAHSNFTELCLIEMGEQYVFPHVGRQTMIQLPGTQRPVYPIVTGTFGGVDFLHSVM GEFSDKATQSEIQSLEGVMEQSQSEGSSKSFLQELLAKIPSDIFGGEDNSGKMDEFQS NAQAQQQSHPNISPREPEEWALYLDNVKAQIYPILEWHDNLMKTISEAIEKLPMISDL IEQIQNEINVFVFSVIAPYIIPVINQVKSELETGSSEVIQSSKQQQHNIFNDDYCTDP THSMLSKDHFSNVLNEPAGKVAQEVVRWAVPQIMQAWDDDYIDINRTLTRIIHGVFHH PAYRDYGEDGQADIRGLMFGAVEKWWSEQGGRGQDSLRAQLSREGIRNGDNHKPGVRD TGHGCGKPLALPKHKSKKSSGIPNVLGGLSGSGRSQDADELAKMAKKAVGGGVLGDLV GGIVTGAGAEILAGGSGYGGGEYVADEYKKEKEKKEKKEKKEKKSKKYDDGDEDEYKK KKYKDYDDEDDGYKKKKKDKKYKDDEGDYEKKYKKYDEDDDDDEGEDGYKKKKKDKKH KDDDDHKKYKHEKET UREG_07584 MVYDWEGKRDVCYKMYIEEKRALEDIMEYMRTTHQFAPSKRAFQ TQFKRWGFPSKQNPAHKNPHLVARVKQLWETNTMQRDMLRILNEEGYPIKERELMRLR AKNRWLLRVPNGMKSYRENGPVSTATTTEEDGSAEGIPLDEGQQTVGSDVRYLADVAA SAAATATPVGEPEEMLKRQERLSRLQAESDERWATKKRRRRTRGWAGLPADPPGPPRF PSETTLDESKKILSLDDTMYRQLRDRFHRICEEAGFIKKTIAGPERWQEAKDRLIRES QHLRSVFWGESLETKALALDVICTDVTKRMRTLESRMTIAEAKNALGINPEESRQIRN TFYDTLKADHFTSKLEMGDERWSELKQQWIQGSELLQRILSPGAADPQHAQKARAVEV LCRDVMKRLRDDQAKRDPSKRQFTQNRTARLPQSRASTAFANGVSTLASQALASSRIA NGELNGIQIDPSLIQAVTNTTATSSSADPSFSLAHPQPDAQQSFGYSNPILAASSMIP VFLRIHPQSQIHQDAKTWISKLNSRSVDELRMLALMKFKDARVARIEGTVKDEDGRDI PFLITEDHELDAYLTHVQGRTATFLFCLQPAQ UREG_07585 MGLPVGYRPDPLEKRTRCKNKVHMLPHRKKSSQDRSASLDLNLS AVENEGLGIYTNLDRDQRYGDAYVTAARLAGSVGHNRSISGNSQYSTTLTMSTANNKP GSQYVHPMRQTPRPRTPISRSHQNSTNDSASDTLQFPDLDVQTTINSREPFNQSSSLN SNMEPRRSFNVQRELRQTSSQTNVGRTSSSFSRALDNNSAQETISPVSRSSLEFAFRS KSRPSTTDPVARAAAIQAARQAFEDREAAKSRKHEQRHMKAQDRELRRLEQKEHHQSS GKAPRLADFTFRNQKLNEKAANPDSQGRSGHGAGYRNEEEKAGFKLKSPKSAWLLFLT WLRTRIFKMGKKIKKMS UREG_07586 MDNGKEVFAKLPNPNAGPARYVTASEVATREFLREVVKLPIPQA FAWSCDPENPVGAEYIIEEKAPGTSLGSLWHRWPRESKLRVIKQIVDIEHTLTTIKFT KHGSLYFKGDLPDSFQGKSDNLSVETSRQPATLGRYAIGPLSNAELWRSGRETMNLDR GPWRRPEDYAKAMGNNEIAWIKKYASPRMNYYVSLKDPELPDQALALLLKYLEAIPHL IPNDPEAATNVLWHPDLHLDNVFVDPATGKITSIIDWQGASVAPMFYQSCVPRMFRHY GPVREGWVVPSRPDNFDTLGAEDQARVDQELENETMHKYYEAMVAKHAPHHWRILNQQ RDINHRRRPTWLVTGVWENKDLFFLRYSLISIAALWDRLRPDEMTECPVNFTPEDLYR HTKEDENMTGIGKMLKLFRDQEVLPVDGMVDPEDIEAAKMNSQKFKDVFVGLAKDEEE RELFSKLWPYQDQECE UREG_07587 MLSYTWDDNRDNKDLRTNLFRGLSQVLLALARVPVPRIGSFTIN ENGFLVLNNRPLSLELHQLESEQIPVDISRNMTYSSVDSYVTDTLAFHDSRLHYQPNA VNDIFDGAYQAPALTVMKATASHFFSRDLRHGPFVYVLNDIHQSNILVDENWNITCLI DLEWACARPIEMLHPPHWLTSQSVDTIDLDLFTPLHQEFVDILEGQESDDEDMSISAL MKRGWHNKAFWFSLALMSPTGIFALFHDHIRPRFTDHENEKGYFQAVLKHYWTPGMEA FLTRKVEEKIAYDKKLRAEFDVEVTG UREG_07588 MAPFWSSSLSCLSVFLVLASALPGEITHWPKNPPVLKKTSCGRH TYKYHGLEGYGTIPSDALDKYGDTLGGIGSAVAIEQSSWKRKRDGSYEGIAWALPDRG WNTNGTLNVQSRIQKLSLKFTPAPDASAKKPSKQNLQIKYLDTILLTGPDGTPTTGID ADATGFISFPGFPPLPGATIKGDGFGGGGPGGRRISLDCEGIVLGRDGSFWVSDEYGP YVYQFSRSGRMLQAIQPPDAFLPHRNGKLSFSAASPPIYDPDRLPDPEDTEYGRNNNQ GFEALTVSPDGKRLFVMLQSGMNQEGGPKKQYRKQTRLLEYDISRRKPRYKHSYAVTL PTYVDYTKKDPEKATVVASQSEILYLPTGDLVVLARDSGFGHGQSESRSVYRHADIIS IPRRATDLKSTQYDKVKGAIASPTGVLKPGIKPVDYCPFIDYNIDSELAKFGLHNGGE QDQNLLNEKWESLSLVPVDTKGRRHHGKREYFLISFSDNDYITQRGRLNFGKFKYADQ SGFNLDTQALVFRVSF UREG_07589 MPTRTSTRQAAAKANEALQHSTRSKKASGSKRKGSTEEEAPKTK RGKKVGEDQDAHAELESPSEEKPNEPPKGQLKEGKKKNGHQVNAETKKPSEEAEEKKN VEEGSQQEPKEGAKNGGDLHQKGAVKTSQKRKEEVPSNILEKGIIYFFFRSRVSVDEP ESMGDVARSFIVLRPLPLDAELGKGPIGDESNCRLLLLPKKKLPSSSRERYMGFVEKA GTTLETIKDSFLGSEYETKTKGHQEVPSATPLAEGVYAITSTTRSSHLSYILTIPEEP SEVQIDFGLDKKGSFIVSSKSPKFAGPSTARLPKDPEYPQEILDDFRDLRWVPLEPKF IDYPNAQFLMIGEAQGELAKGGMTETKQGETEEAGEELEQLEHENEIRASPIQDDHMV FDDLGMGAKEFSSMPTTWG UREG_07590 MVKVSSYKPQLGSSEKHPLWHSRISYMPPTRLKQNVVAPKERSN RSHVENDSPEKQARRYSFKRMPPRKRRLSVGVDGDFVIEDISHQDSGYDGDLEVIWPY QYEDAETDATTKQPSDAKRTGPRQPKRDELSRSGLIDWMDSLHCDSDKDTLRPKRCLK RKLRPSLENLHRRSSSLRSIHQGSDLKESGKSSSTPKKPRQDRQESSQGQDDRPAGIG SAPVKGQACSAGLSADLTFESSTATPDSMDLD UREG_07591 MQSAPTMLTKVHLPNSSMQRPWLLVSLHSSTIQLWDYRMGTLID RFEEHDGPVRGIDFHKTQPLFVSGGDDYKIKVWSYQTRRCLFTLSGHLDYVRTVFFHH EHPWIISSSDDQTIRIWNWQNRSLICTMTGHNHYVMCAQFHPKEDLIVSASLDQSVRV WDISGLRKKHSAPTSSMSFEDQLARASPAQADMFGNTDAVVKFVLEGHDRGVNWVAFH PTLPLIVSAGDDRLVKLWRMSGRAILGIDYTKAWEVDTCRGHFQNASACLFHPHQDLI LSVGEDKTIRVWDLNKRTSVQSFKRDLDRLWVIAAHPEINLFAAGHDTGVMVFKLERE RPASTMHQTQLFYITKEKHLKCYDFVKKTESPPMLSLRKLGSPWVPPRTLSYNAAERA ILVTSPTDNGTYELIHIPKDSTGAIEPTDLKKGHGTAAIFVGRNRFAVFNQPTQQLDI KDLNNSVCETIKPPPGTSDICDGGQGFLLFLTPTTVVLYDILQKQQAAELSISGVKYV VWSNDGQHVALLSKHNVVIATKKLEHVSTLHETIRIKSACWDDSGVLLYSTLNHIKYS LLNGDNGIVRTLDTTIYLIRVKAKNVYCLDRSAQPIILEIDPTDYRFKLALVKRNYDE MLQIIKTSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELALECGNIDVAIEMAKQL DRPKLWSRLGAEALAHGNHQTVEMTYQKQRNFDKLSFLYLATGDQEKLNRMAKIAEHR GDFTSRFQNAIYLDDVENKIQMFKELGLSKSHGLTEEAEAILEASGLTEDQVKLPTLG EPQELPKVVIPTFKSNWPVKATSHSAFEKLLAAEEEEGVEEDEANGFEDEGEPAEEVE VDDE UREG_07592 MAEILTEQIDAINQHKSPNAGDKEIRRNLPKGVVLDKDGKPCRT CTSLADWRALTKAKSSSTVAGASAAAASSSSPANSSSPSTLPSTKSSTLPSPSNPVSA ADTTPSAPPPDCPADVKTLGRSTWTLLHTMAATYPTAATPQEQDNMRSFISLFSKLYP CWVCADDFRAWMNDASGRNKPKVKGREEFGNWMCEAHNEVNRKLGKKEFDCAKWQERW RTGWKDGSCD UREG_07593 MPVSQLDPDEVSSFSVASDDTLEQALRDAVVEMYSSGRFDDLTV KRVRGAAERALNLYEGFFRRDQGWKPKSDEIIKDEVKLQEELHKGEDGGPAPIETTNP ISPLSPKKSQSPLVQTSIPVKRAATGSSQAAKRRKKTPALGNDGDGYSLLSKAKTPPE SEEEPAVQPSDSETPRKVTGRKPKRGGQPGNKDDSETKGSETEVSGAIEKVPKRSRGI RKAPAKKRQTAIPDSDSETQVEGPEKGSQPQKKDVDEQEGSESEMSVLVDEAPKPKRK TGKAPTKKGKTAKPNASKSVDADEADIKELQRWLGKCGIRKRWARELEGFETAKAKIS HLKQMLKDVGMTGRYSDGKAKAIKEKRELQEDLEEVIEGAMRWGKTESDGKKAAYSTR RHGSL UREG_07594 MAELVHSAWKVIPQFNSTSIKETVKFYTEELSFTLGGVHPDDNP DIEPTFCSIFVGPKADANIYFFECKKEDFHASAAMIALGTDELDEFYRLLTAKGKVFI KEPIEDKERASNSCWAQSGLLHQSLLRIVTQFQLLCPLMFEKDQTSLLLVAEKGLREI EGMEERSHRRSLG UREG_07595 MAMTLGKDVSALFPDVLKNIATADLDQKKLVYLYLMNYAKSHPD LCILAVNTFVQDSEDPNPLIRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKT AAICVAKLFDLNPTLCLENGFLESLQEMIGDPNPMVVANSVTALAEINETAPETKALQ ITPNTLRKMLMALNECTEWGRVSVLTSLSNYRSSDLKESESICERVVPQFQHINAGVV LAAVKVVFLHMKNINPETAKSYLKKMAPPLVSAAPEVQYVALRNIDLLLQAQPNILDK ELRVFFCKYNDPPYVKFQKLEIMVRIANERNVDQLLAELKEYALEVDMDFVRRAVKAI GQTAIKIETATEKCVTTLLDLINTKVNYVVQEAIVVIKDIFRKYPGYEGIIPTLCQCI DELDEPNARGSLIWIVGEYAEKISNAGDILAGFVEGFNEEFTQTQLQILTAVVKLFLK RPEKAQGLVQKVLQAATAENDNPDIRDRAYAYWRLLSNTTDSNAAKNVVLSEKPPIVT TIQSLPPNLLEQLLHELSTLASVYHKPPEQFVGQGKFGADAVQKAAIEEQLQNARENP LAAAAAAAVTGSTAAPIQNNVENLLDIDFDGTAPASTQKEPPSGMSGLEGLAGTPMRV ESPTGGAPQQPNSNIEDLMGVFGSGPATNDGGFGGGTASSGGADLMNGFAGLDISGSS TSPATTVNSRGLDHIPVAYRQLISMSSPETDHTAVPHTPSPDLQPSAPPSQSPPPQRQ QHQQPHSPPRPSPSASSYFTFPVTHVVSGLYRRLTEPPPEPSSPKIPARVATEPMNAS DIIFSPRRTASPFQPPPLTPLTLRASPPPSSQLLTRALAEEIRLLVPPRLQLVDTWVL AYSLDRDGSSLSTLFEKGAECAARSQRAGYVLVVKNVSSAGDGGGAGGGGGGGGGGGG GIGGGNGSLFGAYLTDPPRPTSHYYGTGECFLWRASTLASTPLLLGGRGAQLERPDGQ ARLELAGLPPPPSTDTTNLRGRSTTFKGEERLQGDSSSHVREGQQEGGADPLQLPLPE QNPELGESAAAGRDSDAEGPVANSRNGFLGDSRSGTSTPDRIRFKAFPYSGVNDYMIF CETGFLSVGGG UREG_07596 MVRTNETWIMAEEERPHKLQKLNHMSSGAENDAQAAVAFSTPLT DETTPEDSPVVEEQSPEPNDDKGAAAEVLEDGLAETTASQVLSKNQLKKLKQKERWEA GREWRKERRKEKMKAKKERVRAAKAQSVQGAPAASEEHEQQDRVPSGRITLRELRKKD DGRSVVLPITLLLDCDFDDLMLDKERKSLASQITRSYSDNSRSKYRAHLVVSSFNKLL KERFDTVLAKQYERWKGVTTTEDDFVQAAEAAQARMKHPKGGRLAGMFSTKADVDKST LEEEGEIVYLSSDSPDTLTELKPYSTYIIGGLVDKNRHKGICYKRATERGIKTAKLPI GDFMQMSSRFVLATNHVVEIMLRWLELGDWGQAFDRAIPKRKGGTLKADVDDEDKKDT SNDSQGEEQDRSESGGASLPAGENIYDFEGFLSHCHADSKKPAAAFEDIKITQLKAHH IGESTVMFMLRNVSKFLFGDTAKESIIEIPQGQLYIVRPLSPKGYSELIFKDAAASIR RTGQEFQYQLVIQRAFEEGEEELADEESEGADAEGLGGDKDEKTFLLDQSLHFRSEVR DGGEKVLAWRDLSGDPGDLYEFVCDPTTSLDKVATFVLAAVQSQYERKYRQSAQKATE AQLQEFSFEEESPIPNASPVTSPVTPTSKESAAAMAKEVVTNKEKAPAQGQTQAPPAA VHPNAIEILAEETAELHLFDFNSGTFILQDHRVTATISEIGTWQYWLQISGAEREWLG QPIIADLNPVFNFEYLSFIFNHYTEDGSAYSWLLRFKDQTTEERFQEGLMQALWEHLH ELKWSKTKVDERDYVLEAFNELTMEDVPEEPEEEEEEEEEEEVEEADDGQRSEHYDSD EDADDVVTKEDDGNVNSQLAVGYKHDRSFVVRGSKIGVFKHTPNNNLEFSTNISKVET SKGKLFSPKKVMLHAEDSNMILQNEQEPNTLYRMDLEYGKVVDEWKVHDDIPVTNFAP ENKFSQMTSHQPFLGISRNALYRIDPRLAGNKLVDADLKQYASKNDFSVAATTEKGYI AVASNKGDVRLFDRLGINAKTHLPALGEPIIGLDVSADGRWVLATCRTYLLLIDALQK EGKNEGKLGFERAFGKDSKPQPRRLGLQPAHVAQFQHETGAPLSFTPARFNTGLDSNE TSIITATGPFIITWNMKKVLLGRKDPYIIKRYAEEVKADNFKFGSDKNVIVALPNEVN MVAKQSFRKPTRESIAAPPVTPSRFGRRTSARLGRNEIVNSPY UREG_07597 MAYGFYKLWLGQREKNELAREKTWARIHLIPVLQAEEDRDQVRR HWADKAREKELMGSEMKVYNSDR UREG_07598 MATLIPNTLELPAGVNSNGTGQPDLGNIIQALEIIHTRATTNEV RKQASEFLELQKHEKNAVQNGFYLAAERNHSPVVRHFGLSLLEHVLKHRLSELTPAQV GHLRGLILGLAQEIQAQDMAYIRNKLVLLWVEIAKRTWALDWLGMDESLVQLWSGTLI HKEFVLGVLETLSDDVFHHEDTASSLRGTDLNRALLEICTPHAVFCEVYPERAQHTEL RFGAEGWLYRITMFLNDCVRNLNSSPEVRICAQKALATLRTMMTWNIPLAIASSQCVQ AMCMALTANDESVLMAAIEALHALYGRSHYDIQEFGSLLRIIYNKENLELLTKLFEWS FVDANDILDTKYTTSKKLSELLSYLAGFLEEKNLGLIKMIDQSYFLTLLIRVLRHPSL IVSIPVLHSWSRLLVCDEVANLEIITNFIGQLLEICTQRLVRYEAYPEDSDDPTVLFL NEDIDTLPDRHAFVGNYRRYCGQVIDVIVQKRPQDAIPHILSVVDIGLNNLYHGTSPF NPATFHKHTAPALRADTRFTVTEYLLKGYNKWVESHGADPQRDEQQRLTLENMLESWA LSLLQRQFSDPVIKQRVIRLSVDFSAHALRNKPSYALKVLEHILLTNIPDNPAFPLYS ESVRELYSLSTYEVRRLAIRYADYFSTFYNILEQKVQEMQQRSVEDQPQMELSSVLLI VMHRAKDVDPSLRQARLQSFIEPLKLAWADEQFRRAISTFQGFCEIFGIDQVHPYLQA RQAQKLEDWSACALDEEGKRLQSQLNSKFQRVPLRNTKTLLAVSTEKLKPSDPPFQIT CELWKDMIPLILPSLLQLVGHAHAFHNPDNWVGLPQDMRPVVGRILTDRFWQAGISAG SREDFYAKIATSKSTLEGFSSSVRGKIRAVREACYSILFSMSRLGDHFYGFHELSAPL SQALYSNAPSLSSHQFSVLLNISRCLIDDCPPQSRAHFLPPMMSGLFVQLDKKITTEW DIIERRKAGMGDVDLTEEMKEESILRQLTYSAVLMVAGLLDPNREAAGNSVSKTAPTP SEEVRQENLMRTFILSSPEILEPVIVFCTHALRIHDTRSCSVITRVLRSILTSFLPAV DTPTAASIREFISNHVLKACITSVHEPYFVDMQKDLAQLIASIWILYGARSSTPRSVM LSLPGMVESKVVAAEEVLTKAASTRVQKAVILDFLEGLRGVSISEQGKIGGARANRRK ERSAIEARYLTTEMEGQEGGKVDINNGPDLTGIADMFG UREG_07599 MASMRLCIDGSTFRDPQQREVVLRGINIDATAKYPKTPDIPSYV PDGFYDGDNVSFVGRPFAIEDAHTHFERLKKWGYNQIRYIFTWEAIEHAGPAKYDEEW IAFTIEMLRVLKQYDFYVYMDPHQDVWSRFSGGSGAPMWTLYAAGLDPRSFNVTQAAL VQNTYPEPASFPKMIWSTNYTRLVCQTIFTLFWAGKDFAPRAIINGKNIQDFLQDHFI AAVRHLAKRINEAGGLEDEVVIGWENINEPNRGLVGYQDISVIPPEQQLQLGTSPTAF QAMLTGSGRACEITTWAFGNFGPRQTGRELVDPKGTTAWLPADYDDSKYGWKRDPQWK LGECLWAQHGVWDPATDTLLRKDYFARDPKTDEQLDYNGFTNQYFLDHYRKYKNAIRS VHANAIMFCQPPVMEIPPTIKGTADDDPNMVHAVHFYDGLTLMTKHWNRFYNVDVIGV LRGKYLTPAFAIKIGETAIRNCLRDQLHFLRQESLEHMGNHPAILTEIGIPYDMDDKY AYKTGDYKSQISAMDANHYAIEGSGMNGFSLWTYMATVWSFLFLTLFSTTLLIRNMQN IHEWGDHWNGEDLSIYSLDDLELPTAKALGQDPQNQSSISLDRTSPAFSQSRTDSLST RIEPNNLKQALSTPSISVSPTSNPELCSHMGYRAAEAYIRPSPVSIHGSLEAYGFDLQ NCTFTMSLTSATSTPENAPTIVYLPEFHYPSMHTDVTVSGGKWVIDVEKMGSGIVQHL KWWHAEGDQTIEIRGAKRKAGVVEDTADDEGYLEQCRREACAVM UREG_07600 MPPKSALKDIRASLDAGDFAQAAAKATQLCEQDPQNYHAHVFLG LGLDKLNDPDAAERAYIGATKIKENDKTAWQGLINLYEGRGNKKLDDYESAVIRLCHI FGEADERSRAQAAVDKYISFVKKNGTSNQYKRALELLLPHSPLFNVLEGLIPHPSQTY LQVIELSEAEEKQFINREIGERRTRLGAKIDQVTAEVNLEAFQRSNLDKLYRGIVDWT YDDATRRTYEEKLLQRGYDYLLALPAGKKPEQRKYVLKSAEDMVIIHHPFELAWKIVL EWKDVSEISELDVTVLREFIHLFPDDGLSKVLKGFLASGLSPFPEEVDSADQHDESAA AAGDSAETENPIAADDHLLLMTEGLEQSPGSLLSHRIMGDVYLALEEVQSATEVSRKG LMVASDLQRRTGLKLRNTIDSMNATLATALITYQSPKNHPEAREIFEDILKRNQLSTR CLLGLGLIFEEDQDYEQAVELLGKALQRDTENARIRCELFWCKARCGNLEEAMAGLED TLAMIQPGQPKYRDLKSVILYRLGQCQWELDTSPAARKDRNGAYASFLGSIQSNMNYA PAYTSLGIYYADYKKDQKRAKRCFHKAIELSSSEIEAAERLARDFANQGDWDLVEAIA QRVVDSGKAKPAPGSKRKGHSWPYAAVGVVEVNRQQYTKSIVSFQTALRISPGDYHCW VGLGESYHNSGRYISAIKSFQHAQALEEALSETDKDHIWFARYMTANVMRELGDFPEA ISRYKDVLSMKPDEFGVSISLLQTYTESSWKSVESGVFGDAAETATAAINIGISIAKR RPNSVNLWKAIGDAFSIFSWIENKASSMPISQFKSLLEAQCDPEALQVLGDLDNIGSN YKVLETSTSNLPMIAAILAYKIALAVSNADVHARAIAWYNLGWAEYRAHTRHRVQQNK SGKGKRSGFLTASMCCFKRAIELEAGNSEFWNALGVACMVLSPKVAQHSFVRSLHIND RSASVWTNLGALYLLHNDFQLASEAFTKAQSTDPDFAHAWLGQALLAFLIGDLSEARE LVTHAFTLGNASLVFPKRQYAISAFDHLSSSSGSSDLSHLIQPLFALHQLHTQEPSNS PYDHLSGLLAERLGDANDMKSSLDRVCSAAEAEYEATESISALCRYSQAKADIARAQL ALLEYEAAADSAETTLSLSAVDEVAEFDNHLYKKMRLSAHLTAGLAYYYLKEMDKSID MFREALHEADSSPDVICLLAQVLWAKGGEEERSVAREQLFDCVEQHPDHVGAVTLLGM IGLLDMDEDVIDAVESDLRSMRTNKEIGIHDRAKISKILAAISSVSDKRPDISEEQKR IQQASNSVILLPSEPQGWRALAVASSEEFPAQMAVRTALGNIPPHGSLGATDLAKAYA LTGQRQNALESIMVAPWMAEGWAELGHCLVET UREG_07601 MSTISSPRPSIASAHTPTPTGSRRPSIDSLAVSITSTPTHRAPS PSLAQRRNRAALRDYYNLSANRADPLASAQPRARSVPRTPDGLATTPATPISNSELDN ANFDPSSYVSHLLSTSSLSTILRAENSLVSDIRTLDGERKALVYDNYSKLIKAVETIG KMRASLEEEGAPMVMTKTLGPAVGFVAETASALIKEQQQVEKASGNKSSQPNNDNSAE RQTVKWVLDTPRRLRRLLEKSDIENAEKDWEEINKLLAKWEGVKGVDELRRECETILK GEE UREG_07602 MPPQPKLESFISDDSSFYGDEDEISEMEDRAAEFDPIRYWRTSH STNLAVIAYSQRLAAENRPSTECLDGATIIETEVTQRHPHDGREYCRQATESVASFIN RLPPSTTKAADIGPWIFIANREAPRPVVPNIDRLIENGGRLLEDFEEDLAEIQNEPKS KSSAGKAAVTRKINSKRRKLETDLLQTARDNGLATGKWMLFPSAESLDETWAAVADAT AKGTLGIEAKVATDPENGASSKPRLICVYTRDFWDKEDVRRVLLKLVDMGVVSKAKGS SARPIYYKCDVYTHLNIMSGNKWGLKPTLCSSTEVLANKL UREG_07603 MDISVQTPSSLFTLFLDQPPSCLEFCPTAPDHLLISTYLLNEVP EEGSPAPTQVRTGSLQLFKLDTHSCQLSPVQSLPLDSAVFDFQFSPRDPSLFAVALSK SVVSLYRIEISNDELNLSARIVFVRSINIHEDAAQLALCLAWIPTGSRQQNKEDTPDI KDGFAVSFSDGRVSVFYTKSDIGTHDDAKLSEIQLGGFPIESTGDEQLPVLFAGNDMH HIRGATLTEMDCTDDVSSRSWQLDDRGRHHDAGVTAILPLFADETGIIILTGSYDENI RVYHFKGRAEVLASKNLGGGVWRLRLIQIEDSQATDIRSRHYQSDLDLRRSYLILASC MHGGARLLRVSYFASRGNSQDGEGKWEINLLAEFKEHQSMNYASDFYRGGQKGDGPEN SAEECPVLCVTSSFYDKRVCVWKANI UREG_07604 MAPDELQALVKELHVSLEKEQLDQALSLLSRSKRALLQLNALIP TLSTPPQLFSLAREVLELGAITSIRRGDAPAFTRYYQQLQPFYDIERHVIATQKNTSQ LNLQTSQRSKVTGLYLLLLLSMGNNTDFHTVLEGLVEEASLQERNVEDDPYIKYPVEL ERSLMEGSYDKVWRETKLENVPSPDFGLFSDILIGTIRSEIADCSEKAYPSLPISNTK NLLFFNSEGAVIEFAKERGWTLKDGRVYFPSQEEQAGEVAPESEKQIHLTGGNIIENA IGYARQLETIV UREG_07605 MAGRDPPKLTRNSLEQLEHAPMGEPQLHNSHAAVTVKEEQFHSF YQQQGMALLGKQGLDEHGPIPSAQVPSKFPGAAAQMPAFSAEQLNNDLKLVQGLVKAT NEHPVKRYLTPGNIADPFHVAKSKLDDLQTPYASGESIERRDFIAQLNFDGIKGKMKK SGSARSSDMNVQPDHTLPGSNRDPDSTHLPRES UREG_07606 MDSLPEQIADFRWDTTASPHGNLHNLGQPNGNDPGNAHIGSHDA VFAACSLYESNSQRPNDQSSTYLHTPQSFHGNGPLMSNPQSWHTTKENTFYASSANSG ASQSFVSFPPDNAMLTSPMDCSNAFIPQGNYGSLNQLSQREWALSQQLEAHNADDMPA IPPQISEDLLPSELHDDLEWDLDIFDGRFLNIPQYPQVVLEPEVTGTGNLGGSNRQPC SATEPISIIKPPKASLRVDRTGRSFPCSFPQSSSILSTSFRSSKLHANSRRNLGASRG HLISRKRPNFSVWDSVSGKELEVPPPRRQRTKQERWKTALIRELGGACADCKKNHRSC KIEHLIPPGAKLPEPDLTSVDGDMSPSLGTSYGTVGMLGSFMAGVNLNSALEESHPFS GEDITGAGGQFTGTPWRPDLMSGTNMDEHWGDNPKFHSQELLNHHDSIQTAGLTQEPT YGGRNTQFREDTTVFDTLFQSFP UREG_07607 MSTFPPPPRIDITNSPSASSYMFASQLQHDSSEEDIASLPSSSA SDSSLEDHISMYDEDDEDDESDAEAEWRESMRQLELLVTMVIIPFAGKFLGRKCAYWG WAKFMEWQYPFEAVIKDRSRPRKVAAAL UREG_07608 MADTEVQMPEKKHRKSVAFSEGATVMDANGSITEADHTPKTTAE KHSATSPDKDVDEVTELFKGLSKKKKSSKKKDAEAADGVDAATDGAFDPSTMKKKKKS SSKKKVADAGDFEAKLAEAGHAPEEEAAPEEQPSPEQIAQDLESGTGIWAHDATQAIP YSYLVTRFFSLIHSHHPDLLASGSKSYKIPPPQCLREGNRRTIFANIADICKRMKRSE DHVMQFLFAELGTSGSVDGSRRLVIKGRFQQKQIENVLRRYIVEYVTCKTCRSPDTEL NKGENRLYFVTCNSCGSRRSVTAIKTGFRGQVGRRKRQQ UREG_07609 MASQSLAYPPPPQQGTYPPPPQNYNYPPPPTGQTPQFAPPPGGH GSPPPPATSPPPVTSTPPQMGHPTPPPKMEQMPGGAPPVGQFVGAQSTAADDIGTFNG GSYRISHRDSNSILTIQLAMGCPLTAKPVFHHRATKVPFFVPANRRILPGAMIAMSPT VTLKGSVKFSMKKMLAGGEMSTSTYTGPGELLLAPSALGDISVLRLSGREQWSVGKDA FLAGTQGIVKDYKRQGLSKAIFSGEGLYTYMVSGTGILFIQSFGAIIRKDLANGEKYI VDNGHLVAWNCGYVMERIASGGIISGLSSGEGLVCKFTGPGTVYLQTRNAQAFGQWVL ANGGPAGA UREG_07610 MALKTFPDVSKRIYVSDETSYAYVSIPPAYQGKPTVLLLHGFPS SSWDWRHIIVRLKKAGYGIIAPDLLGYGDSDKPVELEDYAMKRMCRHIVEILDEEGLD KCVGVAHDCNRRI UREG_07611 MAATAVDAAIQSFLSLPAAIAAIYNAVGDRRIARSDFGFAIVDW TSYMVSIEPYIRRGLGYQDIELQARRILDLCAWLGYWLYSIGFRQSCAVAKRLTIQSL RFPYLWLNKKCRRFEAKLELIKSEGERLKETLNGYGAGQAHAPQLQSQDENRPVMAGI STAPLSSKDCLTGYHAHWQLTSAIGVGMLHESTNNLSSVSTRLLKS UREG_07612 MVPNELSTAMTNRSLRTIKTELEFLCDSSVITPGQLTSILSQLP SQTQLHAPLSQSKADTAFSPPTTQLDHMGLNEKTMEQNPYSAIAPPPSYAATPPILCM ASALYAYSPTDGGDLALQAGDRIQVLEHMNNDWWRGRNERTNLEGIFPRTYVSIIDEK PAILSPQPTSYGNMPLEVAHSGSSSQSGRKPSKFEENGKKFGKKMGNAAIFGAGATIG SNIVNGIF UREG_07613 MSDWDSTTVIGSKHRGGAAPRETVVKGRSALNAAARSGSIIGTE KKFTTGNISSRPGVEGQHLTKVDRSDDIIKPKTVGLEVGEAIKRRRNEDKYKMSQKEL ATKCNTTVSVVQDFERGTAPPDQKVLSTMERVLNVKLRGADIGKEKFPAKKK UREG_07614 MPALRPSSVPSRVVSLTRLPLPRPLHPPPASRLLSTFSIRAPPP LPRNSSTAAPRSSIPLRSPTTHVSSLLYDKVTVDAAEAIKKYGVGVKCATITPDEQRV EEFKLKKSFQPFRPTKRTRPLTFSRGGTVFREPIVIPRIPRLVPGWKKPIIIGRHAFG DQYRATDRLIPGPGKMELVYTPTNGEPERITVYDFQGAGIAQVQYNTDDSIRGFAHAS FKLALLKGLPMYMSTKNTILKKYDGRFKDIFQEIYEAEYKKDFDAKGIWYEHRLIDDM VAQMIKGDGGCVIAMKNYDGDVQSDIVAQGFGSLGLMTSTLTTPDGSAFESEAAHGTV TRHYREHQKGRETSTNPIASIFAWTRGLIRRGQLDDTADVVTFAEQLERACIEVVDEE GIMTKDLALSCGKKEREAWVTTREYLDAVERRLRSNLANAKL UREG_07615 MTPTSHELVAEQISTTSLLVVATRGAQRMVNLQTRMLTAAFRSL SRVTRAAIPRAMPMRPSATLLFLPRHPVRQLHAVTNSTLRATNFQDSIVPEKVRPVAS TPSASFSRNPRAHPALGLFPSKPCRTTPPTTQRLFSSSAVNMTGTKIDGTAIAKSIRE KLAAEIQSSVNPRYQPSLVIFQGRLAKFPEEITETELIQEINNANNDPSVHGILVQLP LPNHLSEHTVTSAVANEKDVDGFGAINIGELAKRGGKPLFVPCTPQGIMELLRSSGVD LAGKEAVVLGRSDIVGSPVSYLLKNADATVTVCHSKTKNLPEVIKKADILVAAIGKPE FVKGDWLKPGAVVIDVGTNYIPDASKKSGQRLVGDVEFASAVEVASQITPVPGGVGPM TVAMLLQNVVNSANTYFEKLKDRRISALPVKLQTPVPSDIEISRAQRPKPISQLAAEI GIAPHELEPYGQTKAKVSLDVASRLAHRRNGKYILVCGITPTPLGEGKSTTTMGLTQA LGAHLNRITFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANN LLAAALETRMFHESTQSDAALYKRLVPAKKGKREFQPIMFRRLRKLGIEKTNPDELTP EEINRFARLDIDPDTITWRRVLDVNDRHLRSITVGQAPTEKGHTRQTGFDISVASECM AILALSNDLADMRERLGRMVVATSKKGDPVTCDDIGAGGALTALMRDTIKPNLMQSLE GTPVFVHAGPFANISIGANSVVADKLALKLAGTEPDEDHESKTGFVVTEAGFDFTMGG ERFFNIKCRSSGLVPDTVVIVATVRALKVHGGGPDITPGAALPEVYRKEDTELLRRGC INLKKHIQNAKQYGIPVVVAINKFETDTEAEMAVIREEAIAAGAEDAIPANHWAEGGA GAVDLAKGVIIASSKPKDFKLLYDLEGTIQERIEKIGQLMYGADRVEFSELAQKKVDT YTKQGFSNLPICIAKTQYSLSHDPSLKGAPTGFTVPIRDVRLAVGAGYLYALAADIQT IPGLPTAPGYLKVDVDAETGEIDGLF UREG_07616 MPVPEGYILFIVMEKVPGESLVDFWYRPPEDREKIRRAFRRSIE ELYSHGGMQRDEGLRNLHYDAKSDKWYVIPMCCGPNDGR UREG_07617 MRPILLQGHERSLNQIKYNHDGDLLFSVAKDKILCAWYSANGER LGTYEGHQGALWTVDVSPGTVLLATGAADNTVRLWNAKTGECVKVWEFPTAVKRVEFS PDGSKILAVTEKRMGYLGTIVVYDVLYGDGEGNNLEEQTDEPCLKITCEQSKATVAGW SFLGKYIIAGHEDGSVSQYDAKTGEQLQNVQAHEFDYQITDLQFSADRTYFITASKDK SAKVISSRELQVMKTYVADTPLNTAALAAKKDFVILGGGQAAMDVTTTSARQGKFEAR FYHKIFEDEIGRVRGHFGPLNTIAAHPAGTGYASGGEDGYVRVHHFDKAYFDFMYEVE REQARR UREG_07618 MSFFARVFRKDGSSKKKQNGAGTDGPPKPQWTDAWLRTEVGAEE VQELIHGCTQELKARALDTPFLLLPFRPTSDPSAARTFVRNFFGPSLGRTSPLRGDSL LQELRLTEPMVLCSVMKWCWSRLPGGIITWEAYELFKVGEQVNNRPDSQLARDAFSTF IPISVDSDARTKIIFDFFELMAAIAAHGNKNGLGGRKLSRYAGWWAFEHTDTGNGFEA SYKTWAEAADATSHLFFAYLRSLTPDSIRGTNGIATLPLSLQTLVQGTEYPPERPSLL QTQTTKVVMIVDTVSPTPFALLRRAKNFEYRDSDVVLKDFSSLDDPAQALSDECRRVL RCISTANQSDVSTTKASTSLRDASWSRFEDIGFGGSIDESDEEDGTGFGRTPQLGLRS APASRTADLGRPTTPSWADFLSSGFTDEQRNGNRPGLLLPPDKVLPPIQTVRGQSSQS HKRSFDQESTLDPGELASISVLDLDDSFWWVWISSLAGEETASRKAVFGRCALLETVI SSGKWLILEEQVKGAAPEPDAGAYIAEKKSFFGFRTRKGRLTRRQSAAKKNGEPYKST NNPAPLSRTSIGPDQHARIQAAAAALQRKHRELEQEQARAARTRQDDSYSTRTNSVLT LQPSILNEASHAMKWASNYDKNAVRAAYLSNNRAGTGVPAENLDISWNGRATSSLGSA PGREPTPPPKAEQRAPRESASPLPVPPPKETRPAPKPTPVADPTPAKQDSGERTFPKP WPAIGRASGESTRELRKLKKRPGTSGLKALFAKKKSEFAGRSSVESTSATPATRTSAE RSGALTPKSTTAHRLSSVGQKQSSEEASVPETSKPPAPPVAERKPAPAPVPVSAPAPA PVTYDGPPKHRREAEFDSLSRVNTNEQAEADQHFSNFDHQSPIVDQPAFAPIDTPSTE SSVERAPTPPHVEKPTEPTTVNGRDSAPSPVPDEAPMQAQHRWAQIRKNAAERAGMAE EVIAKKPVPEKPNTEDQTHESIELRAARIRARVAELTKNMEASR UREG_07619 MASRPVIPPRSSSKRLRDELDDLNHEIARKRRQLKPKGSFDSEY WETALDIVRSETARNNVRRQISTEKFKTEGGSEEAWATMEDAKQLHAELEALKLKERK FRDQLRRVKSGSGEPDSEANTVTLRRSYLELFISSSRGLGIASYGGGRENKAQSSFRA SLIEAYNAQKSEIEYEDLLWCPILSRWLAKEDVTASHIFSYRHGQQTMTAIFGETQEP ELFSPKNGLLISNHVEAKFESGPMLRRAFTERWQGQILRESELEKPAWSSPGKYLKRN MLLAFVDEIGHKYDHLLDGCTETGNNLEAKEEDDLLLLTAARQIGLAQEEEEDSDDEN LDDDDFI UREG_07620 MSSLFPSQQALASAFASRYGLHQERKPTEPAPAPKQRRLFPAWS AIDDVKHKAEAVGHAAAQEFDKASTQGPIKDWQNRVAQGAFKYGGYEFFKKFYADLLG EDAATAWRTSIYAAASASAELIADVALCPFEAVKVRMQTTIPPFATGTFSGISYVVGK EGVGGLYKGLYPLWGRQIPYTVMKFVSFERIVEMIYNRLPGKKSDYNKGAQTAVAFTG GYLAGILCAVVSHPADVMVSKLNANRQPGEAFGAAMGRIYKDIGFGGLWNGLPVRIVM IGTLTGLQWMIYDSFKIFMGLPTTGGPKEAKKSEV UREG_07621 MASYISASEAYERERSPTNGAPTCSSSQSQTPWSDKYRGATVED LDPPPALSTSPHDSISSALLAAYERDYTHLTVISSTSRSLLGYLNIPRLKSLLQNGAV AESDPVEKAMQRFRRKQHVYKVITMDTPLEELERFFEGEMGGGEKREKQDFAVVTDAS RKFVLGVATKEDLEQFVKRRPT UREG_07622 MTRLHAISPSAGIDEIAHVSRWTTEIVSLFISDPQTRKSVTIAV AVLSIYAVDFAINVVQACCRSLIVDTLPIPQQQLGSAWAGRMTAVGSLIGYAIGSIDM LNSFGTAPGKYAIQADDSHRGVVAHRSSICHFICCEGEGPDISQDHFQPATPNQSNML GAILGLDCSTWVGETYFRYEASESTAEQSKDTLGEVGRLGSLSLVVFSMITLLSSVIL PFGVVSPENKRGRFTPRPPRGVVRFLKRIAFVRPDLQTAWMLSHIMFAATMIFAPLAK SVRFATFLVAICGVPWAVTSWAPFAFMGVEINRLAVPASSSRNSTVTMITSTRASNRH SAYLPLEADDGNNSDPDFDTASVLRLNHRNDGDSDTDGDLEDEAASTGELAGIYLGVL NVYTTLPQFVGTFISWIVFSIFEPNRTAAQGDTDSKTGPAKSKADHWMNLSAEGPNGI AVCLFIGAICAMIATEATRRLKYVR UREG_07623 MRWDSVRPLMDGVPIHDAPCRMAYDLWGHKDQGSAAATRDSGIQ SIKYSARPLRFCSVLPEPGSGTQALKLAGSWEKESKYITTIVVQFDAAFMESSSSESQ QKNPGEAPLVSSAKLF UREG_07624 MPLQNQNGDHQSPSAKELKDDTVIVVLGASGDLAKKKTYRNKFL PKDIKIIGYARTKMDHAEFIKRVRSYIKVPTKEIEEQLASFCEQCTYIPGQYDQDDSF ITLNKHLEELEKGKKEQNRIFYMALPPSVFTTVSEHLKKNCYPKNGIARIIVEKPFGK DLQSSRDLQRALQPNWREDEVFRIDHYLGKEMVKNILILRFGNEFFNATWNRHHIDNV QITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPVSFSAEDIRDEKVRV LRGIDPIEPKNVIIGQYGKSLDGTKPAYREDDTVPKNSRCATFCAMVAHIKNERWDGV PFILKAGKALNEQKTEIRIQFRDVTSGIFKDIPRNELVIRVQPNECVYIKMNSKLPGL SMQTVETELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDASWRIFTPLL HYLDDNEDIIPMEYPYGSRGPAVLDDFTASFGYKFSDAAGYQWPLTTAPNRL UREG_07625 MRELYEIEREKHPFEGDGFRNDHDLKGPDTIESRYSGHLLILDL AAEAWPGDRMGSQRVAKLVRVFGVNGYGIGLWAWEGSGEMPILELGLAGGPWMFLEGR QPVRADGLGVCLGFESNERSLSCWDAESVLAPNGRTETSGPGLGVFRIATRRWPLSVL PSPCRAQAGAPQDSGSRGQRSSRFC UREG_07626 MADSLDRRSHNGPSTDSSQTAAEVIGSQPENAKENDGSLHDERC LPSRSSASSNVNAPKEMPTTEEEHNPLELTPSQSEKMARKQVLAIMGALCLALFLAAL DMTIISTALPTIAAQFRANETSYTWMASSYLLANAACLPLWGKISDIWGRKIVILIAN VLFLVGSLVIALSNSLAQFLVGRALQGIGGGGIIILAQICVSDLFSARERPMYYAMFG ATWAIAGSLGPVIGGALTQKVTWRWCFYINLPTGGVSLLILLLWMNIESPKTPLLAGL KAIDWLGTITIIGGTIMLLFGLEFGGITYPWDSATTLCLIIFGIVVLILFWFVERKIA KYPIMPTALFESWSNVFILGVNWSQAMNFIAGAYYLPIYFQTVLGVGPILSGVYVLPQ VVGLSVVSIISAVVIRKTGHYLWIIRGATVVTALGYGLFIDLKPYPSWPRIIIYQLIA GIGIGPNFQAPLIAIQSAMKPSDVASATSTFGFMRQLSTSISLVFGGVVFQNVMKQSA PKIRAALGPELAPAFLGTIAGSNIENLHRLNDEQKRVVFTEYTHTLSRLWIFYTSVAV LGCLFSLGIKKTELSRQHEVTKTGLAEQERARRERLEEQKEKKRLKAERSNGGNGNGE ETKPEV UREG_07627 MARPVFINMPTSDLPAATAFYEAIGFIKHPFFSSPTSHGMVNAL NHDIVVMLSTADQFQKFLPAERASLEPATHRAAKNATIHGLSAKSRQEVDEAVETAVR AGAKAFPPLKLEGCDDSMMYSRSFADLDGYLWEIVWCDEGMVKMAQEAFAQKLKQLEE EKGRSG UREG_07628 MAYNSSFNPDALPAKRLKGVELRHAEPEQVAQMLGQLQASQQPN HSQSQPPPPPKGGHPPRAYTNPPPRHQSAPTYPPATGHANPNFNNRIYSPPPQSYGHG PRPAHPTQNRPPVSSLPPRTPQIAHKPGMPLSDNPQDLFPLFRAANASNTGSLSEPEL GSALVNGDYTSFDPVTVKMMIRMFDRDGNGRVTFDEFVALWRFLAAWRDLFDRFDEDR SGRISLPEFGKALVSFGYRLSQTFVNLLYRKFEAKGRGRATPIGPGEKDAMSFDLFVQ ACLTLKRMTDVFKKYDEDRDGYITVSFEEFLTETIELRE UREG_07629 MAWLGIARHPHQDVEPESNESLVWGAAYHIPASHAEEVNAYLDD REINGYSVHYTPFHPHVTPAGSAEPNAPNPANASSPFLCMVYIGLPTNSQFLRNPADR DPASVATVIFRSRGQSGENKEYLYLLEKALEGIGLGYADAHVTDLVKRVRALEGVREG RKSQSEIEVERLAIPAEEYREDEALEEVDEPMRRKP UREG_07630 MSKLFIGGLAWHTTDETLREGFSKYGTIEEAIVVKDRDTNRSRG FGFVRFASEAEADAAMNAMSNQEFDGRMIRVDKAADRQPGQRSEGFSRSNYNRFEGGG QGGYNRGGYGGNNGGGYEQRQGGNGGGWGGYQQNWNQNQGGSGA UREG_07631 MGHHDLAVMQRDRVVEWLLQVNPSDGTPIESGVPMGGGHITEYQ GNCSSAVPGDANTIPCGQRGIGGPIEFMELPRKSDLKHPTYLNPGVTQPNPTRMPFSN IDNDAQPATSALYEKQPRRKTRKDRYDPKEASRNRDQSKVARNENKKFRNTKRVKRKH GKRLLEDQFVAPNVSQKRLTLSSNFDVGMFARGRASSPLSYKVPDLTFSEMKFLSHTA KQNQTITPTDVNKDRAVNRPPILEISEYFARPQLPKEPAKSCASSTSRSKVNPQKSME ESISINQPPNNAVIAPLDSSPRLQVAHRHSGKRTSRSTTYYSWSESNSDENSGFENRA GGNAPHPPPPHKPVPMKSENQAQLQRLVDDFEYDPLAISKAYDMVFKNAYLGQACEST ASSKVVYSLEDLKELANQLFASTPGLRQWATCPLDGVEQQYGSTSHCGSMRENACSAY IEPATQQSQSWKLELPYLEDKSKARSQPQVSERIEQEHHLLNGYFENRPSHAHGIDRK YLPGNVESILGGPEAPSPLSTGTTRNLTNPFTFTRSRLEGTTTRESLYQMNFQEPGKM FGQRCTDILNGNPGPSTPIRPRLFNISNANLETLLPSDAREWSEELMNEEGDQKTNDL EHLEEPCAARPSLYMYRHSNLNPDDEPQKSTTHRDGNPPPFFLPFDTTLQPLQRNSSN IASLAGLSSFWRPNKLY UREG_07632 MASIVKHYFLKASQVVGYSKLYNFSLWFIFAGALLGFALARLQY LHAGTYAKGAVPGEWYYAHAGRDRVGIFLHLGTILPCAILVVFQFVPRIRQRCVIFHR TNGYIVIFLLLASNAGALMIMDHAFGGGMDVQTWVVTLVVACTLSVGMAWWNIRRLQI EQHRAWMLRTMFYMGCIITTRLILVILAVAVTRSSSPRYGVWPCGKIRFTYQQQHVSD DVDALLKQRYPDCAFTTAANLSSTFTPISASLFAEDPARNGVAFNLSFGAAAWVAFFL HLVGVEVYLRLTPREAERLRMVSYERQFAAGYKNPGSAGLVVERWGDAKAWGGQGRLP LEDSPFAR UREG_07633 MLALAYMHSKGYAHGDIHLQNILVKLPSGFDELSIEQLYEKYGR PEKVSITRRDREPLPPNVPPKAVLSLYLGKIANKFNLADVQVLLGDFGEAFAPALSSR AAKDCNIPLAYQPPEVQFEPQTQLTFSADIWSLATAIWEIIGMKAIFSTDWVTEDEMI AQHVDELGPMPRNWWNRWEKRAQFFDEKGCPIPGRQVWPPIDQAFEEWVQKYRRDDGM GEFGKEETAALLALMRGMLAYRLEDRPTVDQVLESEWMVRWVMPDFERSLQARE UREG_07634 MFSWIPLWPNSSPEESSRPEDPEIPGHFPDDSQNSQHPPHHEAS CAIYELAGSSPPDAPGSVLREPFDPDAGLRETAFFHSPTPVTSHPLEKEGESVERIKT PEVKPATPRSNVRKSSTHSLSKRTSRSHKTAKFTPGSSPPSNESVIALFGMTGTGKTS FINKLTGANMRVGHNLHSMTSEIEQVQVKIGDITVTLVDTPGFDDTTRSDTEILTLIA SWLKASYDENTKLTGMIYLHRISDNRMSGSSYKNLELFRSLCGMRILSHVILATTMWD KVTEAEGSTREKDLLAEATFWGDMKKYGALVRRYDGTHAGALALVDALLEKHPVTLKI QREMAIEKKDLIDTAAGKSITARLEKMAADHKQELDMVKQDFERALKESKSEPFPMRN EVRCTLCLPVRIANTGDSYRQRMPNG UREG_07635 MEVLPQLVPRALIQMSPAELHDYYRTITENVTIEQQERIVAHII TQVHDAAIPPSIFGVWLSLILHRSPHLLKPVLLDPKSQYIRKAGLKRLSRAFRKPYWK REAWDAVGGAAGLFEIFQTVGSAQVKSLARIVGEGMSQKTAYAQEVDKLVQALLFDPS IFQEGTQLHRSPRRLPFGDVHPLLQACSESFLLEVSAYDSPSPLLSFFKVLAKCRPNL LRQIATGAVTVDASTRLELLKRLPTELFLSFEPYPMQPISSLQVSEFATPGLCFCLHL IHSLRTEPIEESKLSNELILNWVVRSISDARDKGTPFSDILTLLRTAADLTPTRSKRL VPFSHPFFALLAQLWALSAEQSPGHVDNHLLDRPSRPNSSDNESLQSLIIHLIQALPH DAITPSSITTPDSPLMTLFRHLDSAIHKLKLTKLFSLYAPGIQIDLDASPASAEQWRH FRWNGEFIKSLPVDDSRWLFERIDGLGLVRRTITFRYRWGSGDILGDSNWYNIGLLKT KWEAQNELSNDNAPIANQFLAEVKAKAERERDEVPRLAWAKGAVEIVRESKNIQLLKG VSNWASRFVRDPVS UREG_07636 MSDSSSGIELDDDDDQLTILGCRLSTEMTYNYFDHTFYTSFEEP RKYAFQGREPDVLDIWRPNGNLSKLPLSHREAIIVSALLFLDTLGGDSSSILSKPFPE NSSPPRYPAVYLDYDFLSAVKESPMDVSAALGVLRKQVTLVPAEILRDLSSSLLNKVG KVSNPRDLGVLQSTACRLAALMANCDRPELAIDADIHILKSMPDASSWHRLVMSMGLV KNLDYAVAENLFAKISSFVLDSHRKWQQKRLQGDQVSNDTTLQPIPPSEESNATKHVK ITTIKLLVQLISDAGFFSSRTSLQTLAELFTHTHIDVRHAVIAALFELLNKSVRLDGK ASADVYATVAPFHAVAAGPSEYATYSEADWANAEKGGPLPTIDSNRPLLFLFTVTRP UREG_07637 MASQTQAPGEAPCGGASKNKQESSLNDRFFRYFQQEITALQEEM DRLPFTSTAGGETRDAIDHCLAGISRLSSEVQDASSYVPPYDQRIYAEAIKALQEKLA ETRAAIAPRQKFAFKTARKNPSAVSLADMAELDAQGRRHIPGYRSSDNSSMESSLTVT PLDTRSPAIPGLDTSGLGRNSSSLAERTTVDAANGATTAKHTIPSDASTISVDSRTNV HLILSSPIGHRTVPVSITSLKRSVIDLINGLPFAAMAIKDVTDSLLMCGEVKGAAHIT GVRNSVLAVSCHQFRMHDCSDVTVYLGCSSTPIIENCHNIRFNHIPNPLLKDSVEFTV KDSWSNIDDFSWLKMGQSPNWRVTDEKGFNPDDIWAKLSSGDGDWALATYLLASESNA A UREG_07638 MIPPLNVAEIARQAAEYDFDPAVKLHYWLRTATSLAAVYEREGN DQQAYLLLFRHAQLVLVNVIRHPQAIDPAYRRGVAEARREVQHSLERLEILKPRINQR YEQYIQGLRDNESRQTPASQLRNEVATSRRPEALDPAIAGRALPLAAAENKDLAVKLA QTELRRRAAAKLGRYLNEGYHSRQQHKTAPYGQDQGDDGTDGDDLAGRLREVRARVEQ DQSYNRRALPFQATRDQAPSRRPDLNHSTTTYRYPAVPGQSSFSITETIPPVPSKELV QPLPLQPPTPPAKLPELPSDTIPIPPAAGPPIPGKIAHTTTDTLSPEPTRQSNNISPS SSDLQPSTFTFKPSSYLENGTPLRTIFISPDLRKGFLEIARPNTLRNLETCGILCGSL ISNAFFISKLLIPDQESTPDTCEMINEAAVFEYCDAEDLMVLGWIHTHPTQTCFMSSR DLHTQSGYQVMLAESIAIVRCWRVRRVDCFTRMGRRISTRMR UREG_07639 MRRCLSWKPTPIGWTSVRRYSCSPGLSAPARDSHSIHIASEQLC GQKPRDTRRENCRVLTAFLDDILERLAPSFSSNGPIDIIDLYPGTGLFSTKINTLLSP RRHILLEPHEKTYLPHLKPLLERSSRYTLLPWDPLDENSINKLFSPEHLPEQLQRDLG PKGACQANPSLLVLANLTRHRRNYATRSITFFRHLETCLDQTLFHQFGLVRMITMFAE KDARTLLPRALFNRRRWAVLSEAVGSELVQLTGDTLESNNAAIKGGPCWEQSVEETAA RAKAAGILTASSRQPEPIEKAPAPLMIRKRPITVYDRPKQDWHDKYLEYYEEWRQHKE ANPKSTRVPDPEKAKRFTLYRKRLFLENRQVPIIEQAASKNLAIDSIRSQLRTIMDNG RPYPARTTALVSQLDALVSERQELYSQLTPLAQSHAIQRYQESQNLTNPNRTARLLFW DRRPYEPLRVQPTEFHPQTPCALLDFHPNPSSPMLHTLQQHIAAHKPRDAYLNIITVF QHLLRAISRGTAKAVDRTLLRPFFPGRSVPELVRAIPSLRRFAIVNASYCGERLPENL QLEYGEDCLSETLVRVLPAEVLWDIALEWDRWPMKPVDARDLWKLVGGHRLNELESTF TSGRA UREG_07640 MNLGGGIPVDRHQALVVKPPSDAKPAHPPALTVSTNPTPPLTPV ADDRSPISFSGVQASGSDRRPVLLGPSAASRGISQPTILKNPLEFGWDLEVQRNLRGD PVEFGRGAWSIVYSAVCQEPEPVSPASNVSTNLNSQPDRVFAVKTPLRKDAYRILKAE ALLLTRLSTIPGHESHLVPFLGYVSPSHSLVMEALPLTLASYITSRAAIARDNFSTKT MFDPIVGMSKWLSLSCHLVKGLAWLHDAAEIVHGDVKPQNILLREQDSKREDTFPFDL LYVDFTSSDDLSSSAACPKDHGLALSALTPPFAAPELLTISALKSSTLAPSKASDVFS LAVTLLTAVTGDLQLYPGAGSMQCLAMSRDGHRVLDFVRSGVNCSRVPRKGTVERILS PAIVKDPNNCSDDSTLTMDTGYVFILIPSLMMTKKHAFRDSSRLCWFEEAMCLAGYGF PRSRVRPAPTLSSSTRLLVLLAIDMRSHGPRMIISFLDSRPKSSNFMESIGRFVRKAS SLLIQFGGKRRKLQLSPDMVQKRCIAGSETCPFAESKEMPLRQAPPEWLSNSSAFASQ LDPEGVSALYPPLEPAVVDGSTNVDVPVTPLFPRLFIYRPGGDRVPLIAVDELPHSIV IAGLWDWANNDRLLDNMAPALPYEIPWYGSYDIQIMGQWGAASAANRHGRFPAGTTFD ANIGQGPGAVPYPSSDSATRFNDSLDAHRTGPSPQPMWDFPRPSSIAGSQYSSSCRSG TPWSANSTTLRWPRFTEKRGQSVPAPPRAPGQPDGPAPGVDSFGLPMYRRHSEPL UREG_07641 MAVRCFVVEETQQRKKVEFLTELLVARIWYSIWGRLAVYQWYGG GIGNVRRMDRFAVTVGSHHNDYYYESQHRPWQAASLHGLQMCVLDQRSESPLPSSIFR AAVTPVPAVTDKGGPPRGDILEPSITPQESEGISQLAESLRT UREG_07642 MSRATSPQSAGELPPPKVSPPNGRRRDKAQLSLRCDRSQPCQNC SKRGQSCIYARAPTHAKSTPSTRQYPAYLNSLIERIHHLEDVVLHLVNNQKDSTAAEN ADAPGEPQKVTESVGRLSIKENGTSYFGNSTWQAVLDEIGFLKEVIPESSESPFTDRP EDFDDEDGIDLLVGAKKHLDIADLYESVPSRAVADPLVHYFFENMEVSPSFWADPATA SLIWLGLLFAMLSLSSSFLLLSNVDPEREKPLRLDATIYRQKAADCLLAGNYTKAGKY NLPCLILYLACERLRRGEFDHGFPVLLATIVSLALRMGYHRDARHYPELTPFAGEMRR RLWAVIVQLDLTISVGVGIPRLINDSQADNAPPRNLREEDFSEDSKELPPPRPAIEPT AVTYVIARQRLIRMLGKISDLTNSSTQPPYQTVMDYDRELVNIYKQLPTFYKLGQGPE THEPLTFLQRLSFESLYEQARCTLHRKYLTLPDPTYAYSRETCVDAALRMLSQQQLIH QESQPGRSLFSQRWKLLMYLNRENLLATMIVCQDVDHLLKNAGASGNHTTAFGSQTVP LEAKIQALEQSLYIWETYRSISKEALTAATVVNVTIHNARQASSPPEVPKETFASKMS SSVSPVQSVHGEKYTDSPMECPPVATTQYMIVDNQIDNQQQQPPQFQYRPDTVYQQPP AVLQHESSTGALEPMTTGMPPNPAYKMMETMIDAPVQFGWFFVQKKGAFWDSQFSRDY PAAGLTAQDVWNANHNRAFPSGPAGTALDPSGGSRYPG UREG_07643 MFTSLFAPKSFSEPNTQTENTAGEKKRGEQLGRPPMSHVKTLLL AFATQLNLVPPITWDIHVNFKLAVVTWHTHAHNKNRCKTCFPAGRRTIISSLMRSSET SEVK UREG_07644 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVT VIIFGHNKKLYEFSSADIQETLGRYQYYGKAHEHKGPADFTGKQNMDDDDEDDGSLPP EDVQSQRNHSNPVPPQPHQQTFQHVNHTPSVSPPIPNGVQYHPRNGTPLPPGVNSRPS SRNTVPAVNLVPPQHQHATPPPQAQNGYAYMPNGPVYNSQANPHPPRPGPQFQYARPP PPPPPQHQSQHPVGVSHHPQQPPIPTHLQHQQHQQSHPQHHPPSTQHPPLPHHSYMQE QQRRQSIPTTFSQQEHHSAAPAEQPLTRQTPDVKAERPSSPPPRPQPTKSRSIFTPID DRGSVLAQHFGFGPPAESPKAESPAVKPESEKFAKSSAPPPRPVPVPPRSHPQPQRTQ SISSIPDITPNSRNNSIASVRRPQLKVQIPSEHSDAGDATEESGHDSGTTGATPARGG SDPGHSAVVLPPPSPSASALLSAGAHGPPNPFARPPPPGAVPPGAAAYNGGNNNIETP ISALPSRFVSEALLPSPSSFYPEWGFGRSGPDSNMLPSPLTFPTPVMQSGPGFVKETE SQEKKRKSPDSGPGVPESVGPGKRLKVDS UREG_07645 MTTATDLTVTSPSLRFSDVATTPGLHCMTVLRVTVPPEFSRHGK SCIEYNTITLPDGIGGHISQADYLQEWEQLCYRLAKCLSPYQLRLALTISNPNFEFIA SMLQPLLSLPPLNSCDISLPGWKFDSRLCFMSTTLLRVTGQIGFDGTQFPFTRLPHEL QLLVLEQSDLVLPNRDIVWSAKYRLQHFACCLRHYQGRKPHGVGSDSPFLYSSTSPCW SPPRALFQVSREMRAQALHIFHSKNTFAFNPYYLNIDTELLHCPSDSFQSFRYIKFHL PAACIGLLQPNWKGVLEIIALTMDLAKLTIEVNIGLSKRSHLRYVQSLNSEDGAPDPT PHWWSVCEQVMAPFMKLKEMGLRKLMVNVHWPCVLGEAAVLTVRASVLEKKTMGHEYN GLGVAIPWRNNLGSQYCF UREG_07646 MPSAHGHRLYVKGRHLSYQRGKRNTNPNTSLIKLEGVDDSKAAS FYLGKKVAFVYRAKREVQGSKIRVIWGKVTRPHGNSGVVRAQFRNNLPPKSFGASVRV MLYPSSI UREG_07647 MAAAPERARHSRMLSFTSNKSDKSSKTSKSRGSKIKVNLVESHK EKEANRVHTHADPTLAISELQPSAIAQGKSNLESLRGIQHKDRFGNVINCLLRYPAEP DLSNPTRHRFERPLDTIRAFEAAVDGTYNSRRLSYVREDSVNGQSRPTSYFGDPRGNL SYPAHNFNNQNGYFNNRGFQRRDSQADAYGGFNYNQHNNYNDSQAPRPSRHTGRSQTD PWGHSPNGHAQQSQYQTYDSGSASGSGNHSLDQLAQSTDPSSLASSREGLQQQQQLQP QTSEVQIAADYGFAGFGTDPQLVPPQGTFQPASTQPTGANGGNNGALHVDTYASQALA PPAPPAKDPKQPEAEPVSKNSFFQKAKGATEKRKSWFKRLSKS UREG_07648 MAARRNPAQEASSPAQPAPAQQQPQFRQAREVIQLQDLPGYPQQ VLTKLIAYAVAVVVLPISAYFYSVNNLFDGDTTYAGAMAAITANLVLFSYIVLALRED KGDRQKLQQAEEEKKKK UREG_07649 MIDCIPDTPIRKKTAAEELIPSASDSSEDETVATVPLYQSLHST ATQASTIPTQALYTQKMTQPTQIIERPTPPKSSPLRNPPVVQVATSSPLAPTTFSRYP AARAGVLSSSMAPSGTRFRAPAMAAPVKRTPVIDLEDDGPTYRGGSSDEEDLRRGTTD IKPSTFIKASKSPEKERVAESPVAATKFKEITAAAFYNPTSAFKGPGKRQADTTRDPS AKRPRSNLTSPVSEPLALADIEDYNIKTKVERMRNVFPNKSVQACLDALLAKKGNYED AVDYIGQLDDAPVENAMSDDELSLDHKSAGPSAPVNTAKQQIKARTRIQDKWATGQRI GQRAGSPQARRRLIRGSKSRRVSPIPISSPVTGGITKKPGRLVRGRKARSRSRSESPV LSYVESDDSDSAPEASEDDGSLQVKVLKFFNTCSADDLADIAELAPDVVTHFMSKRPF TSLAQVREISIEPVQPKGAKARKAKKPLGDKVVDKCLDMWTGYEAVDALVKKCEDLGK PIAEEMKRWGVDIFGSKTAGELELVSLEKSSSSHDSGVGTPSSVSSESTGNKFIGQPA IMSEDIKMKDYQIVGINWLSLLFEQKLSCILADDMGLGKTCQVIAFLAHLFEKGVSGP HLVVVPSSTLENWLREFSVFCPKLNVMPYYAGQKERAIIREQIEDDRENINVVITTYT IAKAKMDAAFLSTMDFCACVFDEGHMLKSSKSQLYNKLIRIPAQFRLLLTGTPLQNNL QELASLLGFILPQVFKERKDDLEYIFSAKAKTIDTHSALLSAQRIARAKSMLTPFVLR RKKHQVIDLPAKITRVEYCTLNEAQKSIYENEIETVRRTLADRAAGKKIGNKSTNILM KLRQAAIHPLFYRRHYDDQTLSRIAKACLKDPKWAMSDPDAIYEELVAYNDFECHTLC SNSPDALGKFALKNDEWMNSGKVEKLCELLKKFTENGDRVLVFSQFTMVMDILEHVLE TLQIRFFRLDGTTSVEDRQSILDAFYEQVDIPVFMLSTKAGGAGINLACANKVIIFDS SFNPQDDIQAENRAHRVGQTREVEVVRLVTKDTIEEQIYALGQTKLVLDQRVAGEEEG GKKGEEAGIKAVEEMVLAKLEDEQSERKDAGEQQG UREG_07650 MAELISTSPRGKASGRSASGHIPRFSCRSWKKEDKESTENTRTS IIGDCNPNQTRRRKEASSEFSGRDPSGKRHGFPFTAHAPGPVVLEEAKERLARTARAK RTTARGNLPQMPRRNVSLTMPVIDPGRRRRGEVSGFRAASREDLRGQKHLGVPAKETS APGMVTAVATGAVEQRGRGTIQACVLTAQEVVSEKKRRRRNDDGGLWLLWSEHRGRRT QDV UREG_07651 MKTTGYLALGAALASSASAAGLQNPQLGKRIPDIFKPLVSHDEL MARVKLRDLEAGIDKLQSFADAHDNTRVFGEEGHRLTVDYIYNELRKTGYYHVTKQEQ QHLWTRSDQELKINNKEYDAGAMTYSPSGRVEGDVVFVPNLGCAAGDYPSDTEGKIVL LERGTCSFAEKCALAYKAKAAGAVVYNSVPGPLRGTLGGVSDETVPIVGISREDGLAL VGELENGSLSANLFVESRRENRTTLMRLFSWNVIAQTKGGNQRNVVMLGAHSDGVDAG PGINDNGSGSIGLLTIAKALTHFRVNNAVRFGWWTAEEFGLLGSEYYVDQLSDAELEK LRLYMNFDMIGSPNYVNGIYDGDGSAFNLTGPRGSSEIEHLFEKYFDEQGWPHIPTAF TGRSDYSAFILKNIPSGGLFTGAEVVKSDEQVRLFGGEAGKSFDPNYHGAGDTSENIH KGAYLLNTRGAAYAAAEYARSTRSLPPKDAPPKEKRGERKLKGYGGDLLGMCVEKACN Y UREG_07652 MTSITSTAPRVPIPKNGVDYRGKVVLAPMVRSGETPSRLVSLRY GADLVWGPETIDRSIIGAKRRINPRNSMVEFTRMPSNGGRTDKPAEEAVIFRIDPIRE RGKLVFQLGTATPSLAVEAAKIVAADVSGIDVNSGCPKPFSTSGGMGAALLQTPDRLV SILEALVEEVGKPYEIGISVKIRILAEPERTESLVTRLCKTGITGLTVHCRTTPMRPR EAAIREQLPMIASICRKAGVACVMNGDVTSRDEAMTLIREYGVDGAMIATSAESNFSC FRSQADGGLAHWRDLVRAYIEAAMQCENRWGNTKYLLNQLIPGKDKTFAEAKRSKTYH DCITVLGFEDLIPRAKEVDEILGITKRSVIGTESKKSPAVLKAIEGNSTAKAASNGGT APARTGSLISKQGSAESSITEIPTKLAETSHLPSSPLMV UREG_07653 MSQLLQAVRVLECPLTKVPPSLPSPALVRSRCPLAPSSAPVHSA ALHGKPVLGTATTKLAPPTNTHAIRSLCPSSSAVSSHTLRFTSPPSHQPATLSARNPR AASSTVNRAALLSRHFSASAARPAPDNTVAMSYSVRKIGQPNTLDFRAYVEKDGVPVS PFHDIPLYANEQKTILNMIVEIPRWTNAKLEICKEEFLNPIKQDIKKGKLRFVRNCFP HKGYLWNYGAFPRTWEDPNVVHPETKAKGDNDPLDVCEIGELVGYTGQIKQVKVLGVM ALLDEEETDWKIIVIDVNDPLANKLQDIEDVERHLPGLMRATNEWFRIYRSRMGRPEN QFAFSGDARTGKCSDAWERLMAGKSQRGDMSLANVSVEGSADRADQSKIESIPAGQDL RPAPIDGSVDKWFFISGAAV UREG_07654 MSLPLSTISSFRASFSPFSPLSKPCRLVLSLLQTPTTASPSSSS HIKISVTKLPRHSPKLPEMTIGFRNGKELRFEVGKNQMSVHDVLEELGRVGRVIEREE SLKG UREG_07655 MSSPALQQHLTALSTTYKQTLPLIQRLEKWSDTPGQGDDARIEL GAEIHLRLKEMEDEMELLRVEVDELEAGGSRKRENEDKEAERERILIMSRKLEADMKT IRTQFRKAQIQAKRNAEVAKQKERQLLFSRNGEDGIPRTKASPKLTQDDLIVNASNDV TAALRRTHQLMQSELSRSQFAQQTLEQSTAALNSLSESYSNLDTLLASSRSLVSSLLR SQKSDTWYLETAFYILVGTIIWLLFRRIFYGPLWWLLWLPVKMVYRSMFAVLGMAGLS GGTQKSAISVDYSTGINTVSTPTVAFSPSSIAGGGYSVEHPAATRTTAVEPSNISGQI AEMVEEREKEENRYREEGTNIDGSSPEERQRQAEMPRNPKKRMWEENIDEGGKKDEL UREG_07656 MAANFFSNKARAAAATNAAASKSKSTTGEEDKSRLQPWVEKYFS RPKTLDDVAAQDHTVTVLQRTLQASNLPHMLFYGPPGTGKTSTILALSKSLFGPKLYR SRILELNASDERGINIVRDKVKNFARTQLSHPPPQDSEYRKQYPCPPFKIIILDEADS MTQDAQAALRRTMERFSKITRFCLVCNYVTRIIDPLASRCSKFRFKTLDGSAAGGRLE EIVKAEKLRVDDGVIDALIRCSEGDLRRAVTYMQSAARLVGSGLAGKSGKDEGGDEEM PDADSSGVITVQNVEEIAGVVPDNVVNRLIDAMQPKKGGSVYEGVAKVVTDIVADGWS AGQILSQMYQATVFNESIPDIQKNDIVMIFSEFDKRLIDGADEQLSILDLALSISGIM SRS UREG_07657 MPPATRQSIREMEETHILEDELAYEVEQNNQGTKRKRAENGGTG AEAPKYKDDDDASNFSDATGSATKAPAAKRKRGGKSGASSQAANKPKPKKSINQLVQE GRNARKALKASEKRVLELEEQVNKLHQSILDIQKRYRHLRTDDQVIRDSLFKIIQECQ SWAKEHSLVTAVNSDVSPALARLMGGSNAEMPCRDIENAVAVFQLLRRGPYMLLQTVL SHFICNNIIVQPFHFLEGHSANVQKMADIFNSLLPKKYKGRMNEWVGQTVSLFRADEI DGGYCYPKDSWTRLQSLRQKCYSEMVTSFLKGAQCIMKPTSNASQENTRYLRLYSIIR QTSDVALMLWEQRLPVRCLSSISPQLSEFENGSDLMKAHHAMLLSAENTTLNGNNIAC VITPAIVSLRDIRTPHEYRIWSQAIVWAEGWQESQAIKTKTAKEPSIVNTDINVAKPC NSEQSGQGKPLQEEYQNQEGSAKVFCGPDPSAQVVEGPGLQTDVVGAGDPRIAASTED MDQKILGGIEKEDLPDKVHQSQEKTDLVKGCHDITAITSPTTADSEPSQNCPSRPHAS DSNGSEFPGPAPQAATLTMPNDLHPNVSPPQLNTDMLRSRESQGTMGQIVHRDDTTRN DLTGLIKSEPHEPTGHTDTPNAVAEPNRSEGGVPLLDDWSRYQN UREG_07658 MVHIFELGQIEPGAEDKFENSDGESEEGWVETSTSVSGKRKKKP KESARKKKRKTARSANPKPKEPQIRNRRIVGVDFGTTYSGVSLVDALDDIKGVQIIRE WPGSEDHKPKIATTIAYQNGKPLWGGQVKPGMQSWSWFKLGMASKECASTEDDPLLQQ AVGMSLMKCPPGKTPQTLCKDFLTGLCKHIMTNLGKEFGKALDVTPLEFVFSTPADWG EVYIEKLKRAAREAGFATKPLDGIFTIEEPTAAALLTFEHYRQKPVVQTLFEAKKNIL VVDMGGGTVIRPFRVREACQGAGAMCGGTSIDREFHRLMESKYGSVFSGKSAKDISQG SQFMKEFENIKRAFDGEQREYTVCLALDRESGKGYDAELGQISLTREEICNMFRPVLE NSFKLALEQIRKCKEENGNPLTAIILCGGLSDNAYVREQFQEFCKAHSEREETQVLVP PNSWSAIATGAALSRISQKPKISSHGEKVRQTYKWLEGYLALDSRGPLKGQLQLYKCK DANPPNYITSDVQLLGSLSLDFSSLLKRTGSRKLQGIKVKIGHRIRTQTGQVEFAHRI NGGKPTECTKFEYEAIQESEPPAESDAGDGALDEAESDEYDDDDGLLMGW UREG_07659 MALLSTLVQLLAPLSIVFICISLGRALFRPAQSTLWSQPVVGLR KQWAAWLRATLRSSYNIKQLVFEGYTKYGSAGSAFVVPSIDRGPIMLIPPREVKRIYT LPESALDVRATQQETNQTRWVTWDKKPAEDSFVFDVIRQQVTRNLKHLTPVIGSEIQL GFERCWGAETEWKEIKIWDTCWQIVTGAVNSALCGMPLCRDPEFLKGCQDHSLVIMAG AMAINASHSLLQPITGGLIWLTCNVFFKRTLKKCMPVVKERLEKTAKLKTDPTYDWTP PKDAIQWIIDECYDTGNLARLDPKSICFRLLLLNDVSIPSTSFSVQSFIVDLFTADPT RGFVEALRKESEAAFEESGGIWTVDAVKKLKLVESAIRESMRLSPLGSIALPRTVISA PPVPPFPLCYRRAPGAHARHI UREG_07660 MDAIVQQIRELHAQADEVGKIKISNELDALQSSLDSDWDTILKL AGGPLRHALVKIGVDLKIFESLVERTYSSSELVEKTGISFDLLGRILRGQASFGLIQE VGAGGYAANRFTKLFADSNAAGAVTYTFDVLRPIASAFPAFLKERENASITSTHDTVF QKAFDTKLSGFEWMKQHPETFGNLFKFLALRPNRDWVDSFPIEDEIASFNEPDKAILV DVGGGTGDQALVFRKKFPQHPGRVIVQEIPETLALAKPLEGVEFMEHDCFKPNPIQGA KYYYLRYVMHLWQDDKCVEVLKALIPALGPQSRILIDEVVVPSSEVPWQAACQSILMA TTLAGAERTLAEWMRLLDAAGLKIIDIFTYDSNLQSVIVAVPKN UREG_07661 MVAIGDSKPQIKRFPVSENPETIWKVVEEDGAAIVEGWLSPDVV QRFNQDLDVRSAKTPGGTMNEEFYTMPLPKTTKWMNDLPATCKTFRHDILNNPILHDI CKIAFKEHGDYWLLNGMAMEMAPGNPIQQIHRDQGTHPILKYIKPGSPVPAISIITAL TEFTEFNGATRVILGSHRWERIGDPSPDLAVRAVMKPGDAMIMYQGTVHGGCPHDEGN PEHRRLLLLGMGTCQLTPYETHMTMPRRIVESMTPLAQKMIGWRSVRPVISNVTGLMT VRMKHLERQIELKSDVPLEEER UREG_07662 MAIKYEVSRYRFYCSHLNKLPLPPGPRGLPFIGNLHQAPKDLAW EGYKKWSDKYGPIMSVNNGGVITIIISSHDIVKNFLEKHNAVFSSRPQLLVLERALKG LTTPALPYGQKWLVHRALRAAVLKPSMAIKYRGIQDLESKQLLFDLLHNEDFTQCLRR HASSLFLGIAYGHRFPEEDPESLDIDKAVAQLGGISESMFQGTAMLREFFPILRFLPG TDKWRKQLDEVGDKLADIYVARLRAALKTPAWNWAKEYIRRPEAKGMDELELAFCIGS TYQASLTPYEIIRIIMLAAILHPDEALKLQSEIDRVVGEDRLPGWEVRDNLPWVRAFI WESLRWHAFSPLGAPRAASKEIEYKGYRIPKGATIVLNHFAMDHDENIYKDPFVFRPQ RWIDNPDLPHIIFGFGLRGCPGQHLARDLLYLNTARLFWAYNIGRPFEGGRQVDLDAE ALMRPRGGGSAFNQVPHFKASVTVRDPKRRAIIEEAWATCEKDEQKILEEAMPMANGR ENGKNS UREG_07663 MPILVFEYRTKFTNGSQNAWWHKTGPMLAKVLSSANYTLEEQLE YLEFFSKTLVPQFGPYPQVFRSSITRSGLPLELSINYQQHGKPPVHSRVCKIEGFNVQ LWNQALKDHTVNDAEQASLRGTDIEGGYIRSQTAFGFDLIKGGKISVKGYTFPALKCK VTGKSMAQVMADSVRNMQHLVDCSQAFSMVNAYLKATAYDERSFFSWDFVNPSKSRLK LYTGSNNVTWEKLQEVWTLGGRLRGSTVAKGLEYLNQLFNLIKLKEGERSIEVAFDDR KNSSKTTPLLWNYEMRAADPNPLTKIYFPVHGENDMQVITGVAQFFRMIGLVELGNSY VAKVQSY UREG_07664 MAVYAGDTPTPTGIKVIIVGLGIAGLSAAIECHRKGHEVVVYEK LPRLKGVEGDGITIGANGAIVTAKWGDGAFHDMVRPLEYKTNRAKVFDYTGYAYGEFE LHGYNEGRGYTANRGALVSVMYEYSQTLGIKVFLDSTVTDYWESESEAGVVVNGERVA ADCVICAEGIHSPGRKIITGQEMELQETGFSATRGYLDANIVAQDSKLSWIIDDIETE DCIYGWLGPRVHFGITTKKKDNELFWYCCHQRRLIVVGDAAHAALPSSGQGGTQAIED AAVLAIALELAGKQDVPLALAVSEKLRYSTTFLDPSWRQRSSIENPYRYQRARIVQQG GLAVLQFVMNHVDFEGLRKDPTMVKPPHPAWILDHDCQEYAVREFPAAAEAVRTGGDY VPHNIPSDGQYRMEYNSK UREG_07665 MDFPFEETGDSRKRKREIESTSNAGSKESRLKTQASDLESCIFP RVRASPAPQKLHQTVYRLEVECHDIISAEAVSRHLMTAWSILLAKYTGANKIRFGVLP ESGTNQYEAAFEEWCASWEPENSTADGVDLTNVCEWSFNNFKYRGRFNSCIAQQPKSC WFDAWTKLPDHPIELVLLVEMTGRPHIELYSRSLLLDEGFLALLSAVLRHVLLDLTSD RPLQRLNMIPDEHRKQISDWNESVPKEPLAECIHQLIRKQCDQHPDSQAVCAWDGNIT YFELESLSSNVTKALHRHDIGPETVVPILFEKSKWTVVAILGTLKAGAAFVLLDPTHP LERLQGICRDVRANVILTSEVHQDTSSKLATTVIMVPGLSIPAHTEDIIPLNGNRGKC MVNSSNVAYVSYTSGSTGKPKGIVIEHASVCTNAMASSEAQNLNGSSRVLQFASYAFD VSIHEILIPLILGGCVCIPSEMQRVNNLQEAIISLRVNWMELTPSVARILNPDEIPAV KTLVVGGESMPLVELTRWASRVRLIVAYGPAECTIVSTVQTRVGPDCDPSNIGRGYGG TTWVVDSEDHDSLVPIGTVGELVIGGPIVAREYLGLPQQTKAAFVTNPPWATGSKFYK TGDLDTQVKLRGQRIELGEIEHHAGDLFQGAAIAVEMGKLHKGQSVLVLFVEWAHPKG DAGRENDDRGLLFRKPDQLFSMRAHKAKTALTDMLPRYMVPDLIVPLSAMPLSQTAKV DRKALRGIISQLSKSDLNIYRFSSKHFGRQDETNHHNGPLEDEFVKAIARTLSLDPRD IMDDDDFFHLGGDSISAIMLAAETKKHLGLNLTVTDIFQYSTISELRKQARKQHGPSS LVSLPGSVLPFSMLGASDIETFKKIAVEQCGILPSQIEDIYPCSPLQERFMARTARQP GAFQARFAFRMSPTIDWNRLRRAWNIAADAYPILRTRIINAAHLQLSYSAFQVVVRGW NIEWLETYSNTRQIDGKWEKNMLFGTPLIYLIACRDSSRPGLTLVMHHSLFDWWSYNK ILDAVQVAYEGQRLDPGHFSPFIKYIHEQNKSNAKEFWKREFHGLKAVPSFSHSFAGS SSHTVKWTHKDFPLRLCNQRGATISAMIRLAWAMVVSQHTGLLDVDHNTALIPFEQTG IQEIQQASPEAAMACEFQSLLVQVQSMLQSMGHLLGLIADSPHEKTGSILGERRRSMG KRSVFQENKLLASVESAARGYLGTNTQVAAEWIIPKSSRTSKLALFIGVNNSGVASQG SLILTRLGENTRNRLSQLMLYLRAHLPRSSVPSCCIPVQLQYEPPKSCLLGELARLRE AASKTTLVTLRSWENAKEGHYCRTPSPVESKLTSVLASVLRLESDDIDADDDFVSLGC DSLVAMQFAARCNRDGLMVTISDIFEAKSVTRLALKLGNTPPTFPSVSNDFSLVRSVY KDLQRDAKGIEKVSKIARIVDAFPCTSPHLGLLPHDTSLQAHTIWEVSASGDVIDPFR LAEAWNKLADRHAALRTVLLQSRFNPPRMFQIVLASSPVEVEVVTDVEDQNIWPVVRK PFLSSSDRDELPCKFTIYRTSTGRILCKLEGRHAFLDATSVLIILQELASAYNGIVSS SEVSYLSWVSYLRQWAKDSSHLHFWKSYLAGIKPCILTTHPQESKKNGINSSQPHQKV LQSHSATLMQTDVLRRHCEKFRFSITNFFQVAWGLVLGKYTGLGDVCFGTLVSGRDAP VPHINDIVGPLFNVLVCRLSMPEHKSCHWLLKRNEAAIRDRLLHQYCSLKDVTRASWD SDTPLFNTSLSVEQPLSSEAGICFKELETHEETEV UREG_07666 MSASHSQACSSSFTALSPDHEFFRFTRGRFARNEANEMSQRYIY FDVEELARIGAAAVRTVCETPVSKVYSWNSKPNPVGAEYIVMEKVPGVPLDSVWSSMK FEDRVAVVKSIARHQEAWMSVSFGHFGGLYFAQDLDDLRHESMSYEKGGVTKLDETFA SGHPQGERILITAGVWLNLTVDHYLTAIGRREIACVKTLPILPKSSVPLYGPGTYRPT KAKKLRALESYLTMIKNLRPVDNSITSCLWHGDIHVENIFVDSKSPRGMVGIIDWQST ELAPLFHHARQPPILDYDGPHLHGLERPSLPEDLPGLDTEAQREAIALYFKQVLCALY RTFIHKTNPRLYCALEVRQSPTFDLLLLARNLLIDGEATYLVRIVELESTWADLLITS NDKACQYPFLFSDEEKAEIERDVNGSLLGMQALQSAKESLRDLFPEQGIVRPEQYEEA KDALRQGKQFIIREFARDQYEEKVWEEESPFDN UREG_07667 MTAPVPQDAEYIADVSNFSHDNEDDDPLTPQLLDSRLRRRKEVR AKAKTVFLDRLLRDFDLLIYCQLSSLYYMDCSIINFAIRAVVQFAFFTPKSGFEPPRD QPFIGVIATTNILCILLHCLSSSPSAGEVSRGYLHGGLFIDFIGQKGPIPKLRLITLD VLVTILQIVMMGAILEKWKMKSLLPGESVGSTSPSAETSAPQDLDFEERGVRRAEEHA PSPDGIELQHLQPLDSQNDSFANEENDERDNERGGLLSGSAAESRTHLDRDIHPRDEL LTGDITIMELRVFQTLRDQWNQNPPGNPPESTNSTTEISPHIYLRRRFGVHFGTHL UREG_07668 MNSSRLFSLATRHALRSSMRSYSSAPKFSPPRGWVPTPFVTETL ERIICLNGEVDESVSASIVAQLLFLEADNPEKQISLYINSPGGSVTAGLAIYDTMTYI QSPVSTICIGQAASMGSLLLCGGEPGKRFCLPHSSIMIHQPSGGYFGQATDIAIHAKE ILRVRKQLNEIYKRHLTKEISLDEIEKLMERDYFMGASEALGMGIVDGIMDRRTKSKE EESR UREG_07669 MTKRCLLAYSAFQWPVLRPTCFPYPADQCSSRRKRPQSGATQGI RNFSSHGFREVEEKTDTEANFTSTLPPQKLSSSLSPSTGISLEKGAASDTPKQPTTAS NTSSPNHTPDTHEHEPLPPRETTVVQSPVLLRRAARAKLHEKLPRKTDFDPLRRNPWA QILASPLRMCSATNARLPAKLLGDFSLVEHPQTGHPWMMPVDLLKDEIWQMRMQAEEN NDADGGCKEGKTQNGQSDGVQTAATPVRRRRLPPLPKIRLVNNSAVLSRIVDMQNIQA TRYLLPYRWKHPHGRLTKKESENIVWRKDLPQYVLTHMRKNVVRGIKHCCVAGKDHRW NAMDLDPKSTVVDGLKSALQSFGDLANIKCGAVLLLKRFPETENGGSPSIPERVRIPP LGTEIPVFFLPKLLTVENLQELREHHEFFTRDALLFRPAGDKTIYTIQGLWKLQSYMT NGLYEQTSQW UREG_07670 MAPKYLTGDSQAINDFLDRFDVFLFDCDGVLWSGDIVFDGTVET LEMLRNKGKQVVFVTNNSTKSRLDYKKKLDKLGIPATREEVFSSSFSAAVYISRILNL PPNKRKVFVLGETGIEQELQAENIPFIGATDPAYRRDVSAEDYNKIAAGDPSLLDPEV GAVLVGLDFHINYLKISLAYHYIRRGALFLATNIDSTLPNAGTLFPGAGTISAPLIRM LGGTEPISLGKPSPEMMVAVEGKFKFNRQRACMVGDRLDTDIRFGIEGGLGGTLGVLT GVSSKDDFEAATLPPMAYVDKLGDLLGGA UREG_07671 MKRKRRPVNRGDGRHRAPPVRFADDRFLLLSVFGFDLLAFASRK ARPLGRTGTGTRNNVSPRDWFVSTLSRFGTLSTNRFLALPLAERDGETFHAVASSRKR FVDAGFQEIKERDTWSSICKPGGKYYLTRNGSTIIAFAIGRKWKPGNSISMVGAHTDS PCLRVKPVSKKRAEGFIQVGVEAYGGGLWHTWFDRDLGIAGRVMARNKDGTIAAKLLH IDRPILRIPTLAIHFERQETFSFNKETQLFPIAGLVEAELARVGGSQDTSAQPKDGDK QEDAPAGSLKVITERHHPYLVELMASELSIKPEDIVDFEMLLYDTQKACFGGLLDEFI FSARLDNLNMSYCATMGLIESLSASSALDNETSIRLVALFDHEEIGSRTAQGADSNAL PAILRRLAVLPASGKEDTSTAYEQSLSTSFLVSADMSHSVNPNYAFKYEPDHKPEMNK GVVIKINANARYATNSPGIVLVQEAARLAKSDGSTTASAGVPLQLFVVRNDSLCGSTI GPMLSAALGTRTVDLGNPQLSMHSIRETGGTKDVLHAVRLFKSFFENFSELSQKILVD UREG_07672 MAERRSDGNRVKPSHARAKSDRATMPGNLVSPPSSNTPPTVRTK SHSLHTGPEPAKFRSRLGSEPINAGALAKALKDFEDVGRQRERTPGTSPCRKRQRVYG DRFIPNREGQDLQASYSLLHEDGCPSTPSKTKRRPPHGEIHFQRSKGSIRILANYLSD EFDVAEEANRTYSRILRNEFFGDSIPQSDLNTLSPEATTTYTTSHDPTRSHTPPSYKA ASSLPPASITPSTPSKNLFSYSSPRKASENPTPSRTPHSGSNFNARSDIYSLSPIRFD SQRMLQGLRKQPRYINKVPFKVLDAPELADDFYLNLVDWGSTNILGVGLGAAVYMWDS VNGNVTKLCELKDDTVTSVSWIQRGTHLAIGTGRGFVQIWDAENCRRLRTMTGHTLRV GALAWNDHILTSGSRDRIIYHRDVRSPDQYLRRLTGHKQEICGLKWNTEDGQLASGGN DNKLLVWDKLSETPLYRFSDHNAAVKAIAWSPHQHSLLASGGGTADRTIKFWNTATGS LIKEVDTGSQVCNLAWSKNSDEIVSTHGYSQNQIVVWKYPRMEQIASLTGHTFRVLYL AMSPDGQTVVTGAGDETLRFWKIFNKKGLKDQNRESKLSGLTTIR UREG_07673 MLLRTLPRLSAPRSHARLLQGRASSIFRRHASSKQAALKAAKPP SSTPNPPALRLKPAAPPPPRSDTRFRKFNFDLSQSSGPVELFKAPSHRSYIFSAYSTA FFCCLFSGYNFWAISIDPIIKPDRWQEYTFGGICVVMSAMGAVFFRRGTNLIASITAA RSEGQTRLSIKVRRIIPFLKQREIIATPEQVSFSRKLVVPNPKMTSEEMAATHRRWEA ERVVAETPFFKAPLKKVNLGFWRFFRNTRRLFTQEYFVYVTIAGQKAEFRMDGMGTFS PQMFELEKSARGGV UREG_07674 MVDIIVGETAEFWHLHESLLCEASLFFKAAIHSSFREGKEKKVG LPEEKNHIFALFVQWIYSGTFAVHGMDLLIETYLLGDRLLATDFSKLVITKIFDASKW QTFTAVQAVYVGENTTRSCPLRRLVVDTIAYSLLSGTGFSQDGWHTMKGLHDELFQAL NNFTAWNAPGKVFNPKPLCSYLD UREG_07675 MNSDSDNVPPILTQLIPAGHCACKSSTTFNCATCIESIPATTSG TPSLAPSPSTPAWAFQYGRDDRNLALNKTECQTSFPVRAAQEDHRRKIVATLSSIHRA LRVDAPNIEFAFSIEDKVDDVSGAGHPLWVLARKASEESVWLMPDFGFWAWGNPASNI GPYDQVVQHIERFDSEETLPWTSKNPKLIWRGKLSFAPKLRRGLLDAARSKPWGDVKE LIWGKKHHFVSMEDHCRYMFIAHVEGRSFSSSLKYRQACRSVVVAHKLQYIQHFHYLL QSGGPHQNFVEVERDFSDLSEKMEELLADPEKARRIAENSVKIFRQRYLTAAAEGCYW RELWDGWASVSRGNSASNDIPDERGLRYETFILLPKHREGYGHKDCKRTRLLSAFAAK TDAGCLTSEYITRRTNSPNGFKGNPLQSRHRNASSRTKRTACRRSRPSAFAGATVKHS ATPRRPLPNSKNKAVSRKQMCTLGRKPFIQTGYSF UREG_07676 MELDFNPNLRLHSTFYDVPGYESNFHVLTSPSPPPFLRRDTSPA MSSSFEPEQTLQSEAYYGRLPVVLVHGMVVASSYMHDLGRHLAPWFRVFIPDLPGFGR STNAMPKTGENSKVSIVQLAQGLHDWMDAAGIQKAHLISNSLGCQLLAEFTRRWPERV GRLVLQGPTMDGSHQPIRKTLRALVTNSRNEPLSMSRIMIRDYWRAGWRRALALFREA ADYRISDVLPNLKNPTLLLSGELDPVAPCSWVAELAETVPNAVHYVLTKAAHTANYSA TEKMSRIVCRYLLIQDDDEIRRAGGEILEQVTRINHTREAAAKQKSRLLCLQSASVLL SAALFATRKEFVGSWPFLVGLLSIESTILYKLYRIRPSLSLSRSDHLDPVYVKLPGIA DFDSASSMLRAIGRYLHFRDFPQLGVPTPLAGAMPLVNKLPPSLRNTVYSTVGANEAV AEHDVASTFDAESVTHSVVAHFPAHRKYPAVAIGSTNGALTHLYAAMGIPWLPQTLLM PVKRSRDASIHQGLLDMTAEMEWGRSAGQTLLEGNPNMIEVYHMADPNQDQLMIQRMA YFRLKFIKMTQAYRRFLLDALEPNNGTIVLVRCGLKWPSSTKVAERQYFQSGALGGLS AEGFVRSPTVVNEFVESQKSTSTKLRETVLGMERRTDWNAPPPSSEEEISEAEWGYAE ALTDDIVAFANEHGFQIKYLDYDHPEDASPLVADMYRQWRTSAQLHRGHPTADSILVE NFVVLEPWLAMRYNLIPFWTVFPVKPSLERLQRYLNRCSEAGRGFKDGFLFLFCSGVH SIELMGVEAWKEVLRRHFASSSASSKDMDAVVEKKPSPLLLGIDKNKFPKDFGFPALY QGQLAEAVGEEGQYVMPPSLALVVLERFMMRNAENYGMCYKADND UREG_07677 MSQILTGRQAEELHKSLIAYLSSINASQSVTTLREELQIGDNFT DAACKKYEGFLEKKWISVVRLQKRILDLESKIASLQAELDSAPTITSRANRDPKSWLP GTSPTHTLGSHRGAITCVAFHPVFSSLASGSEDYSIKIWDWELGELERTLKGHTRTVT GLDFGGQKGRTLLASCSNDLTIKLWDPSNDYANIRTLFGHDHSVSSVRFLIPGGNILI SASRDTTLRMWDTSTGFCVKTIHTQGDWVRDVFPSFDGKWLVSGGRDQAATIWEVSSG EARASLLGHENYIECCTFAPPSSYGYLATLAGLKKPPSTNSSAEFVATGARDKTVKLW DSRGSLIKTLIGHNNWVRGLVFHPGGKYLFSVGDDKTIRCWDLSQEGKLVKTLEGAHE HFVSCIQWAPDPANLVQSSVAERPEGNKGTEHGTTGFRCVIATGSADSCVRVFM UREG_07678 MAGHTSAARLSRCISPRYFGAGSSVRCFQSSAATLAHQTQPLYP SVAQLLHENNIPESEIPKIPATGPQGRLLKGDILSYIGAIPSDYPSTLSSRLSKLAHL DLSNVKPAAPPAKPRPEQQKVAEAAPEPTPVEDLETTLALPISLSRVLSVQQRVRSAT GVTIPLEKFVVRAIDVANDELPATQGYTRKEVADQLFDELVGVHTYKPLPTSKGAYIP DIISAAELDSIPKQSSTEATDIIDILSGKASVETNSRRPRTTSPAESEDEAPMFSITV PESERLRGQAFLDRMQAILEDEPERLMTV UREG_07679 MSESMLLPKSRSEPDLLGEHAIMETADSVEEMLKDHNDMRTPSY PNELARTASYSSGSSNSYQEDWEFPPLDKLTVLDILGNLALPQKLEKWQNTLTAQKAK VKKQQERFKSTSLQAKERVVGEWRKRMPTSEEQLDKYRRRMKDSVERLGARWNDTATV TAREKLSFIAGVLNIFISGYLIGAYPTYFHYWFAGQLLYFMPIRFYTYHRKGYHYFLA DLCYFVNLLTVLSLWVFPRSKRLFLSTYCLAYGNNAVAIAMWRNSMVFHSLDKVTSLF IHIMPPVTLHCIVHLTPPDVLLKRFPAAYNIKFSSPGSPGHYSLGAMMLWASIPYAVW QLSYHFLITVRRREKIAAGRPTSFTWLRRSYAKTFIGKLVLSLPVPLQEPAFMLIQYS YALLTLIPCPLWFWYQWASGAFLFVVFSWSIYNGATYYIDVFGKRFQNELEQLRKDVT KWQPSADGALTPDANGSVTKSLDDLVVGTSDKANDQAADMLPRLNGQLAAASDNTGVS TGIVKS UREG_07680 MGKLIRLELFNFKSYKGHHTLLLGDAYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSTHLRDLVYRGRVLRHSKINNDGSASKDPANAEDDGTAEEPAEV NDPKTAWVMAVYEDDAGEEQHWKRLITSQGVSEYRINNRIVTAQQYNEALEAENILIR ARNFLVFQGDVESIASQSPKDLTRLIEQISGSLEHKAEYERLKQEQEEASENLNYQLN RRRGINSEIKQYQEQKREADNYARKAEERDEAIVTHILWKLFHFQRLIKESSDEIQRH HDELKEFKRGVEKYEQKLEEAKKDHAQVGREVSKVEKSIKMKDREVEETTNSLVPVDE KIEITTKKIARYTSRIAEIAKESESQSQTVKQLEKDLKVVGKAQSQWEKEFQQAASIQ GVKLNDADLQEYNKLKEEVNKRSSATQLKLDNMKRQRKTDAETVNSLKSNFESSEWQV KNLQSDMNNILERKSSILETIELTSSEIDQRKKELNSLTSERLRVAQMRTELEEKLQV TLKKLLEADDGRQQSEKELRTKEMISTLKRIFPGVKGRISELCQPKQKKYAEAVSTVL GRHFNAIVVDNEKTAKECIQHLRDQRAGQATFIPLETIQVKALNSNLKGMHRAMRPAI ETVDYDNSVSRAIIYACGNAVVCDDLATAKYLCYERGIEAKAVTLDGTVIHKGGLMTG GRGPSQKHSKRWEDTEVANLHKLKDKLMNDLSNLPKSHRKGAEEETLQGQLAGLEQRL AYGRDELKALERNLESKANELDHAKRQVESVQPKYREKLNSLEELNSSIQELQESVSG VEDEVYRKFCRRLGYKNIREYELQQGTLQQEAAEKKLEFTTQRSKIENQLSFEKQRLQ GTEDRIKGLRTQENRDQALIAELEAERETIQNRRDQLNAEIDLLQEQLEEQRTRFSES AERLNDQRREAAKRNKNVEGTLKAINVLESDVQRHSSDRYALLRRCKLEDIDIPLKED STSLDQLPIDDLVQTDPDAMEVDEDVNLGTTKAPAVHDYGLEVDFTSLGDTLKEESDD KLDDELQERIRSLNSELDKMAPNMRAMERLEGTENKLRSIEKDFEEARKRARRAKDDF EDVMQKRSELFNHAFSHISEQIEPIYRDLTKTESYPMGGKAYLDIEDSEEPYLDGIKY HAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDNTNVARIA NYIRDHAAPGMQFIVISLKTGLFQNSEALVGIYRDQSANSSKALTLDVSDLFRLDIQ UREG_07681 MPLTFKNRRKKGPPLLPAASLEGLEKFVHPHQQWPADQNDSWKN KPLPELPRRASSEYSRSVRNSDYNFGYGVYGYLSSGLPSPRGHNSPHRSYRDSHHESR ARRQTPTANLREKYRRTSGHHGRWVIRQSSSPALSHDHPWAEGGSPPQAEWSSPPVPN ASVHGDTVIFEHANASLPEIPTLVPPPSPKTVDSIDASLIPRPLGVDQIQDEDGSEAG KAKEIPSILLVENSDPDICLCHSPHPRHDRVMSVSRLANVARIDLAQQITSPSQESLA IVSPCEAEKLEATVPYSPIDEKGIETVKQVEEAEEIHNEDEGNDEIGKSTENDNSNCT KLYSISTTASTRKLIVEDEEPQDSGISDMEPPRAPYPSPRPSRSSSLPPPNLHPRHPR RQKQIAIPPTDYQKYGPQAWTKDKAKSKPNSKLKPKPKPKEKGKETNKSQDPGDEKGK EKEKNEQKRGISCIPRYFRKLLPKAFSSESAPCPPSPLPPPPPPRPRPESPAFHRTFT APSAPSFQRQHADSYPHRTQTYPLQAP UREG_07682 MAPLSPASQVSKKPHTPVQRADRAFESDPSFFFACADPGQAALP GGKADSLDETPFETARREAHEEIGLPNINQSFPPPFRVEHLCELPANLARTELVVRPC VALLHSYDEATGEDADPEEAFMPQLDAKEVAAVFTGPFHNFLKPCDEPRGGEDERLPG SPTDWYQGTWTNWNTTWWRMHHFFVPITNQNVTKPRRKSQEQDAAIAELDEEEISIGL ERYRVFGMTARILVDAARVAYGEDPEFEHNSHFGDEDMIERLKQLGRFSSVRDPEDLL TQEMFEKASKLS UREG_07683 MRLTAVARNAVPTQRAALHSLPRLSSSRFAYSAFNTRFANGRAP SRLPALAILIPQQRSYATGPSTSHGPGGPPNFPPPGFNAQQAQKPLPKESTGSSPTTS STSVPDSEATGAVKQTSSPKATESAKGVVQTKEKTLEAEAAAKQVEEPKETKKLTLGQ KIKKEIQHYWDGTKLLATEVKISTRLAVKMAAGYELSRRENRQLQRTVKDLGRLVPFS VFVIIPFAELLIPVVIKFFPNFLPSTYEGQKSKDAKAASLRVTRKEVSSFLRDTLKET GLPVSPTNAKKEEFAEFFRKVRATGEDPSAEEVITVCKIFKDDLTLDNLSRPQLVGMC KYMNLNTFGTDAMLRYNIRHRMRQIKRDDRAISFEGVDSLLVPELQTACASRGLRTHG LSPARLREDLSMWLDLRLKQGVPSTLLVLSNAYMYTQQSQEYEISSQIDALKAVLSSI PEELFHEIELEVHNAEGAATNKQRLEVIKEQEELIEEENEQNTETQEQGMAAPNDNQD IDEKEDKVVAASESTNSAQTSEASEAEKDLSNQAKEKKAE UREG_07684 MGGEAPRGAPSNYPPFAEKPVGQKISGIYKDRLRQFTATGQYQG HNLVAKYFEATNDDEQHVKLSVYSVPNLERPAFKHVVSKEFKPTKRGEVFGPSWSTHW FKIRLTIPEDMRKKERLEFHWDANNEGMVWSEDGNPLQGLTGGGERVEWIIPDGFRDG KEHVFYIEMACNGMFGNANGDIIQPPNPNRYFRLNTARITAINLEARALYYDFWMIGD AAREFPGDSWQSHEALRIGNAMMDAFIDGNGSQEAIKAARKIAEEYIGTRVNSPDVYK TEGKSLVFGIGHCHIDSCWLWPFAETKRKVARSWSTQCDLMERYPEFRFCCSQAQQFK WLEEGYPYAFDRVKGWVKKGHFQPIGGSWVEHDTNLPSGESLVRQFLYGQRYFESRFG QRCSTFWLPDTFGYSSQLPQLCRLAGMSRFFTQKLSWNNINNFPHTTFNWVSPDGSQV LCHMTPAETYTASAHFGDVKRSISQHKSMDQDNTSLLVFGKGDGGGGPTFEHIEKLRR LRGLSDQVGLLPRVTMGGSVDDFYSQLEAKAASGTEFANNKRNNRQAEFVLRDLELLA TLATLKDSDYKYPKKALDDMWESVLLCEFHNCLLGSSIEMCYDDSDKIYAEVFATAKN PQSRSFEDSRFLARKEFRAEAYSSQFITLESIGNFRGGTGVVSAEPLSTSQKTSLSVT EIEEGVFQLKSDALSLRVSNGVITSLVDVKADREIIPRGKKANQFVIFDDKPLYWQAW DVEVYHLDSRKELQSGTTKIAEKGPHRVSVVTETKISDASWIKTTISLTSESGDVPAY VEMNCEVEWRENMKFLKVEFPVDITNTEASYETQYAVTRRPTHYNTSWDMAKFEVCCH KWADLSESDYGVSILNDSKYGFATCGNLMRLSLLRAPKAPDANADIGRHHIRYAIMPH TGPLDYRTVRAGYNFNNPLVLQAQSGIEADDLFKAIKLTGAPNLILDTIKRGEDDEDV SRGELEQRSSRSIILRIYDSMGGKSRGTIEVRLPIRKVYKCNLLEDDLESLKVEEGKN VSKIDIELRPFEVATYRLQL UREG_07685 MSRIPDDEDDPSSTVPARSSYRLAMEFYILEKLTQMGCTCTPKL LDSFFSVQTEDLPVPGGFFAIIVMERLPGRNLANFGDLPMAERDQVRIAFGKALREFF ALGFRHEDPDRRNVMWDPKNKKWYVCVPLALLGSVARSLNHSCVAHLFGSYIIDFEYA YHVKDADSAKFTPMVHYPLWGLAGPMINTSLGGLDPMVPCEIPTIRDPDDETLERMAA EAAGKPLKPQVILR UREG_07686 MSRAPPSTHCDFELEAIANFEKAHWKNKIVDFALPDGNDISEHH LLAGDHFLCGEEISISAKYVAHALNPMSAVGKEQGLNGIFGDWKATNRQATTFPSTQN KISAEEVDAAKGKGKGKAKAKPEEPTDDNDTDGRKRTRLIPDYAYMEEKTGIPWFIGE IKTPWKHAFAPPFNNLKLALQTGATEDEMSMRHILGQVGNYMIELELKWGFLTNYTHT LFLKRDVQNNQEVMYCSPPIPFDRTPSPSGCTVRQGLFLLQSKVEAGGEKPWFAKKLT ETSIIKKEVKESLQSVKSRAVDSLHKLPLRSVDFVEPESFRSTRSKTKAAAATVDKGK AKKR UREG_07687 MEPGTGDKSVAVHDTELPRKEGNDQSSLLLAPSDKEAQSPLEES SLANYKFKRYIFRAVLNVLGPVIVTLFYFLILRYYLWEPAENEIIPSRPVDAKGVFFA WLILGIFVLDWAKAGVAGFEATALMKPEYAPADGHKLMWHIDRGWGSLSTWWQVLLLT FGYVGKKIRKRPAQWEGPGLLWFYLAFSSILFYAAVPLSGLSMDPSEAFVLGSRPITI VGTNQSTFDSQPSNAIAELASYSWRQGRSTTPEQPTILYAPDGTQNVSSTFYEDVIRS DYQSRLLNSSSPLNHTITFFSGPEVGERAHGRAWGMLTNISCMPVHPYRDLELLKVTA INNWTSTPWTITSQDYANGTYLPYISSGSQPVHFAHGEGLGVKYQYVMASDLDISYGI PAYTNETNMPVSGAVEMVLWQAYDTETGYIPDQTFKNLSSHPLVQSSFSKMDNRTYLG YGVRCYATSTTGQATISPITNTFKDFKQEAAELVAARYKLTSIFSYSGVVAMPVLVFA AFTTVNVGYQGPPKCDTVSIICNGWVGANLATNGVPQFTPIQAAPPDSGLEYIGGYMQ YPTISPERMNLAMYKLFGEVSIAAMASGPGNWTSTPNATSDLGLFGLEPANDIVQGRV PYHVVLVLLLLWAVVTIVPQLLLPGFFLERRWGETLDGFTMFRFGAEWKETVHKLRST ELDDPGTMALSEVPGMIGDMNAGEGGTGFVGLSREKASLRKVYSYAR UREG_07688 MGTSGLSATSGLGSSFGGIGGGTGGGPTTRRKAQVSDSDRVFSR SSASFMRDSPAPSSTHTTPSHAPTPTYSGPGGKADKDGKDVSAPNSVKGSAASKNKKK SNGAAREREDDEEGEVKPPVKRLKITYGRGD UREG_07689 MDHGHMGHGDMDMGKDQCSMNMLFTWSTKNLCLVFRQWRITGPV SLLFSLVAVILLTAGYEAVRELTRRYEGACARGAKAGIPGPATGMQNVFFFSASFLPQ YSPVP UREG_07690 MNGMHSLASGADSALDLPEAIPTHPLGVKPSGNGLTASWTLRSS IGYFGILPDELISLLLESLDAKALRRLGATCKALYAFTRSEELWKGIFIETSPPDFTW RGTWYSTYLNLPESKVASPDCSHLFSDVLHRPFYCAHISLTPYTENIPSRNQITRLSD LTPTEFEESWSYRPFILTEPVRNWPVYKTWSIETLVKQYSNALFRAEAVDWPLRTYVD YMNNNSDESPLYLFDRSFVEKMGLSTHGQNASFQPPSCFGEDLFGVLGAQRPDKEWLI IGPERSGSTFHKDPNATSAWNAVISGSKYWIMFPSSSSLPPPPGVYVSADQSEVTSPL SIAEWLLNFHAAARKIQGCVEAICSEGEVLHVPSGWWHLVVNLSPCIAITQNFVPKKH LGAALEFLKYKNDQVSGFRKDVEDPYGMFVERMREAYPELLEVALTEMEGKKKRKWDE IVHGNGEDAEDEATGGFSFGFGDEGSDVEIP UREG_07691 MASNDKGLEDIPDTQIESNYDEITDSFDAMNLRSELLRGVYAYG FERPSAIQQRAIMPVIKGSDVIAQAQSGTGKTATFSISALQKVDTNLKACQALILAPT RELAQQIQKVVVAIGDFMSIECHACIGGTSVRDDMKALSDGPQVVVGTPGRVHDMIQR RVLKTDHMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLDVTTK FMRDPVRILVKKAELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRK VDWLTDKLIARDFTVSAMHGDMEQAQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVS LVINYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPM NVADLI UREG_07692 MATPTPDSTAISPISLLWAHQLRREHKALVGQITALETATDKAK TALRETNEKLAAANASAVGLERVVGDVRSEVAALKGEITAVRDTVDEFVKKEKKVNEE RRRWESEMETERLAMRESRSLVDKLVEEVRVLREEVEELRRQAAKPKRSPETPSRSRY STASVPPAAQPSPRQAKRARRTARVSSSIQGTPSSFHFRRVGRPPSLELIPESLSVRH SESSVAAVDVDLTQLSTMSPPASEYEPCPVAAEQEYLPEADRELDDAILALKQSDLSL SEYLESGELILARFARKRDEFRVVQALWEGLSDAGERKIIEEKLDKDGWSWSVVQAAV RGLIKRKEEETEKKRDQGRARALDDISKQGANETRKKHKRRRIIPIVWPTKEEEDEWR TQMEKWRLQA UREG_07693 MASIQRQCRKVICIGRNYADHITELNNTRPKRPFFFLKPPSSIA PPENCHVLRPKGVNLHYEVELGLVMGRELRNSDPNDEQAAMAAIQGYVVAIDMTARNV QDSAKKAGLPWTIAKGFDTFCPISNLIPKHLIKDPHNVDLHLSVDNEMRQSDNSGLML YRIPRILAEISQVMTIEAGDLVLTGTPKGVGEVKTGQIMRAWVTQDGKELQNGRVEAE VKDSMGCFEFGDF UREG_07694 MAANVDAKLLKQTKFPPEFNTKVDMNKVNIEVMKKWIAGKISDI LGNEDDVVIELCFNLLEGSRFPNIKHLQIQLTGFLDKDTPKFCKDLWSLCISAQSNPQ GVPKELLEAKKLELMQEKVAIISAGLFEEYLY UREG_07695 MSKTRQLLRREITYSTAKKEEVNILHQLKYPSQQADFINLVQSR SSWIKAVVSHHLNLSSDDACSVSNVDDWLGGTYNLCVPVTVAGWKGKKQAGDRVILRL PLPYRDGEAFRPGNGDEKIRTEAGTYVWLQGQCPEIPIPKLYGFGLSTGRMFTRIDNL SPLSRCVHFVRCCFLSLLGRPVPSEYVPHQGYSQLAGNTNIGYMLVEYIEESAGKMLS ESWAEKKNNSTLRSNLYRSLSRLFISLAKIPLPAIGSFAIDDDGFVHLSNRPLFLGIQ ELENENIPIDIPRDRTYSCVESFVVDVLSCHNSRLEHQPNAINDIMDYASQAGALTAM QAIFPLFFRRDLRRGPFVFTLTDIHQSNIFVDEDWNITSLVDLEWGCSLPVEMLQPPH WFVNQYVDKIENEDFDNARKEFMVAFTAEEQGRNLINIPKPLKLSSIMDESWEMGTFW YQLGMFSPTGIFRLFDHKLKPRFVKKPSDHEAFWQIMPWYWSQDFVNIMVRKVKDREN YDAQLQEAFEDTHGR UREG_07696 MTLDTSPTPLPAGQRRTAYNPQLTSIHDLHRRTVSRHMVSHGSP NGVPVESGTRSEDMARPRAFSAGDSSDDDDFPEPIKFSAAAKALLEEGVSGLDTSPRR SPRGVIEGEGYQTDQVRRQQGKHVRIASPGQRMSASPSLRIVRLASESRRSPSSLGKE SSFMSNRGEGGYDGSMGTGNDFVTPAPRTRSVRIATGSRSTTRSTSASILSANGGSSS DASRIDERSLLEEGVKTGLDERSCVRSGMGTVPRVRGEVDTGVHGSLRVKRVGKLPGS FLNGPARRGVPRRQSEEETHPEYQEESYLNPEPHARYGEGQVPISRLGDSMLRGDAPF ERRRESPRLSDHNMVGSASAMTPRSIPIRERSHQKENGSSALKNGSPLPLNHLKSSSG SRHSGSPKSFTDSQPEYRAPRPVELPAKNDQENGAPSTFKTSRPHGFGSLNDKVSVLY EGNKSSNSKPPPETVSPRKPLGKMSNNTPRRPAPLPPPKMSVLETATAVAGAATSKKK RAQVSVNRKTYTRMDCIGRGGSSRVYRVMAENCKIFALKRVNLEDVDPSALIGYKGEI DLLKKLRNVERVVQLYDWEINEEKRALSVLMEMGESDLHEILKFKLNAEDAAFDPVLT RFYWKEMLECVQAVHDFDIVHSDLKPANFLLVRGKLKLIDFGIANTIQDHTVNVHREQ QTGTPNYMAPEALIDCNAMSGLPATAGKMMKLGKPSDVWSLGCILYLMVYGKPPFAHI TKLLERIMSIPNPKVPINYPPVGVGNIPVPPSILRTLKGCLQRDQTLRPTIKQLLGRN DPFLYPEAHLQGTVPISQEMLGRILSNVVNHCRARGTPTDEELAGWPSGFFAKIQAAM QADG UREG_07697 MLSRLPVRAALELRALSTALPSSTFAARRVYLHHDSSTIQFRES HPEIPPRQSTPQAPSPASSSTTTTRTTSSAPLNDFPPVPETTLPTPKPAIPPTFDSPL TLNNSILSLLPQLTAQAPHYITAHIHARPYLLTAGDTLRLPFLMPDVQSGDIIRLNRA SVIGSRDFTLKGAPYIDERMFECRVRVMGVEAEPMRIKEKTKRRQRHVQRIRSKHKYT IMKVMDVKVKTVEDLVAEGAQIEK UREG_07698 MRKRIKAQEERDVKSAAPNEPSTTPLPQYLLDRSQATNAKALSS AIKDKRAEKAAKFSVPLPKVKGISEEEMFKVVKTGKKTAKKSWKRMITKPTFVGSDFT RRPVKYERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQSPMYTQLGVLTKGTI IEVNVSELGLVTAGGKVVWGKWAQITNNCENDGCVNAVLLV UREG_07699 MAAAISIKQQASSSSFHSQPAASPSSPQQPPGAPLNRGRSGSSV SAPSPSLANKNVSLTSEKNGPGSATCDACYRRKSRCAMNESINKCYSCDFHRQDCTFT LSSQLGKRKLEESSLENESIKRHAADNSVSTPGEKSERQTHSSSHTEYHETPSLTSQY IGMTTELEPLLLDYLPLDQNYEGKLAGLRIRKFSDSGTYMRMIDASCGGPELQSISVE AIENLVTPFGPSLIEKFFQNLHPTFPVLLEDKFRSLYQTRNVPPVLLASIYLVSLKWL DPGPGIQTLRKPDAARLESTALKLLNDSFARPHMSTIQAGLLLSQKSTLFSPRLMSQL VTAAFDLGMHQDCSTWKIENWEKGLRKRLAWALYVQDKWCALTHGQPSHIFAQNWTVQ ELSPDDFEGCYSKGPTAHEPPAHGAGPLLFSRLIVLTTILSDILDTFYTLRATQDFSH AGDQSTRIILERAKPIQIRLKNWFAHLPPELKMDSSLNPVDTVCTTGTSIAANGGLHL AYFATEITLHRCIIRSINPASTDDYLTHICRSAAKTRLISAMDFVNRLRPNHLRAFWP AAARTNFALIVAFGMLLRITAQTSEEEEFYRYRLGEYRWTLEVSRRHAAFLGWVVECL DVMGSVVRGVGLKKPPLDEYMAKSIAPAIQRTSAVRWVGLREPDAGDDEGCSLSGNSS VTRRGYNQSLVSLSSSTSPAMVSQLASPATSVSGGSRRGSRSGGGHGGERSVMNVADL T UREG_07700 MLVLGARLLAALSCISILQTYAYDITGVQTGVKPSGERPARQDL IDFQNSGPAFDLYVQALQRFQVSQTDMLGFFEIAGIHGLPYKAWDGMQISKYALEIAQ QYPASTRSQYIAAAENLRIPYWDWASNPVLPSSLINTQIQITTPQGRQSITNPLYSYT INPTTGKGFPSNDPLSRYRTTVRCPDSRGQTNHNLVQSNLRNRGANIRQSTYALFTSQ PDYTGLSTRVASSRNLESIHDGIHVAVGGNGHMSAVPWSAFDPVFWLHHANVDRVLAL WQAIYPDSWVGRLASTVNTYVTPRGTIETGSTPLKPFHSQGSAFWDSNTSRATRSFGY TYPEIQDWNVTKEELRANVIRKVNELYNSRAANQKRADGDRKVLDLATISKSTFKIAD VLKDLARMTTEDFEKLGVNNLEKQWFANIKVDVLALPDPFHIHFFLGDPPSDASTWSS ASNLVATFSTFRPFVREPNSMAHISLNELPLSHAIAKAQADGIIKDITQENVVPLLKT HLHWRVQDFNGKEIDVEKLVNSSAGDEPGLAVEVASRDVTPIVGDVPELPKIGDMEIF EEITKGKPGGAIGGKLRSRHFFGGRK UREG_07701 MDVKLACKPHLVGQVFDLHRDTIMVPARGAAISAHMVIGKLSAV HGLTHHPWPSFKIQMRYGVKMNK UREG_07702 MERACAVLLFVLRPVVAALRAKGGSIATRYAIERRRSRHRRHGR LRAVLRMVVSLQNVLIGLWIMTLWWGERKVFRDAVEECAWSEWEKWPKDAVPHHTLLI ADPQLVDAHTYPGRPWPLSSLTVYMTDLYLFRTHALLQKKLRPDSTFFLGDLFDGGRE WATETSSSPDERYKSYGNDVWMKEYGRFSRIYFDTFKLGGTASPASPRGRKIIASLPG NHDLGFGNGIQLPVLQRFRAYFGEGNRVDIVGNHTFASVDSVSLSAMDQAGPAAASSS GSDPHSDAFGSAAGGSGGGHLYSEEVWKPTETFLNDFRTLRSKAIREELLSMNGEPEK YLSPHTVVDATVPTKPTVLPATSDADFPTVVLTHVPLFREAGTPCGPLREHWPPSGTD PPPEKDERNAIRIGSGYQYQNVLTPTISNDIMNKTGPVVQIYSGDDHDYCEITHREFS DAPKEITVKSTSFAMSVRKPGVQLASLWNPIDPQTGRALKLATSPTIQNHLCLLPDQL SVFIYYAYVIIFTLLALSIHAVALTFRTPDVFESALPVLPLTHRFVDHVPSSSTSSTS TSASSLANGENRFGNRCGNSINSRTASPSNDGTRPAKYVHFGGVAGLDEVPRRSRQDD WDGSYTPKEKPWPGIKNAIGKEMTRGGFRWTFFVFRTHFLPPFGWVAGISLAWYFWLL WTW UREG_07703 MGLSKSQRIIILLAIDSVFFLIELTVGYAVHSLALVADSFHMWQ RAETLGALVNGVFLVALCLSIFLEATQRLFEPQEVKSPKLVLIVGCFGLLSNIVGLLL FHDHSHGHGGHAPVEGSVESAEQGFATQQDADTATISDPRGTVASVMPQNAIDACETD GSASTIRPAAPGSPVTVRRVWTPDDRIRGRHHSTHRLSISGLNSRDAGSIQGHPASLR QDIIQASKFDDSESDDDNEDATAQGRISERSRLLKQSNETTPYDSAAEIRSRRSKEDL HVAHNHAKPKFSDKKAGHGHGHSHGDLNMRGVFLHVMGDALGNIGVIASALIIWLTSY SWRFYVDPGISLFITVIILWSAIPLCKAASRILLQAVPAGLSIDHIIEDVESLPGVIS CHHLHVWQLSDTKLVSSLHIQVSHDIKGEGSDRYMALARDVRKCLHAYGIHSSTIQPE FYPDSDDDAATGTSFPINGTGPNTPPGTAPNVDGPACLLECGNECAAGRQCCD UREG_07704 MEDPAGGHAGKHPQHPQPVLVASASLRARSRVSSPERRSPTPGT VTPDYLQDAHELPEIHEIPTHVQRSSSPSTISSGSIRIVTRRSTRSSQVTRSSREPTG RFRHFIKFWRRNVVLSVSQNQNRDHYGK UREG_07705 MGTQAPTSGAGADHWTAEAYSASAAFVPRLTQKILHYLDPQPTD RVLDIGCGDGKFTANFVDRVEYVLGLDASKGMIEAAKRDFARGNAEYRTVDCRYLDQE LQGGSVASATWDKVDPTTRVAVLQAIHGCLKPNGSFIFEMGGHGNVPEVHAAIIAALV HQGVKIEAARQVMPWFFPSETWMRKTLEGIGFRVERLEMEYRPTKLTTDEKGGIEGWV RLMGASPLEALDEGKREDAVREPIYTSSKNHI UREG_07706 MLRSSTRALRQGASRLRNPCLQLLPGIRAASTVTDLSAYPSVGE KLHGFTVAEKKHVPELHLTAVRLKHDTTDADYLHVARDDKNNVFGIGFKTNPPDATGV PHILEHTTLCGSEKYPVRDPFFKMLPRSLSNFMNAFTSSDHTTYPFATTNKKDFQNLL SVYLDATLHPLLKEEDFRQEGWRLGPENPRAAEQKAGDEPAPGGDIVFKGVVYNEMKG QMTDANYLYYIRFKEHIFPALNNSGGDPQHITELTHKQLVDFSKKNYHPSNAKLFTYG NMALSDHLQQVGAVLDGFQRASSELDVKLPRDLSAGPVTYTVNGPMDPFTSEDKQHKT SISWLAGDSTDEVEVFSLGILSSLLLDGYGSPMYKALVESGLGSSFTPNTGLDTSGKV PIFSVGLNGVSESDVPAVKQRVEQVFQECLENGFNNEKVMGYLHQLELALRHKTANFG LGVLEKTLSAWFNGFNPTRELVWNDIVNEFERRWKKPAYLETLMKTYFMNDKCLTFTM AGSPTYNQTLAEREAVRKETKMTDLATKYVSTGKAIEHLKKEELELLKVQESAQLADV SCLPTVHITDIPREMERKPVRESKIDGVEVVWREAPTNGLSYFQGLNIYENIPDELRL LLPLFNEAIMRLGTAKMTMEQWEDLIKLKTGGVSSSTFSVSSPFDLGKYTEGLQLSGY AMDKNIPDMLDIITTLVTEADFSSQSAPQMVQELLRSNTNGALDAVAGSGHRFAVNVA AAGLSKGFWIQEQKSGLSQIQAVADLLRDAESSPEKLRQLIEKLRLIQSFAVSRSSKL RIRVVCEPGSSSENEAIIQRWLSRLPKTTAPPLTNGATSFKPSPSKILYDLPFQVSYS GLALRTTPFIDPDSAPLSVLSQLLTHKYLHPEIREKGGAYGAGASNGPIQGLFSFSSY RDPNPMNSLKVFNSSGAFARDRTWLQRELDEAKLGIFQSLDAPMSVDEEGQRYFLTGV TQDMDQRWREQILDVTAQDVNRVAQKYLVEGTESVFCLLGNKQNASSLDGWDVRSLSM GSNAESDPITHDAVADTA UREG_07707 MAPGGMNKKAKGKKPADPTETSKLLAAKISQLEQDAAGEKDQEA EIEREVKKATRDLNQLLNTIESPMTRLETVHKKYTELLADMKKLDRDYAKSKKRADQL QKDQDKGKSELNKTATMKDKLEKLCRELTKENKKVKDENKRLEDTERRARGIVNERLD SLLFDIQDVMAQKGNPRVEKIDIDLDEALRAKIKTIGEKFELREQHYKSLLRSKDAEI QSLTAKYEEQRRGAETESARCRALSSQVSTFSHTEAELRSQLNIYVEKFKQVEDTLNN SNELFMTFRKEMEEMSKKTKRLEKENLTLTRKHDQTNRNILEMAEERTRNNEELEKWR KKSNNLEALCRRMQQQGRGQALAGDLDVDDEGTESEYDDEYEDEDEEELSEDGDYVDG DGHHQHMTGPKPTEKPVFGPPPPPTLAEARSNGNRNLVNGYKH UREG_07708 MAQQVRTPLCDLLSIRHPVLLAGMARTSGGPLAAAVSNAGGLGT VGGLGYTPAQLDEMLTELKSHLDQPNLPFGVDLALPQVGNGARATNHDYTHGQLDELI EVTIRHGAKLFVSAVGVPPARTIRRLHEAGILVMNMVGAPRHAEKAMQAGVDIICAQG GEGGGHTGDIPFSVLIPAVVDATRKYRSPLTGQAPLVVAAGGVNDGRSLASALMLGAA GVWVGTRFVAAEESGASRMHKEAVVAAGFNETIRTLVVSGRPLRVLPNEYVKEWERRP EEIRRLTESGVVPLAHDMEEGRDVDLPFLMGQVSALVKKIQPAKEIVDTMVAEAAQML RLGNTYLVSGSKL UREG_07709 MNLLLRYSSVDGLEEAWMGQEWFFYRIQILLSHDEDIENILFKR SFRGHGNSQNEMERYLNDENLTTGSMPLLEQWQNLESAFPTVARMARDILAIPLTGVF NTARDTCHYRRSRCWRAEHGHNLQMPIETYHPDQHLLQGILIGT UREG_07710 MAPINGGNWTARFRMSKGDGIAAKDGRNAGMSGGVEQTDTQLPG EDPACESTVPLLLAGDGRRRRLSTGWNSEGHVQLRPLREQPAQRGFFSSHFLRRRRPV TVTGQHGITTDAGGCSHTPDTLFAFEVLPRMQRSFGTSLGAILHLFATRGPAAIQQQV SYESSGIRTSWSFF UREG_07711 MTVPDWQLKVQVKQAEAAAKIPKAWLLPSGITKEISQNVKRNVM DVPRTCGLLTPKELEITENYDATELLQKLAARELSSVQVTTAFAKRAAIAQQVTFCLT ETFFDKALERAKELDDHLARTGKTVGPFHGLPISLKETFNIIGVPTSLGFVSFLDRPV ASHNSALVQILLEAGAVLYCKTNVPQTMMTGDSHNNVFGRCLNPNSSNLSAGGSSGGE GALVAMRGSPLGVGTDIAGSIRIPAIANGTYGFKPSIMRIPYAGQGSASRPGLTGIAP SAGPLTNTARDLELLLKVVFNSRAADLDDMALGTPWIEPAQKKPILTIGIIPEDPELP LHPPMVRTLKQAIQKLQAAGHKIVDLTGKFPSLAGMQKVGMRYFNMDPDKTVLKAITS SGEPFIPSLKCTFDFNPNTPEPTLRELYDLNAAKMKYAAEIRAVYLDNNLDVLLGPAF QSCAVLHDLLETPFYTIWANLLNYPASTIPLGRADKAADAEFVRPVKYNPPYQPDEIE GAPCHIQLATRTMQDEILLRHTITVDESLRK UREG_07712 MTACAQDKAPRDEIREAVNAYIASLSDRLYKLNKSIHENPELAF KEYHAHDAICDFLEELGFKPTRQAYGLATAFEVTSGSGGRFINFNAEYDALPGIGHAC GHNLIATASITGFLALAFAIKNFKMGDPLADCNFERHPMSDASYCKQGVIGSSGSGSI ACYDIVCTYDGVSAHSAANPYEGVNALDAVVSAYNNISMLRQQIRPEERIHGTILQAP KITNAIPEHTVTKYSVRSPTIKGAQELGKRVRKCLEAGALATGCKIKVEESPIYAELR INQPLCDSFQAHMGEQGEKIFSAEPKGLTGSTDQGNISYAVPGLHALIGIPVEDGSNN HTHGFTAAAGTRVAHERVVKGGKAMAMTGLDILLNDEFYARVMADFELDKTRR UREG_07713 MSAEKDAQGPVVEETESALKVETGKQDGTQDVLVDASDEEFRRV RWKIDLVLMPLLSFCYMLQFLDKQSLNYSTLLGMLQDTKLKGSEYSWTAAIFYFGYLL WSYPTTYFAVRMPIGKYLSVTVVLWAAVVLCHAACNNFAGLMVARFMLGVTESAVAPG FSLITGMWYTRREQPLRHGIWFAGSCVTSLFGGLLAYAIGHISGPLSPWRYLYFIFGA VTALWGVVLLVFLPDSQSNAIWLTAREKKVALCRVLENKQGIKGGKYKLYQAIEAFKD PINWCLILYCFCVNIANGGLTAFGSLVIQGFGYEGLSALLIQMPTGAAQLGFVVASSL ICTYWKGSRTVVMLSLCLISLVGMVMMYALDPGNRSGRLAGFCLTMAFSANMPLGLSL VASNVGGLTKKAVINACIFVMYCVGNVVGPQFFRVDEAPRYGRGLKASLSGFALGAFF LLILGVYMRWENIRRDRVYGKVGEQENNANSEDDLLASLEDKTDWEMERTFRYLL UREG_07714 MADEEEQFLCPPRDKEDYPPRFRQESAYNPLSTFHLAPGETRNY QQQYADMYFLRLARLKPVVSQIAAAEWEGFTHWTAAPPRRKTYLDTSNQESAQTMLED ESGRLRLTGTLLQSALLVTGAVVAVLGTENANGDFEVIDVKVPDLPPQPTRWERDSTD SGSGKRKRSLLDTADDGPRKKIAFVSGLGITGTSGDTVALSLLADYLLGFAEGGSIPT NSTQISRLIIVGNSLGRDVSLNLEEQDANEAVKKKRQTKKYGYDASAYNASPITHLDN FLAEILPSIPVTLMPGETDPANFALPQQAIHRAMFPRSRNYCSPPRSSLEEVQSEPGW LDTVTNPWQGDVEGWRLWGCSGQNVDDVLKYISLDDDEIETSRDPEGDTRLRLMEAML RWRCAVPTAPDTILRLAS UREG_07715 MRHHGVRGCLGEDGIHVDMEHLKKGEVNSTSAIANHSAVCSLGT SIMAINFKDGVILGADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIV RYHLGMYGVVYDQPPTTQTAAALFQELCYDNKDMLSAGIIIAGYDHRHGGQVYSIPLG GSLHKQAYAIGGSGSTYIYGYCDAHWREGMSEAEGVEFVKGALQEAIKWDGSSGGVIR LVVLTAKGAVRHLYLPDTGYQGPGTD UREG_07716 MANTPPPQPPSSWNFSSMAGNVVQFLRLPVLASSGLAVVASGML YFKQNDIIYPRNFPVGSRTEVPKPSEFGMTDYEDLRIPTPDGETLSAFFIRPTNKEVK PKLTVLVFHGNAGNIGHRNPIAEVIGQLLNCNILMLEYRGYGLSTGTPDENGLKIDAQ TGLDYLRQLPETRETKIIVYGQSLGGAVAISLVAKNQHHGDIAGLILENTFLSIRKLI PSSTHMFELYKLCKAETKIWRTFPNGSHNDTVAEPGYFEHIHSFIVDEVLD UREG_07717 MALRSPAIRNLLGPHTSISPLRQFQRRWAQVHDVRFVATHQLNN VVERYKDKLSQKAKQEGHSSIASLKEAYQDKIQSVRAADAVETPHPEPLSTSSQSNPG NPRPASSSSTSQPESHHASSPPKSSAPGIKPLSAYLDIPKILTLPQKEIETLWRLRHA PNPNSVCATIPLETYNRLVSTARQNPQFILPLPREIETPASDGERSADNPPTKSSIAA EIHFLQWGFHPPSSTPTSPPTSPDFRTQNTHTSTVIFTHLGAYKLHGAYAQPHTIITH HLDLADEKGLVLMNGTVVPDKGVSLDEAKLLIMWLQRFYDWGVDGSEGGKKGEMLRMF SRGDVAGFKVEDLVEEVERI UREG_07718 MSGMGAQFLAKHKALQQENEALQETLTQLKEEIKTLKDQNREQE RQVTELSQGKELLEKDIERIRDQLREAKDAVDAGAQDNATLKSLEGRVELLQNELDET YAAAKLANKSRQEAELTAEHYERQAKSAEAQAKEWEAKHDEVSKKYKDLQQEMEDLGN TLNSL UREG_07719 MAPPPKRRKLAVAPVAEIVFDNDARHDYLTGFHKRKVQRAKHAQ EVAEKRAKEERREHRRKMREERQAELQRALEQNRIQMAELNGASDGEEGSNPDQESDE QWEGFADPAPVDYEAEYIDENKYTTVTVEDMDPSKEGLYKAAEENEEDDEKDTPKATS TAPNPPEKRKSTKADHNQAKKKKKKKFRYESPAERKVTRMKERMGNRKQAKARRAT UREG_07720 MRLLTFLLRIPTPEVRRTKSAPKSYVCDVEMANLRKLAELGCTC TPKVLDTSFYVQHEQDPVPGGFAAICVLEKLPGHDLSNFGDLPMSERDQVRLAFAKSI REFYSFGFEHRDEARRNLIWDRVNQKCYIIDLEDAYQIENPDEYKKFIPELHWRSWQI AGPGKNCSLYGWDPMVPYGQPYIKDPSDEMLEKMAIEAAGKEVHFPRRDPWRVVKVSC A UREG_07721 MPKMISILVCVVFASPVLAADAWDDFYNNFATDLTPLLALFGEQ VTKQFLSESTSILDLIIFAVAPLGILTAVVSVIRVCGSPSLRAFIGRAQEGQGTAEVE LCSSTSNDVCELWQRGGIARVFGKPKILEIVYDRNRGKFYDESDPPSAHIFRSSEYFQ QNDIEDDGKPDGTLGFRERLVADERQTTGFAPNPNLSLNLGIKKPSRLWLLTAAFTGC VLQAAVLVFAVWVTYYAKIKKDERPIPTWAFPITSIGTLLLIIGMFLCAWLIETTTAE RFFKGKVQMFWLQGSQRIGDQVFDAFAHSANLDEYVTSWKIEGPISKIREQLVWIAVG SALVGFILQFVGLRGLHSFVSLFQLGITVVMAIVRAALRSRRLGEGKNELRDLNICLD GYELDWFAHMIESGNNPQRSIEDTWEVQPNVPQCSNDDPGKDKLQIEGFYGVQSDSVS LTTAWISELESDDLQDLGHPHVAARIMYYRARLSRLADHTIPNLSQDWHAEVQECAGR LQNALENAAEVIFSGDIKLKSGWDDISAIFWRVGVATENPDEGVRPIHITLRQRAELD LVAWVSSSLRDKYSVWGYYDPKCSASPGDAKHPPILSVPLYIQQSKTLNDAGTRTPNC DTQVLYLPSIAALTEMCAQDIFTSFISRAAGIIETLLDFNALEDGGCEIIGLSDTIDS FRLSNGHVHALTKVFNDAELGSWEEGIMSVLPAFQAQSLLTIPETIKTRALELARQAR QKEHFVKAEDLLRWIYLHTEDITSPILEQVAKELGELYRRALMNSDIQLRKFGYEGIL HRLGSFQDSRYNKINQTYLWVATQISAIKGDTEHVNALTQKLERDLVDGIENMSVSAA FKAEPFYPICLVIAERWQKEVCSQDSGLAAPPLCLAVQRKCRELIEDLLSLESIDVNL QDDNGRTAIFYAAEAGDISIVEYLFAYSPSLGGDNSGQAPLWIAAANGHSAVVTLLLH LGANPEQRDQQGRTPLWIAAHHQHEDAVRALLDAKANIESRDIDGITPLMAAALTESA TVLRLLLESGADMIAKAKNGYSPFSYAISSGSVRNMSLFLEKGYHLEDRNILGWTALH TAVADGSPDTKIIKFLLDKGASIEAKDGQGRTPLMAAVELDKPLAVVNCLLEAGALVN VRNERSLTPLDIAVITRREGVVESLLKAGADTECKGNDEMTPLFQSVVNGDAAVTKLL LDHGANPHYKRDTTPLKKAIEEDHYDIMMMLVKKGAFLDMWADYSLPSVARLIQAQYD ELLRLLLPQCRNVDCLVPSAKYLLELAEETENERVVNVLLDNGVKPAANDAFWKTAKI AKLDHKAPAKQ UREG_07722 MGFAVLPALIPDIPKVYDVYFASFNADPMGAIMLDILFPGGITD EFRKAHSEGTLSYWHTAKTQYTWKCVDTDTEEIVGMGLADIFFHERSEEERKNPGIGW LEGKAKERAEKILNPLWEVRKKIWGGRRYIYCHVIGVDPKHQGRKAGAALVRWGIDLS EQTGLPVYFEASPSTYKMYEKMGFETIKEKVVHKAEDLGVKADIEVPLMVRLPSCAGG QTFEEWRAKGFPAWDH UREG_07723 MLEKQVLFAKLFAYILRLYGDVLRRTVKRKTRSLWRKPSSAAGP EEVRPIVIIGASFAGYHAARTIATSLPPDSPYKVIIIEPNTHFQFTWVLPRFCVVPDH EHKAFIPYGPYLGDAADSVQWIRDRVETIERKCVILTSGEKIPYEFLVIATGSAHGGE LPSRVGAERKQDGMKRLQQVQYRIKDAKKVVVIGAGAAGVELAADAKEHYPEKEVVLV HSRHAVMNRFGPELQAAALKALEELGVEVILNDRMAHEDLEQGRVVLTSGRVVECDYL INCTGQKPSSNLFAELSPGSISPSGHIDVKPTMQVNDDSLPNVYACGDVASLHVGNPN SRSATHQATVAGDNVVLAAMGKKPRATYTRHWADGVIKLTLGLSKSITHFGNGQAELL FHAKEKDIALMSEGAWRAMGATPFEDPGLEPLDENGF UREG_07724 MKDDDLKRQLGQLFIVGFRGPTATEEIKSLIRAPYYCGSIILFQ RNIKSAEQLIRLIHDLQRTAREAGHTRPLFIAVDQENGVVTRIKPPIAAQLPGSMAIG ASGDLDDASRVSGATGELLRGLGVNMNYAPLCDVNSEPANPVIGVRSPGDDGTFVGRI TSRIARGLRENNIVPCVKHFPGHGDSKVDSHHGVPVVNKTKEKLEECELIPFRRAVAE GIEAVMTSHVIMSAFDDSIYPASVNRNVVNFLRRGLQFDGLIVTDCLEMDAIRVQFGT ERGAVLALAAGVDCPMISHTYSLQVRALEEAFRWCRNHPTMPRQISRSVSRVFALKDK FLDWDSTLQPRPANAVSQMYAQHRNLASDVYARSVTLIRDEQQALPLSRNCTLAYVYP CAKAVRVSAAGSGETITCVSYTPPEFPKILNQYAPNLVECPFFDDATLLDNVKAKISE ADAVILASRNCKLNPGERLVATQLLKDTKKLISVATAAPYDFLCSNVKTCLAMYEPTP EAFKAAADVIFGIKQASGTLPVCVKRTEIPVCPFDRERDMDAVIRLWHTLLPNYAVPV HRLPGLLNRPNGSHFTVHMESQLVGFIATYVNDDRPTAYISTLFVHPKYQSRGIGTAL IVHARRHLKTTCGARSISIGSSFPRFFLGVPLDIPKASQEFFIHRGFVPAQGPTARDF TADLRAYQVPGKVLQRAFAARVTFTRWKSGLYEEGIAKIKELWGDDKVWVGAYERLAQ AGRHDQVMVAIDSSGKQIGWTLMQELGFGMSNDLAFMPLLGEKTGQIGCVGVHPNARN RGVGLALIVSAAMDLKNRGMERVFIDWTNHVNFYEKAGFKVWKEYRPMSLNEFV UREG_07725 MSQAASSGHPEIGGLSTQGQCSALSLADEKPCQAAATSVNGLFC GLHARQCHGLYVGYKRRNAKLDELRASPPKTLANRPMKLANDFFKDIEDEKVLLEIHN YFLQQYRLLDRVIKARKLHHCHFYVQNMDYGHQKYLDTLLNIKLTVTKALERIDIQIT VVLYQKQKWFQWVRQCQEDDEKARDNEKRKIKREAALFRRQAKEVERRLRDLRSKEEA IRQEQFLEQAYQERLAESDEDWDPIEDMVENERDSYTDIIKHFLWLNNCDLEDGDPAK PDDCEPSSVREETEHEVTCAAPQPIASSKRKKKGKKGKQPSTTSSTDESSNISDVLTI RSKSTSSKANTLSDGQKPDIERIESREEIRKRLTEGMKIDIPNVVGLCLERREDGTNV MADRMPAFEEEEADRLLDEITAIKGYLFCRLLLSSPVLLAVALRVGTIEEFFRDPEVT ATDLRDLCLKMEQPQLQDIRDACADFFRGNEDDEPSNANRDDIVSESEDDDDHWPVKR SKKGIPDKWEPKRNKASKRQKKSREANEPDTDVVQEPGAPIDFGKIESTSSPSKSVRV KLCGRLIYYYPSERSMSRGGWLHFSIIAKDCSLYKAVELCKSWDEFFELNVLAVNFYF PSPSWLRWIGNATKTQLLMMGFIPSFINANAEALTFSNNERIRGCGRIHATREVRNFV CGQMRRDDPVSRRFIQYVAMQSSRMMIVVRDAKSGRILTKPPDSAAWLVRERVGHGRA SKTPWKTLKCVDKKFFDEMDELRAFRLGFNNFYDVYIWSAIPGDSFDALYSALLEMLF KAQRVERPADHYDAAASILKTLVVDKKTRRARDIRPNDNQPNLYDTYHSDQVSFVFRN NTGNVMSETPRSLIYNAADKLEDEVLFPDQFNGETENEIVAIKNKLHAFEHGEVKNLL KCFAHDLETDEEYESDVHGSEAFDEDDWSTSDGESTGAPSLEGDEDEGTEEEDLKEGE GLEEQNAKDIERQALSAIPGLQELCDASDEAKKILDSFGPGPLDNLSYDSSMKIEFEI FLERHKARVFKDSWHKADLEPGAPERWEEYLTLRDDASATLHLAAATIAQCWNTLKFL DYHPETHRNVRRDIRQAYVMTSLFFPIGHLFFEGKSGPKWKDSLLFKQEERGKTLPDR RGYRSGRYREEKFFKQLDDLRSRCVIENRHMADISPLEWDIVVRPKIARLFKEGVIGI CYSDSNVVPGDAFAGFEEGRDPDLFFDFRPTIEATTMPPGREDPTKITVDSLRGKLRS FSLTRQNARFSFLRLWSAPHFYPLMFSAQNRHPTSFGDLIGRIWEWKFIPKDMPFSEW SIHFNLCQRLEPYRRVLRDKVIVKRDMVLIMGENEEEILQLTAAVTFVIQTRPWRLEV DYWKSFINVDIGFMNTLQDGWYD UREG_07726 MARTHSESSDDFEFIETPAAPCQSPSTENCGVRTTSYPAIKNAP LPADGAGSDTFSNTLLFSLLILVPWYFARQIGGGLYTTVILGIFTTVPILMTYWSVAS SISPRKNEKAKYPGRPVEHYLHFLSEHDRAKYHGKSKIPMETFHEMYFAGKVDFKGDC LEALEYRHDWASFRFTLSLYKFFLTGMLPEVIMHTRSQDEEQVRGHYDRGDDFYGWFL GPRMVYTSGIIGDINKEETLEQLQDNKLAVVCEKIGLKPGDTMLDLGSGWGTLAKYAS VHYGAHVTGITLGRNQTTWGNNGLRKAGIEEPQSRIVCCDYRDAPTVPGGYKKITCLE MAEHVGVRHFGSFASQVYEMLDDDGVFFLQIAGLRKSWQYEDLIWGLFMNKYIFPGAD ASTPLGFVVDKLEAAGFEIKGIDTVGVHYSATLWRWYRNWLGNKDKVQAKYGKKWFRI WEYFLAYSTIISRQGSATCFQITMVKNINSTHRVEGIPAQFGLSGARTASIERVGHGT LPTANVPVAEKP UREG_07727 MKLLSYLRTQALEWWQGLPLPALDRDSSPTFQTWSKFDESSCQW AIVDSTSTQENLSEDIVPASDPKLVLITWNVDSAAPRPEARISAVISYIQRVHPAVDI LFFQEVSRPALLAILAIPWIREHWYLSDADTVNCRTQSFTSVTLMSKSRFTELNSIPN RISLGPVWRVKYPSHFDRDALCCDILLPSSSSSLPNSVLPQEN UREG_07728 MPTASHYPRVDIPDVDIWTLIFNQKNRAFPDDKIIYQDADTGRS YTYEQVRSTALEFGKGLKANWGWKKGDVLAIFSPNSIDIPPVIWGTHWAGGVVTPANP AYTADELAFQLKGTKARVLATQMSCLPVAVAAAKKVGIPEDRIILLGDERHPTAKYKH FTSVLNISRSTRYAKTKVDPSKDLAFLVYSSGTTGVPKGVMLSHRNIVTNIHQLKAGE EGNLSWNGGADKSGDRLLAFLPFYHIYGLTCLVHNAIFSGLHLVVMAKFDIERCETSP TTHTVPWPDWRRHAGSVGKLLPNLEAKYMTSPEDDSEPQEVPVGQVGELYVRGPNVFM GYLDNPAATAACVSPDGWFRTGDVGYQDAEGFFYITDRVKELIKYKGFQVAPAELEGI LTDHEAVSDAAVIGIESAEHGTEVPRAYIVPNPNIIPREKRTAEEGEKICQWLQAKVA PYKRLRGGVRFVDEIPKSVSGKILRRLLKEQAKKEEAPVKAKL UREG_07729 MPGSSLPPDFINSLKVPASSNTEVGCEAEDDDGPAQTTSKLVED GSVGSSTQAKSNVDKELGRQVSVVSKERKLARSKLTLVALVKRLAAINRPLSKQEVVK TGNTKPSLNLLDMPMDILVIVLDNLEFADVEILRYVCRTFYYNIEPLHLHPMLHGRCM IVKVFRRLYSSSLLPNVREGDRLLTKDEIKEKLQVACPLCLYVWPHGSRLRCPFHEPM EYAAPPPIFSPRKPWNYFRPSHFRWAKAVRTRRTQETYERFLIEGIESDLRSVRPRAV RPGIDPYPGEYSRSWLRYLKVIEDFRATIGTYYGKEFIVKSFWGVKRVTQPEIFKLYC CNHCRELLPMNNPGRMCRNCYCGFCGTTVLEILRVIKDQRAVFVPLGILVDETIGKAD EKQKKLKTKEGN UREG_07730 MHTFKTLGALFLLATTTLALSPPICPTCNPISGQNNCDPTTSCI STGKNFHCACRAGYKAFAGNDARQFRLPFKNYEFLVFTHEKTECNVLCKDYTQPPPYL VFIMGYIWDVTQQRLISDAVTNQRTHNYIYGLDSFPWQPLGYDHDFSGLF UREG_07731 MVSQVPHDILNQTFPNFVNFMDDEINFSVANPDAVIYSIISDPT PVLGVEVAFNESGIDLEQRAKDLLFKTPLKAVLLVNIKEKTEYENPFRRQKNVDLYRA RLQQDGPTNEPKCDESDADEPEGAVYCYGIRWVDALTGAVQIWTRDPETGDPIPRTKK TYFYGRPELILEQWEKTQAEKEKQGLKSSPKGRGKRTPKKIEGKAREDNEEQEEEESG PVIERYPELNLTMADLIGTENLRDQKALTLRWDEVRRLLRVGCILTARQRLRRAVKHL PENKKA UREG_07732 MALLQFKAPVRYSEQKEVFKDFFEHFKTFDSASESAATEAIEGL HIDEDDISDDDELMDEIDGNAPGGRTTERRRKEPKLKYMQLLQEVADRTKNNIVVELD DLDTFVKALPDDVHFDLVESIENNAKRYIDVFSEVVDEVMPKETREVSFKDDVLDIIM SQRERRNETMSAAAESETDVGLPHSIFPPELTRRYTLNIKPRTPSGSSSERNSKALAV RNVKGEHLGKLITVRGITTRVSDVKPSVKINAYSCDRCGSEVFQPVTTKQFMPLQECL SEECTKNQSKGQLFLSSRASKFIPFQEVKIQEMADQVPVGHIPRTLTIHCLGSLARQV NPGDVVDIAGIFLPTPYTGFRAIRAGLLTDTYLEAQHITQHKKAYENLTMDPRTLRRI EQHMHSGNMYEYLSRSIAPEIYGHLDVKKALLLLLIGGVTKEMGDGMRIRGDINICLM GDPGVAKSQLLKYITKVAPRGVYTTGRGSTGVGLTAAVMRDPVTDEMVLEGGALVLAD NGICCIDEFDKMDDGDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPLYGRYN PRVSPVENINLPAALLSRFDVLFLMLDTPSRDADEELAHHVTYVHMHNKHPENEENEV IFTPNEVRQYIAKARTFRPTVPRQVSNYMVGSYVRLRQEQKSEEGSKKQFSHTTPRTL LGVLRLSQALARLRFSDQVVSEDVDEALRLIEVSKSSLYMDGHSGADQTPTSKIYNLI RGMRESGAAATGDGGDGELSVRKIRERVLAKGFTEDQLNAAIDEYADLYVWQVIGNGT RLVFIDGAEDEDMDL UREG_07733 MSSLNYSIEQLRLAHPGLHHRKDSHIQAGRGCLVGLLLHLDPPV AHTINIEPLTPTDWEIIELHANFLELNLLSQIRALPNPSYANAASGQADKPHPLTLHL SPTSTANIVVTSLTPSPSSSSPFAKIAPDAEVIVAPKVRPKSSRPARGETRSVTSTSR KSLGGRSSSSTVRPKSRDSEPASRGALYFRGVDSKFASDCFDEEVEELSNEGLRAWVH RDALAKYELRGATYVCVSVVRPAGLLPPVDPQQHIQQKEQESSESGEINRKLVARIYP WDDCPDSGHVALSSMLCSMLGSEGMVGGVIRIEAAPTQRPGIKSVKIFPFNSDSSKKK EGLKFGGESVAYKEALVERLKAIYGSPGSDTGIFGGPITDGMILPKLEDPTRSLEFEE AMIRFEPPPKTPPESKAPLGWILGTESKFALEIQPAITKPAESNFVSLPADEILPDDV PEMVGIDSVIDNCMSNLTRASSILVTGGLGSGKTSLCYVLGQQLREDYLFNVSYFPCR KLVTDETRISMIKDTLHRLFLSASWCARLGGKSIVILDDIDKLCPVETELQVGGENGR SHQISEIFCSIVREFCSANSPVVLLATAQSKESINNVVIGGHVVREIVSLKAPNKEGR RKVLEKLTSEDKPPGILSNTVNGYSHSRKPSSQDSWLDPSNPATRPGSSEEQDGFVLS RDLDFLDLAGKTDGYMPGDLVLLVSRARNEALIRAVQDIDASSSAIALGTEDFERALK GFTPASLRNVTLTSSSTTFAAIGGLHDTRKTLLETLQYPTKYAPIFAQCPLRLRSGLL LYGFPGCGKTLLASAVAGECGLNFISVKGPEILNKYIGASEKSVRDLFERAEAARPCI LFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLSGVYVLAATSRPDLIDPALL RPGRLDKSLLCDMPNHEDRADIITALAKKLKLSEEVMEHIGTIADRTEGYSGADLQAV VYNAHLEAIHDALGDRSSEKPQTKPNSMPNGVNGINSDQKTNKPFIQFLYDPEEHAIA TSTGRARVSASSPSLTPPAVIAAKLEILKKARRRQREAERGPTSDAYVNDGVNGVEGI SDNDRDSSNDIQIEWVHIERSLETTRCSISDVERRRLRAIYSEFIEGRNGEMPTGEGA REIGGRTSLM UREG_07734 MTRALLDNWVVMSVPDARELVDGTTAYAPVQGSQPVQYVQKAAT AQLLDRVAKANEAVLSKLHVSRQHPELKSTFDPKMSLQDLAIVGSEQPDVAWPAFRAL WSELTATSATKIPTGGFQPFKPRPPMLITVDGISHWMQTTKYFSPEFKPIHAHDFVFI NHFLSLCSNPASSMPNGGVALFATSFSNNPSVRTFDVGLAELHYRCHGQPLDPHRIPT PGPYETLDPRTR UREG_07735 MSNGEAGAGLAAFNASKTKQKRERLPITVDKPNPYTFDLGRLMA LDPNPINLPPNSLTNPTLLDSTLKSTARDGAQCLLNQLLTACPITSSSTEGVLLTLPA PTTPLPRFKPLPTPKPPTKWELFARKKGIGKYNTKLGANEAERRKKLVYDEEKGEWVP RWGYKGKNKAEDNQWLVEVDDKMWKKEQDMNSRGESIRNVGRKERVESIKRNERKMRA NMRRAGTTKS UREG_07736 MTKKSSRAPTLNLGQSNFSDSLNSPNTSGPFDTCIPFIFYRINV QSTDTGDAPPTPGLTAIPPFPSSPRDSTKHGRDTSKSFFTNFKASRSTHKINYSDNSI GRPGEEKPVSRGSSKDRTAHKTKGHNSPSPGLPKTSSSSGKSKGESFGDKSQSGQQSA NGKANENSEQQQQLTTKKSKPRFANLLARSRSIRVDEPFSGGRPSATRRPSNGLLRLE EISREEAQASLKTAPLQPERSFNDAMGSTVRNRSADRPPVEERNNHSRRDRAHGGATV NSPFNQVTSSSLFNNLKQSSSGAADRLGEPGKGFLAKFTKTGYYLNFKGCEEEGLYRV PGSGKDIKHWQRRFDTELDINLFDEPELYDVNTIGSMFKAWLRELPDEIFPKATQAMI AEKCPGATKAPQLLKDELSKLPPFNYYLLFAITCHLSLLHSYVDKNKMDYRNLCICFQ PCMKIDGFCFQFLVCDWKNCWQGCWTEKEYLEKELEHARQLKEAALNKTTPPAASATE TAEERAISSSGSSHPMDHEASSGTTTKASSRSGSLKKGRKTPPNIGNDNLKVSSQLPE LGPPLSPIEI UREG_07737 MSLVSGPGKGGAGKHNQGLFVEKHVDYIKSLDKRKDEYEYWLTE HLRLNGVYWGLTALHLLGYPEALPRDETIDFVLSCQHENGGFGAAPDHDPHMLYTDRI TGTFKGDEWGETDTRFLYGAFNALSLLNLLHMVDVGKAVSYVQSCANFDGGYGIRPGA ESHSGQIFVCVGALAIAGRLDLVDSERLGAWLSERQLDHGGLNGRPGKKEDVCYSWIR N UREG_07738 MPSSTILKCNICPRKPNFSDVSHLLTHVSSKGHLSHYFKLQVRS HQEPEAGELLAEYDQWYGDHNLAGLLSERMIAKESRKGKGRSTTTRNSNLQDRRTSTS MLPPATHGPVRSDQRSLPSYVDPDLSQPYFNVNNRHPPDPRVQLWPAAQKRRSLPSRS STHKTWKLESPDSDEGDDMSPLAQRKRTSMDWSSHMGSSAARMNRRPVTPDPFIDDAS YEEEDDEEEEEEEIEHREEISKLKGVFWPGMDIFDSATEQMRRKRNQKKDGSVLKQME KTSEAVEPTELVFSPGGTLRKARLISGMVDDSSPLKGETPIPKKRVTRPRRPPLAQSN PNRILRSHTKLRKRFKGQRQPMEHDFYSRQTLPFVESPQHTRGDARFGSDFGMRDEDF RLSFSGFEPKSSRGFEVFVDGKEANNGINVSNGHKAYYNPLGASSSLALNHQSRTSTS SGLKSFAGKSVHLPEYRGKENIEPSFSQRVDGQYIEENWDTTQLGAESRYGSQYLYGT SFQSYSSFGGNDAFGYSSNPLSCSLAQIQNSGDPKRSTNTLLPGLLESPMRSVSKSGR VVSPDGTVSDLDQDDIGRMYLNEFAT UREG_07739 MSQSGSLKRKQQPTISSFFSKASSSPSGTENRKTSLNGLGCGDK KSKEDNRLGLNGGERTDSVDEDEDDDVLPSRKRIKSRQASIERSGALSSTSPVHESKF LQSSQKSVPSSSRTEQFRFRSSPVQALADKDAEPAKLEDAKELRRREDLHRKFVRRLG GPDCLPSFNHKPSPNAAVAEEEEGGEEALDEEDPPPPPTKGRGVKKATSTKLTPLEKQ VIDIKNNHKDTLLVVEVGYKFRFFGEDARIAAKELSIVCIPGKMRFDEHPSEAHLNRF ASASIPVHRLHVHVKRLVRAGHKVGVVRQLETAALKAAGDNRNAPFERKLTNLYTKGT YIDDTEELEGLNAPGANNAAPATGYLLCMTESNAKGWGNNEKVQVGIVAVQPATGNII HDSFEDGFMRTEIETRLLHIAPCEFLLVGNVTKATDKLVQHLSGSKMNVFGDKVRVER VSKPKTAGAESHNHVSSFYAGRMKATGITHDERASTLLEKVLSLPEDVTICLSAMIKH LTEYKLENVFDLTKYFQSFSARSHMLLNGNTLTNLEIYHNQTDHTSKGSLFWSLDRTK TKFGQRLLRKWVGRPLLDKKELEDRVTAVTELKDSDSTPRVGRLKTLLSKVKTDLEKN LLRIYYGKCTRPELLTVLHTLQLIATEFAHIKSPADAGFTSSTINEAIATLPVVLDDV NSYLNKINLHAAKTDDKFTFFQELEETDEITEQKLGIGSVEHELEEYRTAAAEILGKK KVHYSTTAGIEYLIEVENSSYQLKKIPASWRKISGTKKVSRFHPPEVVSLMRQRDQHK EALAAACDKAFISLLADISSKYQPFRDCIQALATLDCLMSLAAIAAQPGYSRPTYTDE TRISVREGRHPMVEQLLLDAYVPNDIELSTNETRALLITGPNMGGKSSYVRQVALIAI MGQIGSYVPAESATLGMLDAVYTRMGAFDNMLAGESTFMVELSETSDILKQATPRSLV ILDELGRGTSTHDGVAIAQAVLDYMVRNIRSLTLFITHYQNLSSLARTYPNGELRNVH MKFTEAGNDGQDITFLYEVGEGVAHRSYGLNVARLANVPSSVIDVARTKSAELEERIK RKKLAGIAKGITKVLEGDKGETGLMGRLLNEVEQL UREG_07740 MDAAGKVPIKYAKVTSVLGRTGSRGGVTQVRVEFMDDSHRSIIR NVKGPGM UREG_07741 MGRSFVEPRGIAIIFVPWYIDEGRLQQAALDLLAGCDIQFRRES RLDIALVKNLDLALIFLPAADIPTFVGDGCVDLGITGRDQVAEHNTRLLPGEQSGVEE ILDLGFGGCKLQVQVPAKGEIQEASQLVGKNVVTSFTGLAEDYFARLENAGRKEDGRW DLKTKIKYVGGSVEAACALGVADGIVDLVESGETMKAAGLKAIDTVVDSTAVLVKSRK TRNPLVELITSRIQGVITAKKYVLCQYNIPRDLLVQAAKITPGKRAPTVTALEENGWV AISSMVEKKLVATVMDELSRVGATDILVLDIANSRTK UREG_07742 MSVMICSSSVREGEVFPQNGLGTATPPDLDDDDDDGDGFPQEAT AYTPIRYHWGFLMGPKEEAKNEVPGMRFHVKNAPGTEWIYEEVRLANVRSTINLLARI VVAKVEDEERLKNILRSVPVVQNDPDWSCWNFESGLAED UREG_07743 MAKQELKHLPNGSRGCGVWFGEKPTTAISVSLKSEQIWVLQFGV LIYFVPKSSRKALIMPAAQFPHIEMETTHEGTEHLAINNTFVRRFLTLLALNTTARFR SWNGVCIPISRHKIVKTGPFVHLTEAATMKFVSENTSIPVPKVYCSFVRKNRAYIVME RIRGDEIPRAWKKLSEESRQKMFDQLKTMVQELRALIPPPGTGVQSCVGGSLCDARIP RPKARFGPFKTIQEFHVWLREDFQPSKKDKGTSSDQDWEDIEKMVAQQDGPWPPPVFT HCDLNPFNILVREGKVVGIIDWEFAGWYPHYWEYTSAWFGNITKAGWQRELDKFIDPT PNEFKMEVTRHKYWGEW UREG_07744 MCTRHQRFARNIPSRRLKESESNRSASADIRKGRRDEKGSHIIE PQALLFLKPRIEIEPGK UREG_07745 MSSYHIPGIPVVPNDRAARRRNGPDFVSWPHKVSYKPAWVRPSS PVTSPSTRQVSISSSVDARNESFSSAGSSVVRAALSRNSRPTCPDTLPSRQVSVSSSV DARNESFSSAGSSVIRAAVKRNRSALSTASLPSRQVSVSSSVDARNESFSSAGSSVVR AALSRNVRSAPARPDAPPSRQVSVSSSIDARNESFSSAGSSIIRAAISRDARPAVPSR PSLAQRAAASRVASSNTRHNESFSSVGSSVGRTALRRPANSTDLARSCHHCSLRWIGP VLPLLNALVVVCACSQQCIIIHLHLLLHLLCLHATDDLLPTAGSGGWTQSFP UREG_07746 MGFERLLGCVRRLFCADLTQASPDDTYLTYHDISLTNEDVDTLK NDWLTDNVGANGSAHSVSQANMFKTGQVPRARSHFTISNHQHHPPTTHLTEAEGGTHW SLLLVSVVDGVAFHYDSLPPGNRNEALRVAQKVSMILDRGFRFVQLDDSPVQENSSDC GVFVCLTMRHLLINRLLKACTSEKVSMSLGGKKVNASAGRREMVRIIDRFRKKKDHKS SRKWEPADSAQEDCTKTYNVDLQRLHNQCQHSRRTYSRSPISFQNGLKQNRIPAAYYR GGTSRGVIFQTKDLPLARADWAPIFLGVMGSPDSNGRQLDGLGGGISSLSKICVVGPS DRPGIDVEFTFVQVGVKSTDIDYSGNCGNLSSAIGPFAVDSGIIRPTCEYGDVTVRIY NTNTDKVIEATFPVHGGEALAHGDFAIDGVAGTAAKVKLDFIKPSGSKTGKMLPTGNV VDYFDGIRTTCVDVGNPSVFVSAEELGVSGTILPDETQNVPGLLDRLESIRQQATMKM GMASTPREVPASIPKICFVSQPSSHSLLSGEILDGDSVDVVVRAISVGQPHRALPITT SLSLAVAAKIQGSVVNQLVRASVEDKEELVIGHSSGKLVVGASIKADGEVEKASVYRT ARRLMDGIIYWK UREG_07747 MSSKEDRSKLGGFDDETYRLRVSLQRKTGDKNKRGSEQKSRSSV NPTSSWAFVRPCRITDVVLLSWPAPAGRAAGLLRALHFPSRPTEAKNPPLRPLAGDRD GPFHLSLLANQIPCNAVDEEV UREG_07748 MSVRINDMKKRADLVGQVVSSRKRKESDVRAGLSKAFGRRTEKL KQHVGLSEMFTDKEYDILSQKFGDNFFQLQLIMRDVELYMTEMHNSLASVRKSVINPM VTLLKLHDGPQRVMQKRNKRLLDYVKYKAVKDRGDKPDKKTAELGEQFIALNVTLKEE LPQLLSLTGKLMEACLNNFVQLQTVWHTLMQKRLGYTIDRMPQDVAQIINDWSGDFTF SEAQVLSLGVCNGTVLADTVNVHNFSAPSTSHGAETASSRRPSSTTTRTFSVEHGTSP KVSVEFGANPPVSFMQSPSHGESFMHHPNGSHAHIGGRSRTNSNFSGTHIPSMNTVVN APTRSSATPSTGAASNTSYRTTDASPLLPQLSLDTPRFPEFLSDPLSPGYNPNSNGSR PNPPNIAEHPSSPDASRYSGFFSSAMPMAESSTPLTPPDSQPGPKEPKVLFLAASMFE FNIDRARREAGYPYLTYVAGEIFDVIGEKGDLWLARNQDDPTHQVGWIWTKHFAKLAG UREG_07749 MGEDEEVFVTPEEGRSPDQAEPTENIASRNSVEQQSLVTDTPAV PDLGLPDSRPPSACSDTPPQSAITNMTDTTTIDAEPQTDYPQPLSHRTMLSHIMQMRE SSPDITDSSDDENDSLSSGRDKESIQIMLRQTYFEPLDTSSENQEFPEDGDGVRSHPD RQRWSMSSWSSSIQIQNNQLIEESTSSDGKVEPLTDENGVPESTCTSERAVTPQPTIQ EPNTNESAKPTPESKPKETAENALKPSARLGERFSLNLMHRYPDLAKQARWDTKRATQ LYLQELAKAGFGQPRIPEPVVKKPDNEKKRTSIHSTGTPQEDGLAEDVVILPESKSIP PSDYVHHLANLNLRDDWERASPSIADWMHWAVTDKAETDDTRNHTSTAAEHGDAITPK ISTTGPNLSAATAPGSTGLGVSIHIQSPQEGDSPTIPELPDYSPPPPPASFSKSLSVE KLQQPPPQASPSIYSQNSPLAVLLQHYVCATRI UREG_07750 MPVQEADHNYDSLNQHLHPFYQPAPTAQQFPSQHRFGDDADDVA SHLHPQSMSSPHLPLLPATTYSPPRAARQNQLSLTTNLVDQGLSLSNDAHDELSPDPR EFYLQYRDPFGSDGAGPDGPRPDHRLILEAIFRSIQRLARLPTL UREG_07751 MGPDNFVTSRLLDKEPHQNNNNRDGQRIKRPLQPPPKDPLTMIA AGLIVRQLKAGDLLEALVFDSDNINIQTRVATIPLQQALLSGPVDPAADHPSRPCRIN LRMNPSPPPPRHRPDPTFPLTAQSTITAALDPAPSGQHNLTVAVSRWNTSILRIDPVR STNPHSLRPRSPVLICQTTVWPSKLTSVRPGTPDLCQTNPSAFLRRNRGSRAGTVPKT TAGPERSGLFVPFI UREG_07752 MGKKRKLGARDTGPTEPQKRARHNPKDSQSQSAPPTHPVLSLYY PRVLPLRAYLLTLLPPSSKSRRRKLASLGVQHPPDHAAHKPAPTPSGEVVPPPAQADG AQQDRVRQVAALLDTALVGVLSPLDRVDVHARQREFAAFTQSQFRSSLVRSTDGGATS SLKEIVDFAILTLFNQQRSSHFRPPHLLCHGFQRASGHGAWNQEHGAMAGIPGLVPQY PNKNVSMLKAFPWTDVLDLLGANCEEIMLHLLLDCGLFISLDPSRGTYYQLSGIQMVD LKLLSQRPTKEGKGNPGAQAKDALHSPRTIAFVRNRMFYARPALNAKGEAKLGLQHIH VLNRYPNSNDLGHTVHIMKYIFPRQFGLRNVFTSAVDTRKTAQPFEDYSLREEEIAMQ QKSRGTKAPKSSLNKVPKRLRGELIVLIQKLQKRHQHCSYVELLKHYCPIESRSTESQ QSDAPLTDFATPIAGVSAFCRAVFQKLIPNDLFGVGEDGGRNREMILRQVDSFIRLRR FESLSLHDVSQGFKINCIRWLIPPRKANSTDKLCLSDKLKRSEIFLEFIYYLFDSLLI PLIRSNFYVTESNVHRNRLFYFRHDVWKKLIEPSVAQLKATVFEEVKKETAARTLSRG ALGCGSLRMLPKRAGARPIVNLRKRAAVKSKWNGRMELGPSVNTLLGPVFQVLNCEKA QRSELVGSGMGSARDMHVRLKAFRKRLERDGMMMKGGGRLYFAKLDVQACFDTIPQKR LLQLVDGLISEDEYRVSKYVEVGPSRQCGLDGQPGRKLGKPVRKFVSKAAPSMDFKTP YDFVTGESNLAMKRNAVFVDTGYQKRHETEDLLATLEQHVRNNHVKMGKKYFRQKHGI PQGSVVSGILCTFFYGEHEREELGFLNCNEALLLRLVDDYLLITTDKGLATRFLQVML EGNPEYGISVALGKTLVNFEATIHGHRIPRLEEGSAQNQFPYCGNLIDTQTLAISKDR TRRQDDLHVCDSLTIELKKNPGQGFCRKALSLFKAQAHAMFFDTQHNSPTAVLAGIYH AFVDSAIKMYAYFRTLSRHHRRTAAGATVSTEMFTRTIAALIHYAARLIRSRMAQATR EDGSLRHTAAITSLQIRWLAVQAFKEVLRRKQSRLGETLRWLDGQGRASKPAGDGEAG RLWRIVRDGRMMVQYSRY UREG_07753 MAAISVAPDSPPDLTGSKSSKSSSFQSSSHRSGPDGILADISNF EDIGLDEDLDVTHIDHTHLGKDKLTIRTSLRRVSGGHGGRRNMTTQLAPVRELTSSKR ANDYPHLQAQINGALSAMQSLTLPRHDQTGRRGSANPPNFSLQPRPSSFSRPRTRSPS PNNMAMGLSPIIPVRSHSRPPPTPNGSVRSSSRPSRKSVKDLEAEYHDSDDELPEDAA LWNVPISPRPPHERPQDSRMSRSSSSSRSPGPRPIPLEHTMSSPPVGSLSPSTSPPRH SRGRRRLPRSSSMGHLRGHTGRVPRGNTRNVVMSELSEEAKVLTEVLEFHADESAREH EERVQGGRSTRSSLDRTKRQSGGMIELPPLQRPNIMIDPLPISKEKEKVLSRTRPSWL PPKDQKEEKRHLKEYKKMMALSREAEKRKAAQAASEQCKRDDTRKMLQRIWDEHVFPN WDRAIKDYRTRELWWRGITPRSRGAVWQRAIGNGLGLTDESFNKALGRANELRAQCDE EKGKVNSLEHQRFQAIRRDAASVFPDLKLFGKEGPLYDSLVQVLDAYSMYRSDVGYLY GIHTVAGLLLLQLHTPAAAFQALANALNRPVPLAFLTSDPGAIARVYSLASATLRLKF PRLSTHLCENLCLTDQQIWEPMFRTIFTNGLDLERLSRVWDCWVFEGDRLMFRAGVAI LGALEAQLMSLAPGDEGQAAAAAILGWGSKNIEVGRRRSAPVQPAKLPTSVNGQGGQY WAVEVVGNEDVFMNIVREAGR UREG_07754 MLSARLNQTATASNPLFRASSHPYPSHFAARFRHPHSSSASYDP RSSMTSHSSPPHRLPDRQRPSSQSHYTQQDRQRHDIDRAAYERTGGLTQVSPSSPVLQ PTYRSSRSLGGSEATSPSRIKVRDLNHIQSFASEEILSSRGSTSNGLFQDAGRQYEIS SMPVTDIIEMVAGLLTKITTTNDLQHEHIHQHIPPPEGATNLSQQTTSVLAFHGRNIP SISILNYLTRIHRYCPTTYEVFLSLLVYFDRMTEMVDKEYIQNLRRRPDRHHCQESSS PSPPLTATPGRGVGGLPLVELNHLELQFLLLNDFRLAIPVEELEAYGTMLVEFYAREV LSQRAQSQARSEGQAAHPDIPPAETRTNTRAGDDVYTFYHTGEQKEWWF UREG_07755 MKGPRGGLASRPLLYLFLFVCLTSLLVGHVAAQRDNTDAPSVPN SRPQPTRAPETDRPSPPRETDRPLPPPPAETDDDDDDNNRPTTAAPPPARPTPSATQS PPTQSRTPDNLPGLSESETSSTTTTSESTRTGASPTFTLPSLTGGPVIPTPQIPPKEG APYLKKSDLPEGTIFIAVGAALGFFAVAVVAWRLLVAWSINRSVRRATNNSHPSDATA LLNPNTRKSRVYQNPAGAPISREKVSKDRHSRVGPSHTPNQSLFFSPTAGASMHTPGN RGSGYLPAGYYSASSAAPGGGAGLAHLSTSSIGLSPLGPQAQGYSRTRSGPSPPGSPG LPPSSRGHDQAHPSTSSLNLSTAPQGRAPSAYLEDLFENHPPGR UREG_07756 MDPDHHEATNLQGFNLILPLPYRVAVILVAGVWGWGFNLHYLSL LRIQAQDVPALIRYPSRSSTGSVPVYKSTYHLSTLLSIPLAISLLLFWTTTHRSPELV LAWEALPQSYLFLFVVLLFLPLHRLSRAGRHRLLVTLRRISLGGLAEAQDGKFGDILF ADVLTSYAKVFGDLFVSTCMFFSSGVSSTGVPNRACGGNLAVPLLISIPSMIRLRQCL IEYSRVQRRGNRSIDGWGGQHLANALKYSSAFPVIILTALQRSYDSSRVGMSEAGLHK LWVLSALVHSSFTFYWDVSKDWDLSLFSDLITQFRRNPYHLVNNTSALSQPNNFDIAI DRPFGLRTHRFFHANGIYYGAILVDFILRFTWLSRLSVRLNWINDLESGVFILMFLEV ARRWMWIFLRVETEWVRSTRGPAPDDILLGEFTPKLDED UREG_07757 MASDESTQPPAPSSSSSKHSDRPTSHFFPWATSPDIIRSHEKDA YITGTLTTQVQSIVRTLRGARFAHAHTDAIKNLTELLYLSLTTLIGNRTLGEEYCDVV QLEDDSLRLPALARRAGYIFSCILMPWTLQRILPAFRRRLRAKLERSIARKQAKSVYF SKEEQQKKRQNLALKFQMYILDHLDSLTSLSPIYAVNLAAFYFTGAYYHISKRLWGLR YVFSKKIGESEERIGYEVLGVLMVLQIVVQGVVHAKHVVESIHEETQSKERQDFGGDG NHLKSVYNPPSVPSLPPNTPRYDLALDAGTALNWIPAGQQRKCTLCLEPFKDPSVSTC GHVFCWICIRDWVREKPECPLCRQEALGSKILPLRG UREG_07758 MASQLLPLGKHPDPNAYPGLATNRGDRINRQNSAEPYLGLMIML IWSLRMSQNCMDTHSAFLHRRFSY UREG_07759 MPGWQLYEQSLNFAFLDLSSFGYQQFERLGRAQPLSDSPHLCSL RSGTGRNPVPDNEPAQTPSRVLPSRKAKGTPSKTKSSRLVEASDHVSLKSHPGLKASV SSLKDVKDDIKPLRAAGAAVASVYPRVVDRVSELEEVDDDEEEESSESNYSCKRSIIA SKGGCEREMACAEDRTRTPTVLGPCRVLSAVHKELCEERFQALRRRAVRTPGAHGRGA RANESRWALRRAASPKLPVADAGVAEFVFGAVSPMKIRR UREG_07760 MVTSPHNHDRKPAQLSPHFSHQNSLPRGSLAYEDDLPQLSAWQQ FLDGFKRNPHAFVSKPSQIENGGKLFNLEEATANTALSPLQRKLKARHLQMIAIGGSV GTGLFVGSGKSLAIGGPASLLIAFILVGVMVYSTVHALGEMAVLFPVAGSFSAFSTRF LDPAWGFAMGWNYALQWLVVLPLEIVAAALTVNYWRSEISPAAWVAIFYVLVVVINLF GVQGYGEAEFIFSIIKVIAVIGFIILGIVLNIGGGEGGSYIGGRYWHNPGAFRNGFKG LCSCFVNAAFAFTGTELVGLAAAEAHNPRKALPRAVKQVFWRIALFYVLALAVVGLLV PYTDSRLLSASSNDSTASPFVIAITDAGIQGLDSVMNVVIMIAVLSVGNSAIYGSSRT LAALAEQHQAPRFLAYIDRRGRPLLAICVASVVGLLGFLAASSHQEEVFTWMIAISGL SSILTWSSICLAHIRFRRGWAVQGHSLDELSFTSQPGLIGSWIGVIFNFLVLIAQFWV GIAPVMLKEDKDPVLARVENWFSVYLAAPIVILFYVPYKLLFKTKIVRAKDMDLKTGR RDRDLAHLIAEEQAERAEWPAWKRFYRFFC UREG_07761 MPGFADSFWSPDYASGLGVLFTKLQQGIVENQQILAIARMRADA EQLYSARLGDIAPSIDRMSNGFSRDDGASVRKAYEGVRGEMIEATKNHQKIASNIRDL VVNPFGRWAAQHEARILNSQEELQARVKEHTKQAEMTKKLRSQYFNKCRLVEDLEEEN KLAFQSPERDTNSPQPAPPTIVLPDADEEPEPIELGDQVYLPDQLKKLLTHMLETIKI GEAKVPILGTYLNTSTGADIVEYIQKHLNASSISYAERIGQDMVDNGFLRLVGNVGST FANSSRMNYQWRPKAFQVTGIPEKKKPLLRVSSLASSSDDNADSPTVAEILSGWNPLN NPHPNETPAEKLRREAREADERYKAAVKKLDRMRCTMEQEIIDYLRFMERCELDRLKA IKAVVLDFSGAISNVIPSLRSTVDHMMLYQETIQPLGDLRYLLENYRTGGFVPRVQPY ENYYGSVDDQTFGVDLEARARADRKRVPIIVTSILTYLDNRYPDLEGDQARRGIWLHE VPLATIHHLRNEINNGQPVQPEVLDRYEIPAVASVLKLYLLELPDSLVSSQVYEIVRT IYTTTSDASEEGRVKVLQNTLGQLRLNNIATLDAIITHFTRLIDLTSADDAYVTALAQ HLAPCILRPRIESNLTMDERHNYRLLRDLLDHKEEIFGELKRQASSLGSLSSGSSRPR AISTDESNRRANMEARNRAIADRSRANSPAPGYRHRRDRSTGGSESTRFPINVNSPTT ERRVGRQSLEVPGSVDTSAPTETRTDSSGNNAATNGGGDSSIAQDDTNASTVGKSNSL SRSSGRYTRITGLNRDSVGNVVPDSAGGNTQQDGMDQIPSKPVGVTLEDRPMDD UREG_07762 MAPSILGKRQRSNAESHGNYNLPVSPALLRRRVASLPERVRSQL DLEDSPVHSSRKRRATREPQIHHDNQSGSPFSSPTSQRRSKRLQACGPQDEPSPKRSR TTAQLPCKNSEKVFCKANLVERIKEPVTDENIDPNNQPRTPRSKRFQDALSAASPVTP RHRVQVAVKPVTPRTPRVISSAPARSVYVDARQLFVRSANPGRLIGRQDERNELTKFI ESSASSKRGGCTGKSALVEGVCRELQLEATSKVAYVNCASMTSARNIFGKLVEELCDD SQVFKKSEVDRLRSLFFPRKKSCNAVYLVALDEIDHLLTSDLEILYTFFEWSMQANSR LILIGIANALDLTDRFLPRLKAKNLKPQLLPFLPYTPTQIASVITARLRSLLPDDAAK DLVPFLHPAAIQLCARKVASQSGDLRKAFDLVRRTIELIEQEAKQKANVASASTYKTP LLENTNLASPLSPPDTPEPKDLISSFTAATAPRATVAHVARVTSAAFGNGTAERLQDL NLHQKAALCALVSLGRKRRAACSNTPSKSPRSAAPTIKELFDTYSTLCRRDNVLQPLT TTEFKDIISGLETMGLVGEAQSRGGRGPPAMFRTPTRTGRGAAFDDNGLACFVGEEEI EAQITGPGEGILKALLRE UREG_07763 MEDAAVAQFTEITGSSPETATQYLQLSDYNLETAMQLYFENGGA EIRTESQPAPVQRSLSRPRVSAGFEDENGIVHIDSDDENASTPVGTTSNQGNFGNTGP SFDEDLAMARRLQEELYATGESADAVRAPMARRTETLVGPDTDFDDMDSEILRQVRAR QRGRGGRPGIFNQRDVPSSIWNDEDPQLQRATLSRATGGASESSSKSSMLAEMYRPPF EIMSRLPWETARNEGRDAKKWLLVNVQDPSIFDCQLLNRDIWKNPSIVETVKENFLFL QFTKDDERGAQYIQYYFSGHDVQNNYPHIAIVDPRTGEQVKIWSGPPVIKAAEFLMQL HEFLDRYSLDDTVRNPVARRKPEVKPHSKLDAMTEEEMLEMALQNSLEGQAAPKHEDP DELTRSISDIKGKGKATAASDDLKNTDSQAENGQDQGDESSRLFKSIQSNQPHQEPEA NPATTTRIQFRHSSGRIIRRFALSDPIRRLYEWLKASPIEGKAGLEFELVSMGQNLIT MLDASIADAGLKNGTVMVGYVEDD UREG_07764 MIRVDKRTGQAVAIKIVDVENADDDVEDIIQEISILSELNSPYV TKYHGSYLKGSYLWIIMEFCSGGSCSDLMRAGKIEEDYIMIILRELLMGLDYLHTDNK LHRDVKAANVLLTANGQVKLADFGVSGQLSATMTKKNTFVGTPFWMAPEVIKQSGHDH KADIWSLGITAIELAEGQPPYSDIHPMKVLFLIPKNQPPTLHGNFSRPFKEFVELCLR RDPRERPTAKELLRHPFIKRAKKTTYLTELIERYERWLTTHGNELSDDEDDGFATPHQ PTPEEEDLWDFGTVRPAGMGRTAGLRAMNDAAANARAQAADDRHHQVITRDFAISENR QHKPSSHALEAPPSPQKTPQKQNIPPPLLSTSQLSPSKIPLPPSPKKNVGYQGPFNTP AHHSKALPHHEKESPSSMDYDRALQQALAQDLGYLQLGNDSPHGTPIPPPKSLPTPPA NENVPRKPVPLHIPEIPPFKGHATRAPSQSEPGQKQLAPHPQSLPGQLPLPPLQNERY PATRPNPKPLAPVQAHRQLSASSIQSTESRSRKSADSVTSQSTIAFTSHPAPSDNEIT ALNGVILPALEASLRRRTCRLHALTRNSNGKQTNPAGLELAQKRQYAHEKLKRLVIKA AGVFKEIEKWDEEAPVPMGGDINSFLEGFLEEVLVRVEPEDGDGSATKREAGDSQRTT K UREG_07765 MRLSVSLLALAFGSLVAAAPNTKPRTCGSKPSMEFLAKSAEFAA KEASGELLNSLATIEVETYFHVVASGRTPSQGYLSDAMLANQLRVMNSDYGPHGIQFN LVRTTRTVNANWARDGDELGMKRALRQGGYNALNVYFLGDLGSLLGYCYFPTNASPGS TAFIRDGCVVVGQSVPGGNISNYNLGKTATHEVGHWFGGCFGSGDGVSDTPPQRSSTQ GCPSSRDSCPGGGVDPIHNYMDYSYDVCMNQFTSGQRTRIYNMWNQYRARG UREG_07766 MAKRAQKRRSTPETTTQQPARADTADCNTEMMAHRRRLQFQRLD NNVPFSTISRARLEPPSLASGATGPRRNRVRNTMREGFEMAWETWVTFELEIACRVEE LVGRESDRKRERHMQY UREG_07767 MESVEIPKQAKAVVYDNPGTVSTKVAIVDVPEPGPGEVLINLTH SGVCHSDFGIMTNSWKNLPYPTPAGQVGGHEGVGKVVKLGPGAESVGIKIGDRVGIKW ISSVCGTCLPCYESADACCIKSKVSGYFTPGTFQQYVLGPANYVTPIPDSLPSDAAAP MLCAGVTVYSALKRSRARPGNWVVISGAGGGLGHIAIQLAARGLGFRVIGIDHGSKKD LVLNSGAEHFVDVTEFPSDDDGKAISEKVISLTGMGGAHAAIVCTASNVAYAQALKLL RVNGVLVCVGIPEHNPLPIATSFPATMIGKQLSIVGSAVGTRQEAIETLEFAARGIIQ THFRVEKMDKLTEVFEEMEKGHLQGRVVLDLS UREG_07768 MPTTRAESQRRGPNAPYEAEGQSDTESEEETSSSDDEVSGPSSN ATIVRGTTSIPYNLSRLSQTARSRAIHGLRGEFAVDRCRATRGGFDFDVADHGRVRLI EGSMACSCKDFGQTNLACRHIYWLVDQLHRGFYPGVPPQAVHMSDTGETQFFGRIAEG LGEKIEEIAKKRNWPYLPGATSPADSSSGDSDTMSRPQKARDILSAFSKTTMPDDFRP DLVETINQPRTPEQCVVQGDFEATMFRLAVHDENVYSGLRKVMPSGARADIFFEKAQA KIRFLFAEFDRYRETGAVRRDRSTLEISVLVEELHESLEQIQHNILARSPHGSIGAAE TLIYLLREVSTRNYDAYEDSDWARPVPAGETEADRNVYLQLIDQTGHADSFFVLDCLE TLPESIVRQWAPQLDAIFNVIHANGASVPYLRKLQAVKSGDRQGAAASGQKRPAVGAV GAGRKRAK UREG_07769 MQSLRSWIDLDDSDIPGNGHASIEPQNIPNTGGCLASGTSVKRD ARAVSGGAHRLRLDRKTLRWCLGAPAASSDSRFEHLAKGGCGDPIGEDEMRLAAQSER KARQCLKTVPQRRLEAAITTASPRTLFH UREG_07770 MPAQDLAPPPPAFPERPDLFNEVEWGPFPTDQHIRLAYKLWYLQ DGRVKTERLWRASDPPLGPEDDHPDTCLARNVYESLMQSYTLPPNRQQQDIYAQYRER EYCVSGASDQELGSERAQGWSYLEIFGSPDRTNIDEPPTKIEVSGLDINSSRWRKADL QHQLRIRRLDTNGTVAELKERLYNYERKDMMLRAMDIDMGSLLPRQDLPEWGLPRQDD FMLKISTQATFSPVEMYTWAILLSPYNPAYWTSRAYLHYQMGYFDLALGDAYRAQLLC DVLVNPLVRNSQPGLYARTWDAIERHILQIRRETEEIASEIWRLRDVNGVNSFIPHVR KTLHHIICLSLLALQCWEDYHNFENELAKRLTMQDRDNWAIDRRHKLVENFVSDVLKE KRADPREFLYESHYGHARGAVYPYCTVTDRTTKKVLGRINEEIIGRSQAAQLGPVKIE VRKKDDDQLGIFATEDILAGETVYADEPSIRGHLHDFHGPKEYRCENCNRKIDPIYNS DKARSFIKENLAKVRAVGVACECSLVESERLYWCPPPEQGEESSEGSGTSSTSSQITP STQPRTRKRSVEADEEDESEEQQAKKPKRTLHHGTILSLMLREVFDITLQRRHTDGKP NLLAYEIDELFPLMGADKQCEKHLFPFSYAANIRVPFDILQCLGVDIFRDLTFDTWVI QLVLRKLLINAVPWDLERRAQDTVDTPESKELRRSGLRGLPRDKYSEANPTIRNLYIF PGVSLFNSTCPDQHNVNWDWDLSVPNRMTLWASRDIEKDEELFIPYVPMKIEEDFAQR MFGVNCQCRRCYYRPTLREFHRSLRPYGDSSSEETEDAPDQDSKGDDQDNTTDGNGDG SKKDSLFGREETSSEPFPTQRAMTPKPEDEGEYDEADFQSSEVEVKEHSGEPFTESRV AYHADELRTALKEAVDKLPRSRRATTKPS UREG_07771 MYGSQPPPQSYYQTGPPASYPEWQQSTPAEHAPPWRVSQASPPP PTQPPSATPQPTASLYNPNMYGPMPGSTASPAPDHVQQSQAPPHIPSPNIDTSSWGPR PESGLGQPQFQSHLGQQPMWNPAQPQQSYYPPNLPTHFPEQQQQQQPQPQAPQYWQPH PYADPNSGINQSIPPKPPPIPPAYQAEVQQQQHQQQQQAQNWPNAPIYSAHPSTHHYD PPQHHQQQQQQSPVPPPPPPAAPLPLSQPQLSVTQTGHADSKDSDIFGGAPPQHNVAE EPSTFPPNESSLPPPISSAPDPIVTAAPDYMSPPVLAPTLPVTTQPPTSAAGASALGL GGPSDWEHFSSGQEEVDDTETFNFKSQKPPHEAGTFELASDIPPITTRPETPKPALQP PVLSTPIEQNQSQSDRVSPISSVDFRTQPPAPSQLQHEDRMNSVDSFSSVVSAEDSTG QIDNVIKQWSQPIITENTPPAETEQPPKQEPPAPAISEPAADRVATPIPQLQPSLIQA SPKSTSPVQSKVEQPLTQSVTTIADPYADLDPWYKSSLTRYVTMLRKEMATESESEKF KLFTAFVTKELKLREILYNVEVIPEIVKIKPTDGSEGTKPAESPKERSKPDLERLDTD LPIPIDLEDDVITYSPGGRPVLGSSLRRKTGERQANTGLQRSASNPTPPAQSRTRADT LNVGSNVGSNVGSPIVTERPKSELPRATSVPPGRSPMINPETPAAYTPFRYQEGPQRG SQPLSFERPAYQAYSALRQASAESGRTMAQPTLQPRRSPDTLGVSRPVRAEHDETFLS LVREKSVAYRGKRPGTSLPNRPPTADPFKKGITTVILEDIRTLVPSSRPNPAADKRLS SVRAELENFPDNFNFVEKSLEAWDRGANARQVQIDSQRRARQEESERHIDNLFNDKEI GYSDISVLETEFKQTEAQKQLNEERKEFDKFVEIVFTRVDERLRAEIASLESHYREAL DLLKSEPEPGSKSKLGRFHLSYVMKDAIDMFRKIEMRHEKRVQAVLERERRKKKVERR YFVFLGDSPALKQMDKDFDAAEKRILLDAAKARDDRANQLMDVFDEASIRGIGENQSI LDDISLKVEKLDPSLVNDMHSLPQEAQGILESALEFVQFLGSDSESILSSFGMADRLL NNADYEVSVAEAKVANASPDIFRRLEEEKKKEDQKIEDDLMSRMSDVRTGHQEILKTV NDVLSQMQKADTVSSIGDVSGGEYGPATGPGTESAGSLTLESIQAATPVSPSGPSAAP ELVEEQGQQERLRKALEDAKRRNAAKQAPPS UREG_07772 MDSAKVETQNATEDINRDAETKPPVAPSNGSTNKNPKPRLKKAL LKQFITLSEAHDAEEDMRAELQYALKRTKFYTYFEQRLDQIRQLVAFHCGLNPDQVQV PEIFENNALVWLHGTFNMCIPLRIKNPGPSLPSKLAFRVPLPYRVGEDAYPGNSEEKL RSETATYIWIEKNCPDIPIPKLRGFGMPNGLSFCDPSFVPLWDRAKFYIRRFVNLLLK RQSDMSNFVLQKRNVVLEHGYMLIDWIEYDDVQMLSNTFQLPHTDLQTENLYRSMSKI MISLAKVPQPRIGSWTINNDGRISLTNRPMFCHLNILENWYIPTDIPRNMTYTSADSF YLDLLAGHDNRLQHQANAAFNDDDARGQAADILLMRALLHKFTDRRLRDGPFVMQLTD MHCSNILVDKDWNIKHVIDLEWACSLPLETLLPPFWLTEEAIDTLTGDKYEKFKTQYE RFVEVFEEEEMRVNAPLQLNGTALLRAAPMKTSLNEARFFYLWALQSPKGLFNVSRQH LHSMFDKVSRGTLIDAISPFWTPGMACFVKSKLDKYNQYLQDVFDIFNSEKSGKAYYV UREG_07773 MSNSTRTNPTQTSNTSQGSTTQRSTVSSQTSVSQPAYQEISRWS PNTSSMATSTSGSNPSEPQSGSHRSEAASIADSAATTRGSTTSSELTAERIEEDRARA AYRLEHGGPPAPAEDRLAENFAFAMNSNPEAAQQLGSSGSFAQAARLPDK UREG_07774 MAESALAGQNWMLIRGLAGAAISPVRFSEEQTVLSVLAAVRSLS SVASTTGWKGRCGSSVWPLVPEPGVIGERRRRVLRKPQTDRKGLVPLPTRPCAKRTGD GNDIDSQESSAKTRGGGAALRPWANEIRGTRQSWPEGLRATSWEAKEAFGKLLDYLGC FEANGGELELWRISQQRRVEKQDVVREGWLDQRDGIVEKKRTDVEMRAGRLIWRFDGK KRLHSKRSERSVTSHPVLYRWLQYGYTPMCQMTRAGPTLLPWCGVRAGQEWSSANTAM IPERYASADSPRRRLLSSQLVAISLQDSRGVVCVAVLLVGNRHRPTDLCMWMYCRGNN RHHSSNQHGCLLLFFNDPSSVPTPIDKSCVCWALLLNDHSSKKKPDTPLLPAPEQCSI STTPVLSTPALSSLAASTRPTVKWLNTARPHFGAVQPSHTVEAPTGSIHGF UREG_07775 MEGPFRQGPESTAFRKAPDAVSSPPKLPNSISIFLKSENANPNP GLSSIADASLRVSPSSPMDRSRHLSPQLMSGARNSDRRGEGEQAHNAGRRSNLQTARE ALGEVLNVESGKSDDSTSGQASQSTQSKSGHRSAEEERGERRPQRGSPVSLPDSFGNG DNQGTVMNSTTVASPGPIEEDNPLEERSHRREEESMLEDSNKAFSYPVPMPSPNLNDP RRGMSLPHSGLNKGGPRSPSTKRHRCPYCSTEFTRHHNLKSHLLTHSQEKPYVCQTCQ SRFRRLHDLKRHTKLHTGERPHTCPKCGRRFARGDALARHNKGSGGCAGRRTSMSGFG TEDDYPEQGGSGTNQDDAMEGLMYTEPERMDEEDERRLSIPSIRKDNVTPEPHAQTAA GRHVSFGPHQSSTYPPIGGNRSPGGLFPPAPSHPGSSASPSPISPSYPPGSGSSSFNP SHQGSSPSIFVQTTMTESPKPLSPSALSPHQLSHPESNTLPPPSHPQQQLTPGHSPNL KPQFQQGQSPFNRSRQGSGASLGVPSLTGPGPLSLPSPQPSTPHLPPPHGLNPPDSRY TLHSQGMNTQGSISNIHSVNNRTDSHLQQGRSSHTVSSTDINADSNNINANSFSQQRQ SSLPSVGLSIPPNDRVWDYIRSLESRMNGLEAEVGRLREQLAANGGAGGNMSNSGANG A UREG_07776 MNIADLVSRAPGANRSRASSKSHPRDSNISRDTSPICHPQPLSL IDIPWHHSHSVSTGRRHHHPDLDLFIKYALDQGREWITMRMPWHQEGSRTAPSRPARA RVQVCSYAARYRPYKETPENRGPCTDYWFGRRSVHEDVAKTGCASLGELRDLLKDDHA ENEMRYNSDVVNVERVSTYDLSKVAVGGGWSDVTACVNLITHKYPMCTTRCYTILEIT AALPPAATPKASQISPSFNPQDTTNPQTTTSGTPARPGFIIIQLPLQHSELPEAKQTK TTNCIFANYISIEMVRRLDGVHKRRIEWTMATAAHAGGWIPASLQRSWRLGGVPKQIV KDVGFVMAYLATQRRAR UREG_07777 MPLQFTAAPSSRIKKAGARTPKRNSPFDRQRRIKPSSRPLADKS QPPQGSPAGSYDEHGGSYPGDQLPDLGPSHHIAETTLVTSVIQAIQHVQNTMFTPMPD SRTGMNSTRIAEVLNFRKSLPPIVSIAHVHVLLNAPTRVEREIVELAGSARLRRLFIP GRGSALAGLGDCLVLVEDWEGLVRSSGSLEESLKDKFIHLLRSNAKTSAVSAGQFTPN ETSSLVRAGFLVSPSSLAKDTPLSINPFVTAVPTEEVGHQGQPESQRKNTRSFRDSTM ILSLPNLGPYLRLVSAARSRMLTILERSKYNEVPLYLLRDRWDGAVETQLGAAKRARG ESSGVLPGRTKKWKELYGLNFRWALEETLGAGLIEIFDTGSVGPGVRRI UREG_07778 MDQAKRVILRLREAEQDEEDLYEPVRLYLEKNGRSVEELNSDRH FVHIQPSNPDIPQVDPKIHIIIDIEVDKFTGNHVNADFPHELYRVRRVDGQMTMFTFK NAVWYSNFLHKLRAITDEAYPWGMTTYDYRRGNSVETHTRTVSN UREG_07779 MPLETFLPPPHLATIHILLSKDWNGVNNGVFFIRVHQWSVNLLI AAAAYPHLKPDVELFWYDQSAMSSLFKENKQFTQSVVYCPLRWFNAYMRAPNGVDPNP DSPAHLQVQPGDLLVHFPGTPAAKLNDTMEPYLTIAEAHRTEWEVPVEKTGYIEETQL FWKNTTR UREG_07780 MTIFIVSLFLPHTVNFILPEGSSQQKPPPSPRRRHSRESSADLN KRAYNTLFPRKSVAAPVSPTATKPNLLKDHARIFAPPSDKLMPQDFLPRNEDGQLQPL TPSDPHAPLWGATGVFNQPRPRPSRSPSSSILNHSSIPGPTSELVRKISVPPKQDELV TAPPEPAFSDAKWTIEPSEQGNGGLFNAIRSAVDEDALKEKLWVGTLGMPTDALEEHV KDTIAEKLEVDNECLTVFVNDSDFDGHYLHFCKTIMWPVFHYQIPDNPKSKAYEDHSW VYYKAVNQAFADRIVRNWKRGDIIWVHDYHLLLVPSMVRKQLPDAKIGFFLHTAFPSS EVFRCLAVRKELLYGILGANLIGFQTPEYCHHFLQTCSRILTVEATNEGVQLEDRFVN VGTFPIGIHPPALDLRRRSTDVETWITKIKERYQGKRLVVARDKLDNIRGVRQKLLAF ELFLNKYPKWRDSVVLIQVASSGAEHSELDATVSDIATRVNSVHSSLAHQPLVFLKQD LLFPQYLALISVADVFMVTSLREGMNLTSHEYIHCQDGKFSDKKHGPLILSEFTGSAS IFDGHPLLVNPWNYQQCADALNTALEMSPEEREHQWTKLNEAVNAHTTDKWIKKYIAA LDKAFDEQSCRDNVSIPRLSVMKLINLYKASSRRLFIVDYEGTLASWGSPTSIVLTTP KRAIDTLNDLLEDPKNIVYVMSSRMPEEMERLFRQVPGLGLIAENGCFVRESNREEWI KLFDDEETQEWKDSLADMINYFHVRTEGSWIEERHASLVFHYDNAEDPQTAERHASDC ANHINDTCQQHRIRAVTIEGAVIAEPISPNKATAADRVLQHILARADRDGDSTAKPDF LFVAGDGREDEAVFRWANRLEEKGLIKNIATVTLGSKNTEAKATLTQGVTGVLSCLGK LAGISQNVEPNEYLADREIPYKI UREG_07781 MAVQPARSFDEAIAVKPLSSHTYAATLRLEWCIGTVPHGGYITS AFLNVACTHMRLNHPTRHNGCADPMTMHLTFLRRTEAGPATFRVEDTKLGARTSTLHI TLSQTDKNGGLREEVAAYITMSNFDTEEGPSMVTGFELTPKPEPGSGGSAPVDLAKLA RDGKDGAWSQFAVAFTSMRTASKQLEIYTPKSLERVKRGFVEQWVRFKPYGSLAPWTN PALGFLVDMFPMMLETFDSKPWEKKQEQKKEDGTRRFWYPTVLLNVEFKKRLPKEGVQ WLYSRVHTKTLHNGRMDLDIVVLDEAGDIVALSNHVALVVGAERNTSERGSNGNGNGK SIKL UREG_07782 MTQNTDASSASRNNKEIPYTIPPATLSAFQSNPWTSSFISKPEY RAIETSARVKKPLGEDAFFAETIATPTTIPHCLSLQRRHPSPPPSVPPSLPAPPAKWP KITTPPDLVTLFEFGNPGISGHPNTAHGGVISTLIDEMMSVVIAMHIPGYIFNEASER GRIYTLQLDVRFRNPVYVPGLAVLKIWCIAKVGRKFWLRAQVLQEEGLGDEKSGAQPL EWAKKKIVCTEAMGFFLQTTSEKL UREG_07783 MEPFLPQPTIPGSDPLSPIPETSEPESSDRSTQTEPEVTAGQAA LLERGTQTSYDLENRERAHVWHGRRMISISADYAVKFSLFSETPLIGVDHLDKSTVIM ILAEKAVILASIPENPHARRLHDTIDEIRYLHDRHRSCFDRTRTVAWLIGPAYEGRPE WHRDLKMAVLRREMGLAPKRKFYEFVEYHDWVQTLDPVFLLDATTEEPVLVKINGTDV TEEWKQEWSESESPPQELVQQPKGLSQTYRYWVGKAC UREG_07784 MLSSALVVAASLLTAAVSAQQSYTPPPGFDPSLVNPSEKSAWCQ GQLDTCPKICESFAKTNRCDASRLTFECVCGNGTAPDVESYKNTLPYFICQANFGQCI NRHPNDLDGQRECKEAQKSCGTLDAGGSSSTTSTTRSSITSAPTRTRVVSSSASSAAA TTTSAAAAVHMAQDYSLRILAALFAGAFGFLA UREG_07785 MAIPTNTLLIEGSFSELAEELAHYIDTIRKTQTEGSVHAEIAPI LDSLREREQSEEEASQTQQQQVLKDRDEALKKLVVASAALNAAPEKEITAAYNLVIHL VRQSSNPGMFLPRICAFLAKPFPSAPQHGPSIALSILSTIFNTLAPSDGVRYHVFLAV LAVIRTSSSTLAFEALKTQLKNQLSHWITAWNIDEEDVEKLHLAIADAAKQAGDDEMS YNHLVLALKAVPPSESSSDEARELAVRALVSALTYPFVFDFTPLTSSDAIQNLRSADP SLFELLEIFASDTLDTYEEFIKAAPLSSIHNLAESAEVLQTKMRVLTLASLAASSPSR SLPYDSIASALRVPREDVEKWVIDTIRAGLVEGKLSQLKGEFLVHRATYRVFGERQWS EVQGRLMVWRRSLENVLGVVRSEKERFIREGMAAANTANESGRGEGGARGGAGDRRKG GGHHREVDLVGGD UREG_07786 MSSQTKPSTASAPPAPSTVPPELTPPLTSNNTAPRFSQKDVSVV FVLGGPGSGKGTQSANLVRDYGFSHLSAGDLLRAEQNREGSKYGELIRHYIREGLIVP MEITVALLSNAMADILEERRAQNTLRAGVPSRFLIDGFPRKMDQAVFFEETVVPSVAT LFLSCPEEVMLNRLLKRGETSGRADDNIESIHKRFRVFIDQSMPVVEHYEKEGKVMTV SSVGTIDEVYSGVKKEIEGRGIKPVKEN UREG_07787 MARRFQRGGLNHRPDRKENISPTAKGICYRLQSERGCDKGDACR FSHDLPKAPQAAGQANAPNGIIDAEFRRWTSLIPRPNSYSSSMGVDTSRFFSLGWELI EKNNPDTSQKIITKLATDEGLAMIRAMTDITVDRERLRASEFFRRTLAPFFQMISAPY VFSSPVLEASLDTICTFLFGPQGRRAIDVFEVAADALKKLLQDNVAEEQEHEANVLTA TLQVLQRVVDLNQKAQLLDEISTIAARIADAIPAGWPLCLARKSLGRIQQRLGISVPT FVEKPDVNLQNAIFDINYDLPGNLSREGRRHDNDHEDITKIKVLPTTDEISSDRPEYL PVSGDEGQPQGMANLLDRHFRLVREDTIAPIRDAIRIEREKVDNPNGNNKSKRRLKNN ARYVVYQNVCLTRLKFDTKKGLLVQAEFDQPLPVVNLAERQRRDWWENAKQLRGDSLV CYISSAGNTIFFQVFSPHFDTQKTNEETKGPEATGPSRDGKFRRAAALFKDQDRASIT LALVEPREEDIMWITTHLDRRPKLRQSLLEFPSVLLAAFEPTLRALQHMSLSPTRVPF ADILPPGKPGVGLINPPPPSYAQAQRFSFDLAPLTEGKPLSLTPGRVLDYEEMQKMTT LDEAQRISIINALSHSFAIIQGPPGTGKSYTGVSLIKTLLANREKAGLGPIICVCYTN HALDQLLVHLIKSGVDQVVRIGSRSKCPLVQDLNLYSLSRKLPPTKTERAMKGKYFQA LDEETSRIVGNLPIFENPGSWQNIQMHLEDHPLHYKELFGPEIDSEEFQKVKVKPNKA IKRWLNSKTPNQSSSRPISELWNTSLWEMSRNERKRLYDRWVNDIIRNHTNHLLDSLD ECRKAKNIIDGCHQEQSIRCLDQANVVGVTTAGLARNIEMLRRVPAKVLVCEEAGEVL EAHTLTALLPSIEHAILIGDHQQLRPQINTYEFQHDHPSGNRYSLDVSLFERLINPET GDAKLPFSTLRTQRRMHPSIAELVRSTIYPRLQDHPSVHEYPGVPGMRDRLFWLNHQH LEDSPDRSQTTSFSKTNLFEVEMVNGLVSHLVRQGTYKREGIAVITPYLGQLQKLRER LGRSIEIVVADKDLEDLEAMDLLDDVIDTGKNIMQKTALVNAVRLATVDNFQGEEAKV IVISLVRSNNERNCGFLRSPNRANVLLSRAQHGMYIIGNAETASSVPMWAKVISLLKG KNQMGPRLALCCPRHPEILTGVSKPDDFVVFSPEGGCNRKCESRLRCGHRCPNMCHSE SLHDTVRCLERCVRPMKGCDHSCPKVCGDPCETNCQVPVPGITLKCGHKPSSLKCYQA QTPSLYSCKVPTETKAPICGHKVVVPCGKLPLKDKYQCKVVCGQLLPCGHSCAKLCME CRPADDSGVFNHGVCKIECGRSYKTCSHVCKATCHGESPCPLCEEPCEIRCTHSQCAK KCHEPCAPCIEECTWACPHQGKCELPCAVPCAILPCSKRCPEMLQCGHQCPSICGEEC PDPRYCQVCAEQSIKQTMVDYIMGTTYADTNLDENPCIIPQCGHIISMESLDAHQGMG QYYVISEEAGKGDTIMGLKPSEPLSESESKRCPVCRGPLNTTRRYARIVKRRWIDEAT KKFIAWAHKSFLPLAEKMMELQTQLAKQKKSKDSSPLVDVIDVKLKGSNNEQINEIVK IVRPDKRYQEILKLRRNIWRFLARVDESEQPFQRIYALVEDVKRQRGIAANMENSPTL LQVRHRLLTTALVLRCDYVIIGHFLTVTVCEAKTCQISVDFSMTRRYCEKLAHESQQR TQPAIAVEGHILWARFAALECGRKEDADELLIQAKEHLRQAHTICEKFPGQTAGLVTE ISEVDKMLRSSTVYLPVTNAERAAVYAAMALELQGTGHWYYCVNGHPFTIGECGMPME EAMCPQCGSLVGGRQHEVAEGVTRARDLDDQFGRLTV UREG_07788 MAKSHHSEDSFEPVSPMDVVQPKRRSVGFGAVKTIDVEDTQSPS DSNPSTLSPPRTPRFSEATSVHSPVASSSRSPFADPPATAESSSEPNVSDLGFGYVAD NQPSNHASGPQTSPPGSPLKSALKSPGTPGRLVNPLSPTFKEEQIVEYYEKETEEENA KDVKIKTRVRIAKFLLRGVNFSCSLIILALLAHSFVVFNATKNLASRGNFKPWSPDTN PWPQIVIVVVASISVLLCVCVFIAYCRGGHRRAEKIGVYYTVFGACFFAFTIVMWVVA AVVLQHSKNSGSEKDLWGWACNENQRREIYADVVDYALVCRMQDWILVCIIIEVVVDT ITVAIYGVVFYRFWSKRKLRKSMYARDKARSDLYLATLRSQSAPNTPGYPLSPGSMQF PKSAASGIDPYSVAENGGQRTQFAQPTPSSNLPFQLQPPPIRVQAPTRDNSPTDGEPP ASAGLVQVLNEHVGPAPGEQKYESVPIPGSYAGPVNSPTFMPQSSAHQRQGSRESENQ ALGTAVTTDNAEPPRNQ UREG_07789 MPRVVNPPTKNHGRRGSSRHDSTPKASTMKIPLNDDVGEKVARL QSRQALHDMQMNQIKAAVKTPMPPRRMNYDRASASPRTPRASMRGRESDVDALGRAVT PMKRVPILANFEEWMKMATDNKINATNSWNFALIDYFHDMSLLKEGDGVNFQKASCTL DGCVKIYTSRVDSVATETGKLLSGLAESNNTSKRRHENEEAAEEDGDEEEETGEDGIR RKRKKVSRSHEATLAPSFASLQLKKFELEFAVDPLFKKASADFDEGGAKGLLLNHLSI DGQGRIVFDSSDDVDATAEGDEQQREGEQEGSKSPTPRPQTANSIDEQVEIDLGPLAS KFFPDLDSLAEQDICPSLKNLDFGDGTLDIPFLRAPEDWRQENAQPDQGLRDASGIML DDDIAIGFDDDDGTVSGFDIGGDVGFGEGGEVWAREAALEPMLKVHRVDSGDGPNNDS LGDWAGPEHWKIRRIKETTASNSNPAPRQRKEKEPFEINFSSPLDLAVAEIIYAQTSS NSAISLPKSQWKTKGRNLLPDDKHFNSRNLLRLFLKPRARMGFHARNKAGQMNNRRPN EFSSTNNGEIDEAFWASQKPGMGQTNADENEAVTGTYDADFFADDDGLAFPHGLPLGV DDDDDNLPFADAREAFSPTMDPNAPQGSLGRRVRRPLRVAKKVDVRRLKEVMWKGMGD RLIASSFSSASPSAAVATSPPAPVSDPNTTSADLNSEASTQHNPSSDESENLRFTNII NNLQGSYPEQAMRDISTSYCFICLLHLANEKGLVLENQNGLEGDWNGRLEEIFVSKDM GAVIEGEV UREG_07790 MKFQFPTIHVEQSKSAFAEGNTRWTNHDLDPIPPHLRKWGVLSF VAYWISDGFVVATWQFASGVIAVGLSWRESLIISAVGYFIISIVIALNGATGVLYHSP FPVIARASWGFWGSYIAIVSRLILALFWFSINNMNGANAVRIMIGSIWPSFLTLRNTI PEEQGITTNGMISYLLFFILQFPFLCIHPNKLRWLFMAKSTIVPVSWIAMLVWAFRTT DAGKLFQGNASLRGSEYSWTFLASLTSAIGSYATLSVNQADFSRYSRVSVMWQLIYVP LLPAVFTFVSFIGIVVSSAGQARYGGEIPWDPNTLISLWDNRTCQFFAGFSLALAAVG ANISANSLSAANDLMALAPQYINIRRGQLLCGLLSWFLMPWKILKSAQVFLNFMSA UREG_07791 MQGFLETVPLRAMAARKSRPRYSIRPFYLVLTVLLALAAITVFL PSPSGLQTSRETPHLRKRLVTERQEWSNIAEDEQEKCRLVHKAHDQCAYVLANCKDHE VGLLSYLQLYYCKLPQAKPVAFAIIALWLSLLFSTIGIAASDFLCINLSTMASILGMS ESLTGVTFVAFGNGSPDVFSTFAAMSSNSGSLAIGELIGAAGFISAVVAGSMALVRPF RVARRSFVRDIAFFTFAASFSLVFIADGKLHVWECVIMIGFYFFYVVTVVTWHWYLGR QRLKRERDLMARAHFHIPQNQELDIQEEPEDDDAPVAGAQRPTLLGPSDEDFDALERA DIPAWKVEDEEDDETRDRYLAELQGNMRVSRAPAGQRGAAMGAIRPSLVGALEFRSVL SSLQRSRSFHSGRIHLRNYSDDPNHSESYPDTLSPTIPSIHGRARAVSANPAVRVPLH NNRRNDAASDRGVPIASRRAANFASAFTDQEHICFASPTSSGYPSRAHSPALIERAGS PNFLAPPESPFRPLNYQSERGATQGASLSPSPLSPRAKQPHGTPASSIKSSGPHSPTL PFPPYQDDVASVRPGIPSIRLPPASTSGSVYASEHYIRSHHEEKPLAWWPYRLLPPPI LFVSTLFPTLSGWAEKSVWDKVLGITAAPSVLFLTITLPVVEPPVAEPETEPVSVFVS PPLSPNEDPGMVASDTPLLHSIEPDTDAHLPLPMIPSNGNSARGQQPKPTVPPGARHR HDSEAPVLPTQLEQNTSVPKQWNRWLLTLQLVTAPLFIALTVWANLDSDMNGRNLLVP ALAALVVSAVLLTILLVTTKPTTKQLPSRARPFLAFLGFIVSIAWISTLATEVVNVLK SVGVILSISDSLLGLTVFAVGNSLGDLVADVTVARLGYPVMALSACFGGPMLNILIGI GVGGLYMTLNPASKHSSSYSGLNTIMARAVSAAEEPYRISVSKSLLISGAVLLATLVG LLIVVPLNGWRMDRKIGLGLVTLWCVSTVCNVIVEIVT UREG_07792 MYSSSNSFLNPNAARAGQPQYGQPQQPFAGFQQQQQQPTGFAPQ PTGFAGGQMQSMQPQPTGFPGGPFQQSSFQPPPQPPQMTGYPSQNQASPFQQQQQQPQ ATQQQSLAAPPMPSRPAGQTSSQIAQSFQQSSTPTPQAPQPHSTSKIPNMRLSFITSQ DQAKFEQLFKSAVGDGQSMDGDTARDLLLRSKLPGSDLSKIWVLSDTTKSGHLLFPEF ALAMYLCNLRLTGRELPSMLPERVRNEVSSMVDIISFAVPDDHPPAVPKTNVPSFEQP LMQNTSAPPAPQQPQPQQPSNSQLLSQLTAQPTGFYNQATGFQPPSLAPQPTGFPPQN TSLRMQPTGLQPNPQATGYTGPRPPMPPMPAGFGSNLPPSQTGATGLTAQPTGMPGQW GFVNAPATGLPNIEALKQRLMPQPGREGGYTTAGLSGNATIPWAVTKDEKKIYDQLFR AWDGLGKGFIGGDVAIEIMGQSGLDRQDLERIWTLSDPYNRGRLNMDEFAVAMHLIYR KLNGYPIPNRLPPELIPPSKRNLDDSIGTVKSMLSQDAEQRKASGAFLQPQKTGVSYL KTHSFRTGSASPGVGRKDATVFKNNDDAAAYKSSARRRAGGTPSPSPSSTPAEKVNDD LPVEQLKKKIHETKIMLDATDFRDESRAEEDEVLNRRDRREAESLMERIRRVQDDIDT DPKAAFRNADAGAERRSLRRQLQAFQDQLPQLASDVRKLERSIADSKLELFRLKDAKL HPGTAMDIIGTGPGGAITEADRIKARARARMQARAAELAGRPPPQVDDGSATRRLEEE KASVMAERERHDAMTREVEESVKEFSLSLEDNLKDQDENSTRDHERRRWEEALGVEDQ IRDFIYDLQRNRKTAKIRKDEERASRADSSSYLRAGNQYKSPSANGDAQREASPLRST STSPSFAGMTHEERVLSARERAQKRIAERMAAAGLKPSSDTGPSMSDRQERERREKEE RRKRAEEEDAKREAERQRRLAQEKSATAAPPPKPASKKPPPPPSRKARTDGSETSEAK KAEETALALRAKAQQEEKERILRHEQEAQGAERKRLEEEARYQEEELAREKQAAQARL QALEEQVRQGKIKKQEEKRRKQQAEKDAKEKEARLAIQRAELEAAQARERELQRQLEN MGDEESSSDDEGPIDLSAQDSVHDFGPPPSVSHPAPLAAPPAPPVPAIQEPIQDEEFE QTPTLQSPAVTPESVKQTLPPDTESRNPYFRQLSQSSENQQFGTSQVPQAAPEMQSTN PFHRLAQQETTAKSTFSPDATVPGPLERKSRVRPEEDDWSVAESENESDEDDDRAAGG SAKQLASILFGTMAPPRPLSSMDDKSESKTATPVQDGPIAPPPPPPLPAAEPESEPEF EQERETNDMSPVAPDDSGFYTPPSIPPPPPPPIPSMEAPAGSPPPPPPPPGAAPPPPG GAPPPPPGPPPPPAPPGSALGPTGPSAGRGALFADIKAGKGLKKVATKDRSAAVAGRV L UREG_07793 MARFESFVLGPGEKKVEMEPDTRLPSTAIFTFNKEDHTLGNLIR SQLLKSQHVQFAGYKVPHPLVHKFMLRVQTDGTITPKDAVLTACHELVKDLGTLSREF TKEFELRKMASTAPQQQNAQNGV UREG_07794 MTVARNIAREEGVHRKGRNQQQVRTCAAATQHSCAPTTKQVYRV EYSELPPAPIMQGSGMGYQRKDILGIRVSREGYCDAKSAQHYSYQLRRQGTVWTKKKP MISKFHRLQARINSSEERGGHAAYQFTIMASIAARTALTAAYPWTRTPLVTSAPMLRI ALAPLAVAVSRAGGLGFLAAGFDMADLEANFQNAVQLVRQCQVDKPDFTGADKDILPV GVGFLNWGADISQAIPLIAKYIPAAIGNVADALNIARSVKPDVLVVQGSDAGGHGLKQ SASIISLLPEVKDALDAEGFGNTPLIAAGGIVDGRGMAAALCLGAEGITMGTRFLACE EANIASGYRKEILRVQDGGIVTGRTTVYDRVRGINKWPEEYDGRGVLNESYNDAEKGM SDEENQRLYGIEMKKGDDGWGPTGRMTTYAGTGVGLVKEVVSAGKIVDDVLSQTTRIL PRSSMT UREG_07795 MPIKLPKGFARRKSSGNALEEVQPPVEPSFRVFERPHGPSKSFD GSSALQRMSGSHREASLDDPYPDNIFAGIERPSTGSQYVPDPQPARTRAGKLTGSTGS GGTNNSSSTGRLYDSSSSAKFSSSSTLPSDNSTHDITVPPIPESSFASALRAAGRTFS FGTKPPKIVPPNQTTPRQSHSMARERAMTASTTSTATPPRLLESDLNLVPPKGKHPNG SSLLSPIRIDRPSNSIPTPSPSDSQTSRDGLMGSASPEGRTSTSSNNSHHNTVRFSDE RNRNVNEYSHLGGRNLTSNTNASYTNGSLSSSNATLTPNRPPMPTFMVESPRAPADPE VSSMYGSDKDFTTVPLNHRAPQSMSSIRPIGSKQNEQSLCAEASLAARYEEVAMKNDE KPKKVMTPAQFERYREQQELTRQQNNDSDSDSSDHESEEEEDEAEKKRQAANQRKKQE AHLSVYRQQMMKITGEQMKVPNLETKRESLEAATPPANANRQSVLIPEPTPNAKSTDG DDDDDVPLAILAAHGFPSRNRPPSQLMTSSSNPNLRSSYIASPPSVVGELPPKRKTLP VFARNLPQDPYYGAGLVNQPQRESLALGGGTPSVHGGQTPAMPPGGLVGMIANEERAR AMRRGSPNAHVSFDMPNTTSAMNQLNLGGMSSGLISQIGAPHNAPAPPDQSQIQLTQT DDPNDANADSVDATNDADAKSATSTYANDQSKSLAASWAKPASYVHVIKPQCISTWST SGRSENTEYA UREG_07796 MYEKPVLANNTRSRRNIQGPYSHNISAAAIRDSYRRRVETAAQQ DEVENSVDAPDPEEEDSVYEEIEATRKRTRKTTAAAKAKAKKKSKRSADQGDSEDDDE LLGKMMYQKKKSVPGQLANCENCSKRFTVTPYSKTGPAGGLLCTQCSKQQTAEEKKAK GKTLKPSKRGRRQNNSNLLDGIAQRGAFSLLEMCIKKVADNIHGIEEFGDLPQTLLHR LSQILSKRRAMTPRTLGLFLHSDATSIDIYDCAKLETEDFEKIFAFMPYLEKVNLRCA SQIKDSTLEYIMGRESHIKQLHLDSCNLVSDGCWQKLFQTCGNKLESLRLSNLDCSVG DETIGLMVQNCPNLRRLKLRECWKPGNESLKSISTLAKLEHLSLDLMQETESESITQL IQKVGAKLQTLSLRGFKNANDETLATIREQCRRLTKFRFTNNSTCTDKGYAHLFTEWQ TPPLSFVDLSGARHIDNAMPDGLEEPVGLASEGFKALMQHSGDGIERLNISSCRHVSF DALASVFDGKQRYGKLKELDISFQTKADDFLVNCIFKSCPALTKVIAFACFNIRNPQV PAGVALIGGVKAHNSIAVEDGLAG UREG_07797 MAPRKGKTPKAAPRDRVRPSPVDQNPMAVPSLDGQSLNPRRSSR IRAVAVEIPKMTVSSISSPAAMSESESAQSNSNVYNTPATTTLPTPIESDSMPSRRPK RVSASARALELQNSSFGLRSGRKRNAAELTVQESLTESTDARLALALQAEEYGEEHDI KRRKTFKPPTNRRRVENSTDGDYDELEDISFGLSARQNMDKRPMSPPRNSIPTTESDL IIKSKSARDRQNVSHGLESYLELDDGFITDPEGLLNDDDYYDFYNYDDSDSPEDRLSL GGGFGSDSENSDSAAFFSLLPRHSRFRPKRLTRAERERKKLEEQHPNVATMWEDLQNI PIITPAPAPQPAGISRKLKPFQLEGLSWMKQQEQSQWKGGLLGDEMGMGKTIQAVSLL MSDYPVGVPSLVVVPPVALMQWQSEIKSYTDGKLKVFIYHGSNSKVKNITVKELKSYD IIMISYSGLESMHRKEVKGWTRGKGLVKEDSIIHSIHFHRLILDEAHNIKQRTTSVAR ACFALKANYKWCLSGTPVQNRIGEFFSLLRFLDVKPFACYFCKRCPCEELHWSQDELK RCVHCKHTIMLRRVKKDHTASMELPPKRQFDTYVSRGVMLNNYANIFGLIMQMRQVAN HPDLILKKHAEGGQNVLVCSICDEPAEEAIRSRCKHEFCRQCAKEYIQSFESRGEPDC PRCHIPLSIDFEQPDIEQEEGEVKKNSIINRIKMEDWTSSTKIEMLVYDLYKLRSKKQ THKSIVFSQFTSMLQLVEWRLHRAGISTVMLDGSMTPVQRQKSIDYFMNNVDVEVFLV SLKAGGVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQRRPCVITKLCIEDSVES RMVLLQEKKANMINGTINKDQSEALEKLTPEDMEFLFRGN UREG_07798 MASTQQNGSQTAHNGHESTSVAEKIMSHSSKGPAGGFDDTPIPS APPGFTLKFTVHRAQNLPLGDFSSLSSDPYVLMILGTALPRRHKQDPDLTFRTPTVRK DINPVWNCEWIVANVPASGFNLKCRVYDEDSADHDDRLGTAYIDADSIDEHWSGFKEQ HFKVRKKTGSNRAYLLRSIAATVSKNFSSKGELIVSVECLGKTPGDKGGQIYTVGPNH WTRHFSPMIGRLVGTKDSVQSSTGNKPTTRYNFQAIQIQLAGPVPSKLYHRYVEFKPF VAGMFNARISRIRTPMTQGGRIFTYVLTWDGQWRFTETGKEFGIDLLSKHTMHSNVSI YIAYSGEFFIRPIHHRHHHHHQHPHKRKTVNPLALDGLDADLDSVANGNEAGDLVVVS TDPADYELIIDNDSGTYRPNADLLPLLKEFMEKNLPGLKVTTLDCQKDAEKMGKLKEE QRERKKATGRHMAYLQRRLSSSGSSLSSSDEEELEHRATQVPKHRSPLSQRLHWVMDP KARYKEWVVTGHVDRPPIARRQSHTLPMNGNDPATRAEAS UREG_07799 MGNVSSRPDDPAVLYLKDQVKSSLTITNYRGHGILNASPNSFPA TRVTVKRDPGDDTPIEFVLDPDSVTSNTTPTFLLRLTNEDELTFKFTFIIRQTQISSV TTSTVNGVATTLPEAVDTTLKGVTFAHASNSKELDNLITREFHANPNLQNNSNVQHVG TFSTGGSPSVQFDWTWKWKPPKRAEDRGGGWKNCCSFLDYDERTNRLNTLATFTFWVQ NATRPLSSPIITSPRFELAVPPRNRNVSSQSVISRMSEVEGYGDVNAPPSPIENVEVF PNATAPTVPVKLEICQRPGEDMSAVEDGPLFRATMKALEQKTGNMRAKMKKLLKKAEA VHQRQVAYNESVGAFLFALNEASTSNANAIQPALEHYFDKIAKEILVWEQQNSTNLQK LIIDPLSRLYNNDIKQAESKKKEFEDESRDYYAYVGRYLGQRQDSLKEKKRAESDSKY QSKRRLFELKRFDYSSFMQDLHGGRKEQEVLSYLTKYANTQAQSYLSTAKKVEEMLPQ LEALIHEVSEADKEFQFQRTEREEKRRALEQSSKKYIEPEGSSGPPVAPNTAPYTSDS ELGRADSTGSQGKGLTGNRPSFTSSSNVAGGTLSPSGQNRFKGIRDMEERDHSATANS EKAHSQQKKEGLLWALSRPGSHIDPKGINKQAWHKFWIVLDQGKLSEYSNWKQKLDLH MEPIDLRMASVREARNAERRFCFEVITPQFKRIYQATSEEDMRNWITAINNALQTAVE SGRGAPPPPASDGGIHKTIGSALAGKTTSSNTSNGNNWCADCGSSSKVEWVSINLGIV LCIECSGIHRSLGTHISKIRSLTLDIHSFSNDIVEILLQIGNRVSNMIWEAMLNPALR PTAQSTRDQRLKFITAKYAERAYIRPLSSTLSRYGTADETLLASIKQNEIQGVLYGIA LGANLNATDRSRHTHAVFLALAAADPASPASSTTSLSNRAKSAPQPPTGIKAIPFPIA ELLVQNGAEIPIEMPAIPLSPAAQLYVNQRTGRVVGSGMTTAIAGGGDTISALPTIRD FGSGTNSVMSPSPAEMNTKEREKLHKRGSAGARFAGKVTSFGS UREG_07800 MAQKPNGYQLNCQRSRVATSRSSLAGLAARASNDDEGGVDEQRA MQANGHPVRFRMQRDGEFAECDTRSMESRLQKSGRSCEG UREG_07801 MAHESNSPPSPQSPRRRRSSFTELFQRQGNAVPPTPSSQPRPIY TSGIANAPGQQHQRRMSLNTLGLSGSPTQVHPFGPPAMRRGSVSSSVMSTSPTIEQAV VEEPEGESSPMTAPATPFARRVSFGTQALRGNSNGTYHSLGRSQPSRTRSSSLFGSTS SNGDGVALSASTSHNLFQNVKSNPSSYRQLGEGFNWAESLRIRAERAPSVGNFPPTSP TMPHSGMVHQRSASVATMEAPPPERMEQAKQPQPRSRPKPDYFQEKILRADFMD UREG_07802 MSRQSTYIRRAAFERLATLTAKHSEPHTISDLDRLRSCCQRPAA SPTGFLDGVFKGRVAPSPIRFRELDALLALCEAAKSSHRPEDVAKLVVLFTDYLPDCP SLTLHPSPFLHSFETPPWELLTRSLITALLSLGSRHASYHDQIYSSVLAYIDNCYQLA KNLPALDDQGDRIGDHGNPEDIADVATVAVSLVGLLDGMSTAVHFFSPNERLHIIKQL RLVLSEDLLVAVETTSSTIRNLQTSDLSYQHWRRYARVSAAGGRPLSSMLLQKAFLGF VKACTSPISKDGRVVPDDALLDRYINSLDSLRQDSDDLGPLAKYSAQIAIDQVQLLEE GSDYLQLGVPWQQHLAFTAKAFALVIFVNCIILDEDAADIDVLISWLESTILDVDKMA NKELATVTFKAFVVLSKLSRLNAPNLTRSLLRFIVQNRQSGAILAVAARSLAQNLQLL SQDTIIGTLYSLGNVLSANPAHENAHQHASMLTDETNIYSRNFAVQSQQSSESIISFT LNGEEDTLIAYKNVVHAIITVATSSKDGKIIALAQSMLLQKIGRVNILLDACIVEETV ALALEHDPPEFQLLLKFYARLYRDAAAQGNALVVEAVHKARNYLAICLQKDNPLFRIY LIFLLESIVNKGGATDREKDRTKETELSSEEIYPYLPPVASLLAKLESVDDLPGGARE NGDILSLLRDTWFNIAAHGIVLSSEIGYRHREELRVLANLSPPLISENRAESLESDIE LNTVLRRGLSSPHSSDRKKSLIQELPALEHEIKRLSYPKVVFLNATLLLESLRASSGN FTKVLIYSIDPALNSPDIANCMRAIVDKVVTLYLERALSNNSARFSAPFISQQLAQAF IACCHRIESVQQTSSAAAAKIIAKCPSSLCEKRSLFTLLDLLTLLWSSCLDEELDEYE WKSTFTSVKSKVEIELSDNYEFRKHTLNNFYNLARSWVVAVTNIAPLDVKGLLQTYLS EYDDDGGYGHTSLGRSFALEIGSIIPLSDHRLANGAGSESFLPDTEDICETLSGLESQ ISQQKKIPLDTPRNVLRRAAARLCNRADCEPSLISHLVNIPFQIFTKESIKMGISLWL GVINENPETQPRIIVEVAQAWERTLRRKKGIFDPSFNAVTKLQRKAQNIISPHLRLLQ FFESHFNATRLASPQIQKIFRRLVNTTLNSLRHTAGHPLAREFHFHTILFSLKVLRYC KGQSKVALWKLKDQILSAALSWFSQPPRWSFGANRLQIKAEDKILQGIESYLSVNSWI EPRDTTTRKSLKAKQDLVLILVENERSRLKVWLSPLDTEKRHHPVNKASEGAISGLLR LAWAENPSLAIQLSSRFPSSKLQSDVRWLVFNFPEKTLNEPDSLEILLGSSLPQDITF QLKVFIFLLNQQRGSNSLLQYLLFWAPTNPIAAVTYFLPAYGNHPFIIQYAMRALESH SVDVSFFYIPQLVQALRYDALGYVERYLFETAQLSPHFAHQVIWNMKANAFKDEDSQI PDPVKPALDKFMDELISSFSDADRDFYEREFSFFHEITDISGKLRPYIKRSKPEKKEK IEEELRKIKVEVGVYLPSNPDGVVVGIDPQSL UREG_07803 MPPQNEKSGLKRGRISAGNPEPDVKKPRRSERISSQLAQSQVVR KNKPYTPTPLTYQASTATELHKEATATPPEGRPSQLRHYTPALDNLTQFSSPPGDTQA FSQNLSRAFVTGVEDEAAEGVWGYLVPLDNRFGDTLVLRKRDTCFSTPVCGAAKNGTS RLKRRKGKEKATPRELNPSGYLIGRHSECAGLNRSPVFPKPLNHRLIVAADLILEIPT ISNRHCLIFHENRKGDSVAILEDLSSNGTFVNEAIVGRNKHRELEDGDEITVLDEARF IFRYPRNRNTGGFRQQYRILEQLGKGHFATVYLCAERATGAKYAVKRFETRMGSSNKS DNDALQQEIAVLKSVNHTNVLCLKDTFEESDAVYLILELAPEGELFNWVVSHQKLTED ETRHLFLQLFQGLKYLHERNIVHRDIKPENILLMDKHLTAKLADFGLAKIIGEDSFTT TLCGTPSYVAPEILENSKHRRYTRAVDIWSLGVVLYICLCGFPPFSDELYTAENPLTL ADQIKMGQFDYPSPYWDSVGDLALDLIDRMLTVDVDKRITIDECLQHPWLTGKYPSVA DSTDGLTGAMGQLDFSRRKMERERTLLSNINDVVVSQVLDDDREHGYGQVKIFDKNTA GKRVHNQPAKGPKYRPEPKPADNRDPGEFMHLGGRGDQTLFAHDPDSRYESDEAPRGR RRT UREG_07804 MGVLADLFAGARQACSTQPLWTLALLGLGAFITLSVVVNVLNQI LFKNPNEPPVVFHWFPVIGSTITYGIDPYNFFFSCREKYGDIFTFILLGKKTTVYLGT KGNDFILNGKLKDVCAEEVYSPLTTPVFGRHVVYDCPNAKLMEQKKFVKFGLTSEALR SYVTLITREVEQYLENSPAFKGDSGILSVAKVVAEITIYTASRSLQGKEVRDKFDSSF AELYGDLDMGFAAINFMFPWAPLPHNRKRDRAQQKMAQIYTDIIRERRAAGGEKDSED MVWNLMSSVYKDGTPVPDIEVAHMMIALLMAGQHSSSSTGSWIVLRLASRPDILEELY EEQLRVLGPDLPPLTYESLQRLELHSNVIKETLRIHAPIHSILRAVKSPMPVDGTKYV IPTTHNLLAAPGVASRLPDYFPDPMLWNPHRWEKGGAVNTTGVLDEGAEEKIDYGYGL VSKGANSPYLPFGSGRHRCIGEQFAYVQLGTITAALVRALKLKKLDGDKEIPNTDYSV SRKGICH UREG_07805 MAATKSAFNPLLSFRFPRTFHTSYLCARHLHKTIRPGFIPSPTP FVPDTQTFLTLIGRNMSQYSSKITSWEQLFTVSSEELREMGMEPARQRRYLLRWVDKF RRGEYGVGGHLDHVTDGVAELRAIEVPREQLHATNTKTDDVPAKSPLGLAGTATSSPG CKWVIVNLPAGETELKNKPSTFKKYPKFRLHRTNKIKGPYLQLLPGANGSAAKISVQE GMWEDKLGRKIDGGERRRAEVRAKRRIAESKK UREG_07806 MGGGTPGLLVEGAPTSEIANEPELELSEQLNAEIRHSYIKDKKL GEGTYAIVYLGHLRSDPTSLVAIKKIKVNTEYKDGLSMDAIREVKYLQELSHPNVIAL HAVFSSKDRNLNLVLEYLPLGDLEMLIKDSTIQYGAADIKAWIGMLSRGVWFCHENFV LHRDIKPNNLLIASDGEVKLADFGLARSFADPRLNMTHQVITRWYRPLELLYGARQYS GAVDVWSMGMVFAELLLRVPFAAGNTDLDQISKICAAFGTPTEENWPGVTKLPNFVPV EESQIIPLQGKDFFLRQFPTVGSLGADLLASMLKLDPRKRSTVKQILQHAWWAAEPKP TQKENLPRKSGGAKKMGDDLSRKGGEIGKPFQNAARRLDFGGLLHK UREG_07807 MSLRVLCKDHPQRSIALVTADNHALTLEYSPPQSESAASSGSYS NVVPPRCVVKFSRLSSLDDADHRLLGIGYGTLGLLTLNSDVFLCIIANLSAVANPRPN ETVQRIENVEFYCLNRPDYDAVLDFYEGNASYSQSNLEADYGTAFQGKDTVTENPFLA LKKLLSDGSFYYSTDFNLTNRMQDRVSQDAFDIESLDEDFLWNSYMIGPLLQFRNKLA DHERNLLDSTQILTSVIRGFVQSMTIPASSPLLGTSRSHLPSTLTLISRLSSRRAGTR FNSRGIDDDGRVSNFVETETVLWIPPGITFSYIQVRGSIPIFWEQTPGLIPGQQKIQV TRSVGATQHAFDRHFEALQLDYGVTHVINLLSEVKPGEVELSERYRYHMRQSPLRRAR DEGTSSAHHLLQWTDYDFHAETRGPAGYGNAVLIEHKLGPSIDGFAYFLSENSGSTTL QSSRLSDKPAVILQQEGVFRTNCLDCLDRTNLVQTLISRMVLERFLKQYHGTQVDKFW LIHSTLWADNGDMLSKGYAGTGALKSSFTRHGKMSLAGAIADARKSVTRLYFNNFTDN AKQNTIDILLGALTGQAAVHLYDPVNDLVRAELTGRSTEFSSKKVIRVWTGTFNVNGR PYDITENLGVWLHAHLSKTSEEPTLLAVGFQEIVELSPQQIMSTDPASRKVWEEAVKK ALDEETSRRGTNEYILLRSGQLVGAALLLFVKKDALKEIKNVEGSVKKTGLSGMAGNK GGCAIRLEFSATRICFVTAHLAAGFANYEERNRDYYTIANGLRFQRNRTINDHDAVIW LGDLNYRVELNDDEYYSEGPITFPPTYRYNNGSDSYDTSEKRRIPAWCDRILWKGECL HQLEYNTAPLKMSDHRPVYAVFECMVCTVNEEVKASLSRELYEKHKRAIEPTGSPKSE HTEEGVPVRGYIAPGLSPAKLTWWLDNGLPVRSSASPPVGYVTSSGSRQNPFSALTEH DWVHIMPKGKDDKVPRRAKNENPLRNHSSPDNIMGASARGVLVDVGLTGHENAAGSKK ETNHLQQLIEIPEPKKIPPPIPKKPFELSNPSQSFGPFSKSKSSIVTSTRDPIKIENS DSPRQTGDSWSNLPYRGKETRLLSGKMDNMEGTSHHRLIETRNEPDNSGLLDSEIDMG MAEWKPLQPRQ UREG_07808 MGLAGPKKRSKISHDPNNTTWSRSTTGYGHRIMSAQGWTPGSFL GAPNAAHSSSYTSASSSHIRVVLKDDTLGLGARPRNPLAEDEPTGLDAFKDLLGRLNG KSETELVQEQRRREDIKLLSYVERRWKTMAFIPGGYLVKEDSIDDLSDEHEAAGRDSS GLVKESLKTTRTCHKTEDRKETTADSAKINKSADKQANVTASELESYSKRNKKKSKKR KEIEAQAEESERKPHISIEASSPVDKPTAKLKRKRETAIEIKEHRPLGRHVIRNRYIQ QKKMALMDTKSLNENPMHLVRSIESA UREG_07809 MAQHTPFSTPRASNLAQLEDNLVENLLSPFTPTPKSRNQIHPDP VEAIPLTPQFDGPKLPLDLALKQERFLAIAARAYQEAVKLPETPPPTVWAPNDKMHSY YEWLLYRFGAELRSNLQRQGVFDYLTFRNEWLRHSRSITDNIRAEWGLHPLGSIAGIR TTLKN UREG_07810 MPPPLGWKVGNSLHPYYNSMLCRFHNHMCDMLKQHGTGLFENKS EATNAVNSYKEYIIREYRKTWIQMFGLPDFKLHIDVES UREG_07811 MNGLREEEHQPTTNGYPVSPLTKQSQANGCERDGIVDGTPRCGG SISTEETWQDGGTPPIGLRTGPGPLNYLDGPSAPECKRTLNQSRPRLQGTESPLGKYI FGYHPHGIISHGAFAAFATEALGFSELFPGITNSLLTLDSNFRLPFYRDYALAMGLAS VSRESCENLLSKGGADGEGMGRAITIVVGGARESLDAQPHMIRLFLKRRKGFIKLAIR TGADLVPVLAFGENELYKQVASDQHPLIHKFQLLVKRTMGFTIPLFHARGVFNYDVGL MPYRRPLNIVVGKPIPVLQQPHRDKIEDKYVDDLHARYVASLQTLWEEWKDTFATERQ SELEIN UREG_07812 MIISSRRDPYRTAVRRAPTPSNIRLTPRTLRVPFIDSPLPSPGL PSIFPRRTSKPSRSRLLRNWRTLGLVIGCCIVIAWLILPPRHVDSAALSYQSQGGDAY EIVESNVLPDIAGPIMIKDKKGRARWTISLPPERSLPLPPSDYARICMEAEDLATHVA RTMNAKPRTANGQYYGFHYEDKSFLDIADAENQGLLPSTVSVQPGAVAGSEGYQTDLP LCKRSLTFLLQSDDAGLGPTLMGLWMAYGFAKAEGRDFFIDDSSWAYGTYTTYFKPPP IPACRPPPVSHRIPCPAQARHLLISPSTFRWIFDSTFDEKFQSRHQTGVDRHKPIFSL LRTGFECLFRLNDQDNELYKKRIKELRAESNGAQIGIHIRRGDRHPLEFQYENSYIPL DVYARGAEELVKSIRAPDLSHLEGGAMILASDDPDVHFAPEMMHTRKAQSFISLISKS TLDTVSPGQKRPVDENSGWEGGFFSSLFWSLGSPLSRPMAPNSPPPSGYPTTRSAHKG HGQSSSPSADRRTFLPDNDHFRFHPPESALRLREFVARAYLLDLAVLSSSDAIVCGVS SVSCRLLGVMLGWEKAIEQKKWKNVDGSMHWQGFIW UREG_07813 MPSTIPQPSRPTTNPPSAMLPPLPTNKPKRHPPDLGDARVSTAN ASLLKLRAPSSVKATAKPTAPSPPFSFSNATGRSPSMGYASNSPEKVLRRAVSVASFP HPPKTAVRPSHGYSSPSLYNLSAVSPRSPDAISASNSVKLKRPHRISTAIANTSQSPK TPTLLDKSGEGCSIMSVKTPPTSEAQFTTHSRTPSRSSSAQGSTYSTSATSFVDCDEN GRRERDEPRSREDPIAARRTSKRSSKIKEGKGNVIVSVRVRPDSTNNDDSKTEGEWLV DGRVALVSYRGKEGGDYFYDNVFGTQDNNAKVYDASAKRLVRRVMEGYHGTVFAYGMT GTGKTFSMQGTATSPGVIPLAITDIFCYIRETPHREFLLRVSYLEIYNEKIHDLLSTP SSAGIGPGAGQQEEIKLREDSKRGVYATPLKEEIVQSPTQLLRVIARGDHARRTGSTQ FNSRSSRSHAVVQIVVESRERVSAPNVTHEKRTGLAPGGVRVSTLSLIDLAGSERAAE NKERRTEGAHINKSLLTLGTVIARLSGDKDRATNQSDRDGKHLPYRDSKLTRLLQPAL SGNSLVSILCTIQIGSTGSAAATNTHANETLNTLKFAARAKNNIVSHAKRAEEALGGA TGDAGSRVLLERYRMEIQSLRAQLDKQAKSHSEQESRLEEKRVEREVAARHEEQMLEM QLARTALKERIEHLNRLILCSKSTGVNASGGYSIMGFPHFRGFDSGSLRSSGSHSTLG GVQLYRSGSTTSVKSNGGLPYDHYSPFGNTEHEEDTIGEFADGTASVQAQINALQADL ADKNRYISTLERRLLQARRSSHSRMSVGLSQLKACGTSPSDPEAAALLREKDLEIADL RLQLDDKDRMVAALRSAARQREIAQLAAETLPPDPPKLPAIPLRGHRANRQSCENNNS NHSNGPVVGAAAAGAVSPVKLLSPTKTSDPDNRDKKRRSVDEMSRMLDEMIQDRVESG HLIKGVRGSVRLAHGLRSKGFADMTSPSPTDTAPPLEGAATLPANADVSLA UREG_07814 MFGYDMSWAAFHVLEVMSSQNYLQKRVGYLGAVQSFRPDTEVLM LTTNLLKKVVKTSTKYSSGYRFSARADNVVTPLSPSSYHFPVASPVAAFGFAAPPLPF PSIGAEEDRSKPLPAIPGVSRSVSIGVAEDKRAPNGRAGRQQCHRRGDKRGVIKLQTK ATYPEAKFLVCFVDAVGTAPYSQTIAAFDDHYTNNVRHVPSLRVYQRRYSRRDPRRLR GGSHCASALQLVSTMVTSDTLQPVVDRLITQLRTSPLSDVSVTNLPLEVKLSADIEVE DPEVPLAGANRKQDATLVLPTDYRMEVLARILEACSRNTYSSIIDFEWYVDVLVQLVK LIPPLPDPRECDFLASKGQVEDNRAFAARIGLELRNVAVRVKSARAKATHAAESLVSV DHRAALFPNTINSAIPILESSSWVTGEFSEYLLVPEQTLSSLVHPSNITLPPKVLSSY LQAIPKVFVFLTRSAYGWSSVRQNEILIIIARIVSFLESLSSHHDLDVQERAIEFLEL FRIVSESVSKTETDTENAPLLLYSVIPSLFAGLDLNPVAADAQRKVPTPRFLDLDSPL NKQLPHILNEFENGSLDLWAHDDFHDFYNAPQPSLSGKPSPQETLPSNKPQSGSYQNL SDDFLSPIEASRRRAERRERNKDDPFYIQQSVDSFEPSRSSHSALRETPSEILDVDSI PIVDLAVEKDNIQILDARQAEKPRHRRKNFDIIADETIDVNEASLNAKASLVSRVVKT RKPLLEVDSSGLDHLTLEEKFETNSHPNKLQHGDLETNEMAEAMARIEQARLEMQRVS ECIHASDEIPSDGALVKRKKRKLGSAEGKKRRKPAAQKAAELTCNASECTNNDEATVG KKKKKKRVGKKETSYQAQAVIVAHMTTQLMSLRFKLRTY UREG_07815 MDETQRIQEAMAVVLSHTNPPCPRKITPKLNPANARKCGFKGTN IYGGDLRTLEANNEGHTPEDVCE UREG_07816 MQFLNILCLLLPASILAAVAEPSISPGFYRIIENYHSLSPIMND TVSNIEFKRVSDAPYHRWYFGLAKPYNRAYMISHGGKYINVESKHSAVAFLSNTSWTF FQIYYYSKAIWVIEPLNQPAGQQLQLSVNTDPNNHQRSVLLLKEALYSAGFSFIAPQS D UREG_07817 MRFLQLLTLLLPASFAAAAVAPAAPAASLTPPVEVWVPYRFEGG FHVFEHGGNRTPRQNNTVNYIQFGARDPYTSNQWSFRLADPYNGAYVLSYGRGGYFNA EPKSGTLVTLSDRSWSFFKLVLNPNGVWDIELLERPNGERFKLSVGHAAGHDPRAPPV LRVSKESYGFGFLHPPME UREG_07818 MGQSQSSRGDNSVRNHGGSNTDFYLILGVDPLAGAEEIKKAYRK KALELHPDRNYGNVESCTARFAEVQAAYDVLSDPQERAWYDSHRDAILCNASGSEGVC VDSRWTQTDDILNLMMRFNPHMEFSDSPSGYFGGLRKIFDQLAREESDACTMDALEPI DYPSFGYKNDGLEIVRQFYGVWGGFSTKKSFSWKDVYRYSEAPDRRVRRLMEKENKRL RDESSREFNDAIRSFVTFVKKRDPRLKVAVQTDIERQSLLRASAAMQAARSRSANEAR LGEYSVPKWAQREDLEEDLFSTEPETDRCHFDCVVCHKTFKSEKQFEAHERSKKHAKA LKQLRYEMEIEDEHFRIDHSDPQSADNLQSKEAGIPSSAHSGADHNSVMAAVDGFSRQ ASTNLQCFGVGTMSAAAEQAEDSKSTPREAVQQHPIDSPLERIDHGLVDQISPSSADS SVSDAGLTPAFPEKIGKAKQKRAKKAIQHQAQQASFICATCGCLFPSRNKLFYHLDKE NHALSSGKSRPQGKRSGGRTPKA UREG_07819 MNSHQKSGRRKDPYNPQMTEETLQAESFSLRKARKLRYSGIQFI SAGEIKPDDPAMLEELAESIANAEMLRSSDCSHSDLKPFEFAQPHSNTSGLDNRNQLP CPRAADRVPMNLMMKSFSLEGEVPPHSLSMVNPTEADPGIAIHKILRAGTGPLPNLPQ LRPADADEIDISDAVIADYLLHINEDSSDEGYSPSEADPMPRGNKIDTKSQGRASPSS AIRNGMVSSDISSEDFAGFHAESLNNIRDDNDNEQSLAQSSPECRVNQRQISKFLATS TLTLDLDTYGEFDIMDFERPSLKKAKQKYKREQVPTFDLSDSDLEWQLQASWKNDRKK KGKAKKHREELRAQGKLGSKLGQINLGAKYSNGIALDNIKREINEFLLSQTQTYVPPV AFHYRYIGSNL UREG_07820 MKVLTANFISCAVKSCKASPSSFPLHFHDAELEQQEVKFSPQFL CNILPRIDWDALRITANELGFATLADTKPENDHLNNEQMLRDLHRLLLETSVIEV UREG_07821 MSRAPAGFADFFPTAPSVLQKKRSKPVQDKQSFSKPKLNESASS LGLPSVAGSDGGVNTSTNGDVDGQPEQVFHPAHGETNFGPGDTNAVVSSGPLSTDSLG FSSTSVAVPVGSTKPNGVHADVLTPLTSTDSSPPDKIGSPLGSKPNSADPSQPRPSCE ALNKPETVTITPLHTPPTPRLQARPPRNEIKGYKITYDPDLDRKLSKDKRRRPQYDPF GISDEKETSICDPRLGVANYTRGSACKQKTKYRPAPYILRPWAYDPATSVGPGPPTQI VVTGFDPLTPITSINALFSSFGEIADINNRTDPMTGRFLGVCSIKYKDSRAFRGGISI SAAQAVRRAYLECKKEQRIGIHRIRVEVDRNGAVSDRMAARIIASQRAEFPALEETRK VLTSNNDNSPPIGTGQKRESEQSSDNLPPPTAPKGPSGKSSLHPSLLASDGSRGILKS PMPSRVEEAPVLQQIKRDPYIFIAHCYVPVLSTTVPHLERRLKLYDWKAVRCDKTGYY VVFENSRRGELEAERCYKMCHMTALFTYVMNMECQPYGNPCYERSPSPERLKAEQQRK LEKERLEKEAELDIEEEKRLRVENLDPTREALSVLISELRDKLLEDVKSRVAASALYD FLDPDRHSAKRQKLGIPEPDGAKRPGFRIDSSNNIAAIAGSPSDAYPSHHRPLGPSNM NVLALPRIRKVKGFDPGNAVFIDERRRAKPRKREFRPLYHRLQQLHEAEDSDDDQQVS FPRDTEEVESRPLSRLSLESAESDNEDERIQDIQGDLSLRTPLAESETLIDEPGFKDG EQGAIEALELEISALPPSSKKRKRLIDDLEARKRRKEDDELFDVDSKHLQTMVRDIPQ EPRSFSQPGDSIDTLDTIPIPLLKEKKSKAKRKSKKQIFEERQALKQKNLDPTISSLF KEGLRPVEAEEVEISDEILEEESPEVEWGVSTDEPRPTAEDDDSMILDLDGWQNLVKD EEDIRYLKAALAEGIVADIGNLTAWAWKHKEIKAINRCGDRGPVHFETKVNGYYVPNY TGSARTEGRRRIRESEKSKYLPHRIKVQKAREERLARANNDPQTAAAEAARLLAAKSL SKSTSRSTRVNNRRLIADINAQKQALPMQNGDSDVLRFNQLKKRKKPVRFARSAIHNW GLYAEENITANDMIIEYVGEKVRQQVADMRERRYLKSGIGSSYLFRIDENTVIDATKR GGIARFINHSCTPNCTAKIIKVDGSKRIVIYALRDIDRGNSARQKYTQGSALNLLRSP TFQPATRYLTIMTISVLGKFFCLFAITSMKPAGLVAENENRSKLCLKAQ UREG_07822 MISAANQWPCSGIFGYINYLVEKDRAYIIQTLLNGLSRLEYRGY DSAGLAIDGDKKNEVFAFKEVGKVAKLRELIASADPDLSQVFDSHAGIAHTRWATHGP PSRLNCHPHRSDPTWEFSVVHNGIITNYKELKALLESKGFRFETDTDTECIAKLAKYL YDLHPDIDFTVLAKAVIKELQGAFGLLLKSVHYPHEVIAARKGSPLVIGVRTSKKMRV DFVDVEFSEEGPLPAEEASQNLALKKSAAALLAPPDKSLLHRSQSRAFLSDDGVPQPS EFFLSSDPSAIVEHTKKVLYLEDDDIAHIHDGQLNIHRLTKNDGTSNVRAIQTIELEL QEIMKGKFDHFMQKEIFEQPESVVNTMRGRLDVANKKVTLGGLRQYISTIRRCRRIIF IACGTSYHSCMAVRGVFEELTEIPISVELASDFLDRQAPVFRDDTCVFVSQSGETADS LMALRYCLERGALTVGIVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMVM FALSLSEDRVSKQKRREEIMEGLSKVSDQFREILKLNEPIKEMCAKFFKNQKSLLLLG RGSQFSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDKIFAKS LNAYQQVIARGGRPIVICNTDEDEFPASRVERIEIPQTVDCLQGLLNVIPLQLIAYWL AVAEGLNVDFPRNLAKSVTVE UREG_07823 MATLKGPGQAENHHGANLRVAIVHARWNSPIIEALVGGAKKRML ALGVKEENIIIESVPGSYELPFAVQRIYTASHVQAASIASGTVGSATTDLLSSPTSDP RKLTPAAGPNPDTMSPQLAPAATPFDAIIAIGVLIKGETMHFEYIADAVSHGLMRVQL DTGVPVIFGLLTVLTEEQGLVRAGMVKDKAGNQHNHGEDWGSAAVELGVKRKAWDGGR LA UREG_07824 MHVKVEYAVKAVENGGTAIGIRCKDGVILAVEKIISSKLLKPGA NKRIATVDRNIGIVSAGLAPDGRHFVSRARDEAASWRGTYKGPIPTAVLANRLGGYVQ AYTLYSSVRPFGVTAIVGGWDSEAELPVDGQVGAGPCLGAGGKVEEAKAGGPGLFMIE PSGLYWGYYGAATGKGRQAAKAELEKLDLPSGNLTLLDGVKEAARIIYIAHEDSKDKD FELEMTWISSLDGPTKGRHMEVPKELVEEAEKAARKAISEEEDEEDESKPTEGDRMEE UREG_07825 MTSILHACPYCGYETEIVNALPAFSENPHCQQCGLSVMESNCKV DHDLAALFARQMVMVHGSRDHTPIPPATPATLNPSDSPMLSDPPPIAYSITQHYHHSS HQAMDSQRPKLDVQRTPVQARPSIMENMPPNEILARHNINPGTLLPSQLTLFEQADID QKARLLELWQISPSTFGNISSLQASNSAVTSAAHNQGCNKYDATNETPDFQSDITMDE DSADSYDMHHAEPYVVSGYEVLARREYDASAQPQSELSVLPINPPTDVYKASTDPAYK KEDWWQSSEPVEHQYGAFEMKNYYIGCGVARPHWLEDQPMF UREG_07826 MKLLTLAFTALTVSAVVLAAPVNDRENVAGSVETKRTSMVCRSL LLKIKLLIANSPAKMVPSRWHAVLVMSFSGFLLASAARDLNHNLDYHLFSAKHVMFST SRPSLVENIDARSQIPPRSRPIMVESVVVKSDWWALNEFLWPPRPPA UREG_07827 MYSIRVAQKAQSVFRSAAKSPCFPHTKIPARCITTVQSDIFKPT KYGGKYTVTLIPGDGIGAEVAESVKTIFKADNVPIEWEQVDVSGVDTGNKHSEELFKE SIASLRRNKIGLKGILHTPVERSGHQSFNVALRQELDIYASIVLIKNIPGYKTRHDNV DLCIIRENTEGEYSGLEHQSVSGVVESLKIITRAKSERIAKFAFSFALANNRKKVTCI HKANIMKLADGLFRSTFKKVSESYPTLEVNDMIVDNASMQAVSRPQQFDVMVMPNLYG GILSNIGAALVGGPGIVPGCNMGRDVAVFEPGCRHVGLDIKGKDQANPTALILSGSML LRHLGLDEHANRISKAVYDVIGEGVIRTRDMGGQATTHEFTRAVLDKMEAAL UREG_07828 MWVLPLIGYLGVIVGFCFLTLAIASGLYYLSELVEEHTVLASRV LSRLIQAIILLHILLWLIDGLPFSLTLLSIVSHLVYASNLRRFPIVKLSDPLFILSCL LVGLNHWLWFRHFSDPTTSQTNYNRTSSSSMPFSSHGQYYGASVDLPSFTEVASYFGL CSGYTPGNASSEGRSKSKGMAKALVDGVRDWVSETGELMGAWGGQKSRRF UREG_07829 MSRPEDSLPPDLYYDDTESRKYTSSSRIQNIQSDMTHRALELLE LTSPSFILDIGCGSGLSGEILSSVSPSEGGPHTWIGMDISPSMLDIALQREVEGDLFL ADIGQGVPFRPGTFDAAISISAIQWLCNAETTDVSPEGRLRRFFDGLYACLRRGARAV CQFYPKNDAQRNMISAAAMKAGFGAGILEDDPGTKNGKLYLVLTVGGGGLQGDITGVV KGMDGVEVLDARRKSQNTRKGGANPADIKGSKAWILRKKEQMARKGKVVKASSKYTGR KRRIAF UREG_07830 MSQPRRQRHGEEIQSLASHPYVVPSPLPVFESVSSSSSSTTAAT PLPPNHLVIARSSAGGTAPAPRAHPRSRQAEELPSASFPTAPAAPTNHRSPQLDSHLQ RTVKPTTNFGRAATAPGPCSPVRLVTHSGFPVPPTGIPECVSPASTTSSRSSNSVSTA RPPGGTPKPTLHSTGSTASPAAIRANPVTPSGFAKPPTSSAIHVSAMADGKGQRTVPR TSSIDSAISSLSSASHSHKSSLDSNNVTPADIANLVSAAGSPEAVIIHLVKEKQHAAS QNAQLWKLVDKQRTMLLALNKDLERAMKEKDRYKKRLKEIQNAPPPLPSDLPRSTARN DWSKKRNEKHGLGNDGHAETAQSAQEPNDVERGSFFADEIHSSPNSIPQSTDTDTQTP TDPHSEASPHTVNSTQNQESLETGLGVVDDDVREQDLGLQNTVATEVFSTDISTLTPP ERSQLVKDTAKIPLPARKPPPAPLKLDEAQKVRPRIVLDNRKASADSEYDEILDDNEI PTVERGRRKTREEDDRLREAMMLKEQELRSRSNKKKLKQPEPSESVQKEHTQTLLGVG LPASPRSGFAPSPRLAAMQSPPPAAVSAILHPEVSDSSASDKSRLTSPLSPGLPLSPR PGDRPLGSPAPRFPKDSSPLASPPISPRNIVQGQFQNMFDPIVPQVTTGDDTKDPSDQ PTGSDAKPSNTDIPTYKLTVDNDSPVSVGRTSHTIYRGMVSADYPDLLLTPISLPSID VRVSSSRLRPSRSSYLALKPIEEEPVFTLSVYSRVKHVELWRVEKAIISLPQLDQQIK QLSNFPAKLPERSVFTGHSPAKVDARRAALNAYFESLLTHPMDEDAALVVCQFLSTDA IEPRDDESNLLHGPGNGKPPISFGPDGKPRMEGYLTKRGKNFGGWKSRYFVLNGPELK YYESPGGPHLGTIKIQHAQIGKQSPSGKNQSPSRGEDDSENQYRHAFLILEPKKRDSS ALVRHVLCAESDNERDGWVETLLCYVEPREVDEDYHSGHTQTMKSTSNVPSRSRLQPA HSKKPGPENSQSGAADMLQAFSYDDVVAAEAPVRGPSGGKVTPPVGHFVDHSGRHFEH DNSSPTHKTISGPTNGMKIQDAGAWGNRTATSTKEKKRSIWGFRAPTAADLAGQGLRQ ESAGSIHDVFLDQRAPIRPVFGLPLAEAVEFCGPRGYDCGLPAVVYRCLEYLRAQNAQ LEEGIFRLSGSNVVIKALKERFNTEGDLDFFDGDRYYDVHAVASLFKQYLRELPTTVL TKELHLDFIRVLDLDDKHQKIAAFNSLVHRLPRPNLDLLKALSQFLIVIIKNADVNKM TVRNVGIVFAPTLNMPAPVFSLFLTDFDAIFRDYPPIGHIPRPVELVGNNQHLNPEDI RSPRHQMFSDLPTPAYDHSSFDHHRADAGEDPKRRANYNTGFVPLHPTYDPPPIPHQQ NEEPNLAHLRSINGLLAPGAPTSKSKRRESSLFFMDAGK UREG_07831 MAGGNVSKGSSKTAAAAALHGVLPSSQQGEEKSEAEDTNYTQFD VTIDTVNVTLSFTKWFNGKGLLRDVEVKGIRGVVDRTHVYWSDENVDPKSYRHEHNPG DFEIDSFKMEDVLVTVYQPKNFRPFSVSIFTCHLPQLRRQWLFYDFLSANIMSGSFDN SLFTIHPRQTHSYTGAQLINGVEESGKSSPWKKHSRIRIDGLNIDHLNRGVEGPFSWI HEGNVDIVADIMFPAENDESLAKVMADFYDRLEATVTSNRYQVTSEPLSVNPENHADT AAATTSKKNDKRFLVTDLRIDLTNVRAVVPLFTRDLSYINNALIRPIVAYINSKRTFI PIQCRLVKRWADGRFISRGKFLVKTYEAFARDVVDEQARKRRLKKVGLWSLQLAAQAI FMGMAGNIA UREG_07832 MAAPTRRLEASDEVAENNSMPLPQINQNEDAVLIDSAAPLQDIV AVTQDPDTEMRIDEEGRPLFTPIRATDGSYRIENRKVPVPPHRMSPLKAAWPKIYPPL VEHLKLQVRMNIKNKAIELRTSKHTTDVGALQKGEDFIKAFTLGFDVDDAIALLRLDD LYIETFEIKDVKTLNGEHLGRAVGRIAGKDGKTKFAIENASRTRVVLADQKIHILGGF RNIRIAREAIVSLILGSPPVSSWGRRTSSF UREG_07833 MATLLDTPAHSEAIGYSFSKQSSSNKQHGFYPYTDNGGSTLGIT GPNFAILAGDTRSVSGYNINTRFAPKVFKIGADDAGEGGHIILSVVGFSADGQALKER LDAIVKMYEYHHGKPMSVKACAQRLSTILYSKRFFPYYVHAILGGLDEDGKGALYSYD PVGSYEREYCRAAGAAASLIMPFLDNQVNLKNQYVKSAERGDELVPRVPEPLSRDTAL ELVRDAFTSAVERHIEVGDGLQTMIITSDGIQEIITPLKKD UREG_07834 MGPFQPPEQFYSKEETASLPHKSSLPSSQRSLIRDMTLPPYPNL DIPPSPPGSPSPSSNQKFTHFLSLKKQGIHFNEKLASSSSLKNPSLFSSLRKHAGLEE RSQYATSLDLGTWDVSGLPEWGYKEELQKVQQEIRSKIKDDQQSAPREGIDFVQASKQ TNRSESPGSRTVNPHAVERGTPRDDVYGPYDHSYLQSNGPQTRTQAPTVTGTSVLAVK FNGGVAIAADNLASYGSLARFQDVKRLRTFDNSSVVGFGGDVSDMQYIDRILNSLDIR ENYSSYGQHTLNAKNLHTYLSKMFYKRRSDFNPLWNHILLGRFAWYYILSSLVGNRVR CPSGLASLAEIIPRGHTN UREG_07835 MTSFSRLVRFLAKDGRVYYGDAILPAGVSDLAKTTRARVIKGDI FGQHRVTEQVADVKRVLSPLARNDIRTIRCLGLNYAQHAKEANMAIPTYPVLFFKPVT AATGPTDDIPVPAIAQEAEGLDYECELVIVIGKEAYNIPESQALDYVLGYTVGNDVSH RDWQLKRGAGQWGLGKGFDGWAPFGPGIVSAKLIRDPNALNISTKLNGKIVQNSNTKD MIFNVAKTVSFLSQGTTLLPGDMIFTGTPQGVGMGRRPQLWLKDGDQVEVSLEGVGTC TNKVVFSKPLAKL UREG_07836 MKSCATGLAVLLACFPYAIAAPATAVAPTRSESVPTSHGPFTGT PTTTGALSNSVLASSIAPLPPNPTATTYPSDGQLHEPQPAPYTPAGGLGTNGTEPIYN VRSDFDYQSLAIALYQEWLELDLFHNGLATFSEADFTAAGLTAEDRYLIQFMAEQEVG HATVISNMLGAQAPMPCAYNYPFTTVREFVDFCQKLTRFGESSVYGFLEHLDSREAAT ILLQSITTEARQQIIFRQFNGLFPMPVWFEVGVPQSWAWTLMAPYISWCPANQTRLAW QNFPALWILNQPNPARVNGSAGYNETLTAGMNTLSNANLTSSQACLNTTDKSNDCGPA ITQNRTIPLSWPGREVRLMWDTPGKPVGPNNSYITSSQAGSAKFVAWVTQLNVTYSPL TLQSNTSNNATNGTMQMGTTIQPNVETFAGDPAINGTMFIAITDADPVLTPFNLSMIN PHVVAGPALYQAG UREG_07837 MASKSGLANVGVPNQTLYCSNLPDKVKKPDLRLALYTLFSTYGV VLDVVAMKTEKMRGQAHIVFRDIQTSTQAMRALQGFNFFGKEIRIVYAKGSSDIIAKL RGTYAPTTAHEQSRISTELQKSIFSAPPSAAVVAETQNAGGAKPQASQGVKRPREEES DEGEAPMEEDESDVPMEASSDEE UREG_07838 MHFLSLSLLLLPFAAASPLPQENKDIIPGQYIVTLKDGLTAAEI ESHRSWVTTMHRSNLAATGLSGIESEGIHGHFQINKLNLYSGGFDKKTVEELKRSPYV KSVLPDQKVYLAETVTQSNAIWNLGHMSNKGKESESWDSLTEYKYDSAAGEGVWAYVL DTGINVNHVEFEGRAILGRNAITNKPHLDTFGHGTYVGGIIAAKTFGVAKKANVVSAK AFDGGSSSYRYIFDAYDWIVKNITDGNRQSKSVINLSICKSSFGIEASSLDVNKHVPL AGSKYQPFDDAIENAFKAGITTVVASGNDGRDASQNTPASAPNAITVGAVRWDNTRPS FSNFGRVVDIFAPGEIIKSCWIGSNSAIRYASGTSAASPHVAGLVAYLMSMETFSSPS AVTARVLGLTIPDVVKDARGSANKLAYNGIQERR UREG_07839 MASTEVHHLFHRPIADHCFSVDRQTLAVAKENVVDLYQKKAGKF ALTDELKGHDKTVTSVDIAPRSGKIVTCSQDSIDRNAYVWEHTSSGWKPTLVLLRINR AATFVRWSPSEQKFAVGSGARVIAICYFEEENDWWISKHLKKPIRSTITTLAWHPNSV LLAAGSTDSHARVFSSFIKGIDERPQPTAWGERLPFNTVCGEFLNDSAGWVHGCAFSP SGNALAFTCHDSSVTIVYPSAPEQPPRAMLNISTQLLPFMSLVWNGENEILAAGHDCE VYRLGGDEHGWQLSGSMEKKANPNIGPAREESALNKFRQMDLKGSTKDDSRLQTLHQN TINTLRIYEESGGAVKKFSTSGVDGRVVIWQV UREG_07840 MTTNGRSGKGEDEMFLSSPSDRQPPTVSPLRIVKRDSPSPSRAT GPGQRAASNPTSRTQSVSPLPYPDDRSRPQAMRTQNPSATRPPYPDNRPPSTHSTEHS SPASPSPGGGQIPDSLRPRQDSKQSQPTLAERRGAVPKALPESPNPEPPDRDGLFQRP PQREAASAEAPESQRKGSGSVNPFPAFHQQYWPSTSNSTLQPEHNASINRLSSTASTS TTKAQRGSPPPPETPIIPPGQPPASDIEARYAASGIAGTSTLNNLNAHNFAAQQRANQ YSAQQPNRYPTPSQAHAQPQPQSQSHPRPWTPTEQPGSQPHGPPQVYQGSTVVGATGG VQAPGGMHPQQLPQQAPHQPQQPTQRPPIGNQMQPANPPPTGLEDDFQRLNMTASPPP AYSSLAGITPQGYPQEKPGAGQTGQQAPGTILPGGGGVPVTSQPLAQGHPAFANDPRQ QISGTPVQQPPQGELQSHPAPVPTPGPASPPPLPEGWIAHLDPNSGQYYYIHLATQST QWEFPKGPTPLNLNDTPISPSQANFGNHGLSSPGLSTFGKPMPSPAFSAFGHPLPSPG MPMSPGYEPSVMSFNSGYTGPPPTNGIDLYKVTPTNGVYFGPYLRYKNMDMERGLWLG SILLVTDGAQPPTIHIHKSIDLSPNPRQLSPVSIAAHQRWTFYRYDIDLQMEDAAPAK WTYAITSHLGCTRYEFLVAGRHETNWRFIATSGNDFSISVNANERAKVGGVGYMWKDI MQKHVECGGFHAQLGLGGQINADRLWKELPSLKQWLAISGKEARKAAAWTAALEEDVT HAYFHYYTSHFDQPHLREAFAQIPHVLQVDDHDIFDGFGSYPDYMQFSNMFKNIGRIG IEMYLLFQHHTTVEVLRHVSDDSDLFTITGTGWHFVKYLGPAIAVVGIDCRSERNPHQ VMAGPTYQGVFPKVAMLPPSVQHCLWMVSVPIIYPRLETAEHLAQTVATGKKAVTGAY NMLGKVTSSVAGVVGAKGAVGSGFNSVKRAVGKSGLMGGVLNPFGDIDLLDELRDQWT HESKDLERTYLIRTLQGIAHQRNIRMTFLSGSVNCCGAGLVHDPSHPTDHKTMYQIIS SSVVNAPPPSYILKMLHGNSKPLYIPLNGHRSTPSQPTDTKEDMVELFQTDVAGQQRE QRKLMGRRNYVAIVGYDPDSVNAVYTGNPQQQAGNAGCAIPKLSLAVDFLVQGEGAFG NVIKYGPVIVPSLELGR UREG_07841 MPDQPASTEARELSLISNVEFRIALADSDEKLQSLLQKYLAPLL LKLASEHVAVRNKILELCYHIDTRIQPLTIDLPLPALLKQFKDVQAPIVKHYDLHYIK LALDRLSPVQRAEVFPELVRGVSRLQSDLADAARAFNLILKCLSALKLPVKGSEQDLS FRQDLGLSDEDARFLSPLGLGISCCLIPRRNAPFDETWKLFNAWGSQPWLTQKVAALG FLRSGAFIENERFIPALIASADSNRNISTIGDDILKRCQPDLEDRTLLDRIYDIYERV TEPETITIIRPALQIKILSVLCKSAAAAAETDRINRIFKNEIYTLVVTSRSGLEGFKL RTQLLHFAAWIARVSPSATVLAPRIIRRLRHTIEVLGWPALRSKGNSAEQKLRSLTYE TIGSLASKIDHASDEGGNTSYELIKWLFAALSSDTSSNEISVSIDQALGNIMNSATRR LGDPIINRLRKLLLHYMLANPGETDYRTEFKIQRTPKYAALRFANRCLPFSDINGRWI NIIAIEADQDLKLVEEGRKGLSPYWYRVLNPPDVVNPTAPSAMADSDSFYQFPKFSEL MDSGFGSASSAKPKLKNLRNAYPTVVTFLRNVLLCEAFSFSGLVVDTAQPHWEEQLDT MISGNESAREAVRKYLQHLQPELVKQFLIVCMRGQMLNMQKPLGRTVEHFVEISSLTS TAILDSLAPFGVVANVEAARPSDQDLMARGFGIMQSLPSWTGEARQRSIDQLMTAALS WRNAVGQAVHKVRGTILMLVYLLTRLSYRGLKQDIPVPPTQQLNEILFEILEHSRDST LRDTAVSCLGQMSLGGLLVPEFLTETVGLEKLLENVVEEAKKGKEPAILALGHLSLTF PKEAEDSSSLFDRLLKSMYDLHEIRGLEVQLTVGEALSVVSVGWGSKSLLTAFDVDAQ RPQPDISPNVLCDMLEKILVDCKASKPSLKRASAIWLLSLVQYCGHCQEVQDRLRQCQ SAFIWLLSDRDDMVQETASRGLSFVYEMGSQELKNDLVRDLVRSFTAEDSNLGGGKVS VDTQLFEPGALPTGDGSVTTYKDIVGLASEVGDPSLVYRFMSLASNNSVWASRAAFGR FGLSNVLSDSSVNGYLAQNPKIYPKLYRYRFDPNPNVQRSMNDIWNALVKDSNLTINS NFDGIMEDLLTNILAGKEWRVRQACCAAIADLIQGRPIEKYEKFLGDILNKAFKVLDD IKSTVREEAFKLCQALSNVLLRALEEGTGQSKKSQLMLQHIIPFLLQNGMESSVQEVQ AYSIMMMTTLVKNCPATALRPFVADILEKFLTSLSSVEPQAVNYIHLNADKYGLTGQQ IDKMRLSAIRSSPMMESVELHLLDTLDEESMKEVASKLEHVLRSAIGLPSKVGCSRVL VILSSKTIIFQPYADRFIQLTRKHVLDRNDTVSASYSNAIGYMMRLASDDEMLKTIAY AQKLYFGSEDRSHRVVAAELSNSMSKFANDKVNRVAATFLPFLFVGMHDTDSEVKRFF AKAWNDNVSGSRVILLYLKEILEMVSTQLDSPQWAIKHASALGVARVVASLDKECDAT TAMAVWPSLEKALTGKSWEGKEKVLRAMVQFATCTKSCLEELGDVKSQMKVIAIREAK RNNVAYRPHAFKCLGELSTLVDGLELAPAAIRVVTEVVEDIADKSEDKMDIDTPGRSS KAEAETFAASVECLLNCLDPTVPYAALGEHISQSVSLIEMAVTRDGNSTHSALYKALK GFFDRLSALPADSIKDCENASAALADRLLFRDMEGLVEAARVQRAQAVESFATLCEQG DTQCRPKWKETVAAWHAAERSGQVQTILSRVVEKMAVLRPQGID UREG_07842 MWLISYWLFPVIAAGMWLATILTLLIVWSADGYPRYASMEPNQK IAYISDVAAQGLKPLFITGCAITGVFFDLGFVSERWLRHNGRLLRNKGRLDKWMSILA IVFSICGAVGLILLSIFDTLRYNHQHNGFLVLFIFWVKLTFIIVELALAIAFGVTGRG RGRKDQAAVIEWVIAFIFTFYVLSFVIDLLPSIRTRWHVPQGHRIPEMTSVSYPATQE GQPEVSYEQPLTTDSMGDMANRYRGPVVTSGAPADWAGTNGYSMDAQGDGPYYQERSK EQRPVDF UREG_07843 MPSLQIPLDALTSRFSFGDRFSAVRAQSFSSRFANLKPVSEFLD VKRISKPANFGEMQSRLNYNLSYFSSNYIVVFIMLSIYSLLTNLLLLFVILLVIGGTY GIGKLEGRDLDVGVFRATTSQLYTGLLIVAVPLGLWASPLGAALWLIGATGVTVLGHA AFMDKPIENAFSEEATTNMANMRWDEAYQDSTVAYAIQLALKDKEDRLVDRALERIRR AQILGKSKVKLSQRELEALERRRMQGEMSNGSQRGKPSRMRGKIINTKWPPEAAYSSA AETAPGYYNSPLQAPQARPRTPSVQTLRMQQPNTPPRIPGAYHFQDDPSSHPPSTGRV QPLSRPLPDDPQWAPRSRASSNAIPLPHPAYASYVPAQIIGFDPRYSVPPSPRYNLST APDAMYQAVYRPASRGSYADNTSSTDATIQPAPATNSVRHVSSSSNASSSDNGVQVET PKATPATNGRKVNGATNGKKSTRGRRTRK UREG_07844 MRQTALFRVLVLLAGFVVFAAAWTKEDHEIFRLREEIIATEGAN VTFYDFLGVKPNVNQVDLNKAFRKKSKQIHPDKAKRSFVASRAKPPRTASGKKKPGVH VAKPPSEREIQHVVKQATERYARLGIVHDILKGPGRDRYDHFLSNGFPKWKGTGYYYS RFRPGLGSVLIGLFLAFGGAGHYFALVLGWKRQVEFVDRYIRHARRAAWGDELGIKGI GNLNSYEDRVADAAPADSGEEPAVLNRRQKRMMEKENRKDSKKAKREGSSGTATPTGV VTSVGDRKRVVAENGKVLIVDATGNVFLEEEAEDGTKEEFLLDVNEIHRPTIRDTMAY RLPSLLYHKLLGRFTGANSSEAPETADEVALSPEPETEIAHIPEISSKRAGKKRGKKA UREG_07845 MPGRLASTASFCQAPRFLAPAPKNNNPLATRFPHRLPHAPWYRP AATRQDTSRPKPTMSWLYPFASSSSHRRRRSKDRHSTHHHSSRHSTRAPSIFSLGSYA NRSTASSVHSSGSSSRRAKPRSGFIARIVHKIKRLFRHIVHYAKKHPMKVFFMVIMPL ITGGVLQKLLGAVGIRVPKSLMGGSSRGGGSGGGGFDSGGIGESVQGLMSVAKMFL UREG_07846 MADSVDYYKVLEVDPSATQQQIRDAYKRSPETPRVRRRTPHLVL CKSHRGTNAHPPPQSESFSSDQFGSMFEEMLREESMAADAAEAAGGYFWSVLGGLSGG AIGFIVANFPGAVAGAVAGNRLGAVRDKKGKSVYQVFQELPQEDKAKLLSRLAARVLQ SAETLKILNGWSENSSMTKQSVEMSPGAAACNQTQSSFRSHAVTPTSTSAWNVSLPDV PRASRSGRDWHFILLLRFASPLIQTWLAIPHWTPTTVDIVG UREG_07847 MSSWTNRFRKKSEEVESKEHGSVTTTIVPSENPSFAVSQPEKEK APMEGNGEASSTPRESEHPTTEETKDDQANGVESEDEAQPDYISGIPLLIIIVGLCLA VLLVALDNTIIATAIPRITDDFRALDDVGWYGSSYLLTTCSFQLIFGKIYTFFPVKWV FLTAIFVFEIGSVVCGAAPNSEALIIGRAVAGMGSAGIFSGALVIVAYTVPLEKRPIY HVSWRWCFYINLPIGAVTILAILVFLKPPKQKLKTLSWKARLLQLDPVGTSAFMPGVV CLLLALQWGGTKYPWGNWRIIILFIFFGLLISLFIGIQFYLGDGATVPPRILKQRSIA SATFFAFTLGASFFIIVFYLPIWFQAIKGSSATKSGIMAIPLVLALVVFSLLGGIVIT VLGYYTQFAYMSVILSAVGAAMLTTFATNTGHQQWIGYQVIYGTGLGLGFQLPLMAAQ TVLKLEDVAVGTVLVMFAQTFGGALFVSVGQNVFVNRLMNGIREAAPEIDPSIVLRVG ATQLKAQVPPDLLDNIQSAYNIALTNTWYVSVAMSSLGIIGALGLEWKSVKGKNIQAA AV UREG_07848 MLQLLSQLRHKCAIGYVGGSDLAKQQEQLGTATTNVTSLFDFCF AENGLTAFRLGKPLTGNSFIQWLGEEKYQNLVNFCLRYIADLKLPKKRGTFVEFRNGM VNISPIGRNASGEERIEFEKYDKQHNFRKTMVEALKKEFPDYGLTFSIGGQISFDVFP TGWDKTYCLQHVEAEKNISGVEYTTIHFFGDKAFEGGNDWEIYVDKRTTGHAVNSPED TMKILRELFNLD UREG_07849 MANSKSVVELPRFLCSSQSGTSRAIPSNFLASGSSANPHHRRAS FAGPNRLQQVRLTKAFSTSSPSTSSSSALTPAFQLHPPREPERLSAPIRHNGVYVAAF KPARRAFHASASRQKDHRFDTLKLVQRLKGEGFSDEQAVALMRVLNDVIEESIQNLTR TMVLREDAERSAYTQKVDFAKLRSELLNSDSTEAQLTRSSHDRIAGDLAKLNSRLRDE ISRTQASVRLDLNLEKGRIREEANGLEMRIKETETRIEQEVAGLRERVEAVKFSTLQW LTLPFVCAN UREG_07850 MSHAHFASTGVAETPVERGPSYATSAAYYVTRTTRRPLKEGEES PTATTAAAAAEAVRIQSETRKSGTGEEASPVDYELASKGAAASLRRPSDQVDMNPERF ITPEEAQLSRAGSKAALRTIRDRRETLESEAVLEDIREKDRTSKMAATGAMATTHRRV DSGNVQEELPDLTNALSAASKSQQVVGEEQAVMQTVEPTFDPAKMHQVAVSKTQQNLA ASFPPRGTQDETAKQDTLRAAAVTMAKQMYSLMPEAQEIAAAETSGGSNIRSQFIIGL DTGTHPKNLMLEAQKRVSLRLAQMDNEQQSTMIYHSARGSPRPPSITSFRRRLPSTSD AETSSFVRGAPRAPICTAHVREEANGVTRPPGDTALNGNLYMYSGRPSPAVLREWERK INEREMAVKAPPTWSFVPMTGTKFEDNPYVRDVARSKLQPTLDVIDDRVIERRARVIE KKLGKAHEKRYQKRQREREVETMKVHNMLLKLARKDGRSQTKKQGEDLHDEDRKKGKK KVHKAEKIPEKEDEGIDGVPADTHTAPRSRVDQDSALGPEMAAGPARKEEAEQVAPME PVMQPNGTSRAAIPESTPQENVHQSGEPGLPGVVSQWSTSNDGEPARPVEPEQQTPIP PKQAKRTSNRFSLKTIFSRTTVRDTGKQGAFVVQKRTTAVARDGIENGASAAPMPAQP VVASLPEPQPGIVPRSSKFQEDL UREG_07851 MPSFSYALQIPTPIPKDLVSLFSQSDGNDIVEPPSKKRKTQDKG SVFDTENASHYLPQYLTATRLSLKLTFDGPPPEHNSIGYDASLKIPTFLYIQRRYNPN SPPSVFEIQSYDCRLVIETPDLGEYNPFIHYIGSLRSIYSTFKKVPSACYRSFICKDP EDGLSFLLDVQFLWHDSLRLSEKITPAVRGAAARYFPGGQPFVDTGRFGSLPDWTPNE FYHNVHVPTTQVNLSAIKGFESIKSQLFPFQERTVGWLLRREGIEVLPDGTLRSLVDK YLPFSFIQTTDADGRPCFISRLLCIAVSDLSAWPYASQINGGVLAEEMGLGKTVELLS LICLHKRPQSDISAPYPGAKLYKSGATLIITPAAILEQWKQEISKHAPSLRFAHYKGI QNSDLPDEAMLQHLASQDIVLTTYNVLQREVHYAEDPPDRSLRHRSKAPRRKSPLVQI SWWRVCIDEAQMVESGVTNAARVARIIPRCNAWAVTVEEQRYEQLFQQMCDECGLDSN GCPVGDPDTPWPVEKMRSWLTRLRQACLHPEITVSNTRRVFGSGPLRSVAEVLEVMID QNEVQIRSEERTLLLSQLRRGQLLENAEAPKEALKLWEQTLSAVQEIVADCRLQLAEI LEGMENEESGNLSISDTEDEEAEGEEKNRIGTCRQRLHGALELEHMCKFFIASAFYQI KTDERFTKAGSEAFKDLERLEEENYEAAKLIRREMLTETSHKVNKHINVVRAKAKQQG LVQIPRMAAKLDPGGIESQRILDHLDDFCEAINRHTAKFNKWRDHMVKLILRPLVDEE DATNLQGNEYESSTKVQDEMYVYMEALRAMVADHHDAITGQTNALVKHEMTQALACEK LKVPKELGSLRGILNDLRTLVVSLEWQESGGSARARAELAIVEDLHKAVSTMFSTHSK VVQRLEKEIIMFQKVTNRRLEYYRHLQQISDTVAPYDETTKGEPLDREQFQARIQAEE RIKVKASGLKAKRRYLIHLRDDVTGSETSRICIICQSNFEIGVLTVCGHKYCKECLRH WWRQHRSCPTCKTRLRSNELHQITYKPSELVAQEEKTSGHLESDHAMKNAIYSDIESR DLKEIKDIDIDGSFGTKIDTLARHLIWLRHHDPGAKSIVFSQYKSFLGILANAFKRFK IEFSSIDSPDGIERFKKEPSVECFLLHAKAHSSGLNLVNATHVFLCEPLINTAIELQA IARVHRIGQHRETTVWMYLVSDSVEESIYQISVSRRLEHIARKRKQVSQNGKIPRSGI NGNGAMGISDLTEIDIDSANSLEMQDAALGKMLVGRATEGENVDDDDVWRCLFGGPKK AGFLAEEGTIDNIHMGVDRMLRADAAERRRDIDSE UREG_07852 MFTEIGLCIKYSLDLKHENILVSNINSEEPTVKLADLGATIPDG FNDERIQPIACRAPELTDCLSRTSIFGIHDDPLGIGEHWAVAKLFRLINGLPSPVDRY RESEWELAEKLVDPQYGYMKVGTMDKEIRKLYLPEVMADFIMSLLVVDDEKRPTAQEA LKHPFFHTRF UREG_07853 MPPPKFKAESQLHKEKLVNSYNELLEEFSSKDLRNVGNYSLGKL IGKGSFGKVYLASHKLTNGSKVVVLKSSSRNDGNLAREIHHHRQFIHPHIARLYEVVV TESLVWLVLEYCPGAHYISDSGSPRTEIICLGDELYNYLLRNGPMPVEKAQKIFTQLV GAVAYVHSKSCVHRDLKLENILLDKHENVKLCDFGFTREYDGKASYLQTFCGTICYSA PEMLKGEKYAGEKVDVWSLGIILYALIAGELPFDEDDDQVTKSKILSEEPKYKDQFPD EAKSLINLLLSKRPLLRPSLSDILAHPFLAEHAPQQHAILKLSRPAPFSTPLEKTTLE RMRSAGVNTTQVMENVLAQRCDALAGWWALLIEKEERKEKRRERKRREREAEAKNLRR LSAASSRLERISAALVEVDEENHNLELPRSRGRTNRRSLPTPQLLIPDLPKLPEGGVV VSPCETIPPPPIEKDDSDRSVSSSRARPVPPPKERRVSRGSTLHLSASQPESMRPHGI LKRPGMRRRQYPILSQLASLKHWLVESTKRAKSPHPKGFGHPSVSLKFLSDRNNPNKS KNASKQQLPTPSNSPNPGQNYQTPTQIKRSSNSSLAPSNASYPGAVRPSSITQPPRPL NSSASRHRNSLSPSPLTPRNSYRRSSAGLRGRKSTSSSVSSIRTIHHAHSHSKTSSVS SNSIDTVSTPTGSSIKALAISRSPHASIKVLPTTPSASTRFPNNIRLVRGNNSSVHSN GPLHSVFNESAPLTIMSSPSSSLVFARRKRSAFKGPTLNTSNLIASNGGGTPVLPRRR ESLFDAPSGDTSALGPRKSQIIEEEEELFQSHVFEDEEVEEVDGFSPVDERSPDLVQG RASLDVITSQSIATSAATGPVTNASASSPSTRDTKSPEPQLLPAAEIESTQYPSFHPS AAQPESDPVRPPRSSSLREHHKPNSPGSATAHERFDNTQTPNVMQEEQGAETPSPKTT UREG_07854 MHFSSLILLSGAATAAASSLMTGSYAAEEVLKKLQNRQVEFCKE IKPPYTCARSCGAGYAECGSFPNCYNPGRGDSCCRNGKYCPSGYYCTNAGCCPSTMTL AECGATERTTGDPPSTTGSPTPPGDDDDDDDDDDSSSSLDFSFTLPAAPTRTAAFPPI ETDDDPLPQPTRTLDNPFNPPSDPTNSALAPPTSPNGAGKLDGSFLIAGLGMLVMAL UREG_07855 MADAPLFWKERHIKYYLRCLKTLLPHQYTPNDSNRMTLAFFVVA GLDLLDSLNTSVSAPERRAYANWIYHCQLSSGGFRGFTGTKFGSAATADNEIWDPANL PATFFALVTLLLLGDDLTRVKRKECLRWLRRMQRQDGSFGEVLGANGAIEGGNDLRFC CCATGIRYILRGEDTAYLKDIDDIDVSKLVTYVEKCQAYDGGFAQAPWLEAHAGLTYC ALGTLSFLGYAPASESSKSSLDIRVAACDPGSEEFESLIEWLAFRQTNILVEEDVQSG ITDEEGSHQTMEHSNSTPCSIEEQISSLPVLPTSSEWPLENRNCAGFNGRANKLADTC YSFWVTGSLAVYTQIPLTPLAALIPTETCNRCLIVSMLSMRMPIEDIFWIKRNTSSAA LEKESMNCQVSAPVYACGVRAD UREG_07856 MGRLSSQRLIKLHALFQFALAVSLTWTPEVITDSGLVYSAHGEL RVSDIPPFTPPRSPFAYCGVLLLVFALVDLTLAVKLPALNHILTIARCIRRDGLQPHD REGEPSNVAAAALEIATEFSTLYRHLCMLLNLLRLWIFLIVSLKVYSSPESVWFGRVG PAVSNTVGGNVAISATAHMTMVEELKGKIVMGYGVMEILFSCWVRIILQSFIFLNSND PASSSWLSMMNSVRQNTVFDHWIRGSYDYGQRRVKSWAVWVYT UREG_07857 MKFSTVAVALTSLVAAATALDPIKDLPPCAQECATNSIPKHCGI EVKCICTAASFLEAITCCVAQKCSPADQQATIKFANGICGTEGITNLPQSAICTTNSA TSSVVSRPTGNITSSATTRSTPSGTPTISTSPSGNTAATKSSAPGSATSKAAAASLSN ANGGAIAAIAGLLMVLA UREG_07858 MGLGILEDHKLDHVPGVFSNSIVRSWIWLISKQGTTYVLDDDSS SVEQTETPNVKYDRTGPVPIILVPQPSDDPNDPLNWPLWRRDLILAILSLASVICTTT SPLMAANSVTIATQLRRTFTDVALLTGYHLCAVGAAGFLFVPTARIWGKRHLFLLGNA IVVVSCAWAGASGTNYNSLLAARIFQGIGLAPFEALVNAAIGDLYFVHVSVCDALNLA PVLTKPQERGIRMAVSNVALFGGAFLTPVLVGKITYTMGWAWSFYFVAIFAGLCLPLV YFFVPEIAYRRASHLNTDFEGDTERTRVPRDARNENSESAINENEAKEGGMLPGVEEH KVIAKASYSQSLRLFNGRKTDENFFKLLLRPLPLFFHPGILWGCLIQGVIIGWTVFIG VVLAALFVGPPLWFNEVKTGYLYTGAFIGAILGLLISGLLADWSAKYMIRKNRGRYEP EFRIVLVIPMLIFACAGLYGFGFVSGDVTRYGWLGPEIFFGLMLIGMVLGAVASALYL VDAHRKPFFLYNAHISISAV UREG_07859 MADQRNVDTKRDDEEVCTAAARLGPRYMAVYSNAWFQVVLISFI CFCCPGMYNALTGMGGSGQVDETVAANANVALLSATAATALFLAGPIFSIIGPRACWL VGGWTYALYSASLLNFNIRSNGTFVIAAGAILGVGASFLWVAQGAIMTSYVPESQKGR AIAVFWIIFNLGGMIGSLVSFGLNFHSQSGTVSNSTYIATMVIMLFGWVIGVFICPPT RIRLVQLNEAENKVVHQTVGDKARTIAKTLFTFKVICVLPLFFCANVFYSYQQNNVNG TTFNIRTRSLNSAIYWMAQMFGGLFMGEALDARFLNRRQRAWVGWAILMVTGMVIWGG GYAFQKWEDERLAQGFKQDIDYAQNANIAVGPIFLYFFYGAYDALWQSFCYWLIGTMS NSAAVTAVLVGAYKAFQAAGGAMAWRINALQKSPMTQLGMDWGLCIGALVLALPTVWS VTLTSVSHNSDGDEDLKKDGLESKDCVSK UREG_07860 MPWTPILLNRNLRRTWGSRRGQPQSASGTRMTAKAEDLLTPQID GHTKLSVPSFSFLVEHPSGRTVVFDLGLRKDFDSLPPAVQAFLKDCGWKLEVTKNVSD ILEDGGIPLSGIEAVIWSHHHFDHVGDMTAFPRTADLVVGPSFKEHYMPAWPTDPGST LDESAWDGRNVREISFDEDCPKIGSFDAFDYFGDGSYYILHCPGHTFGHIAALARVTV SSSDSAEDTFVLMGGDTCHFAGQFRPTRYKPLPREVEPSPLQRVYPDACPGALFRAMH PTRSASTPFYEMPRSCAVDVEAAHQSIRYLQQFDAAVNVLVVIAHDESLLGEIDFFPD SINQWKANGCGEKARWQFLRCFRTNSSALHLKKSYSFHFKGKAEFYSGDKDTGLY UREG_07861 MAPKGGRGGGGGGGGGSSSGISCSSYAFASDYSRILIAFVAFWL LVGFTLAFLVGPRRKRLLAMGYPKESLQWNILSLSLGLMILNLILNIVASVLSECGSG SSATPRLNIASTWLVYSSTLFLYGVIMVPLCRQLHRAAGTIMARIATVGHSVLMVLLG IFLLAFLGVATALSEWQISGDYFSSINPFALLEAQRGLIITFEVFALIAMLIATVNIC IAFARAKALRSGSMLVWIPFLIAGSVGLTTFDLAASADALFNLSEFTLSAARNLAVTF LGYFFYFLTFTSVLYVVSNSALASAHRDSAAGAPVTDPAALHQPGAVPVPVGIPVANG ETKDYYATSPDQQNAQLYQQPQYQQPQQQQVPMYQQPQPGQQYATPAPPQPYYS UREG_07862 MTLKALSVGFFLSQVLAVPAPRVDADAIPFRIPPSAASSIPEAQ SQLDGLASFAYGVTKSNLESGSERRRTNACTLSNLSVRREWSTFTVRQRKAYIDAVLC LQKLPAKTPSSIATGAKSRYDDFVATHVQQTLGIHHTGTFLAWHRYYVHEYDQALRNE CSYKGDYPYWDWPLSAVIGMENHPIFDGSDTSLSGNGKPIPNQGDIVVRIADFPPVNL PSGTGGGCVTSGPFKDYVVNLGPAVLLLPGDKVEFRPNPLDHNPRCLKRDLTDTVIKK YANATAVVDLILRSKDIESFQTTMQGALGSDSVGVHGGGHFALGGDPGRDVFVSPGDP AFFLHHGMIDRVWWIWQSLDPKNRRNAIHGTGTFLNQPPSPNTTLDTLIDLGHAGGSV RPMRDLMSTTDGPFCYTYL UREG_07863 MERSFLHSPHDVLAHFQVDEHEGLSSSQVLKSREKHGPNAIPEE PPTPIWELILEQFKDQLVIILLGSAVVSFILALFEGGDDWTAFVDPAVILTILILNAI VGVSQENSAEKAIAALQEYSANEAKVVRDGTVQRIKAEELVPGDIVHIAVGDRIPADC RLLTVQSNSFRVDQAILTGESESVAKSAPAIHDAQAVKQDQSNILFSGTTIVSGHATA IVVLTGSNTAIGDIHESITAQISEPTPLKQKLNDFGDTLAKVITVICALVWLINIQHF SDPSHGSWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVERIVYLDEAGNGLEEIKVEGTTFAPVGELRKNG RAQENLAATSSTIRQMAEVLAMCNDSALSYDSKSGTYSNIGEPTEGALRVLVEKIGTE DINLNKKIRSLSPSERLHAASKHYEHQLPLQSTYEFSRDRKSMSVLVGKGKHQKLLVK GAPESILERCSHTLLGSNGTRVPLSQQHIKLISQEVVDYGNRGLRVIAIASISDVPET PLLHSAETSKEYEKLEQNMTLIGLVGMLDPPRPEVAASIKKCREAGIRVIVITGDNRN TAESICRQIGVFGQHENLQGKSFTGREFDALSEHGKIEAAKQASLFSRVEPNHKSKLV DILQSLGQVVAMTGDGVNDAPALKKSDIGVAMGSGTDVAKLAADMVLADDNFATIEVA VEEGRSIYNNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPA TALSFNPPDHDVMRRPPRKRGEALVSGWLFFRYMVIGVYVGIATVFGFAWWFMYNPEG PQITFWQLSHFHKCSREFPEIGCEMFTNNMSKSASTISLSILVVIEMLNAINSLSSSE SLFTFPLWNNMMLVYAIMMSMSLHFAILYVPFLQSLFSILPLNWVEWKAVLAISAPVI VIDEILKFFERKFYDTHMVSAPKYTNGNAAKPKSS UREG_07864 MTSEYCAQRENKTFLARLCEQAERYDEMVTYMKIGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSEKHVGIIREYREKIEAELERVCQDVL EVLDNNLIPKAETGESKVFYYKMKGDYYRYLAEFASGNKRKVAATNAHEAYKNATDVA QSELTPTHPIRLGLALNLSVFYYEIVNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDGGEPEAATTTEAAPEEKPAEATSATETPAAPAPAEEKKEP AAES UREG_07865 MHGESWDAAEDSEVEREKKAKEAAAKAKAEAEAAAKKKSKAQRI NEHRARRQADAEEEESSDEEEDAAEQRARLKRTEQDSDLKHAEDLFGDIDLNRSRSAP KTAVVSDTGDPTKSIDLSSIPLFKPGTKAQFTALTNTLAPLLAAQSKKPQYSLWVQDF AKQLVKELPSTEIKKVASALTAASNEKLKEEKAADKGGKKTKAAKTKTSLVTTHSNRM DTTAYDGEDLDDDDFM UREG_07866 MAITKIHARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EACELRDGDKTRWLGKGVLKAVKNVNDVIGPAIIKENIDVKDQSKVDEFLNKLDGTAN KSNLGANAILGVSLAIAKAGAAEKGVPLYAHVSDLAGTKKPYVLPVPFQNVLNGGSHA GGRLAFQEFMIVPSDAPSFSEALRQGSEVYHKLKALAKSKYGQSAGNVGDEGGVAPDI QTPEEALDLITDAIEQAGYTGKIKIALDVASSEFYKADEKKYDLDFKNPNSDKSKWLT YEQLADLYKKLASKYPIVSIEDPFAEDDWEAWSYFFKTSDFQIVGDDLTVTNPVRIKK AIELKACNALLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTIADIVVGL RSGQIKTGAPARSERLAKLNQILRIEEELGSNAVYAGEKFRNAVNM UREG_07867 MDGSNDPERQHALEGYKTALLESREWEAKLKSLRLGIKDLQKEF DQTEDNIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKAKLKQGTRV ALDMTTLTIMRMLPREVDPLVYNMSLEDPGQVNFAGIGGLNDQIRELREVIELPLKNP ELFMRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARL IREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGK TKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHSSGVAIEGEIDFET VVKMSDGLNGADLRNVVTEAGLFAIKDYRDTINQDDFNKAVRKVAEAKKLEGKLEYQK L UREG_07868 MRLTAPSPPAALPTYKDKPYFAGPGTIAEHVRRRRRRKQAVSLG LLALFGVAVWWLWFGGKADRIISRGKGMRMRGNDLLKLMQGFEKEEAKTGQAVDWAER RERVKDAFRISWDGYEKYAWGYDEYYPIAKSGRNMVEGGMGWIIVDALDTLMIMNLTS RVQQARNWIHSSLRYDQDHPVNTFETTIRMLGGLLSAHYLSTTYPDLAPIADDDEGSP GEDLYIEKATDLADRLLGAFESSSGVPYASLNLNKSIGILSHVDNGASSTAEAGTLQL EFKYLAKLTGEANYWEKVEKVIQVIEGNGREDGLVPIYIYADTGQFMGENIRLGSRGD SYYEYLIKQYLQTSDEEPIYLEMWQEALSGIRKHLVTYTKEASLTIVGERPHGLQRSL SPKMDHLVCFLPGTIALGATGGLPLAQARKLPGWGRKQEADMLLAKELMKTCWATYLA TATGLAPEITSF UREG_07869 MKSLILTTLALLPLVSCKPWVQLSHQTPISADRNLIPGAAEKSQ LDKIIADSELLSLHRSLTEIESISSREGDVGDFLVDYLQKHGFTVEKQHVSSDGNEAD KMKPSSFNVYAYPRSSPAPEIILTSHIDTVPPFIPYSLSLPKSSSTGSIDRRAIHISG RGTVDDKGSVACQIIAILSHLKSHPDARLGLLFVVGEETGGQGMHHFSRSPLNTSPPT FHTVIFGEPTENKLVSGHKGMLQFTVSVHGKPAHSGYPWLGRSAVSEILPILSKIDQL GDIPESEGGLPSSEKYGKTTLNIGFMEGGVATNVVPARAFARVAVRLAGGTVEQAKER ITAAVRSASREYRADVRLWFFSGGGYPPIDLDTDVEGFDILAVNYGTDVPNLMIHDHD QPEDKKVKRYLYGPGSIFSAHGENEGLSVGDMEDAVEGYGRLIRAAVERGQRK UREG_07870 MGKFAFLSLALLSVQALVARAEDAAANPDKPVLDDAANAVPQVN VDVSTTFPDSEVFGVKLVNGLPTTAQVKIANNEPDPITVNIIGGSLWTLSEPSQNVHN LTVSRYGVEIDAKSEKTITYNFVTELLPQDLSLNLATMVAKKDGLIFTMPAYNGTVSV VEPEMSIFDPQV UREG_07871 MTTPSIPDLIQRYEQLKAVEQTKDALIEDLLRRVTELEEAYQQT RLDHDRETRFNREVQMHEIELMEQITRIKAVMDQEPFLLVMIDGDGMIFQDSFLQQGE QGGKDAANQLWLAVREYSARAFPNIQSPKIVTRIYANVKGLAEACFKAGIVERATLIE DFVRGFNASRLLFDFVDVGSGKDRADDKIAGMVLIQSASGLECSRHSADFWRPSLEYF KLNLYNCHCHQIFLGCSHDNGYARILEDLLADRELVGRISLVEGVPFEKELASIKSSY RVTKFDALFRDSKVPSSIGPSLESWMGTVRSLPTGAHPRPTSPYPSNNGRARVNSNSS GAPNPQTWASATAAAASAPLTDLTVSSKPSTPSPRVVERNKYGQRVDRLDFKTVPKDE LKRVKKLKLCNQYFLLGECPNISCYHTHDYKLSKNERIILQAVARMTPCHFGTDCDDP GCIYGHRCPQSEVGKKDCYWGENCRFDISEHGIDTNIVKITRV UREG_07872 MAVELPPGLLDIPTAKSMNRGTVNVIGLVVDSLPPKRSGGSSFV TTFTLKTSDFASDYWYGLKIRYFHDKQEFLPVPKVGEIALLRNLSLSQFNGATIGLSS SQSPAPWIVFEQDSRQGSGLSTRCSPSNKVPSPAEAAYARYLLTLYAEGRPTAIAATS SPCINVRGTVSTPGRRHSSLAKPDKFKLVKDVDYHSFVDIVGQAVKTFSEYEKFIIYV TDYTRNDNLFDYSLSNGDGRDGDEFSYTSHTKRQWRGPYGRMTLQVTLWEPHSGFARN NVKEDDYVLLRNVHIKLGRSSGVMEGALHTDRQFPSKIDVSLIDGSEPDERVKKLVQR KLEYWKQLKRSLAKEGKHQLPDGEDTGRKSKKNKGHTKNNKPQTGNHRTENIKPSVRP KRDELNPHIRSNYLATPTRTIQQILENETHWNTGPEGIEYQLPFQNLKYRASVRVVDF FPPNLEDFAVAYNSEYDMLDDAPESSDLSDSGGDFTDRRRRWEWRFCLLVEDAGPGIL HAPGRKRERLKLFVTGPDAVFLLSIDAVNLRKNPRVLAELREKLFILWGDLEERKTSN PEAFNSNDNSSINAKPFACCIKEYGVRVASRSELGGNGAGEESSSKGFGWERRFRMFD TRIM UREG_07873 MADRYIPEHRRTQFKAKSSFKPEDLRRRRGEQQIEIRKQRREEN LAKRRGIQTRDGQVGVEPHMGLDSDEEGAPIETELDSELPEMVKGVFSDKIEEQIQAT TKFRKLLSKERNPPIERVIETGVTYSRRPGSLPPALALDQSEVGRVSMLRKRYLDPSA TSVVQRRPQPDLANALPVLAKLVYMFDDEVLIDACWAISYLSDGANDKIQAVIEAGIP RRLVELLNHASTSVQTPALRSVGNIVTGDDIQTQVIINCGALPALLSLLSSTKDGIRK EACWTISNITAGNSTQIQAVIDANIIPPLINLLSNGDFKTRKEACWAISNATSGGLQK PDQIRYLVSRGCIKPLCDLLACPDNKIIQVALDGLEHILKVGELDKESGEMGESNVNR YALFIEEAGGAEKIHECQNNANEEIYMKAYNIIERYFSDEDEAGADIDELAPHKTQAG FTLGTNQPQTGAFNFANGGDTMDM UREG_07874 MWSTPSLSLSPSAKNAKSARRVDIGRSRYDRLEPSLVQRRAGAN SPDNYSIPELSSSSLANPALLDAGISAAKRAALLASIPPEWIIPADILPPASQSDVTS FPEASGWFTPAELKITAAPALEILQNTRSGVWSAEAVARAFCKRAAAAHQLTNCLSET LFPEAIATAKALDAHLASTGTPVGPLHGLPVSLKDNFNVAGKDSTLGFSAWVNDPAAF DSTLVNLLRNAGAVFYVKTNVPTAMMIAETVNNVFGRTTNPRNRLLTSGGSSGGESAL IAFGASPLGVGTDIGGSLRIPAALTGIFTLRPSFGRFPNILTKSGLAGQESVLSVNGP MGPSLASLALFASTVTNSQPWLVDPKCIPIPWRTVAPQKKLRIGITWDDGMVRPTPPV RRALKETAEKLRNAGHEVVDWAPIDHDKAADILDRFFLSDGGRSVQKLLSLSDEPIRP EMERYGRAVDSGVYELWQLHTIRNKLQKDYLDRWNAAGLDAIICPTCPFAAVEHTKFK HVAYTGVYNILDYSCISFPCNVSVDAKIDLSVAGEAPLSVVDEQVQNEYNPSAIHGMP VSLQLVGRRLEEEKVIMMGEVVLQAL UREG_07875 MAKFIEYLRGKESRPGEAALLRKLDFFILTFCCLMYFANYLDRS NLANAYVSGMKEDLNFKGSQFNQINTIFTVGYILGQVPSNLALQYIKPRIFFPGMMLV WGGLTMVTAAVKNPQGIMAIRFFLGLAESSTFVGTHYILGSWYTERELGKRSGIFTAS GLAGTMFGEFIQTGIISSLHGARGNTGLAIDGLITVPIALYGLFLFPDTPATTTAPYL TAEDRALAMARVPERGAERTRLDWAFARRVFGSWHWYGFVMLWVIAGETESFSTNSLL ALYMKAHPTRRYTVAQNNNYPSGVPAVGIVSTLFWATLTDFLGGKRYLVGFWIAVTGV ATSAMILAPGASTATVFGAYYWAGSVYACQATFFAWANDVMRYRDDALRSVVIASMNM GSNVINAWWSIVFYPADTAPYFTRGMWAMIACSIAMAVWTGGVLYMAEREKKQTQRAV VDKGEDEKV UREG_07876 MSSGSSDAAAAEKGPSALEQPSNGILPPSYDPDPDQKRARRRDD WIAIANFHPGWFAACMGTGITAILLERLPYQFPGLHYIAVAIFILNAALFTLFLTISL VRYALFPEKFKQMLRHPAHSMMLGTFPMGFATLINLWVFICVPMWGDWAANTAWVLWW IDAVVSIFTCYYVPFVLTTVHPAKLETMTAAWLLPIVAPVVAAASGGVVAEVLPNNSH AMLTVIFCYIMWGSAVPFAMVIIVIYFQRLALHKIVPSAVIVSTLLPVGPLGQGGFGI MQLGIVARRVFPTQADILSPLAGEIFYSVGIFIALVMWGFGLVWLWFAAASFARGPFP FNLGWWAFTFPIGVFTTACTLFGKEFDSVAFNIIGTVSLSASLCASSTPDANMGYQIL SVCVTLLWIMVFSFTIWKSCTKELFRL UREG_07877 MKTAAILSVIALTLTAGVSAKVNPSWDNYPRSPDDPMIWLGPRR ECIGPYAPKDGLCGSNEYGMGMTPPPSPGDIREVKTDDLE UREG_07878 MSAPSAPLPSYVDPTVYPQTLHLAAENIFLELTYHPLSPPAYLA HTRSPLAGANVLFLGTTRNSFNDQPVARLAYTSYAPLALRTLAQIARAAVAQHGLCGL SIAHRLGEVPVGEESIAIAVSAPHRAAAWRAGEQVLEECKRRVEIWKREEFVGQPPGV GEWRANRDTDASGENVL UREG_07879 MARAFPRSATLLTQLASSTNQEKPDGSAQDYTDQGFAIIINAVE HQFKMQRDYMDGRFGEMDARLDGIDATVEQLRAMMANGKADRAVKILLPVGAYQTDGR YATPDNFPRTVADFWKLKNDPKAAQLVSLCQFYNVKREELIDPDVEDSSTEEGVPYAS LSLKQLVRRFPIMAHMALADRIGLKYSKVSAFMQKLGTYMHTTTTKRPQPKETAEGAK KLARTESAAPAPTTPENPKPPSPQGAYQRFSKDEVVPLEYLVKTTPSTVESELPSNRT QLGWAETSVRRMVDERVEREREQLQREEAEAEAKAEAEAAAAPPRFPRVPAPPVSDST TSPPRSGDTEPLSSPLRLLGQSQVPSRPKPSRTSDSGKRSARK UREG_07880 MAVWSDGIFDPAIIVNVLFQSQQETKLAAGALRLSVVCLRTHEK PAREKRTRLSIIRKSGNVWKRDTRFLLFILTVTLLPRSSAPATLTVSRPRSKRLDELH DLDDPATITTPRFSRQRQQHQRQSSLPNPRLEPSRPPSSASVISPDPESAGTALRRRL RLRTRSIATDSQPADTHTATAPAAVRFDEPLHRAQHYFHPSLNRFPIPPRTEGERSTV PASVHPVSESFWPARSNRVSSRTASAILWVLEEALRKPYQFTPIQGEIDASMSELMAE GGVSATTAGNGRSHYNGVHRTAQGPAPPQSNPAQSGLRTPIEIMRRRNDREARKKAEQ EAREREQQEIETIKHQQDMEQQKLERLAHEERRKQAAGVAGEAPPSTTRRPDMGMASR PPDVPLPQDPGTADIPPAVHPSSGTHPNPRRAGEAATQESSARARTSMGATHQSRHSQ SRAPETAAQAAIQSGFPNTQASKTQASSSQAAQPQARKPFPHAFERWEMLSSHWEGLT SYWIRRLEQNNEDLNKDPLNQQMSRQITDLSAAGANLFHAVVELQRLRASSERKFQRW FFDTRAEQERSQELQAELRRLLEAERQGREEAVATAKQAGVDKAKAEELVREMRRELQ ISRDEARRAWEELGRREQEERERTASLRNGEPTVVGGVQVVPMIQAYPSRQVSTHRPQ TREGPYPGGPGPTSMGGQTPGEPIDQTDQYSYDSQVSTPKAVEQFPDTSRDRPGLHHE PDVAQFGTPRAPTATTTTGAPSSKPTTTQAPTRGFYQHESSALHGQPPSSSAADERSY VPSSEAGASEVSEDYEPRITNHPDFPGRQLSYPRTVSEDSDDYENQDLLEQEARYRQQ YPANTTAGESGYQPAPVDYSGSGWGPTWESVTPRHRHPTRLSDVIEEDERSRTSPSRA SQASRGLP UREG_07881 MPPSSIDTTVSGDIHHSAPPSPSTAMSSPTHSAPPPEYQTTSLD DVFGSSPTYTTGFPADSSHGASFSVAEPSDLPSLRRQHVTAGYRDGVSAAKHEHVQRG FDAGFPVGGRLGMRVGVVLGVLEGLINCSNRETAITNGDGGSSTDIRSLYDLARAELD VQKVFGSLINTDDESEQRQDPCVALEKAGEETVSKWEETVMKLIPNQS UREG_07882 MTAARAFSLPSYREDDLAAAGAKMLSRSVQKMSAKLIRSAIAPL GGSQRNRSKPTKSVHFEAHLESVCYFMKDDEPCLIAARISAVKRTHGDYNPLKTLNKE SPALLHDSTCVLDMISQSADRAADAPVRVEQLYLSNQKSVTGTLAVTNFSFAQRVKVR FTLDGWKTVSETAAEYSGNHRHNGFDTFGFTISLEDQHALKDKTMSFCVCYVANGKEF KEDNGSMNYQVELSKLARQACGIGSIEPPAATQTASSPAMTKTRPSRVSPPGILRLTM SGSRPSNLPTASTHTSLFGSFSRHSKAETESTDRKQGSRETVQDAPLWKYMDRLSTNA TTLSSRQIRLL UREG_07883 MCRWNDDGNLSLAVSEISNPARVCGLIGFNTRDGRMEVGYLIHP DVWGKGYATEAVQASIQAWWEGYEGVTPREHLKDELYAFTHANNERSYRVLKKSHREI ITVTMQLQSINILTMKDQPGSQDSSSIQSLTVNMAETSDAPPPSHSSRISNSTKLLLG GSLFFVLSTLITRRSLSRRRLASIPPYYTSATNHKPPVNGAMEALEALNIATINVASL AMIGVGGAMYAFDVNGMDDLRRKVRGGLGVDGSGRSEKEVEEELEEWVVTVLNRKAEK EKNAGDESREKSWLNERGKER UREG_07884 MLSLPGHFTEVPAATAESAQRVINTGDNDVSPDGTSSQFLLFRG LEPSVTEEILAKGIAKLYKPSSGSQAAAPTTRKGAKVASTTGDSNLGAREGSIRRVLL VRDRRTNESWRYGFAEFATVEDAQAALTRHNSFETFTISSKPVLASYIHAGVFVPVLN PTASTERFTFSPLGNPSMKLAYWDEGAYVTELKVSSQGLEDKPQKRGAVASSKSTKAI KESDKIKKRKAEAGVAPGTKKLAVPSHLQFWSDRHAELHGIQRNDSENDNRDRAGATE NTNDASPSAPPPSTQSYADLVRKCCYLCMRQFKTEAEVNRHERLSQLHRDNLQNEDLK TKAMAKLAKKRDGQSSQLGPEYRDRAKERRKVFGSSNKPKEQKPEREEEEEQPSVPAM SKGASLLGKMGWSAGTGLGAQGTGMTAPVTTELYAQGVGLGAQGSKVGDAIQEAGRNT RGRYDEFLEKTKDLARERYERMNHGE UREG_07885 MRCMKQAYDLGVNFFDASEKYSTGQLEIVLGRAVKRFRWKRSEL VTYGAVGEGLAINSQSLSRKHIVEATLASLKRLDLEYVDIICAHRPDRLTPMEETVRA FNYLIEKGLAFYWGTSMWSADEITEACRIAKCLGLVAPIVEQPVYNLLDRHRVEGEYQ HIYARCGIGLVVTSPLKTGILTGGCNYPNGARLADPQGGAKILNSKNVSHLHESMAYL RKVERLQVGVTQTPGIPACAEKNPANSPFWIDLESGYHTWHWHGV UREG_07886 MDPGNRPQLVHTPSQQSIAASSDYYSLSNVTVSSERSKITVVRY QTPPSRPASPSETMLRDDSTGQVKEPSNSHRNPSQTGARTDTGTPSAILDDTPYIRFA IDQLTRDEELRGQGRQGTIVATDDYPVERIIPGEGLGYYSPVRKQDEEQQLQPETVVG EDHPELFIPLDPPRDGYRYPPLNAVPLVLRPLLLGPLIVLCVCMVAALIFCGTWSQKY LGLWEYDGFDGPKYFVFRFLPPLLAVSIILWVNVVQAAIYRVSPFSVLSRTTNRRRAL QGLPMVPQNFLLPDMSHFQHGEALIGRLPLYYLGYELDHYSRSRAVFSYRSGTLLPRK GRFEWTTVRPVAWGPCFVLLAACCRFGCSSRSVQFGTYRLQWDPVCLADIIPLIQKSN LLDDFDQTEIADKVRDRLPSRPIRLGYWKTSTQPEIFYALGEANGPVKRLAEQTDRLK EKPNDRGTASEEVDVERQQLNLKSSFERNLHSPFVRFRWAPWFLKDTFIVAWIVIALV LFVGFIVVSFVKKPIRNGFLPLLPTLPSPSGFSPSNFLYSFIPALIGTLLFLAWQPLD VYFRTIQPFASLSSPDGTSADSSLLLKYNSCLPFEVTILALTNRHYKVAYISLVSLIS LTLPVLAGGMFMARWYAAESEIRISTHQPAFYTLIAFVAVYALSFFALWPRRKRYLPH SVSTYADVISFLYQSPLLSDKIFREPKSKTDLVTRAIIAPPGEGLQALYAFGIYHGLD GKEHLGIDRLRRPERGDMLVSPPPGGRPFSI UREG_07887 MAKRVPFSIAQPITVGGWYISSVLLICLTAVFPRRTDMHNRQVT QAYYYAIMAAALYFFISSLMLVTIYGAQTRRYSREFNLTTSQRSLMLQSIIYMLYLLL GALVYAHIEGWAYLDAVYWADFTILTDGIGNLAPTTHLGRGLLFPYAVGGILTLALLI KSIRSLMIERGKHKIIARTTEKIRASVAKRVRSGTTGRWSLLPWAPQSLEASEDQRKK EEFYLMRRIHLTAAFYTRWYSLLSSLFAWMCLWFLGALAFFLSQRQTQWTYFQSLYFA YTSLLTIGYGDFAPGATWSRPFFVLWSLLAIPTTTILFSAFGDTLARIFDDTAIYIGE LTILPGEVNLKRRFWEPFHHIIQNIRHPLHQILSQPPETQASRATASYYARRLAELEE DPNNIQHRYRRQYLLSREMRKVHPEIGRSPPKRYTYEEWLFYLQLVDRLKFCCSLINL PPEETEEFGARRVGLWACKPQLLRWSWIGIHTPLIGEKDEAEWLFDALSSSLEMELKI HRETMEAVLPTFAARRYAHLIPNAQRMQRSLPVLGRAESETVSAALVGLVVLAIVMVL GLFIGLYFIRRCQNHRGHSMSTAKIKKSHGTRPMTLNRYENPDLPLLHSSNAQRRPSG PFPPETPRPNPFSLPSEVAHDTITVPPPVPSPRFNRENLDLDVVTLSQESADGTAYHS FGLDARSSVSSIVEKYRQMSEGTFCDSESSTETARPRRQKPTVSCQYRSSDASQMRFT KPNHNHEHLTSGPHAYSPTVRDDNKNTSDFSQSRPLYRSHREGSGSLDRPTGFSSHPS RGQAFRSQNSVQILQEQDKGKSPLHQDLEVPPLLIRKMLSAPGVNPSEQLLQASSSSL TASGRPERIGGYGGFSQAEIQTEQRLSTWKSLDHFTSIPRSSLDEDYYSGRRYPQGLP TASADRPLQGSTTSPHLNPHINASEGQNGGRNVIRFPLQTTSMVSDQVSMTPKGLNDI APGQQVEFRDLPNSSYPSIHDQAGIHTESVYLGLAPPRESASFEWEARKKHPGQNDPC EHKTQNAQATMLSSNTERSDDLCSDGRIARSQSSGDPGHGSDTTGTRKKRRSRLHNLV KKMLY UREG_07888 MSFIWSVLLSLIALFSAADASLNTTSSNNLALYWGQNSYGEGSG DLAQKPLGYYCERDNCTTFPGTDLLNCPNIGADISKCQRKGKTVLLSIGGATYSEGGF RSEEAAIAGADMIWETFGPKKNGSTRPRPFGDAAIDGFDFDFEATVLNMAPFANRLRS LMAADRSKKYYLTATPQCPYPDWYNKEILEGNIFDAVFIQFYNNFCGLNAFQPGEEQQ QSFNLNTWDNWARTVSKNKGVKVFVGAPANRSAAGSGYVDTARLAEIIEYSRSFSSFG GVMLWDASQAYANDKFISDVKAALNAAP UREG_07889 MTSLLRWAKATFRRVPSPPLCFPTTGFETLSGPELDEERFEGFK KGRYYPINIGDVLSSRYQIIGELGFGVTSTVWLARDLKGHKYVTVKVYTRDETNQAEF RIYKYLSQGDPSHPGYAHVRKALDAFIIPRPGGGHHCLVQKPMWESFKDLLYRNPSHR FTEDLLRVGLMQVFLALDYLHTECKLVHTDIKSDNILQEIEDTAILTKAEMENPSPRK FVNRKPVYPINIWNVGVMVWDLFEGKHMFHGNDPDGKGYSTRAHLAEVIGILGPPPLD MLERGQRSLEFFTEDGMYYLPFP UREG_07890 MGGSVLAKCLVSLGLLLGAAAGHKGPNPHKRPNIVFVITDDQDL HMNTLEHMPNLQKHLVKEGTSYSNHFCTIALCCPSRVNLLTGKAPHNTNVTDVEPPWG GYPKFISQGYNGNYLPVWMQEAGYSTYYVGKLMNAHTVVNYNQPVAAGWTGSDFLLDP TTYDYWGAKMSRNGAAPVSYAGQYSSDVVAEKVLGFMDDALKGDKPFFLVAAPVSPHG QIKPPPLWFDKPEYPERHAHLFKDYKIPRTSNFNPETPSGAGWIAAMPRLNQTQIDYH DEYQRCRLRSLQSVDEMVGDMIEKLKQHDELDNTYFIYTTDNGFHISQYRLPPGKTCG FDTDIRIPMVARGPGVGRNKVNDDISSHTDFAATFLAIAGQKREDLDGVAIPLSKNLG KRQKTEHTAVEFWGVGRTEGIYDPFYTNAFKDQDNNYINNTYKAVRLIGKGYNLYYSV WCSNEKEYYDVSADPGQIKNLATSPALAHKHSIRGRPYDQIVNRLDALMMVTKSCKGS ECVEPWNTLHPQGNVKDLKDALHPKYDDFYKQQPKVSFSSCELGYIKEVEGPQDVNAY RGRHPHDKREPEYGGHWSYWV UREG_07891 MPDTSDAELSISEPSPITEASEPKARLEASLVVFGSFCTVMAGL GLMNSVGVYQAWISTHQLDTVGEGKIGWIFGVYNFMALFCGIQIGPIFDKRGPRMLTY VGSIMLLLALVLLSFCRRYWHFLLVFGVLGGFATSFIFVVPVACVSHFFDVRRGTATG IAISGASIGGIVLPLVFGSLAPQVGFAWTTRILALISLFLLIPGCIFIRSRLSPKETT KKLILPDFTIFRHFAFAFMTAGVFFLEWGYFVPISYISSYSLAHGIPKQLSYQMVIFM NVGSFPGRWLPGMIADRLGRLNTLIATNVLCIISILAIWLPAKGSIPATIIFSVAFGF TSGSNISLAPVCLGELCDVRHYGRYYSTAYSLASFAALTGVPIAGEIISQSRDYRGLI SFAAASYSASLVCFIGSKVLELRNPANRRTEI UREG_07892 MSEIVWAVLFISISTTAAWFFYTRVVWPVFFTPLSKVPHAHPSV GISSFWINRIRSTGYANETIHRIHEKYGPVVRLGPNEISINCVDEGVKKVYGSGFEKS NWYRKFDYFGKSTLMHSPNLHQNVIEVMLQRVTPILAEAANTESPLNVFDLYRASTLD FVSAFLFGPESGTNSLENRQERANIFRMYADESKAPIWRHPLLGFIAPSMNNSMDPGL FHLCMRMCESAKARLLSHIDSGSKGLVFHQLQEAGLNPIEMASELVDHFTAGHQTSSA TLTFLSYQLSACPDVQEKLREEMRSILPMPMRTGDPSMASYLKSLDALPLLDAVVMET LRLYPPIAGPQPRITPNRPMPLGPFTLPPRTEISAQAFSLHRNPDVFPDPLHWVPERW LEKNRNDMRRWFWAFGSGGRMCIGSNFAMILYTQFRTVLVENEKPSPRDGYRGGPKRE VLDLQFSCL UREG_07893 MIWVLVAFFNVLIAADSQDGRYTQHLLEGSLDDKASKWGFDWAF SGISSFGHLPYHRCLTGTDELFDVGIIGIPFDSAVTYRPGARLGPRAIREASARHLPS RGFNVHGGVNPYRSWARILDCGDIPVTPLDNDVASRMMYEGLYELGNRPSANSLYGDK PKLILLGGDHSIALPALRALKEIHNKPLALVHFDAHLDTLHPSAYPSIWGSNQAAFNH GSMFWIASQEGILSNSSNVHAGLRTRLTGDDWSDFENDDKQGFLRISTDDIDDIGADG IIKRIYERVGGEIPVYLSIDIDVLDPAFAPGTGAPESGGWSSRELLRIITGLRNLNIV GADIVEDRCNEMGNIIFGMLIDSQPQAFDPIRVYARMEAQSSTFDWHDPNGQPGCSVK VAGSTHKGLLSEAAGGQGRHGGI UREG_07894 MAVLEKYDWIIAIISIAFCASSFGNGANDVANSYATSVAARTLT MPQVGLLSMVTEFVGAVALGSRVTKTIKNGIIDIKRFEATPATLVLGMGCAEVGSAFW LILATGLGFPVSTTQTVVGALIGVGFASQANIKWAWTSGSVSQVAASWGIAPAIAAGF SALIFATIKYSVHNRTDSLKWGMRLIPFYLALTAALLALFIIVEIPNGQSFEEFGVGK MCGIIFGVFFGCLAIAYTFFLPYFHRRLIKQDARVRARHIPLGPLLWKDDPWLYWPAP ADGDVVIDYYESPHQAQADTPDDLKKDGSESADAANAEKGPTPPASSALAAKQHLEPE ERFLAPYRHLPLYHPLRLWSIVKFLLLQGVTRDCVTHHSESLAATHARAAVYDNRVEH LWTYAQVASAMVMSIAHGSNDVANAVGPWVAAYETYRVGAVTTKTDTPIWILVIAGFL LGAGFWFFGYHIIRALGNRITQMSPTRGFSMELGAAITVLLASRLGLPVSTTQCLTGA TVGTALMNYDLGAVNWRQLLWIFSGWVMTLPCAGLVSGLLMLMALNTPHF UREG_07895 MAAVQGNASKPTENPRAAHGTDDLEKEAESVKSLPTYDARNNDP FGDEEFAEVKYKVMTWWQCGMIMIAETISLGILSLPSAVAALGLVPAVIIIVGLGLLA TYTGYVIGQFKMRYPHVHNMADAGEILMGRFGRELLGGAQILFLVFVMGSHVLTFIVM MNVLTDHGTCSIVFGVVGMIVSLLFTLPRTLKNVSWLSISSFISIFAAVMITMVAIGI QRPGKTVDATVDTSFYKAFIAVTNIIFAYAGHVAFFGFISELKEPAGYPKALYLLQGT NTLLYTVSAVVIYRFGGKDVASPALGSTGPIVRKVAYGVAIPTIVIAGVINGHVAVKY VYVRLFRGTDHMSKRNFLSIGTWVGLATLLWLLAWIIAEAIPVFNNLLSLITALFASW FTYGLSGVFWLYLNYGKYTSSPRKIFLTGLNIFVFCAGAAICGLGLYVSGRAIHESSS GSAFSCANNA UREG_07896 MPRAVKGTTFPGAILRSPPSGTNPLVGVLIECDPSVKAIILKYD EERHDYIVEDLDDDRHLVIKESQLQNLKLRLSQELDEKVMQPEESESE UREG_07897 MWLELRQIFDQLSVDPNVRAVILSGAGPRAFTAGLDVKAASQGM LGGKEGVGKLDPGRIAVQMRRHVASFQDCITAVEKCEKPVICVMHGISYGLGIDLSCT ADVRICSKDTQFCVKEVDIGLAADIGTLTRLPKIVGSYGWVKEVSMTARPFGAEEAMR VGFVNSVYEAKADAVSAAIEMGVLMASKSPIAVQGTKELLNWSRDHTVQDGLRYTGVW NSAALQTSDVATALLSGLEKRTPTFEKL UREG_07898 MGPAESSSPLPRVSIQAVRDTLPAGEPCELEVTVHNDDAESTMT ILGWNNPLDSMAVILGVFEIHDKETGNLVEMDRIQVSRMLPPPPEQLVEIAPRASEKL HVVLTGVSLSPGRMYSIRAKGWWQSIWHLSKDEVIEKYLKDQSGAVSGDFLSNSVEVK QRCSCLQPNVAVCSTIFLETVTGNARLPWTRSRQ UREG_07899 MDQGHVETRIDDSFKQKSFQQRWFGSRSFVLRGFTFLATVVLFF NIGWLGELAPYWRHWIPKFSAYFAEEDGHLHRPREQFFALSSAVISVNEYSWAVVTPD FITGAAFNLTTAAGTRYRPSDKVLARYQRFQKEVDTYERLENEQCIRAYSSGFQTTRR DVALVSHERNITTSILAFGYTAPGSEYTNGWICSAEKYCNTRSISSTDWEVFGKSIDH CLSKKATEICSVQFSFKIMKVLIAFNVLKIVAMLYILFRFKAEELITTVGDAAMSFLQ IEDQTTHHMCLANKRHLYRFWSWRRDPQPYTSSPGRWHRAVSKTRWVAFSLFFILCLA VVVLGFAMGFIFLREKNPSIMESGIRGLWDMGFGIVNETALIYISSESLIKTAITANV AQVCLAVIWTWYNGIICSMFISADWSTFAFKGQTLMVGSPTGSQRGTWLLGLPFKFGV PLMALHVLAHWIVSQSVFLAQAEILSDVGEFLQQVSDCGYSPIAIIFACIIGVLIFVT LLVFGPRRFKAGSPPIVSTCSAAISAACHPGTVLDEKAGYEKLRWADVGPGWNVGVGH CSIIPDRFFSPTGETNAYARPPLEGRLYA UREG_07900 MKKSCRLHLIAAYIQTHAHAMMTVLKVKVMTYEHDCLAAADLLK NEMKKKMKTFQNYLQTLLNEEMLSSSEKMNEKKFEIVVSSDEEKKNEEENDD UREG_07901 MHLIMKISFIIRLQFFRLIKTVNYFHSITSAATPACHIICSITH SITSAVSAPVIHTAASVASGASDSVVRQWKFNIKILSKMARRPAEEEEKKENNEDDED EEEEEEEKKEDVMKMKNINLSN UREG_07902 MGKQAYDPLARFDQTVDLSDEDNEVVLCEVLPNTKRKYARTLAI FDHFVKHHPKAAVPPDIRTFKGFLRVVANSIPGRLDASKDKRPTVETMEGFRRDFETA WARERKYVFPTEVSTTMKEWIRTKLKEEVPLCTEEMDKSAFSPNDLVVTMVQLWCKDY HEYRGKYSDRSRVQLSFALLLYCFTSARTGEVHESTARKHNSTSASACYKHFRLTFQA VDGEAMLVLYYLREHVKNGWKMRRWELPVHAFYEVYSEDTNLLLNPLIYFLPLACSDG ALRDYQSLGQLLDDLESGLPEGQHVLELPFKKDVCDLPLFRPFNELDRERSTGRARGA DSFGKMFAALGHRAGFICNITARACRRWALMEADKHYSEAARMKFASQASSHVFGKSY AHPISEVDGQATYLGIARRESHIKNGRAMTMHHHPQLWRSLPAKLEFEFESREDIIQL NGKIENLALQIPANDAEQRQIQSERQSLYNQKNRLYMEALRHQQKAQPTGLLESGNPQ PKTDVDKHTFFHYARRVMPERDLLADALLKETNPRNTSAIISGLICTDASGSVKWP UREG_07903 MSFNVRMMPFHFWAFWSLFCYRYVRLIVNLWAYHRLKPIPPHGP LAPADVTVVVPCLNINRQKLAETLMSILNNGPRKLILVTVKKEQGVAEDVMGIVRPCG VELQVATVQKYGKREKLVAGIPLVKTKITVLADGDVLWESPHLLKWIVAPFKQEKMGG VGTCQRLQHDPVQSMWPRVWSFLGALYLERRNFDCAATAYMDGGTPCMSGRTAAYRSE ILQNPEFLDAFGAETWQGKQLHPDDDNFITRWLDSHSWGMCFQYHREAMVLTTLEDNW GYLKQCLRWSRSNWRSNLRSLFCERFIWRATENDPLAHRRSLQLLLFWMFLAKVIKFL GYFRRNPSNIALIPISILFGYFHGFLKVYAACTLHVTSWGSQEIPTKEPRLKNNNTSK QQAPDAFGSWHSFNPKERLTPWRRYITFFWTNAWPAGQPTLQLRLFGVGLCLLAERAL NVLMPLRVGQMMSRLNKSSGLPEEIYHLAFLHFLEPGYLIASARTHLLLPLEHYWDRR LKINTFAKVMSLPSEFHEAWDPATLSDVILGVRSFEAVISVTTFMLIPVLFDTILTFT SIYYQLGSRAAVSFATIMGSYIFLSGKLRSRQHNRWKVYRDRSRREKEACCGSIVNWR TVICFGRLEQEIARFQHIVDARLNSSQHPAALSILREALKFLVYTAGPAGCVMITRDI SEVATMFIYLARLRQPLENMQSFLDAIHSELAKVDSLIEISEKETSVYHRQLKGSVAD QEISPWSIEFKNVDFSYNEQFQVLGRLSFRVPGGETVAFVGESGSGKSTILDLLLQVR FPQGGSIQINERDISKSPNEEITFVPQKPNFFSDRSIMENLKYPNFNVEDAQIYNICR SLLIHDRIQRCSEGYNTRYQDAMFSGGEQQRLAIARALTRDARIFLLDELTNSQDNKT ASCILNVLKSRANGRTTILVSHNLREIKNVHRIFFLNEGRVVEPPQP UREG_07904 MGVPMNMNSKPPCPSDHGMPQQVTAIRIPPLRNSIALGFTTISS RALIRWAIKPSAQQCFPHSLISRLRVHPDFCDIGEYREISALDLGTALSVMPQQPVWF QHVQSTCLQVWKAIYAQADFRMADEELKKQFVDYFMVSEFEVLSSPEYGVLSGFSWVA QSGMEGRLKGKMEFRAADSTLHELILPLDALLAWISPAAMDHYDGALYNLDTYLPLVG PMARPESPDPQGGFTTSTPALPPLLPPTSDEPNLDTQSIPVASGGRGKGGEKGSGGMG MGMFAQEGGMGSDEERGPAASIPELVGDHANTSVQSTNDKIAGDCPRKRIAAACYSCR LREIRFSGANPSQDGRCNQCHQRNQECVFDSPYACSICGRRFARKWNLLRHFKRKHAQ GPPNAPL UREG_07905 MSAPPTEPTSSMILAPSAKTETIQPFSVDVVDLTGDSDKDITDV DALNTSRASGPKITTPSAQDNNPGSQAGDLTGMAPAGAGTPSPVVKRERSLTPKPSVV QRANSADSTDSTRTERASPPPPDQVLSERSGSGRLYIRQMRSAVGTDYWSMTFMGELM FSRSEDEAVSPPRGKRRRT UREG_07906 MGQKFEQSPSHRRIAALSLKRRASAPSSLKALPNISVTAKEHIE IIECLERLYDDDIKTLTNKINKLSGDLQSATEDNSHLKRALTAIKVSFDDRCRDFVRM KEEVQNQHRKNCNLHQEVLDSNAHREELQEVNRVVLRRVRDYKEILACLCQKNGLDIN EIIRSRNRPQ UREG_07907 MAQTAECARLFPIYRYQPGFADKSSVTGMRIEWKVFCDGSPILG RYQPQNFVYIEGNLMGVRKGEKVERCESGWEFMAGQAALGGLPGLVFALGGKAIGKEI LSVSKGIAAIPMLTYQELYKSYPLMINTSPISKPQQPLRSLSSWLLLVSQD UREG_07908 MACRLYELGQFDEVLERFKIFKPMDIKPKDNELAKVTEDMLTVG IQYIQQSITHNTVSKPKAVEMLREMRRIAREAPQTARIDTVERLLEKLPTVIQNIVGE PAKRQEDMIYYSLLLLSKSHPGRIFLADTPTGREVQVGNTDSKPGKQGSFREVLRWLA EMHFGWTFRVKMNGRQEFCCTHDKNISRMQGGKFRYPVY UREG_07909 MLANFPAEVLCHIPFAVLIAVSLWREGCNRKFNLILVVAATLHI LNLAAYIALRVLDDDDSPTRLLLLGLSTVFVSQYELHRYWHFTDDLRRTRLYAGVKYG IWAATFLKTCAAPGAFYQPKVMVSTALLQVWDAAVFLVLVVHLMRQSCAIPVNILRMN RHLYMIAVCVGILFAVLQFVTGQERFVGFVSFLQAFSASLHAMMPPAEQRKDQELHSV RSRDGILGATDNDVLQSGWYDGPSATHNKLAKSIHCFFSRLSR UREG_07910 MTHRMEGDLWAVADYFRGVGNELDQTGALDFEPFQQFWYRHKRV VALKNVKKQLLTGTGNSRSDALYPSRRRAETDFESDDVLSITDNWDMESSKLEKFKDE VQWSLRDDRDFFEPDQVNTPSNSSNELIEAEKAFTAVEKDINGAHRVFRLQLLALRLR SLRSEATQHCGGSRIPLDKRITTYFAEKCWAHLDAKVQKEQREKLARWRTYGEKWLAL TEPAIVLAFGHIPSDNRCFTEFERRRFPIAAFNAVVGTLQAASIIHNLRRLWCLQLSR NRLSIRFHPERCFCEGTDAVLSDEVTLSPEPPEERAGRHRDSIDNAEQVRNTSKRPSE LALAASKRPRFQFRDEDVGMQEIGRDGLLTSASHGRVSDTDDVGSEQSSPESSPSSAA EHASAENSVEAITPAERTAIPRPLTPSTEMLPRVVPHGKRSESGQASASTRAEFSPEN NTWTQGETASRQTTSDTSALSANIWIPGRSATYTSIHSDTYQDVAGSGPQPQAAPGFN GMGMDTRDDVDETRPYSNIIQESETTANPTVAPPELPSDCYYHPSAFVNFFETDATIQ EFETTADLTVAPPELPSDCYYHPSAFVNFFETDATIQEFETTADLTVAPPELPSDCYY HPSAFVNFFETDATIQRSETREF UREG_07911 MATDRTLGFNQPQSMSDDAKKQLDDLADWFKTANVEKVRTHLSH YTGKDSLDKMQPLLKELGLYEKGSGSILCRLSGRETIAKDRGFDNIFIPLSHEFSIGN HTLRPGQYIRSECSQVLDTFTDFVLVLVPEKSQK UREG_07912 MAELSLGDERIIKEYQLTDSLDRACNLLQEAENSHAMSYNGAGQ ISRMALSKLLPALMGEMAAVKLCSPISSRNVASELSRLFVLVQEGDFSYEHYQPLVQL VIQKAPDTDIWRAVFDLIATITRAERATPTPRPSLLTPQTPRSHNTSSLANSSELRTN IDSVLKEELRGELHADIPSFYEKFFDDIESLSDTATAVFENCKTGDSPLYSDESGWTD WPDSTNERPVLDWLTNTIDQFVRFAERHDPARTLARRPLAQPAQPINGSIGKRKLDVG FVEDLDSTPGKRYEWSEILVPGELKNDRTYDVPSGARLDLARYAREVLSAQDGRRFML GFTLCGPLLRVWEFDRCGGIGSEAIDINQDGLQFVTVILGFLLMDRLQLGFDPTVIKV DGRPCIEIEREGKKERLVIDAVILRGRCIAGRATTCWKTHSEADESRRPLVVKDSWQY PERDEEGELLREAAESGVINVARYYHHETVQVDGRDDDVQAIRKGLGIPAARPEQGDL QAALRRSASGRGRASRSIAIGRKRSSDCNINFPPPNSKRTKSSSPTKHPANDKPPNRV HRRVIVRDYGKPIYESSSRVALLVGMEGCILGYESLYNKAGLIQSDISLRNLLVNEDD DNLSCRSFLIDLDLAIQTQRDGFSGARGKTGTRAFMAIGVLYGEKHSFMHDLESFFWV LFWICIHYKGPGNGRTVERFEEWNYVNTEKLADEKKGVISDEIDFLRIVDDHFTPYYR PLVPWVNRLRRIVFPNGGRWKESNSKLGLKMRKILQDAQHDPKVIE UREG_07913 MTASVLCRHREWIRLQEGKLTATSRLGHIVNDELQAASEQYPGL ITLVGARAKNTCLKHLFPSSRRRYPTASINLGVESALSPNPLLFVDTSTSAKDISGVD HGLRSRNSCHAETPYVIRWASERDLFDIFQARLLFLFSDVVCLFADDFPSIQAVVQKI TTWVEIGNASDFPSQVEEAREVRRSHRCLFSAQHILALFQKAIQHTAATITEPCNFIA AAMSTDREAYAYHLRTFSKLATKLSLPADTVAQHVSSCILVESYRPRMHHFSARAVFR SQYHHETLTVLEELHPGDLAERMCNTIEDHLEELLFRIERESRLAVACHQENLQSQCE SWKLIKTNLTCLACLHRAPERVKACGHSICDICVRRFGRSSPASKNRYLLDSCVLCLA RGALTVDMKPRTAGVRMLGIDGGGCRVVMAIQYLTELEKLLHGCFLHEVFDIVSGTSA GGPVLMLMFRHNRPASYCGKTVDKVARRCFGELKGRWRFLRALLRFLHLRAIYSETSL EDLLKVECGDGERLFGHAEISGVRIAVTAVSDRGTRSILTNYNGAVQIQDDRYSLVRP QDIAKEPLIWEV UREG_07914 MVIREDDPNSRKYCDGDIYRNIRLHQRRGNVNAEEKWRSRLTDR KRNDLVRMESKLRRLNRGFDQLLPFIGLWSSLKLGYLSRLFRLRCPEELLHYLDLIYR GCHDILGGYSPHLLDASTVAELETLSPAFSLHDRELIRQMMHQRRIFAAVSQQDHRDR ILDRILTRGSGRILSFHTFFQDCIFFEALAIPLRNLLPSSFKGTVREGFFLNYTDANQ KDSRFLIQTGEDSFRESFGNLNTRIEHGYRQLALAAMRDFPALSGLLPYQNKKDREPA MCAMRKARLYKLADLALKLGFETEKIHEIKSLASNPEEHATQEFLRELYDIDSGMAHG LAGLVGGRVHGAATPPTVTQPEFTSDLQRLPKKLRCNRPACDSYENDRRSLYIDVLYN YDPPQRSHVTSLAIQRDIFVSFFGESDSTPESESVYTLDTQSPGSDSPPDGCRPEMAE YEEADPYHSRGDARPSSQARSSELEDPLARIRGETELFEDVVEADGFEGSAAPLNSIN RLDSSKRPSMAIKEFLSNKHVLVLYIQDSRQYAKFNSNGDQKNMFECLARSLSNEYRF LTIRKNIFAVQKLRGLWFSALKYKLIIACRKRQVNNMENDVDTLEELYSLLDS UREG_07915 MAIGNEKRCTPFPGSFNINSDNSQEDTGAGTACASSGAVDKAGQ SPNKLRKRPQWRPPPLPLSVRQVPEKESSKRCSRQFPENGSPWDRYRPFTRRRSCGKI VLAHDIRMPKTVVAFRDCKPSNAVNVQHLIKTAHTNLVNLRDAFIDRDIVYLAYERMD LPLEQLHSDVRLEEGHIATVCREVVQPHGEIGSSMLAQQCGMERRDIKSVGWIMTEIM EPQTADLDPETIHLEKPEQWSREILGFQQDTEHSSIPQLLSHPFSAHAAPSTAGFLTP LILVALKHWQA UREG_07916 MLLTTLFKITLVVSGLSLIEWFPRASAVPQTAGPAVTTVTAVSS ARPTNMSGWVAVGSTKTRLLSSGFATHPALYTGSIGGASATAPSQWPSGYLYTNTGTR PSFLNTTVVPSSTHLPKVPTTSLAPTVNAAEMNTAEIYSRFLVVLSVVVVLLF UREG_07917 MSLHKNFPLVSSLTLAPSMDADDSKNVPADTVIVSVHLLRNCFV REAECHARLKELERLLHESGAASQRERIMYNQALAHNTQLESSLQAEISARKELEAQL HEALAAHDAERKQLGN UREG_07918 MAEVSSVCPHASFTLDQLRNQLELCQKALSRLSVQEQNPNSVIS DALKAVETAIALSKQSFAENNQVKQKLEASEALLDSEMKKNAILLEELRNSHESLTSV GRLVDVLEASLKKSERQIEQSVEKMEHLSGGGLGGTTAAS UREG_07919 MAPTGNNKPYFVWEEELIESLKMSESSWEDTLARFNNSVAPDRQ RTLGGLKAKFTQIQQTRNAATESDVVEVSHSMGFIILVLTCIYIEADINSDTTLESTR EGTPEEPPLDASGTETDDSPVNASCEWTTDGLDAALSEPTDSDALDQNIRLPSTEVIF KYDVFRPSGEFNEDFYLQVVEDAAGGVQAMRLSNASVI UREG_07920 MLSGKFSMVAGLLGMSIHSYKDCHGTTGTIALCLGLTHALVAIQ RQVFSIRDPLQFYGFLALLGLVATIVFTVFRRCFYEVFIRVHYALALLALISLWRHLD RGTAFPRLYVRVSALGMICSTCLHWALLLRRNVTAKKFGCEARVIPRGGATEIVLTLA RPLRARAGQYVYIWIPAICRWAAIQSHPFTIISSEIDKRSGVETLFLLVKERAGFTKR LASARNQGPYLAWVDGPYGHTYTVSPYDAFLMVATGIGIVAQIPFIREILDEHSCQPG RRRSIFIAWEVDEMVHLDWVKKWMDKLLEQDCGSYILRFGLYLPPVDNVKMSPISDGE HNRIWKIHAPLDPLKVISHDFWNSGGKRLVTVSAKTTVRDAIRELARKNMNSRMKLVD LDFQAFNDSSQRSHAMP UREG_07921 MKIADCGQRPIGDSQRKPNRRQRGKPTEPTIHAWIQKKLQVTES DMSAAGTAKLVSQREEGATNSGKQ UREG_07922 MGYTQRRKTVFRKLRQLLVVFRLRAFIVLSDGDDNHYCLRSHKG YPPPDLVQLLPETTRTLDDFQKIDTNFHLNMKLKEDRTASSGGDYSSRATFVPPQFSP LNEVRQSANVLSTPEGSHQHNMATLFGEKPRRRRKTDGISSESTIKGKQKAGADYTVF HEQAVPHLLSFYWHGGRVRKDAKRKLDQLNDEIQKFNLKNNLTGNTGYIPVDLLKSDV ESLDEAVKEKSKALFEHAKGLIQRTLQVYALPPELGPRQEEFNKKLRVGDATAPHEDD IESTDKGATAADYSPYWDQATPHITSLLWGRGPLREDAISKLQRLNEKISQWNKNNSL FHLGGTIPLVDLIREAGELDRAVRRKDRGKFERIKSTIEEILDGSKVPQEWSPQEEDF IAVLASGGESTDEDSGHESNDRHEGDTSQEENDLGAEGGNQENIEGVEHSFDDLRREA ERAYGVSFQDGTVTGWRGRGNGYTVCVMYKCNGIATGRLERAGGRDFVMDARSHIATN SRGDLRDNNTNVPVTRRNQGRVGRRVWTGKRVKKYGLIYWKVDDAWVQDPTAPLRPAQ KAWYPETYLSILWDDGCWTKESRANCRNIFNTASDYQADMILYTIARQQEAKYQTALT GREVQIPEAPTSNPHWRNANARGGAHYTIPRAREVGYVDEEDEEDGFVVSDEEYEVSE SELETYEDSEVEHNGGERRRGASHEFSPRQQPHPREHSEYISSVRPGRNQGFARNPVE DEEDEESDVDVRLPRPREYTRRDRPKDNEEATIRSTGRRRSNPGSARKTMQEEPREET SYRTPGRMQHQRGGRSSSMTTPSSAAPAIFSSAGRSLSSATTPMTPLDTPSRTKGSGS GKKVKFTRHAW UREG_07923 MRTLLWGPEVERERAAEAIEQLNQRAREINTKYRRQSAMGLIDY EDWTQAGGQLDLAIRDHHLYRSDARFYDVEDFIWERIANKQYPESWSVNWDDYQAQIE HREMRYTQNTLLSNSPLERSHAPGRGASGANRRRGPRLRTLGHRALLPQTPNRRERKG LLKRPYSQTRLGIIVPPDEVRLNPGLDDRYRWRIEKSAKHKTRYEGYLSKSLSTHSTS TYGRLCEGVGESFFAVHADDDRDPVIDPSSQASLSSLETTREEARYWRDIAENASAEI LQLRSELGDLRKMNRGLFNCARAFFTKARLFQDHAQVLEAHLHTYMGEMSVLMENFKK GIQFRPPILLPSPPKQKPVCTVAQ UREG_07924 MAPMLESPDQLYEQDAVEHCALGHPQWNLLSSLEFIARKTAISF LKKTWANLEAHNEDNLVPLMIDSLCRLAENILDCGDGTQDFGNIFVMTVYRMVLAGAV DIRPMQDLAVAAFEGLTTAMEYDVRTLSWLRLGRANLASGERVQSLWSCRPTRYFHQD RLNRMGGSNKVKSGGLTPAVVPPFSWVRMYYITTATVGVVAIPSFLGNTTLSLTRTGA PPLCPEGICHPQPTIASGLSFPHRIRAEFVGSSQGNLREWLTGHSHWSRECSCQGSFE QPRKEPQSLVKPYG UREG_07925 MPNLGYIFTSLLAFLLSTQHLIFLLTSGHPLGMFLTEILSFFLL VGSITSVFTLGFLRLYLQDTHALYTPLIICTIFPPVIEPQTMLTQSKAAISQIRAAGH RG UREG_07926 MSDAPLTDDARKHLENLRNSLHEAAYEEDDGGNRKYKHEAVEPY VTPILKASGLEGWKFTVWRFQTSLHWEKLPGAVKLFLIRLLSPAAHNTGVPACALRYK PIPKDG UREG_07927 MVGFALKSTSSLYRTVESFRTNKRSIRELKEELESLEQVLISFQ QLALETDTDLESLKLPLLRRKATVTTNVLNEYKKLIADTTSDLEEHLAEIESRLQAFP VQRGGESENGSTEWAKIQEERETTRKCIEICTRVGDYIDTVQSHDLRGVPSSSASQRA TVETLKECKDKLTALSTEIGKRSEELGNRLEKIMSLEVKLSDEDADNMRKVQAERDGL KQCLAICNEVSAQTQGLRMNVFEDVISADDAHQLIVSTLGDLISAKRITTGARSAQWL GQMSDDALQRLSRDRAHNASDTTVGIDSKTASFQGRYGAGYQLGADGSGMPQNPSGTT D UREG_07928 MAPEQRQIGNGPGPINEHAAYLREACHQLQDVEKGRLDQIPWKI VQQFVSCTVALAGKVQQQPALREILHHVQNTAKCTENIQRDVSIIRNSTGLDTT UREG_07929 MELLGCESLAFLRASSLWQITCELGVGLRHRPTVRAWKLFYAWA NPANAAIPAHMEVAAIDSVISSKFQHTHEMPVAKPRMEEAFKHAPLLFSGASGFGQKS QNIQRSMAPGEEFLKMSFAPNVLSTLSPNIIRRFRLPKIVQCQ UREG_07930 PRTLISIPIAAELQSRAGTTTFVAFSGGILILALISIVISRWAC LGYRWRGRAKV UREG_07932 PLLLIYGSANGMPKEGKTRNIIEKMDETGKNCVVFFGSQTGTAE DYASRLAKEGAQRFGLKTMVADLEDYDFENLDQFPEDKLAFFVLATYGEGEPTDNAVD FYQFMTGEDVSFEGGASAEEKPLSSLRYVTFGLGNNTYEHYNAMVRQVDAALTKLGAK RIGTAGEGDDGAGTMEEDYLAWKEPMWNALVEEMGLEEREAIYEPTFSIVEEPDMSPE SESVYVGEPNQNHLEGNTAGSNNAHNPFIAPIVESRELFTVKDRNCLHMDISIQGSTL SYQTGDHLAVWPTNAGKEVDRFLQVFGLEDKRHTVIKIKPIDVTAKVPFPTPTTYDAA ARYYMEICGPVSRQFIATLAQFAPDEDTKNTMKRLGDDKDHFHDRISTQFYNIAQALQ SLTSKPFTSVPFSLLIEGISKLQPRYYSISSSSIVQKDKISITSVVESIRVPGAGHVM KGVTTNYLLALKQKQHGDPSPDPHGLTYAITGPRNKYDGIHVPVHVRHSNFKLPSDPS KPVIMVGPGTGVAPFRGFIQERAPAGRTGRQ UREG_07933 MALFNTGADGVDVLERFETVERKDVAQLERAEEEVEVELDKEDE EEEEEEDDALLREARRQPAR UREG_07935 SKADLRSKQLHQILCCSGSRNIEQTAGRRHAAPRKSTKNLVKER GLTNRSQLIQRKTEC UREG_07936 MALFCAPSPLPHESPLENLIDHGKRKLNESADANAAKRAKYLGP FIVDESDDEDSQQGGIPGSRSRISVTEHTSWLGTTETQIYETQGTPYIRAPSLKTRDD DAVLNTPRNTATPVEVTSNSKEHLIIRKCSGESIRVPRRKPSLPVSYEQLIASRSMTA PGRATKSYYGIEIHRLMEEARDTRSKDKRSHNVPKLHQSVESPSGYQAQAGRNTLWTE KYRARRFKDLIGDDRTHRAVLRWLKGWDPIVFPSLAKSKAQNKTADLEEPNTHRKILL LTGPPGLGKTTLAHVCAKQAGYEVLEINASDERSRDVVKGRIKDAVGTENVK UREG_07937 MSHAMSYLGPRAFNHEQNRDAEAEYDRLRDLARQEATKRGSCFE RSQQAYAAGDGAGAKQLSEQGKEHGRRMEEYNRQASQFIFRENNANGRVPDDTIDLHG QFVEEAEDILEERIKYARAHGQTHLHVIVGKGNHSTNHVQKLKPRVEQVCRDLGLQYC TEDNAGRMYVNLTGGPAQMPSYPPHQHHHPQHAPQPHHGEQQQQENTLVEQAITNGLP RLLRKLEKACCIVM UREG_07940 MQAESEISVDCIPLVNLMGLIFQICDDYLNLSNPTYSKNKGLCE DLTEGKFSFPIIHSIRSHPDNLQLINILKQKTRDDEVKRYAINYMESTGSFAYTRKVV SQLRDTALVMIGELETVLGDAQNGESAGSGEMVRSILNKIVEPTLKP UREG_07941 KLKPRVEQVCRDLGLQYCTEDNAGRMYVNLTGGPAQMPSYPPHQ HHHPQHAPQPHHGEQQQQENTLVEQAITNGLPRLLRKLEKACCIVM UREG_07943 MQPITDIAAVILARPGLIQQFVQHCITNAWREAGMHAATPTANQ SIKKAERT UREG_07944 IPPIPDQPTDTNAVDTASDPPEQPPARQNPDTAGTSSNEMTIDT PVDSTPGTDAPQSTTVDGNGRTDPDDSASGGDTSSDDSTRPTSEGSTESSDSTSEEEN QEDKPEEEPADWADIVEDTSMPDENELKEIENADADYSAYEYDYWESTFYRDPDDPEY RASEKARLTWKIKGVRGTKEKPNRATIMRSPAAYVGGHYWTIKFFPRGNSVSSLSIYV ECSAIPPEPDKDTCETEFKVLRGPPDADLSKLTPVQELSLPAGPRAKETKSTPPPDEG EKGRGQCRGS